-- dump date 20170509_025323 -- class Genbank::CDS -- table cds_translation -- id translation PFICI_00001 MKIEDLQLWQNAGLASDNSQAPLAMCLDSLAPQSPADDVVPEEA IAKDLICILSKVINFVTAGDAVYPRDYALPEGQRVTLAVAQDILSQHWHNIRTELEKW HGNLPQSCSSTSHTTADTMEDSLPDSAHERQHGFPKIYYETPICAATMLSFHMASILL YANRPMESTAIRSSVSARLRHYQQDMQRTNRHARDVCGIVGQERSESVLVHAIQPLFV AGQVFSTLQDQAAVSSLLKNIEDKTGWSTSWFIDTMRKNWNA PFICI_00002 MAVKIFITGVTGYIGGDILYALNQAHPDWEYSALIRSEEKAKQV QAKYPKVKPVIGGLDDSDIIKKAASQADIVIHTADASDHEGAARAIAAGLAEGHSASK PGFWLHTSGTGILTFADQLSGRLGEPDDKVFNDWAGVEELTNLPDEAFHRDIDKIVLE ASSPSVRTAVVCPPTIYGEGRGPVSGRSRQAYTLAKMILTRGVTPIIGGGKARWNSVH VHDLSDAYVLLAEAAAAGNLSDELWNARGYFLAENGEFIWGDYSRLMAKKAYELGFLK EVPQEQPLSKDEAVEFGGFEAVSWGLNSRGKAERLSKTLGWKPHRPSIEEEIPTILKS EKALLEKN PFICI_00003 MALFVPVGLALLGLANAQTPVGTEVHPQLTTYRCTVAGGCTEAT NYVVLDSLAHWVHQVNSTVGCGDWGNAPNATACPTEEACAANCIQEAQTDYSTVGVTT DGASLRMQMIVNNASVSPRIYLLDETEEKYEMTKFTGAEFSFDIDATALPCGMNSALY LSEMEDDGGLSELNQGGAARGTGYCDAQCYTTPFINGVGNLDGAGACCNEMDIWEANA RATQIAPHPCNESGVYLCSGTECASEGVCDKNGCGWNTYRLDQADYYGEGSEFDVDTT KPFTVVTQFPVDETTGKLAAINRLYVQDGVVLKAETVAKEGLPAVDSITDEYCTASGA SAFDRLGALEAMGDAMTRGMVMAFSIWWSTDGSMVWLDGASQGAGPCTDSEDLPENIL AVEPEPEVTFSNLKWGEIGSTFAAATNATSRIRFARNLTKRHW PFICI_00004 MSPQRIYFLVHGTVQGVNFRYFTQKKATEGGVTGWVRNTDSGKV EGEAQGSPDAIKQFLKHIDQGPSHAKVVKLDKEERDVKDGESNFEVRS PFICI_00005 MDPDAPATTPAEASAAATEATVVFRGKKRKLFRQKPVEDAPTTQ TNEPAVQTEQESLPTDETPKTDEEGLSVAEIIRQRNARKHRLKGVGFGADDTSAAVAN ADDELSLMIREEEQKAMDLSNGGVNRRFTAQTGLSSDLVNKHMMEFIESEITKRKSTA TSDHGSSTLPAPSSEVATTTTDKKSENSKHVPLQSKLQEIDLGDEVRSRNEALTELAR RKLQGEVIEDEQTGRPKKIRLGRDGKPWRPRNRRTSDDIKRDQLVEEIMRENRLDVYE TPTPPAAATPGADDDGAADDRIAEEFKREFMEAMAERQQKKRPAATAKKDSEDVLKGP KLGGSRNSRAAMRDLMLKKEKESKR PFICI_00006 MTTAFKVIVVGAGPAGLSLAHALSLAKIDFVVLERRETVSMDSG ASLVLAPTTLRVMEQFGLLDKLLALGGELKSNKTLDVRGNELGHSEAVQLMRKNHGTA PIAFHRAHLIDAIHDGLSAEAKSKIITGKRISDIISDDNGAVVHCEDGTSYEGDIVIG ADGVHSQVRRIMRKMAVAGGREADWDPEVPYTSEYRCLWCSFPHPDSGLGYDTQDRDR SVMYLGGVERSWIFLYERLPQKTRERASYSDRDVDDMAARFAEYPVTEKLKVKDCYAM KITAGMSNLEEGILKHWSYGRIALVGDACHKFTPNAGLGFNNGMQDLVALCNGIYKGV QASPNDSKLDMPTVHSIFASYQAARKSEIQAQFAQSTHTTRMQAWSSPPHYLMARIMG KDFVQKILLNYVASRAISKSLILEYGPNPTVVQGAVPWVHQITPAV PFICI_00007 MVNPTKKAPGKPTEADGASPPSFDADVWTSLEAKMTKELDAYVT KSFNQLNNKSINNKAERAIHIASKEGHLLLLDSLLRHGVKTDVTNVDNQTPLHLAIEN GQEKVAIKLIEKRQISLSITDKKGRSPLHVAVQKGQENVAIKLVKASPSLLPLADMEN SLPLHYATLKYLPNAVKEILNQSGDKNVDHRDKSGETPLTLALKSLAKDSETEEKTKM MIIKLLVGHGADLTQRLYWSKQDSLPVLHHLVRQGRRDVLREVKTPWEVIINMRYEPQ AGTLGSRSSTQQIPFGATPLYVAATAGSLKSVKFLLEKGADPNIGLSTGSSNEPPTPL WVALNKSHTDVAKALLKHDAKIDVEWQGSHMLHFAIEKTSAQCRTEVVKLLLSHNANL HQKDSSKRIPLIFAAEKGCISSLNALWDAHAKLELPETHAMDALKAICREGHHCCVAL LYAKGADINTQDEHGYTPLHYAASYNRTDVINVLLSMGADRTVKAQTRQEPFEVAGTA EAVARASGHVDTAGLIKNWGQ PFICI_00008 MKFGCNFQLRQHPQWKEAYINYNGIKQTVKQHPSLRSQPVWDGF EAHLSKEIGKVDCFLQSQVEHLNDWADAILSRFSLPSISPGLDVLTHVATTELRDLGE CLCEVLSFISQLESFAKLNQDAVQRLLAKYAKFTGLGTAGSLDFPATLIARPWHSTAE RLRGLLDLSRCAQASIAVSSDTQSLLLRRVSPIALGCTSDVVHRCIRDDDPASLMVAL ASPVSYMENQAMLYSVLQVAINYRAVMCIRSLLEEITAPLEDLSCGHQDALHQLVIQF SRSQPSLSAIGTLVEIFRELSPYQHHMLLVKDWRLRTPLHYAAEYGWSRLCKQLVRFM EPSAVLQPDKSGLTPLHLAAAHGHVSVMKSLLSLESVSLALSEEMAGALLHISIQAKS ADVVACLLTCGKGINVQDNQGRTPLYAAADGGDADMIRALLDISEGLHIDRTEDSYGR SPLLVASVRGHHEIAELLLYAGADKEIRDHRGWTAVEHASYRAHMTIVEALKPQFSLD LSSPLTPSIQEASANKSFTRQRPTLDYQESTSQGDLDHLTTVYVNLGSFDTSSGEKIL DMDPFIIDSAMQNGTGGVFLELSATQCHEQPYILSLPFVGDITETTWRFTTTDSDNMK LRFKLYEYADDTRASKQMLAAGIVMLSHLKGWFRPGRQSLKRESTVALNSPEGEYAGA ITFTHLVCFPYKVSGRERPLQKMCQSNQTQVVGHRGLGWNMTGLGRMQLGEHTIQSLQ SSLDQGADLIEFDVQITRDHVPVIYHDWQVSETGLDIPIHAMTFKQWMAISESQSNST HDHPGGRLPWDERARPKELHRQRSRSLCAHPDHPRKAMAERMKHTVEYARNHNKGNIR GECIHDAFTTLRELFQKFPDDVHFDIELKYPMLWECPYWEMEPYWTEMNEYLDTTLDV IFELAGNRSIFFTCFNPEVCILLRTKQKIYPVVFLNDSMVSGPAGDLRAISLQHAMRF ARQWGIQGIVMAAEPFVAAPKLIKHVRDQGLVCGSYGSLNDIPSFAEKQAAEGIDMLI VNNIRLISSTLRSMK PFICI_00009 MSFDRPSPPRAANRMTIWPTQYGDDIELSAPWPGHLSTQNINKR WTGAFDTEARANRMSYMVGNSLLPSAPTVPQVWPGHARASRATWINNRDYQNDAQLWD GSFEVEKRDSWIGRNSDRWSEDFEIEKEKARWREPLTKEQLDQADSALVSKDILEYDF PGYGTPEDPYLVRWIENDPANPMLFPKARKWTNAMVLAFAVFMVSIASSGFSQGTADI KTEFNVGQTVALLMTSLFVLGFAIGALVLSPLSEVYGRRGLYVWTFAAFVITSGVIGL APSISFVLAFRLLGGLAGSFTQAVAPAVIADMFQAQERGFVLSIFTLAGLMGQMLGPI ACGFLDAAFGWRSLSVMIAGASFPVWVILTLTFPETYAPALLKQRAAKMEAITGKVHV VDGMDTKSIGTQLRVGALRPWVILIYEPIVTLLSLFLSVVHGTLFLLFAAYPIVFQQV RGWPQGVASLPFLAIALGIVISLFYVALFDQKRYGKLVDKYQGKVPPEARLPPAMLGS VALPIGLFWFAWTNDPSTFWLISVSAGVFFGFGMVLLYMSLTNYIVDAYLGYAASALA ASTVLRSIAGAVFPLFTSSMYDSLGIHWASSVPGFLALVFVPSLVMFYKYGHVIRSKT KFGQEAARLAAMMDGGK PFICI_00010 MASNSFSLASKVKLPSGHLIPQIQLGLYMMSGREVLAAVPWALA AGYRGFDSAQMYHNEAEAGRAIRKFLEDAEQNTQGLDRQDVFYTSKLASNSESYNTVR RSIRKSVEAAGLGYIDLFLLHSPYGGPEARITSWKAVEDAIQDGEVKSGGVSNFGVRH IEELMASNPRIKPSVNQIEVHPFNTQEEIRAVCAKHGIVVEAYGPLARAMRMKNPTIL ALAKQYSCTPAQLMVRWSLQHGLVPLPKSARKERLVDNVKVGHFEISEEDMAKMDALD EDLVTDWDPTDAP PFICI_00011 MTTTMLQTEVLHPVTGISTGGRILTCPPTLDIPVEAQVSLLHDR SSYQGEVPGLRINTVPHRQRISTAAQIDFRVKLLGALRKKWEKPETEARFLEAVNRME TDGAALFGGLIDPSIFGELVEKYDKVQERAGNKAFMHSYVNLSLEHEFILGGSYVEAF SHPLLVALVSYLLGGSIRIVDFRGKNTDPISINAQDNMLHVDNTPFKEEYKVLLNWRR GEVKGPSGQNFTFLPWTHKGNRIVLKDKEGAPWSSEKDSVFVTDEAIDGLFEFQNQVK GAAAVVEARHSEQPLAILFPAGALVHHRYRTEDGDPRSCIITAFHLSSKHPGQISELA HVSDRKKTLIEFLVGYQDENSNDEFLDLISGESLRVETKLEELVQPQHPSTVLDIGSL ALKGEALVKWRQAVMAAPNPIWHRLNNGLRLSETDFGDIEMLTDNLAAVMDYDKHCNL QMVLYDDGREEIRKPSRKIVGERKKDNIRSRLRSWTPRILRGSFNAHDLIEPRTLRIL SNSMASLAEKAAEEHDTEPAAGSGKSPLVGQRKTLMSFSRLILDLGEAVERCEGVESF VVTSLFLFLAAEEIYSELSVTDQKAVEFVVVAFLRNYIATVLIVEGNVDF PFICI_00012 MSWPMPERHAAMTQTEDAYIPLEARPLRETICLFDLDGTLCPEK QLVSPEMRSLLRLLRTKCTIGYVSGGPILKQQQQAGSPSVSVISRFDFCFPENGATAF RLGAPLPGSSFVKEIGEENYKRLVNWVLKYIADLDIPIKRGTFVEFRHGNVNISPIGQ GATHAEMEDFQKYDEVYGVRKAMIKALSLEFSSLNLMCAIGGQACFDVFPAGWNKTYC LRHVEAEKYRSGLVYKDIHFFGDKIHVGGNDYELYHDERTIGHHVTSPKDTMRQLKAL FQL PFICI_00013 MEPYNNIPAAPVVRGVGGMGSYWSCATPEMCPDVERPDLFTDEE WRELYAKAKALFRTTDTAFDHSIRHQLVKESLTQAHRDRKFANLPLACERNPFDPDRV QWTGPASILGKLADPHLHGGNFELKSRHCCRKLLIDDTSQQVIGAELVDLYTNEVTVA KARFYVICGGAILTNGILFNSGIRPETGYNAVGHYLTEQTMAVCQIALKNSLIESTWS DPRCQEQYKRFPNDPLRIPFDDPSPQITIPVTEKHPWHTQIQRDPFHYNSIPASIDPR LIIDIRFFGYVEPSYENHVTFQEGYNDAFGMPQPIIRFRVGEAGLKRQQSMMDEFNNI VGSMVNIALSIGDFLPGGEPKVLPLGAATHICGTTRAGTKDDGTSVVDRNSKIWRLDN LFIGGCGVIPTQNACNPTLTAACFAIVGARKIVQELADIERSGKSSYIDS PFICI_00014 MKATDSTTIFGCQVSPNQPNKWRRQLRRILVPLVLAAYLLLSLR LQYPRASTDSPPSGSKDYSSCGNRSLGKVVVVSTGPSWRLDGLVEAANLTGIDVEVPA QPEWTNGEVDIFRSAALDPDTKKPSPNGRGLAKCWLGHLNVIREILSRGWATSLVMED DVDWDVAIKDQLSLVAPMIRNVTNSTSLSDSPYGSNWDLLWLGHCGEALPSSGHVLSQ IDESLPESPIYRKYDGSYGYFPPQLRVVHHTYAPICTYAYALTYNGASTIYQLAVGGK TQTITAGLYEYCKKGHLRCVSVNPELFHHHKKAGVSTSQIAQVEGWTSRAKPADITYT ANIRHSARCNSRTKGLVTCQDPMVERWITDSN PFICI_00015 MTQEHVYSPLNEDSDAPEKESSESIWQKIVPDKTIVHKWPLAFA LFNLILILLWTLIHFDVFSVEKQNDSQLAILENGIYSGRYDTALDQFHFLGMPYALPP LGHLRFRIPQPFDQSWEGVRSATEFGPMCTGYRGKSSWYPHSEDCLTINVMKPANVTT RELLPVAVFIYGGGIHGGGARDDRYNMKMLVAHATQHQMPFIAVSFNYRSSVWGFISN EQMIGTRGANLGFRDQRLALHWVQKNIAAFGGDPKKVTLMGASSGADAVGLHLTAYGG RDDGLFRAAIMQSGSSVVPFSLKGTSAQAAYERLVASASCSDAEDPFECLRHLPFEEL NQAYDKDNSSEVTLDMAVQSLPVFDGDIVRSYGTFSLPRFRFTAVPIIIGVTSNEGYD DVVPGLTSWEKLRHYLVSGSLRKYPKGMVERLLSFYPDDLSTEPLEPPILGIDDLRTF KRITQVLGDLSYNAARRLQCGEFSRVATCFSYVYDDFETLRSGNLHEGARHGAELAPV FQNYDGRGYSKSENIFKDRSSAYFEMSRAMGLMWAGFITKLDPNVAFDGTPWPKYNMD NPKNIVFNETGPFWTEQDNERRDATDYIISVSQSVLGK PFICI_00016 MAHQFSSVLSGNFTQFECWMDNYWLGVLRLTDIHFMPMALNGFL FLYFLVALTLLLKKQLKATKHGPKWASSVSATPNFLPARYQKSHELVSAFSSLVAEDG AGAWPPVATHSCWPMALRPYKQVYLEMIQHLSVETPSLDDGVNRERCDNFRSLMRKLL AERITIVNVTKLMQQMENGNLEILPRDQINGFYCVIANCRHAYRWATIPVVKVAQNEK IVDFPPELEIPWPFLQRHFGVNADSGNNTANVLLNFDDAGERVYKINIGRSDVIRNSE DTFFRMFRDVEIMGYPIYKEMIGAVVSFEEDDQDSCLEHLKNITSLLRNLLKIFYERL SESHVSRSVWLSYIQGFQGWGVGRITNGNFVKYEGVSGNHVLFFQALDAFLGLPPYLK EDALVCYIPLRQRELCIAFRDHSFWPKVNDEDCRPIRDQLVKIIKHMKRFRTAHRSRV MPYLKEPAPERLTMTAGKGVLQGPSPDESLRQLDVMMVERLQQTSDLLTC PFICI_00017 MEEFRDPFVLPPSVDREKFHEFISRAAQVVGIMNIMVISDPSQF SKQDYMDSSKSHDMFHVLEKEEFLSSAVVMPRQVPDVQDMMRLANEFEIPVWPFSTGR NIGYGGAAPRVRGSVALDLGRHMNRILEVNTDAAYALVEPGVSYFDLHDYLVKNNLRK DVWMDVPDLGGGSLIGNTLERGIGYTPYGDHWMMHCGLEVVLPDGSLIRTGMGALPNP QADKNKSPHEQEWNKSWQLFNYGFGPYNDGIFSQSSLGVVVKMGVSLMMNPGGFQSYL ITFPRNEDLHKIVEIMRPLRISLVIQNAPKLESILVAAALAGPRTKYTSSEKLLDDQE LDNIAEQLNLGRWNLYGALYGPQVVRDSLWSVIKSSFGNIPGAKFFFLEDRPDDLAMQ TRNTTFQGIPTISELEWVNWLPNGSHLFFSPIAPVTGDEAQAQYQLTRQLVQEHGFDF IGSFLVGLREMHHIVCIVFDRKDDESRRRAYTLIKTLIAAAAARGWGEYRAHLALMDE IAGTYSFNDNAQMKLNEKLKNALDPKGILAPGKNGVWPANYKKEEWVMPH PFICI_00018 MVIATVSPASTRSYHGTDSTYVLPNDATEQDRLDAQATAIVAMI GGVPVLAPIGTMQETTAKAVDVGCGTGVATMQIASYLPSATVYGLDISEVAQSSQIMA PPNVTWVVGNILHVDHDKKVGDDLVTCKIFARSSLDYIFGRMLFLGINDWPRYFSVAT RALAPGGYIEHQDLDWNFYRVGTNDCLSDNWEWHRRVVSAIEKLGMSARAGSDARGAM EEAGLEVKAVKTFEFSFVPSSKSPQSQPMGRYVQEKLVPQYPELLRKLLETETTPNEL SRLTKECLRDISCEEGIHQKYTVTLARKKM PFICI_00019 MTAEQHIAGPLCHICDEHFIDKSALAKHLLGKKLKRHPRCQECR KTFSSEDARIQHNAAKHASTVLTPSPVANAPMPSASASQTNAASIPTPQPKPTIRYRE NDYSILSLLDATNAENLLKAQRHSADCLVQHGYFLADVSGMTFHCSKQLDAPTTVFFR SPSPSFLTPKRKAVVLDCAMVGCIDGRDEAVQLCALDFFTGEVLINSIVKPWQQIIDW RTKITGLSPADMAIAMARGQTLVGSAGARQELWKHIDENTILIGQSLNFDLNVLGVSH ATVVDSQIITSTAVFKDRKVGRRWGLQQLCKDILGLEIRQGAGTIGHDSMEDSLSIRE LVLRCLLSPVSLESWAEKAKKSFLQERQNRRGKRKRPVKKPSRTPGLEQDFDDWYGQD DENEVLLWSDVIDYDMWPASPPSD PFICI_00020 MAWLWGLEAPTRTTLFKLLVIVFGVSMASAGEINFSWIGILYSC GGLIFESIRVVLIQDLLSGKGVTMDPLVGLYYYAPISAVINLVVAWETEWESFHWSAV GRAGVAMLALNMFSAFFLNVASMMVVCTITFKIKRLILI PFICI_00021 MTIEEVHCIIEAWAKLYAIHLSPDNPLKQNLPDPGASQTTFTDG SSSDARDLVYMQIFDNNGSIVGASNPHPHGQIWITSSMPDEPRKEQAQMKKYREENCG AHLLGAYVSLEMDKQERVVWQNEGFLAVCPWWAVWPYEVLLLPKRHVPSLAHLTASEK LFFSEAMLQLNRIYDNLLGITFPYVSAIHQAPLNATGEDLESSYLHVHFSPPLLFPSI KKFFGGYELYGEPTREITPEDASAQLRASLARLSEPSVKSTGSESPDEAGSQSTSCAK ESGGRKEKRSSWWRRIMGKCIRV PFICI_00022 MAFELTNALHLASTACASAFILSVSIRLWKLRTFGIRTAASWQR LLKAAFALLLSIVLFAYWTKGSSFDLQHEQSSQLSLLISTIAALGLSLLLFIEQQRPL QISDGITRYLFASVICDLVYLTVTHNVAGHGDVSWPIFVRCSMQSILLSFEYRARRTV VVVSSNHKYRQGSPGVLSRLFFWWMIPILLQGHRNIFTQEDMPPLDQDMMPELTRRAM IETWSQRDKPETPRTLPMALLRCLRSPFLAAIPPRLLLVIFRYSQPILIHRSIQYATA GPEASEISNGYWLVVCAVVIYVGIAVSNAAYQNALNRLKLMSRSALVGLIHEHTMKLA SVAYDNGAATTLMSTDADSLEGIGEMIHEIWAQVVEVLIGTWLLAGQVGRFWPLTFVL IYLCSHMSRFVAQHLHPGQTAWNNATQYRIAATSSVLKAMKIVKMLGYQDYMSTRIQS LRALELHLASKLRWIMVYYNASANALGIFSPAITLLVFAMNAASHGRKLDTETAFTTI AILSMITHPANMVMTIVPRVVGAMAGFDRIQTFLLRLPLEVHRRTLPQIRSTNLVWNS ATRQAMSAGPDVQIRQVQIGDSQVLLENINLDIAAGTLTIISGPTGSGKSTLLRLILG EVAPARGSVSVSTTHMAYCAQTPWLPNGTIKQVIHGSSDLHDEQWYGEVVNACCLSHD LGALVNGDQTEVGSRGLNLSGGQRQRVALARALYARLSVILLDDTFSGLDGETERTVF HNLFGPSGMLKRLGTTVILVSNSAQYFASADYVVILSDHTITDQGTWQNIKTKTSSIM KFDSGNSTKPSVALSANFNRLSAQLRAKEETELDLARQTGDTALYGYYLGFVKFVNIF YLVCDTSIYSFCITMPQYWLRLWTEVGNSTTTYYIMGYICFSVVSWVTTSAQAWVVLM RLAPQSGAGIHDRLLKIIMSAPLSFFSTTDNGSILNRFSQDIQLIDKQLPSALQTIVT QVCKLAMQIIVLCTAEIWLTILFPICIPLIYIVQKVYLRSSRQLRYLELEARASVFSS FLESVEGLETIRAFGWSKAITRANVRSVEHSQRPEFLLLCLQRWLNLVLDLLVAGVAT MSIIIAVVLREYVSGAQVGIALNIMLVANTTLLKLVENWTIFEISLGAISRLKTLQNT TPVEGGLSWGFEPPQNWPSAGRVEFQGITAAYHSDSAAIVNFSLDISPGQKLIVCGRT GSGKSTLLLTLLRLLELQSGKIMLDGIDISRVRLDLLRQRCFIAVTQDALLLPEETLR FNLNPDCSVTDKELIDALSKTGLWSHFAGSEKSVERGLLISSVGEHPVLDQKMSAFQN LSAGQCQLLSICRALVKAGGLRAAGLTPVVVLDEITSSLDTTTESNIYRIIDEEFTQN GHTVVIIAHRLDNLRTKMGRDAVVLMADGTMQEVRRDLVPSTISQLTGLG PFICI_00023 MADEDSKEKRRLQNRESQRRFRQRRQFYQGSSNARLNEEQGATG STWPPSMPSAAFYPTSVPFQNERRSSISTSQNESSAISPIHASQTTPRATQNWGSQRN LGAVGSVASPAQSAPQQFQSQQTTMAASQAPVIRDLPIVNGPADGEAHSLPLTDLEEQ RHTSVSMAQPLLPSFGSPSLGRTEDNSTCLSNEYSGSGELSKPTRYRQRRLRIGGFSR AEEMVLDVERLHNSAVDLGILEEDDRMLTLLREMRMRLGHFTRRLSLDDEDDLMAQEF DIDLS PFICI_00024 MDSSRHPFTFSLKEEPIENHRRLEIRVIGAGFSGIYLGIRVPQR LRNVNLKIYEKNERIGGTWWVNRYPGCACDVPSHSYQYSFVPNPDWSSFYAPQAEIQS YLQNVADRYGVMRFVSLGHEVQSCTWSADTMKWHLKICNLENGHVSDDEADVLISARG NLSLPTWPKLPGLETFGGELMHSASWNANFDFKGKSIGVIGNGSSAIQIVPKLQKTEG SKLSCFVRSATWITNPFGENIIHKLSLDPQDPKFSNHQREELANSPEKLHALRKAIEQ DGNTVHAICMKNHDLHRSIAESTRAAMRSRLSSKPDIAEFLIPSFAVGCKRMTPGLGY LEALTASNVDFFTDRITKVTRRGVILESGRQVNLDCLVCATGFNTSGVPPFSIHGLNG ISLAERFHPHPEAYLSLAVDGFPNFFIMAGPNSAVGSGSFTMILEAQGDYIVKCMRKV QKEDYAYMEIKKQQVIDWSEYCQSYFRTTVYTDDCESWYKSKGANGQQITGLWPGSTL HALEALRAPRWEDYNWENVPGVGNNMRWLGNGWSVTHLKCNDQGDYGGDPSWYLEPEF QHVPLPGKPEEDPAFKKRPFSH PFICI_00025 MSTTEIPTCRWGIITTGQASSWFVTELVVEHISNKARHIIQAIG SSSLIKGKEFAAKCLKDAPNQQPTIYASYAEVYADPHVDCVYIGSPHGLHKQHCLEAI AAGKNVLCEKAFTMNAKEALEVFDAARRKGVYVAEAMWLRHRPIVAELKKLLHVEKVI GEVYRTTSDFQMCLEVAELPPTSRFRDLSLGAGSLLDIGVYSLTWALLTLEGGTPPRD EKPNVVAAQSFVDGIEVMTSLILSYPTSGRHGIVTTSMQQSRRASSVIATIDGTDGFI EVEGTAPSHPSSFIVYPKWTTGCDSKPRGTRYNFPVSQQGFIYEADNTALDLASGRKE SLIMPWSETVRVMEIMDEVRRQGGTKYPVD PFICI_00026 MDETRNSTADVPLLSEEELKSHNPLRRSNKARQWRHISHGLFET ILIVTIGVLMTALFFVESGRPQVKVATVVPQFTQVKKFWDWEPDRSFVHPEMFGNPDL RDRIMKDWLSRLVPLPSRGFIDIPNPEHHDLPPPRRFEPGENKYMITVFHQLHCLSHL IRAFSAARLGDDTFPFDDNHLAHCFDYIRQGLLCAADSTLEGNNTMTDGAHHVCNNFN ALKDWAADNAASKIPQKLFIG PFICI_00027 MASEVLTTAIYTVRRMRLFCVRDVELKHPTEEGRHQAKVCIWFL YTMEIDESLRQGMPPLCSHGWFDWPGQNNSSDFLYVKCSAAALQLAIFNKLYGLSALS CRTKLKDATFTECRGLLDNWFLGFAPQNSERGNDMNVPSKRLRQLVMFHYHRLRLFIF CPWIDLQIDALSKGESMLRDPDQPLQECVYSAITVISSCDRESILDQNGRFKYGYLCD PSNNNDDDDDDDNNNNNNNLLHSKSKLLVSYMTDSLSYAVSFNKITLQPDAYLILSNH IVLSAFLQPEVISLILGVIDMKMQQHTPKQD PFICI_00028 MFPYGDSAARDTQDGNTLKKKVKRRRGACENCRLRKVRCSGGQP CAACHSRDEDCRYTSSAAPSSQSWDGNEQTQVPFTLEGASMHLSTPIGGSLPDNFSLD SVHFNQPQSTAAGTFSLPSDWQPAWTQNTFQGLSDTTINSDLGTLNVTEETWEDQISL LSDSSPSRVAWSTPRRMNFTERLSALEVHDQAPQANGELGIVSSGLPIPFETAQIAKP PTQNDELPKAAVDISFSVSALFGIPKKICQSLEPSSGAKIEALFEKAVSHASGTSGIS AVKLGDTADLGNIENLSEEFIDGM PFICI_00029 MASPRAYFWPRQKPVLLVLASQILAAVVNGLAKTLETGCDRVHP LQILNIRLLITGVACLVYLMITKSADGLWGPEHLMIHLFVRGITGICGAVGFYFSIRY LTLAEATALNFLAPLGSILLAKYICDESFGWVDISAAVAALLSVVCVLQPKAIFVPEA TTNPALNQEPHTTIGLLYGSLGVFGGMVSLTAIRYIGTKTNPVIGINYFAWLTVAATT VGLMILPNISWPSGTVQWIQLLLIGLFGFGMEYLLAMGLSGDVPATATVMIYSQIFWA VLFDWFNSKTVSNFWTWFGSIGILLSLSAASLSQATRPPAYSLLQMQEGEEMILHDRQ ATSTKRIIGASQIL PFICI_00030 MSRSAVITLREGGGNSDGADAADVTYLFRTDNHRYRGGPFKEEL NPKILDKSTLTIAEACRATSAAPTWFPPVKLRGRKFIDGGVTEHNNPAILAWHESNEM AHRPGDTTDSRRTKGPQVLLSVGTGKTKQPRRFGLYNLILSGRHKLTDTEETHSKLNQ FVQAEECLYRRFNVPESNSTFEVKGLDKVKLDACKKKSRGTFWRRLQSSDTTETGSFQ DAEAEQTRGGYKPHKYFYKTYEKIRSRAVQYCRSTNTVDNEDPVADIQTCAKRLFALS QQRRNDQDRWKEFQENPNPQSRAPPNLQSQNSLGPANQADSQPNP PFICI_00031 MGGKIPGLRTSSPSLPATPNAANTKSARFEIEDEDLDELAHSIP DSSPYFTQPTQIVNRTTQPTQIINRTTQPTQIVEKRTTLQRSSPPIPDTPRTVIEVPA SSPFQTQSAQKRQAPNPPKAGPAPGRLASAMAPAGTVFRAPAAAPRRPQPAVKRQFLS NIASDDDLANDYKRSDSSDDDQKPMRGEIKPSSFVKTSDNKDIDPNEIRDIRLRYLTR QVHKCVVKSNSKITYRQCRDALQDNGLQMAPAVESLLDETRTKPAASTASFGSRSKTI ANQSQSKSWTQTKLTGPSKSRAGSSSPPPSPEPAKNVAPRRRLVKGRRNRSASPDKVF SVSSSHVTSSAATSPGRSSPDKPGRMAARASASGDSQSQHAKNQRQRAAASEVITIDS GSESDDDLPSLSNLGSKKRKLVSASEKTPQAKKRGRLVSRKEKSMSEPKVAKTTPVAK AAPKVIELSDGMETDESEPFPTDIDTDSDASGVSEIRGPRKEHSKVLEYLNTCTPEAL ARMTGSTVKDSQLITSKRLFESFDEVEKIKTKGSKAKSKQGNIGEIIIDKLDTWFKAF DAVTTVINKCIERGDLIKNIMDKWDMDTNGKPKSDSTRTYKALPIAERPALMDENVQL KSYQLFGLNWMSLLHKLGYSAILADDMGLGKTCQVISLISHLIDTQPDARPHLIMVPP STLENWANEFERFAPSISLHIYSGNNRRDIDPLDIAEEYDVVLTSYSMVERKADDLHW LSELKPQVAVFDEGHKLKNPNTILYHHLSRLPSKWRLVLTGTPVQNNLKELLGLLSFV EPSLFESGVLQKMHTIFEAKVPNKDILNFAALAKERVSNARTIMKPFILQRRKDEVIG LPKRTDHVELIPLAGSQKVLYEGIKDSFLAGKTKARGNKDKGNLWQQLRKAAIHPQLF RRHFTDEMIIELTDLLWKAPIMLPVQSKEPRFKTKWREELMAESDFSLHLLCKDYPKY LSRFDVAHKSWEEAPKVKKLLELIRSYQANGDRCLVFSRYEMVIDILRETLHYAEIPY CELTGRSGVAERFPEIERFNENSNIPVFLLTTGAGGTGLNLTAANKIILFDQSDNPQE DVQASNRAHRIGQTREVEVIRLITEKTIETMIFNSCIKKLTLAASVEGVVEDEESLEE ECRKKMLLGEEEEVEVPPSQAIALSQA PFICI_00032 MASRRIVSTEKSILEKDDSIGASPAANEKSNISPAVPADVIVKL LAFTFAMIVVPIGSYYATVNTLFKGNSTFAGALAAIMANVVLIAYVVVAYNEDQSEQL EDKKKDTKKQR PFICI_00033 MASHEYNRHPLPAPPSQSQQDQQQYSYSTSYNNQSSLPQQPTTQ QQYQSRQQQQQQQQQLSNAQSRQTASAYPPVSQQQKTERRPRSRGFSFRSDKSQKPES ANGHSRKLSGGALHETHAEKEAKRLNTKADPTLAMQEAEPSAVARAAINWDEKPPLSS IQHKDASGVVIAEPDRSNPTRSKWERPLDTIRSFEAAFDDGYSSRQSVYRADSDSQST WNRRSSYYGNSNGPRFPHEGYYGGRSGSTQRPDSVNYDPRSGGPRDSYFDNFDSGVGG GPYGGNGPRNRHSRQPAEPYMNSHPAVNRNVYPSPGNHRSYETVASGSGSASYGEHSG YQTDPTSSENSSINRRSPQKRQQEPQNDYGISFGQTPGYRPPVLGEPASQPRTMPDNS HAPPPPPKNGGGTLLRKSSKAAVSAEAQRPDMGDKRKSWFTRRFSKNA PFICI_00034 MTTPDTQVLDPGVAGPEGLPDKNHISTETNPTDDRDEQQAREAA KLRPEREAKFSDYYRIFSYAKTWDYPLMALAGFASIAAGVTMPLMNVVFGALTTDFVS YFSTTGSAVSQAAFESALNRNALYIFALFIAKFGLTYMTKFSFRIIGIRMSAAIRLHY LQSLFGQTIHVLDSMPPGAAAGTITTTANTLQLGISEKLATFLEFLATIVAAIIVAFI KSWSLTLVTGSVIIFIMLVISVLLPFILKGQSRQTAAETKSSSVASEAFSSIRMVMAC GAETQTTKRFAVWADAAQKHGQRTSPVMALQFGLIFFGLFGSFGLSFWYGTKSVTEHR VANVGDIVVVLMSVMMMVISLERMSTPLIAVGKAMVAACEFFVVIDAPRPYRGKLKDP EVSATDDIIFQDVDFAYPSRPHVKVLDGLNLTLEAGKLTAIVGPSGSGKSTIVGLIER WYTLDDQYTIAKAIDTSKEKAKQKKKAKGQTDDDEVNEDGNKLTSDEESGPPVELKGS ISTSGQELKDIELKWWRSQIGLVQQEPFLFNDSIYKNVAYGLIGSKFENESEERKKEL VIEACKEAFADEFIDRLPDGYDTMVGDSGTKLSGGQRQRISIARAVIKKPKILILDEA TSAIDVRGERIVQAALDKVSQGRTTVTIAHRLSTIKKADAIVVLQKGRVVERGTHEGL LQNEKGVYYGLVHAQQLSLGEPTEAADSEAPEEEDLGAVLSREKSAAVSDHDDVLQKT GYQRQGLIKSFGRLLYEQRDRFVSYALIIFFSMCTAVSSPLQAYLFAQIVVIFQYDPQ SARFRDEANFWSLMWFVLAICIGFSYFVVGFVSTHLAHYISATYRKQYFENLLYQKTD FFDDEDNSTGTLTARVGGDPKQLEEMLGMNMALVYNSIFTVIGSLSIAFAFGWKLALV ALCVTMPLGLSAGYFRLKYELEFEKMYGAVFAESSKFAAEAISAFRTVSSMTLEDTIA ERYNRLLNGHVGSAFKKARWTTLIFALSDSISLGCQALIFWYGGRLMATREYDPMQFF VCYMAVIQGAESAGQGFSFGPNAAQASAAANRILSVRELRGNKDDPQSTTPASIPDPE GGIKIELRDVHFKYPTRNVSIFKGLNLTIEKGQFAALVGASGCGKTSIVSLLERFYEP KSGQILANGTDVSQLNVYQYRRHLSLVAQEPNIFQGTLRENILLGVDSAKITDAQLHA ACRDASIHDFITSLPEGYETQVGSRGVSLSGGQKQRVSIARALIRQPHVLLLDEATSS LDSESERLVQAAFERAANGRTMVVVAHRLATVQNADVIFVLGEGRVLERGNHQELLRK KGVYWHMCQSQALDR PFICI_00035 MDSAAASMELKDNTVIVVLGASGDLAKKKTFPALFGLYRNQFLP QNIQIVGYARTKMDHAEYIKRVRSYIKTPTKDLERQLDEFCQLCTYVSGQYDKDESFQ ELDSHLKKIEEGRKEAHRLFYMALPPSVFTIVSQHLKRCCYPKNGIARVIVEKPFGKD LASSRELQKSLEPDWSEAELFRIDHYLGKEMVKNILILRFGNEFFGATWNRHHIDNVQ ITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAEDIRDEKVRVL RGIPAIEPKNVIIGQYGKSLDGSKPSYKEDDTVPKDSRCPTFCALVAYIKNERWDGVP FIMKAGKALNEQKTEIRIQFKDVTSGIFKDIPRNELVLRIQPNESVYLKMNSKLPGLS MQTVLTELDLTYRRRFSDLKIPEAYESLILDCLKGDHSNFVRDDELDASWRIFTPLLH YLDDNKEIIPMEYPYGSRGPAVLDDFTASYGYKFSDASGYQWPTTSAIPPNKL PFICI_00036 MPSEAGHRLYVKGRHLSYQRGRHTIHPQTSLVKIEGVDDTAAAN FYLGKKVAYVYKGQKEIRGSKIRVIWGKVTRPHGNSGVVRAKFSSPLPTKSFGASVRI MLYPSSI PFICI_00037 MPRPTTRTEVLDHLRAAVSRGEAIVGAGAGTGLSAKCVENGGGH LIIVYNSGRFRMAGRGSLAGLMPYGDANKIVVEMAIPQASEVLPIVKKTPVLAGVCGT DPFREMPYFLSQLKAIGFSGVQNFPTVGLIDEDSVFRQNLEETGMGYGLEVDMIREAR RQDLFTCAYVFSARDAADMTRAGSDVIVAHMGLTTSGSIGAQTGKSLDECVSRIQEIR DAAVAVRDDIIVLCHGGPIANPEDATYVLARTRGVQGFFGASSMERLPTEKAIQATTE EFRQVKIGKA PFICI_00038 MSKSQDEESGPRVLLLATCDTKMEEIAFIHNRLTSIDASIQPIL MDIGRTPTTHSLINISQSDILSSSDQTRQLASLPRDEYSSHMIQAATSYVRTLHSNDP LHGVLGIGGSTGSSIIASLAQNAVPIGLPKLLVSTMASGDVGGLVGGVDLTLMYSVTD IAGLHFLSERVLGNAAAAVAGMALACYRADNSAAAAAGTAGRDHHHDGSRLAENKADG RNRNGGRKRIAITMFGVTTPGVDAIRSILTAPPHEAEVVVFHATGSGGRAMEALIRQG EFDAVVDLTTTEIADEVGGGVLSAGPERLLAGAEAGVPMVVSVGACDMINFGPRGTIK AELLEAADRGERRLHIHNPMVTLLRTTRDENKRIADFIVGKLKKARRKDMVKVVIPTE AISMIAGKGGPFEDREADEELFKGIEDGLKGSGIDIIKFDGLGINDEKFAEHVVKVLA SINLS PFICI_00039 MASRHPEELFIALLRSTYSNAGHGLVQRRPAIAPSKLPTATRGL SYLALSHTRPLRSAQVQRLSASNERRRGYAVASKPKQPPKQPPPQQKREPKRQIAVLG GGITGLTAAHYLARSAPNTHITLYEASDRLGGWIHGVPTEVKTPDGQNAEVLMQRGPR MLRSGASTPKYDDLVLYDVIANLGIQDQIIYPKGAAESRYIYYPDHLVQLPKAEPSWD NIIKAIRSYFSESLWDGVFNAASGWAMRFSRTTDVVEQKRKEITNKAAAFDKDETVAE FLGRIFGDRNSPVIDNMVSPMLHGIYGGDVHKLSAKHTIFEKFWLQDMYPLYNGEAWV WRKDLHLHNDILDGPNRRAVIQMAERGTHHNLLAFRDGLVTLIDALTTDLARCKNVTI RTDTPVSALELKGNKIVVSKAGNEKQSLMYDQVLSTLYAGHLAQIAQPADSLPSLADI EAVTIMVVNLWYPNQDLLQDNPGFGYLIPQSVPPDENPECALGVLFDSDIEMGNETKG TKLTVMLGGHYWSDWSILPDEEMAIAMARSIVERHLGISQSEPFKAGAKMCKDCLPQH TVGHVDRLRKAHYELSAAFQGKLMVAGPSYTSVGVIPAMRAGYDAAMRMAQGHGHPWS TRLDEDGGVWHWHNDAYVRAKKVFGNVSRDEPMDHVGSTGLEWTTESQILQMSAMPAK YMFFKKFTGPGERFLDQEGNWRVDPEALFKIPPDLPTGEEEETVQPPKGNDK PFICI_00040 MSVEIAMGTPLAEALNTAIRPKLVECGWASDGGDDSPLSEYILL MLVNGKTQDEIATELATDLLGLGPEDETVAQFVQWLFEQIASFNAQMNGGSAPASVPQ GGDMAMDQDMDFGSNDPLAELNAPTGPRSMRNGDIRGGRGKRILGQMNRAMDRTHDSV LHRVRGGSGNERINSHNRQPPSGPRGAGRMGNRGMNHRANNIAHGMAAQMGMAGMNMG DPNFMMAGAANGQGEIFALLQQQNQMMAALSQQLADSQRQNQHMNGRGRGGRFDRNDR GRGNRRGGPHGGGHHGRQDESTNGAGEGEDVDMGGERQPANPETTMCKFNLSCGNGNC KFAHQSPAAPAGITVDVTDVCTYGAACKNFKCTARHPSPATRRAHQGEQECKFYPHCT NPKCPFKHPEMPPCRNGGDCQVEGCKFTHLQTMCKFNPCTNRYCPYKHEDGQRGTFPD KVWTANGSSNGHVSDRQFTSNEAEEKVLPGSEHVAMDEEIA PFICI_00041 MMRSLKIVSAVLAAAVPTFAVWPAPESISTGDQTLWIDHSIQVT YNGGIVRWSSLSHPSCHYGVIDTNLGRPVPQLPWGDDSASNALMQKNSTFSSQDVVLG GVCRTLDAILYQGFIPWKLYARNAVYETQPNATQGKTLITELEITQTGTDNSSTWKPV GGSVDESYSLSVDLQGCAKIEAVSAVGILRALETFTQFFYKHTDGSIYTKLAPIDIQD APKFPHRGILFDVARDYMPVQAILRTIDGMSQNKLNRLHLHVTDSQSWPLEIPAIPEL TENGAYAPVAIYSTKDIDYIQRYAVLRGVEVILEIDTPGHIGIVAESFPDLITGWGAA PWTSYCAEPPCGQFRLNESKVDDFLDTLMDDLLPRVSPYSAYFHTGGDEVNFNVYNLD PTVATNDSSVIVPLLQKFTDKNHERVRKAGLIPFVWEEIPSSYNVTIGDDVVVQSWLG NDAISKLTSDGHQVIDSNYNFWYLDCGRGQFLNFENGEAFDTYYPFNDWCGPTKSWQL IYSHNPVANLTDEQAKLVLGGEAAVWSELIDEQNLDSLIWPRASAMGEVLWSGRLTAS GENRSAIEAAPRLWDIRERMVARGIGASPVQMQFCTQGGNGSTCSWPV PFICI_00042 MGLTELIFENVSFKGLLVFLSVSYVLYRIATSFEKRQRLRRLAG APAPKIKARLPWGLDIVKRQVKATMSHKNLESWYTLFKDVPGMTAEGKIIGRRLIFTS DPENIKAILASQFGDYGKGEPFHREWSEFLGDSIFVTDGDKWHASRQLIRPQFVRERI SDLDCFESHLDTLFRAIANGQALNGADQKVDIEAGNGKTVEISDLFFRYTLDVATDFL LGLDVQSLTTPRQEFADAFNEVQRVQSIRARAGPLQSWIPLKSFRAGLKVINKLCETY IDRALQLSPEELATRTKSDNGYTFLHELALFTRDRKVIRDQLVAVLLAGRDTTASTLS WTIYELSRHPECVRKLRDEIIHQVGLERKPTYHDLKNMKYLQNVMNETMRLYPVVPFN VRLALQDTTLPRGGGPDGSQPIPILKDTPIGYSTLVMQRDPAFYPPPSEKFAHYLEYS PERWFHWQPKPWQYVPFNGGPRICIGQQFALTEMGYVLTRLFQRFERVESFMHEVDGG QPTLKAEIVLQPGDGVKVAFWQARK PFICI_00043 MEETALPPRAERSDSSSGSSMDGHEVHEVHEGHDPEIQMPVPTR PPLPSRKSSGTLIVPRDSNQVGPIPTELEPGDVRAMSPRRTSEDLENMGREAREELHR HAKALQDSLLMIFSRIEAVKEEHDKLDSNNKFLQKYIGDLMSTSKITATSSRGRK PFICI_00044 MDLNALIPCQVQGLLAKIKRYEEQLEASPAGEIQPVLHERLETD EKTKSKMADILWSQSASHDYVNSASRNESSGMIIAENGPSPGQLSQSSQSSISQAERA SGPAFERRVRSLLHSHIEYDRDAGDNSNVQLGLDAIEHPEPAPPIWTSTQDLLRKAHG RPDLPSEQDSHRLLGLFLSYMGVNQHYLDPRTFVDTMAELFQSPTSRLHQMKTMWFIQ YLLIMAMGKLMDTDTETSGPHPGVSYFAEAMRLLPQQYELGSHGVISVEILCLVALYL QWCDRKHDAYLYVGNAVRLAIALGYPLPAREQQCPPSQKAHRNRVWWTAYMLDRRIAA SLGLPVAADDRQFATELPLTSPGFASPDALGINVRIARATGDIMTSLYGNAAVTEMEL VRNIQAILSSLYNTGHCIPTEYTVDFASSHLIVSRTAASLYLMLFQAIILCIRPVLLQ RVKEKVISTLKRSPVPEVPVVIHRLCSTCKESASKSLRIVSALREQHEVARFGFFDLD QTFSAALTVIMIGFVDGTAYSEVSKELQQATSVLEYLSRAGNSSAEQRLIEIRNFHDH VWPKKSAGRDKTMASPTNRFDNTLPVLEHSSPDERPRQSTSTSGEPTSASQAPPTGDL GVQLEIGADYAFNLDLGEEADGIYSSFHDPQLPLTGVDHLDWAELEKVFASTQA PFICI_00045 MSPTVDPDFIPSTKHSHEPSQNPVRVEQAQKKKMKRKPKAQPKT PAKPAGPITSWEAVWLAYHDVRAKYPRLHNTPTSPHLRVLLNLPAVRELRFNEHYGRR HEFSLATTTPTKNRNLKAALTQASAELSEQTCTRCANHKNPWDVCVGGLGCAGCTYNG QRFRCCLPEPVPPSENQEIAAESSGGLVLGHMGSTSPLRAIAEESLGLFHLRSGRVLS QIASEVPGSSDFEDQPNRRHTGSSEGHAEPVLPTTPERRRQIQAMTDTERMGALRIAT LDLRLLYEVMLSEGKN PFICI_00046 MAHQNKTLLVIGAGPGIGRSITTLFASNGYTNVALFARSGNGLA GEQKAVEESIGSNGKVKTYQVDAADTEALAKALAEADADFGKPDCIFYNAARVRPSEL LTHDLKEIEYDFKINVSGLYTVSQHYMPHLLDLAKKDPKAQPSLIVTSSCLPLQPVPQ FFALSLVKAAQRNMVQSMNMTYTQQGVSVGLINVGGPVTADHPTRNPPNIADKSWAWF SQLREKPSFEVLIE PFICI_00047 MVLHEQFAWTSSPLIVNAPMGDFAGPDLAAAVSRAGGLGMIGAM LDPSVLSTQLVRASELLSDLKEGSGSSSGSSSLLPFGVGLILFALTNRRAQVIQVLQQ HPPAVVWLFAEPELRDYTVWAEAIRQALPGTQVWIQVSSVAGALELARSAKPDVLVMQ GTDAGGHGWERGAGIVSLVPETKDDLARAGLPVRVAASGGIVDARGAAAAITLGAEAV IMGTRFLASKEVEAHPEYQRQIIEARDGGQATVRAVIFDELRGPNIWPTTYNGRALLS QSYDDHIAGVPIEQIRESHTQAKAGDDKGWGESRRAVVWSGTGVGLVKEVLPAAEIVR EVREGAKQVLQKASSQWA PFICI_00048 MKSSLFLSALVFALSASSQATTYDTTWAGPVRIAERFNGTAGGF DRVEATLVMPELSIPANPRQQSDEYTASFWIGFSGFLSSATALSGLWQAGVVMSIWEN GTTEYTGFYEWVPEDPIQVNATDLAISAGDHLEVILTTTNNGLVGSVVMTNLNTSQQF SYSQDAPVSWRGPTWPALGTSAEWIMEAGTYLNGPQYIFPDWSNATIIGAKACYSADS ACFPPVSADNTSLNAMTAVYWNDTQTLYTKSYVENDVVTIEYVEEVVDLS PFICI_00049 MASVRTPRKKTFTGCWTCRSRRVKCDEQLPFCQRCRLFGVECEG YGVRLTWLLSDGEGQFQQDVDGNAVNTQSARTRRSMRSFENAEAWIAPQCSDSELDAM LERIDDCPIRSSRLERGLFSVFPLQRDSPCSQSNLNSCQSSSVQDPEESIDDLDTISI NVTRKVTNLVASPLASSVPNRQTLHLKHGLTPLSQESEPRQLAVEDSGCALLDRVEQD RARSENHTPSLVQAGISSLPKPTRHLDSLETPSCEKRLIHHWVTFTSGKLVLVDEPHN PCRSMMLPMALKGVMMSPSESTADVAVFHAICAGAAYNLYELGGRSNEQDRALAWKHD EQAIHHLRHNLAQPDQHHTKSLAMAIMACITVEAISGTTGRWRTHLDGGIAYLTELRK TAIGLQISLAFQVHLIPMAILCGYSVPAELKSFLDDGAEKLEFSFPYYGISQSLLRNL DRINAFAVALDRNVPHSDLDRFELQLYLDFPPSADDPNPKEHSAIVHYMTQAFYYALL VHYQRSIRHSPLEQVQALVEKGIAQLELIEQATQDSAGSVMMWAPLVLGAECCSLGLQ RRMSAWFQKKRRLGMRNVAVIEDMIKDLWEKRARGDLDADWQHLIAQEDFDVFRL PFICI_00050 MPPTIRDRGSKAKSTDTNDSPTAEIDYEGVPLNHFEFGGSLGTS ALIIGFPLLMWYMFVGAKYYNGNVPLPSDDQTWTDFVYHLASLAYTGAFPTRKAWIIY WSFFAMEMAFYYVLPGVTGHGKRLRHEGDRQLKYFCNAYVSFYTTILIAAALHISGLF PLYTIIDEFGPIMSVAIFSGFINSIAVYISAMVGQRTHRLTGYPIYDFFMGAELNPRI GILDLKMFYEVRVPWFILFLISSAAAVRQYESYGYVSKEVGFIVAAHFLYANACAKGE HLIITSWDMYFEKLGFMLTFWNMAGVPFSYCHCALYLANHDPSEYQWSTFPFVLLFIG YLFFYWVWDTANGQKNGFRQMERGQLLDRKTWPRLPWLVIRNPKAIETTTGDRIMVDG WFGIVRKPHYTADMYFAISWALITGFRSPFPWFYPVFFCVMIIHRTLRDVAKCRNKYG DAWKQYEQAVPYLYIPYVV PFICI_00051 MAPKFDVTPEKRASFLAFVKRQLFITPPLPTRDDADLAGKTAIV TGANSGIGLECSRQLLDLGLSRLIIAVRSKSRGEAAKRDLLVGRDAEKQRVEVWLLDL EKYESITGFVERAKELDRLDIVVNNAGMMKKSFGLVESTGHEYLIQINYLSMVLLTIL LLPIIKEKQTPAEPGRVVLVNSDAAAQAQFKERSAPDLLEAFDQENNFSDQERYWTSK LLGQLFLTELAKRVPSSVAVVNAPNPGLTRSNLGGDYKGTVAGFLAEHIFLRIFGRTP AVGARSVTCAAVLYGQESHGQYIEDGKIQPLAPLIYKPEGAKIAERLWRETMEDLAPY GVSEIVDKLTGA PFICI_00052 MKFTPVVLLLATLVAALPEPAPAPEALAEPEPVPEPKTAVSTLP ILPPVDLPNPLSLLTVFGVCITGCIEKSIVIKTDCAKTPTAKCVCPSIKEVLIDSSTC FKTCKPNNLLVLAAVACKLKGIDI PFICI_00053 MARTKAAAQRSGASSHPSKRVTPIVRNGVHHNGKPKPAHPNGVS TDAANGTEKETDAESSTDEEPQDETMKCEIKPLDRRYDDKDGQYFTERAVEVDLPKQK DWWRLFAFCLVRNYDSEDELNSTKLFANAQPIRQLLYDVIGNYPSDPINVDDVQIESP YRSLFFYREELEETGVKRFEENDDQESLAHLKLLLSWIDTHFETERASYKRCIKGSPK AISYDHLWTIFRPGTTVYSEILQQPRAFRVVNLSYDTGELASLVLQVSFVDFDGERVG SRKSSLCIPKYSGVQDIEDLPAMPLDFIENANEVRDALMERGKKFEGYVGQHYLQYDG IAVKRIQDGYARFNVTGRVMIDCKTYHRIEPNDSFRVLGLNNSDDSSRRDRLMKRVTG NNEFVSDRIVHDKLSDDDLLLCNATVRGYSFTVKKFLEFFADQLCPIEWNTRCFDDLV LDVEIKRTVQALVATHAEKREAFDDIVKGKGQGLVCVLHGPPGVGKTLTAECVAEYVQ RPLYMVSSGDLGTNSVDLDKTLTKIMDMTSTWRAVLLIDEADVFLERRSLHDLHRNAM VSVFLRVLEYYAGILFLTTNRVNTFDDAFKSRIHIPIRYTELSIESRIQIWSNFCKMV PGGVDIDDIGIATLAEHDLNGRQIKNVIKAAESLAVFEKIKLDLKTLQQVTKIQATFE KDLTNLSGVDYTAPGSSRKDADSRNMFL PFICI_00054 MLRYGFAAGLLAVQLASQAVTGVHSLISNRNSATDNLQSFSYKP RIFILSDILNEPDDSMSLVRYLLYSNEFNTTGLCATTSWWLQNETHPEEMERIINAYG EVVDNLNQHVHPSAQYQSPDELLQLVTSGPKIYGSAALDEPVSEGALRLIASLQASED PLFVTAWGGTNTLAQALQYMDETLSESEASALRSRIRLYTISDQDDTGAWIRARYPEI FYIVSVHAWNDYGAATWTGINLATCACVDNETVLNPWLDTNVRLGPLGAVYPQIEYGM EGDTPSFLWLVQNGLVYRDRIDWGTWGGRYNLPQAPVDVAKGRAHISNQYVNGLDTVI GADGQSYSTAQATIWRWRSAYQDDLAARMQWTLTPNFTDAGHPPVINVNGHQGPDPLF LTVAPNQTYLLDAGLTVDPDAGGNGNLTFEWVLYPEPTKFLTSYVEVPIAAVNGTGEV LATNDAGFANATLGTAIQFTAPVEWTNPNTGVSMGFHILLQVTNSAGKYPITRYLRIV CEYES PFICI_00055 MATSMQDPFAAYKQQQDQLKDGQKQTEYRENLNSRLMCSECRED PPNLTEEFSSGDVVCATCGLVLGDRIIDTRSEWRTFANDENNDDPSRVGDAMNPLLNG SQLETSIAFGEGGRARELHRAQNRSQLDKATKGLLAAYGDISSLCDAVHIPKTVQESA KHIYKMTDDAKLFKGKSQESIIAGCIFIACRQARLGRTFKEIHGLTNVSKKEIGRTFK QLEKFLMTQREHDAANANLYSLDTYENTNSTGAEELCGRYCSQLNLRNPHQVEKYSRL LASKSSTVKDLAGRSPLSVAAACIYMICALLDDPRPSRDIATAAGVSDGTIKTAYKFL YQAKGDLIEKSWLKPDGPGNLAKLPTN PFICI_00056 MAPQPHSNGNLLSSEDHGVQKRGEDLALSPWTIVAIIIALLVVM STIAFTALYIMRRRRVIKESQGLPAISGRHEVMRRRKGMTAAERRAAEETERSLMIRK SLASRSTLHSVASRDTHILDTARSSRVVDDDGDEHQHDDEIPELPTSTGDWKEWEAHT QAERRHSRLRELSAGRESHPAFAQELEDLPVPAQTRSPSPSRELKGPRQLLPLPSLPS SAPPSHAL PFICI_00057 MYSHTLCRRAVSSAVSAAIRQPKRHGKFATAAAAIATNARRCYS MAVDDGISNLPLAGYRVLDMTRVLAGPYCTQILGDLGAEVIKIEHPTRGDDTRAWGPP YAPYTSDSQLQGPGESAYFLAVNRNKKSLGLSFQHPEGVEILHKLAAKCDVLVENYLP GSLAKYNMDYAALRKINPGLIYASITGYGQTGPYSSRAGYDVMVEAEFGLMHITGSRD GPPVKVGVAVTDLTTGLYTSNSIMAALLARAKTGRGQHIDVALSDCQTATLANIASSC LISGKKDSGRWGTAHPSIVPYKSFKTKDGDILLGGGNDRLFGILCDGVGRPEWKTDPK YAVNAQRVANRVELEGQIEAITAQRTTQEWLDVFEGSGMPYAAVNDVQGTLQHEHTIA RNMVVEMEHDQCGTIKMVNTPVKYSESEPRIRSPPPTLGQHTDEVLRNVLGFEQDKIA GLKDKGAIR PFICI_00058 MPGFKSNFLLAALAGVSLASSSGHVSQSTKTGCSLPTAHLRNGT YIGVTDSVHDQEYFLGMPYAQPPTGSLRFAAPQPLKTSFSEPKYATQYGYACIGYGSD TTNLGTNVTSEDCLTINVVRPAGTQPGDDLPVGVWVHGGSYVMGSSRDTRYNLTWIVE QSVKEGKPIIAASINYRVSYWGFLFSEEMQNAGAGNVAFRDQRMALQWVQDNIQEFGG SAKDVTIWGESAGARSLGMQLVAHDGNHGSLFSRAILESGSPVAKFANATTWQSYFDA LVSKTGCSSSTDALKCLRKLDWQVLNSFFNGSVSLGVTAPTLSAVVDGDFMSAQGAVL LNEGKFARVPLLLGNNFDEGTAYAKTGINTTEQFQSYLSSLGLSADQVSSVTDLYPDD PSVGIPASYPDRPAAYPYGLQYKRVAAFAGDYQQHAGRRHLAESYAGAGLSVYSYLWN VYVNGIAAIYGATHFQEVAFVFDNTDGLGYSTNPFAGKPETFKTLADLMSKMWVSFMN DCDPNLDASQDLQSVAWPRYTLDAPNNLVFDVNVTGLSYVSEDNYRKANIAYLLDSVF V PFICI_00059 MIMSWFSLTVAVSILSYIVTLGFGKHQSTIDHEDLKKINLNTIL VAAFGIISTCVSKTSFALTLYRIIINKWMRWFLLFVIITINVSMNLVWIFGFAKCTPV QKVWDSSVEGKCWDKQALLKYQLFAAYYSSILDFVLAFLPWRILMSMSMLLREKIGVA VAMSLGAIAGICGIVKAVMVVSMTSTDITYDRVDLTIWTLTEPAVTIMAACIPVLRML YRELKSSHRSYMQQRSTGNRYHNGTDVTNADKSKRSTKYRHDGYGRNSVLIMSTNGWQ ESQEALQDLPSSHGERPPKPAGIVKTAEINITSHRVSKVTDEDSFELASMESAYTKSS GRNAEVPEAGFYTAH PFICI_00060 MSGFEVAGIVLGVLPLAINTINSYRTTLSSIKTAQNDLNWLRRD LETEQVRLQNTCELLLHDLVPLSMVDTMLKDPFGADWAKYDDKLRERLWLSWGTFQAQ VQLLSTAATELEKKLCVERNGAIRLNDYKKILRELRHKTSFTLKKGDYEALLSKIKSS NTVLHGLAQQDINMKSNRQHRSQARVIKLLRGLSESIFNAMRSAVTCDCADAHKIGIE LATRHAVLLPDDEEEEVAAKFLYNVAIDSVKAPTEWNRAKLQLDKSTPVPEIIAALPD PVFMAPEKKRKKVAWRAVISSFESQPQTVPVQIQMKTSETISTLRVDSPCQSQATSLI SNLCKVFHGKRKALSQSESYGHISTGQRCFRLSPPVEEPSKFSHTVTLRDILSGLTGL SEKTIVPFGYEERLQLALTLSNSVLHTYNTPWLAKMLTLDDISFLWGAEAQIHQEWWK NPYRPFVTQAIPLSSWEASFIRVVDCPRMINTTILSLGALLVQIITGSVEESLELPTT MKMEDFYARRESASRLEAKVIENGGPNYAEAVSWCFDHAIGPSGFQNEKFCQEFYEVV IAKLEEDAALLEEQSRL PFICI_00061 MRPAKAKGEPEIASLVIRGRKALVSLVENLEPVLESKSKVGSHL ARFNLWSASFAAHRAAGKRALEYRLRDASSVKNQILSLLRDLSTVIDQAQASARGQSD PSHDDPAYDEIEAYFLAGDSATQSPLDHSVAEIGHIVDCLLRLSATINNPAPHDQFQS RVGAGISGYFEPWDVRHVQEKFKDLDPAIAERLGKAVTMRRHYFRYREEHHHKLSEGL EDDNVAGGDETTVASSIPEHLKDLPGISSSIYLDNQSEFSVTSYAPSSAEPDQLAVPP IPQEHLEGPFLCPFCKMIIQIDTRREWKEHVFRDLRPYVCIDKTCPTPNRQYARRSEW NRHMNQDHWKMWYCLFGCLRIFPTRETWREHYTSTHRKEVTEQELKILEEESIHQSLD KSVGNCPFCMSVDIEGPRQYSSHIGHHLELLALFSLPSIDGKDEIDDEVVFSHETMPD DRAEHHDDSSSSAADSNTLLEAEPEQILGSISPQDRLEASDDFEAAVGRQKMEKSDKQ DALLAKKREEFRKKDKEAREAVERAVRNRREALEKNRRDEDRRKMETELAAAAEAPMV KLMAAIKAKEEAEAEALAKKEMDEEAEW PFICI_00062 MGCFGSKQRQQKPGLFDQTAQEHAMDLDSPTQPSRPVMQATSRP ARQTNSAAAAIHQQLHRGASPISTTQAGTDMTQPASIRRKPVAGRGQEGVRGADTEED PFEFLKYFDTVFLIDDSKAMAPYWEEVTDLIRAIVPLCMERDTDGIDIYFGNHSRRWH ANVHERGYRHIGLLTGHPGMHDNVEGIFNDVKPKGKHDVTDRLLDLLNKHTYDLMGLE GQPKPKPMNIIVVTAQPFSNRIARVHETAEELDKMKAPAYQVGIQFFQIGDDETASWQ MASLDDLVHQKYGTRDIMDTATWTDGPGKLSAEGVLKVVGGAVERSLDNTKLEQLRMP EMPPDQW PFICI_00063 MAGSIDDLDVVLVTQLPPDSWFEGFAIRPNNHILAARLDAPVVY DIDAADPDAEPQPVFEFPGVAAAMNLARIPGRPDEYSVMTCEVSDLAHTRWEVFAIWH LDLSTEGEPKATKKGDITDIVLPLGLWPVTDRFLLVADSAQSCLQVLDVTTAKSSILL ADAKSMNAKSNEDFFGINRISVVNDHIWFCNYSQGAIYRVPFELDESNAEKPVRITGP VELVAEGLDQCDGFQVKSDGSLALTVNSDDGTLMRTDIEKSDVGASTCSIAMNMLINP TVVELGPETDGKQTVFVICCGEINVGWLNDNFSWRDIANAIDETVVVDVQSARTSIRS GASQAV PFICI_00064 MVTCVLHHAVITYPFSVRNKVINLETQRARARIISVVPTLRTAP TPGGCEPIFGGLSIFGESFFNPSGEKRPYAHGWAFDTSGPFTATYLARGGGDGTGPVG HSDPIEDILAKPNEIVVRIALAAPNASSPLTQFIAQ PFICI_00065 MPSAESAAMLVPSASSQKWWKEAVVYQVYPASFMDSNGDGLGDI RGIASKLDYIKSLGATTIWVCPHYDSPQIDLGYDISDYESVFPPYGTLQDMQDLIDGC HSRGLKIIVDLVINHTSDLHKWFQESRSSKTNPKRDWYIWRPAKYDADGKRQPPNNWR SNFSTSAWTWDEATQEYYLHLFCPEQPDLNWENPNTRKAIYSSAIEFWLKRGVDGFRV DTVNMYSKPQGLPDAPVINPKAEHQVASTLYCNGPRMTEYLSEMNAIMAPYDTMTVGE CPCTPDRSRVLQYVSAAAKQLDMVFQFDVVDVGQGTEAKYDTTPFNWKLPDLKRAINN SQSLIVGTDAWTTSFMENHDQARSVSRFASDAPEFRVASGKMLALLNTTLSGTLFVYQ GQEIGMVNMPKDWPIEEYKDVESGNFYRYVAEATNNDPKALARAKTAIQHLSRDHARY PMAWDASPQGGFSTNPATWMRVHDLAGEINVAAQQDDEHSVLGFWRQMLRTRQDLARL LVYGYYEIIDEENEKVFSYWKTNGGDKALVVLNFTSEEHVLSLPDTVTADQLKLVVST YGTPQSAVTTLKPYEGRLYVLDQSV PFICI_00066 MADLNDPKQSAVDNAAFNSIRDAAKATQAEHEMGFLESLKVYRK GVAWSIMLSTAIVMEGFDLILLGGLIGFPPFEERFGDLQPDGTYELSATWQTALNMGS LVGQIIGLFLNGYIADRFGYRYTMMGGLTLIVSFIFIPFFSNSIAMFLCGQILLGIPF GCFQTLACTYASEVVPTQLRAYLTTYVNLCWVFGQIIASGLLRALVNRADSWGYRIPV AVQWFWPIPLFIGCLFIPESPWWLVRQGRIEDAKRSLERLTCKTDSSFSVDETVAMII YTDEHNKAISAGTSYLDCFKGFDLRRTEIVCMCWVSQVLSGSPLMGYSSYFYQQAGLD VSNAFTMTIAQFCLGGVGTICSWFLMGRAGRRTIYLYGQGCMVVALLAIGFTSLAGRS NTAAQWAIGSLLLVFTFVYDCTVGPVCYTLVAELPSSRLRTKSVVLARNMYNLISIVA TIITPSMLNPTAWNWGAKCGFFWAGTCFLCFIWTFFRLPEPKDRTFAEIDALFERKTP ARKFKSTAVDELNPESLEEKSVMVHVENTEKVEVA PFICI_00067 MADGQAQQQGDSVPSLRRIRRACDGCRARRVKCNGDTNRCQQCS HLGLRCVYSAQRSKSSRSGVTRGSVIAQLKGNPTSLTVGNAPRSSPGATLNHHSVSGN SPASVTPQLSGGNGGSDGTLPASFSRDFFRGLIGDYTLYVYEVNPIISPPEMLESIDR MDQAENPVDYALVHAYAAVTVNLTTPDWQADHDKSHLIHQLLGIAMTARSAVMTQAMS VVSSSLHPYLSAHLIMTAIFIEICLMAVDKFAEAFLILREAIGMIQLLGVDRLVTSSE LCTVNAEHGTKHKSTLSLSEKTRRIRLYWEAFIHERFLTIMADYPPALPPLPPDVSLP REDASIPINIHVGWQYLIKLFSVLDDDFVRHWRSSNKHTPEPVTAAWIEAKMHYLNGL YDIDLELQCDAYRQENVAGIETASHTHTDPSPPGVTCLSTLQKADIIITRQWLLMLLW QLAISNFLLSSESASLEANAMSLQFPVMLSQQLRQVVVFLGRPSIERHGSGILRKLFE ITNSLADVIIHVPPLDSEQAAQRMDDFNFLSEFLHNSVSLREVQLGILAEKSIALKAR SR PFICI_00068 MALQSNVEDCVMSHCTIPEALVTKNVTQTTCGAAPREARVDYLE MSVILMVFATISVWSRLIYKFFYTTTGLRADDWFILATWVACIPSAVVNHVFLMANGL GRDIWTLAPDTLTRFAIGFWLMELLYFFESFMLKLSMIAFYLTIFPGRNVRRILLLTG LFDLLYGITFVLVPFGQCVPLSHFWNQFQGSQGTCIDFNALAWANGSISIAIDLWLIT IPIYQLRKLQLSKWKKIGVGAMFVVGSFVTVISVIRLVFLVLMKGSINLTWDYFNVCF WSTLEIMIGIMCTCMPTLRLILVRVTRRVSSTIKDNSYYMSRRKSYATKESSTEMSAT VVESKRDNEEGLSIHGSDKDLTRGTI PFICI_00069 MNSSTLVTQSTTQEVTGQSAFWVLITLAGAAVCQPTHTRLDTVF FGGNISLTRALPSICLWDGIVDVYALCKAIREGRRTSGQDVAPVTLPRHTAAKRIRAR LKPNVVMVKLAIALIAVLPQTIKILSMRGIPGTQFAASIFFFASATRLIVELTGLETY RNLPVILPERAHWKNPSFVLFYLIVMSQIYSWLWIWYNIGFLLKIDISEYIYVRLAFT SIELITKIAAIAQGILWARGIVVHWSQGDPAPPRILSAVGVLLPVSVHILTSPINQAA STSVPSFVIKANAISDRILYAAFLAFCAASASFVAAGLLDLLGRKIAERNYAEDTYLG GDDTAPGTSQETDVALTGQTTDTAAHVAQESDTADRSLAQRIKAVLMRPIFSVLYSAH VVNSGLDHRVMSFVRMNSLASNIVAITIFNIVTTVCYYLVRFDGTGTTSPAWTSILG PFICI_00070 MSIDTSCEASAGILAFVELAGKLMTSLATMHSEASENRRSDLKL MCEELIKLRGLMDHVVKQPVDPKAHHQSINVLDLNRLAVLSIRDIDIVLEELKEMMTP DFGSSTEQERLQSPNNWASPLILSTLQHEKMKRLSETVSSHIHSIIRVDQVSNTIKSL SVETQRLRPEFESRADSLTQSLASLGAMLQRGSTNANWQGAKTVFSQQNMYEMQSILR QLTQSEDHMIAEKIVASLNYDSRPVRHDSVPQAHRDTFRWAFESRLAEWFLSGSSTFW ISGKPGSGKSTFMKFISNHQQTKDLLGSWAGAKENLAIAAHFFWIAGTPIQKSWQGLF QSLLFDTFNKQPAVIELISPRRWNAAKEGKWQEATEPWSVTELGVALRSLATTPNFPL KLCFFIDGLDEYDSNHEELCNILHDMAQSPHIKMCLSSRPWPVFEQRFGTDPAKKMDI HELTRDDIRNFVHDQLQLAAGNEIRMTEEDKDEICREIASKADGVFLWAFFVTKTLRE ANARGDTFADLHHHLNGLPRDLEQLFRTMLESVDVSSHPKMAGILQAASHALEPLHID LYAVLEKEFDTPKYAQSCQIQGMTYGQLSMQREQTARSVNDKTKGLLKVVHQRFEFLH RTVKDFVLTKDMGDYLKQKLPPSYNGYKAIATAYLGFLKTTSLDGSIVAGIVKLGQGR NSGIFTSHLNQALIYAAEAIKTDHSRESLSLLDDYDHSVEMMIRIGHVTVRGIAASGS NPKLLFREELLRHNLAPYITQKLRDDPDFLELLEDPPLYFALIPMSLSSGESPAPVPE VLEILLRMGENPNFTTKRTLSTEVTNSLSTQPSPWVLFAREIMSVFNMLSIACTFPAM RFNDSLDKNLFVLLLSHGADPNASLLPERPKGSHTVFSHFLKISVSKFLGSECYEGYL RTLRAFLRAGATLGIPAYEETATKSGDMGERNHGAQEEAAYGNLARDRPSELILTSFC TSLKGLFTSLSADPERTKFIQSVTAELISHCSGKREALEEISSTLSEGCPDQMMGALR SMIDGELMGVNRGLKRYRGSWDQDSLESAVKQSKQ PFICI_00071 MAATDIVSVDPKGDVILQVNASPQSTARSFLVSSKVLCLASDYF VAMFNSRFAEGRRLQEEACPTITLEEDDPNAMHIILRLLHHQHHNIELQMDPELLASV AIHCDKYQCHQTLRPWAVHWCASCSKVSASEDLGYLLLAAHLFRVPNFSQISAQASRH LQPEFGRVWKQHNVLQRLPDTLIGIYLTNTYYMPQILTNLQMH PFICI_00072 MSSTETVETGVEAEKEVRFETSIDYEKSEYKYSSYLPHYTPGKQ EPLEEFVHHDVGLRADPEKKALLTAPGSSFEEITPAIGTEVRGLQLSALTSQQRDELA LLAAERGVVVFKDQDFADIGPDRQREFGEHFGPLHIHQHGGQIKGYPELLPVYRDFKS GAVDNEIKNNVSSIKWHTDMSYEINGMGTTIFFPLETPKSGGDTLYLSTTAAYNNLSE GYRERLHGLYATHSGSEQAKVTDHKERYIREPIETVHPVVRTHPVTKEKSLYVNRLYT RRIQGFKEEESGKSTPLAI PFICI_00073 MADSKSKSHITSLSAAPSNHGEHTVITTDNQKSPSSPSWRRWAG FFWDTLDGDPRERRYMHKLDSYLFSYICLGYFIKYLDQTNYSNAFVSGMQQDLSLYGN ERNLLNTFFNIGIIIGTIPSQMIQLRFIRPSIWIPTCEILWSILVMIMAATRNIQTMY ALRFLVGLLEACAFPGYAALLGGWYGPKQLSKRMAIFEQTSAIASMFSGYLQAALYSG MNGKLGLAGWRWLFIFDGIISLPIAAWGLWAIPDLPHTTRAFYWTSEDKEYGVKRIEA LGQRPPQRLSLNVIKKVYTNWRLWAFILPYTMVAQAGSGTNYFNLWLKAIGYSIVDTN VLPTAGSALSIVSAFIFGMIADATGKRLPTIIAVESVVMLANILLSVWYLPKGVILFA NYLAYMGAAAQPIVIAWGNELNRADPNLKQLLVATGNIFTYCFSSWLPLALFPTNDAP KYAYGYQVLILFGGLAVVGCKLLQSLHQRYDGTDPALVIGDSEPRDEEIATTR PFICI_00074 MAQPASEPVTTEPEVEPKRDVEIGQNVAQMPAEESKEPSLDDQK PEGVEQVEAITKLWTKKWLWITFVLIWFLNFVVDMLSSVQDSLSPYITSYFSKHGLLA NINIPSRIIGGVVLLPVSKIIDLRGRTEGFIGSVVLIVVGMIMKAACTNVETYVAAQV FYWVGKAALGFVLTVFVADITTLRNRMIMLTLNATPTLATTFAGPEIAQLFYTYSNFR WAFGAWAIILVGFSGPVIGILFFQERKVKKAGIVRKRSGRTFIQSVKYYFIHFDVIGM VLIAAGFILFLLPFSLVSYSKAGWESAHIIVMIILGIICLGVFLAWEKYLTPVNFFPF ELLNDRTVINAALTHALMFMTIFIWNAYYSSYLQVVHGLNIRDSNYVLNGLALTSYFI GPFVHPPNRSRQISSLASIPVYLLGTALIAYFRTPSAYVGYVTMCQILIGFGSALLTD TSRLAVMAAVPHKDVALSLVIHSLFTSIGSAIGYAIAGGMWTNMLPYKLAEYLPEDAK DQAWTIFGDITLQMQDPIGTPIRDAVIAAYGDVGRKMVIVGSALTPLMIITVLLWRNI NVKDMQKEEKEDRGNVF PFICI_00075 MFQPPEPNRYGIPTGHEKLNAMLERGWFVTIPDFEGPRAAFGAT VQAGHATIDGIRAVLSLAGHPEYPLPDSADKIRYAMWGYSGGSLASEKAAELQVQYAP EMTSGFVGAALGGLVSSAASVWEATNKTLYAGNLVLILLGTMNEYPEFDAHVRSRLKT EGPRNADGFLEGLKMNSLLAFQAYANQDIYEYFVGGREAIESSEVYRRIRHTEWTLGF HGCPTMPLYIYKAVADEMTPIADTDEHVALYKRFGASILYERNTAGGHVPEIVNGQER AMKWLIDVLENSHVQEGVTIRDVSVDEYKHPAL PFICI_00076 MDATDAFGHYYRGDVQNREEIAKNAYSIAKAHLEQTTSLKNHEK AWLFGAPSIEDAQRAVAESMANCEAKHDSSKVRKWLHRASELICHYGTVLDVFVQHHP EYVSLVWGLWKLIFTSILNHGETLRVLAKSITQIGERLPRIRIASELYPTGQMRIAME SLYVEILGFLMKAYGWCNESILKRVYHSVARPPALQYGDTLERIEICSNSIAEIATLA SQAELRVMHTSQDGKLADIISKLETADREREAEFRGLHHLVSTLSISHEQLEQKVDLV LSLLHATGLTINDLLVKTTSYHSIQMNAHVNTNERLSDMQVSQALAALSSSFQDPYTC YQQQLLLRKRRAAGIAPGAATNEFWLSPELRAFASNRDSDMIILAGAFNIRAAIKDFG VDVISSLTRSKINTVWALDGANNEGTQWSSADLLRYLTWQVLRACNFTEKQMSVRFSQ FQTSQTPQQWMQLFKEAVKHVGDQLYLVVDLVVIQASLATADGFNIVEELNKMMKSES KGATTLLKIIVISYNADWHRNLPSEIAGSVIPVTKAASRKVTRTWSRTATGWSLRSKK IPLLQNRKAPLIASSYND PFICI_00077 MDSSDDYPFGYSGSGYHYGRPHPPPPPQPVRQQPTIIPVPTLPT QPALRPLSSVNDPAPTPSTISKDETASDSVHKFYALAKKVDSAPTSKTDGAASTPGEQ GNNLVERTGSPVASVSSNDSYDYGRGYANNTYTGYNGGQVIPEIPQGANPFAPSSLNP FAPPGGNFFAPSGRYFDSDGRPRVVDQNVGPASALSSNNRYPVTNQPQGIDESRRQYP RVTNDPVVVEELLDRLQELETENNQLRRGKKLKKPKINVQVFHTLVHHNQPDHRQFAM GMHAMKNNTLETYLSQPHFEVYNGTAILKGEFRVLDPQGYVEKKGDISFVIQKRYYME HQRSEVDEAIRNNEAIPKPSPKSEELQLVSPEMIQAVGAFFDQDPTFRKEFPSINERK RMGSPFIWWYHYRNTLDASQLQPRQRQLVMELTSWIDSTYGLLYDEIDSQFRKGLVSP SNFQYLIRPGSVLVSNHGNLPQGYLAKSHPVPATPQAGDEHRALISSWRIPACSLRYN GELVWSDEDLEIELNVEDGDMEMAITGLDLIPLEYSSNEVRQLLESRGKQAFWCSQKG HLVSYKARSDDKKSIGQRFMIDFHTYKELHPEAKLFQNLDKLMTKKNKPYSPNNGVPE SLDVYVFPSEIPGFNFRTKKWTTLEVDLIQEINWNDEAFGSLVADDDTKEMIQALVTN QLTTQKGTDLIESKGNGLILLLHGPPGTGKTYTAESVAEIARKPLYPVTCGDIGTEPE AVEKYLDSVFHLGKIWDCVVLLDEAEVFLEQRTLNDLKRNALVSVFLRTLEYYEGILI LTTNRVGTFDEAFKSRISLALRYEKLTQVQRKQVWWNFIRRLEDLGEQDSVDLEDLKL HIDELAVYPMNGRQIRNSISTARQLALYKNTRMTFAHLQRSIILANKFEQYLAEVREG DPPESLETARAGRRMDEFFARTDFIR PFICI_00078 MRATSLFVSLPAALGYFLLTASTGSAKHHHHPRYQSFVNERDTT TSSSAYDYVVVGSGPGGGPLAANLAIAGYSVLLIDAGGDSGTDYTESLPALHLFATEY EEQKWDFFVNHYTDLERQKRDSKMSYRKTDGDLYVGLDPPEGAEPLGILYPRSGALGG CTRHNALITIGAQDSDWNYIANLTGDDSWASSNMLQYFEKIENARYLPSSVVGHGYNG WLSTELTSLSLVVEDQKLLSLIIAAATGMGKHLLTALVSTVVGLGQVLLRDINAPGQA SQTGLYQVPLSMKNNVRGGPREFILDTANAVNSDGSRKYKLDIKLNTLVTKVRFDESG TVPKAVGVDYLEGASLYRADPRSSGASQTGSGSVNATHEVILAAGAFNTPQLLKLSGV GPRDELESFDIPVVVDLPGVGGNMQDRYEATLVGKTDSDFVITSKCTFLQSMPDPCLD AYTSGIDPITKGVYGTNGIAIAIVQKSSVAEDEPDLLISGAPANFRGYFPGYANDSLS DAQHWAWIVLKAHSRNNAGTVKLQSTDPRDMPLINFNSFDTGVNGNGEGDKDLQAVYE GFEFGRRAFADLIPLDGSFPETWPGAATSDEDDVKQFLKDEAWGHHASCTCAIGADGD PNAVLDSNFKVRGVEGLRVVDASVFPKIPGFYIALPLYMVSQKASTVIINDAKSS PFICI_00079 MDFASDIHEALVQRATEVPVCGLKCITTLTLESVCSLTNTTCIC TNEDLTAAITSCVLSNCTVVEQLATTKYSKDSCGVEPVDRTAQVVIVPMVFGALALLA FGVRVMSRCHLGHGTWGMGDWAITAATIFMIPLLILSIPLAQYGLGKDIWNIPSSNIT EVLYLYYWDEIVYFPSTALTKISILLFYLEVFKRSIAGVQPAIYALIVLNVLYALIFD FVSIFQCTPVQGAWLFWDDQHQVTCRNINAQGWASAGINIVLDLAMVILPLPELWQLS LSNTKKIQVMLMFSLGFLVTIVSILRLHSLVAFGNTTNLTQDYVIVGIWTTVEVPVGV ICACLPAVRAVLKVYWPTVFGSTRPSRSEYYNQSGSPSASRAMKSHLEASGNHDVWKT TATSVTTEPFKATKDESPWDKAPALELQHMQDRSRSNSTVFDKEPTKPKEMA PFICI_00080 MQLTNTVRGKVEQPAPIVVEELCDAQTDVLLKTTSNKTSRIAES AEKLPGRIGRIQYGSSITQVLLTIFPVLFIALASTAIHLDKKQTSRTGEQTQRAISLS PTVFPIVFAAITLESLIGSQTLFSAIEKQVVLRRQILVGGTIILLWALSPLGGQSALR LIQVIPHYISTNSTIRYLPIAASHNSLLKSYDLSLEFRPSYDPIFMACLITSHQYKSS QDLFGNVKVPSVDRLEGGLSMAPNEWMKLDHSQDIPYSSLLGIPVVGIPSSGNLSFDI VSRYMAIDCPTLEYVPNSFIYQENDTKEYTWDNGQSFIVQPGYEPEDEASHRFNIISA NSWRFEDGISVVTCSMEPRDLQSTISCRDHTCQVTAVRKLTIDKSWVEDNLEALNHAL DLLPRVAKATIINDTLLRSSLIERWLQNPDSSYYEHGQKGYVNLSAVPKSVLSKNVEV LINTYWQSSIGTQYLFGNLSTDMILYNNISDAEGSAPVIDFNTSQVTISAARGKQYTC NMTFAAMLIIISTILLLISATSLALAGWTLAPDFLGHVSSLTRDNPFIPCAEGSHLDG LERARALRSLEVTIGDVGSRSKDGYIAFTMTANARKLQRNRLYR PFICI_00081 MAGFKYYHYDPSFAAAVIFALLFCVATFRHSQLLFKNKTWYFIP FLIGCIFEAAGYVARAMSVRQTPDWTLMPYIIQSLLTLLGPTFFAASIYMILGRLIQF LEADTYSMIRTRWLTKFFLLGDIFSFFGQGGGGGILATAKTESSQSLGNKVILLGLAI QVIFFGLFIVVTVVFHRRVHRAPTPKSLTTSAPWHKLLWVLYGTSVLIMVRSVFRMIE YAQGNDGALLQKEAYSYVLDALLMIIVTGCFAWFHPSNVLKRETVVSEDEILHGSGET FVMGKGNGFTRM PFICI_00082 MRTLHTVIVTLTCQLALCSQIFPRVENSWSDASIKASEFVANLT LTEKIGIVSGGYLSPAPACVGSIGPISRLNFSGLCFSDGPSGYARSDGVSVFPSGITI AATWDRDLAYQRGVALGEEFRAKGSHVYLGPSTGAMGRHARGGRNWEGFGPDPYLAGV ITNTSVLGVQSTGVQACTKHLAGNEQETQRTSTTDSNGTVTEAISSNIDDRTLHELYL WPFANAVKAGTSSIMCSYNRLNGNYTCANSDLLTTFLKDELAFPGYVTSDWYATHGTE DFANAGLDMEQPGNVSSLAGPSYFGGLLLDAINNGSVSEDRLNDMAERVMTPYFLLGQ DQDFPSVDPSAGAVFLTYQYGQNSPLAAYYPAVEARDVRGDHASLIRKIGAAGTVLLK NVNNTLPLKNVSNIGIFGNGAGYPIDGSVFLDYGDHPEGFEYGTLDIGGGSGTVRHTN LVTPLQAVQSHVASIGGRTQVILNNDVLAEGLFKTIYPVPQACLVFLKAYATEGSDRS SIDLPWNSTAAVEKTAAMCSNTIVVIHGPGVVLMPWADNENVTAILSAHYPGEEIGNS LVDVLWGAAEPSGRLPYTIPRNLSDYGPDIVESPAEDSTWQADFNEGQLIDYRHFDAD NVDPHFEFGFGLSYTSFEMVDGLQVNVNENVSALADESMGTQPGGLVDLWTLVAQAAV EITNTGTITGIAVPQLYISFPQDTTPAGTPVKVLRGFTKVALDAGETTTINFELTRRD LSFWDSDTKQWVIPQGEFVFMAGFSSRDIKSTIQTAMLS PFICI_00083 MTDSKLEEAMTATPRLNTESDVDVGHGTVSSGTKARINSRQAQM IAIGGVIGTGLFVGTGVVLATAGPALLFAAYFFCCLLALCIVTATTEFNSYLPVRGAS IPYYATRFVSRSLGFALGWLYWYTWAIAVAYEVTAACIVINYWPNPVPEAAWITIMLI VIISLNMMPVKVYAESEFWFASLKVFTIIGLLLVSLVLFFGGGPSHDALYFRYWYQNE QPAHEYLVGGSSGQLCAFIFALCNGAFAFLFGPEYVVSASGEMENPRKDLPTTSKHFA WRLICFYGLGALAISIICPSSEPGLTSGGSGAAASPWVLGIKNAGIHGLDSVINAAII TSAWSAGNSLLYMSSRSLYSMSLVGNAPRIFQRCNRWGVPYVAVGVSSAFGLLAYMNI SSDGGTVFNWLVSVLNESGFISWIVCCIVYLRFRAARKAQGVHDLPYQSLLQPYGAWF ALVIVTLLALLNGFACFFPGNFTASGFLTAYLGIPVFVVLYAGHKVYTRHDPWYHPVM SIDLTSGLDDVIAQEALYRDSQGESSKTKAKHLLSKINSIWGWN PFICI_00084 MSLPTKLFETEHFSTWRQLEVVDSHTCGQPTRVILGGAGIAPGM TPRDAQSYLEDSAPWVRRAAILEPRGHRSMFGAAAIAPPASQPWGVVFMDANGYPEMC GHATIGIATTLCELGLVQPSGDHDGQNGSFSFGLESPAGRLNLEAVIVNGRCESVSFQ TPLAHFVGSVDITLDTGVFAQVDVAYGGQYYAFIPSVAAGLDIVPDSIDGLISAAMSV RDELARQFSITDKSTGKVPEIGNILWTQESHSKDADARNVPISKAGSFDRSPCGTATC ARMAVLVAKGKLSVGETFVNESILGTLYRGHVTAMHPHVPNGIIPRVSGSAWLTARSC LFVDPRDPLGAGYLVGGGVAVL PFICI_00085 MDTDQSSSEPRVTESRRRNRMGPRDFGPPKAFEIPPELYQKAKN QNDELNDDEVHRGDVVGKALAHPESLTLAEKYDVLGWTEPESLHAAIQRISGLETPAE LLAKAQVSRDSLSWDEIDLIVKRFQLSSPTPGTSGVDMWRQVPGNLQARALVSACEGI DDEFFNRLTEFSIGDDGAVAKRVAKFLKDNPEALPPQPSIRNEHCYSLAHSRDDVVLG NYRPWPPLGPGRFPEQYPPTASVVFGRDLKEQGIFSYAPVGRELYQLWDDLSEDERDQ YRDRAEVLRQAAWDEWYKKQGSKQGGRDPRPVMALASE PFICI_00086 MMRFSSWASWLVTAYVGIVLAATNITSGIMEVDLVFPRNETYAP TSSLPVVFAIKNPELLPLLSNSITFTAHAWENLERFPIAATYDLRWRNFSSSDPYFVY YTFAGFETEDVWWLTWSFGWTGCTEESLSHKKVNYSDYSTSLTFTTKTSGQAIDLVAG TESDNCPADSGVAIEVASTLDVPIGVNNWEGGDTCAVLADSTPAATPCEVKIDAVAAS SIAASIAHNACRATQVVGCDDDESVAARLAVGVVTILAAAFGSLGFLF PFICI_00087 MSTKGAVQVEKTAIGGSLEIPRIVNGLWQLSGGHDKDVNIASAS SAMDQLINRGLYAFDMADHYGDAELVVGHHNKSTGPKLTAFTKWCPAENGIKTFANAE AAIDHALSRMRQDKIELLQYHAWDYSDDTYIHNLNHLKSLQTKGKIGHVGLTNTDAAH LEMLCESGFRIATNQVPVNVIDRRVMTGRLTQVCQKNNVGILAYASLLGGFLSEKWVG QPEPTDPESLNWSLRKYLRFIWAAGGWDKFQVVLQALATIASRHEVPIAAVATRWVLD VPAVQAVIVGSRLDEKTDEYAAKNLLAFTFQLTDEDRALISEAQRGLSDVPGDCGDEY RRPPFLTVTGDLSHHLSNPEQSAAVAASIAKGQRIEYRTGSVWEPIAGYCRAVRVGNS IHVSGTTANSPISELPNVGGSSAHSQTVWILDIIERSVKALGASFSDIVRTRIMVQNI EDCEEVSRAHGWRMKCADILPANTLISAGVVGDNMLVEIEAWAEVGSGDRGVLQIGN PFICI_00088 MSNHGRNQSSSIPLSYAVPPPTELDQKNPVLKDDGRLDVDLNSS VVKYLSYVRRKSKPALEEFQDRPISSLPPYSPYQQAETTKFPVRLNIVIQVVGSRGDV QPFIALGNELQKHGHRVRLATHDVFAAFVRESGLEFFPIGGDPAELMAYMVRNPALIP SMKSLRAGDIQKKRKMMEEMLNGCWLSCIEPDPISSVPFVADAIIANPPSFAHIHCAQ ALSIPVHLMFTMPWSTTRAFPHPLANLKNASTSGAEPRTANALSYSVVEFLTWQGLGD VINEWRDKLDLEPIAFSDGPNLAETLKIPFTYCWSPALVPKPSDWGPHIDIDVCGFFF REPPSYSPPPELVEFIQGGPPPIYIGFGSIVLDDPEGMTSRILEAIQRCGVRALISRG WSNLGGAATENVMYLGDCPHEWLFQHVAAVIHHGGAGTTACGLLNGRPTTIVPFFGDQ PFWGEMVAAAGAGPKPIPQKFLTVDNLEAAIRLCLSTEAQTAAQGIARRMRSESGVKA AVASFHANLPERTLECDIIKGHAAAWSYRKSGRTFRLSKVAAEILASHLHIDHKRLKI NEIRPIIIESRRWDPVTGTVSAAISTSRDIMAAAADVVVKPAKVFKDRSKDSSQSVEE QLPHQEREGIRYSRDGPPSTADHAKEGKARDCMSTTKQMTAASASAAVDLFKSYSGSL VAIPYAFTEGCRNIPRLWGEDVRDIGTIRDWKSGTAAGAKILVYGIVDGVAGIFVLPY RGAQQEGAIGGLKGVAKGLGGLSSKLFTASIGIGAYPLQGIYKSLWALAKPGTRHSIV LARRIEGTYLAFQARQAGLEDQTVMRDFGSLTRKK PFICI_00089 MQLQTWLALGLATLAHAEYPSLTVDLGYAKYVGIHNETTGLNTW KGMRYAAPPIGDLSFRAPIEPEKTNLTISADSYGTACVQAYTVSQRLGNSPEETDQDC LFLNVYAPEEANNLPVFFYVHGGGYLLGDGNPDLSLLLNSHDGEFMAVSFNYRVGAYG FLSSEDVKQNGDLNAGILDQRLALEWVQKYVHLFGGDCSNVTIYGDSAGGGSVMLHAM AYGGADGDRLFQKVIAASPYLPKQYKYNDDYPTFLYDQFAQFSGCGDAKDKMACLRTQ DMEVLREANDNVTAAAVNGTFGFAPVTDGNLIPGPPSVQLVEKKAVNGQFMLTNHNAD EAPGFVPANITTEADLVDFIRIRFQWFDDEDISALLETYPLTSCSRNASNPRFATAGD SGPTAVEVSPYAVGNQQRAYAIFSEANFACPSYWLATAYTDNYAKTSYLHTYANQLAL HSFDAMVYFNKPSPSQGPAFVRALRAIWGAFITTGSPNIPSAVANNSGSDVLSHWPVW GHDRMVVYNQTGGVLSSDASPRGNISDYHDPGLRNDFREVNARTWEGGRGNRCDFWKR MAPKVPM PFICI_00090 MLPQKTLIPARQRDGGTESSQSSIVLSHFWKNISYILAVVGLAH LLNGIWQTTRVVFTPKNTDERISHSDPYPDTDYPLRPATEPWDISQSYEYSRNFTKTV SNGTWLRITTHPIKDEIVFDMLGDLYCMSSGETSTTYSARKAHAFLTGVPFDKEAEFS SDGTQLVFISDAGFGVDNIWTLPYTSCDEMAQVASDETRKFTVQQTNSTFRFFSSPAF HPTEPKIIATKWYLTGRPNGAGEIWEIPLLQRTPEILPNHGGKRLIARQIPASWPREK YFESQLGAEQARYSADGSAVVFTRNSKDKQSGKFSYNKDVHAGINAVYSLDLSNSHVT ELVAAAASAPNQPASPGGASMPRLSHDGKTLAFVRRVDDKSVLVLKHMESGTIHYAWD GLTYDLSMIPAFMGAYPNFGWVANDTALVIWAQGLIWRVPVALDALGERVGNGAPFAL PFEASIELELGRTRYSTRDIREAELAQTGTVTSLHGLRSNEAGDSVVFEAAGDNYMAS LDSQSDRPTITALEKPNKHTSCYAPSFVHGSPFLLQACWNARNLTSFHLLHHLKGSII KVHGLPRGRYVSPVSNGLYIAYVRTGKDYMFGDVEETFGDGVWIGRLTLPGETLARPT ISDLEHISSIQTSHETKLDISMINGQPTLIVQNPNKIVLHGIQTGVESVLVTGRTTVE MTALPATKQHLVAFRDFQHVWFSYDGNMRSRAIWSKPGDVDTPSGLVRLTETGGHDVT ISGDGRRIFWLNGPTLEYVDVDNVATACRMAPSELRDCGACSRPWVRKHHLNIRYQTT TGAQAISTQGRAFAIVNATVISMSTTFPMILKNATIIIQAGQIIDVGESIHTSLPKET DLHDAEGGAVLPGFIDMHGHWGGFLSPYPQPSWEMETFLGYGVTTIHNPSSKNVNGMV ERHLIEKGRMYGPRVFHTGDVLYGSTQPSVYTEINSRSDARDALMRVKAEGGSSSFSV KNYQIAPRSARQRLLFEAADLDMLVLPEGGWSLDWDLTYFIDGYTTLEHPVPVPELYD DVISLIAASGSSYTPLAVMNYGGIFGQHWVHQNHDIQQDKKLRNYVKHDILESLTEVK KAPMSSYQFFNTTKSTAEVARRGVRTNVGSHGEQPIGYLFHSEMHMMALGGQKPYDVL RHATMGGAISLGLQAAIGSIEPGKLADLVIYPAGVSTVEAVFNSSMHMKNVMSRGTLF AVEEGLVEIWPRKGRRQTRTRLNPDNDL PFICI_00091 MRSMSSPKHGQSSSNDVFGFEFINIDEPENHEVGSNIHSASQMG RGDVKSFADLTAAVYPPDPQYDISERPWLPGPQKAYLLTNAKLVDPRAGVVRPNMTLQ LAGGKVIRVSPTTARDMSSEVYHAGKKVEKIDASGYFVCPGLIDCHVHLMAVHGSATL HGAFTFPHETAIFRSAGTLRGILSNGFTTVRDTGGATIAHAKATEEFLIPGPRVFQGG RMLSQTGGHGDDTEVWTDGHCCQGSGIASSALGRLCDGVPDCLRAARDNMRKGANHIK VCTSGGIASATDKLESLQFTVEELQAITTVTKNMGGTLVTAHCYTAEGIRHAIAGGVG GIEHGNMLDPETAQIMAEKGVFLTPTLALHTFVTMPPYDKFETPEGLRKNAIVGDAGI RAIRYAEEAGVCVCYGTDTTGPTLVMQTYEFVVRAKLLPSPVVLRQATINGAKQVGME GKLGELIEGAYADLLFLKENPLEDVSSLDRTNENLMLVMKDGRIVKSRIGGLATERNC EWN PFICI_00092 MSPEKQSIFKTTKVLILGDEAKSKEERKLVQKLDFFILTYCCLS FFFNYLDRSSFANAYVAGLQEALSLEGTNYNVLLSMTTAGYTIGQIPHSIAIQKIAPR IWFPSMVIIWAGLTMCCAACKTYAQLCVVRFFMGLVEASTYCGTLYVIGSWYKPREIA KRTAIFTASGQAGSMFAGVMMTAIYSGMNGYSGLAGWQWVFIIDGIITCPIAIMGFLY FPDVPENTKAPYLSESDKQLALSRLPPKREDGHNINPWSLAKRTFTSPAFYILSLFSM VCGALEAFCVQGLYLLWLKYQSTTHGSFSQAQINTYPLGIQAVGIVSNLMASVYIDAT GRRVPMGILACGLQLASTIILIIPHVSFAATMFAFYCAGTSYIVNPLLFGWANIICQR GGDDALRSVILASMNAASQILYVWWGIVLYPADEVPYWQKGSIGMLVVIVLLLALLWA VHWLDEYTLAKFPDTPVHESAESVDGIEGDFSVKEKEKELIRTGAPANGAGAEVPC PFICI_00093 MALTEESLLLDNGRAGFRTTSSYFSAADRVLVAGVLISAALSFT QVPILYAIRIMECEEFFKQHQPFMGQDDNRCSRKEIDASTAAQVSLLGLTTIFFGVLN LFVAGWQVKKWGPRTALILQTSFPVLRTGIQVIAVTIGARQGVMTIQSSQIVGLLAGS AGHLLCLNTAAGEVVRPAERTGMFGKLQGAVMLGTAAGYLLGGITGDAFGIRRPFEVS TILFVVSVAYSALFIPFIDPKSLSGGKSQSTGGLKSVLAPFRVLMPQRIRLENGKLVQ HFGITFLALGVFLGVLATGYAPLLIQMYATAAFGFRSTDNGYLMASNALIRGLFLIFL FPKIISMGRRWYSKSMSSSNLPPDGPVIPTAPEEFDPIPGIMPAQEPATPMQSAEDKS GKAFDLFFLRWSLVADGLITAYTAFASSSWQIYMGNRAIAPASLRVGSSQQRRDHRNV LAVGTRRCTASHDACGKCSNAFHAWGEAYLTFYCNAAVALVAVLVLFLSNFPPERSQM VKDVSDNAVGDVNEETQGLLSS PFICI_00094 MQTLPDSDVSTHTHVHTFIASVVIGSPSTARIHHHDLAESINVD DGARLLRLVEGVEEVGRLDLPATGGEEAHDMISQLASNMNNGVKTAADIRVAVLNMPG TRTFPNACTVEVFTIPVFGASPDALLEDRLTPIWKWSKSNSVYFPKSGFWEADLKAAL DDGEWNAGRDLRLLLRGATEYEVKMIRESETRFKIMPRANDAR PFICI_00095 MAASRQAISSSKDEYPRAADLLAMMADRPLPLIGPEILETINAD QAPAHAKQVLDEFNRALASNDVGRLASCFFEKQCYWRDQLALTWHLRTIESPRNCAAS ISETTALRGVPAGFTIKGAPQFIPAAPTLQFINCEFTFTTSSPAASCRGSIKLLPVRL NGSIAWRIWILSTWMEDLHVHPENTALLDLPRRNLDNLDQIETDVFIIGGGNAAVALA ARLKTLGVESIIAEQNAQIGDNWLLRYDSLRFHVPTSFCEMPYMNYDESLQTPHQLSK DDLGNHLRKYAESFNLNTMTSVKQFSTTYNSKDEVWTISFETPSGRRRVKCKHVVQAT GFSSQIPYVPNIPGREFYRGRELHSARYKNPKQLQDQEGKSVLVVGSANTAFDVITDC YNAGLQTTMVARSPTYLCPVEYICDHRSLGVYDPLGVEAADHLLMTGPAPVDAILSSA FFTSQAAEEPERYTALAKAGFQVIDSRDPKAVLMSNLLERAGGHYVDVGASKLIAEGK VAVKGGAEPVAYTERGLRFSDGTSIDADAIIWCTGFKDGNARDTAAEILGGDRSPVNE KFKGDHILLPRDIAARLDATWGIDSEGEVRGMWKRHLRMNNYWVMGGYTQQHRWHSRT LALQIKAAVEGILPPAYRQMAGKITETAL PFICI_00096 MATSADALQDLMAQPALSPPDGITPDFENPSNENGLAWFVTTVC MVVATLCLAVRAYDRVVLSRLPAVEEVLMFCAYAAYWGTAYAGYSMIFTPGYYVHTWN LTNADLVRPLYLILVYGCSYSATMPLVKVAILLDWCRIFVPDRTRSVFWWTCMMLIVL QAGWGIACIILLNVQCVPHQAIWEFYLPSKCFKLPNVMLASASVQVFTDFVMVMLPQR VIWSLHMNWRRKVGMSLVFSMGILACVGATVRLSTTITFSKESDTMYYIGPLLFWACA EMTIGFFILCVPCLPKVLHESGFSYAIRNALTRSKKSSAHVSHDPPNHDHELPIFPRK RKDSITISETYLRMEDDEIGLKETGARPWTLFHSNAK PFICI_00097 MNNNLEFADYIALRNLAFDWAESYDTKNWELLKQCLAPSTSLDF RLLQGNLYENLSPDDFAGIIAKMIGDKRLKTQHFIGATKVECLDDGSVKVEHQIRVAH QRHESEDAASPVSNKGHGHGVTTHWYKRFGEYWKITGALSNLYWSEDDLFGTLALED PFICI_00098 MANVDVITEAGPEVENKTGVLDPEHRDYLLSRHKTLELHPFPSR DPADPLNWPDWKKNLNLFLIAFHAMMTTFIAAGIIPAYELFVRDFGITISQASYLTSA QVLILGLSPLFWKPVSDRWGRRPIWLISTSCSMICNIGCAESRTYAAQVVTRILVAFF ISPAIAISSVVVAETFFAENRGQKMGIWTLMVTLGAPTGPFLLGFVAYATDSWKWIYW IFAITNGVQCIAYFIFSPETLFAREGGVKLNKKRTFWHDRLNFRLGGQPHIVASDFVS PLKLASYPHIVIPAVAYAIVFNFASILATVEIPQIFTPKFEFNVQQIGLQFIGIIVGS LVGEVLGGLGSDQWMRYQHKRNTRSRKVTPEDRLWVDRLQSYNVTPVVGLGIAAFGNQ VISTVLVTYAVDCHDELAASIGVFINFVRSTWGFIGPFWFPYMFSSIGLSGSGGLMAG IIAMCAILPIMFIHWKGRALRKK PFICI_00099 MRYINALVSLALATLTLVHGESFSPVISCEEISAPKVDNATVTG ISTNDTADYCAVTVYLTHGNSSDNVKVLTWLPTVWNGRYQGTGGGGLSAGGSDTNLIL PVQQGYAASTTDAGLPNSINGSVWANNSQLIENFAYLSIHQMTLTGKALVEEYYGKQA EYSYWNGCSQGGRQGYMEAQRYPSDYDGIFAASPAINYDTFQVAEVWPYVVQNVIGDW VPTCALDTLTQAAVDFCDADDGALDGIVADPNTCKFDATTWIGKQTSCSGNETILTAQ HAAVFNSTTHGPVDLDGNRLFSGIALGTNLSTFTSNPPFTFMAAWIADFVLEDPSFDF ATLDYTTFPEVFNLSVAKYETLIGTRNPDMSPFKEAGGKLLTWHGYSDGLIGGNGTVQ YRESVQQAMGGVDEVNDFYRLFMAPGVGHCGRGYGAIPVDPFDALVAWVENGTVPETL AASGANFTRNLCSYPLSLTYTGDGDIDDAESWTCT PFICI_00100 MDSLRSVLVANRGEIACRLIRGARELQIKTIAIYTDVDAESQHV IAADEAQLLSGDASTAYLDGQLLMSDDREQIISIAKQKGAQAIIPGYGFLSENAEFVR LVVAAGLTFVGPSAETIEMMGLKHTARELAVEAGVPVIPGSKGLLESAGDAVTAAQKL GFPVMLKATAGGGGMGLMVCQNEQDVKQSFEQVQSRGTTLFKNSGVFLEKYYPDSRHI EVQIFGNAQGHVISIGERECSIQRRHQKVIEECPSPFVERNPSLRQKLTKDAISLGER ISYGSAGTVEYLVDDHTGNHYFLEMNTRLQVEHGVTEMCYAVDLVHLMLKQADRQLGG SGGLDAQELSNLQAQCLEPRGHAIEARVYAENPARNFAPSPGLLQQVTWELHEQSRID TWVRSGNVISSMYDPLLAKIMHHAPSRQQAISELIKILKGSQVCGPPTNLDFLLKVVE SDDFGTGSTLTRTLDTFSYVPAAIDVVAGGSQTLIQDYPGRPTVGHGFGHAGPMDPIA FRIANSLVGNSVGTEALEITLTGPELLFLGDAIIALCGPPVQASVDSTELPLWIRVRI QAGQRIKIGKLAQGCRLYLAVYGGFLNVAEWFGSKSTNPMVTVGGYQGRALRAGDFLR ISAATSLAAMEPVSVPSKLLPRYQYDCWDIQVMSGPYETGYLTTEDIRMITSTEWQVS HNAARGGMRLIGPRPKFARSDGGEGGSHPSNVFEYGYPIGGLNWTGDEPVIFPVDCPD FGGFICSSTVIKADFWKLGQVRSGDRIRFRLVTLEGALECRRRNESFVEAICSAIGDG DWTIVPMFTDLPLAPETAETGFDLLHVIEATDSSPLATYRAGGDDFLLVEYGDGKPDL NHKCRATALRRSIEAMMAKSSSSKDDRLHILNMVGCGNSLMIYYDGLQLSRTRLLGIL LDMEKSFGDMSMAKFPNRRFRVPVTFQHKKIDAMMERYMTNQRSKASYLPDPFEFLAA NNGLTTDELKRVLLSLESVVIGVGFFMALPESLPVDPRHRLRAPKMNPSRTFTPAGTF SWGGCAIAVYPVDSPGGFMPLGMTMPGVDVYGSKDGFSETRPWMFEDMDLITYYEVSE EEYDRQMLKFRAGSYQFEYEADVFDMGAHNVLLRETQDEVQRTQERIALAQAKMAVLE NKLLEEWIAEKKSNETDPGELQKLLEDPANRVIESPVNANVWKVFAQEGDIIRKGQTI TILEAMEMEINVNVADDLDAATIYKTLIQPGQSVEAGQACIVVRVS PFICI_00101 MPPTRHKAFINVDMGEAYGNYVCGPDEELLPMIDHANVACGFHA GDPLIMKQTVALCKAHNVKIGAHPGLPDVQGFGRREMKLTPEEHTANIIYQVGALQGF LTAEGVEMHHVKPHGVLYGMMLRDLEVARAVWAGVPKGMRVFGLAGTHMETAALEAGL EFWAEYYGDVKYRADGTLIVDRKKKPWLIDDVKEHVRSQVEQSKVKAVTGEMIDLPVK EYPTSTCCHSDSPGCVEIVKATREIVDSFNAARGL PFICI_00102 MDIEKGKEKCKTSVPSGSSSPVIVGDVIETEAEVFGGGEGAVNF RNVGWIRAAMFMLKMTFATGVLSLPSALNDLGAVPGAIFILFWGLVNMYMAVIQGEFK LLHPSLHTVADGAEIAALQLSSGSKKWALVSKEITEFLYLVSWILCTGLSILGLSIAL NAVTHHGTCTVLFAFVSYIVVSTIGSIRKIEKTAWITWVGFISIVVAILVVLIATAIR DRPASAPATGDYDLGFSAFPSSSVTFASAWSASLIIYASSANTSGYVPVISEMRQPRH YFRSVYVTMTWIIVSYMVIGMVMYRYAGQWLATPALGSAGPTIKIVSYAISIPGLIAG GMICVHISGKSVFVRVLRGSRHLTANTWQHWTVWLASTYGTGLIGWLICEAIPFYGSL VSLIGSLGFGPLGICLPAIMWFCMHPQYRAGTLRMKVMWWLHVAIFVMGLFVTIGGTF ANVVVIIEQFREGAVSGVFQCADNSNTVDG PFICI_00103 MAIVTAPDGSKLNPEEVKALLAKAGLAQSDTLVQDWCTLLGSFE DSIQDVLSQEDDLPRPDMTKYPRTEIKIPENAGESDKGGWAVTCVAQSTAPVSSLLAG KKVALKDNIAFAGMRCLNGMDPLGKEWVPEYDATVATRIMDAGGIIVGKATCENACME ASSDTSWTGIVHNPYADYYSCGGSSSGSGRVVATGSADMALGCDQGGSVRIPSTFCGL VGLKPTWGLVPYSGILGLHADIDHCGPMTQTVQDNALLLEAIAGPDGMDDRQPRMLPE ESLKFSKSLAAFLSATESLSKPLEGIKVGILKEGFELSVLDANVEKAVRAAIADLSAL GAQVVEVSIPEHNQVCAAWTCTQALAGARDGLLGDRTGRKELYMTDRAGGPESQVTQQ RFDTWGPGAQNMYMKYLYVAEKYGALVSAKASNLIRKYTRAYDAALASLDVLVMPTIP FPATPSFREGGDHTTLERLTRMAGTVLNTAPFNATGHPALSVPVGFVSAPGDSSIWLP TALQIVGKQFDDLTCLKVAGAWERHKDWKTLQF PFICI_00104 MDSVAFSGLLHVEPPHSKLPSQPERALSVTHAIQNHEHDLVELA SLKPTDPTASGPARRAAGASYTGSPVAEEAAAEVFPSIFSSRKDKLRLAACCSMSFTG GLNDSAAGALIPYMETHYNIGYGTVSLIFVGVAIGFITAAPFVDSLQARFGSARAFGI CMMFLIAGYIPIICAVPIPAIVVSFFLVGFGLATSLSMYNVFLANLPSAGTALGMAHG SYGVGGIVGPLIATSMVSNGVSWSMYYLITLGLAIFNLGFAPWTFWTFEQETQTELGP VETSQRGTQQLKAMLQAFRTKVVLLGALFIFAYQGSEVSISGWVISFLITMRNGDPTR VGYVSSGFWAGITLGRFFLSPLAARIGEKRFVYGIVAGATIFELLVWLVPNVIGNAVA LAIVGLLLGPVYTGAAVIFTRNLSRKEQASGMAVISAFGSSGGAIAPFTTGILAQAVG TFVLHPIAIGLFAVMMISWFCIPTPRKRTE PFICI_00105 MRVRLGASHLLRLTRQYRAPANTYLPSSQWLDSHPDKKIMGRLM RTKYDEQELNLAIRGEKVPNCMGLEVGRRCVIRGMRYHDGFATELRGMFPEFTRALNA RSIMSGRIPEMQAPEDIPYCIWYPEVASEETYRRLAQTYPQMRYHVGRACAVAGYSSL LRELNLLPDVHIAAEARDSGNTAIFDSIMVASKRYSVMNDYERTVDLEAELQPASLPH VYLNGDTAVASSLDLKQAHTAPGYCNPGFKRSAFNITEDCNIAEESVSEDVLASRPDV SHWLYTPLPQDYPTNANKDVLILMAAYYGDVDRYARLRRSKYLKHEWACVLRGIYHNP LFAKWWSMQSKVPSDFKRAILARRIMNNDLTGITEQAPPSDFDLPYQIWYPDVASSHT YAELFRRRPEMKEQIARACIVADHWGLYKEMDVDANFYLLREARDAANPFFLQDQERK VEAAGGIKALSSAEAWKWRTRGREFAPASLTLKKNITEGCGIYTDVDGIYDDWHVDVG NIITNISYPDEFKKQLEVDIKEEFCR PFICI_00106 MRAGFLGIALCALGALGAPSLPRGAQGLPKRDQKAFSFPLSDGF PNIDGNSSALQAIQAQAHGTLPNGPLPTSIADVSAIVWSLIAFNELFEVAYFSSLISN ITGNVHGYEIGSTAASGVVLNALQTVQAQEKLHALGANGILKAAGRKTISPCEYVFPV DNFEDAIAFASTFTDIVLGTLQEAQTNFGLDGDAEFIGLVGSVIGQEGEQNGFYRSIG QPSRVPSSQPFLTASAGIFALSTLHQMVVVPGSCPDTIPIPVLDALNVDTDKISPQTA EIQFSFHTNDTKLDTDNLSLVYINGQNLPLVEKLSNVNNHNGMVQFSAPFPYQHYQMD GLTLAAVTKSSGPFANASDVAGHALFGPGIIEVN PFICI_00107 MKLLFICEALALDLLLFTSQSTALPPCQHPRWQHLDESTSSSTV NSEDELAVQTVSGVTKGFVNPSYPNVRQFLGIPYAKAPTGTLRFEPPEALSANSGAQV QATIIPNACPQIAASSGSVFPPQFFNQAPWDEDCLSLSIWAPKVNVSDSLPVIIWIHG LGLQQGSSSVGYQQPPAWIQRSGEHIVVAVQYRLNIFGFPNAAGLNRTNLGLLDQRVA MEWVRDNIAAFGGDPNQMVLWGQSSGAGAVDAQNFAFPSDPIVQGFISDSGINDYTTL NFLATPDDIVAFANYTDRYAKGLLSDKPSIFGSNTNEGVIQVPAPKDPVHMGPNQTLA DMATLGFFQCPAFASALGWASAKRKTYLYQYGGNFTDLSPLFWQGAYHMSELPQVFGT RGLFNGAASSFEVETSNTMQDMWLAFAKDPQGAESGGWVEFGTGNMVLLGSQDKAVQT VKVADVDKQCSALTAMAA PFICI_00108 MTKIALLGAAGQIGTPLSLLCKSSDLFTEIALYDIVHVPGIATD LNHIDTKAKVTGHLPDNNGLAAALKGADIIVVTAGIARKPGMTRDDLFKTNASIIRDI FQEVAKTCPRALCCIVTNPVNSTVPVAAETLRKAGVFDPTRLFGVTTLDVVRASTFAS HVDTSIDPQSIKVPVIGGHSGAPILPLYSQAQPPVHLDNDTLAQVIHRVQFGGDEIVK SKQGAGSATTCMAYAGFRFVKALLTAQAGTAVVEEAYVYLPGVPGGDALAAELGVDYF AVKVKLTENGASQAFPIGQLSENEQKLLQIAITDLRANIATGLDFMAQ PFICI_00109 MAARTPFILFFNPVRHATARFAELQKIARCEVVTSKSREEFFRD VSGKYKDISVIYRTSASGAVAGNFDADFIKQLPPTCKFICHNGAGYDQIDPDACSERG ITLTYAPDAVTEATADLAVWLLLGALRQLNPALNSLRAGNFKKGVDFGRDPQSKVLGV LGMGRIGRAIKARCEPFGIVTRYHNRRPLAAESAAGAEYVSFEKLLSESDIISVNVPL NAGTRHLIGPKEIAMMKDGVVIINTARGAVLDEAAVAEALESGKIASVGLDVYEKEPI INERLLKNEKALLIPHLGTHTYETLEKMETCALENARRAVLGLPLLTPVPEHEQ PFICI_00110 MTFLSARGEALATARILLIVLPAFLLYGYNQSNLGVLAYPSFTK HFPTIDTATTTGEAKSRNARIQGTVVAIYTLGCLIGALGIARVANRFGRRPSLLAGAV VVAAGMLIQATSFSLAQLIVGRILSGVGNGAINAVVPVWQSECSAPKSRGKNVVVLGA FVATGVAAAGWVNYGLSSISSQEVAWRLPLALPIVFSLMLMASTMLFPESPRWLVMKG KTEDARQSISRLAVGNQADPEAVELELATLTSMIRIEGQQPARGYLDLFKRDGQRLWY RLCLAIGINFCAQMTGANVISYYGTTIFKESLLLPSKKAALLNAGVLTWKIAAATFAY LTVDRIGRKPLFMIAGAGMGASMAGLAGTVWAIDNQASPGAGIAATFFLFLFMAFFPL GFLAANFLYSAEIAPQDLRIHLASIGTATHWLFNFVIAEITPIAFVSIKWRYYIVYAV IGFCVVPLVYFLFPETKGKSLEEMNTLFSEGNPFGKFHHFQSKSCLRRYLKQKKVQS PFICI_00111 MQSENSGQSHLEPFRHTDSTAKDVQQSKYSENYVADNKGAHPKT LRRESSKSANLRQARGYVIIQAVCRLVSTILALAIAGLVARSLAVFNATKNYKVLMSF ALVMPAWPSDYGRSLMATYLLLAAAAITLVFGILMAVTWCLLAEKTQWKGRLGHALSI VLPSISFALFVAALVVFKLYDGPDGIRGWSCMHQNIEVDFDSNEIGLGRVCNALNVAW GLGIAVAILEFVTLVIILINGLSARGREKKKKHVKVTRTGYHELEPMVDQELA PFICI_00112 MLGLRRRHRNPRPRSSCSICHQRKVKCDTISPCAQCIRRGQAAE CVYQQHGGSDDNRRSTRRHSVAPTPTTSSSDRENGTSEQLRVAGHRDHGLDPDTVQTL QQKIASHPSLPSPAAPLELPRNYSNTLSGTPQSESKLQTHDWGHEDPIRGRSHFSWAV HQFEPLIAYLGDSVAPGDRRKDRVPLLERTYEKWRKAKLHARNTILTASLSDELPGTN IIRILVGRYYETLHTILPVVDQTTFTIELDTLLNNPSNAPVYQLVQVLLILALANGTY PSHEAPLLPSSVYVWCDLASSVPTTALELGECTLDILRIAALLNTAKQTLKFNDTADY VYSGAGVRLAMMLGLNRAGELDAEKTQLWETVRELELHACLACGAAPTVPPGTDLDIM PSPPRRGCATAQPSPQGDGQGDVVGNNTPALQPLAILRQSLRTRTKIAVLVNNEEHMR FEDVMHLSRQLARDMKPISDMSNGPSSEQSFAHKYVAFIYHKYLAALHRPFATLHDAA FYLSRDISRNRAQRHVHDVCAAFRDKRPNDPFGALLIGNGTMFRVEAIQSALWLAFEL YRSEEYDNYMTIPGSSSGWDESTNVQLIEEAMDFAEKSLRKGELAGLAYLLPSLVLQD VEAQKIWTRGSDGYNIAMKTAGERMRNHFIKAFE PFICI_00113 MPEPGPHGPTLDAAGRPMPSGTSPANATSPASSLSRGNELSEDA AHGSSARSDAHNDPSGPLPRKRRRTTKACDDCRRKKIKCDGKRPCSSCADFNSDCTYA RSAASEKEAKSNEPASKSADAEALEKKLHAAESLLRRILPQVDLSNPEAVAASSQTLS SRPLLRPTPRKGTPPIAVPAESAGEPGRFITLRDRVSQLDLTETGEYDFHGSSSGAAF LSQIAQQFPGLFRYDSRLPFLPQSPSSFRSEALQHHGHAGEWTWQDKHDFLELPVRQL AQDLCDYAFSRASCILRVVHAPSFWKSFDHLYQGRPQRFTLEQRRFVGLLFSVMALGS MYDVDENDPTNPDHYAVAIHRGHNYYKSARHYLGDITETSDIVTLQALAFIIQFLQAT GNLNGCHTFVGIALRSALRMGLHRHFPHTSKAPIVDETRRRVFHTIRQMDIYLSTTLG LPLPLQEKDIDQAWPTEVDDEYITENSIRKPPHSRPSFLEASNAHARLMRILAQVVDH LYPPTGADRESEDMTYMISVAKIREIEEDLHSWHESLSPIWRPGPEENLEIARIKVLL RFAYAHVQMMLYRPFLQYYSQQKSTVKTVDERYFALATAGINVCRNIVHIGLEIRKQA VLIGPYWFIAYTQFFAVLSLLLYVLNNQDQPGVLDLFADAKLGKDCIAGFTQRSLAAD RVTTALNSLFDNLPDRFKSKGLEANRVSTPSPAAVNPKPQTPIFLQAILNFPDNHQRW PSDTVPTSGSAPPGSHTTASRHSSSPYISRPPQYEVPSSLMDFPIEDPFAYPLLPGVS LADNTFATLPEDSLQLPIFDANMNIEGQLLHFNNIHFSSPFPTSSTNDMGYQAY PFICI_00114 MGSTAKTDVQIMSLETGSWDTENEEKARRIAEEDLNRGHKQHYK GFVLLWLAWQSTGVIYGDIGTSPLYVYSSTFTSQPSWDDLVGALSIIIWSLTLIVSVK YCLIVLRADDDGQGGTFALYSLLARYANIVPRDPNKSSVIHLSRHKTNELRSDSRTFR SFIEKSRFSKGLLKLFGVLGVSMVMADGMLTPAQSVLGAIQGIKVIHPDLGTSTIVGV SCAILVLLFLIQPFGTSKVGTAFAPIVIIWLLFNFCSGIYNLALHDYTVLKAFSPYFA FQYLIRNGEDGWRSLGGLLPAFTGVEALFADIGAFGKRAINLSWFCLAYPCLLIAYAG QAAYISTDATETAYTNPFFYTVPPGSFYFSMVIAILAAIVASQAMITSSFQLLSQIMR LSYFPHIKTVHTSQRFHEQVYMPLANWLLMIGTVIVTAVYNNTTSLGNAYGVCVIITT FITTCMVSFVAIIVWKVPSPIVIFVFLVFGALDGAYISSVLLKIPDGAWFSLVLAVIL GSVFVLWRWGKERQWAAEGKESLTVSRLFNSSASQLSTSEAHATSLSADFGGGVVTTA PGLGIFFDKVGAGDDIIPKVFTQFVRKFHSRPEVVVFFHLRTLTVPTVPMGERFIITR VHQLRSCYRITLRHGYTDEVLTTDLRQVIVEQLNDFVVRMGKQAGSQTDQSAFIQDEL RRLTSAGQSELIYVLGKQMMRPRQVQSNLVRRSIRQVFLGMFLWLRENTRAKLADLDI DADNLVEVGWVNHI PFICI_00115 MSSIGETASRYSPGRRFTRNAELPGGHQHSLGLIPLVERPSIWR TALSKKYKQVRKRISLPTLKVTLPGGAEETKAKSETLDTIEETQANLETKYGTRWKTI GRGAYGTVSVFRKIDIGSHEQKLFAVKEFHRRPRQQFASYRDRIVAEFSLVSSLQHAN VIQILDLFYTGQDNFYEVMEYCAGGDMFSLVQSAGNLEAEEADCFLKQLMRGVKYLHE IDVAHCDLKPENLLLTPEGNLKITDFGFCHYMAPSKDGGVELLSGMRGSLPYIAPEEH TDDQFDPRVSDIWACGVIYMFMRVSRYLWLSAQTDDKLYTTYVKDRRVEKGYAPIESL ENTPCQNVVYSMLDPVPSRRLTASQFLRSEWGYAIQVCKSCDV PFICI_00116 MKPLVGINFITLHYTWILFMGLLSIAVFYPFGNVSAIDSYFFGA SASTESGLNTVDVKNLKTYQQLYLYFIPMLTNLGFINIIVVIARLYWFEKSIKRNALS IQQEGTSGLQRDIESQPTELINEDLDAEGTREDPLDMEPVEQRAPARITFAKDLATPT SDRKALQIPSPRDRDNGHPVVECDVTESHDAIRPISQTTRDVSGFWRRSMDTGHRLSH ARSLERAVSDMFVLRPDRSRDRTVSTADTGSTPTVVKRLPRLSRHITIGRNSHFSNLS MRDRRILGGIEYRSLQLLLKIVSIYFFGIHIFGAICLVPWIHRADRKYTDYLASVGQD KTWWAFYSAQTMVDNLGFTLTPDSMIGFRDATWPLLVMTFLAFAGETLYPVFLRLAIW TTSKVVPRQSPMQEPLRFLLDHPRRCYTLLFPSKPTWILFGIIVALNFIDVLLIIVLD LNNPAVNDLALGPRILSAIFQAASARHTGTSTFNLAKVNPAVQFSLVVMMYISIFPIA ISIRASNTYEERSLGIYSAEQTPDEVRGRSYIMSHVKNQLSFDLWYIFLGTFCICISE STRIADDTQPEFSVFSALFEVVSAYGNVGLSLGHPSVNTSLSGQFTTFSKLVICAMMI RGRHRGLPYALDRAVMLPGQAAVEDNEDGRSKVE PFICI_00117 MSFRRHDLDNLRTFLTGLVTVHHTSIAYGGAGGWPFKSAAFVGA SPLILGFNMFNQSFFMGVFFWISGRVSAQALQRATSLTAFLKNKTLRLAVPTLSYTLI VNPIMHALLQPDLGIESVLAFIRDYFVNLRGVRGPVWYPVTLLCFDAIAGLITTSHWR HIRRSKGAHLYELLRRYGWLAAAVLNFLAKTQYPVGRALPIINLQPAYMFQYIYAYTL GYLGYYQGEQIMRGPFEILSGSQGPTRTKSPERKFAKGISLRTAIMVSLLSMSIIHLP RYLDSIEWVEKTTEQLFGGWNLPSLLYAFWNEFSFNLFSPALMFDFQQRQSQQATRSI WNARYSYATFLVHTPFLYI PFICI_00118 MAKKTDASSPEHVSGQSNSPLSNPAHALSWQDVLKELGTDALNG LDSAEAETREKQFGPNELEGAKGVQPIKIIIAQIANAMTLVLILAMAVSFGIKSWIEG GVIAAVVLLNVVVGFFQEYSAEKTMDSLRSLSSPTATVVRSGTSQVIPSSQIVPGDLV EIKMGDTIPADIRLVDAKNFETDEALLTGESLPVRKTQNQVFSAETGPGDRLNIAYSS STVSKGRAKGVVFATGQFTEIGAIASALNKKDSKVRPVKRKPDGHAGPHRYLEAYTLT LGDAIGRFLGVNVGTPLQRKLSKLAMLLFGIAVVCAIIVLGANKFNSQQEIVIYAVAT GLSMIPASLIVVLTITMAAGTKRMVERNVVVRNLKSLEALGAVTDICSDKTGTLTQGK MVARAAWIPGKGTYTVEASDEPLNPTAGNIVFDSRNPCDINLKALKEDSSGGVKVEPK KLLDDSTANLQDFLSVASLANLATVHESQGTWHGRGDPTEIAIQVFASRFARNRLDLV GEGAEWSDVAELPFDSDVKRMSVVMKNSSTGQTWAFTKGAVERVIGACTRYRYRDAFD SSAYRDMTEDYRKEILKNMESLASMGLRVLALASRQIDSDLQVGADVDRALIEKDLIF RGLVGLYDPPRPESAPAVRSCHEAGISVHMLTGDHHETAKAIAIEVGILPLHMERVSA DIAASMVMTATQFDALSNDEIDALPVLPLVIARCAPSTKVRMIEALHRRKRFCAMTGD GVNDSPSLRHADVGIAMGESGSDVAKDASDIVLMDDNFASIVAAIEEGRRIFDNIQKF VLHVLAENIAQAGTLLVGLAFKDARGLSVFPLAPVEIIWIIMVTSGMPDMGLGFERAV PGIMQRPPQSLKTGVFTPEFLIDMVVYGLWIAALCLATFSLVVFGFGNGDLGEGCNET YSEVCDTVYRARATTFATLTWFALFLAWEMIDMRRSFFRMQPGSKKYLTQWMHDVWRN KFLFWAIIFGFVTLFPALYIPVLNHAVFKHTGISWEWAIVFIAAGLFFLGVETWKWGK RVYFRRAAKASQGAAWKDMDVEDRVFGEYLSGESFQRDDEEKANATSR PFICI_00119 MDATVNLAAALRQLRSLHDSPQKLPYRSAETYLWIDAICINQAD IEEKNYQVPLMADIYSAAARVLVWLGPGDQGTDRFMDWLLSSWRPAPGSSSERREAYV LNQPASSDLYWLSLSIFLRQWFSRIWIVQEAVLPEKDPLVMCGSRTISFAHLDGCLRA LVNDHGWMGYKPPSPRIKAFLEEHVKDFDNPGDAIQQVFVNNARLKGLIGMRSSESSK WLYSSMEQALLDTMHHEATNTRDKIYGLLGIINEKSRRRVIVDYKLEVWQISSQAIIA NSKTTGFLQAAISQRHRSNVEIRGPLPSWLPDFTQKPCYESSLFKLPWIWRHYHGEAR PRYSTDHKVLTVTATMIDTVQMTKLLDFDPYEGQDDLREIERMANTAQMIILGADHPL YSIHHDLRVELWVVLMLNTTATFFSNLDPHDRVEKHRSLYKLLVDGNPQDKPKRPTNL NALSDWYWTEDASLSLFTKEMHRLTHNLSFIATSNGFIGIGPRNLESGDRLAILEYTS YVVALRPRRDTETTKYVILGPVFIAGLSERYGMLEKLGRDGKLTNEKVDIV PFICI_00120 MMEALPDELLLQICGHLSLPHNFTGFVGAGSFRDETAALSRLCR LSKRLQPFAQRALYHYIPELSDDIRYRLLRTLVECPHLASLVRGMYLGYGSITGPQLV SLFETARPRLNVPESLEQKILAGVEHRAEGFDHAFILLLLPNLEQLEIECFYETDFEM CDFVVETRAGADGGPPAALARLRELWLRHNDTEGTTRLKPRGLLTLPTLRTLRGWSVE WSMETQQDQAEGSPERLDGHSSVEHIDFAWSLCNGNSLSSMMSRYPGLKMLSIEWGSA TVGCDDDLDFTAIGDALRKYGSSTLEGIKFDCREAFMYEEGESTGRIGSLRELSKLKT LTLPHDILVGEDDESDEDSDDEASNGSGLLQLDEVLPTSLEKLHLLSCEGDEGQLDDQ IHKMIAGKKMSNLQKVIMARRRTSFRHNVSEFGWVSYQAWGKVILCTRAEYRPMSKKV EEWSLKHGMQVQI PFICI_00121 MAVGAEPRVARIAMFNADTPVPNVLAKRGSYGAIFHGLLSQAAR RVAPHLTIESEEFNVVLGEYPEDPAKFDMLLVTGAAASAYDKDEWISRLGEYLRDAYS QQPQVKLFGSCFGHQLLCQTLLADHGAVVEKDGKGWEVGVHPIRLSDGFVKATGLQSD GKWASPKTNNGTAVVAAEPKTMRLQFIHADHVILPPPTSMPPNWFVVGSTDHCAVQGV YNPGRVLTYQGHFEFDRFVNAETLTVFGTRWNGEVLQSDLDKVNFDDDSEFAAEIVVR FLLDKPTQISDL PFICI_00122 MSSHGVPEVLMVKVDAYVDSLAPQIQPRIASEIDVFQQKTIDSL EDHVVDAFRSLFNKKDRSEDSSRGLTDAPPDSYGHRSLPFADEVAQLTRSFSKITDEA EDDLRDIFNLTEDADSQSRGGGDVQSRGFNSNEGLSGAKGFLSAAINVVQDHLDKNPG GGPKFELDGLLGVMSNTIKDAARSPEEKARTIAPEIKEQVGAKLREQHGPIAEQFTRV ALDHIKKWLRGNSSARDLGDGAKAEIKDHVEDLVKGLGGLFGKKHSDEGASRGLGDRD GEDGGSGGGFSKVISDKLTTGLAKVHREVRIEFRKILGEIEKRLFELLPDQFQRPLEK ILGGNPFDSQLDRDAGTTADRGFGDDLKSKLVEKIRGLVRKLQETLRQSILGVVNGGH RKLELESWLFVHGMVEQKVQKYLPKVKISVPDDIGNEGVSVGAPVVNLGGGHQAVPPA STEPYQQHGAQSYNPPPQQQSYQNYPSSNEYQGGHYQQQSYNSAPQHQNYPPSNQHGG QYGYSQQAPDPQYQQHQQNYPPNYYQQ PFICI_00123 MAGLLSCWIATVIAIIGYSLTSSYVAAVEVLGTKYPDLRCETNA PPQRALNFTSVSVPVGPRPWGLVYLNENFAFAAINFSIGVLDTRQFEPRLLNMIPFPE EFQMGNEDITSDGYGYRELILSHDKRNLYVATGYGAVILDTTKAILGSNESIAGVLSS NGYVGRSAVELSITPDDKFVFISQEFGSNASYNRGAVEVYKVTREDDGKVSSIWRGFI ALGFAVVGQQFSKDNTKLFVTSELNTTKGTVNDTAGIISVLDVATLKVTPGKALITRL NNGCRPVRCDVSLDGRQLWVTERDANTLAIFDADELALGNNNTDEVLLGAVTTGTSPI GIAEVGRYVLTADSNRFNYENATTGVTVVDTITMQQLGQIPTSAFPRSLAVSPSGNRL LVSEFGAGTIRAIDVTLLNRF PFICI_00124 MHGQAILATALPLVSYAIARPLESRDASTDITITKLSATFPSSG PYGDPEVDSFVTISVTYPDPAAADSATLSTTCTVSWPSGTDPAPTEWTDCVDPTLSFR LPTDGWTSTGNFQVELWETLTSDGAGLDAAHLLRMNPSDPSSADSLLWCQTYGKFTAT QCTLSGPYGQTPRTVTISATEGSARPN PFICI_00125 MRIIKVLVASLLPVVAVHAAPSAEADISPRGQSCSGGQYWDDSA KCCKCPNGKEWQDNKCKHPPMPKPNCKGNEHACCAKDKNDWCAYDESKKECRNNGKHV TFCAQPGKEQEWCDDYWG PFICI_00126 MLKTVILVLGLASSLIGAAPSYVATTDNISDANKAAGSVSDNAC RVEELPYTAPTFRHPARDVCVDIEALRKVKIYSAATCQNGTEALFARYRAPGCVGEPA ELQAIGEELLGACLSMPSRGAGSYGFWCDGVEERAPLDPAPASPPQYSSGGGFWGLIG ILLLIFVTMLLIAVLKLVSFISRATHAGNKFLGIFGQREGGIALR PFICI_00127 MGFLTARKLAARAGKGVATKQIGLYENMARWIARGIQLIFALIV VGMYGHRVDEDRQDGHSQSAAWMYATVVAAASCITCVVYCIPFVPVYRLWVVDAVLSL GWLVVFGVFAGIFLKRESGTEYEGTSVRLMKIAVWIDLVNVILWMATTGWGVFRTFLS KKAKHFENKIDNKLDALEDQAMSKISEKLPRRMDPAHIV PFICI_00128 MGIESMDQGARVRNFVFARLSRSRAIVLGLIALFLTFYVLSPYD SSLRSTLRWQSTVASDYVQHLHPDDKWLFKEQKYPIDPSQDIAVIVKTGFGTRNRVPN VLAALGNETLDNDVLIIQDYPVNTRKPYITGNAKTVETVDIISWMVQNHKLADHGKNE RLMKYEHLVDAIEDEDYFMADTLAKGYGWELDAMKFISGLQYAWEKMPKKKWYVMSDD DTYVIKSSLALALGHLDYRVPQYLGNPVGDFLGRFAHGGSSAVISGATMAKLFDKNPA AVTEAHIESISAVYGDKLLSTTLMKIGIYLNEDYARLFNGEPPHMTRMWADRFCLPLV SFHGLGDGDLMEETNEHFKHMNQPVFWRQLASIYGAADFQSFVAEPVRVNLDFVGRLD EHSTTVVNTETLDDCVRICGDHSTECLAWTWDQFSKTCHYAPWAIIGDHREGFLSGIN YALAQKLTEGCHGPRSPAAATE PFICI_00129 MDQRNEEKVTSNTLKSRDLPTSRMHRRPQPPGVREDDQSPPGDW TPSSSMSGGNRNHQPWPEEILRDLDKYLGQHKDAKDCVYLARHLFNGSEYAGDGDVTH KILGVFRSLESANVKAMEYAWKEYDHFFDMRVVNKLVDDDEYDLEDTLAWWVDRKHGD LTLKGFNMEHSGKFKIWVEKRRLQT PFICI_00130 MPLGWYLAQGLIPLGPSSSDDEEGPCQLPNGRIVCSPHGLVTCH RCCSDYTVMEDVLSEEEGEEDDDKDDEDRDAHSARNHLQQQQQLPPWLPPWGGLAYRP PGTFQDAQPEETEAEAIYWNLSPETRAIIYRVYGPPPPRQTSDSQAQATLNRLRQNMP PHPFPEKVRGTGHIFPTKFFPLNTTIKPMELFPATMEDEGGILRYTHFNDRGKLLVLT DGACLNNGQPNPKAGWSVQSGQRSTGVQACLAGRLETTGPFGDESAQTSNRAELRAVI VALRSGHWRRLHCHAIVIATDSGYVVEGATKHIKSWIRYGWTTRQGTGVKNKDLWELL LGDVERWDREGVKVHFWKIPREWNSVADYSAKTAAAGERVSAEWRDPEV PFICI_00131 MLSPISDLVPRLSACAASSAREDNRIASYYYYYYYYYYYYYYYY YYYYYYYYYYYYYYYYYYYCMVQ PFICI_00132 MWSQAFGILAVALPLGSALNISVSSDGGNATSGHQYGFLHEDIS NSGDGGLYAELIRNRAFQSSYRYPSTLEGWYSVNGAVLSLQNLSTPLSSALQTSVNVA AGDADGAIGLYNDGYWGMDVKQKTYTGSFWVKGSYSGDFNASLVSNITGEVFGSVAVA SQSTPDEWVEHTFELVPSVDAPSSNNSFAITFDSAGAEDGSLDFNLISLFPPTYKNRA NGARVDLAEVLEAFHPTFFRVPGGNMLEGLVNSSYWDWKNSIGPLKDRPGYAGVWGYQ QTNGLGLLEYLELAEDMGLELVVAVWAGLALNGDITPQDELQPFIDDALNQIEFITGS ADSTWGAIRAEYGHPEPFTLKYVEIGNEDWLAGAPEGWETYQEYRFPMFLQAINDAYP DIQVISSGSVLDGYDIPAPADGDYHIYGTPDDMVDQFDLFDNITIPHLIGEAAAVHPN GGTAWDGGLLQYPWWGGAIGEAASLIGYERNSDRILGALYAPIIRSLDRWQWATTMVQ FAADPALTTKSTSWFVWELFAGHIFTHTLPATSDFNPAYYVAGKNEDTGAYIFKATVY NSTEGADVPVTLNFEGVSAGATAELTVLTGPEDPYGWNDPFTGVNVVTTTKTTLTADD AGAFEFSLPNLSVAVLDTGAVSNYTTRSMKYGKRY PFICI_00133 MIGQSLPEPATELGRHRIFSSTAAMRVSPLQLGGMSIGEKWAGF LGSMDKETSFKLLDAFWEAGGNIIDTSSNYQDEQSETWIGEWMAQRGNRDSMIIATKF TTDYRSYAVGKGPQAANFSGNHRKLIHMCVRDSLKKLQTDYIDILYMHWWDHTTSIKE LMDTLHMLVVQGKVLYLGVSDTPAWIVAAANTYAVEQGKTPFSVYQGRWNVMNRAFER DIIPMARHFGMALAPWDVMGGGKFQTKEAIEKRKEAGEPLRLLSGAHQTPEEVRMSAA LAKVAAEHNNESVTAIALAYVLHKAPNVFPIVGGRKVEHLQDNIRALSIKLTDQQISF LENVRPFQLGFPHDFIGPDPQLTGKSNWLARTSHVVFPNAQKQKSI PFICI_00134 MKTAAVLASAISLAAAFPQSLGRRAGNESILVDEAPQYARPYAL RKGSGQAVQVGSQIYRFSVTGNSSDGAFTLMQTNAATGAGLGVLPHIHKTHYENFYCT KGRVQLWAETDAAGESARLLSQGDYGAVPHNTTHTFQIMDPDTQLTGVIQPGGFEALF IALASDTFDSAVGSEYIPADISDTTASDSSVISALEAFDVYAQLDFNPRRDLVNGSAG GAGNWHNGSNSLAADATTPNFVAKGWGPKYLNTDGGIYRIMSPLATGDQTAGNFTMGN MVMSRMMSNMTAETVSYPAAVAFQLEEGQLVVDVDGYESVSLIQGDVIFIPAETSFSY YADAAYTKVLYVTGGGEGCMDYELMARAESWNYTTYPVEAGYKAKY PFICI_00135 MAAARTTPPPEEAAHSNAECKHKHGYSMLLDEAIISRHSTRVFK AQPVPDEVLRSALELATHAPSNSNTQAWRLYIVTGAALDRLKAALYKEASSGAAPNIP PLPEQFRASRSELGRKVYGEGWGIPRDDAEARKNAVLRNFQFFGGPVGIIVCMSKQLP GFAAMSIGMYLQTFLLALTEQGIGSCVQVSIAGYRDVVRQAVGVADDLEILCGVAVGY EDEEFNVNKVRIGRFGVEDTTVFVKD PFICI_00136 MPAIPASATLLHALVDRDIKNATADPLQVVCAWPVSGQYGPGSR YLYYVLVIACVLARKVEWLKRACLAAALILPAVAAIHGIVLAILHTDDAVDMDLFGAF QLCSIGILAAPVTVKLSDTYFLDRGRNIIFAWTGLILAGLLSLTVEFYRTNSVPCPEG PAGEPLVNSDPGAFPYDETFKCKLQCDIGPGNPWSPMRAWDGSTNNIYVVPAPYVLTF GTATLLAAACCLPAILSLVTMWNRIAEFNWRKRFFPTRNPEKPDDIIEGTNGATPLSM SHMNEDIKKYLKIAVELPVFGGAVLAILVIGEKNFWSRPVSYMTEPIASVGQWGSIVG TGFAVLGSMYVILARKEEEEDDAGSQVPDTKEPHADHHEGLFAQQHARPLPTVHQSRH PDSPSDGRHESSPSHEFGFSLVSTITGRSHRTFGTDAGNRRKFAGYLSKVSDYIGTPS RKRYDVSEFQQGPAMNYPEIPGETNRNEHLSHLRERWPAASIREVSSRNGSPDSHVDG ENENGPHVNQTPESPQSPASDQWPTMPPRAARASTLPTTGNRSLTFGEPMSPGESRGR PRSRRDTLEVPSANHLSHVRTNLSLHSVPTIALQASEHLPAIVVSAESEPTSAVEGPN SHVQNYPHGPA PFICI_00137 MAQDGDETDLNTNQIREWRSIVTLIVFVLTNVNVLFPYHIPIYV PRVLVSGILDALSGLRIISPRPARSHNVGPGAGKVSAFCRFRFPMNFLTAPLIADLFL LAILAIGRDEVYGGVIEANNIKPYDIMLFFISLAYIAISIDASGLIRWLAFKVLQKGG GAGHRLFLYLYTFFFVLGSFIGNDPIILSGTAFLAYMTRVSSNIVHPRAWITAQFAIA NIASAILVSSNPTNLVLAGAFEIQFIHYTANMIVPVVATAIVLFPFLLYIIFNDERFV PSKINIHELPEEVRNKKPVNPNIPNARGRAEEEENQHANDEEGQLLSLEEIMNPFLDL GGAAFGAVIMAATLITLIVLNAQGKETPVFYVTLPAAVVMLVWDLSYGWIHRKETRRI AEEGRKDVEQLREEQAREARERQLHAGLADSAQNPHFDKGQTENLSLAKTPSPEDKIS NDEKTPEPSRSNTEVDSEITEKTEQQPSKDTLRQRQGLFTGQAPEYQGAREETKSSET ETVVDRSAGSEGKGNQNRSHRTLTMILAERYRWSQETFPTMTAVMSHLPFALIPFAFC MFTLVQALVTKGWIAVFAYGWDHWVAKTGTVGAIGGMGFLSVILCNFAGTNIGTTILL SRVIQAWVKINELRDTHITERTFWATVYSMAIGVNYGAFSTAFSASLAGLLWRDILAR KHIRVKSLDFARVNLPIIAISMTVGCAVLVGEVYIMRPDTPYNP PFICI_00138 MSSNNGTSFNLNIFQSSSSGSDGDSPSDQVDGLAPSASATDGAV PRASAEHKSGPGTWGRRVRFNSDAIKHAIFGSNQFEAEKESQSSSAMQVADPAQPAAA GGDSSADNSYRFDEIYSSQSSPTQEDEYLRSFENGTATPNGTTTDGSQQTHTDAIQDP GALREAESLLRAHMPDIHVSTTEPEGRAAETTQTDQDHIVTVNPRGGLFFHILQGYNN QTQHNNRKNEKKDEPLPPDGKSSAPSSRPSSRPSTPTRRKWYKNSHQSTPSLDTVGQL LESSSRLAAQSVKAGVPLNTPPVMKRPQAPRTASGRIKSLMGLKRENDAKITVHVAGI LQRQKYILKMCLALMRYGAPSHRLEEYLSTTAKVLTMECQFLYIPGCMIISFDDVLTH TTEVKMVRASQGVNLGKLKDVHLIYKEVLHDIIGLDEALSRLEAILKAENRWPVWLCI LMYGLASTAVSTFFSSRLIDMPVIFVLGSLLGVLQLVIAPVSATYNTIFEVSASILLT FLSRAVASVRGGNLFCFSALTQSSIAMILPGWLVLSSALELHSKAIVPGSIRMVYAII YSLFLGYGITVGTALYGAVDPDAVSSTTCQNPLSKYWAFLLVPFYIFFLLFTVQAKWK QMPVMILIALAGYAVNFYTSQKFAASAPIAYTFGAFVIGILANLYSRVRHDVAAAILL PAVYVQVPGSLASSGAITVGLKTATLLTQTNGTDSTDSTQLNALAFNFAASMIQIAIG ITVGLFGSALLIYPLGKRRSGLWTL PFICI_00139 MQRGTMDCGNDWDRPPKRARLAERETDAANSLTLMQQNTRLAPL QSLGAHASASNESRQLEGQLPLWTAYAEQTSHVHFPYQHSSQPQSLQNFWNAPEFGAN LDQPSQRPPRGSGQPRNDGHQPTAALSYNTHASPATAPSNEFLSVADDSRQVESPTIP LGIYETSSAVDIDVDSNHQICCFGMIPDITGSFECLGIQAVQSPFDVNVESAQKFSSE EYQVNGRIDTKHSYMIQELLDVHINIKVICIAKTTSTTKKARSNVSVPCELQLTIYGD LELFDEVGEWLQGSDIYLQDPMVCHMDVKYCNPHRLSFIDIHSCPMVSDIVKGKPGLM FRTISERSSLLDTISNVIDLQEAPQPPAVKSSLKRHQKQALYFMSCREQGWGNHHDIP DIWESVDNDRGRIYFNSITEFYQSNVPRQIYGGIIADPMGLGKTLSMIALIAADLDNT STMEMDEGFERPEGAVAPSIRATLVVVPPPLISAWEEQLSDHLVPGSLSYSRYHGSDK AATRTTLETDRLVLTTYHTVSAEWKQSTDSGDASLFSVRWRRIVLDEAHFIRNANSRM SRAVCALQAQARWAVTGTPIQNRLGDLSSLVKFIRAHPYTDIKQFDADISHLWKSGED EKAVKRLKRLSSCLVLRRPKTTIELPHRFDLECPVDFTAEEKQAYTSIHQQAIVRIDE VLQSNGASFKSNVFVNVLQQIEALRLFCSLGLRYNTRHRISDSSNAEIDDWNKMAQRT FNTQRQMGPLPCLQCESTIDLTESMYNDGNQLRQEGRFFKCLKFLCVECTRKSTSVLR CGHRPNCPAASVSMDSNGFEDTDDVHVRASIGGSLQFPSKINALVADLKSLPPNTKCI VFSTWRLTLDVVEAALEQAHLPCSRFDGKLPQKDRQGVVDRFRKDSSVRVMLLTLSCG AVGLTLTVASRAYLLEPHWNPTLEEQALARIHRLGQTQEVTTVRLYVRDSFEESVREV QKSKQQLANVLLSSHGEGQSDTSLSVLEEFDETLLRSLDSLSPPKGIDEIAVVKAPQL YEFDREANVQVLEDFTDSGGFRPILFAPDADALRPRPLEIGRHLGTWLRSFHEWALES QQAGLRTQLGQKDPVRKLKCSVTFDVFLKVLETFPELLNGYQETLQAVQAAMTKEFAK PATGEDEGWGLVHGDLWSGNILLSNPPWHEPPRLGIIDWEFAQFGHRSYDLGQIVGDL YEKAVYLKADTKSVMEAIISGYGVVSDDMAFRTAIYVGVHLIGWHHRRPRTAPPVAPE VITAGLKIGRDFICKAWEKDRHFFESTALKSLFTPK PFICI_00140 MPSKFGPAASASARETIRTAFEELDRTITPVDSRDFHSTTLEDV RSAALEIETQLAVKQSLRNLRRLMPLFQGLEHYAKVVDVLCNGTPYLAWIWAPITLIL RLASEYVEAFEAIMKGYSKIAESLVRFEILQKAFTGNQQFQQTLAVFYSDILQFHKFA YTFLRRSSWKLMFLTSWGRFERRFHGILEDLDRHGALIDQEANARNIFESRQQWQEIQ AWREENYERLKRQENEQAAKQYGYIKSWLKADDSEQLTIQDLASFEGNQYPGTCTWAL DNQTLRAWLRKDTNSCLCWLQGIPGAGKTILLTQIQAFMRADSRIVLSHFLSSSYASS ASYDQILRSLLLQLLQQDEDLTAHVYARYVMEKKIASTSALEQLVKVLFTTTSQEPRS TQFIWVLIDSFEACESNKQASLISLMNQLVSKNPRSGGTVCKFLIASRASPLLSKRLR NDQIISMTKEKQALDVAIRVYTSHRLDRLRDRFDQLHLGHNNLEDIQSAIAKKLMVSG MFLYARLILDYIGRKIFYSADEVRRSVDDLPRELADYYREILAQIIAPLDKQSNERVR SLFGWIAFARNPLKRLELLSAITFSSSDHLVPHLVPDFILTVCGALVEERPNATLAFI HSTVKEFLQSTTSNLPLDDTISIHEHGVASVTCLRAGLHVFRSDASRHAQNLRVVHGL HGFHLYANNYWVDYLLEIMKISSKPINEVPLYQLAVDLAAQANQNEIQSVFDNEGERS SGDEGLSLIPDVQLRRLVRLTLKSRSHKTTEQQIFVVDDIDETLKRRDEMITQTSLQA ITSSYQDIVVFLLEQRDYPEISSEELQRFKNQFRNSGFTCRFDKCHFATIGFDSYAER NEHELTHSKPFTCPITDCKYPAFVSKAAVQRHVNKIHVVTIQRKPLRRPGSVKPTTKL NSSTMKIGPISNRHTPRPMAEISHDDPIRDLSAPSVPDQSAEKHRVQVYEFRYNQWQD RGIGFCTADYQIESDLDDAVVTVESEDPPYGILLELHLPAPTIFHKQQGKLIRWYDPR EGVDMSLGFQEVEGCTMVWRCIEQVQGLEDAVDEDATFKSLVAFVHSEEGSEDEIIEE ALEDV PFICI_00141 MISDITAAVVGFAGFYIFLWALLWLTQDAREPPMVETAIPFLSP IIVMLMKWTTVHEYLRDKYGYPIHTLRLPGTRAYVVNDTSLIPAIHKQLRTLTAAPFV VKAFSNLMGVSKEAFEIMGRDPIEDHGFVHRLTTESKNSLAPGPNLDQLNTEVVDILN NSFSAICAAQEPKTANLFEWASHEAMMATTGAIYGPLNPFNDAKVRTVYYDLQAGLLR LLMGFMKPIFAKKPLEALETITEAFEKYYARGGLETGSSAFARGRYSCPREHGVSEKD VAKMEAGGIFALIANTMPAAFWTLYHIVSDPVVLADCRRELHGAVSEKDGQAHLDLTY VKNSCPIMVSTMQEAMRFHSIDLAMRAVVEDHMLDGKYLLKKGATVMIPGKIQHTSSL AWGPNVNEFYHKRFLKMPGTRTHNPVAFRVFGGGATLCPGRHFVATEILAFASMMLLR FDIKAVNGQWSTGGYTQTNGGIRLPRQDVQVELLPRDNKQWHVAFAGSGKATDIAEGV KDSEESMTEK PFICI_00142 MIFTPPSWLPAIEQDLSKVGTVGDFALQGTLGNASGPRVDEPTF ISASTQKERTPAQIAQNVEALAAGLAHELQWSPNAPAQGGKVVAILSENTVDYLVYCW AVHRLRGTCLLLHGTTSPQENAKHLQRSDCRTLIVSPALLQSGRAAAAAASTSEAGIR VYLTERVATDSAQVNVNGNSDTDLKTIEELVSFGKTLSPLPALDWSPEEAQSSIAYLC ATSGTSGVQRLARLSHRGIILNILQVSALESTIRHRDVEIVLGVLPLSHVQGVIASHG TVYLRDRLILHTTFDMKAAMMSIQTYRINRLYLVPSVLAALVGNQFLFKIFDLSSVDT VYVGAGSLSSELYAKTKAVQPNWNLVNGYGLTESSMVVAMSSSQEPGPGPVGILLPLY QARLRREDGSDVEAFDEPGELLLSSPNQASGYIGDEEAATATFRDGWLHTGDVAIFRQ SPRGDSHLYIVDRLRDMIKVKGMQVSPVAIEECLRQHPGVADVAVIGVPDNVAGERAK AFVVPMKNPDPTAKPADPETLFEQWDEHVQSKLTEPHWLRGRYELLEALPRNTSGKVA KGLLRAM PFICI_00143 MSNKQFPEDEIPSDMAYRTIKDNLVDDGAPTLNLGSFVTTYMED EAKKLIIDSLSKNLANHEAYPVTTDLQNRCLDMIAQLFNVPTNGEPDVTAVGTSTVGS SEAILLATLAMKKRWINRQRSAGKDVSRPNLIMSSAVQVCWKKAMLYFDVEPKYIYCT KERWTMDAIEAVELVDENTIGICAILGTTYTGEYEDIKAINDLLAERDLDVPIHVDAA SGGFVAPFIEPDLLWDFRLEKVASINVSGHKYGLVYAGIGWIIWRGREYLPKELTFNI NYLGTEQSSLTLNFSRGASPIVSQYYNFIRLGRQGYRRVMLNLLRTTEYLATKLKDLD CFIIMSKRHGRGLPLIALRLDPAKSYAFDEFTIYHELRQQGGWIVPAYTMAPHAEDLK MLRIVIREEFSYALCDALVADFRAVLGRLCKSKFTPLAQKEIHAIDTAVIIV PFICI_00144 MIQQDFQDSHSGDIDQAGSSNTTSTTPWEALQFLPRTPPGVAEH EMTNVEKTSLKNRKQRPGIWRQWWAEILNTVLMIGSLCGMVGVLYQNQDKPLPDLPFT ISINTVVSILSTVLKASAGLILAEGISDLKWKWFRINRSLHDLAVFDMASRGPWGCLR LLIRPRGTHFIASLGAALIIMILAMDPFIQQLIQFYDCKMISTTTNATLPRSMLYEEA GQHISPNSYPPATPVLGFVDQGLYNPQDIKTPFICATGNCTFDNTYSTLGFCATCEDM NSQMRIFNVTTGYDTFTNAAGETVLVPKVMTNTTLPSGSYTSIGQLDSSNGWFRINAT SDGWIDVIQASLAWSGGDEHLQTDHHLATVIRPDPGGCNIASDNNTWACSGFGGAGAA RCKIRPCVKSYNAAVDQGEITETLVKRPAQMYRADMGSRPWLAADVKCAGSEAVSHLQ NAGFRIADDDIIIPWNVMVNER PFICI_00145 MSSDGTASIYVESDGDSLDNVTVPGPPLINTSLPLDIIPANCLY QMTYAAGSSILDYINEYFAGAIVPSSNDVGVFGSPQLRVVFNDTYANFDSVNAIFDRV AEALTVRVRTYNNSDSPDFRPASGKVFVEQTCVSVRWPFLVFPIVVVVLTTVFLASIV GYAALGKAGAVAAGWKSSILPMAFNGLTMDRSVSESVGGKIGLSSSLDTMESVARRTV ARITDSTDID PFICI_00146 MADSAQSFCMLRLSWSLSFDIITSFIFGLDAGTNLLDDLSQIDT IASFYDDRYPAEAFWKKEAPWVSNVLTKCGWSPLGRNKRYQLAREYLEAWTLRMCSAA NTTLDRAQPPLPESGAYPVVYAQIKKCVDDEYPILDSESKMKMIASELFDHLSSAHEV VGLMLAYTLRFIGENQTAQRKLREEVRRLRSKDLSALPSAATLGELHYLSAVISESFR MRPNGTPLPRITPHDRTVRLGEFNHIPGGVRVNTYQWFLHRDPCIWSDADKWVPERWL NHDGQFEAKSSHEPDRVLWPFCSGPRMCLGNHLTDYSTFRRGANLL PFICI_00147 MESSTLREDIELFRSVMWVLNALEGRDLDFLGQSFPPLLSGGVP PGAHNDSRKTRPETDTEDEESGDEDRMADDEDEMEDDDSEDDDESDSDEIEEVMVNNQ SVDGDEYDHGEESEEEEDEDDSETDDSSEFYEELQSLADDPIVSSYQEQATSTATPSQ GPVIASGQVIQQSDADLRCWEHGCNGRKFTNRSNLRRHLREKSTARPTCRCPRCGATF SRTTARNVHVARGSCNRIRRYSNGRIRPNQRIQDEELCWSLNSA PFICI_00148 MLYQRQQSLAPQCSIDVEGVWGPRVHGCGNDFDLTLLFQEVVLS IAPLGIAICLAAWRIWHLWQQDVIVASPLLHRLKMGGYSLLALLQMVLFVAQVSLQTP LTRATTAASCLGVVGSLVLGLASHLEHERSVRASTLLLLYLGYSIPVDAMRARTLWSM PRDVVPVAAVFTTFVVCKLVVLVLERARKVIRVGSRQPTPDERADIVSRGFLWWLLPM FSLGKKKLPLTAEALPDIEPKLTRPGDIRVEDDGDDDERLSKLSIFHHLFAVRGWLLM SAIPPRLCYTGFLFAQPFLVQRATEFMFEPFDSNTYKIGGSLIAAYVVVYVGIGVTQA FYRQCTARAITAIRADLVNKIYSHTLKLDISSHFRESASTLMSSDVERFATGSRNMHE CWGCLIEVALGLWLLQQQLGVAIAATGGLTAAFIGLTCLIVAPVGARQNAWLKSVETR ITATTQSLKAIKGVKMTGVTGTIREDILRLRKAEILGLRKFRNILLVVAWAGWIPVIM APIVGFTIYNVVLGPQSGRVLTPAMVYRCLTLFSIFGNAVGSFIDSAVNTVTAIAALQ RIDSFLHGDNARLDHRALLQSQSPQEDEMNLLFPRLRPAIPWIQLHRLSFGGRGPSPA LRLHHAFAGWNADAPLIVQDVNLELTAPSVVAVVGPTGSGKSTLLQLLLGETRCAAGS VAVSNMRIGYCSQTPWLTNDSIRNNIIGSDVFEEEWYNTVIQATALEQDINMMASRDN TVVGNDGSNLSGGQKKRVALARAVYTRAPIVILDDAFNGLDGRTESSVLLALLGRQGL LRKQKSLVIWATSSAVQQAWVADRVISLTDSGSVRKRDSLLMAVTRTHALQERNFKDQ DEDDDEIDGFERRPFSTMELVQGLVLGSLPKDVASTSNAHAAASDTLVYKYYIGVSGK RNFLIFIIMCIIFVIGITFNQIWVIRWAENNILHTYDNQAYYIGMYFGAGTMQLIAWT GAALFFLTSISEKSANRCHVALLDTVLRLVPCIYNLVPQSYGFPYQWQSTNHETRAPM SFFDSTTAGETINRFSQDLQLLDTELPYTLVGATLQFLTALGQFAIIIYGSPWSGLTL PVVGVALYLLQRAYLPTSRQLRLLEIEAKGPLFSHFLETLNGLSTIRALRWTKAFVHR NRDLVMVSQKPFYLLFSAQNWLNLVLDMITAGIAVTIMCVGVATKDQGNSTLGLALFS TAGFGASAKNMIQHWTQLEISMGAVERVRLFTQGTAAERHADKEKSDPRSWYRSGSIE FQNVSARYTPSSLLILRKISFYIKPGQRYAVCGRTGCGKSTLLGTLLRLVTIESGTIL VDGADISKMNPDQVRSRFITLPQEPLLIHGTLRHNMQLYRRDCTDQDIIDALDEFGLW QTVQSKGGLDAPYTEDLLSHGQKQLFCFARSTLQNGNIVILDEPSSQADRSTEEKMED AIRERFKHQTVLCVAHKLSTILSFDTVIVMDAGSIVETGSPYALLQDKWSLFSTLMRS QRAQED PFICI_00149 MPSTGDIMGVFNGHSSSNGINGNELTELGASNEVPINGTSGPRV NGTNGYHVNGKNGTDANGTNGTHSYGTNGTHVNGTNGGPIDSVSGGSLNGIKQDVGGD DLEDMPIAICGTGCRLPGGIRSSSDLFNFLSSKGDARSIVPEDRYNIDAYYDPTGKPG SVATKYGYFLDLDLSQFDASMFGMSNAEVATLDPAQRLLLEITRETFESAGEADFRGK NIGTFIGGFTTDWEDMQNTDPLNFAPYQMSGKNDFALSNRLAFEYDLLGPSINMKTAC SATAEAVHTAVMAIRSGSCPSAIVAGANMILTPRASIGMSAMGLLAADGNCKTFDAGA NGFARGESVCAIYIKRLDHAIRDGNPIRAVIRGVDSNCDGGDGSRTFGTPNAIAQEKL IRQTYTAAGLALADTSVVELHGTGTPVGDPLETTAIANCFGGDKKVYIGSIKPNVGHG EGSSALASLIKSVVALSNKTILPNIKFSKPNPKIPWDRNLQVPVEPLPWPKDFKERIS INSFGLGGSNVHVRITQPRGGLTRNGEDVTTTPKHKSLLLLSGNSVGSIQQLSARYGQ YLEKNPTHLDAMVYTLASRRERLKLGSYCVVNGLGVGEPVTPVESQGARHVAFVFTGQ GAQWVGMGREMMLENAEFIASIRQMDTILKSVEHPPKWTLEETLLAESAEKALLNQTD RSQPICTAVQVAYVDALAAWDIRPSAVVGHSSGEIAGAYAAGVLSLKEAIITAYYRGY AFARNEVPGTMAAVGAGRDVVEQHLKPGVVIACENSKASVTISGDLEAMEETMAALKQ MNPDLFVRQLRVPMAYHSHHMKAIGNLYKSLLAPHLAAKAPRVPFYSTVYGRRVRESK EFGPHYWQVNMENPVLFHTAVLLMLADLSDTAHLEIGPHSALAGPLRHIYKEAGHTVP YASVAERGEDASHTFLSAIGKVHCFGIRPQAPTSEHAYTLPDLPTYSWNYESSHWSET RTMANWRFRKHRKHELLGLRLMESSDVEPTWRNVIRLGDVAWLADHCVESDIVFPAAG YIAMAGTAIAQLTGSSAYTVQDVHITTALRLDEGNGVEVVTSLRKHALTASNNSKWWE FSITSENNGTWIKHCSGLVTDGCAVASPSNLDVSPHVRKIEPNRWYQALGRVGLNYSN RFVGLDEITASPVDHSASASITDRQDATETYALHPSTLDLVLQSWSVAAARGEYRQLD SLFLPTFIENFYVGDHGSERTIQIRTTSRESAGMNFGSSYGVVNGDNIAYVLNGFEAT RMDGASARQADELKAWSVQWHPAFDFAAPETLLRPARDVTADSKFVEQYSLMCSVEVH YEAATVTSLAQPFFKHYLAGIAKQMDQVDQGLSMVPDAKELKALSREARQQKLVEWRE TSKGTSAKFVVEALWRVYANIKDVLEGRVIYLDLLLGDGVLSEFYNEANAWSDIGDFF RVLGLSKPQLRVLEIGAGTGGTTSVLLEALHSKEGERLYGDYTITDVSAGFVNQTKER FRDYLNLKFAVCDITLDPLEQGFEAASYDLIVASNVIHATPSLVETLTRCRTLLKPDG QIFMQEVCSNTRYVDVIMGLFDGWWAGIDDGRVERPVVSEEVWDAKLRQSGFQGIHAA VHDNKDPHFFCSTNIVARARPDVTPVSDKTENRITLLKLTAELSEFGQNVKNALEAQG KSVDECVWGSKPAEGQDIISLLDADNENTPLLAEIDTGNLEEFVNVVGDVLGQAVFWV MRSASTQCADPNYGQMLGVARCIRAELAIDFVTLELDHLDMEASQAVARVYTRVQQAR KTAAETDDASDTEFEYAWRAGQVLVSRLHTMSVDAGLADAAPQVQAKHLTIGQPGSLQ SLCWTGHQLPPLNPDAVQVRVTAACLNFHDVAVAMGLIAPDGPMESDGYHGLGGEGAG VVTAVGSNVDHVTVGDRVLIMEVEKAVFATEVQVDADMVVQAPANVSDEDAAGLVIPY LTVLWSLVEKAHMEHGQTVLIHCAAGGVGIAAINVARWLGLEIYCTVGSQAKVDFLTQ KLGVPRDHIFHSRNDSFVADVLRATNGTGVDVVLNSLSGELLHASWKCVSSGGSMVDL GKLDSLARGRLNMGPFADNRAFFGVDLVAIYFANKRKIVRLLHKTVELLRDGHIFPLH PTTIFEAENIQDAFRYMHKGLHKGRIVVKMPTDVTSLSLTLPVPKPKFSPNGVYLVAG GLGGLGQSIISWMVSNGARQIMVVSRSAGTRDEHNQFVLELRELGCELRCFAGDVADL EFMRDVVAAARGPVKGVLQLAMVLKDTGILNMDHESWTTATNPKIRGTWNLHQLLPED LDFFVMCSSANGTLGHYGQANYAAGNAYLDAFVHFRHGLGLPAAVLDIAAVSDVGYVA SNKTVAERLERGISRFMSETDFLRCLHLSIERSSRQYIKPAESTLTTVYEDPAQVVLY NEMTRPLSDPQNMISWRLDPRLSVFRNNELMTAHGDVTGSQGLRSFLISLSSEPEKLD DEATVPFFAQEIAKRICAFLMRDDDAVDTSQTLSSLGADSLVAIEIRNWWKQTLGIEI TVLELADANTTMDLLGALAVQRLKEKHAAK PFICI_00150 MLSEESIIATTPEAIEEVMNIKSYDFQKPAHQRKLQAFFLGDGV ASADEDDHRYLRKAIAPAFSHQRVRNMYSGFWRKSVQLTKGVDQAVTQSRSESTAQLL DVKIDDAPETAVIEMVHWANKVTLDLIGIATIGRDFQTLQDENDPIVANYEELLHPTT GKVVYLAASLMFGLNFVRRLPWQLPAKIDAVVSRLRSTCLDLVKEKRGSIDSGVEKGE DGSVASLLITSNDFSDGDIATHVQTCLPAGHETTASSFGWALYLLATHPDIQAALRDE IRKHVPSMVPNYHSPTDAELASILESLPLLNGVMNEAFRLFPALPVGVRATVRPTTLM GHQVPVGITAIICPWAVQRNPKVWGDNCDEFVPERWIDTDEKTGIRTPNKLGGASSTY AMLLFFYGPRTCPGQEFARAEMRCLLAAFVGKFEMALADPRKPILPHTITATRPKHGL HLRLTTLSDW PFICI_00151 MSSTQKCKFEGFERTDKVYSVVDGHEIWTSILIPRKLVEKRENG ADIVIPVLVFWHGGGFIVGDRMYEPWWSDWLLELALSQNALIVAPDYRLLPEASGADI MDDMDAFWSWFSDTLPGATDLKSWGVRPDFDRILCVGQSAGSTIALHSALQRRDVAVK AIVSLYGPLYGDVPEFKMARPRKIIGSYPPPPRQAAAKIRSYMQRTRGTIRTSGDPVE MWELVACILQQGWIPRLVNARPDSRLDSVAELERIKTLPPIWLIHGEQDSVVPVACST EFVKCMEEIVPDVPRLLSVVDGDHSFDVALTMQVDWIADGCNFILRYW PFICI_00152 MALAQRQSADHTLVIDAVGYATRLPWCSDARLNERRYAALERVA QQNLGIVIILNARNDAGLTAQQPTMAPLLDYVASDQRHDHDYDVSLKSKLEGFAASAL DISLDKFRKQSYKTWLALGGDSLTAVNFMGSCHEEAGIEVDIPDILQSGSVADLIDRI ARSHQTKESTSNGTTELSQNGHGNGSGNLSSNGNCKDVTPGGLSDVLQDSLDEIQGVG PCSPMQENFLALQSMDSRAYQLQLAARISSTNPAIVVNPESIKQSWSAVVKRHVALRT TFVESVDRPGRFDQVVWSDLSPQISILPLHEAESKTSHQYGSGSPYHLVLAQVTGAQT FMKLFISHIIMDGVSTEILLRDLCRALAGILPVEESLKYEDLLYAQQPDTSSETLSYW SQYLLPVESTFLSSPNSRTSPTGPYSIHQDMQISPELARNLSEQHNTTLVNACQVAYA LVLRSYTGADNVCFSYTTSGRHKRIKGLHDAVGNFVNTLPCRVDFSETKTVVEALRRM QGDFLSSLPYQGASLTDKREMSGSSLHQLSDSLLSFHRAPHDTVLARAGFDVDVVSWE SSSDYNYTLMIKMDQQRLGLRFDVWESLISRDDALNILQLFRDSLDFVLQNSSQPCLD FIGLTAHDQSAIISSNQSPPAIVRDCVHDQVWATAIRQPDRPAICAWDGELTYSELGI ATRRLATYLVSLGVGREVNVGLCMDKSLWAPVVMLAILQAGGVVVPFGNQHPPNRIQT MADNATVTILLADREHTQRLAGVIPRIIEVDGSFIGQLPTSNAVPWPKVSPEDTAWIV HTSGSTGVPKGVVLQHQALCTPMQTHSARYGMGPWTRALQFSAHTFDVTIMDIFTTLT FGGCVCIPSESQRVDDLGAAIKTLGVTFATLTPTVASLLDPQDLPTLNTLITTGEALT PAVAHPWLEVGKVKFFNAYGPSECTYTSTINGPLTSSEEATSVGFPAANCLWITDPRD FNRLSPIGAIGELLVEGPIAREYLHDPEKTASFFVIDPGFVKKLGLAPGRRMYRTGDL VRQAKDGSLIYLGRKDTQIKIRGQRVEILEIENRIAQSLPGNSRVCVDLVQAHSSLGS SLLIAAIEMPEVASQGSSVAGTLCDPSEDLKDLLQKLRSKLLDEFPLYMVPSHFVPFL TFATSASGKLDRRVMHTILERLTESQLSRFNKTAVQDPISTETEKTLQGIWAVALGRP SEEISRNDHFVQLGGDSVVAMRMTAIARRSNISLSVADIIRNPRLADMARVMDGSADA AERAAKEDPEPFALWSGFLKESEEEQKRRLTRVAEQCNILPSLVIDVYPASPLQEALM AMTSQQSGTYVVQQVFRLDSKVNLEQFEKSWTEVAASLAILRTRIVYTPDSGSVQVVI QDSPPWATASNLSSFLDKDRVAPFTYGTPLHRFAIVDDSTRNAHGSRERYFVWTAHHS AYDAHTITRIFETFARVFQGRPYDTETPLPRFMRYLEENNQNGGWERSATYWKKELDG AQLARFPEVPSPSYRPFAGGRLRHRLQQLQRPGGDKKYSNRVSVATILRAAWALVVAS RTGNDEALFTEVLSGRDLPVPGIDDVVMPTVTSVPTRIRTDRKKAVVEYLSLVESQSR NTAPYAQFGLANIRRAVPGLGHDFDPGHLFIVQPGPPLNHIALAEMVGVETIKTEIQN FEGYALVVECTLDTDGAGIHVEMRFDEAVLSSSQAVALMAQLEHVAHTLQAYNRPDPV LDHSERSVAIEELDLVSSEDKEKLLKWNGPSPNAIQSTLDQLVREKMAKTPHSLAVCS WDGDLTYSQLDAAAESLAQHLVLLGVGPESLVGLCMDKSKFAVVSALAIQRAGGAVTP LGVQYPIARIQTILMDANISVVLVDIAQSKRFNTLVSNCVVVNNDLLGSLLAQDMTAL SRASPSTSAWVIYTSGSTGVPKGVVLENQALCSSILAHGTRFGFTPSTRTFQFSAFTF DNAIQDFFTTLAFGGCVCIPSEQDRMNDLASAMKDLAVTFSSFTPTVLSLLDPESVPI SLECIALVGEAVKPAVVQPWLGRVKLINAYGPAECSIHSAINDPMLFSEDASIIGYPV SNHLWVTNSRDHNSLVPIGAPGELLIEGPTLARGYLNDNAKTSKSFVLDPDFIKPLHL PPGRRMYRTGDLVRQRSDGRLVYLGRLDTQMKVRGQRIEAGEIESHIVSLQPQIRQAC IDLVQVQSGADSTLLAAVELLNGFQVDDDNVERDEALDGIELPEFISRPSRYLNTMLG ELRNKLLQVLPPFMVPTHFIPLSLPVNASGKLNRQATRAILEALTREQLRAFSVDQKN TGEDRMLSETEIQLRLLWAEVLGLQVEEIGGANDDFIQLGGDSVVAMRLVAAAQRAPI PMQLGVAEILQNPRLEDMARVSSEHTSAAIKASEADPVPFELWDGFQGAGENEQEERL TAVIEQCDDLTNLDEIVDVYPSTPLQEGLMAVTFQQPTAYVAQQVFRLGADVDIPRLQ RAWEQISNKLAILRTRMAYTAGGSVQFVVRTALRWEFQSGLQTYLEHDKSVPFSYGTP LHRLAIVQDDSNRYFVWTGHHAAYDGWSLLRIFRMLVQVYQTGEDSLAVVPVSRFVRY LQERDEHATAAYWGGQLEGAELIQFPPLPSPNYQPHADSFLQIRLDGFCGEHVTSSTL PKAVLLRAAWAATVATYTGASEAIINVALSGRDAPVPDIGNIIGPTVTTVPVRIKAHN DQSVDAFLDAVDQQAKEMVPFAQIGLHGIRNVVSGLDSDFDPGHLFIIQPAPTGGELE ALDAIGLHLDTAITDRPDFGGYALAVDCIVDADSINMEFRYDSHVLPHERATAILSQF EHTFRQLELHGSKHVIADLDLFSPADADTVRGWNQRPLSAKQACIHELIRVTAEENPQ SPAVNAWNGEFTYATLYATARKLASHLSSDCGVGPEVTVGLCMDKSRWAVVSMLAILM AGGVVVPLGVQQPLARVGTITMDSKISTILVDNMQSTRLVGLEGISPRLIVMDAAFLE KLQSPQASGPICDAVSPGNAAWIVYTSGSTGVPKGVVLEHQALCSSIHAHGPRFGLDT STRALQFAAHTFDAVIEDIFSVLVFGGCVCVPSEGQRLNELTDTIRDFNVNFVNITST VASLIDPADVPMIKTLLFGGETVSPAVVEKWLGHAKMMNSYGPSECCVDVSCSAPIMH PRDAHTVGFPLDVSFWVTSQSDYNRLVPVGTPGELLVEGPLLARGYLNDPGKTAEAFV WDPEFVTRLGLSTGRRMYRTGDHVQQNPDGSLIHLGRIDSQIKIRGQRVEAGEVESNI VRCHREVRGACVDLIRPRDISGDPIFMAAIDVGEYGRDENDDQGSLPPQILRQPTQAL RIMIQSLRADLLSVLPRYMVPSFVPMTSLPVNVSSKLDRRATREVLSGLSREELGAFE KAPESAESRPLSPMENQLREIWVEVLGCSPNLGPEDHFILLGGDSITAMRLVTVAQKV DIHVGVADVLQNPRLSDLARVAESYDSAKAAEEDPSAFELWDDFTSLRADLQQEWLTT IAERCGGLMSQDIEDVYPSTPLQEGLMAMTAQQPGAYVTQNLFRIQGIDVPRFKAAWV KLMDLLTILRTRIVYHRTQSGALQVVVRKALDWNETTDLQNYLAQDLALPFAYGTPLH RLAIILSANGEAEHFVWTQHHSGYDGYQNALTLKMLSQLYQHGVDQHHRPTPVSRFMK YLQQAADGTNGPAYWKQQLEGAQVTRFPPLLNRAHRPQAANVLHAQLEPDKNLGVTLP TLLRAAWAATVATYTGSQESVLNVALSGRDLPLADIASIVAPTVTTVPVRIRVDPKQT VSKFLAEVEDQSKQMVPYMHFGIQNIRKTAGLGQDFEPGHLFVVQPAFTDDSLEGIGL EEPTVVNNAGFSGYPLIVQCTVNADARDVNVEVQFDEKVLPLSRAEALVAQFEHFLQL LSTHGRPGAPPCSMGQLNLLNPIDAATLRQLNQETPKAHQKLIHDLVKSTVDQQPSAP AVSAWDGELSYGELDGAARRLAHHLVTLGVRPEVKVGVCMDKSRWAVVSMYAILQAGG VVVPLGVQFPVSRLATIIANAEVAVTLLDSAQAVRLEGVAPQPTVVDASFLGRLAIGN DTMPACTEISPSNAAWIVYTSGSTGTPKGSVLEHKALSTSLLTHGPLLNFGPQTRALN FSAYTFDITIQDLFTTLIFGGCVCVPSEFQRLNDLEAVIRSLQVNTLHLTTTVASLIT PASVPLVKSVIFGGEAVTPAVVDMWLGHATLINTYGPSECCINSSYSLPIQRPEDASV VGFPVAGCFWVTDVNDYNRLCPLGAPGQLLIEGPLLAREYLGASEKTETSFIVDPGFV STLGWATDSGPRRMYCTGDLVRQNSDGSLVHLGRCDAQIKIRGQRVETGEIESHITRV ESKIQRVCVDLVHPSDSISGEPVLLAALEMQADQCSPNGSHEACVPAPANGAIPPTSH FHTMVQKVRSALGLVLPFYMIPHHFVPFSSLPMNASGKLDRRAICDVLSGLSYSQLGA YDRSLNAEDRPLTFMEQQLQVLWAEVLGRPAGTINADDDFIRLGGDSVTAMRLVALAQ RKRMNLGVADILQNPRLADLASVAEEYGERALRVAQADPTPFELCRVDATDREEWLAS LAEQCGVAPSSIVDAYPTTALQEGLMAITAQQPGAYVAQNVFRIRRDVDKSQFITHFQ SIWAELMTILPILRTRIVYATQSGSVQIVLPDPLHWDIVDSDLSSYLARDQAVPFAYG TPLHRLAIVRTLSNKDDAFFVWSAHHAGYDGASMLQTFQLLAQVYQGEKQIATAPIPR FIKYLEQADKAQATEYWRLQLADANLTRFPPLPHPLYRPRADSVAQRRIRNGGTQSGT PVAILLRAAWAMTIASYTGSTESTSTVALSGRDIPVLGIENAVVPTLATVPVKTRFDD PAQPVSNFLASVERQTEEMRPFIHTGIQHIRAAVPGLGSDYDPGHLFIIQPIMEHGDR NPLQTLGLEPIVSDNAEFGGYALAVQCTVSIDHAVDVELRFDQEIIPPPMAEGLLSQF EHLLQMLENGGDTPIGKLDLFNPADLERVQRWNKPALQAEPEESCIHDLVQVMVDKQP QAQAVSSWDGALSYSELNRAACTLAHHLASLGVGPEVTVGICMDKSLWAVISMLAILQ AGGVVVALGTQHPPSRIKTIIADADIHVVLVDKAQAKRLPGVAHSIVVEASFIEQLPA STLPPHSGVSPNNAAWIIYTSGSTGTPKGVVLEHKSLCKGIKSHGTLFGNSSKTRALQ FASHTFGVVIEDMFTTLIFGGCTCIPSEDERLDMKGLAHMMRRTHVNFVNLTSTAASL IDPCDVPEIETIVLGGEAVRPAVVKLWKGHAKILNAYGQSECSVESVISMLSEDRDAS NIGFPIAGSAAWVVDPSDYTRLVPVGAPGELLIQGPLLARGYLNDAAKTAASFISGTP LLTHLGISDSSHNRMYCTGDLVRQNADGSLIYLGRRDGQIKVRGQRVEVGEIESLIVQ LYPEVSHAFVDLVESQNGTSPADLTLVAAIELQETGYTQVGEESKKQGLPMTVRAPTK DVLAMIQRIRTDLLQELPPYMVPGYFVPISGHLPINASGKLDRRAAREIIKALTPDQL RALNRIPSDPGRLLSRTEEHLRAAYAGVLGCSPEDIGLHDQFIQLGGDSVAAMHVAAA CRKRGVRVSVRDVLQQQSISALSTLVEIRAKDGTSNSLPNMPAESSGVTDAQESVLNH HVSHPDVDMTYFAMDGKTTLGVERMVNACKQLITSIEALHTGFIRKDDKWERLVLSSF KPEVLVYVTEDTIDQWTESFVHDRKFGSFELGRPLADIAICIQKQTGAHRILFRFSHA IYDGMSLPKFWAILQQIYADNQTEQTASFSQYVAQVEHRRSQEASGYWTRLLQNTPMT PIGDSVPRDHEFVWRAQVIGPKTIELGQNIPMGMTCASVLKAAWAIVLANHAERDQVV FADIVSGRAGVDSSVGDVAGCCATPMPVCVRLDLSSTYLDLVQAVQKQHLDSMPFETF GFGQIAQHCTDWPAGTIPTSVINHAPTRVAGSKIEIGDTEYTICQPKQSEQDWTYSNI RIAWQQVDGELDLSLVYAVDHVTSEVAQRLYDDLIFTIQRILTSPHALIQEQLPTST PFICI_00153 MYADVTAHLDMTLPPANHHTQSPHTSLYERSSRLESIHSPHLSN YFDPVNYLSDFNLSALASGVDFNTPSITDDELLHGSLPDSEQVYDTNVPWPMFNLQSQ PPQAHPIATAERTTTNPPSVTGGTAQRISSKPSKATTTTARKAKKPHGTRASSSSHSS SQRPHYAVEKRYRSGLNEKYAALTRILSSDAVQRICRTERPEWGASVGGGGVQDEQQQ QRSRQQKTTTLSATIEAIAILSRCCAREARELEVLRRGVQDTTDRVRRVLEMTSGGVA ASGRSEVVQQGQEDGARSDRSIERHHVMQG PFICI_00154 MSSILNQVPQIPVDHTATHEDFELFRRLLRVARLLNPQQAHDIA NRDVVPPPRTHRNGAEGGTIGKGVLDNLRHGDTGVDGYDIDPDDDEDSEYEEENEKGE QTDRLHPPPAPSPLAGPNTKPIRTSPRRHADHQPSNNIKTAAQPQQQQPQQPAQRPHS SVEKRYRSVVNRKIEELSALIPASNRGGNPSDSKTTPSLDQAVEVIDKVPTKSIVLDR AMRHLEQLVSEYNQSTCERDELRGKLQAWLDNVPVQDTSETVGV PFICI_00155 MASFDWLVKVKTWVFPVFVPIASFDDIFAPRLIQALEDAFEQPP YPIKGLLFTNPNNPFGQAYPRETIVEIIKWCDRKRLT PFICI_00156 MSATFFPKQAVKFDGALAQELQGNVTEGIAQELLKVVPPIKSGF VIHDNGCGYGSMTGEIMNSGVPNDIKIHATDKSANYLAQLRSTLTKNPSWPVEVAEVN ANDLTFADNYFDLSITDFVLLGLDDEIGAAKHILRTVKPGGTAAIAVWKEKPWQAALK EAHRRTPGDDAPIPPFLAVVDYDTEQFKSILNQALDWIAMPQFTSHISHLTAQLASTV TIYTHGNEELAKQIAPMLEGKPWKADTRKIAKFALKSPGDTTVEITFEDGTTATEAFI GHAPMTLVRGPFAEQLGLKLSPTGGEYETNGPFQATSVPGVYAAGDTIHMFKVWPNAV ATGAQAAAGVAVKLQEEKWNLPSIFG PFICI_00157 MAAPAQKTAVFHRSLTKQYDLATGGDGIYVVHADGSKTLDGCSG AAVSCLGHSHPVIIEAIIEQAKKLAFAHTSVFTSEPSEQLASFLVDQSGGAFSNVMFL SSGSEAIESAIKVARQFHNANGESQRINFICRQYAYHGNTIGALSAGYNASRRQPFEP LLSKAFHHVSPCFFSKDHKQGENESDYVDRLIREYEDMFEKLGPSSVAAIILEPLSGS TLGAAPAAEGYLARLRALCDKYGSLLIFDEVMCGMGRAGTLHAWQSLGGVAPDLQTIG KGLGAGYQPISAVLVGAKVHQILESQQSTHPFISGHTYQGHAIGCAAALATQQVIVND NLLSNVQAMGDLLARELRDRTPLLKEVRGVGLFRGVEFDTPEGVAIAAEVSRTCLSNG LAVYLCSPATDSILFAPPFIINEHQVRELVDIFVASTNQVLAKQGSV PFICI_00158 MKVSLVSSLVALLSMSWAATFTSCTDAQIATLEVAIDRATNKSF AAIEHLEDNPNGSDIQTTWYGTFSTERYNRVLTAFKKFAPDLATTFSYDCSCVSDVVI ATVGNTYGDVKICSVYFNEAVNPPSGQHRNQWDTIVHEATHFRDVLGTTDHGYGVEMC KKFALEDPEEAVDNAE PFICI_00159 MGCCDGCTPPPALGADSEPAVRESVNCADAGPNPPAPASKTTSI EVGSCCKPTDADATEDVNANHSSTDDCCKLASDTPAVAASVDDCQVGCCGPPHTELDA VKTVDSCDGGSCCASEPMADSSNSPQAAINRFQVAQTLSEGDCTDGCCVESARADKEP KQPACCDGKTSPCCDTSCLDRIAARECRGVAVGRGEEKRIPCSSDGCGDGTPCNEHKN RVRSRFAARLEALECICSALIALGQASCCVSTPRSSLDKNRASRAQRRVFDDSCCSPS AATGSQCYGKKSPEKRRPRSTDISLKSAKDLGTRSSCADACCQEKESRCDQVQTTNEI SSHDTSAKHLEDGLSGHEHVILSVSGMTCTGCETKLSRTLGSLTTIKNLKTSLVLSRA EFDLDLGTSSVADTIAYMQRCTEFKFERIINHGSTILVIPKGNTQRLLEMDYPAGVTE MSAIDQKSVQIVFDPKIVGARDLLNKFGTEGPLDLAPLRGDPTLAAGSRHVRNVGYMT LLSAILTVPVLVLAWAPLYEHEITHGSISLVFATIIQFVVAGPFYPKCLKALIFSQVI EMDLLIVLSTTTAYIFSVVAFAFMASGKPLSTGEFFETSTLLVTLIMVGRWVSAFARQ KAIESISIRSLQISTATLITENGEETQIDSRLLQYGDSFKVNPHSRIPTDGTIISGSS DVDESMITGESRPIEKSPKSSVIAGSINGPGVLVARLTRLPGDNTISSIADMVDEAKL SKPKIQEMADKVASYFVPVILAITVITFVVWIAVGKAVKNQSGSDAVVNAITYAITVL IVSCPCAIGLATPMVIVVASGRAADRGVIFKSSEALEIAHKTSHVVFDKTGTLTEGRL AVVVEDLTTEHEGRSTVRLLLGLVGSIKHPVSIAVATHLRSMSVLNAPVDDVRSIPGK GVEGKTPLGQVLRAGNAHWLGVSNDPRVHAILARGCTTFCFTIDGSLAAVFGLEDTLR SDALEAIANLQRRDITVSLLSGDDDGAVRSVAAQLGIPDTNVRSRCGPAEKKDFIKDL LAPTSNAGKKSEAPVVIFCGDGTNDAVALAQASIGVHINEGTDIAQSAADVVLMRPNL LGILTIIQLSKLSIRRIGFNFGWSFVYNIFAVLLAAGAFVNARIPPQFAGLGELVSVL PVIAAAILLKWSKI PFICI_00160 MSAHFAGTEATEAAETPEATEACVVPRAIGWISTVSKDGRANLA PYSQFTNLTFDPPYVVFSSNQTQEGNRKDTVINAEEYGHFCWNLVTWDLREAMNITAE QVPYGVDEFERASLEKEMAKLSNVPMVKQSPVKFECKYHTTIRLPGNSPTGTVDIIIG KVEAIHIADEVLTDGILDIRKTLPIARCGYYQYTVVKDTFEMKIPGNSEATLNGLEGN PKKVAAFEAAQKAKKDNV PFICI_00161 MFTTALKALASAIALHASFGMSSPINAIQQRASSYENSVYFVNW GIYGRAYTPQMLPAGEITHVLYAFANIQQDGTVYSSDSYADYQIHWAGDSWNDVGNNA YGCVKQLYLLKKANRNLKTLLSIGGWTYSTNFAAATSTAASRATFTSTAITLMKDWGF DGIDIDWEYPASETEAANFVSLLQTVRSALDDYAAQYAPGYHFLLTVASPAGPAHYSQ LQLSSMAAVLDYFNLMAYDYAGSWDNTSGHQANLYYDASNPTATKFSTDAAITDYLAA GVPSDKIILGMPIYGRAFEATSGVGEPYTGVGSGSWENGIWDYKALPKAGATEIYDAV AGATYSYNSATQELITYDTPEMIQRKVSYLQGKGLGGSMFWEASSDKNGSDSLIGTSY SSLGSIKNTQNLLSYPDSQYDNIKAGMV PFICI_00162 MALPLRSPGLRAKIQLPPSVITRVGRASRSAAFYQSTARLRHRQ ERRPEILRLARTRCSFHSLTYRRDSAKVQSATNPQNTGELSTEGMVQWLLDNHGDAKW GWVIYRCTYKPELEDRWQQFKRLLEENIREDIAASDAPQIADSLDWPIIEDPELEGAS HEALRRRWREWVRKEKPNVNTEDYAWDRSSRHTYFIQVDDEGLRSVLYDPHDPGDPNN QMRLNSGYVSLVKGWKNPLSPEEATNEFGDIEDNEDWMHICADMVAPYFYVEVDDPES WYTFYSPPPGGVCIW PFICI_00163 MANVTAAGFLDSPALTPPDGVIPNFSNPPNMNDYAFATLWICAS LSSIVVALRIYTRFFLLKSPHLGDYVLVIAWALYLTEIGFIYKVGEKPGLFVHQWDIR VRDLPDFLHLSFLATELFIAFSSMIKTAICLEWLHLFCPTGHHNFVFWASWLVLWVNA ILCVITTILDNIACTPYERKWNILLPGSCNRIDTPTLFMINGTFNLVIDVAIFIIPQK TIWSLNLPARMKLGTSTVFAIGLFACGAAAGRLIMTIKTATSKPVGDITYSFSPVVVC GLVEGLCGILVLCLPALPKLFGAMNAAQIIVSIRSWSPITRLQRSKEDPQTLWPSSHE FQHINRPGSQSPSKESGDPKHITYDSYPRLETAILRTTSFGAEESYDVDVAKRIHQTR HPWF PFICI_00164 MATIEATGTVPTMATKSPTGDDKIPSAKVVNKATPKTSRRGYGL KVLVEPKDTDDIDIDIVAVHGIGEAKPEDAWVHPDTKVNWLSDPTMLPDALPKARILT YNYVSYWYGDDAVKQKVDTVAGKMLNALDGKRTTCAQRPILFIGHCFGGLVIQEAYNI ANSHREDHPRVANCIAGVVFLGTPHAGIQDSAAFSTLGQLYQGIAQSQLPIEDHALQT MAQDNDVLVRTVHTFTRSITNQASNVNATKLFCFFETQTKVIETNGEKVKFLVHESTT TLSGHEKEGLHLDHFGLNKFEAPDDDCFEVVKNRLVKIASNIQRMTDSPRAERSPRNA FSPPQSPASPRRHHIPSLAAPIAREAYFAKRNKILDVVDERFHASMNVALVGESGNGK THVAVEYAHKFHEQHPDASVHWVNAGSASQFELSFKRIGENLHLSKKSLDNEDVLELV YDTMRQDVGGQWLMVLDGLDDKSRLVVKDEKGVERCLLDFIPKSHRARILTTTRSKSL AMTMVAKKVECVLDIQTLPEGDASYLLYGKEITDEAKKKAAADVSKRLGGSAGLLVLA HTYRKATRASWKPRNYLDTIRGSAEAKDGDDPALRAWEPIYQIIKEKNPNAAQLLLFM GSLDVQSISTVLFERQELRHIEQLVDYGMVEPSTDRRLYTVTPLIRRCVQTWLVKTNE QDDIREHSLSIMCEKFPMDDGNTADLLLPCALVVLEFEPKSAEAKRNMATLLFRVGQH HVRLRRRQMALDYLKSCISLQESDRESKPEAKEATKRAIAEVQAQGKQLVEQSKAQPP KKREDVQIDKARAELRDLENHLGKDHYEVVRKASDLANLQVLRGSKRDHDEAIGLYQR ETQYYKDSKFEVPMDTARNQYNLALAHENSGQLDKAMSLYQSASEITERHLGPSHPQL LRSYGNMASLYGKQGQMEQAERILAVVLQNQRKSLGEDHPETLDTRRNVAMVLEGKGQ IKAAKGELEKILASQMRLRDEPAMLRTSCSIATNYRLRGRFKEAREWLESTLAVQEKL LGKTHHDTATTRVMLKELAAVEKTNGVPNEEKSLALVQQDVR PFICI_00165 MSMFRLDSQVRRTQQDEHRAQELMNAQNPHSNSDFTYKEATAVL ELIAEGTIANVTPGLVEVLLEDFDADVNLKRRKSNSLWKRMSDKDQEDIRSKLLEKAA QNCSSEILLLLAQYADEVAVNQALPHAIAQNNLNKVSILLARGGDATSLCSQFAQVLE SGSDEIITKLMNRNKGACQACRDKGLVRAAELGFTTKARILLENGANIMFGNAAALSA AVRRGCDDIASPIALRGVSSIPKEVLDGVLGDAYQKGMLRTVVSCLQAGAKGSRTDAT LTEAVRHGQFELVSNLVQHGAAVNHSSGSAVVCAVESGRPEMLRTILAGKPSLESMAA AMMQSAKLGNIQSCQQMIGLLLAAGFRGEATSLVLIQVMDKKQIKGEERIRLGLVHLL LTKGNADVNLRAGCALGIAAAEGWMGILDELLQSRPSFESRVSVLRSAMKLQPAARKR VVGKIFEGVRTDQKLRNQVKDAAVTLAAKSLHLDVLTELTQYGLDEVTVQAGFDAVVS TGTAWMSAAGLQVVQFFLNKGASGQSVEHAFYEAATVAEMDAFDLLSTSITSISVLNT ALTGVARQSKKWLSLDDRTIWLVDSLLAWGAHGDCVNLAFLAALRAYTTGGASTTVLD LLLKVGKADVNFQHGEALKIAVRSGDVPLVTHLASNGASQETMTHAFWETISSPLEED TALKLINALVSGKDRVSKPTLSVVLPDRWPHVFECLTAHPNSAKLVKRLAELGCDMDA EIVTQLYDDVKERANALSWALRPCRGVPIISTEAIGALIDLKVNVCFTAPFSKATPVI LAAKHCRGEVVKKLIKAGADPSLRDRDNRAAIFHASSAGDLESVKVLLKSKYRPNDGS LHEAARNLHSDVVAALIKGEYDVNFPSSRLEHEGRTPLQELAYRCRGVDRIQDTEETI MALKKGKADALKKWHGKTCLFLALDNEYPLEVTRALLDMIMWDYMDSLDNVLATTDAH TGVTFFRSATKYLTDYKENSKQTFQLLQLLQTKGCVDRYYAQFGAMQPPNAVGFPEDI AKEEKRYRAEVEKTRKSEAEHQEKLRRIQEESHLKLTIDESKHEAWKNHEYQKAIHKV GSSAIVHENELHQKAQLTEQQRLATAQKHALMEQDRHNLEIHKQRTAAISQMKIAGEQ QIKLNYAQRTADQKMEAQKNQLYYAKKADTQRIAAQNAQNNLKKQANQQQIATQHAQN NLKKQANKEQIAMKKKLQAMKH PFICI_00166 MSTPGSAEYLSQYLNGPGLGCSHFPKYHAEDSQSSRRYPSRLGF FHFTPPSICISFLDTKRLCDACLNSVIPNGFNYWSNLPVHFFAAVSENAQASSFQAPN PPPGVWPDITASSNVDPKMFQAGAEGFPAHGKQIGGTTPRLVTPAIVLPISQISSAEQ PWAWLVHEGKPFYIDQLHMTKAWHLFTYKTLEADHAIASRVGVSYKSLFKEPPVQPVQ YAPNIGLLAFETPEKSSSEKLSIEKSSLNKAPKEDLAVVKVPKEKSATRDVLEKKPTG EKPTKKTSTTEKAASRKSSSSGSKLKEVLAANAIDETVPLIANLAAEAAPKKPKRSKQ SSVEVSSSAANVDTSVNVDTSHGTLKGDSGVKASPQPATANLPATKTRKPSLKVAQDS SIELPHAPLVSTMGGTTISVGVIGDAGTSMLPQLPEKSKVQAKKRTSSQGIKHTEIDS VTKKEKTKSIKQASTTTTAGSVVSPGIPVQPAFTKNWTRYDPIPFDSPIVNLTLADHQ RRADALSDSKAMESHGPLMRLLAKAKKREGSGEVVLQGPGLPPKNDPIMKLLGATKDG KQKDVVANTQFIKSITESAPIKPARKQKIGASTTGDDRASKGTIKPTKTKTKTPGADH EHGTTQEKSRTKAETKTGKAEKTGEKLKKPVAESSPNNSAPKKLPKLKDERSTLLGSA PLRHDHGKVRPPKINLGLSKLQKPEWGHSGISIAHKPPKMPSVKPHFSKITGHHGGHH GGHHGSHHGSHHGAHHGTHYGTHHGAHHGTHHEGHHEGHHEGHPGGGSHASDISAQNS DNEAGEGEGPEDDWSVSDYYENYLSQEQVLDSDENEPGWLSDDDIISQHSGSENPQPD ISEPEGPEPNRPQSQHEGSADTPPTGEVPPSDPNAGRPDAGIVGTGSSDPATGDPPAG SDPPGTSAVGDTGATSSGQTAALGETTARGIGGPPVAIDKAFNYPGTPSTAPTPAGQN HPSKPVDDSVAPKEKPTDQFEEAKPSQSDPSKDQTKPSLSEPSTSTTSESPSQNKPND HSPPGSKIDSNEYSGKLGQEQGTKDPSQSEPGPSQREFSGGNLAQQDTSTAGPGKAGL AAASFAAGVALGAAASGLLDTDSAPGSPHNSEPGSPLDSVHHTSLPSSAPSSAAGEDA NLDDLDTDREDESDADIHNVIDEDSDVDDFNHEHEMESNQSDSSDDEHHSDADHETGD EAFDESDQDTPEATDDEDENDENESNMEDNSENESDDGNSENEEQQTDSDGDSEDNGS SDEDQATDIEDDDEDSEDDEQADGTDDDETDGDDGDYDQQSSDDDEGQQTSGENYSDG DDGDDFPSADEYDDEASNYDYSD PFICI_00167 MTDSGLRFITVAGGEKPDAASRKNIRSHVMVGKNRGKKFPNRKR KSKVQTAAEDPREPAGTLSRSIIASLTAVPPKFGSALSTIHFANDVQPREVEIVLRFC SILGKSNSELRRCIEWERRQHNWLPTLSFDPALLHASIFVSRRYFHTVAPRNYSTNNQ ADLPHLLQALRLLRENIAQKSDVIPLTTVITVLCLAMDANMMGDMNTAMSHMKALRQL IDMHGGVGAMRAHRTIWDEITLNKLIRCDIAIILGGGSRNTFYDSITASEPFTPYPSR VEGPLEMGSPTGTVSEAITLKTPATFPGNKPDELARAWRAISDLCMMMDSASVQKKPI PFQIFLDTMAAVIYRLLAMDFDPNSASEILRIGLLIFSYNTYIQWQVLGVSYSAVAAE FKDCLINIDSLQLDSSLALWLVMVGSTMIFDETDDCWLKPLLRRWTKRISLNHGMIGM PFICI_00168 MIGRQYDTPEFPEHSLLPPMKLIDLGEAYEAGTRAASENIHKVS LRILSLIARRQIRPREKSTYKDYETLATEILPHGNGKIYPNLDNELRDLVAQCVSTDS KKRPTLEELLTATQDGANKGTDAYKPHDARESDSAIHELMKKILYDANPEERDSSIPN AGLGEGGDVEGRDSPAVGRKRIFSIGSLSVGSLRISKRRKA PFICI_00169 MVVNNIYPPLNPGRSEIRLLRVLAREATDVPNFDLRTVSLDDDV PTRPPFTCVSYVWGEPEEKDIIINGRDIRVTIGGLLPAVDSALHHCQALRDCKPPDIW LWADALCINQRDEAEKNSQVPLMSRIFSMAELVVCCLWYQDDFFSEGLFTDAIRSIDE LIARLQNAGLVNMQDRDLVTLSQPPNTNFLDCVKESPELASRLKDFGVFFYLNIPYWS RVWILQEVTLASNAIMTYKTQRVEWWKLKVLSRWRNEAIRGPKPDGINPKIWRSVATS GFDVVWSIIEVFALTKCSGTKPPANRTQAIGVPQTLQQARSKDDLLQDWLTSFNCGSS LKATNPRDHIYGLLAIAPIEIQVDYSQSVADVYSSYIKAYLRDWNSSFREDLPQLYFL QYAHCNPDMMSRRISEAFSSYLMPSWAPHYPFVSSWEKTHGLKRPQAPFGYQSSSCKG VFANDTTPAMVLNDDSGNRLLVSAIVLDGFSEKSLSFSLRKNTDILDVARGMKSLNKD VPIVDVLVKTIMWERLLMETEHDKRSSLLDDWECSCAEYLRKKVRTRIAHAGTREQEI AALGLDFYKSCVPLHTLDQVSLLRPDPREIPVDEFMHTLKWNLQFNQSCSNLILTESG RLCITGPFQVKPGDLLCILDGGSMPSILRPSGSFYKHICQTFVLGLENGQARGILERG EAKVQEFELI PFICI_00170 MQFKISLALVALSGAAINATPIDIVGDVECLVVTVVIQVLAALT SETAFCSSYLNIPTITSTTTATSTIFTTTTRTTTTGTDTSTARAVTVTDTVSETTTTC ALNAIDKRQLSAISPSSRSASTTRSASQVSVQASVQASAQVSIPAAVAIFGSAQVSLA CSCLNIPTPSTTITQTRTVTPTVTRLASTAILVTTTPTTTIAVTSTSTEIVCPTPTSC DNQGLLWAEYHSDAGENRDPTFSSFIPEIYKSRTPGVWGITSTIGGISVPGGTELSVY GSSRTFYSDFFALNHKGYLFAVLSGIYTFNASRVDDIFFLWLGPKAYSGWTRANADLV VPIYNPGSGSTSIDLVEGQYLPLRIMFGQGAYPAEFQISVTAPDGTVFLTSDTENSPY IVQYSCDGVTAPPFASWGSEYDLPDVNDK PFICI_00171 MASLAADMSFDFIFPSCEPATPPSFSFDASSLDTPFYPMQARSS SYGPMMSTIETSPSDTISTGITTPPRSSPPIRQHGPILLPKIRSQDQEINQTPPKRAR TSVTPAPKPRRAASYRPTHTRSYTNPETLNQFSATYFAAPTSAENQSQYSQSLMCSPV IFPQDNIRSHRASTCDLDGTTLEKYGFPTYRQMPSYVPAVPQPTHMDPYMYSQYTPRA SPALTSVASSPEPIASTTLMSYLTSANPAPSLVRTISYPLRDPNTKHFWWDVRQIRPW VEFNASTILSLPGTSSLLSCPVPAPLLPQPAASSRHPETEAALHQIHSSYYIPKLNSA LSLSSQRPLQFTAASPTKQTSSSSEHVFTANVSGESATAAAMFGGKPTARIVGLTKSF DRFNTGMRVEGNIKRVEYLRGLAHLHHVMREHSCRYGFIMTEIELVVVRNGAEGTPHF GFLEVASVQLAATGESDETEEQKLTACLALFGLCQLAADEIVPGQAGYKSEIGAPAEG TRRKALARDDWMPQPQLAEKREAKRTRGWVFPEDPVGRKELGKRGVRYGAC PFICI_00172 MLPLNPFLAAFFRHGNPIVAQCSPVHHHVLLVPTTEFFLTSRET DSGVSPAELVASEDFLSSHVLKIPSSAAVAGGKDSGVGNLRELRGKARQYNTLNGRSV VIKDAFVYSNKGFRTLAQAQILSDTIWYADSLEPKQWLIYYISRPLVGIWEEIVIQPA VFSPGMAKRKLTEDKQAAAIENGEPSLPKKKEIKSFHDLLNNFPIIARQMQPGLEKLF REFTIVFDRPLPPPPSATHIPDPMPDGPIATAMKQVRTNNSPKRTRSSVNDPGAMYRN RVTENFFAEDDEDVMRASLETAVTTAIDLFQSVDQQQLSMLGATTDLTGPLVERLIER YVAENVHTYVWPRLAAMRRPEDLELEAKIRQMEFIDISQLGIAFDGGQREKRELTLRL GRAVDEFGKMTSAMGPQEMMELLLSTMKAVTTLTEQPRPELMTKPGLEKSPLTINADT LVSLLLFVVIRAGVKHLQARLQYIRHFIFIDDVESGEIGYALSTFEAVLSYLMRDSST LRRASKRNKSLWDVTAKGDTAELKRMMDSSNEAVEDEPPASPVSSHAPSVSWSMVNGS SRRSSTTYTSFDAYSQGSGLGHVFPFQSETSESPSLLPAKRVKRVALDTRSMSSGSEY SYHSRAASVATTMGSGIEGDTSAARLCQTQDALGESIPMMAVQNMRPEMLKYLLSLDE FFPTETILEDSNNQGTTLLSAAVQLGHTELIDILLDLVMTRTNRAQTAKYLALQDVMG RSVAHYLFHAPSLIGRIGQLLPWRQKDKNGLTPLFSLCRSYDHTHYRSMVEAGLEAAT RSQGDGQALHLDDHVDSKGNTLLHIVHDASVTAQILQYCDVDVNATNDRKFTALMVAS KYGRYDMVRALYGDQRLDVGAKDLRGLTAVELAKDDEVRNKMDDLALFSMPAGENGRV TGVVRSFFVEDATIRLVIKSGAPSDSNSFTITTCRRSLSDFERLATLLSMENPASWIP TVYSQRTPFQIPAKPSRAVLKDIQVRTGWFLKILLAHPTFEAHEMLWEFFLVPDLQPE MIEQRSKLKAETRAENIHEEYEPLEDVREVEQFVDHARDMVRSVNFSTKSVARRANGI CVAGADLYEAASLLNRQVGNLTFLPQTHVAAFDAYVRTLLPLQSSPAQTLHNTFLAIQ STMTAMLAALARPPQIVAQIQASRRSIERSYSSLSRSTRWPLGLLDETRQRLNEEKEE KAREKQKEADDLGRELRYTQQVVASELAGWQDMHEKLGRRAIKDFARGMVVLERERLA GLHRAMRKLREVSPGPVFRMNSVGGPQTEAMVDELEARRQMENVVDSGVIGSFEPTLE NGSKSLAQGEQDDPSVETNDAQQILDEPLVVQERTIEE PFICI_00173 MSNEAGASNAVSASQNIYDTAEFFQGYIKLDRQVLGLDGAPEWP QLRAMLPNIQESQILDLGCGMGWFARWAKQQGAAHILGLDLSQNMLDRAVELTNMDNI EYQRADLNQLNLAPGKYDLVFSSLAFHYLVNLKGLFAEIQQALKPGGKLVFSIEHPIF TGPTRGGIISDAEGRLIWPLDAYHREGLRHRNWFVDGVEKQHHTLGTYINTLFQSGFE LTDFVEWCPTEDDLKKFPGWEKEFIRPTFLLMGAIKKCS PFICI_00174 MLPLMIRDDSDGTTETAVVDPTLQLNLGLWLLFAGATFFLVMRI WVKVYRSGLWYDDYVLLISWGILLANDALISYEFATGYVSPTGDWDEHMHILINISSC GTLLGQALSKTALGVTLLRMSNKLQASIVWFCIFVMNGYMIAKCIIQWAKVCDKGSDY QADYRLNFCIYSDFRDDFKEGGQIVNIVMDFLFALFPWWITWNLKLRKVEKLALCFTM SLGMVVAIVSAVRTAWKDDGNKHNPWYYWHNAMSNIWYSSEVAGTIMVQCIPVLRPLI RELGNSFSSRKLPSTEDQITAATWQRYGYEAKITSNRDPERGTGVKEPIRLQTFDNGF SESRK PFICI_00175 MPVASRRASSASSAELGRQRNLNRYYQPWLNTKKLGAVPPASNL TAFSEAGASPRVSHDTTLTALAQLAALRLNVKRAMVSLIDTTTQIILAEATQTLSLVD ESRHAPGDNIWLGNVLLPRHDCMDEHALSAKTACEDAHGEQVQMSGMVVNDTFLDDRF KDRVYVTTKDGVRFYAGVPIITKEGHSIGVYGVSDTRPRPNGLTHDEMQFMQDVAEIV AEHLSRVLDSVGRVSERDFMKGISYFLEDQSEFKYRLSNSESDTKKLDTAKDTSIQAT DPQPSPPPSRGRSNHQGVSSVGNIRSASPSRVGGKEEKSTAAGTRAPRFSRDIGPQDK GAPTGTDDNTHRIFAQASQVFCDQGKATGCVFVDASSSIFATSPAEGSCPPTSIDPVG DQEDLDFDTSEDLSDGSSQSANVLNVTVTAEDDNSNFSQGIISRTHLRKCILRYPFGK SFYVNKGRIVADQLDAAGGLAGEDDGGEPNSNLLTQSRKLLPPEVLECIPDAKWIIFL PLFNYARSQWCAAGFIWGNDFKMGDPDEALPFFKAFGCCMMSEFESMEVLNMNIAKST FIASVSHDLRSPLHGMLGSLEFLEDSMTSAYQMSLVSSMETCGKTLLDTIDHLLDYAK INNLNRTSTPARMLGNRDPSKSQNHIALTSQEISGPTCFDFSLLLEEVVEAVFVGQTF RKVTLRNRDSVDEASAEIKAMSLDDSSSADDLIHEGSAKFSGRVCLVLNIEKSPTWCV QGRAGALRRVIMNVVGNAIKYCKKGYIEVSAAMEAADVENTTIRFSVKDTGIGMSEDF MTNHLFKAFSQEDSFTPGTGLGLSITAQIVDNLGGRIRIDSEKGVGTHVHVTLPMKTA PPTSCILGHDDLVSELEKLSTGKKLCILNPKPPKKPTILEKSGSKLESSITAFCRDWF HMTCVQDHDVANHPDAQLYIYVEPPPIEELLNMHHEQVARGESVGNAALLIICTNAFE AAALRAAGVDHLTSLGRIVEVTSQPVGLRKLAKIVLQCLIRIEKAELAATTENSSMSS RQSVDIEVQKRAAEVRLNTLSVVDDPRAGRDRPHLEELRWKSDEPRRKLTFVDSSAAG SLRTLGRKDDSFEDGIAQPASPMGQDFRDRTRHLPRVLLVDDNAINLKLLVTFVKKIK LPYAEATNGLEAFTKYKDADAPFDYVLMDLQMPVMDGFEATRKIRELESERGITKPVT IIAITGVGNDRAREDALEAGMSRYLTKPVKFKELQHLLIS PFICI_00176 MDQHEKPSWSLPRSPVHPRGTRDGHWPQSSLHDQRDYTMNHIPW SVDAEGKPGRRNYARAFEFRWSDIPGQQRCDMCEFGQPDGMVNDAIFEEEVDRWIAET RHQEDITIRLLVCKMVAKYPRYGLPLPRGAFEAIERDFGLHPATTQTLHHMDRYFDLD FTWSNRQESSSDGSVWSVIRFEPIKRNTAWTMSLRYDQGRQRTDAIFLMTQDDEGIFE HALGELLILHKSWKQFRVLPEAFMQIYLEAWSTHIGDLQWTIIKTERELGATRTWEKD GTKLHDWPQNVDVRTNAAKLHSCLYTLARARNKLAKVRQMQQALGEMDSWVKKSLKAT GKILTDANELDQYSAWTDTLLRQTDMKAQEAQERAQIQADLLFSIISQQDSHESNSMA QSAFVFTFITALFLPCTVVASIFSMSMFNWQPGTESDSAASYISDKFWIYWAFSLPLT ILVMGGWYWWSLKGMQIWKRSFSKADEDAQSWRAKSRWLRFLGRRPKPKSA PFICI_00177 MADHYHKPEVENEEESQADAAFGGETYTEIPGTEERMPTKGEAR EHSTAQESDPQGPTQKKMIVLKDAVGRKLNFPFALAKTWRGMEELIHQAMLHIGVIGD HVKAGHFDLLGPDGNVVLPSVWEHSVEPGWFVTMRMWKDAEDAKKKGEEDVKLGKDKA PIRFKDAVGRKFNFPFHLCQTWPGMEELIKQAFLHVDVIGPHVQAGHYDLLGPDQEII LPQVWDKVIEPDWAITMIMWPMERPPPAGLQNGVPRHPGLRPGGMQGPAFRPPGLRPM AGGPPPPPPGMGYPGPPPPNPGIINVAPIREKHKKSKTNSGGLGAFLFGKPAKKSSSK KKPSFPESSSSSSESDEKIVDLPLKRRPTRPPGGVPPLPSALWSANAARRTTQQTANH SPPASVKSKSSRRSRSTKSSKNPDLSDATSDSDDMYSVQQKVRQKAKEKDLIVICRHT NRFGTFRQQTFPTMATVPFSRLDIDSARVYWQGPSTTTRATLELVRSTKAPTPPTTTE ARLDQDTLTQLKWIHAVRPSLSLKELETKFALMRQLGGLCNTDARESSFESVCFVSVP TLHSSSLGSSKVASNGDFCREQKLHEALDQLSSDTGLDSSWPLRIGSEKIATHTLWVR QSWIMVTNAGVLTYTSLQQDALRGTSISIQEDVLRGDDGEQMIQVMDEIRRLFYLPAK KCKSFYELEIAVREELIRAGDFDLDRAELQFELSDGQTLTAAKWAKLVKLGEIPSLKV LQPLVEFSDSEQSTVGSRRREGSDSSDSEGLGDIYSISSGKPSEKSSGVKRSQGSVSS TTQVDEQGFILLSSEPTLNTLYLDLPDLNKKVPPFLSWLSEAKSGQERNANKGFREGI IRTELKLVRIEDEPFTDSLMEDLYRALGDTDIYHETAEMNFDDFELHRKNLFKDKRNP RYEPTSNFSAEELLGMADEYFDASLRVLESFVSAQFRSTLVAKYLSALAMIMKDPTRS LQREENEKGADDPELSDTPGRHDQPAWVISRTRTQEDRIKYRSPVGDEGKCKDCDRGV VYHSVDRATTHLRRSHLVGSVAEARLRYYVVPVASAFSERRQEDQYDLLQQSRNAMAA ILRKLVYIQDGVVFDDEFREQRGLPHHFIDSFRWIIVYVCTVPHIFHQISWFYNDDLP QKSSKHLTSFKIRRQLNLLSKVGLEAEAQIRQAERALMSPTTFSTEEAPESFLVSVGP QYLASQIICNLLKMPIHDQKHAADLFEAYANNLSGREMKSSNKSLHILIIEQRSQVLR RPSKRQILKIGALNDDLDLIRKFYEWQKETLDRFDVIINPATYPEIDKTLAEREKLYS MEYDIVRGQDLRLDKDLKRIDKMLVLCTSMIEQIRDMTEIMKDDQSQVIFIFTTVTVV FLPLSFVASYVSMSGGATGLDWSGLQVLFWKVAGPLTAAVIIFCLVVAQRRRLLRALV ATQRTRRTLEWISDKSHRASRGWKRLSARLLRARRNRGGSSDGSSETTTVTTSSSGSI IDD PFICI_00178 MSSLNIPRRQSEGPSDADDPRPADSSPWLYDNNFEPEDDRSQSD SYLHMPITLDASTYQSLPAVDNNCNEFLASQNESVCDSTGPFAMNSTSLSPIFYDHGS TVDYSLSGVDAAEPTFGGSDITLGDWSSIALQNTAAPDLDLSMSAYENRHLESLAIFA FDNNPFPGIETDHESAAAPEAALLANEALHPTTPTTLPRQFQCTRSNTKNQSAGETCR SSFHQQRDYDRHLRTVHAEKDDPKYRCRCTASTARKDNYMRHIQKCAKELREPFYHCK CGDECRDKSAHLRHVKACAKCNGKRGRPSGTRGTA PFICI_00179 MNPVNTKPYQLPADATWLITGCSSGIGRAIAELVASKPGQRLIA TARNPDSLSYLADSDAILKLHLDVTSPASVEQAFKAAADHFGESFHVDVLVNNAGYEL AGDTEAATEEEMHAQFETNFFGTVRVATQATRVMRKSKDHRGGIIFNISSLAGVAAFP GQAFYHASKFAVEGWSESFARELHPDWNINICIVEPGGVKTEFERGSKKFTQIHKDYD GADMPARKLAAWVKNGIASGAGGVLPSAVARVLYLVASRNDKVPLWLPLTSTAYQLIK MKSQARLDNLEATKELVFIENRET PFICI_00180 MDMAAWNHITPEDHSGVPNEPPDLIRRSMCYPCVYEYGYALPIN SRKSCDIYRIAMRISNPIIHGFAPDPSIVFVNGTFFLVNSSFHVFPALPIYASKDLQN WQLINHAISNPSYLDLSKSFVKHIPLPNDNSLVVTGGLFAPTIRFHAGTFYIVCTNAY ENEAGEHDFQNFLISCPEDKIFSGDGWSDLVPFDFPGIDPGLFFDPETGKAYLHGSYR TGPPWAPDCSIRQFEIDVATGKALSDTRFLWKGAAGKDDAEGPHIYKKDGWYYLLTAE ASTFEGHQINIARSRDIWGPYESCPSNPLLTAFEKDEAVRWTGHGDLFQDAQGNWFCV HLGIQYDNSLPGRHPLGRETFLTTVEWLSGEWPTIAQTQMSLDIAGAKTEDQSDTLQA SSPDFQKEEVYICTPNPEDYRQSSSDTMQTHFLRAQETTLSTPLGTTTFVGRRQRFLT SEATCTLLVPPPEETNVRRAGLAVYKDCLRYRSIYLDPTTRTITLESASLLNPKPGSL DLTTKLPLNSTSIKFKIKSEPGKYSFFWCDATDSTKEEWQIAAEVDSMLLSARDMTGT IFGIFASGSRENGESDKDWVQFDEFTVK PFICI_00181 MASRSHKLAVIGAAHADHVEESFALDPAIQRAQAEDDADRELGI PAILAKYWRPCLICSVSFTAGLVFGYDVTVNGASISMPAFLLYFGDMNASGPYLPSLW TSLWTAMSSLMQAIGAIIAGWISARLGRKWPACAGACLTLVGTAIQYIATARGTLLAG KMVSGLGIGCLYSIATTYAGEVAPLRLRAPIQTWLVAFVIMTQAVCLGVIRAYVPDID ESAFRFVFALQWAVGGIAVIAWAFAPESPVYLITRGKTEAARSVMRRIYGNSNETDDR LACLINDIEMEQASQEDNGSYAACFSGPHLKRTLTIALLFSLTNASGAAFLSQNIYFL ITAGLEAIHAFDIGIGGFGLALLIIAASGMYLKHFTRRNVILTGLVINFVFMVIIGAL YWVPGEGALWAIAVLMNVLISLTTSTLQAAAWPVAAEIPSYHLRAKSMSLGIFTQTLT SWLFIFITPYMYNVDTGNLGARTGFIYAGTTLLLGAGAFWLVPDTTGLTTPEVDAAYA AQIPPRKFQTFVANTFRIEEGKV PFICI_00182 MKFYSAAVLLLAGSVAARNCKEGLDYCGRTLLDIGKYQPQIDQS LADAHQAEVDGGKHDLFHCLGGSNGVIAFKKHCGNGCTDGGSNKNDYCS PFICI_00183 MSKMHLLTLAALVSGSLASAIPSLNPFAVAVAPEQRRQELVSVD VGTCDSYVTVTVTPDVVTATNTEFATTTAETVQTTDIVTVDTTQDVTETATITEFETS SVTETVTAATVTETQTVYQRKKKRGCGLKHPTVETSAYPAQSASYSQPASSSASYPAS SSAPASSSSASASVSASSSESASLPVSTSSESSTISSSESSSTVESSTSSVSSTTPVI CTATVTLEAEVTTTTVTVTSSETATNVETVTSTIVDTITTTVSTTEVITTIVPTTVAV TATASETVTLPSPTPTIVLQATSGTASGQYLYLISSGSSYSYLGFTSSLSSATSFYLD GNKALVPTSHTNWVGLYAPGTVDSYIIESTNTFGQGGIAMICQFGGSAVQVGQSGSFT CPNDGNSNNFWYTGGQLGVAASSTTKTLVTLNYAVVGVN PFICI_00184 MNSKIETIREREASRIAPELFEHARSFRTSSLCCADSRDHHALP TSLSDPALDAFAQLGAFRLDVTRCLISVFDRNHQYIVAEATRQSVLAPSHGQTEEIWL GGTAIARSHGICEHVLVAPDDIHDDPSTAKLPVSVVPDLAEDSRFCDRPYIHGPPCNR FYAGVPLKAGKVNIGVLCVFDENPRAGLDTVQIECLRGLSQIIMGYLQFKESATGFRR SERMVRGLGSFVEGNTSMTDVQIGGSPAVLPTANTEQDAVAQQTSNSHNSQDNTSERI TEPIQHQRPSPVPLQTPSGSGNESSDVKTVCPPESGHTNSATFTSDTLSSARTISTLA PPDDPQFRETRRVFSRAASIIRESLEVEGVLFLDASVRSYGGLIEADEHQSPTSLPSL AATGGDLTKVEEPGLRTCNTLGYATSVSSSIDKAPIISPDIRVPEKLLRELLKRYPLG RVFHFDVDGSNLDLSDEEIDPPDTAETELGPPPGRKLSDVEVKKQEAELIAAIFPHAT SVSLIPLWDTRRERWFAGSFVWTTTAARSLKADGETSYLRAFASTIMAEVDRVHASSA EQSKNDLLGSLSHELRSPLHGIVAAVELLQDTELDAFQGDLLQNMDACGRTLLDVIDH LLDHSKINRLARYAKEHDGAAPNERLSGLNKYHSFQSQIGALSHPVDLDSLVEETVES MFTAHSSQTSAVLSLRHGAPNHGLSQRPTFTRTTETHILDGFDIAPATVAQPSVSVYL DIDHDTSWYCQVEAGAIRRIIMNLLGNSLKFTKSGFIMVSMKQEQFRTKHRKYQTQLV LTVLDSGKGIGHDFLQHHAFEPFSQEDPLSQGTGLGLSLISEVLRALGGTIRLESQLG RGTLATVCVPLKASCVLSKRDSQFAENVTALKGLRVSLSGLNTEAEAACISSGKPPIQ HDLMETVCREWLHLEPVVHGSEDIRPDIIVCTDIAMHDKSIAKGFMPPPVVIVCQSAQ EAHSLSLEFRQTRKGEAFEFISQPVGPRKLANAMILALGRYNDAKASDLVYVEVPRVQ PMGDNSMITPDYTPGVEVPGNPSWIPDLIPPTSQAAELLVVPPHDTGVSLTLPLRQIL SQPIVVKDGLATPDPTRPQILLVDDNNINLQILVSFMKKLKYNYKTATNGLEAFEIFS ANPESFSHILMDISMPVMDGLESTRNIRALEAAQQLAPTKVIALTGLVSADAQQEAFA SGVDMYMTKPVRFKNLSSVLSSATSQQK PFICI_00185 MSLLGDTINPNPLVINWIPPAPDKWNLTTEACAAATNWTGTYIT AISIFESEGNHPVPISVSVEYLYTILPSEYRQNTSNTSLAAWYYNQHNENIDDPQFYN FWNDFEWNFPVKNCSKQLCQKIGWKEDPDLAGVGMLISYYIVAILTTIYYILLLAPDL YQENESANDHHAITSLLASGSHEEKKHKKGKEDQSLRTRILRAFRKTVNKFLLADLIF TVGMLGAALWRFHSASHHQEIPYSTFNMLVSFFMSCFSVLSCLVLQAVAKAKTTDPHD RHIYRWNLALPWIVIIPMMVALFALHLRDYFKTVGDPSDESIKRIVERDSEFGEWVWL NICDPWQTRKIVEVVLYIGLALLCINAVWFFVALMYRVFRLWRQERTKRKGTSNINKG GREWVAKAYRYTRRFDGAGCGVMMWAFLVLFHIYRNSSHATAGDSDGGSQAWSFGQIL ALAAWAPTLVDLFNRICLHPLVDKYHDEKRQREMEYGKSKLSGGDRFATDQTV PFICI_00186 MSEVSCSDNRRRQPKSKTGCRICKKRRVKCDETRPSCRNCLKYG AQCDYVTIKVRVSLSPTPSKTSCLDGLEDVFSIDDGFSIDDLELFHHYHTSTCLTFTT EPHVRSFWQLAAPPIGFSNQYVLRSMLAITALHLSRFKKEREGFFLARAFMHHRAALA MAEPLLVDMTAENCEQLFLFNMLEKFFAFARPKDDSDLLLVNTHERSSSEWLIRFRSV HKLAGQKKQSERLSFIGTLLQDRSHLPLDFWLAYSSEKDALDELEAKIYTSTTKDLDA LTAVLDALHHLQQTFVVFNESTFSGESPVRGVLWWLRTISDAYIALLAEGDNEALCVL SFYCILLRRLEHVWWVEGWGLHLIERIYRELPDKFRLWIRWPIQEIGWVP PFICI_00187 MGPPLKLEKLPVVLLPTTTIPSYLQTVVGRYSPISDEVEEFRGI PYARVPGRWEHSHPREQLPRDIFDATENGPRCPAPSPGDSRSFQSFLPYPNDREDEFE CLNLLVVRPSPLELAKYAKSAKLPVLIWIHGGGFADGAATNPPWDPARLVLRALKRKS PFIAVAINYRLNIFGFGASSDMLIGQDDHAAIKGVNFGLHDQRLALIWVQRNIASFGG DETKVTIMGHSAGGVSCHVHLLEAELGTKRPLFHKAGLLSGAWGGLDFRTMEKADEWW TDLCRFWSVQCESPIDRLNMLKRIPVKDLLHSVSELHWRFFILVIDQLTIIHSNLDCG VSFHLGHDELITQAKAPDTQIQVMLGATAEEFDGFVRLANWDYAKFCSIFIPSYPSEA AAHSVLQAYNILPTSSETELFGAFVQFISDATMMHRVYRAGEFLKAYRKDQALLSGRK SDGEGVQYYHVEFGNPFLGPSHDIAHHGVELIYAFGNFQHALQKADRGILDGYNELQP AAVPEHQPLAEMHKVTASEVEETDLSHIDLSRAIQDRFIDFIVEDSWQTARRANSDII TTYRHDRSIRLESWTDSENWARRRQRYDVLEQDMNSMLVATRRLVGSVLTMSLE PFICI_00188 MAVLSALNREFEQYHGLIGTRNILLLIVAAFIANQCYTIIYNLY LHPLRKIPGPQLWIAFPFLRSWRMVVGDSEFRIRELHEKYGEILRVSPNEVYFTNAQA WKDIYGHGHAEFPKFYPDGIHMDPRKILSSNARDHFRYRRAMLPAFSDKALVQQEPLI RVYVDLLIKRLGEVAETGKWTNMVRWYNLTTFDLIADLAYGESLQGLESGKSNAWIEN IEKMNIMMPIFVLLGTSKLLFKTVMLLLGPLLSRAQEKHMANVEKLAHGRLHARKQPD RGDFMDYFLRSRGESHGLRDDEVVVNSDLIMVAGSETTATLLSGATYLMLTNPHTLKL ATEEVRSVFSHDEQICFNETRSKLPYLNACLEEALRLFPPVPIALPRSVPGDVPMEVC GIMMPPKTTVAVPHLSAYTSEANFHRAREYLPERWLSQDGGDLLSPFQHDRRDVFRPF SFGPRDCIGRSLAYHEMRIIMSKLLFHFDLRLDESCNDWYNQHIFGLWAKPTLKVYLS KRS PFICI_00189 MIYLVFITFLVGALGQSSCKLTPSDDSWPNVAEWATLNDTIDGT LIQTRPAASSCYDGNPFNSSLSCDFVESNWTSSAFHASIPESVDYPIFANNSCLPPGA TGYNTSLLGCHISGFPLFVVNATNAQQISTAVAWAAARDIRVVVKGTGHDLNGRSAGA YALSIWTHNLKTIQYQNGWQVPGGGVDDVFIVGSGNNWGEITTAAAAVGRVCISGQDA TVGLGGFIQAGGHGPLSSHYGLSADNLYQATVVLASGEILVANEVENPELLWAIRGGG PGQFGIVTEYVLRSHPIPETVVEATITMSLAANSTAYNSTWAGLAAFMKSLPDSMDAG LTGNGYARSTPSQISGLSMTYFGYNMTTENITSLLEPVRSSILAQGLNSSLEVTMSEP TLYPSYMDFFNYLQSTASGAGAASLVSSRVLGRADLSDLSTCRLQYHLRQVMQGQVEG SGSMLVIGLQGGKGPAEVEDRMRGALNPAWRTGYVHSIVTGSSLDLTIPPQDALEAAA AWTEEVKETAWREWAPTAGAYINEANPYSSSFAQDFYGENYDRLVELKQKYDPTNTLF ALSGIGSDEWDYNLTTGKLCRSTSV PFICI_00190 MNAANKKKRRTHYKSRKGCSECKKRHVKCDEQRPICLQCSTLGQ PCSYAYLDPYGGGSSSLNAGTLSQSPSPLAATESPPQSLPGVGPQIESSIPAQAPKQV FELSHLALLHHVETGLTKPPHSHLVTDEKDADALVRLMVTSALSSPFLMDELLAFAAA HLSVLTPEPLVQDQYRDQAAHLQARALALFNAAGTPEITEHNSTAMFLFSSIIGMHML FDIVTAQTDLQDLLERFIHFAGIYRGVGIVTGPAWHIIRASELSCIINLIETVDKLNL PAENTCDDLIARLALTEERLGPLSYRACHDAVQILQWIFKQHCALPTPINRQVVLAWP VRISQDFLDLLRNRQPEALVIMAYWAILLHRGREFWVFGQGGRSLFDAIDGFLGPAWS EWLSFPRAIICLD PFICI_00191 MTIKIILGSQWGDEGKGKLTDILCPEAQLCARAAGGHNAGHSIV ANGVSYSFHLLPSGFVNPKCMNFIGSGVVFHVPSFFKELKELEEKGLPSVQDRILVSD RVQIDLDLHIAVDGLEEEELGAKSVGTTRRGLGPSFAGLQRAVTNTVVKKRGIGPAYS TKAARSGIRLSEVFNAELFESKLRRLADGYRKRYGDLLKYSVEDELARFNEYRPLLAK FAVDGVSFMRSAQESGTNIIVEGANALMLDIEYGSYPYVTSSNTTLAGIIGGLTLNPK NITETIGVVKAYTTRVGHGAFKTEDLGEVLRLVEDADVDDLVVVKYSTSINYYTALNL TKLDVLDTFETIKIAVGYKVDGQELDSYPADLDILDRAEVIYHEMPGWQKPTTNAKSY YDLPKQAREYIEFIENFVGVKIKWIGTGPDREAMIKRA PFICI_00192 MAEVDMVLKWQQSVKDDHHIDEKPPVSHLFAVCSEFYAEIVAQL GTNPATERGLLLKLQRSHSYLILWADGYGVAEGQLDASLDKSRRVKRTTINLLISICQ ILTKRLLPALGREQQLRLQDRAAEVAHKVELLKLIASQDDVADSDSDSDTESGSSQVS DTAPDLDDIAEDLRTDTECLLDLGSRFKEDAVGPVVTETAVDPEELIVWDPSDTFVDR VRWRYPKCDLEVSSRLGRANWTRVLRQQSIKDMNQRKVEVQPDGRIESGERSRFGHES VMGSEKSKDTVPSEATTFRDSAIGSSIPSNASGPPAIEYAETTVSYYGGQGDTVRIPS LPAGAKVGTPFLCIGCNKMVTAPTKSSWKKHLFSDLKPYICLVSTCVLNEKLFATKSE WKDHMDLKHTSSQSTTRNCPVCQENTFYGDDHFSSHIAKHLEEVALTILPTNADSEDG TDADSGLASSESSDVEENEETRKLPMLNIKPLNKVEDLDIVEKIRAVILEPLSERDDF AHFKPWVFRIGELIQPGSILRGVEDALLYIAMVRIKILMVLTVDALSDMVQETSSNAE LYQKFSLAVLEQISKASLDLDLSTQIRSGERPYDKGYFPDAEKSILRRARDMEHTGRP LPDPGLETLGLTELDDVVRAMMSWRAQDSVFPYTMVCQEPGCGKEFKRDCDLTKHQKT HSRPWKCPIPTCKYREYGWPTEKELDRHWSDKHEEAPTVMYTCLFAPCPYKSKRESNC KQHMEKAHGWTYVRSKTSGRKSVLHNEDISSSDTNTLLPATSNLVDKGYETPDDHREL VSQIGIELGPGHSSELIPPPIPSASRASIPNSGLNAALGDADEDWTKITDLAERRRIQ NRIAQRHYRKTLKQRLEDLEKRANISSDPLPNSGSVDSPGGANQLMNVLERIDVDDTQ EKKDLSFAAHAEEAGARTSDQ PFICI_00193 MQEKMWTWRDGARKDDARPTGGYLDTPEDLRHHLSPVCQPGCDH RDCFHAKALQAPTSLDGIFRLPVERTLSVPEQVRLALKLVQGVLQFHSTPWLQPYWRL QDLSFFPTDAGLADSLNTLHISAELSGEQRRAQAFHDQKQELCCDAIMTNSDGDGEIL DAQIACGIRNMTMHSLGVALLQIGQWTVLQPDDVVEVRKIADLADRGSRLGPRYQKIT QQCLDCDFGFGKDLREPQLQAAIYRDVVCELESLVSTLEGTVPQI PFICI_00194 MSEGQQAQHPQATGASWTSFIKSIASFNGDLSSLTAPPFILSST SLTEFSSYWCEHPAVFAAPASEPDAAKRAVLVLKWFLTTLRQQYASRSEQYGNEKKPL NPFLGELFLGSWQDNAGTTELISEQVSHHPPATAYSITNDKSGVHLEGFNAQKASFSK TINIKQIGHALLTVPNPSKPGEKDTYLITLPSLHVEGLLFGAPFIELDGASYITSSTG FTAKIDYSGKGWLSGKKNSFTAVLHPTGKEKEVVYNVSGQWTKAFEIHSGAAKHNSSS NLVDSWDPALTATSKLIVAPIEKQHPLESRRAWANVAKGIASGDMDFVGKEKTKIEQA QRAMRKKEQEEGRIWERRYFTAMPEGSDAALSTLAANVGMSETGDSDKTGGLWRYDPS KAEKVKGQEPTEEQKALLATELLGQ PFICI_00195 MAELTARWSLSTAGAATRIGNGQFWRAHLQITKLQQDFDARLKR LKAMCGIHGLVTVHSGQELSANLKESLANRGPDFLGQVTRSVSSDSQEEPLISLTFTS TVLALRGDHLAKQPFEHPEHGSVLCWNGEAWRIDGQAVEGNDGEEIFARLAANALVED EQRRSYTIDVLRQIQGPFACLYYDAPGKCLYYGRDRLGRRSLLVNHSEAVGGIAFSSV SDSQVADWKEVTADGIYAISLNTSDVKNIAPQKYPWVAETGADLVSSIGRFNKILPDQ HEKLDHDSPSVGLVRQHLVEALKMRVLNVPKPPLVPGSNGDDDARVAVLFSGGLDCTV MARLAHEVMPSSQSIDLINVAFQNARKAASQENASSATLAEVYEACPDRVTGRRAFAE LKAACPTRRWRFLAVNVPFAEAMSHRSRVVSLMYPHNTEMDLSIALALYFASRGVGHP QTDPADSEPVAHTVQTTARVLLSGLGADELFGGYSRHEVAFKRNGYTGLIEELKLDVS RIGERNLGRDDRILSCWGKEVRFPFLDEDFIKFSIECPVWEKCDFESPTDASGIEPAK RVLRLLADQLDLPSTAREKKRAIQFGARTAKIEQLAGGPKTKGTDLIS PFICI_00196 MASIVERTSEGIVYKPWRNMEVPDLDVLTLLFESQQCYQPDGTI LHADAAEPSNHVTKGKLRQDLKKLAHVLRNRFGIGASGPSKDVVFTISTGHYLLPLMF YGTVAAEGIFSSTSPGATPDELAFQLKQTTPKIILCNPDTQANAIAAAKKVDFPLNKI ISYRGEKELELFEAVSGTKIPIPNQLLEWRRITDRRELEYSVVCLLFSSGTTGLPKAM KLSHRNLVSGGTLLLEPIKEFNATHRPKDFRYVGLGHLPVAHIAGVQGYLVNSVYMGG TLYWMPRFDFVKFCEYFKKYQATSGFSVPPIYLLISKSPLVTDQFDTWVDAIAGAAPM GEDLQVEVSKKLGRGTTKLRQTWGLSETTGSITTVPIDRTDLGPGTVGGLVPSHDAKL IDEDGNDVEPGKEGEILVRGPLVIKGYWNNPKADSEAFKNGWFYTGDIGVFRDGWLYI VDRKKELIKYKGTQVAPAELEAILLSHPKILDAAVIGVAGEGTELPRAYVVADEKQIS AEEIKSFVAKQVAKYKQLRGGVVFIHAIPKSPSGKILRKDLRLLAKKDGQSSKL PFICI_00197 MKSGKYTLVTYLPKAGMVYGAVAVLGKNERDRAVLQLFKRLGFV RTGETDARIRAVGRRRVQEALVGVFTVAAEPAVAGLMASGDQTAFEAAVQERTPWARD RAMDPRIFAELFAVLVEARHRWYSHPRVPHSFQDHSDLAKAIDRYLEQQSDWGRQLFE LVHGGTPLGEEEEGEEEEEEEEEEEMKDDEEMEEDEKEEVMGDAQAVDDDEDDAMDMD PFICI_00198 MAQDLDKTDRSEAVSISAEVNNAESLGAESPPSGVVTDDDDHHH EKLPFSKARCIALVATVTGASFLNTLTVQAVVIILPTIGEDLGIPESRLQWVVSAYSL TFGCFLLLWGRIADIYGKRLIFILGSAFAAATLIVNPFLRNEIAFDLFRGLQGIGGAA NVPTAIGILGVTFPPGKAKNYAFSTYAAGAPLGSVFGNLLGGLIASYANWKWVFGANA VLAVIVTAAGVFLIPPPPPKPAELTSGKSLASTVDWFGGGLITAGILCLLFALTEGNV VGWKTVWIYLLIVIGLLFIAIFAAWQWYQEKHTTRPPLMKISLFKNKHFSIAMVLMAI FFSSFNDYLIYATYFFQDYQDLGPLQTTLRFIPTGIAGIIVAFIVSHLISRIPTYLFL LFGNLAVAISCLLFAVPIPPQTSYFAYGLPAMILSVIGADMTWPSLTLFVSKSVPRED QALGGALINACGQTGRAIGLAITTAIQTAVLARERGVPVEESGGIEPWDAPSLFSLRV ANWFNFALALLGAVIVGLAFRGTGIVGKIEKSPARSGGEEGVMDQEDKGRA PFICI_00199 MPTVIHLRADTKQFERRSSMSPATAKALLDAGYTVRVERSPERI YNDEEFAAVGAELVPAGSWQKAPKEDIILGLKELPEEDMPLPHSYIHFQHIFKKQTGW APSLSRFAKSGGTLYDLEFLTDETGRRVAAFGYWAGYAGAAIALLSWAHQVLNPGVTQ GAVPTFENAPALVAHVKAAVEPAVKANNGQLPRVIVIGALGRCGKGAVDFCTTAGLDA DSILKWDMAETAKGGPFEEIAQSDIFINCVYLGPHRTPPFLTFDSLSAPGRRLRVICD ISCDPNSENNPIPVYSTWSSFDKPTIPINKEIPGPELRVVAIDHLPTLIARESSDEYS GLLLPSLLTLDRRDTEGVWTRAEKIYKDRVSELP PFICI_00200 MKSLSLTLALVASVEAGLRFGCSSLTIQRLDPVVEPGMNPSSHV HHIVGGNAFNATMTGDVGARGTCTTCEMAEDFSNYWTAVLYFKHPTNGSYHRVPVKNN AALASGTTGGMTIYYTPHDFSTDDLKNQPITAFPAGFRMTVGSPTTTTEAQAKGHVGL RYNCLQTLLNRGPEMVDFPTKPCPAGIFAVHHFPACWDGKNLDSPDHQSHMYNTITRD GFTNAPACPASHPVRMPQLTYETVWDTTKFNSLWPSGTPNPFVWSFEGTSGYGTHADY MFGWQGDALQRAMNKSECFYDGCGSIKKQVMSTANKCTVKDMVGEETDGWLTHLPGMP MN PFICI_00201 MAVNEHEFKELLESFDQAFRDMNSYLKQYEETVIRIIDSPSSGG LSSIVQHAPITHRIKKPQSALDTLSRRQHDRIELALLKKNIEDSGGNWESFCDAWHMR DKIHETEPFTSLDDMHKSMHDLIGLRISLYFPRDVEKVVDYFTKHEKFEVVVPASRKG GIAQDFKMVRKLMAEGEEAYEQASHPTFAGYKSTHMVIKLKDGIFPGQEHSRGAVIEV QIGTIIMHAWSQIEHDIIYKRLGNRVPSTEEKRLLDLINGIVTTGEVALEQLASLR PFICI_00202 MAYTFSPLTNERSVRMLILAPGSNDDVLRGHLEVVAIDILGSYE AISYVWGGSNERRTFVCEGLPLSLTTSLYLALTRLRLKDRTRRLWADQICIDQENLEE RGQQVQFMNRIYRSASHVLVWLGQDHNRAAATSFKLFRDLSEIHHNKETQEKTDLEYL EHHEYQSEAVWAPLKVFTNLAWFTRAWIVQEIGTQAPATLFWGTEEIDWELVFGVCEA LASYHGLRKRFDIQTSKVRYVFQRFVEPEWTSRHANRFCFIYELHRARHLQVSDPRDR VFALLGHYSIRQRSGEGLRSLKADYTRSVDDVYIDLAARALYEDAKSLITLAAVQYST LELAGESALPSWVPDWRQYSSHILSEPTCSHRAHGGTIPRLTIDLASRSLVIHGIIID SIAKHSAGIRPKSFYADGNVESTTVETLWRDVCGKNKFGFTDMYVNGETALFAFLQTL SNGCATLKWNLNSDHHAAPAETWLAYGAAYLSRLFAGTEKITDEIQDRGSSGDASQWI RAANSASTNRSLAVTDKGYYVLGPKVLRDGDMICVLFGGKMPFCLRPREDGTYYLVGE CYVHGFMEGQAIDDLQQGRRDEAKFTIV PFICI_00203 MADIMDHPVVHLLKSLMSIVSTSELEHGIGVFLEEHLQGLGYTV ERIPIAPGSTRHNVYAYLGPARQTRVLLTAHMDTVPPHIPLTVDGDIIRGRGSSDDLG PLAAQIQAAEELRREGKVRDEGDIGLLFVVGEENGGHGMIAANDMGLTWESGIFAEPT ESKLAKGHKGQVAFEVIANGIACHSGYPHLGKSATTTLLNVLNDLSAATWPESDLLGP STFNIGTLEGGEKHNIVAPSAKALCEVRMVSDLPGIKAKVAEIVSRHPDVELKFVFEY PEALLDWEIDGFDAAPVAFGTDVPRLKAECCGNRVLFGPGSILVAHGPDEYIRVPELI DSISSYKKLVLHFLRQ PFICI_00204 MAPKNVIVTGAGGLIGPMLSKRLLNDGYRVIMTDIVEPVIPKGV KHPENAVCLKGDICDAGFVKTLLDAAQPLHAIFIFHGIMSAGSEANFDLSMKVNVESV RNLLLALRQTNPGVRVVYSSSQAVFGQPLPAVVTDSVTPTPEGTYGAHKYSTEIIVND MHRKGFIDAVIVRFPTLVVRPGKPSNAASSFLSGMIREPLNGEVCVLPLKDRGFKSYI CSPSGVIENLVRVLNMPGDALPKHIRHINFPGIAASVQELMDGLAKYGGEDKLKLLKE ETNPELERILRSWPQDFDPSTPDRLGLVRDKNAEDLVKEYVDSLKEQ PFICI_00205 MHSSPFMNVPAEVRGLIYEYLFDDAGNDRLEIRNIEYPMLPKRE DRVRTKYHLLDHSIVRRCYQATYHLKTKDAYFCASLMRVNRKIYEETSYIVYSRHSFD FGVDIEAVQPFFSDLTPGSRQLIQEVSLCKRGPLPIFENDRSEWRNLCRYLGESCVVK KLRLVVQGGRPNVDWTGPKEFSARDLQLLADLKHESLDWVSELAQVEIKELEVLPDLH YAPPPTTSHGLIFAAVSASIEKGLTEFLRSQLHLAPRVGF PFICI_00206 MSSFEGKVIAITGAASGMGLATAQLLASRGAIISLADINEVALK TATASLPKPNGQGKDHIYTVVDVRQTQSVDDWITRTVASLGGVDGAVNMAGIIKFAVP VAQSTDEDWDSTFAVNAKGVYACIRAQIRAMKPGGSIVSAASVFGQFGAPGNAAYCAS KAAVIALTRTAAKENQEIRINCVSPGSIRTPLSEGENPEHVKASLQHTAQKRRAEPIE VARVIAFLLSDEASFVTGAVYNVDGGWVC PFICI_00207 MTTAGSIAARSFGGCDTCRSRRVKCDETRPTCLLCSTTGLTCRG YGKNIFFTPGADGSELDGEDFRFRRLLFTEAERQEMSQWLTSSVSPSSVSKQLSALDE QCENVSPDQSMDVQHGPFGVFRGPKIAETRFGSADSVGELDWCRDIRLPDHDNEDDNV QQSMDQGDAQSCQWSPSLIAAILDDPLQFSSPTEPGHINMASAMDNTDAIAATECEAP AMLGLSETALCLTEGATLESPSSITSDNYLGYMLNPDLGTIEKPSHMSVAPTVSMPGD AVFLLKHYVSSVVNFVTPFGHAKTPWHTLFIPHVKTCLAALTMGEHLSHASSAVFFGT LAISASSLGRKMQSHSSMWLERSKFYERQAREHCRATLASAYHVPKVAKYKTILMALL TLVHLYTVTGRRACVDFYFVEAEKFIRLKGLSRKKSRKVRLLHHCYVFQRLFYESLCI GKTSSHRKEVQNAIESSELIIYSQDSPSFKALSNWHDPTQQTLYIKTQEEGENDLHLE HPGVWPATLYPEIYGIPEGLIYFLSCTIRLGKEKDAMDQGHGSSSINMSEFFKRARTL ERCIKQFPDWTSEMRVSEADFPGDEKLANMIIAVRNALAIYFYRRIYDIDAELLQSKV LRVLNALRQVDCTGSDGPTGSLSLVWPGFIAACEAESPPVQQAFSEWFENCAHNSGLD TFSITIRTIREVWVAKSSLSDTGASWIDLAKGKTYAGLHNLPL PFICI_00208 MAVSPEIGFSGHDNSDVEKKANLSKVQSSCDGEVLESSSHGTKR AIKSRHAHMIAIGGSVGTSLFVASGQALAAGGPALLLISYLLLSLLVYGIVTAVIEIG TYLPVPGSSMSMYCGRYVSRSLGVAQGYLYFYAFGIIAAYEVVAGTIIIDYWPNKVHV AILITIMVAVVILLNVFPVGVYAEAEFWFASIKVVLILGLLLLSLVLMCGGGPGHERL GFRYWDNPGAVNEYILPGAKGRFVAFIYVWILCGFSFYFGPELVIVTSGEMRNPRKNL PIAARQFFWRLIFFYVLGAIAMGAICASNSPGLVSGSGNANSSPWVIAIKNAGIEGLP SVVNAGILTSAWSSGNSYLYMSSRSLYSLAVSGDAPKIFARCNRWGVPTYSVLTASLF MLLAYMSCSSEAGTVFNWFINITNTTGYTSWVLCCITFIRFRKACKAQGIKPPYQSRI QPWAAWICMIIFAILCLLNGFTNFFLGHWSTGNFFSAYIGLPIFFIIWLGHKFTVGRK DSWMYNPSDVDLTTGLDVIEADVLMYQEEEMSRGESKGFLHTVKKIVAS PFICI_00209 MDVPGFALVTGAASGIGRACANTFARDGAAGVALFDVNPEALAT VKAEIEAKQYTSSGGKPCRIVVHQVDVSKEDQVVQAVQAVAAEFGRLDYVANAAGIAV KHEGGAAFAHASDWQRILDINLTGSLFILKAAGQIMLKQEPILSSIDGRPVQKGSIVL FGSIQSVAGIPLSTAYAASKAGVLGLMRSASEDYAKDGLRINAVCPGYTETPLTTKNP GVFKAMEERVMTAVPMMRVGQPQEIADGVVYLAGGRSSFVTGTALMVDGGYTER PFICI_00210 MGSLDLPAVDYDVLIVGAGFSGVCALHHIRQQFPSWRVKLLEAS SGVGGTWYLNRYPGARVDTESLSYQFSWDKELLNEWHWKETFSGQPDVLSYIERVCEK HDLYKDIQLNTAIASAHWNDDAHTWLFTDLQGRQYKARLFVSCLGFLSKPTLPLIPGI ENFTGQAFHTSNWPKNLDYRRDLAGKRVGVIGTGATGIQTITAIAKESNVESLTVFQR TANWSAPLRNEPISPEQMKKLAETYDEVFAQCARTSSGFLYAADPRKSSEVTQEERTA LWDKLYNEPGFGKWLSVFKDTYTDREANRLYTDYMAAKIRARVNDPEIADSLVPKDHG FGLRRIPLESGYFEVYNQPNVYLVDLKKTPIQSIEDSAITTKDGKKHELDVLIFATGF DAITGSYSAIDFRGKHGRPLLGYSDSDKGKNAIWVDHKPKTYLGITAPDMPNTFMVLG PHQPFGNGTRSLEKAVDVVCTMLQHIYEHGHTFVEPKPEAVQAWGEHVVDCSKGLLLN DVDSWMTGVNSNVKGKQERSVARYVGNVVEYRKRCADSARFGWKELYFA PFICI_00211 MACLVATAKADGWDDFANNLATDLAPILALFGEQATKQFLSEST TRLDNFIFAMAPLGILTAVVSAIRVCGGPSLRAFIGRAQEGGGIAEAELCSSTSRDVC ELYHNGAIVRVFGRPKILEIVHDREAPCSFDTNSKTVPDCGIYPFQEYIKTQRAKDAG WKELGEPNWSVEGQPLKVDKKDDVFAPNPNLSFNIGIREQPRWVVRLAATAGFLIQAS VVVFGFLVTYTWGWTKEDAAPSSWAFPLMVAGTFLLNSGMFHCAYLVESSTQERIFQR APGNKKKGPRFHVIQPGNQTIGDQTFDAFSFTDAHDSHRLNKYTTSWKINEEAKLGVW LATGSSICGFVLQFVGLRSMHSAVSVLQLGAILFMTIVRAGLRTQRLGKDQNLLRSRP DEVEGHELDWLALEMAKDDQEKKNDERKFWSVIATRPKSSSPSANHESEPPSHQMSSN IAAEKHQAEKVFLYRSRLAELTSHSTRKKSKVSSAWDDSMVHVRQQARQLKKAIESSA KVLFTHSRFEPEWESNKTITWAFDVAETSLSNSGPNKKDTSTIRLTLHKVDDGKQSNV WEINQKYLEAVVGLWAWSMISDPETEEEEKELRISTASEVPMSRIMAFGVSQEDTERA KTDLELWMEDFPSTTSTMKWMKPPGLTDKSPNTIWKRDVDEMVHNLKLEADHCLDRVR LYGWQIPSIPAEMHALTTTVNGSLPDMCARDVYQSFLRTITEGLTSVEGQSKPSMGSK DYYFTNDVLSRLAECFKESGLGSIRDAYSIIVPVLRSKLPLPVDVLPTAYSQAESCRK EGDFQKAEAILRWGWDTALKTTDDLNNDALDACMLEFGELYRYALFSNDSSQHGLGFD GISWMQKEVQKSPEKSSIAAVANRYFHLADMARRDSTPQCSADDVVAAASKNDRPSCL WLISRVMGLSSTSIDRTALSWAAQRGWSEIVKAAIEIGSVIDSEDGSRRTPLSYAAEH GHADVVEILVRSGAVPNLEDATHRTPLSYAVAGGHIPVVELLLKDRRVSIFTRDKYDN SLLHWAATNGHNDIVDFLLKREVEDQLNQPNVHRNTPLALALSNSHTSTADLLVGRGP NVDGIMIHDAEALEWTIKNGEWASAAWLLRLSSNEDKAVIIGLFEKQDPKEPIPYGMP DTRSPVISLEVKALVDALSVDANREITMEIIQDFLVGKYFVQAKIWKQEGTSQEILSS EGNKVVELLLSLVRKQDVVTVEVLKAAAGYEYSGEEVVKLLLDHRGQEITITEEIVRI AAANEGWLAENVLILLLDERGQEITITEDIVKAAAENQGDSTTEIMNLLFNERGEEIT ITEEIVKAAAANRAHSEKVFNLLLNRRGQEIVITGEILKAAVSNEGWSAVEVMKLLLD QRGHEFTVTEEVVKAVAGNQRSGEDMMKLLLDQCGHEFTVTEEIVKIVAGNGVSGGKV MKLLLDRRGHEFTVTEEIVKIVAGNAVSGGEVMKLLLDQRGHEFMVTEEIVKAAAENE DMYGEGETMMDMFLDQRGHEFTVTEEVLKAVAGNRWSPTKMIGLLLDGRAHIQLTEGM KEIIRDEGYYL PFICI_00212 MEKSHLGASHDELNFAPSDVGTEISMRPLIVLTNASWNSAVFMA VPVSIYTVGVVTSDFAIDTQPWDFTTVESEETWKHDLGLNLQMIRDNYTRVDRLDRHD CIQRYVNSRSGVNDVILVSSNTTMADGRSTMHRNSTNENTSLLDIFVTLSYASWPLFN VWICDDRLNLQYTTSTCTWKTAQPHVHYWNWISTPVSSVDTTNQYHYEIDYCLSLGDD SSEMDDKCALRFYLP PFICI_00213 MTCRLWAKIKAPEEITYTKASMITIGDYISSALEHQDLHTAGLD LSTRKDFDRPWRVDPRTEFIPPRRFTFIDVLSRRKWVVTYVLMCLLVLPFYALLGVIL YEHRKIGMPIDLPSLWAEGIGVFQNYAYFPSFSSEDSGGTNGPFHARRFHMAALFANI PQLFISGGYVILNNLLTTISITDEWNTFIHRRQPLRVSQPKGSQRSSYFLSLPFHYAI GLITWSGVTHWLVSRSLFVVESNEFASPDFVHFDNQDSAVVGHSAMGIILTTIAVSLT LFVPIVISFRNFEAPKSKENNDWIGREAARYPMPLTSTCSAGISAACHRHEQDLDAHL LPITWGFVEDDPESQQEELRGRFCFTTARDVQWPERYVRQNAPSFQETPAGV PFICI_00214 MSATPAPPEDQARLLEDALIAVRQQTVLMRKCLDTPGKLMDALK CCSTLVSELRTSSLGPKQYYELYMSVFDALRYLSVHLRENHPHNHLADLYELVQYAGN IIPRLYLMITVGTAYMAIPEAPVKELMKDMMDMSRGVQHPIRGLFLRYYLSGQARDYL PTGEGDGPEGNLQDSISFVLTNFVEMNKLWVRLQHQGHSRDREQRTRERRELQLLVGS NIVRLSQLVDLETYSNGILGPLLEQVVQCRDVLAQEYLLEIITQVFPDEFHLHTLDQF LAAVSRLNPHVNVKAIVIGLMDRLSAYAERESQAEASDDRGKLEEEALAELLEKIRLG KEQQETKPPAAEPSEDTNGDEPQNGDSSEAPASESSETAPEAAPSVAETESTAVETDG EQAATSPKKERGIPKDVKLYEIFFEQVNNLVAVQHLAIQDTIALLVSLTNLALNIYPN RLDYVDQILEYANRKVREHANSADLHSPPAQQSLLALLQAPLKRYVSIFTALSLPTYV PLFQSQTYPTRRAVAGEVARTLLRDHTLISTPSQLENVLEILKVLVKEGSQPPAGYPG GPQRRVVETDETIEEQGWLARLVHLVQSDDNDTQFKLLQMVRKSYAEGGERIRTTTPP IITAGMKLARKFKAREHYDDNWETQSSALFKFMHSAISALYARVNGSGTAELALRLFT ACGQTADIVGFEEVAYEFFAQAFTVYEEAISDSKAQFQAVCVVASALHQTRNFGRENY DTLITKCALHGSKLLRKPDQCRAVYLASHLWWATPIAATEESEEGLYRDGKRVLECLQ RALRVADSCMEQAASIELFVEILDRYAYYFEQQNESVTTKYLNGLIELIHSNLSTNQQ DSASVESSKKHFKQTLDNIASRGWEGVVLYPQK PFICI_00215 MLAPGEGGPNYLSLARRKQAILNGLKEEDEEEEKKQKQKEEEEK KKKEEEEKKKKEEEEKKKKEEEEEEKKKKEEEEEEERKKQEEEKKRQEEEKKREEERR KKEEAEKLAKQNPGFTSTQSQPTFRVEIPVPKRDPARLAGPPEPGTTHTPRTILNSLL RQTMERDEELERRRLRAEAGIPETEPMPPPGHAAWEAYKLRVGWVSDNPWPEPKWSRL DTIKMLAEREAEARARSKKKGSGGAAAGVDEWPIVLDLPSVTTLRTAKGGWLQSRVPG LFGVSTLGLNRCCFVLFIVLYLVLSIFVAELSWQAFRHFVLKKIL PFICI_00216 MPSEKSEYIPAPPIPSYDEAVQGSSSRNDWGPPPRSPIDDRPES EREAQSLLTTSRNPASERRTPAGYRPPHVETDDEDSEWTLDSDDDDDTEDAQVRREMQ ELEVEDPLNGSSSRSNSLWRKRIAALSLPKWRWRIPRLTVRLPRASDSANTAPADSER GTSETPARSWWHSNINLNSTAALLLIGRLLALFLVLGFLYLVFVSDLFTSMSQRIGGQ VFDPESVRHHIQNSMDPRRMRETLKHVTKYAHIAGTEGDYALAEDVRNSFLRSGLEDV TVDEYYVYLNYPKEDGRAVELMSEDGSKAIWHAGLQDGPYVPQNIIGKPTLTFHGHSK SGDVRGPLIYANYGSREDFKRLHDSGIDTKGAIALVRYYGTQGDRALKVKAAELAGFA GCIIYSDPADDGFLLGKPAPAGWFMPREGVQRGAVSLMSWVVGDVLTPGWASKKGQPR EKTTQTKGLVQIPSIPISWGDAQRLLQSIEGFGEALPEEWQGGVPGVKSWWSGNSSSP IVRLKNEQDEIEQQPIWNIYGKIVGIEQKEKSIIIGNHRDAWNWGATDPGSGTAVMLE VARVFGDLVARGWRPARTIEFMSWDAEEYNLIGSTEFVENNLEYLRKNAFAYINIDTA ISGTEFHAAASPVFNKLLYSVLERVYDPLENATLKALWEARGGELEGLGAGSDYVAFQ DIAGTSSIDISFQGSRFPYHSNYDNFDWMSQVADPDFVYHGLLGQVLGLLIVELAERP ILPFDLHQYALSLGRYLGDLWKWCEDNGANRDDKSQLDLNALKDSIKEIEEAVAEFGI WEVEWQQAVVSANGWEPSGLGRRRCEYNSRMALFETGLLDTEFGGGIPNRTQFVHTVF GPQLWSGYDEAFFPAIRDAVDARDFELARSLVNKTATIFSAAAEALNA PFICI_00217 MSTPSQAPPSPSTLGTGISIKKTQPSIDSSTKAKGQAKPTQQIR FTAEELKRIGALVEKRGDDELAALLRRKLSLVPGPTKVDGDVDTGDEQDGANETGSQM GLQAESVKTEIEERSQARGILRP PFICI_00218 MGPADTDVKQEDGTEKGSLIFTTRSRIRRRHDAARARVDAKVLD WYKRYVIEGILRQKPLKPSKDGRHVPLRIGADAPLVDERRDGHFISNTIRTSRYNVYN FLPKQLLFQFTRLANFYFLCVGIPQTIPGLSTTGSYTTILPLLFFVALTVFKEGYDDY KRHRLDKIENANITTVLGKPRPPATLRPASRSWFHWRRAARTPATDNSETVEQEGQDQ FNEFEDHDLQWNKVHWRDVKVGDVVKLCRDDEVPADVVLLRSTGENGIAYVDTMALDG ETNLKSKESLSAFKDCGSIQALHDVEAEFVLEDPNPDLYRFDGRVTVEGKTLPLTLNE VVYRGSTIRNTKSVLGIVINTGEECKIRMNANQHPKAKKPAIEKITNKIVITLAFYVI VLSVGCSMGYIIWRRSTEANSWYLQDATVAFKEIIIGFAIQFNNVIPLALYVSLEIVK LGQMLMLNGDIEMYDEATDTPARCNTNTILENLGQISYVFSDKTGTLTENVMKFRKLS IAGTSWLHEMDLQPDRPSSKAATEVQMSAAIPATRKSREVTRLIPEEEEEDYPPLASP VTITVTSPIQATITNVSSQQGRRSSSQWRSTGRPDRQQPDVTTYDLLDFIRTRPAAPF ARKARDYILCMALCHTCLPELRDGELDFQASSPDELALVRAAQELGYLVIHRSSQTVS LRITLDDGDERTEVYEILDVIEFSSKRKRMSIIVRYPDGRICLICKGADSMILPRLKL ANLALQKVNEVRKSADLEHEMLRRSEQLEPRNSFGGRPSLTLSRKSIGGQPRAESSRT RPPIERSRSVEGWKIRRSEDKPRPSLGVRTVSFDITKTLPLPSALPPPSLADDRFDFL DDPSINDDSAVFTKCFKHLDEFATEGLRTLLFARKFIQDKEYQGWKKIYNDATTSLVN RQDMIEAAGEMIEQSLDLVGATAIEDKLQVGVPETIEKLRRANVKIWMLTGDKRETAI NIAHSARICRPGSDIFILDSTKGDLESQILGIVEDLQAGCLHSVVVIDGHTLGVVEQS TTLKALFYTLIPTIDSVICCRASPSQKATIVKAIRSRLPDALTLAIGDGANDLAMISA SHVGVGISGKEGLQAARVADYAIAQFRFLQRLLLVHGRWNYVRTAKFVLATFWKEMFF YLPTALYQRYNGYTGTSLYESASLTVFNTLFTSLAVIIPGIWEQDLSAETLLAVPELY VYGQRNMGLNVRKYLTWMIAAASEGVGVWFVVWAGYGGFLGMVGDNNLYPLGELAFSV GVLWINYKLFILETHHKSAIVLVGFAITIIGWWLWNIILSAVYNRGVNTYAVRNGFTK TFGGDALWWFTLIVTMAILICFELGFKAVKRNLIVGGLWQWPPWKKRALGDAAEEWHL ELWQELEQDPLIRARLKQEESDDCVVLEEDVENVVEMPSATGKDDIEMRKIT PFICI_00219 MPPESPDVPIESQRDSAAPTAPIQKQPDHATSMSEPTAKQPEAI VPTKSEATTATTTTEADGQPLYHFQSVAQDELKEAVSRAEDPAPENAKALSSGDLVGL ETIAAEEAEEKKPEPPAKDDPADKGKGREIATQLTDERLEDSVATLKPRKPQYLTIET PAFVDPTPPTPSASQPPSRSSSARSKGHGPEPSPTRSDVAYDDRRYASEDEQEGNSRS EIQSIMEQFPEEGGGPDVEEVMSPRLEIASPFFGNPSPQHPPRKSSLEPLGSGFVQQI QEMQGLRISTSSPTSMKSKPKERDSDDQGPPVPPKDSVDETASGEPQSPAATMHRPPP PEPEPEPALPFDFHRFLEQLKNKKADPVARYLKSFLQEFAKRQWMVHEQVKIISDFLA FIANKMAQCEVWREVSDAEFDNAREGMEKLVMNRLYTQTFSPAIPPPQPIPGSKSRRR GDKVMGPGRRGQHQEDVERDEILTQKINIYGWVREEHLDIPPTSDSGKRFLTLAQQEL LKIRSYRAPRDKIICVLNCCKVIFGLLKNAKSDSSADSFMPLLIYVVLQSNPDHLVSN VQYILRFRNQEKLGGEAGYYLSSLMGAIQFIENMDRTSLTITDEDFEKHVENAVSVIA EKHRHAETSPRQEHYSEKTGLHSPGESSSTRPSLDVDSTGTPRRSLSSDQGDENAPIT GLLRSIQKPLSTIGRMFSDEPSSSGPSHSPARTPQPDGTPRLSPRHSSDGRPSRETRQ QLSAQEAAARQASAEVAEAQRLHRAEHNNVVETLAGMFPDLDRDIISDVVYQKEGRVG QAVDACLALSS PFICI_00220 MYSPTSANQPRRHRQLRPGVCSKRRVSFRCPNPECDTPFWPVIL LPNAECKAISLEDVAEEEECSLGLPKDLSTLPRITCPTQSLPDADIDSYCTARSSMRS AFLRFRKVYGEESVEWKRVLGEFTIQCMLHQETLSKCTFDPFQIMNANHVSLVAQVET DCKMWFRRHLGDDQTRLWWEQVEELGWWLVHHDCKETPMREGPDDIVSEGRVAFRDPF SDSRRGSSSTDGGSFSSSVDDPFADF PFICI_00221 MPTAKTSSQPLYPAYLPTRPDGYAATIDVPPFEGNEPGTRADPA KPHLLRPDVEVTNITPRIGTELRGIQLSKLSQEGLDEIALFAAERGVLVFRDQDFADI GFERQLEIAKHYGPLHQHPTMGYPEGTGPEFHVVFADENAGNLRTLLGPRTSYDLWHI DQTFTRNVPSTTFFWVLEMPASGGGDTAFTSLTAAYEALSPTFKSLLAPLSLFHTSAS VGEVARVGTERALREAVSTTHPLVIRHPVTGKPSLFVNPTIARRIEGMLPEESDTLLK FLNDHIKSLDFSCRVRWEKGSVVVWDQRSAAHTAVPDFKDGERRHMVRIIPYGSQTKP AFPDMYGKTNEST PFICI_00222 MDQSGTTQAYVSGMKEALSLYGNELVEFTTYFSIGYAIFLVPAQ MAQTRVRPSLFLPLCEIIWGALTLVTYRAKNAQTVFALRFFLGVFESSSWPGIVSLIF NWYTPKELGKRVAIFGVSGVAGNMFLGILQAALYKNLNGVNGLEGWQWLFIVSGIITM TWGFVGLVAIPDSPSFTRALYLSKEERTIAHQRMSQVGATTAKLVSWKLVRSKIRLLL ASPVAYLFLGAYLQFAWSQRANSYILLYLKGLKDVSGNPMYSTYTVNLIPLGGYAISI VCNIGLNALSDWKEWRWQVACGAAGLQLIATAVLSGWPDSHPTILTFYFLTYATSAWG YALIAWLAIILRKEPEARSILVAATVTLVYVGHATIPLSAWKTSDSPRYPIGFPMAAA FSVGSIVLMLGMRYYVRKYPAILDHGLDWKVNESLIVVENGVEEMSSDEKVGKVPAES RGPV PFICI_00223 MRLLNVRTYQLEEFFESSRPEYAILSHTWGENETTYQDLRDGRK EGKGWDKIEAFCQKSKRAGYKYGWVDTCCIDKSSSAELSEAINSMYQWYKDSEICYVY MSDVPPNNNHFDESSAFRRSRWFQRGWTLQEFLAPDAIIFFDDSWHYCFELILSRPRG IPENHAHESLVTLLSEITGVNEYVVRTGDFESTIIAQRLSWASHRVTTRIEDMAYSLL GILDINMPLLYGEGKAAFQRLQEEVLKTKLDQSYLSWGLNMTWDQMPDGDLHLAESPA FFQNCQNLGRSWIFDDGLTEMRFQSVTNQGLLIELPIMEINKRHNVVLALLQYGPVKH RDYGSNFCTAIPLFHFPGHSYFRRLDGSTPFFAPYKLLRRARNRQICLLNYKNMTFGL ETPQPIISKAKWIPSRWIHGHIWITVTRLLGTGYRMTAYYPSHDTPRTSTITTLGLPY GIDCFFLEFTNGRSRVGACFHGTLRSQSDLRMRFFRIHRGSALKIALSIQRSWNLHGG KKKWFDKELEVEELCNDSACPDTSHPHLIYETRVTSMGTDIYLKQILDDGTS PFICI_00224 MEEATLTPLPVQAPAAPPAAKATTNNEAVISDSSGSDGWKPTKR FLLAFMSLLTIVAAVAIESTSLPTALPIMSAELGGTALEAFWSGTGYLLASTIIQPTV ASMSHVLGRKLMLYISSAGFAGGSLIAALAQNFNVVLIGRTVQGAGGGGLIVLLEILI SDLVPLEHRGTWFSINAVMWGIGTATGPLIGAGFAQEVTWRWIFWLNLPIVGLGMIFV TLFLKQAQVPGQIVEKLKKFDWLGSVLFSVSSASFLFGITAGGVRFAWLSYQTLLPLI LGFLGMLGFVYWEFNLASEPIVDKRIFQTWTAISTYIQTMLHGLILWAAIYFLTLYYQ AVKLYSPVTSAVALLPETVGLSLSSIAVGYFTGRWKSYRWALWGGWSLTTLGAGLLYL LGTGTNVTQWVFLNIPFGVGTGMLFTAQILAIQAGTEPHLNGAAAGCFSFIRIFGQAL GVALSGVIFQNSLKQELLRIAGFASLADMYSRDATAAVTLIQAMEDGDTKTKMIQAFS DALSSIWLSLLAFSAAGLLLSLTVKGYSMTQEHVTTQHLVQDKGGLVDEEAGVQTAAR PEKKA PFICI_00225 MGSIQQVSPLDRVVNSWQEHHDRSENYEHNRPNEPRGLSFQLPE IDTDFIDPGDADAKVYWPLPDQYEREPESANMPPGHEYTTGIKAAQDVVTMDQHGKKY TRAWESPQINGSSANGRFMFGKETPRRVGGGWATSSKGNSSSPMQRAVPGILRSKHLA RVKEPGLAGSSTPPPISRSRRYVFESDDELPSPISPDSFGPRPPTAPQPYTRHHFDDA ARASREIERPRTAIRSDRHVSPRILTPKRQHSDQGGSSRTQSRSPTTDDSSRQVATPA LDRVLKFEEAEKHQTTGKAPNFSLRRTGHLRPIVTDLPRREEQPQLQPIVTDIPPRTE THDFYVRNDRDSAIGMSDQSRSTRDQYPGSPSHENSMLSHSSSRRSEGSTHHPADFFS QGIFQVVIHNPATAYQLQKFCETQFCGENVEFLQKVEFYRTTVNNLAGILADIHKTFV SNQSRKQVNVPGDLMEAAHNDMKSLVTNTLPSMESMFDGMQQKIEQLLFDDIYPRFVR HQVTMETARSLTTDRYRYQGLGDCFCLSSPAQADNPVVYASDGFVKVTGYSRSEVIPR NCRFLQGPQTDRTAIKRLRRALREERESVELLLNYKKDGTPFWNLLYMAPLCDDNGNV VFFLGGQINCSTTIHTNVDVMRVLSVPCDTPSPEELRLQQESMQQQQFQASMQHPKRR SFFKNLISNALPTSAPSTQQSPSSSSQSPQQHEHGQPGQSMPTGGPLGFSPAPTSAGS EVQQQQQQSQPGLEGRVLDRMGGRDLMSQMQEFYSAYSKYIVVRAENFSIKFYSAEIV ESLVPTNTGPSNEQMLPPGSIGPERPPALARPPVVGQDIFRYFKQHQPGTIQADFKSS VKKAIKSGMPASAGIRLQTRRSAAYRGDENFMTHWTPLKNEHGAVHWVVVTLASLTPD MQNPI PFICI_00226 MSSPKDSWTKTHLSGRQDVTHDRRRVVNQPLQSRYRPYIVCIAA FALWTFSPLFLSEPTINNSSFAIRLSSFLGIKQHAGDTTLDRDEFRWGDIEPSPSLQY TPCFGTFQCARLSVPLNWNASTEEQAIGPRAAIAVIKLPAKVPVTDPRYGGPIVTNPG GPGESGVYQVLKEGRHIQTIVDSPEAPGDAATLTREVRHGEAAKNHKYFDILSFDPRG VNNTTPALRCFPDGFNQQAWMLGDLDYGLLWSSESIIGYEWAKASALGASCARDETDD GILRYANTAQVVEDMVEIIEKEGEWRAAEARRLLSDMKHIDDRVQDKVTKRTAHHSGE EKLQYWGMSYGTLIGSTFAALHPDKVGRLILDGVVDPADHYAGAWLTQLLDSDKVVAK FSEYCFQAGPDKCPLYTEPSPAAIEARFTSILLSLKTSPIPITLPRPSSESGKKNPGP EIITYGDAHLYMLSSIYFSFATAETFWDMVVALEERNTTSPALTDLASQKQARLEPAK GCTIEDDKNKIIPSLPRLPCVAPYNSMLGPNQAIGCMDIGGTPNVTQDSYRAYLEELT SQSRWVSPSWARNKLGCLGYGIEPAWRPTFSFQTAEWANTSHPLLVIGNTHDPVTPLR NARRVAAELFPGSVVLHQDSQGHCSPSNPSLCTARAVRRYFQTGELPTAGTVCEPEVR PFVGCVQDHGCAYESSEDRALWESMTAMADPFGLRREKRVDAKGLASVGNWNKVFERK NVLFP PFICI_00227 MALNGQNGQNGQNGHGKSADGRKRVLVVGAGAAGMSTAYHLSQH PEKFDVTLIDAVDYCGGQAFSIPIDKERHGASWCNQGVQGGSYIFHHTTTMFARQGYH ADPVNLHVSFGKDETFWSNVFPTKLLVKHQKEVKRLYRLLKIMRWFELFFALMPLRLV FKLFMFSEDFINTIALPMTALFLGTGNATPEVPAIMFERLCTSPTYGMWYPPDKNTIV HNQPPMIVFPNFSEFYGTWKKDLISRGVTVRLSTELTEIVQRNKRGVIVRLKPRTPVE DGHNPTGGDLDAPSGEEVYDEIVLCCLADTAKKVLGRTANWKEKRVLGSARFSDDITI THNDADYMKKHYENFYREDLAVSKANGVDQTSRLNFGVNSFRPMYYIKMYSEDKSKLE MCFDTTNYQSQFPENVPFEQHVFQTIYLNKDRDRALWTDSEIREDKIIRRDWWHQLCH GWTHYLFVIPWMMFLQAKNHTRFAASWTLVNAHEVAVMSGIAAAVDLGAEYPEDLEHD KFAFLCFRLYYLLAYGKWYRRRFTKKNKSQTPESQKAKDGASWATGLYGSVYEGPGVS KQERQTWREEMKKGTSTQNLAEGNAPGRSQP PFICI_00228 MAAQMAMYAPSHGKTGSSGSIPPYPQPPSPTLTNPDMILPDHLP DSPDRSRSPLMMWNNGGFDLGVSAYNMNVAHAPTTPIIYGNGTMLSDIGEVTEAESTV GGPVKSPRNGYYKAGFGVRQSSNNPAYEAAKKKKTKKSEPKVMSRDRERRLSMESTST ITNGDNPGTFADFDDSVSVVDSNFQGDDEESVADSYVFYDGADERTPEEEAAREAEER YSTALSRRAEQILANAKRRLTNMEGNLNRARSSLSVDSGGSEASPTPYSRPGTALQRH HDSGPPRGFIGHSRISSENGLLNTNDFKPATLSPRSSSALGVTGSYQQLLRSSSFRSA ESKRDSPPNENHVNSVYGNAAYTKSKVSMHDSKYTLEQLGEDDASRPAVPTQDSLEDA KLDTFLNPTFGSYDDKGLRRSASTAQMRDIKDHMAELKGRLSSLRDQARADSMKRRSV QSLRSASPFTHARELSSDSKIELPSGKSMKDINKWNDGVESLHESDIGDDEDQEINDN MSIISDSIYSEQEAMSPRTGWNQDTETRFAEAITTDYPAMANGGAALDDDLEDMRTED GYDDIEDDETDFQDVMSEGGESLYHDTVQHQVSHEDREDAFDYEHFFLHSAMGSMTQR KLTRSRSRGSRASFSSEDSVETTRGPTINGEYQRGRRGSNASLSSVDSFLTATEGRIT RAENNEGMEYFPAQGTTAPKRTRSHTPNKSKRITLDLGRPTSHTDLSQPRATLIQRPQ SSAATYMHRPSVSSLGSAGTNRSFPLVNRPKPNGVLTPCESPDQGLKKISETLMSETA SLCDSINLGEKPIGQLEKEDQMLVERMVASLGKCVLGLSENGRAGSESRVFRRRIEAA RQILEGIQPV PFICI_00229 MPQLVGKEIGPIGYGLMGFTWRAEPTPTDEAIETMRAALEDGLN FWNAGEFYGTAEYNSMHLLERYFAKYPEDADRVVLSVKGAAGSHGGGPDGSPEGIRRS IDNCITLLKGRKKIDIFECARRDHKTPLEVTFDVMNKEYVQTGKIGGIGISEVKASTL REAAKITKLAAVEIEFSLFSTDPLQNGLVAAAKELDIPIVAYSPLGRGILSGQIKTVE EIPENLRIYPRYQPDVFPLNLELVKHIETIAAKKSVTPAQLSINWIRAAGAKAGVVAI PIPGATKASRVHENSTLVEITDEEYSELDAILKKIDIVGARYPDFIPMDG PFICI_00230 MESHDGGSDALHATVHPLKIFDLAKSQGRYLYACAPMVRYSKLA FRQTVQHYGTDLCWSPMILAKEFNRNQFARDSDLTVSTRGPQPHTIVQFGSNSPLELS RAASLAVPFAGGVDLNCGCPQSWACAETLGAALMERRELVRDMVVETRARLRRDGWAV DRDASVDDPRGRSVSVKIRVHKDLRRTMDFITTVLGDTSSGGGNNRNIDWLTIHPRTR STPSRTPISVEALEILTEKYGRDVPILVSGDVFTLSTLPYTSHLVDPAPTFTSTAHVS EAGEMHKQDQQQTRTKPNLPNLRGLMSARALLANPALFAGYETCPWEAVDVFLEKVAK APLPLKLAVHHLGEMTGPGYGPDKKALLGKKERIKLVECSNWIDVLDTLEELRRSKG PFICI_00231 MASIDRYRPPGRESYQPPARPVNTSSHSSRPSKSPAKRRDVPPA APSPPTQVPYSTRTSSPRQSSSQRTGGQSTPRTTLDPAKMAEKQWFFTEDEVLSAPSI LEGMQPAEERLRRAKGVNFIYQAGTLLQLPQTTLYVAGVYFHRFYMRMSMAEERGGIH HYNIAATALFLANKTEENCRKTKDIIIAVARVAQKNAKLIIDEQSKEYWRWRDSILHN EEIMLEKLTFDLMVDAPYSQLYKALEAIGCIHNKSLRHAAWAFLNDSCLTLLPLLMDA RSIAIASIYFSSIYAKEQIDDINGKTWWTHLNANEEKICQAVEVLRAFYVENPLKKPD KENPYQGSPEFKLEHSRKAGEGSSTNPTPLTDRDTQSPKVRPNGSESRDAVSAAVEEA SQAPGDSDAALKEAANIPAVHPPNGNDPGSPRKRREVDSQLSESQEQKRQRMSSDDEG EVEE PFICI_00232 MMHPSRQAYVEDAQESRGISLDELPTDHDYDLPTATAGIAPEKA SAILSQFDRKRLAASIAVPTDDGRVRAKLREMGEPVTLFGEGPADRRDRLRELMTVQV EKAAAAGESADVDMEDVPEDEDDAEDQEEEFYTQGSDELLRARQDIARFSLPRSKKRL ESLKEDSTIQLRTHVKFRKEIRERIKPFELQGSQTAGDRHVSMTRIAPNGNLVAAGNW GGGIKLIEIPSMEEKATLRGHTNKIGGLSWFPGATLPEAGVSQESVNLASGGAEGQVN LWSLTKDTPLATLQGHAQRVCRVEFHPSGRYLASASEDTTWRLWDVQTAQELLLQEGH AKGVFAVSFNTDGSLLASAGQDNIGRVWDLRTGRTIMILDGHLDGHSKPIYGLDWSSD GHRLLTGSADGWVKCWDVRKVARTGGIGAHTSAVADLRWYKGLDDPLLGTVSPGLDEK GVRQPKKTSTIFVTAGFDKQVKVFSADDWSLISTLDGHTGPVASVDISRDARWIVSGG HDRTVKLWGRNDGEAL PFICI_00233 MTPSRSERIAWLTTAAMAWLPTASAVNARTSTPPMGWNSYNYYG CSPTEEIIHTNAQGLVDLGLAALGYVYVTTDCGWPAPDRDSEGRIQFNEDLFPSGPVA LGEFIHGLGLKYGLYSGGGYLQCGSTDLPASLGYEEIDAQTFADWGGDSLKYDNCYPV NNVTMADYDSAESGSPARFQTMAAALDAVDRDINYFVCQWGVGQDVGAWASAIGNSWR ISNDIYNAWRSIWRITNEVVPYYKYTTVGAYADMDMLIVGLKALSLEEERFHFGLWAI NKSPLGIGAALDTSITPQDSLDILSNAEVIAINQDSLGEPVKLTRRYTEEEYDLWAGN LSDFRIVLGISNWRNNSQTISVDLASILGVASADVRDVWAASDLGTLSGSQTFNLAGH ELKILVLSNIASSSSTPKAAGYYSAVSGALAGQAAVVTCSANTCLPTGQKVGDLYPAT SSSLTISSVSAATAGTKLLGVDFINYDIALATAWDWGDNTRNLTVSVNGEQAKRWAFP ISGGDWSDTGRLLIEVDGFAAGDQNEVVFAAVGSNPAPDLVGFEIFE PFICI_00234 MCLLVNTYKTCALFGHPIDDTNAHGFEMVLCDEAEANNDTFGEC SSVETRNIADCSYPLCTECDAADKEMRRQVEAILELNEEAGAIETPVVTEDFVQRLRG IPIDRSRAPGVSVEDMLHFLRQGTIRDVPEVDQRRAEAWARCKRRELGSKGDLPTTTR QMFAHWLVMACKVRHIMAARRLARAEGDGHRDILLESLTTQTSLASVYRARLVNLGVF EKYLLLAGICEFPTRATWLSSELDAISEEVDLEISKTDQKVDVADPVTSGRVEL PFICI_00235 MKNLSTLVALLLSCRSALAQTPAGFTPNVTAHLDVVFGTKVVDP PGTALSKSDTSRQPSIGTSTALNGSYLWMMIDLDASTNFANPQAGKPATYLHTVLRDF KSTGQKSSSGTYTLTTTATGPVSWFAPAPPAENPPHPHRYTNLLWEQPANWVIPQEAS SQLQNKRSGFNVADFQKAAGLSDPVYANYFNVTG PFICI_00236 MDDWLKYYIPAGCLRIARDDLDSSCQTPRDLSVKDWQLFTLKSD KLHDTTSFLDLEIQTSLLNTQPLSAFVDLFDHRWIRLTILDELVRVYILPQDVLRRTQ EKAGDTRLSKMLGALLKQLDYSPDAWNGTADSLPYSYPATDAHGNHHTEKDMSLLERF NQLPSPDPQLDLVTPDSFNAIAMQGILDSTLAGLQTTLYAHQRRSAAVMLQREAEPGR VIDPRLRKAFDQKKEAWYYDTDAGVVLREPRYYDRVSGGILAEEMGLGKTLICLALIL ATKDLPTEAPDALTVEVPIRPRTGSLVDMTAAAINRCSFPWKRFLASSPSQPGYYAEG CVRALESSENNASYELRDPLVETRKCGRIAAPASPTEKIHLSQTSLIIVPNNLVIQWQ QEIAKHTSGLKVLTIVATADAIPPVSILLGYDILLFSQGKFEHMERLRISMPGQSHSP LERIRFKRCIIDEGHKLGSSRSSTWKSDLMSHLSRLHVAARWAITGTPSRGLYGVGVK SASQEATESEKVQPQELQSIHKQERDDLQRIGNMATKFLGVRPWSNTRDEAGDTIASW NVYVMQPQHHKKSSGRLDCLKATLESLIIRNQLSDVSQFLPPLEEKIVLLDGSFQDML ALNLFSMMIIFNSVQSQRTDQDYMFHPRQRKALDQLVSNLKQASFFGGVFYSVAEIQK SLDTAKSFLEEKKVPISQEDHSLIKEAIALGEIAMGNQLKAVSNQFHAMPLYIENFPG GNGRSWSLDDVDTHPGDPVCTDASLVHQLQKFLNPCLDAPMSLKVMIDSGQLTLRGDA ARLQAAKEAAEASDDKSNSNSQPSALAGNTPKGVDHHTIRKMAVPTEQATLDLTADNV ANIQIAEPLAKTRIISTVSAKLSYLIDAIVKYQDEEQIIVFYENDNVAWYLAGALEIL QIQHLIYTRKGLDAKRRAQYVSTFTRNSKFRVLLMDISQAAFGLDMRSASRIYFISPV LNPQVEAQAIGRARRISQQKPVTVETLVLRGSIEEVMVERRRNMTQAEHRRVKDILDD KPMHDWIRNARIMPMPENITADDSVAQTARLRTPQFVFGRGFGRDSDPDADLIMDSPT ALSKAKGTTADKSGDGGNVERAPLPFKLGSGLKRKQSRSSTPMPAEDGVDSAGAADAP PKKKKKKAVRVAFADDV PFICI_00237 MAAVRRGNGLMPLSKVFQAGMPATAATRAVSRHAAVSAQASLTA RRSLSTTSRMSNLATFKVPKVLNEPNHHYAKGSEQRKGLYAAVDSLQQKLPLEVPLVV GGKEIKTSQTSKQFNPSNHSQTVATYSLATPEHVNQAIESALAAREAWAALPFADRAA VFLKAADLISGKYRYDIMAATMVGQGKNAWQAEIDAAAELCDFLRFNVSYAEELYSQQ PVHHAPGVWNRVEYRPLEGFVYAVSPFNFTAIAGNLPGAPALLGNVVVWKPSDSAIAS NWLLYQILIEAGLPKDVIQFVPGPPEEITQAVLAHKEFAALHYTGSTSVFRKLYGAIG QGTAEGRYRGYPRIVGETGGKNFHLVHPSADIENAAIQTVRGAFEYQGQKCSATSRLY VPKSVWPQFKEKMVSEIEALKIGQPFEAQNFIGPVIHKPSFDKLSGVIDAAKNDSELT LLAGGKYDGSKGYYIHPTVYEAKTPNHKLFSTELFGPVLVAHVYDDVSVDAATAFADI CKVVDSTGEYGLTGAIFAADRHAVVYAENALRNSAGNFYINCKSTGAVVGQQPFGGAR ASGTNDKAGSLNFLTRFVSARSIKEEFSPTRKVEYPSNEI PFICI_00238 MSQPHELQPEYDENGRPNPYRSASQWRHQESGAYAKHAGEARDP HTASANTKDLTNFLNSTRVEPEQPSTDGAGSFQPIVIDEGHGLTDGARDAQALSHDGK EVICGPLLNYRRQEGNVWYGSVLIVTKGGGKVQHFQPTLHIGRVETADSQHGLVGEGA GASGANHTNGAINTQHGTEVLGKCLYSDPRNTFWQFSITCDMLEVETKWAYSITDVRY KSSTQSSTNYFFIPATTESMRIMFHSCNGFSVGTDEDAWSGPALWNDVLRRHAENPFH VMIGGGDQIYNDGIRVDGPLRPWSDIGNPKKRRDYPFPGKLRDECDEYYLHNYLRWYS TEPFAEANGQIPQLNIWDDHDIIDGFGSYVNEFMKCDVFRGIGGTAHKYYMLFQHHLA PPASTYTTDAPTTMEAGDGVDKNQLQDTFVSEPTLQSEPGYIVGHKPGPYVAEHSMNM FSRLGARIAFLGIDARTERTRHQVNYPETYDLIFNRLRSELGAAQQSGNPYKHMILLL GIPIAYPRLTWLENVFSSPLIAPMKFLNRRFGFGGGLFNHFDGSVDLLDDLDDHYTAK THKKERNSFVERLQTICSEFSIRITILGGDVHLAALGRFYSNPELKIPAVHDHRYMVN VVSSAIVNKPPPAAIANLLAKRNKIHHLNADTDETLMSLFDKDPGDSNKTMNSNHVTM PSRNYAILTENSPNNRAATANGSVNGAVTNGTDAEHAAPAGDHEFEGKDGHAFLHKGE AGAGTKHKAASPATHGKNNDGSLDCCIRVEIDQHNREGHTEPYGLTIPLLEHLPEKYP PPHHHRLHLPGRGSRPGTSARPGTTGAASAGNRPGSGAATAASH PFICI_00239 MNVLTSLAAPASSFQIGIRAICRQSTAAAIRQLSTTSRVKSQSC HTCSIGLGRPSMLLRQSRQSKHDQFLPRTVARTIFTFRAIVHYSQLPDSYEDAKGLPF RKEPLNGTEVKQIFGSKITPTSANQLLKIIHGRRVAGTLDDPDLQQNTADYLADEKIK ALEYLRKHIPVDEVINAGLRAEDELRLLEEQVAVEQTQEQHEETSVQKSRATSAEVQD TTEEPQLPTGRLPRKEADGSLYGETMFDRIRKRNIAKREEEERLAEEERLKREEEEAL GNIGGLQTETAAPKAMSPWRQKHAERATSDLQAPPEMKAWERLLPATTFTLLVLGACL VLAAYYTPPKRDRRVWPDIPPAAATCIGLMLINIGIFALWKFPPAWSILNRYMLVIPA TPRPLQLLGAIFSHHSWGHMAGNMLALWFFGIRLHDEIGRGNFLAIYFASGTLGFLAS MFNLVLFRGIQFTTLGASGAIYGIITAFFWMHRKEEFKLMGLPPDPYSGPSGAVFLGL IVGLHVWAGLFSISRAAMNLDVASHFGGIAAGLLGIELVQKHMTDRAKAAAEREKEQS KTIDVMGKLVEQKPSSEK PFICI_00240 MLWSKLTTAAGLPVVLSAATGRPFLATNGGQQVLGQVESQDFQC ELPKVLDPSADGLPSAQELFDNEKALLKQVERHGTLVKVPSVSYDDNGEPGEDPRWDI FYTLHDTLKELYPTVHARMTRETVNTFGLVFTIKGTDSSLKPIMLTAHQDVVPVQDAS SWKYPPFSAHFDGRWLWGRGSSDDKNSLSAIFSALETLLSNSEWVPKRTILVALGFDE ESGTRGAGTIGPFLEERYGEQSMAIILDEGGMGLELLEDKVLYALPAVTEKGHIDVWL DLKVNGGHSSIPLPHTGIGIMSEIVVELEANPYQPKLIKDSPIYNHLVCQARYSPNAE PEVTKLIKSGDLDTLAQELATVDRFTQFRIQTSQSVDLINAGLKINAMPEKVKLGVNY RVAPHNTADEIKKKVLSLAKPIAAKYGVAIEAYKGEAAFEEFHPAYDVDYNGTLTITA KQGTDNAPISLTSGAVWDVFSGTIQHTFAFPDGTVVPVGELMTGNTDTRYYLKLSDNV YRWVPTRKDGSQNIHTVDEAIDMYSHVEALKFYYDLIRNFDQSNA PFICI_00241 MDPPPKRRRLDEPPPHPRDGWLSDASNTGPRSSHSTSVFDGTGI STSHGDFRVGRDVNIFTNHGGHSQPIDTRSALLESLRFDQIDARRLTIKNAYSHTCRW FLETEQYKQWDARGSLRGRHNEFLWIKGKPGAGKSTLMKFLHGHISKQITKNARKEAL ISFFFNARGQDLEKSIAGLYRSLLLQLLDMKPDLQYVLDSFRPGHEWTIESLTYVLEE AAQGLGESQLVCLIDALDECDQGQVRDMVTFLERLTLDRSSLRICFASRHYPFINIQT DLSTVLEERKGHQEDITTYLNSALRIGRNKRAEKIRSRLQEKACGVFMWVILVVDILN KDYNAGDVLELEDRIEQLPGDLHSLFRDVLTRYTDNRKELRLCFQWILFSKRPLTPSQ LYIAILSGSNPKRLSKWNRDDFEEADAERYILDKSKGLTELTKSKIPTVQFMHESVND FLQKENGLIWLFPDLEANIEGYSHEALKDCCLAYTSIVADQFAVESSKELSRYKAIRA FPLLEYANPGTLYHAEEAGKYGIKQCDFLANFPRLEWVRQRNILEKFQNRWYTLQVSL LYILAGAGHSTLIRSYENRQSCFEIEAERHRIPILAAIARAQRPTVQVMLELEAIRMS SLNFEEFCGRYPPRPDEPSTLDFQFKAGQQILPQLVEHGSDFVSMFFLMSRCAELTEK EKDDLLYLALKFNRPATSKFLINCDSRIPETFSEGMTPLHYASSQGNSELAKLLLDRG SDVLAINKRGETALHLASSVDMAKLLIDYGSNIEAIDGQGRTPLLSMCIKGSIAMATF LIKSGANVLATDKRGNAPLHEAWRDKSALLAMLLVEKGANVSATNENGATPLHEAVCW STSSSVALAKLLIDSGAKVSATINIGETPLHRACLRNSSAMAKLLIDHGASVSAIDRN ERTPLHFACDSGSVGVARILVDNGANVSAITKDGNTPLHNVAQWSRYFPSRMAKFLID NGASVSARNHKGEIPLHRAVSGNLYGTEAREMVKLLIKNGADVSAVDQNGDTSLHHLG AYSAEVARVLIDHGSNVLATNNDGQTPLHCLARRDHNGTLVKLLIDHGADASIGDHYG NTPLLVASSLGNIAIVHLLLDCDVDVSAANKDGKTPLLAASSGKHYNVVELLRAHIHL GT PFICI_00242 MSDESESVHQTDSGYASLPKDEKNAQQQQTEQQGIKANAPLDDA NTVYSGDSSMRDDKKHAYILKFAKVVIRELFLGNGNNDIIEILYEKLPDAIRAFALRI GGKNSTQDHRDIMYFIHKHRRSIAEEIRRILGEQVPDEIETARSTTPLPILQNRLDDW FQRLDNFQEIESMDTNESHVLSEPPSPVSVVNLFDKDDDEDDECNAETVVPEMEIYTR IVSSNVAYRWLMANLKRDIGMMTFKDSATTRISNELLSSIERPRRISRHKTPDTEIVT FTVEWNPKGFLDAQFSNQDLNGHTISDTITLTGSFRDAFATSCRDYMKLMWPNTGLFM ASLIDDVSSAPMGAPYSYDLEDGSQATALITDSELQVRIEGGIYTIVEIAEQLGWLGS ALHISPYGSGVAGCTPYVRQIIQSSKNPAFDEPQLQEYSCRLGFNLSRVRNPASEREG ECWHNLFRNPTIAMTYPIPQRETDGSGLELSLEMMAALIQTKEVQMFKDTLFLKGFST MLALTWSMGSELLWHLSFDKHGEHISYLDVTHQCCRNISIGHMEHSRHFVGWCSHVKY LAGSGEANYTIGRSRLPMTGSGCALEKISVTGGKIISAGATFAIGNKDRPVHVARDGY IPRLQWISKKHVVFWDEADKRGWLVNGTSALLHLVRASLEINKADKFSKEFLFKSELM EAASTPHTADSAIEELLNRRNMQLRVYPHAVEHYEEISESDQSKTTTKQKISYVLFQD RVNHIFNVLEKLIDHQTDVSGQNGVKLKLHMRSRLEGWDFKDIASDCDPFYPHVATLD TMGKSWVDFVRSIQAITLLGDGFGHLLDPVASQNLCSGCALVPKGRYYLSAGVRDLEE IMELHGNPQSQPALLCEGVYWHQDKASFDDCQSNSGKHQDLAQVLMSSKATARDLFKA KAAPRSIPQDGAVIFGHNSILKWSYHDHGEPSVSEETNPYMPEDPSFEPNYDLCTSIR HQDSGLGSSNSGASDSQSQPRARFPDSHSTTNYRGSLSGDQQSHKNDPKAPKRRLDDL YQPPPLRMRETASNVDEPHRNARHVARDKRYRERRRRRS PFICI_00243 MRNKPSYRVMLIRTQVTGGSEQASANTLIPAGIPDPKIATKRIK NHKGKLLKGAYEWILSNNEFKRWRYEDDRRLLWIKGDPGKGKTMLLYGITETLEEEMR ARNDQTWVPIYFFIQATDDHLNSAESVMQGLLAFLIQTSQYPRSKYLDSDSTHRANWI TLVQKVEEVLRDLDERGVYLIVDALDECVTGLDKLLQVIVELSVSTLKRFKVLVSSRN WTEIEDELSCSEQTIEISLEYYKSSVDHAIGFFIADQVALLVKRKRYSDDLREKVRGY ISSNPRNTFLWVALVCKQLAEHKLADSTYATLRSNFPPELNGFYGRMMESIDRSSEDP DLCKQMLAIACTVYRPINWREMKSLLRHPTQNSLGSVIGLCGSFLTTQEDDRMQEDDK IISFVHLSAKDFLLENQGASRQILPHGITHQHYYISEKALKNLSTVLRRDIYGLKEPG YLAKDVSPPDPDPLAPVRYSCVYWPSHVLEFGKPTALDELNTTSLLPFPDRKFLNEAI KDDGIVYRFIQEKYYYWLEALSLLSAIPEGVEAMNRLKSFLV PFICI_00244 MDDLSTAASLAGFTHAINKTREAVRAIKDLSTVFEHVDRHLDLA QRTLNDVQTQQSHGTLTKCQSYSHILHKYDEETRSLQLLFHAFEEECQTNQDPASWLN RWRHTKVRTLMTNILEYVKMIVGYEPFTLATRDDMEEIEKALEDMSQVEPSMDDSGTK QMSPVSNSQDVALGGHGQQNTPLGGYNTFNSGYNIDGGYFNIGEAY PFICI_00245 MADPSAIDPILANVAAIIATNDTIGTVSDIPHVFSEVTNRAQTV EKTLETARIKLENKIKQTRNQNSLGEVRVWYLEALDHKK PFICI_00246 MDLSLLPAELVLNLVKHMDMATLLSFMITNKSNHSLVRSYEHSI CKAKVEGISALTTKRNFLSSSDVYREVCAPDTFPWVCELELRERRIADIISSGYIDIT SPPGLQPLTTVQQKRFIALLERSLRHCDAIADVAASMPDALPEYDYTLVSSGFWGHMA RLPASMRTRNPFTNTPARSAQIEYIKSLPVEDLAALYVTITAAGAGHVQDRPDVQADP SFSERLTVFEECVLRHGTWFFWAQVSAQAKRKKWTNTTSIAVDDDPQVERKLASRNLT LREMTGYMLIAGMSELIEWETGAEDVPPGLRMSLLDAAEDRFEDDEGRPPVYHLYKLV RKLVFEGKE PFICI_00247 MSSEAAPIDPARFAEALKELPASSLALKVLELRNSIAHLDYSNE ELKPFADGTASALDSSAAPNEPDQDCIDAIKENEAVIERMQHRIELIRAEVEDRGLSW NEFKGKTDDDKKEGSDTQGSEEAQAHATVNGTNGVNGQTSSAAENGQHSAWTDGTFTT GTIRGLGDEELLRRLQERLPEMQDDDDEGGMHL PFICI_00248 MRINLPDILLVPKPADLTSSFSPDGICPEGDIVLEVGHEKEPLR VESDFLTYTSGPFKDILDSIGYHKRPPRCADEPLSTPTVWLEDDDFNALYTICCVLHH QPDLLPPFLVPEQILQVALVATKYDWSKALTFARAYWLNPAEKPSFIDAAYLFAATAA FGDEDLFRYHSLQIILGYIEPYTELRKYRSICQVLPVKIFSTDQDLRVDMLEWKRIRL RIELSKLMFQGAFALVACNWDSRRRDRFFHLHQAKYNPFKISEVPLSQVMDDMRMASY EETGRPEHNASCGDHNLHAAEFANRLAQLQWDSCIDMAVINSDADADDVEDVSSDKDD CISIHLDAEGASSGEQETIVDGQ PFICI_00249 MSSPTPYASSTYSTSTTYSVDAIKASASSQSAAAPSQKRSLGKK VKSVLTSMGEHPTARYDRKHGLEPKPMYAPVMPVSKI PFICI_00250 MANTSLNAVLSRCPMSGEVIFLHALTRIFANQPLRAKEASAHVS SKYPVIDHEYDAIVVGAGGAGLRAAFGLAEAGFNTACISKLFPTRSHTVAAQGGINAA LGNMHEDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASIIELENYGCPFSRTEDGKI YQRAFGGQSKSYGKGGQAYRCCAAADRTGHALLHTLYGQSLRHSTNYFIEFFALDLIM EDGECRGVLAYNQEDGTLHRFLAQNTVLATGGYGRAYFSCTSAHTCTGDGMAMVARAG LPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAKDLASRDV VSRSMTMEIREGRGVGPEKDHVYLQLSHLPAEILAERLPGISETAAIFAGVDVRKQPI PVLPTVHYNMGGIPTRYTGEVLTVDESGNDKVVPGLFACGEAACVSVHGANRLGANSL LDLVVFGRAVSHTIRDNFTPGAKAKPISADAGAESIEVLDQIRNSEGPKSTAEIRLAM QKTMQTDVSVFRTQESLDEGVKKIHEVDQMFPQVGIKDRSMIWNSDLVETLELRNLLT CATQTTVAAANRKESRGAHAREDYPDRDDENWMKHTLTFQKKPQGDIELGYRRVIGTT LDEKECAAVPPFKRTY PFICI_00251 MASSDTNPAPPNAQDAPVPGEVARTKSTQSIPPPGQTLTGKQEH YLKRELISEQVDWEISELNSPTALRRFGAPFKSEFGEVSPLDSELPILRYLFVHHVRE FPFLDKAKEKEFWQDKLQVFLESFASKSISSSEDRLEETKRRKLAIKCRKMVELMMVS GIRTASGFEERIRFAELEIVDANAIDTGVLNTLPEGNYVNGWDVNVAGVRLTTVKKRL RSHKHAEFLLRVKRKGELEHFLGRRYGDFARLHKQLRIELPGKVLPPLPKKNKSSTTA TGLFSRNGGDGDDSEASSVSSMSTMPPPSESGGLRESMKNLTVRGHRRELSTTSSRNA SPRPSMDGPKSPALNSPKPDTTLLWRESQRISLRAFLRYLLQNPQIAQTKAMHEFLTT DPITPTDNDVDDIMRRKAVDEKRIDEQKQFYEIARKRAAELDVYMEQFRQDIVESNGL TKLFQEIKEKETIQDLSVQYQKFAEWLRIEVAATVYHLFLAEDNSPELFAQAKRIHSL IPYTVLKNVIRLANPAAVMAGVLDLFLAQPFGSRSLMQRVFSAALHDGIRSSQKNIDA VAAKIGDDVFVEKLKKFVNSDEPIKVAIREEAISDDIDIIVSIQRSDLIEPALVSSQV QRLYNAYVAFNNAVENVDEEFKQGAQLFSYLKQLLKLLIRQRDKQMMLQMIEEPVTLQ LLRDLFTIFYEPLVRVYKSANVYNSITDFSVFIDDMIQVVEKCREEDASADPNQTVQA FIDLCARHEHNFYKFVHEVHTHDNGLFTQLMGWIEGILEFLRQGPAGGPLDINALFEG GVGAGVVDKDKAINEINQLISWQEARKKWHQDKTRQKMAAEGNASMDAPLPGVHGFKS SDFGFNDMDFQDMAYDDESEEEEEEEIEDDLDPIEAERRRRAKTQDRLRRSAGEPVKP EVAEVYHLKENFLSMLRQVLAN PFICI_00252 MSDHGVDTSKAAHPLRPRHRMSRSITETNASRVQRHHGHQLLHR RHHQDRHIDHRIPQSAIPTLQMPPRGSLDLPRSEGVTPYLLSSAEQSRRTSMLPPGAE DIGTMGLLETTANKDKQPLAQNEEARPRTTGLRKSLSELTTFSIATTRRLDDAYYAVL EKLSTLHSTVASIKELAVMSRETASNFEIKSKALVAETSSQLDSLGQFNEQQDHIEAL QARIQAGRQKVQKLSERVDIVRERVEGWERADREWQERTRRRLKTIWIITSVLALVFV LLVVGAQYAPLEVFTDMMSSNGSGGIPGNELHNTSRVSDHLVEDVRAALNQSRGAVAA VDADVFRALDEL PFICI_00253 MATATATSAPAPAPAPQPQGMRKNGKQWHAPKKAFRPSAGLKSY ERRVQERTAAAAMKAKEKEMKEEKEEERQRRVQAIKDKRAAKEERERYEKMAEKMHKK RVERLKRKEKRNKLINS PFICI_00254 MTTIGGVGMDRNGPRRRHLSIPEPIRIEAPSDDQSPYSISTPSP RSRLPPPNPPFVFPARHSVSSAPSSLSRASGQRPKSVVGLQNEQMSTGSLGASSARPR RSPALPAFSFNPGASLAAEDADDDSSQKPLPLPEFSFNPGASIDSSFAMMSPPRSPAS PHLAPNRGSHRRGGSEFVGGKLREGDTITVMNNGSPTRSENGFASPALQPVDATARRG RHQHRRSAAISSHDLSMILKPPTSPLPSRGNSAPTSPADFDNKMKPPFAGPEESTPTE SPQLVATTEPSVDAIETPIDANNSTESSPSPKPARAKVGFSDTLEYIPRPLSMVSTDT SSTITGHPGHSVSNSISSIISLGNSTIADRERPGVLSPSLPMKQSEHRPSTAGPVLER TPSLLTSFPFDDNQSSPRRRNSIPLLPIITMEEPSSPTPSSPTKIPKRWSFFGLDPFA SSSPGKQRPLSSSSFEIGPKTIDARSGAESEMNFDDESEAHSPTKKSSGKKKKKQKKV KSWAGSLLPRKPKSRSGKAKSDSSRAPTPPPSSEQLSAAMYEDGLDITGPFTAPMVMV TQPADSSLRVPSPNKPRRKSEDDASYPMIDLDAALGPFNTPSGRDPAWDAAQRKGAPP KRQLHSAAGMRGFSGPGMHYHRRAESAPEMPPFEGKFGIHRFGSSSTMADVFEEEEED DDEDSGTDTPSQASTPGASTTSGAQFEARSTSDGSATTPTQEIDFARKGSTGSIPAAP SIKRKGSGSSLELQPPGSRVRTEASISSLHDEVIAEEFPVQHTAVDQERIYEPYHESD SVAPSPRRVFPGKDLAPVEIHPISLPGPMAGMSPYSMSHSSSFPSPRSPMSCDAHRVS TAPSSVTEETNFQSLLMGEPGPEVVRISVDVPSLTDSTSTMTRESNFPGVQSNFPGVR PRNRPFHEQRPASFTASAFGRRRSSLASLSRLISTSHGERSKLSMEVPLDEPEKKTKV SIAKRLSRMVNFRRPKESPAS PFICI_00255 MPLTKTTISQKGSWAALPTELQEHILRFLLHDGCSLARFATVSE QWRAIIERHNFQHVKLSFSRVPQLGSMTVRAQALVKSIWLTFELREYGCSCHRSQRTF ETYGLRKKESLLRKAFRALFLTLGEWDPQGDLKLDLTIHSPSDSHYWFKYLSFEPDFP VPPSQPSFVLPNDPAHGWVYGKLTSAPKASALRTVFESNPIDTTGLLRVENQWWNGLP QVPAVKTLIIRLQNRRQWSPSMLAAMLPRLPSIEEFYYEPWRAWDPSRQADTDELYCS FFDSLAMSTSLKKLVVFENFDEQYALAFKRCQRMREVCLKVTRALFKASLELEHLSAS FVTGADHFLQAVEPGWKWPHLQSLTLTSTLLDAQSNPGTVNTMLQAVASTALSRMPKL RTFEVWHGRVGSAALFSYRVDEHGYTRISWKATWRLTLDSCVIKGWESVARAHGHTPD FRVHEFVDETANIHSHADAICFLGMSKVLRPVSLQQILRAHEIRREGIPRELAINNPP STSL PFICI_00256 MSKLVPITGSQARDERLDLEQAFPEIGTVIAEAGGYRSFPSDSV IPSDPLRDFLKRRLPCSMTYTKSEMRRRTDEYLAQQPPAEVAPVVDRLARFLVAFLGG SSLVVPMLVMRLPEVSLAKSLITVSVAVLLFAIALSILFRASNTDTMAATATYAAVLV VFVGTSS PFICI_00257 MDPQAEFISSAIKDELTYEQRLFEFSMNSNKRELHFLAFRGLQR ENILRLQNSLSMCKKKTLERHQFTEEHPGQLTQLLHDYSTFSY PFICI_00258 MENEARIPQKGFLANDDDAVAIKLPPHYEVDGWLDASHSPEPLM PVDALRTIINSYRKARLILYETGRLQMSPQQRLTKRRIKLKEDALVLDQTLAVLNRDH LYKGIGPPDRTFSKSDHQVALTAMKDQETKNKIDVFMRVCRGVEKDFRDSILTELRDG MIDTKKAVDHKRLHEQLKTLHNRLRQAHSEADNAINEVTERLRAM PFICI_00259 MDDNQNTRGQRHPKQPWGELKPQLSPRTRAVTCTDPVVIGESII NRSRPDGSSRIAPLFEQDGRISSHYHGLAAKKEDLQIGNDDDDEDDDPFVETNENMIM NKNEGSITAPANRNLVSAVAAPFVAIHSDDEDKKNDTLSFAGHQTHLLDNNHHHHKGA NSNAIMSSMARDLPTQSKQQEQQQQQQHKQRTTTTTTANTTVVSSTGSPQPKIPGRRR NQTMPTAATSPYRHHRRTNAISVARRFSLQQHLREHGQPQQIGEAQDDEDETKTDDDK DGENKTSSSHGSSSPPPASPSTSPMPAPAPAPRRHPSLPRSAPIDIASPKSPASKRAN AEAMELKEAARRRDGGGHNSTFSSSQSSPGGGGDGEPMVVGDMSPWDTAARSPARK PFICI_00260 MSSAATAQQRARRKHLPQYESAHDVDDNDDHVAPQFACTRRPRT RPRSRTPAKSRYLLASMLYLQAVSAVQVSFDNCLSEDYIWTSHLSDPNSTQLQWVPEF VDAVFDQDGDVHTLVVTVWGNVTGRVGDSDIPAWNSADWNDSTLALAGKIQNKPNAAN LTTLHNKVDVATFTPYLSNENFCDNLNNGSCPLGPVFHDLADPELPYGLPYFNLTHAF DSSYAFSSFAPTLIIYYEDGLTIGCISTVVTPSLGGVAWFLRFLPMFALLLVGAATVF AAVFSPWGANDIFHWSSNYGRDLDLLRLVTPGFGDCLQYIQFIALTGGLSLSYPGFYQ PAVSNGAWAALMFNESFVAHADPWESLVDGVYNTNATYGLEVISQLVGMADVEDIWAG MIVWLLVIIAASLFAVQLGFFIRWVWRSVHKTSEEDHRKKNMPFSIGIIVRLVFNYFL LPIVALSTFQLVVASESPAYLVVLAAVTVTLIVIFASYLMYLIATTKPRSLLFDDLPT VLLYGPLYNTYSDEAAPFAMIPIILTFMRGIAIGAVQNSGVAQIVILAVCEIIQMLTI WAFRPFNASTNMNAYHTGFSLFRFLTTLPMVAFVSSMGLTESAKDWVGYVILLLHGFV LVFGFFLNSLQTIVEVIARMLGAGGDDVRGQTRGGLSKIFGARQLRRRVSRRGAASRQ SQLSTSGMLDTYHNQNRGYGRVRSESAGSMGILLNQRSSSVLDGRSMDGFSGPLGGST FAPTTPGADASTFSFIPSPGQATRPQPAADPYYRPPRARRHTGDEMGSSPPRLGRASI GSVDLADKRLSQLDAQDFDRIGSSKAGPVPPGGPAPAPASYMPVFAPRADYSTREVDF YYGVRGPALNSDAPNRRLGTGPADPTSPVSTATSWFKSLFGGKTKEKGKGFEVVRSAR MPPAMKARGGDLEEEAPPEGIPVAMGVLRNGPIESDDEDSPQPKPEPQGQENSESGDR EVDETARASQDETESEEGEITRVAPEPPLLPDLDAGESFNFPSRVQSTKTVRQLSQKT VREIELEPIPDVPRKSSKRNSTADKMTKPVLSLLPPLEVSGGLHPDAAATASFPFDRS NSQKRLSGSSTGDLPSAATSGDRSDERPTSFGVVHQHNISRIDPASDQDLDLLGSTAE VVDSSRRVSPMSSVSGRSARHE PFICI_00261 MTPPLITLEEHFLSDSAGDDVKALYSEQVGNIPELAAKLSDLGP IRLQSMNENNVSIQVISHGPGPLQAEQCKAANDQLAAAVKAQPDRFAGFAVLPVTDPG ASAKELTRCIRELGFVGALIDNRSGNTYYDGAEYEPLWAAAQELDVPIYLHPTWPTGE QLDLLYTGNFARSATLSLSASGWGWHSDVALHILRLFAAGVFDRFPRLKIIAGHMGEM LPFMLDRIVQLSPRWGARDRPFRDVYAANLWITTSGVWSVDPMATILRNTPIDHILYS VDYPFAKNENGLKFMKDFEASGLVSPEQLDQIAYKNAEQLLGVKVTKRF PFICI_00262 MTKPVIGLLGGGQLGQMLCQQAGPLGVQIAVLDAEGCPAKQANH NDLHVTGSFKDPEKIRELASRCDMLTIEVEHVETNILEEIATQGIEVRGADGKTYRKK VPVHPSWKTIRLIQDKYEQKEYFIKKGIPVAQQMAIASDSDEARKASLQEAATRFGLP FMLKGAKGSYDGRGNYKVRNAADFEIAAKEMGNQPLYAEKWVPFDMELAVMVMRLEDD DGNLIKVVPYPVVETIHEDSICTTVYYPPRKVADEVKIRAQKVACDVIANLWGRGVFA VEMFLLKDGQIMVNEVAPRPHNSGHYTIEAVPQLSQYKAQICALLNTVPKELTLAPRV PSALMVNILGGAKPDSHDKLVELTQTMGNSSMDVYLHQYGKESKPGRKIGHITATGFS SIHRLAEQAKPLIDAAAEMRAERVGEQFSAESSKAKGVPLVAVTMGSDSDLTVMQAGL KILDKFNIPYEVRITSAHRTPQLMSEFAEEVSKGGVQVIIAGAGGAAHLPGMLASETH LPVIGVPVKASVLDGVDSLYSIVQMPRGVPCLTVGINNSTNAALAAIRILGTNLPEYA EEMKAYQASLKDEVYAKDARLSEIGHDAYVKEMLAKK PFICI_00263 MRFHSVLGLALLAVPALSAPVAHEDESHQHYGVAALSQYNATVN GTFKLARSHVGSNENTNSTGKRGDDDDDDDDDDDDGDDESDDESDDDDDDDDDDEDDD DFKWPFGRPPWAQPHGSHGGKEGENAGKNGQEKGNHGGKEGEDAGKNGQEKGSQGSGQ SGQGGQDKSGSSSSGQNGQEKGSQGSAGQSGKNGQEKGSQASSGQNGQKETGQESSSS GQSSSDKTGQESSSSGQSGSEKTGQGSSPSGQSSSGQNGKGKGSSQSSSQAGKGQAGQ SGQNAGGYDQETASQDCEESDGQAQGSEYNNGGAYENGKQGGQAGKETQAGKQSL PFICI_00264 MPIAALPDETKRLLGSTSTITTPVSLVKELLDNAIDAKATTIEV LVSHDTIDKIEVRDNGSGIHPDDYDCLGRRGYTSKLKTFDDLQRLAGTTLGFRGEALA GANTLGKVCIITKTPSDSVAALLHIRPGDGGVLEQEQVAAPIGTTVRVSQLYHELPVR RQMAVKESKRTIEKIKDLLVSYVMAKPHIKMIFKVYKHANLSWSYSPRRPVTLKEAAL QILGKEGASRCAEKTFDGDSPASLKITALVWRPDTEVLRLPKHRYFSVDGRPVTGTAG TMRKLLPIYHKYLESALPSPDEKALNVGDVFLCVNLVNPGPYDVNITPSKDEILFEDE EAILRCFGNFCEQIYGLLKTGGRHETPLLRQSQTLASAKGVETGTNDLEPSIFSSGWT AINTKAPQKGPVQTPVISEGIVSDQAVLSTSMSDDLGERFAAPNDMRKTRPHNIETKR RSPTISREQEGTERGQKEVGFGRGRELESTLNGTPLDVANNQQHYAAPVPGIISALQL ANIDTRAFTTTTPEPEIMLHRGAPPRDLDVPPRMRLPSNRSLERDLTDNAADIFAPRS DPNEILSKKRNTSTHRRAQPPWTPPSSVQKSPEQWQDRYTATNHTKRNGTRQSTISFG GYKNPVHSHSKQKGGRCRSKNVAPAREEDRNSFNNVGQDPPSQISHGVYKSSGGDLTQ LRMPQGGTHEVTIRNDLTPLHSTELPSIDDLISNNPPIKTSLQMGDPRAYLLRHQKSM EADEERGRPRKLRRTKSLLLPLENIVESDQTRQLTLVIRLSISYISTCVEQLGQFDRY IFEGDIEEALDMDLSEARRIEKSCDGILSSWDGADGDEVKSNLSSVLKGKSVDVTK PFICI_00265 MASSSLKGPTPQEHDGSALRIGIVHARWNTTIIEPLLKGTKDKL LACGVKESNIVVQSVPGSWELPIAVKSLYTASQVQATHSSSASAGDLLGSSTTDLTAL GGTASAAPFDAIIAIGVLIKGETMHFEYIADAVSHGLMRAQLDLSVPVIFGVLTVLND EQAKARAGLLSNSHNHGEDWGLAAVELGVKRGAWAKGKIE PFICI_00266 MPRFPVNFRRRSTVTEDQNAPVEPSFRVLERSDAAPGKSFDGGM RMTKAGGPMPRTTLHDVSMDDNIFADAKNNRGSGSSNTTKTTSDNSSRHSNVSTAPSS TDYATTEDFRNSARKPAYDAPLPAPPRSSGSGFLKNAGRTFSFGMSKKNHTVPDEPVP PVPDHFEPQTPGGRPRAPTASTVTERTPLQVEDRDFKLDLGGDLSNMLSAFGKRSSVM TLRRDSGQPALASRDLTGNRASQPAALSLDHSTAIEPPPKSWASQRSDEGLLGARSAL ASPPPVPRHAESPVLRRKTPEEDEDAILLKDSRAATKFLANEDDSYHGNGDTISSLKE TYARPSRYNSQPDEDNMFDTSYSRTRNAGRPTNRANGAAQNKVMTPAEFEKYRQDKVR QSRSSLDKDDSENEDEEDYEDDEDDRQKNIELAKQRRKQEAHMTVYRQTMMKVTGEQS SGMASRPGLGTSMSAPNLLVDESHVLGGSQSPPSDGSSDEEVPLAILAAHGFPNKARP PTRLSNMASIPDLRGLNQPSYVAGPGSVSGGAAKNLGGQVPAFARKLPQDPFLGAGLI NQPPRESFALAGGVPASQGRSMPMPTGGLVGVIANEERAKALRRGSPAIDHMKNGLPP QMQMPMNGFDPMAQIPPQMMFPQQPMLTPGDQAQIQMTQQMQQFMQMQMQFMQMMAGQ QNNQVPQLQMPMGMQMPGPGSRPVSHMPTQSMSSVPDARQSYLGSMTDVRQSFMGDSM MGMNSGMNLEPPRPAGQTRTMSMVQPSSSSWIQPLQGSFGAPSIRVQGGGYAPSIAPS ERSNIGLPGRYRPVSSINPLDGTGSRSNTMSGALPTLSKFQAEVKTSPLANPDDDDDE EGWAAMKAKREQKKSSWRNKKAFGSEIGALIT PFICI_00267 MSSQESSSYLSRSPGRSGLHRKGYLVLQDEAPPAFSPRGQGTLA HLIRNRSHSSLQGYGTTTWRNTGGLDAADLEAHHPGGHHEASLHHHHLHGAGAAGSVS GGEDDATSRRSLYEERRLSAVLMSRPVRSMRLIGNSNPRYRWERYWVPEEQLASMRKP IRAYYERTNYLIQQYLYIDRLLDSSLPHDLLNEYNDMPASHFRGVEIPDTIREEPGSA NRSAVGSLIEGSGLLKTSGTGSPSNEENGNGQSGEEAGKSVKKVKRTPRDIYRPTETT PLFSNHDEEDGEVWDGEGAKPEIPWLEDDDDLDSSSNIVTVAIYVNFAANFILLAGKI AVIISVSSMSVLASLVDAVLDFLSTVIVWLTTWLVSRQDQYKYPVGRRRLEPLGILVF SVIMITSFCQVALQSIQRLASPEHELVELGIPAIGIMAGTVLIKGLCWLWCRLVKNSN VQALAADAWTDVIFNIGSIFFPIVGFYAKIWWLDALGGLLLSCVVIYNWSETSLEHIK NLSGFSATADQRNVLLYLTMRFAKTIRQIQGLQAYHAGDKLNVEVDIVLDASTPLRDS HDLAESLQYVLESVPAVDRAFVHTDYATYNLPTHMSQQS PFICI_00268 MASADCVRDNCLERIDCGPGCVADCSRWLAVTVRPSPVTLTSTT SSLGTCETIITQAPEIVVSNAETKKKRKKRTCKKTTPFPFYAALCDDSSRYASACECI GVTATTETAAAHVVTEYVTFVYTTQDDTVTEIETTASAIPGPVLTSSAVEPSMTTSSR ENDNQSTNSNDFSGILSSLPSSTTMTTSAGSADTGPILTSSAVVPATTTSSQTTDSET TSFPENNTTTIASSADSGPVLTSTLEPSMTTSSQEDNSESTISSDFSGILSPTFADTT TVASASSADFGPILTSTVGTSTTTSSQEDNSESTISSDFSGTLSSILSDTTTIVSASS SDSGPILTSEVVPSTTLSSQESNSQSTVSEDFSASSSLSPDTAITFSASITDAFTSTV VPSATTSSQENNSQSTGSNDLSAILSSSSLLLPSSTPITVSASATDAGTILTSSTIVS LTATSSQENDDQSTISNSSSDILPSSEIPTTASAGSTDSGLILTSSTAEPSTTASSQE NDNQSATSDNSSSMLPSSDTTTTASTGSTDSGLIITSPTVVPSTTTSSQEIDTQSTIS ITSVGISSSLTLTLTSDIPTTVSASVSSTIEVAPVLLTVVPNVPSSNQKKRQTDDSDG GFIGAPSTTDDCSVGTPFNITDGQLSSDGQVIGTNPTLPYIELLPSSLGSITTTFFVL DSLLHWRNESFFQEEAGFCQTADGRVYATFSSSENWPTDCSPVEILVYKARQCQNGVI VPDDGTEPTSSSALTSATGTQSESSTDTTTTNVVATTDSTSSSQSLTASNQSTDIGAT FESTQSTASTTSTEPSSSITSSSLLTSTSSVPFTMSLGPPDLASSTPTSTAVVTTGDS STVLESASSTDSFLPSSTTSNSDVTTSSDTNAPLTSTSSTAIVSSSDSSVSTPSETFF SSSTTDTSASAPTITTSTPGNTQTFDPGFGSTTSSTTEATDNTSLEAVTSSNSGTSSE SLSSTTASEFTSESPLTSSDAIVSSSSLPTDTTASNTDSSSTTESLTSTSSSTENTPS DSSSTISSSPTSTETDVTSVVGSSTSSEEVSTDTAISSSSTDVPTSISQSDLPVDLST SSSSTDISTSSQGSNPATESATTTVDATETASISSSSSFSAEVSSSETLPPSTSSTEP TVSASSDIDTTSPTTDSTALSSPTTTESSTSETQSFSSDLSSSETLQTTSNASQDSSL APELTSTSSSSDEATTATPTDSSSSEISTDNISPTPGTSSTEESSSLISPTTSSTIST ESPVLSSDISSTATLPSSTEAAPETTTDQSTISTTSVDTSSMTATDTTAPTDTTAPTD TTASTDTTAPTDTAAPTDTASSTDTTASTDTTASTDTTTPTDTTAPTDTTASTDTASS TDTTAVTDTTIPTDTTAPTDTTAPTDTTAPTDTTASTDTASSTDTTVPTDTASSTDTT APTDTTAPTDTASSTDTTASTDTTASTDTTTPTDTTTPTDTTVPISSSVVDTSTEASS SSTDVTSTDAVPSSTGPVSTDATSTDIISTDTTPADTTSTELTSTQVTSADATSTEAV PSSTDVTSTEAVPSSTDTISAEVTSTDTASATDTGSATDTASATDTASATDTASATDT ASASLTDIASSTDTASAEVTPTDTASSTDTASSTDTAPLTDTSSAEVTPTDAASSTDM ASPTDTALSTDTVSSTDIASTTDVASSTDGASSTDTASSAEITPTDTASSTDTASPAD TASSTDTAPSTDTASSTDTSSAEVTPTDTTSTELSSTDTLSSTEVTPTTDVASTTDVA STTDVASTTEVTSTDTTSSTGVTSIDTASAEVTSTDTTSAEVTSTDITSSTDITSTEI TSTEATSTEITPSSTEVAPTDTTSSTEVASTDTTSAEVTSTDVASSTDVASSTDVASS TDVASSTDVAATDTATSSTSITSSVDTATGPEVTSPTSTGTSAETSSTEVTSALTETT PTDTTTSDTISTSSSITATSTETDVSSVDSLSSTTPSTSLSSTLEPTTSSSSELSTSE TSSAEISATTTELSTLVTSTTSDTSTADSTSSLATSDPELTTTTGTETTTSSSAEVSS SDIQTTSSTSTSDADVSSTTSSSSTEVESPTSSVTTSDAPTTTTDLTTSSTSTTAEPT ATEEPDTYPRNFCIKIAGPDHLDWISSGFGPGDVLNFRSPQNAQLPTLYFERNGNANG SPVYSPLVGTTGTAASAQASDAWIYAFLSVPRTDAAGSQPNPANIIFAGPDQLDNYSD MYQQVECRVAGAAPGSAFTCRVQFDNNEFPDYNQFSLAPAEASRRRMRRRELQSNKRQ SEDPNTAQAMQLAYDQASEYSLYIDYDPNCGTYPDEAN PFICI_00269 MANFLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILLPSMYQNPAHDAKNPNRLTPEQCANHFDAFYEDLWCELCKYGEVEELVVCDNTND HLIGNVYARFKYEDSAQQACDELNSRWYAGRPIYCELSPVTDFREACCRLNSGEGCVR GGFCNFIHRKNPSPELDNDLTLSTKKWLKERGRDAKSVSRSPSPEPTRKRY PFICI_00270 MGLTGHRRRAGSSASRASSADENESDTTVVEGEQGNVLGHIISQ LRPGADLSRVVLPTFILEPRSMLERITNFMCHPEMLLPIPQIEDPVERFAAVVKFYLS GWHIRPPGVKKPLNPILGEVFTCYWDLPDKTRAYYISEQTSHHPPKSSYFYMVPEHHI RVDGTLKPRSKFLGNSAASMMEGTAVLTLLNRGKDPKKGEKYILTQPNMYARGILFGK MKYELGDHSFVRCPELGLVADIEFKTKGWVSGTYNAIGGTVRNEHTGEALFELSGLWS DEMVIKDLRNGHKETFFNGATARPSKPQVRPLEEQDDRESQKLWAATARAVKDKNHEL ATDEKTKIEDMQREEASKRAAENVEWHPRLFRAVKGGPGGEEEGEEELEWIINAHIDG DTPQKVAEQVMAIYPILPGQKSSDRNVIPPHTEHAASASKPATEKASNDLIDFGPSED SAPAVAPVPAENPAVAAVAQNHASKSSTEIRQLLSSTGQKAPEGPLIDFTTDMKHDLP KTQPTQAPLKRSETEESNDAFFDAES PFICI_00271 MSANENVEVKSSGNSETGRGLFASKDFKPGDVVLSVDRPLVAEL DMDRMSDSCAWCFQRGATDPMERQSSAAMGLPAGFIECKACSGCRRVSYCSKKCQSKA WKAEHKYECKVLAPSERPALPDAVRAVIKLLGRLKAEGDKDGRTKELLSFFPFAGGQG LEEFARRDKKLFDDLSMMGFAAWKYVGEPNLPGVDSQNIAKAFTFNILCNKFGLSSPL DDTHLGIGFDPLICTSNHSCDPNVNLVFNQPTTVLRALKPIKKGEEILMKYIDVTNPY SVRQAELSETYHFTCKCSKCKKGATDREDIFSKPASELSSEYRKRADALVARHEKQLA RFLVPGGNEADQKRLAALQAEAFSVSGTLTDVRDPSLEEIKDTLKMCIDSGLWTWTRQ PVPRLCHQLFAKYIATGDPYGAFRIGLKLYFEISPSLYPQTFSSDRLVDSWAMSTVTN VLCGPMSKEVYDEFMQNGVDLRIAYFGFLFAVHDDMPKMFGVDSPFGKVVESTYSQIM AGVTIHESEIRDKVKTLWPSLEMIGRSVTVQSL PFICI_00272 MPSLSQVLPVAQLLLSSASAYYNGIDPRDIYEEMEHVLVDNSGT NSDGFINAVTPCSNYVGFASGGSKRGEQSSAQWVRMAFHDAITADLAAGTGGLDGSIG YEGDRAENPGQFIEDTLRFMGPTITAYLSMADNIALGLVASLSTCGATVGGINLRAGR KDALEAGPSGVPEPTTDLETTLNQFAAAGFSQSETIILTACGHSLGRIHYSNFPEIVD ESAVSNSNLNGGVGFDDTPADFDSSVVNEYLNGTGQQGGLLVTAPNEEDRSDLRLYSS DNNATMKALSEEAAFQATCLSLFERMIDTVPADVQLSDPIVPMTWKAVDVALDINTAG NVSVSGSIRNLYTDTSPPSTVTYTTSDAGGVVVSADQKTGTSSGSGTSLFGKTKYWSF NSTIASPGTTQLNFEDQTYPINDEIFILSKQSSSSRSLTIKAAALTSLDSEGDSSMTA VLYVPTAVDGLFVKQIVNETVAMTAYGTAGEYTLYKGTSSTTAGHMIAKVLLGDAESR TVKVDKFSGR PFICI_00273 MAFTYKTVLMVGCTSGIGLALAERMIENGVFVIGTGRRKDRLDE FVAKHGADKVAASQFDITNLDGVQDWAASVVKAHPSIDCVILNSGVQRTLDFTKPESI DLSSVQDELNTNYTSYIAMVKAFLPHLQAQAPKPVGLMTVTSGLAFVPIPRPANYCAT KAALHSIMWTLRAQLAHHEGSKHIKVVEIIPPAVQTDLHSSQADLVARGEGHFGMPLD AFIDGAWAGLQEGKDEIPVGELAVNNHKADAARRDLYAGIMKMMANPNAPFSVRGF PFICI_00274 MTTIKCSAPIAFRKTPISRRYPQPKKAQWKAKGIFHFFDLPAEI RRSILEILVDDARPHQVVNLLYASRQLYRETAELFYRDVTQITTNLPDKPSLYLAGRT TDLSQRLFVRNLTIEFKLQEHMHIFQSRYATTLRAMVDRGKLKQLILEIHGRFPSSQF WGMDSDASTEEVELVGTGTRKGQAPIIAPRFVAEPGFQSFLQFLREANVQEIRLLVDA YDHHGFWCRFHRQHPNGIACDGEWKGKGRRTLTVNWIQAVKALKGLQIVGSVVNETGG PSHGNISR PFICI_00275 MQEPNIPDHDKYWADNEELQGRIRNYHDWAQSILNKTESRLFGP EIRHPWMKNEHDLKSRISIFQLEGEYCCEGGCKFEEARDMLKHWKDTPKDGSSESINR RVILVEGMHPRVIELLGVLLKIPPHFFLAHCSEWVDLQFIDAAYSKQDGSTYWKVPIP CRLSIPKGLSPGNYLFEVAALSRGEIEVEQDTTDVEFRKFVSYWGAKHGSGSWTGT PFICI_00276 MPSPSRAQVTTSPRITTPATAHAQHFNTEDFIMGPDTCGFTTGT TITCSNPSDYCQNIGHYRGCCAGELDQCSATVYTTCQEGLADAYQAHVLYCTGDSPAC MTHYFTTEDSPGSTYTNVACGTSDVFGQLYPYPPELMPTTSAAAHPKNSTTPSYDDDS NGNKPVSTGAIVGAIVGAIVLVILLIISTVLIARRKKLQAHLNTSAAAAAMNVKNGRK VASDNPDALPAMPTSEAAEAERRRRLRLSTIPEALSPTSPTTSRGAGSRFNRKSARRS YGPNWPLGSADPLESHPMPPPPPPPSTQQTPDPEKRTGIEQETANTPQIQAPRMVPTL NLPTPPPPTAAAEGHKPSSGTLVPQLEMEPVSPVDDDTQSIRVSPITPDSTRVMLTSP RLSYHPVSPMDAAFNDEVERRVSRLEGAGPPPVLSAGSVGADRSGEVSPIDGDDNGGA HPLDNRLSLISVPTRGQDGAAATNLDEIVSPVSPEDEEDKSGPGVTAEKKVDDKEEES QNAGEVSPMSVSPVESRRSSLWR PFICI_00277 MLFRTALLSTFAGLAQAALTYRGVDWSSVAVEEASGITYKTSSG TAESLEKILVANGVNTVRQRVWVNPSDGNYNLDYNVALAKRAKAAGLNVYLDLHYSDT WADPAHQAIPSGWPSDVSNLAWEVYNYTLDVMNAMNDAGVSPVIVSIGNEITAGMLFS TGSTSNMYNLATILHSAAYGVKDSDLATTPKIMIHLDNGWNWDTQEWWYSSVLAEGPL STSDFDIMGVSYYPFYNSAATLASLKTSLTNMASTWGKEIIVAETDWPTSCPSPAYAF PSDLSSIPFSAAGQTTFVQKVAAVVDAVSTGTGLFYWEPAWVDNSSLGSSCPYNTMFE YPGTALSSLSVFSTI PFICI_00278 MRPTYLFVLVATGLALGLPQEPDLHHKYSRRKRDGCHGREITKT VTMLSMYTIAGSSHYSPGSATRSSPVFDSYSSSGSAGHGSLDEGYPTGSSYPSVYGTE PGDAYRGNRQDASAGASPSMGDHLPHKPYASEGISLESGSDSYQSYEEQAAPPCVGCG TMTTTLATPLHTTLLSTENDALPTVITGVFMTSNTATVTSLSTTTTIPTPGTVTVTSL GTATNVSTIPATAPGATDTVITFITTASPVTVSESGNITVSTTISPTTSGSMETVDIT SLDTTTDVFPITTTAPGATETVITFETTALPETPTDASSKTPPITASSSISTTPVTSI QAISRITNSQSSLPSTIILQSSITEAISSTITTSTGIPTAPAITVSLSGNTTRLTTIS PATSGGTETVITFATTPPVTTITSSGRNKNRSYYDSGLTTLQPASSGGTPTIITFVTP SATASTTTKTTFGSAPGTFTIPASGSVPGTVIITLVPDAPALTSFGVSTGLTPISSSS PGGTATVITFVSTPQPVTITQTGTTTGLTTIPPASLGGAETIVTFLRLEPVLETLTTF GTVAGTSTIPASGTFAGTIIITLVPGQPITQTTTDSISTTVSGTEINGNTVISTEVPG SSFSTQPTPGTGMLATGPPVVVITSAPANTITNTTSESTPGTFTTHTNSSNPIITLVP SQPITETTTGLAGTGTIPDTVIITEVPIASSIRETPSTTMITPSTGQPIIIVTSTPAS TLTSTIFTEFTTGSSQGTLTKSGTGTNPNTVIITLIPVTKVTLGQFPGTSTVPANGSN PPTIIITSAFRSVTTVSESTTGTTTATETSGETVIITNTLKTTFVLGPTPGTATLTAA TGPPIVVITTTPGRTETLTTSTIPANGTVPGTIITTDLFPATLTTTVIGTSTISASGT ILGTVMVTDLAVTVMSTEIGSSAGMSTISQSGTTPGTIIVTIIGSTITSLEAGPSVGI SVTEVASTVISTVIGNSPGTSTIPQSGIISGTINTTNPAAITSSVIGTSTISQSGTTP ESVIVTEIASTRTIMVLGSTPGTSTVSQSSTIPGMIIVTEAFSTATFTEIGPSAGIST IPQSGTIPGTVVITLPAATSISTQIGPLAGTSVIPQSGTIPGVIIVTAPAATVTSTVT GQSAGKLTIFQSGTMPGTVIVTEVASITITSTIIGQSAGTLTISQSGTTPGSVIVTEV ASTITTTITGSAAGTSTITQSGTIPGTVVITDTPFPTFSCDAGGYLIQNRTLHRLNLT TGVQTTISTAVGPGGSINALGYNPLDNFMYGVVDLNDKHTSFRVIQIASNGNHSLLST VLPPTGYYNMGDVDTNGTFWVSASGVHWSKVDVNPSSTTFGQVLQSGNSTIGSQKEVA DWSYLPSGGDYLYSVTYLEGKVVRWSRTNHTWESRQTFESLKQTRFGATFGGRSNVLY GSDSFLGEIYSVPITPGTAKKISSGPRALANDGARCAYAADP PFICI_00279 MPTTSQPGSMQSLNTQDTSDIPEEVITLSREATFRRQPMAEEEV QPRPSQLLNDNEDLESVTQVVQDERRHEVSEHSSVSEQSSGLPTSNETPGMDVGAEVE TQHELNGSSRLSWKESLGTSGLFFILGGHGGTVAILIFLTFIWFGHGQASEGVSATHT WRRLALNGWIPQAVTLCALALRVTISQQSAVCTAMIAALVLERRSTKKSHAAYFSVAR GFNDGPMKLLRMMLVPLNSQYLQHAEFWLLLVLTTVTIGLQFTSTILLSDLHNFVMVG DLESVQVPSLVRFERGDFIIRNVPGQYQNSAPVYMALGEEQHSSDTTPSSHGLSDTGV IKRGFLPFPESSNRTSVRYFEGNAMIMSSRVACMRPNISSKISYYDDQSPYVAVTGLL RYALSLSEAHASDTPPCSNTNCQETYFQCNLPYTYDRGNWETVPCILDPVGGAFRNLS MERTWSSSDEPWIVNSSIHLVFATNMTSPQVAQLFSDDHHGAIIPYQEWNNYEFMPGV LVNSTLCFSAFNMERKSVRMSSSGPLEEPVIDWLPTSLEYNASQVRTYMGVDRPRKSH EDRGILAMSINGPPDDGPPESLAHRVVQFLDSNLTISDLTSAVQEVLVTSDMSYGWLA NTTVLTCDDCQGFGQMIHPLNGMLFTEIINTTNRAADAIQSHLTVVAMSVYETYLNTL EETQEALVSTTRTVLAPGLCSANSCAGFVSVATLVVVQMICVMTITTIFVRNARHSFY NNIWHTISQLANPELRDILEKTTNSGDKAIKKLIQKDDYRVRLVKTPQTGEIGIVRIP ASSATSSALEKPPTTGFSAMKKITRNR PFICI_00280 MEAPNDLVCASKEGRAEDVQATLNQPRDLWDSQLGNSLSKAICR RDPEIVSLLLSSGAKLDASSFIHLARMADTGLLEVFLALATDKPNVLIWLLSKGADPN ATNERGVSALGSTVVAPLVQDGAAIDTLLSHGARMEPDILHSCLRQTTMGGLEMLKCL MSKGANAKNIIHHETTGNGTPLHYAAFLGKEDAVRILLDAGADPSIVNYDDTGVGETP ASLVRRYGHMHIYEMLFARSSVPTDTE PFICI_00281 MLCQLCAEAIKAGPSRLPYEKNYFRWKGTSLRIHTSLSSLRSST EIGCLLCRSFWDYCCEKNPGLREATEPVNFARFDWQIDLTYGDVDLIFEDFDGYFAIR IAENHRGVRFLLSPSCLDVRGNPIEYSEPEVSTRSSIRLWKYWYTACQRHHERCRSLS AVGAFKPTRLVEIRQDDPDCWNLIDETSRIAGPYATLSHCWGSSSHEVLKKENIANFK QRGQNLIAKLPQTFIDAIEVAKSLGIYYIWIDCLCIVQDDDDDWKEESAKMGLVYARA ACNLAASCSSDSSGGFFRTRDLALVQLTSVDFGCDLRGFSTYRISNAASYDNDVANAP LNSRAWVTQERYLALRQLSFTAHQVYWECPELVANEQCPEGLPDELWNSSNTRSVRKR GMVPMSEASFRDTWSKIVEHYSSCGLTRKTDKLVALSGLATQIQLIQSQRPQSPALNM YVHGLWSVDFHQQLCWTRYGAKKEQYEARADQNIVRTSRLNGSIAPSWSWASLDGKVE YDLAYNDLGSQYRLSWIRVEDPEFKEGKPPAISKGLTLRGIALFGTLKPPYKEYVGRL TFSHALSTPDLHENPFWPSINPLESIRVDEVYWDLPQWPSDLDPSQELCFLMIYMDHL AETNHGLILQQIPSAAEPVEYVKLGSFKLEGAWGGDPGELIRRSDFMQHIAARQRREQ PIEAVDDDALSDLESDMELESHPNTASKEKLFQRAERTLWIMDLDPPSMRDLVHTVCI R PFICI_00282 MASDTRGPQVAAVTYIFLIMSTIATLLRVYCRGRVIKAFAMDDW LAVLAQLFFIIFCAYEIQGFIYGTGQHNSNLSMENRLKAMQMWWTCEPLYVLCNMSIK ASIAIFLLRICVSKAHKIVIWTAIGITELYSFAFFMLFVLQCRPTSLFWLRYSSAPPS GTCLDPSVVANAFYGYSAISCATDWTYSILPIFLVWSLQMDRKVKISVAAILAAGVIA SSATIVRFPYLHFLTDTDDFLYSTSDIAMWSTIETGLGITAAGVATLRPLLKTFFGGS SAPGHGTSARQWHRTGSGHPGGEDGFDMHDRGNKDLGVTTVVDYGRARAKQDIESQKM KGDNKSNTSDPWDDSCNSSQTNLTMSPEQGGNGWNITVKKSIVQVSDDA PFICI_00283 MDRIVLRLGKSLGVLSDKETEHIILCNGMVMKIHPALYGVLLHL RKYRTDGEYWIDRICINQGLNAEKSAQVQMMGEIYAGAEMTLAWLGPVPTFLTRGFEN MNRVIANYKSRNPEAPWLVLTDPKDNQIASSKTEGSLVLGALLHIASRGYFGRIWVLQ ELALAPKVVFHLGKYQFQPGDISELLEVIDWPRAKATVDVDMSCEQKISSEIETGIAA AASMISRLCIPNWIKQVKTLPTFRVKEANNAEDGKWSLHEWLEACIHRHARDNKDLVF AGLSLIRNDLLEIRQDLLLDDPTPPPLPPRRDSLQVHNTLLEEQIPPPLPPRPEALQF QRDPNLPVISSPQSLPQIALLGIHPEANFERPRTGMIDCVGPEETSIGGSSKNVRLWP ALHAAYDASEQEVLLNLAACVLSGPDGLKLLSFSSMLRDQSIEWEPSGTPSWVPTVNT KPPLLMEEELNDNLGGDFSAVSSLQNDPKISHDGRSLNLDGCKIGKVQELFDPFFLEC DTLAKVESAIEILRVLIHHCPKAKSVMVAFTNSSTYGACRHSLKDIQGLTLGVCSAMD VCLKKSLDWIDKKLSDSGEQSLSLNEKLHSLPQSDTSKEAPNGTGDSQQRVAEFTHKA TELRQTLLSTYMDFREAYPEAPWPELELNTPQRDPEEETEVTIAQVQVTKWSVSYLVC HEMFITDTGYIGATASCQIAHDDEVMLIKGAHIPYAFRRVDDAIAIRIQEIEMIDMEK EPAKSNEEIQRLMGEMGKKDGWVLVGEVYVDGVMDGEAVDEYADRFERLTIF PFICI_00284 MLCSICVNFNVRALLLKAVTQPPKGFNDPTSPETLENLRPAIPY FFKHHANLLSLRASAATGCELCSFIWQSHVGRSHPNDLTDDLLSQGTSAQQIFLGTAA WDATLHGLPHVAAVQNGDGHTSHVSKQGLVQMRTLASFEVCATRGREPSDHQHLLARS IYSNSGSAECLQLAATLLGNCMSTHDSCSLQYPHSSELPTRIIDTAGSDPKLVDGGGR RDVYAALSYCWGGHSDFTLTTATEQSFRAGLPLNDFPPTLRHAILIVKALGIRYIWID ALCIIQDSAQDWASEASRMRHVYTGAVVTIAAACASTTGEGIFRDRTQGNYPQCWLDW TNGATPAPKVFLRPGTELWDDKMHLGALNTRGWVLQETLLAPRTLWFSHQQICFECPK GSVDEGGRFLRIADIYRSKEYIQKLRQRMFPQWKRRLLPALKRLHVPLAITFRWPSIT NMLQARDMETLRWRALPYTPFTLQGEFKPPAQPTAYSHFDFWGQIIQNYSSRALTNPT DVLPALSGLASEFQRATGDTYVAGLWKMDIIQGLSWNRGELRKKRSDGYLEDPPSLPK QYLAPSWSWASILGQKVLFEGQTQFDHIKPFAKLIDIKLEFATSDRFGALTGGSITLG APFLILDCESVAKAPGLPGAAILYSPVASMSAFTSDKY PFICI_00285 MLFVQSGTWRTIVGHVDCVAVFQQLDILANPLPEELIGAFDVVH VRAFLSIIVNGNTAPLLSAARSLLKPGGWLQWEETSGEFLVEPSTPNVTKVSYETLAQ ILKQGGEARGFEVEFVNELDNHLGKHDFEDIHMQKFAKHKQDYKGWTEDYLMVWEELA TYLPAKADVPNAPVTKEIWAELFKKAVAETEQGVALHHGFIMTVVGRKST PFICI_00286 MTKPESHLSGLLERCTHLNPSDRASVLENDALLASKYHSAAVLG DTDAPTDPETEVDFHYVCFVKSHRNNNLYIMDGDRNGPINGGTMSNDDLLSEQGIQAV MDFIQQHDDTGQYSLLALAPSPDVTF PFICI_00287 MVTYSKHFIPLESNPDVFNHLIHDLGVSSVLRFHDVLSLSEPEI LAFIPRPVLALVIAFSTPPQYEDLLVEDNASTPEYTKTGEDEYVMWFKQTINNACGLY GILHAVANGCARNFVGMFLK PFICI_00288 MLILQSSLAHFLCALSLVPLCTSSACSHGNTSTDAGSFLNPAPI SRPVFRYWLPDAGVDASIVRDDISGAAYVGAGGIELLPFFEYGGQLGGMPAGTNWSTY NFGTAAFHNILSVALDAHEKHGLKIDIPLGPNQGQGVPAHPDDEGLQWDLVPFNDTVQ ADGLYTGVIPGWGTGELVALVSALVTSHTTVSYGGAGISGSTNVTYEDLILSADSLTE WTELVSADGVINLTFPVAETGSHYRVFTFYQRLSGHGNLVFDSSVHNSIFDDGSYVVD HYSARGAETVAKFWKEHILQDGIREKLKKVGRYLWEDSPEFLSNISWSSTLPERFQEL NGYSIKPVLPLLAYKQNSISDTNDAPGPFNCILDTDTKGVEHVNAYRKTLSEGYKEYL TALKSWINGDLGLELSVQPAYGLPMDMEDSISSVDAPETESLFFKDSIDSYRQFAGPA HLSGKNIISNELGAVAVRRTAITSPICCSPLTADLRRASTDMSCTASRTPEHIFRPGP VTRPSTIYSQSLIHPDSLPGITECYIQQSGTAKVDIAIYNKESATSKTRIGYNSSDLV DAGWSYNYLNPNNLESSQAVVKDGVLASDGPAWTALIVESAANISLSAVASLKRLASA GLPVVFVGDNFNLYPDGQDANLTVFQQELETLQSYSNVYAAGEGELANKITELGLSPR VGVSTNGTWYTTLRELNASSYVFVYADLVGSRGSVIVKDTRMPFFLNPWTGAQSPVLI YERSNTTTTIPLSLSGNQTVIILFSDAETSHNFDYHIVSVPDNAASATTAGDGTVSVQ VSNSAADTEATMSDGSICALGGSHVPAAFSITNWNLTAEHWAAPANLSETSPTSKRNT THSLPELLSWGDIPELVNSSGLGYYSASFIWPPLSNTTSDETATLGAVISFGRLLHYA RASINGQPLPPLDVTNAVADITPYLTEGENRVEAVVPTTMWNYLRTILDDLKSAGQPP LLLELSGVIGSEPLNATDATGLVGVVSVTPYLSVTC PFICI_00289 MPPLSSIQFQLAQYKEWLIELRKDSRMTLGKIKIELYNQKGITA SLHQLETYFKNLGQRKNLSRPEWRLVFRALASQKAVGRTCEVYMSGKLISGDSLQKKR KNAKPEAAIATCLADAEQFVRQLPDGVKIKSHHSLSLSTPGPQQGVDALPMSHTRPGS NPGAEPSIRQDSFSVDNDLVLGLHATSSYQSTSRSSIVPHHSNTSTTDAIQLMSCPVN TDTNFGAASQWDMDFMTATSVLSFNHGIMRPGSPELFQYSFNDPYVLDDWNQEIAHLN FHSHSHIPDRRNWERLYRDMPMSLRCDRYSVSSVESARILRKFISVVSVRRGSRLGEP IPTAEEIIDILQSQVSIEDMAEAICEPLAVVGTNSPLTDPFAQLILFSVVNNFSGIQK IPMESIMRFIDHHESSRSTILRLLRSGTSTIFSTALAENLFKAAVEAGDVKTAHDLLA LKLFAPDDIPLGTHPFRRSALEKASEMRHFEMMRLLLHHGADVNKTHMDRKNPMLPRG ALECAVKLWGEYDILDPKILDLLLDNGATVRAELAEAAIRWGDKSLIEKLIFKLPPSE HVQCFSSDMLLDATEYLRNDLSLAIIRQIIQTCQETHESTCIISNQDLLVGVMIYAAK RKNSALIRLLSPHAGQEGLDQALTAAARSGSHKLIHMLLESGANCDGPACVIDGDGHS PLTTPLAEAIRNDDTALVRLFTEKGAWNQIGEPGRLQAILEVVAESDDDFYLDKILQM VPDPNPKALTRPLSIAIRKRHEGMAMKLLGAGADVNASPRSTYPNDRTWTLMRRLFSQ PRRVASPPPHFQLLYPLQEALSIKSPSIVWAILDCDAKPSHMRVLGDLSIDPMSTLEQ ATEWGDQQIIKALSLMGASVDNQLDGSLSIAIKNGEKSLVDLLISLGADPNGHSQRHG SPLAAAAQVGDIETATYLLEHGADPADQQAILNAFTHAQDVLELVLQRLRKRYPKGRS GFGGEVLRDALDGHNDAILALCLNARFDVNHFVTTPGQQKWSFEMLTPLGFAIRKYRG GRLDLISRLLDAGGDANFPAFQDEWRQVSETAFLQVIEIKSLPLVELLISKGADVHKE AKLGLKRTPLQKACEVQSCSIVDLLLTHNVDLAAKVGSTRIAKRLLDSGADVDAPGAQ VQGLSAIQYAAKYGRLHMIQVLFNASGASFTAEKCQSAITLAQDNGHLACAALLMDLS SRSQGFIES PFICI_00290 MPPSLRTRTSNRTTLTLPGPAQATFTFLPDENKVKVTIPPTSTW SMRAHWHDRPELCEDISILPGGYFQIYRSTGVYANYTTSGIHGLSVKLRPGERCGWGF RSASSQVRNADLEVLISQHLSKATEEAWRNIVSATLDAELWPELASTPLPLKVSLRLL RKTPFVGQRMWTRIVRAVLWMQILLICQRHELDIWLGELGICWFWAAWRNEVAPEWAQ SIEWNSAEWLTWLVMLVVGALGRGVLGLKDGYDEYYRGTGTNAASAGFGDEKFGNNC PFICI_00291 MRCMIARDGVLVLGALSLLSQCAGGVSNGFRELRLSSRQAAGVD KPAPGHWTAIGDSYSAGPGAGVAYDDAEFGNSKCFRSNGSAVAQLDAQHNVMLGNFQF LSCTGHKAKEMVKYQIPLIDYGEQDSNMWLSLSIGGNDLGFADYAQSCLLGLGSCTKA LKNAENLIHDLDGKQTFRRLLWHAWSRIYDDNHFKNVPAGQSKFVPNTLHTLYPAFFN AETDGCDNINIGGPWLFFTKRLTKTLRRQTNRLVRDANNAIRAHARAFVNSEEGMHYR GYLHIVDYDNTFEGHRLCEKFTGNKPGFDNPNVWFLGIRSDGFVQESDLSVQENPVTC NPDADDAVEAWRCVKALVDAAGDDPDAVGEWNWPLWLTKAFHPKTAGFQEVMNLHLSH WVANLPQLRVLALGDSITNGFRSTDNAGYRSRFYELATTSYGQQVDMIGSVRSGDVGD ADHEGHNGATIDQISSYADQNLGQRPNVVLLHAGTNDMGSDADAQGAVDRLVALVDKI ISKCPDATVLVARITPADDAARQNRTNVYNNGIEAAMSSRADAGAKVQIMYMDLMVTK KTLADGLHPNDIGYFAMAEHWWGGVLQAYNDGWLKDPVDGDGPGPVREIAPSLPISMA TEEPTT PFICI_00292 MDRPKETLQGAGGPGENYTKPTSDLSIASRVENYEDSDCDDGDT TVKKTQSHSPVPIPSIITGKQSNMMSKMIHLFDDDLNNPIFLAEVQLGYSAKYPLFLK PGIILYDGTSKKDLIKSDIWLPRLVPKRGSLPLTAGTMHAHTLPDGTVAFTFSVNIEI HREGKFPRETFEWRKVKNHEGGDGIIGTFNLVWLRSASRNDTTNGGGSQRSRDESIVA SLSYVSAQTSSLY PFICI_00293 MRQGNDSATESSTSVAGARPRRWCRRIATTPGYGQDLESNNKSE SYSNHVDSGMNSPNIEASLDLSIPVRKSNDTTMDDAFVFEQFFVSPDGVDLTDYQLPT TTAPNGDQSSHPEKNGISEEHLAFPHMDFDMPLYPHEDEIGPTTRLGGAAQLETQAEP ISLLHQLCELHIRLLSLQKMQQDTKPFQDTGTQSGTSPDSRNRDASLSDHIVDLYAAT EDLISLVTSVSSLARPPQEDDACPSPGDGFSSRRFSPREGSHISTALMISNCYVCLLH TYELLVENIWRSNGNKFSSRARSDRNADFATNPSDTISAHHTELASSAGTNNSLPRFR IGLSQFTMPVTINQDMHMYFIAQMMERLRAAIWTCIPSSRVEEQTSVPTEYVGKTQNH SDLDSDTVQSRRRPSTALLHIVSSELEVSEQSLMALLHQSGRGSG PFICI_00294 MGLPSTEPIAIVGIGCRFPGGASSPSKLWDVLKDPKNLAKPIPA DRFNIDRFYHPDGKHHGTTNVKETYFLDEDVRQFDSGFFGAPTGEAASIDPQHRLLLE TVYESLETAGLTISGLQGSDTAVYVGLMCSDYMVLHSLDVDRVPTYNSPGIANSNASA RVSYYFDWHGPCMTIDTACSSSLVAVHQAVQALRSGSSRIAIAAGTNLLFSPLGYVSE SNLSMLSPTGRSRMWDADADGYARGEGVAALVLKPLSAALADGDHVECIIRETGVNQD GKTKGITMPSAAAQAALIRDTYARAGLDLNSKKHRCQYFEAHGTGTPAGDPQEAEALH TAFFGEQSHDSDDILKVGSVKTVIGHTEGTAGIAGVIKSYLAIKHGLIPPNLLFNKLS PTVEPFYKHLEVVTSLTPWPKLAPGVPRRASVNSFGFGGTNAHAIIESFGTIGQTRTS LLEPSLPTPIRSILPFTFSAASEKSLKQLLDAYQLHLSDVKDIDLRRLAYSLSSRRSH FPFKIAISASTQEELLDRINKVLASQPTFTRSTVKDATILGIFTGQGAQWPRMGQQLL ETIPSAKETIANLDKALATLPSNDRPSWTIAEQLNQGQDKSRLDEAEIAQPLVAAVQI LLVNLFRSAGVKFQAIVGHSSGEIAAAYASGLISDKDAIRIAYYRGFHSKKACGPTGQ PGAMLAVGTSLEDAQDLCSTEDFAGRVVVAACNSSTSLTLSGDEDAIDEVQSLLEEEN KFVRRLRVDKAYHSHHMKPAAEPFLTSLAACDITVLQPRSGSATWYSSVHKNTQNGVT TALDGSYWVENLNSAVLFSDALTAAITNDGPFDAVLEIGPHPALKGPASDVIQDVLGK VLPYTGSLSRGKDDIEAWASAISTLWEHAGTSAVDFAALQHDVYPDAAEIRLLPGIPL YQWTHDRALWSEPKYSRLLREQPGKFHDILGTRMPDGTEEEWRWKNVLTTREIPWLVD HGLQGQTVLPATGYISLALEAALQIAEQRPVKLLELTDLTIKKAIAIDDSQGSDIMVT LTRIVYEKDLITALFACFSTITRDSTRLALNATGSVHVHLGKPLPDLLAPRREAVPGM VPVDPEHFFSELDKIGYNYGPTFRGINVLKRKLGFSSGTIKVPPNNLGTNLLFHPGML DAALQGMLCGFSSPGDGRLWSLHAPLSVRRVSLVPSLCADNMTSDVYFDCAVTDTNYD NLTGDVDVFQSDTAHKSISVEGVRFGPFSAATAADDRHLFAHNRWDIEEPNGELAAEG RRATPQEIERAYDYERVSYYYLRTLRESVSVEERKDLQIPIHHEALFDYADHIYDQVQ RGEHAYVQKSWDQDSFELIDEICKRYGHDDADIALTIAAGEAMPAVIRGETTILEHMT KDDKLDNYYKKALGFVELNRLMANTVKQISHRFARLNICEIGAGTGGATKGIVEKLDA AFDSYTYTDISSGFFPKAKENFGAQKDRFVFKTLDITVDPNEQGFIPNTYDLIVAANV LHATPDLVHTLKNVRRLLKPGGYLVMMEFTDLSPMRMGQIIGGLPGWWIGRESGRRYS PAATLSEWNDILGKSGFAVQTSTPVLDPVVMPACIITARAIDEEMTLLLEPLSAPVSQ VSSSSPALVIIGGNSNESKSAIETIRTTLTSYYPKILTVETWDKLDPTSVPANSSVLS LSDIDAPFWKDIPESRFDKFKTTLLAASKVLWVSHGSDRKNPDGAMTYGFFRCLVYEL PETPIQFLDLESHEQVKPAIIAKRLLSLEVSQKLRGLGSLDAKLWTLEPEVRIRAGQS LIPRLYREPEQNARYNSAKREITSEIAVRDSMLTLRWSGTKYDLVTEHPMVEPPRPGQ RRVRVNTSLLSSLVTPIGRMFLSLGTDMDTKEQVLSVAARNSSTILVPEDWTIPVQVA VDNQYLSFLAGFLMSEYIASLITPGTALVAFEADPGLASLLSRKLSAKGCPVLFISTQ EHMRIRRNWVYMHPRTLDRDISAVLPGARVLYLDLSGASPTDESHSLGLRIAKLLPDL SEVYDASLLLARESSKSTDMSSERLASLQHMLAKANGMAAAQLSGSPDGMPLLAEQVS KIIGDKDVSSEPMKIVQWTRDEYVPVRVRPITSRQDLFMPDKTYWLVGLAGDLGLSIA NFMIQMGARNIALSSRNPQVDEPWVSEQKSKYGATIKYFKGDISEREDVRRVHREITS ALPPIAGVANGALVLRDKGFVNMDLATFHQNTRCKVEGTEHLDEIFDAESNLDWFIAF SSISSTVGNMGQMAYASANSFMKALVAQRRDRGLPGSVIDISQVFGVGYVEREMKLGT TMTREAALRLMHRSGTIIMSETDLHHLFAEAIVAGRPGSGGDPEVITGIKTVTPDEAR DALWGANVRFGHFIRAAGTASLPSAAKVAAVPVRVQLEGAKSDEQAMQILKKALIEKL KTSMMAQDESISDKTPLIDMGVDSLVAVEIRTWFAQEVGVDVAVLRILGGPSVEELVE DAIKKLKDAGDTTGSSPSVKSDEADTRERTSRDSNSVDESGGVSTSATSEDDEKQV PFICI_00295 MRSPNEQSQKLSVIIVGGGIAGLTLANALQHSGIDYVLLEAYSE LAPQVGASIGLSPNGSRILDQFGCYEQILSTASRIDWNGSHSPDGKLIRPRTDAMQLL KARSNYSMCFLDRQNVLQALADRIENSKNILLDKRVKNIFHSTDSVRVDCDDGTSYHG DIVVGADGTHSVVRKAMWRTASLEAPGEIPETEQGSMTAEYKCLFGISTAVSGLPSHH FDVTYIKDLTPIIITGEGDRVYWFLIARLDKVYRDKDIPRYTRQQELDFVSSHFDIPL TEDGKVRFKDVWNRRVSSRLVALEEAFFPHWTWGRFICIGDSVHKMTPNAGSGGNAAI ESAASLANSLDELIRRFPTQRPNLEEVRAALLKCQQERSERASSTVAMSAYVTRLHAV RGPLQRLLAYYVMPHAGDLFVDLGSLAWIGAVKINSLSLPPRSLLGTMPFNPEQGVGK SESIVYRMLWALPLVLLGVFHLMVPPTAPKHGIWDILTGSDYPPNVWPPCGDLLWRVS GAMDFGTIYTIMLIESARRALALTPMSL PFICI_00296 MNEVFNVPKSQRAIVADKNGQLVLVDDSPLPELESDMVLVKTVA VALNPVDIKMTGRLAHPGGVAGHDFAGTVVDQGSNVWTASPLKRGDRVSGAVQGVHSL TPRVGAFTEYIGATDIVLMKIPENMSFEEAASLGTGIGTMGLALFHNLQVPGYPDAPA TEPTTVLVYGGSTSSGTLALQLLKLSGLKPIATASPKNFEMVKEYGAAEVFDYNSADF VANVKSYTKNSLKYVIDCVSTAETMELCYACLGRTGGRLTTLEPPPSYIHTKPKRIHL DWVLGPALHGKAIGWPPPMQREGDPELREYGKRWFTTVQGLLDQGKLKAHPLKMMAGG LEGVFEGTDLLRNNAVSGQKLIYRI PFICI_00297 MAISPLYFVLVTFVLAISGFRLTTIYKRRRQLRQLPFIEIRDGD YKAALLKASREYPSTPYVVQTGGWNNVVLPNGILDEVRRIPDALLSERADLYEKMHGR WTDVGGPNQEIAFLSLKKDLTNNLGRLLPDIQDEVQYGLDKYFGSFRDWTQIPLYHRL AELSASVNGRFFVGSQLCRDHEWRNLYMTYAQDISGVIRAVEPWHWLIRPLAAPFMKD VRRMQQVRQHAARLLTPYVDAAVEQRRKREEAGISKDDEGDHLIDWMLNHMDTSKPVD MLRFAREHIMVGAGAINLTSIASTNIFYDLAAHPEYIIELRAEIDEALASQPNRIMTR NSLPKMLRLDSLIYESLRMSSQRLTSRHRVVKDPSGIRISTGHVLPYGTSVCWLHPQA PWSTPPADLDIPTEKASLDEFYPFRYSDRRAKPGQESSFQVTTTGRDNIHFGHGQGAC PGRWFAVAILKIIVIEVIQRYDLALGPGGEGKSARYPRPKGIMVQGSLRQMPDFAGTV YLKDRENRV PFICI_00298 MGDNCPPHKRPKILCFHGSGSSGAIYQAQGRKLFRALEKDFQFV YFDAPFPSVPGPGMRPAYEDSGPFFRWQSDDKAFENFDITEEEVYQEKQETLNRIMRH VENGGDEPFVGIFAFSQGARVATGFLRYIQKRHLDGATNLPLFKFIVLNSGTYPPLTL EEDTEFTDGFKALEDKQPPATRPRFQQFPLASIHVQGLYDPWRAESSKLLGLYDSQQS SVIEFRGGHQVPVTDKDTNLVVKAVREMYEKIT PFICI_00299 MVSYSTLAISIWATVKAVWRGDSPFAGYTAMHPVNEEGKYVIQA EGIRLAFTNLNGGAPTNLWINDTNGNEIDVLLGLEQARDYANYTGLLGGAIGRVAGHI SGAKFDVDGHTYHLSANGNNGTSTYDGGARGWSRMALDVGSHTKNSITFAVFDRPGKN GFPGSCGSSLTHSVYPNEWRISYGVTPSRTDHAIPISLSHQTFWNLDGFATGTVSEQT LHLPFSGMRLEQDDHGIPTGDIKGNIKDSCHDFWSSPKVLVTRRSPWEKDSNPVATLS SPRSGIKVDLYTDQEAIRLLTWDGGMDSNLTLKSAQGGAKVVRNAALSIQMQDWPDAL RPWFTPVH PFICI_00300 MAESIPAHEKEAPSPDAEAGVLDDTRTPTPAKEDESFITGFRLV TVISSVTLVVFLILLDQSIISTAVPQITSDLKSLSDWVFLIFVFVFEIGSLICALANS SSMLIGGRAVAGLGCSGLVNGGMTIISGSVTIAKRPLYTGIMIGVGQIGLITGPLIGG ALTEYTTWRWCFWINLPLGGVAAFFLLLIRIPDVTVKDPFGLALVRKALPDLDLVGFS LFAPASVMFLLALQFGSNNAYAWNDSVIIGLFVGAGVTAIIFGYWEWRVGDKAMIPGS LVKQRVIWTSCGQIFCMMFCVFVANFYLPIYFQAVKGNSPTMSGVYLLPGILSQLLFV VLSGFAVSKLGYYLPWAVSGAAVVAIGCGLASTFRPDTSTGKWVGYQIIQGAGRGAGM QMSLVATQNALRADQVAVSIALLIFCQNIGGAISIVVANTIFTQSLLEDLPLLAPSVS PAAALAAGSSASGVRALLPPGSPELPGLLLAYSYGVDKVFYLLAGFAVATFGFAWGMG WKDVRKKGEEGKKSDNTDVNSSKDEPKIESVQNV PFICI_00301 MHNTTPRPQGHAYQRQQPYLYAGNHFMPIVDLSPQSRAEEIQRE WPFMWTSIQAICSTIPGAQSVLRARVQHTLANKLVIQGQGSLDLLVGLLCYMTWSFDL SRGKNHYYTLSNLAVLLAVDLGLDRTVPEGSATVLNCVSSAGGAPRQVADEVPRNHDA DRALLGCFAAASTLSQATQVPGDPTLVAIARVMKMADDTARVTGRESFSVAPQGVAML FLQSLKSSVQQIKLTLPPELLQHKVVLSYLYSSEAMISEQILFQHTLAQKSSTFDPGR LEALSVCTQAAKCCVENFLSIGADECAGISCFIMLHFSHSLQLLYRLSLLEEPAWDKA TMQATINVLSYLNRAINHLSNAARLHKSNNIFSKSAEALKATFPVWSAALKQTTSVPT ATRNTAPVYDGPSSVYPMDLNDDSWFTNVFASWTDQDAPIQTW PFICI_00302 MSSAIKATRAPPGMSSWTENELSYIDGDRYSKMISHRVALFSFV SPVTDRYNLDLDRIRHYTGGVEIYRRGYDGLKVAIPSRLAAELGSLMFRANNNCIGGN TPRERSRWALKETMSKYRGDRVLLDITSGDEDTLRHITAANDIEMGDLLCQNPAVLPK AYKNWAPNNTEMNISPNGFRVVFRDSSKRDLMKVIYGDYKEANPDASKSSSGLRAPAG GQIHKPGPLTPQKRKAADPQHKDRTKAALSQISRDKGFKQQKTRK PFICI_00303 MASTVGVHPHLSPNMPFIVSCSTEKVDQATRKLIRSHVMRGKKT KKVKRLAIASSLSPASSAEPDKIQVNIHDVISMYSVLQPPVCLFLYPCFVNFPDEIEP RVLWEMQQVSSVAMRIIFPLLMDLGYHPKGQSWFFPTGNDAAAWHINAFAIQSFIDRV LHGRPQHKVNSVATRHYLKGLDILRQRLAGNDDKAKVSDATISVVLKLASAAGFDGDT ATAKRHMQGLRKMTDMRGGLAAMSHNPKLLVEIWRCDLSIALLACSDPVFYCTPHEPV PDYPPEVFPDFGVGHGGGAYLQEDQRVIHFLHKSTAQVWLAMRKFCLLANLGTQTRMR LSPIAIYGTMRAVMYRLLRMKYEAGTLDESLRLGLLAFTHHVFLQWQDMKMPPHHPFS GIYRHYLQDYALKDTMPPHLSLWLLMIGEVSSFSLSGEWPLRDHLQTQIEKNGIRSWN DMVYVLKSSLWISILDDRSGNIIYDTISEKFRHPNEKV PFICI_00304 MTSPRKTVLITGCSTGGIGAGMAEAFHQAGFQVFATVRNPAKAS QSLTTAPHVTLLTLDVLSQDSIEAAVTRVSTETGGRLDVLVNNSGQNFIMPGLDTDLD DARKLFNLNLFAPLAVLQAFAPLLIQARGTVVHQASAAGHLPMPFMSMYNSSKSALIM ASEIWRRELEPLGVRSLTLITTSVKTSAFEHTEMPKIPEMSYYYVIREYIYGMADGRL QEGAPDPLTYGHQVVKAIQKGTTGEMWIGKDAAVNHLAWKLLPSSIFDSMMEGFLKVS SEMAKVSQALKTRK PFICI_00305 MSEQRSDNITGIESDPYIDWVEHTGEDMMNLNQLAMLPNSKHPI NPVFVSLDLNWSIDSGRINQIGFAFFDTRELYMPWTHGPPVFVRTYDIEGETPASSLS NVPPTRIRQSDIRLVIMHNLCMPNMDKNGTKWRNVVLTGQNIRRNLFLLWSCVGLNVK AICPVMAVLDLEVLSPQLIPKQIMHRTFTEEENTGNKLRDLLRELGLRINHRELGNAG MDAKNALYATLTLAVRHWTADDVRHDRHAWGNIAGFINSVDWIFSAGEDYILEPFAAS TTAVGVEGGALEVHLATPPSRSLVDIQAIRRYIADRDDPKTAVRRFVQASHNIRFVRP GNDHTVLPTGVPQLPN PFICI_00306 MLPRSLLTILALAATSAASAIPRADTTCTNPAVRKEWRELDDAE KAEYLRAAVCLRQLPKEKYADIEAVTTRMDDLVYTHFSLNTEIHFVANFLPWHRWFVQ LHENLLRTECGYTGVQPYWDWSIDADAVNTINSPVFDAATGFGGDGKRTGSGVPGFER CVIDGPFANTNLTLAMGWPDMNTPGNRLHCFTREFNGGLGNDENGDPIIGDMQAGAYN SKTMNTIYGFDTFAQMASMLEGLPHAQIHSIIFGDMGPATSPNEPLFFLHHANVDRAW AKWQGRNATRLADYTGFVDQDDTIPASLTDAMPIMELGDTEPVVQDYMDIQAGPLCYT YSSM PFICI_00307 MTNSANVGNGAGQDKTKVIIVGAGFGGLTAAVECHRRGIHVEIY EAFPELKVLGDIISFGPNAGRIFARWSDGEIAAKLKRECIDLSQYGFRIHKYDTGEIV AQQPASQSNKDAPTLNGHRGELHEIVFNYVRDQLGVPIHLGQRVVEYFETEDDAGIIL ENGEKVTCDCVIGADGVRSKARELVLGYEDKPKSSGYAIWRAWFPSEKLAEDPRTAEF CVNGDTFNGWIGPDAHFLFSTLKGGKCCSWVLTHKDTYDIEESWSFPGKIEDVLEMLA DWDPICSAIVEKTPSVVDWKLVYREPLPRWVSSGGRIALIGDAAHPFLPTSVQGASQA MEDGVTIASCVAKAGKGRIPRALQAYQDIRYERVGAVQKTGESTRERWHKADWKNVKQ DPDSIKLPREDWILQFDAERHASEVLEELFQKA PFICI_00308 MAPIEYVLIYPLFGIVALAVVVLINDYRLWQRMPPGPRPLPFIG NQHQLPKSKPWIQFEEWSQRFGPIFTIWIGRRPTIVLSDPIIAQELMEKRSAKYSSRP RMIAMGELLWKGGSILVQPYGKEWSIRRKLLHQALMPRALQEYLPIQEAEATRLCAQL FEKPEKWEALFERFTSSIVFSIAYGHRIDSMGSSVIKQRFEFMQYSASLNVPGKYMVE SIPALKHVPNFLAPWKADIERHGKKEADANMALVDVVRSDIAKARSSGAMDTLPKSLC RLLLEIREREAGEIPLSDRDFSFIPASLFGAGSDTTASTMCSAILAFVTHPDTARCAQ LELDHVVGSDRSPTFADAPKLPYLTALVKEILRWRPVAVLGGTPHASTMDDHYNGFFI PKGTTVLGNSWAINMNPEYYPRPHVFEPERFIERGEKNATEFRGQASHPSKSGHSSFG WGRRICPGADLAYNSLFIALAKLLWTFDFSAIPGVRYDIFDYTDGFNIRPRSFQCKIQ VRDEHRAHVIGKETQDAERFLDNFSPFD PFICI_00309 MAPLTRIEKEYHALAQEDPVASDDEYLREEVRKTSRNQCIRITI YLVIEALVFISVFFIYERYQAIPRDKPSFTERYFRLQDYNQTVHFTENVELMRKSSNS TKFWMDLQATSGIVSVPTEWALGLGFSPSRQSPETPGHSIYQLDMYHSLHCLYHIRNR LMSKLPLDVWPRDDVHSLHCVDFLRQQILCHGDTTLQGTDDFLHFAKNPGHLCRDTQA IRTWVEERNWAGHGKWIEDKYGVV PFICI_00310 MRPSPLHIGFISLFLGVVISAPLKTGTTRDLGAVSDEGSSTVKR QIQGRDHPENGQVTRTPSDDPESSAELDGLYMMMFLSEDDEPASDKREADVELDGLYM MTRVHGDDEPDTEKRDNSVELDGLYMMDAHVEDDEPEVDRREPDAELDGLYMMAAHVE DEEPAVFERNPAGADGLYMMWLKEEDDEPEDE PFICI_00311 MHFQCTAILAWVIFGLASAQCPNVPAPLYGYAVDPAYRAIKIAG NLANPRGIITDKAGRLLILERGKGISQHTVYASSGCISKSATLLSLSSLNHGIYLNSR QDKLYASSTTTVYSWSYNVTSGQLGNARTVVVQGMSNSGHSTRTLTFSPKNPNLLVVS CGSNANIDAASIDPSVARAIVKVFDLNNVPQGGYNYVTQGYNAGYGLRNEVGLDFDAG GMLWGVENSADDLTRTANGQTTDVHLNNPAEELNFLGDVSKPNNKWYGYPTCFTVGDP KQFKDKTNFVLGDQFVTSPSSTFNDTTCIQKSTPPRLIFPAHSAPLDAKFDAGSKNLW VSLHGSWDRSPPTGFGVVRVPFAKASDGSYAPVAARNQIGYAKVFSPKRADGQTCTAT TCVRPVGLVFDSYGRLYMTSDASGELFMISKI PFICI_00312 MEARVSQRISMENDLLKQFPTSGVLKSPHLLPDIVEPIFSDIES LRLKDLRPRHNNTEFSLTQYHDAVNSQNQGKAHRLHDGLIGTFQTCSIFRSLLEPATR LPNNPKPESVAVKRFHSGADFCDFVALKERLRKVNQLQHLNLVETIAAFCFDDNSDSE ISWNFISPLAPCDLRQLFHYQSPNGPTIPATQFEALASALAYLHGTLEIAHRSIRPSN ILVYHVSGSSELVLKLANFSSSVDLSQASAMTASWEVDTGRNQKLNRVPIWDTLRILD TIRISETVFANDVLTLGCVFVELVAFMTEGRQGVVDLRRFITTTNTDKNISTDAFYSG CYEGELLVKQEVLSWMKFHVEKSSIAKMAYSTIERMLDDVPVERWSALQSLDPRSINP SHGFTDGHRILTFTASSECKQPLWFDKLRISLEHQLGSPIDWSPLPQVIYPCQEGQMR VTWKYGKLRLSKVISSASAKELMDMCRGLLTTGRPLLPTTSTPLEDHPVATGFRQPHS GSIGLDVVSVETPDIELLNERTKEIYLCVDKILVEVPETKMCAITNVHILPDDEALLA RMKEQLRSCNSWYKHIFSWKTCTKIKFVKFAIIKNKRVKPLGFGLPIGNAEYEYAHSD HEGAYLEAYREMIAEEVLRGIHDTGAGRDETHLTAEIPKKRNPPQLRDVRGTEAYGLH AIQGLSLKRVCWWTGISYSLGMAFVVFWLSFVDKLDLQNAFVPVTFLAAVLVGGLATT QVLV PFICI_00313 MFKKELPTAPKSKLKSSVQRNLRQSLLTTYPLLNAYIDEILPKK GSLEAMKLPDRATLYVLDGEPLFFQVDTNTLLPHLKLVHRFPAGFPSIRIDRGAIRFV LSGATLMAPGLTSPGGRLPSGKGGEPEGLDEEDRWSRELEKGEPVVVRAEGKTEACAI GFLVEGTKAVKEKGKGPVIEDAHYLGDGLWRLGTD PFICI_00314 MPLVVPGITGTSGDKTEHWTNKLVGKKLSDGSSDETSFCKKDLP EECRVIEPGMMVTKDFKPDRLNVHLKEDGTVSHVQHG PFICI_00315 MRSSLPMTLLALVPTLVASTATPECINSRAKELAGRSLCGDKKT LRKCYEKASGELLESAIQECLTNAGCTEAAAAVEASYLITFCEGEEGELKRRDVRVAR DSVASGTDSTTSSETTTTTTDSTSSTTTSVASTASTGTVAKRTDTTTAASTTTAATTA AATTSNSCSTASKTKVSACDSDNEYNCSMTETETMVCIATMYCDTDSEGNNLCMVRQD GLTTSGAVVTIFLAVCLTGIIATITFLCCKDRKEAKRNRARAEAAAIAKANAPTKPAT RSVSQRTTGDAQPNPFSG PFICI_00316 MTEEQRMEEGRRMFQIFAARMFEQRVLTAYKEKVARERQAKLLE ELEDESRQDAQKKAKKAKEAQKRKEKAAQKKQAQAEEKARKEAEKAAQEAERVAEAQR RAEEAKTKAEEKRKKKELQKKAEDEERIRKEVDRQKRALEAKERQAEQERKAREAKDR EKKLKEEQRAKEKEAREQKERETRERREKHEKDKRDKDQRAAQAKAERDAKEKQKQEE KAAQKAAALAPSVPVSSQPKKQQHLASIPALPQQPPVQASPQIAVATPAIPKVPMKPR NSSQEVTRSVSQSSHPGSGTSQNASPHPFTPAHASPVPGGLQGRSSAGVASTPTMVPI SPSHPGMKSPPGYAQPGFPTGMSPMGMPYPPGMPMGPGFNHMPNHPIPPMAGAFRPPP GNLPYPPGFNGPVGRGFPMHPGGATHGWPGSFDGLPPMSPFPVHENMVPSQPGSHSRQ ASASFDGSPLEPKISGASAQPIARPSPIGRPGSISRGQRSNDDDISHLGSSALLGDND EPLDDFNNYQRRHTVAPGNNMPFSVGSFGETIFGSPSLSQGWGGPQSAFGSAPPPGLS SPWPSNPTFGGGPPQARVSRPVAVRRMLVTACKELKNHATDADGFIDLSIVKGHVDSI NHLEAVSETELLSMCETEGSPQNGDGSFDIRLDEKSGKTNIRYDPTGGAPSTMPRNIG APGQVSSPIVGSSGPFSGRV PFICI_00317 MPAKHRQQAVATPAASAAKAPSAKYTNKDGTKSITIPKSSASTP PAQPSPTTMTSPKDLSSANTAVPSADATESSAPTINRKKQKRRAKAAARAAAAADESK AAQGLNGLPSPPASTISIPSHPAPSNISRRDTSSVPQGHLHHSAPESGSWDDETESDD DSQLYASRTNGSASHSKAAKKKKKQRGPLTTSVDAFAREMGERSRGISRDEKIWNTSS AEERERIKQFWLGLGEEERKSLVKVEKDAVLKKMKEQQKHTCSCTVCGRKRTAIEEEL EGLYDAYYEELESFANQPHHHPNGPPMLGGTPRRLGQMTGLHPPGSLPSSYANHHHPS RARIVEHIDNDEDEDEDDDVDEDDYSGEDDLEDDDGEPVDIPQDNALDLFNFGQSLTV QGMRSHLSCFAYAKYLSITTLGGILTVADDLLKNDGKKFIEMMEQLAERRMAREEDAK DHYTYGHTNGGSLNAHNHAPPPDDDDYDDEDEDDDEEGEEGEYDSGADDYDDEEEVMM SIGLQNFIY PFICI_00318 MQRLTFRLLFLRKLVIIGDGACGKTSLLSVFTLGYFPTHYIPTV FENYVTDCRVDGKSVQLALWDTAGQEDYERLRPLAYAKAHIILIGFSIDSPDSLDNVK HKWIEEATERCPGVPIILVGLKKDLRDDPVAIEEMRKKALKFVTQKQGEAIAQEVGAK KYLECSSLSGEGVDDVFEAATRAALLTFEKGEGGGCCVIL PFICI_00319 MGPLSLNSLFSRLRVSPVPQAIVSSPAATATSLLNNSSRTTAAA AAVRPFTSTPCAAAKAASGKGAKGSSKPAKRKKKDKGAAPDPRIRNLKLSMPRKVPAP LRFARNRHLRHWTIHRAWLLWQRKEREREEKELMRMYQSMASTAEELRHTSGPGTKDE GYLYRVAMEKKGLFGHKAIPIEYARPQTETPARVAWNHEWTR PFICI_00320 MGKVYEDAAVTLVAASGSDANHGLPGAPGHPNPSKVHPPITVDG CIVTEEAVPIDWEIQNSRWANRGWTFQEQFFSRRFLIFSPSQVSFECRCISFSPRFGF RGNERKTSLKTLRPAMKSLFSSLHGRNEVYGADSLRRYRALVAEYWPRLLSMEEDGIN AFAAILVHLKDQWNAELHEPTMTFTAGMPHLIRQHAESPEPSLKLFVNGLTWYSKHPS RRREGFPSWCWAGWTSPGMQARLRWSSDDMSPDDMSPLLLVRNIFFRSSPDIDTSGVQ WHQTTTPERVPIAEHDFPNVPEAVIFDAPVVYPGAVSWPEWRELPSLWGLHRVFWCLA DTSLLIRDEFMNHIVNGEYCLLALIHEEEKRMDGASSSATFLIVRQVDQYRHERIGLA QLWGMDANEQLNRDSEIKTWELI PFICI_00321 MAGLSIPVQYQDQLELVTVRDQRSDEEILQTLTQYVPVTSEKNI WAFWHSGVTNMPAWCQRNVVDWIRICDPSWTVRILDNVADSPNYALKHLSADLLPEAF VNKEMDGPYVGPHSADFLRGACLLQYGGVFLDVGCILLRDMDRIVWDTLEDPNSPYQI AVPLMYGHTIANHFVAARKGDPFIKRWHELFTHIWKGHKNHEGLIENPLVSFGAQHSF DESRASNFNWDMVVSPKTVMEYITQVVAWQRLCMLEDAGDGFSCTDYWSTNVFCFDVL KEDWAAEDEIGFDGQELYDLLTLKRDPNDTSEQQKKAEKLVWRLLCQASMEKITHGKG LVRGKSLGRLLDEEGNIGKDREPGTFAELLRYGSVHFRQKRATIVQMKAQKPSQTLKK GVLEP PFICI_00322 MADTSAEYDTTEEWEIFSKKENRPMTLRYLFALTRPDFLVQEST GAGTQWHNDFIEVNFEDIEEWQDFNEGNVNTAFLSAIDHAVPFNENLELPFDYMDHTA RIREEKDVDKYFLNVIGPILSHALGHSAGKLYEGTQNPVSLKLRVPYKKDASKVTLPQ YAMTNATHHLKKPNFPIYLPAKSMPRLTPRKDIIHVIGDSARIKSLDPTRCFAKAAHY KSFGRNHIGKLAMYTKGAGTCLAFTMTHIGVTVFRFFIVDGTSRVGVQQRTFPWCPKL VASTEENVISGIKAIYVLMVMSLFPEGHAIQERSQLRSLADWPKIK PFICI_00323 MAGLPDVPGPKLMPFIPNGTPFELEFIKLLGSGEHGHVWKVSIN GDIYALKMFRFDDRFTPTRWWEVVLPKEDQRLWQFAFNCECRAYARLKEVKKEFIAVP CYGYLILGEEHQKALRKKDKLNWKEDWGHSKKDRGQPLQALVKKFIEWQPVRNDDFEE GFNRRRIRLAIDSAATARKLIENMKILHRAGILCNDVNNSNVLNGQFLEFSSAFTAPH PCLSTKQIEARKCPFDSLGYWDASAVDEMIEDYNNVHTPSEYIWDRATPNEKYQAKLR SHQHPPGWKEGPWNWNRGYRFRPDKFRWENIGKTKEEDPVKTQESSSKQEKHKKKGKR KAKSKG PFICI_00324 MASHDGEGSPPDAINADEHHSIRPDQPEHSESESESGSSYAGTA SAGPSTPACPYRDSRFHDQECSRFFDCPTHLVDRVLSDDEDDEDDEHESHEQQPIATD SHHVPGADNFPLQPPEPADNMSVSAPIDAAMINQTTLETQDAGHSDLPAEETNTVQET AGGAGTQVEAGLETTAPDNTTTVTGSLEPVLDTDATTQRGVEDLAQDDSALVESIRNP LRRLSVLENQTASTFGEFPRASQHQQLPPLPFGSEPRQLPSQSRTADVVVPRWQPDSE VTSCPICHRDFSIFNRKHHCRKCGRVVCSSCSPHRITIPKEFIVRPPWEHPSLYPMAG EYGAERPLVDFNTYIGGGERVRLCNPCVPDPNVTPPQPAQHLPSRLSNPLYSPGASRH QRSRSNVATSPFINPSAQQYPPGNLPQLGSLLGTNRSRSATVNHVPLRRSQIHPPPGW EHLRTAPGGRDPFPHEQDDEFGFTRAPSHLEQLLFRASLQQQHHARTPPQQRARSPSI AEEDRCPICHHELPSSGLQDSERLREEHVASCIQEHSGSRREPASNSPFPTLGTPPRP RRATGLVSYIADEKERARNEECGICFEEYEPGVRMARLECWCRFHYDCIIEWFSRRGN ARCPIHQHDSYES PFICI_00325 MEEVFRKAVAHNEASRKASVSWKPDQPHEQAYYLYDDATKSWTS HEAGKSVPNSSQEKTAQSVIDRLALYAWNIDFMLPYPTSRMEIGLETLANLTSQVPEN TAVVIFLQECIASDLETIANTPWVRERFAMTDLTSQNWASGHYGTTMLVDRRLLPLEA VFRVHYSKTRMERDAFFVDFLLQGKKVRLCNSHLESLDMMPPYRPPQVELMGRYLAED DVQAGIAAGDFNAIQDFDRTLHSDNGFKDAYLELGGQEDSEEGYTWGQQAATSLRERF GCSRMDKVFFCGALELKSFERFGQDVQLDSPTERDDIVELGFDKPWITDHMGIKAEFE IIKS PFICI_00326 MTSREDRLFDENKYFFPEGAAAILEQRSEQPWLEVLAERDEQGT PGLQPVPNEQKYVQQQNISGIKGLYENNIAPVYLPVHAAIAGPSSLLAPSDAGRAFTP APAPVPAPASPPATAPTTSHDGADLYLSDDQDHGTTISSLREPWSQPDFTNFAQQDQQ QQQQQQYASWSQASDPEVHNPSWTHATVPEQNQHYIQPTTEYVQQYLAPVASYAPHGY QPSSVVVQADPSKHAYWAKFSEYNGGQLPSHLSQHSHSHSSLDVSSQYSGGNFSGWAH GPDQRTLQHVTPDMPLMNDKASASSKKLPLTARWTKKQIWWMAGGIFLLVAIGAIIGG VLGSRHSNNGSKAKSSSSSSAASSDANSTVSYEMKTIRRNSRLAVTGYRGSSGNYTLR LFFQDPDHHIRFMDKSSVGGTWTDPTTLDTLGYQPKPYSSITAGSYVWNSPRFDLFST STRKGTASSLNDYPLQAAENSSLSCYFPYLVSQDAIDASQTRWTRMLGKNGSDASQPW WENDTVADAADAYSPDAGFVLLPVAQKFQENAGFAYRKTTGGGLGLAMKDYDGGDTLT DVSWTRGTTPSADGIAAGSAVGGFVVGRQYTQSDINTYILYQDTDGVIQVVWQDGDGW RGPQTYDALNDAEPGTDIECLTQGAWEGTGVQVSVEQDMNRCFFQEKGTGRLREVWFN GTDWRNEGYVPLD PFICI_00327 MSGPVPEVTALQEVYTQQSLLEQGVRWNKLLEKFQSLYGRSADF VARSPGRVNIIGEHIDYSLYSCLPMGITPDVIIAVSTELDGPSGDGSTYKLKLANLDD QRFPTREFDVKHGEVEIDATQHEWTNYFKAGLRGALQLLKKKHGADFKPKSMQVLMDG TVPAGGGLSSSAAFVSASALAVMIANGEKDVDKKDLTEVAIVSERAVGVNSGGMDQSA SIFSEKDSALLVNFWPSLSARPVFFPKTSPELIFVIAQSFVTSNKQVTGPIHYNLRVV ECSMAAAFLNAKLVPGTELPKDAGPLGVSLRGFHSNYFKDSKKSSAEQIAELIQLTKS TLSKEEGYTLEEVASAVGTTVDDIKSRFMSSFPVRGERFKLRQRALHVFTEAARVTDF MTLLEDKSHHTTSGDTAAYNQKLGDLMNETQDSCRELYECSCPEIDEICKIAREAGSY GSRLTGAGWGGCSVHLVPADKVESVKKAFHDKYYSKRNLSERDLEAAIVVSRPMNGSA VLQLNDGKLA PFICI_00328 MPKKGVNGSLRIKAPKKPQAPKAIYSAERDAEFQSHAEREIFDQ WFGGDFGSVADPDAEKNADADGEDGGAGGGGGANQPEFQDSPAKRIRTSRESYVARAP PKQVAVTEELVDSMESYVFDMQDILDSLGLTQNEPEVPPSVAAALANPAAVKTDKRNV GTKLPKADVDEVRARILSNQIMRDMTAWHDELCEQWKEDPTRIDDLTRDMEPNLGDIY DLSQIPSEIALLEAFKMATLFAYHCISLLHTAKITKWQQIKDVLRLLEPTDLLAKHCA LKLWKNNWHLTVGWERILKELRADLADAKEWYSESDYMRRTIEFVGKI PFICI_00329 MASNADRLVLANASAIQTTAPNLADPLCFSFGPRQTSPFGTVTA AFFHHAKVRPAAVAARDYSRKSVRNITYGDLASRARRLAVSLRTLGVRPGDRIPLVVK RSIEMLVGVIAILSCGAQYVPLDGGVVPDTTLRFVLKQSGGRIALCLASTEYRLTSLE GMECQPVVIEEADAELASVQESYPFEDSFVDLASEDLGCYVIYTSGTTGTPKGVDVKH GNVTNLICLAPGNLGIKPRTHVASVLNISFDMGAWEILASLCNGGTLVMRGSDWDQAL REVDVLICTPSILAKYNPAMYPRIKVVATAGEPSGQRLADLWATHGTYYNCCGPTETT IVNTMCDHIPGTPLNIGIPTPNNSVYVLDEDLNPVPVGEPGIMWGGGLGITRGYIGLP EVTAAKYKPDPFANDGSMMYNTGDLGRWRPDGVLDILGRVDDQVKVKGFRVELDGVSA SINGCPGVTRAVALLIGGEIHGFLEPASVNLDELKQQLATKQPYYARPSKYHLLDTLP ETANGKLDKKLLRSMAELSQSHHDSEKTLASSASGSNISTSGSETSADLKKGVIETAM VLNEKADLTADIPDKLLQKPYRGLIYRIFIVYRFLFSVVGLGNIGALVAIIATGTDRQ WLGTMTAINLCLAVMIRQDFVINALYTICCSVPTSWPLFIRKKCAKIYHLGGVHSSAA VCAGMWLLASNIVSVTCQLAPGTCVQGEKPQSLAVAIIAWILTGFFLFMFVFAYPPIR KRNHDVFEKVHRFVGWTMLGLFWAQSVLTANDTKREGETLGDAVLRAPTIWLLVVATC CIAMSWLFLRKVDVESEVLSPHAVRLHFGYTVPVNGSFTRISRKPLMEWHSFATIPAP EAVNGRPKGYSLVVSNAGDWTKDCIQNPPTKLWVRSVPTCGVMRIATLFDRVVIIATG SGVGPQLGHIQAVVSNKNKNPNKVTKMIWSTPNPEKTFGKPLLDTIYDNIPDAVIHDT KVLGRPDLVKMGYNLARSCDAEAVIIIANEKITKKVVYGLETRGVNAYGAIWDS PFICI_00330 MASTLTGLVATSTPVEHVKVIAFNRPEKRNALSQALIDELLQEL SAASKDDSVHAIVITGNQSFFSAGADIKEISAIDTETAQRRRYLEDLCNGLGAVRKPL IAAVEGMALGGGFEVALACDIITASTEAYFGLPEVKIGLIPGAGGTQRLTNIVGKYKA MHMILFGSSITSEEAKAQGLVSEIYPAGSVLENTLKLAGQLAQLSPGALSLAKEAIRR SMFEFLLPLGSTHNANQKLSIGAGDDKGRDDEFERSLYYSAFSSSHKIEGIAAFLEKR PPKWA PFICI_00331 MDPNPPSGPPAPEDHVPFQFDQPESTSQPTNDWADLYGMDFFHP NVSFSGPYMTPAETEMPIFGDGPSLSNIKAEPDLEQDSTLVQPDSTIEPIRTRRRTIG DPGTPIPSEAATPSMKRAARACSDPLTPAESLSASSAAIPNRHQSQNQNQISREKNRV AASKCRKKKKQEEKAMEQKKNVLEREQTMLKSTVKQLEEEVLALKTMILQHGTCNFPP IQNYINAAALRLQASAPNGFATAV PFICI_00332 MARDIRQQALQKIAALSASSVITSFDRSDLDRLCKATGQGKVKE NGSARGHSLARVPMTIREFEVLIALCKAAPAVQSSQSAQKLTNQLIPYLLEAHSQTFQ PSPFFRTIEPSPIEALATHLTAALLSLGSRHDALHETVSDNIWAFLAALKTAVQSVVS LQDEDGVDQSLEEATRTATIAIALLGFLDAASAQADFWRTGGRLALIQRVRNLLTEPF LTAVEGAFSSIRNAHASDRNSKEWKRYMRHYAAQGRPLSANLLQRSFIWLLGSSTSLL IADARILRATHILDLLMANKDMINPGPHSNGDADFRSIEMYANIARDEIDRLEESADF IQMGSVSQQKIAFAVKAAAIVGFLNCTVLNEDAAEPDVLMGWLEETMLDPIQMHDDVL ASTVLRSMAILCRLNQSYAPKVSRLLPRFIVQSILRGETIEVASKCLAFVLQLLSHDA VITTLYTLGNVLSPTTEQEIPNGTNTDFAADGIEANGIYHGRQSTGSSISLALQGDED TSLVYSNIVQAISSIANISNDEKITALAQAMLLQKLNKVNHSVDAQIITGAAHLSLTS GQLEFRSLLRLYSRICHAALVDNNATLLTAVTKARNYISANVKRGSPLFDIYLEYILE ELISKGDVHESKGARESDLEFAAGEISQLLQPLSILMSTKQFAAHIESEDDSHAAIRD AWFNIVVHGFNPLTDRGKKYTNELRIMAIHSPPLVAEQRGEQNESDIELNTVLRRANT SDRESRQKKQLSELIPSKASEIRSLSYRKVIFLQAAYLVESLRADSGDCTKVLSYFLE PSMHRAEVSSVMTGIVDVVFQKYLSKTLAGELPTFSAQYAALQLAAILCNCCHRIARV QQAAFAIADRFITEISSALCQRSSLFALLELLSLMWTSCLEAETEMYEPRSVFTSARG NVTIELSDDYGFRKHTLDRLYQKAKLWVASVINLAPADVKGLLQTYLSEFDEESAYGH MSLGRSFAMEMGASIPLTDQRLTSLNPVGLTAINTASDFIAQYTTRQEYRYGEALPDH GMDLVNFMPHGRRASFIKSPLNETANAVNALAHIESRLATKKSTSVDDVREILRRAAA LLCRSNKDESAVTHYLVSIPFTMFTKESLKLGVSLWLGVMNENPRMEPRLLAEIAQQW EFTIQKRLGLFNPSLMHPDPFFVKEEFAPSNNEAMTKRRQVVHNLLAPHTRLVQFFNS HFNSTRLGSPDTQRIFLRLLDLTLNAMKSSISHPLARELRLRIMLFSLRVLKVSNGLG NLTHWRLKDQILSAGLSWFKFVPKWSFGSNILQIKTEVRLISDVSTALKGIPTIAASP VGSYKALQQKEQLLQLLLESEQARLNVWVHPLGDHGARADIMTNQGQKALELALNPLI RVAWAESPSLAIELVSRFSNFHSVHSEVRWLLLNFASKAVNEPEALPILLGSELPTDV RDQLKYLLYWSPVNPVTAVTYFMPTYRNNPFVLQYAMRALEDHPVDVTFFYVPQIVQG LRYDALGYVERYIIETAQFSQLFAHQIIWNMKANSYKDDDAQIPDEIKPALDKVMNRM VDSFSPEDRAFYEKEFSFFDEVTGISGKLKPLIKRPKPEKKLKIEEELRKINVEVGVY LPSNPDGVVIGIDRKSGKPLQSHAKAPFMATFRIKKPKTDSQDEQEAVIQATSDTGYV PPANTIETWQSAIFKVGDDCRQDVLALQMIAAFRGIFHNVGLDVFVFPYRVTATAPGC GVIDVLPNSISRDMLGREAVNGLYEYFVSKYGNEDSLRFQQARNNFVKSMAAYSIISF LLQFKDRHNGNIMIDDAGHILHIDFGFCFDIAPGGVKFERAPFKLTGEMLAVMGGSPE HQSFKWFEELCVKAFLATRPYAEKLSQIVLMMMDSGLPCFKPESVMHFKQRFVLEKNE REAALFVRDLVKKSANNYSTAVYDQFQLMTNGIPY PFICI_00333 MAMFSQNPVLNGPSYSFSDAPAQDHVDGFREHRFNPYSDNGGST LAIAGKDFTIMAGDTRMTSGYSILTRFAPKVYKIGGSNSSQDDANILLSVVGFAADGE ALQERLDTICKMYRYRHNKPMSVAACAKRLSTIMYQRRFFPYYVYAILGGLDENGQGA VYSYDPVGSYEREQCRAGGAAASLIMPFLDNQVNFKNQYIPGSGVGHALQERERKPLL REEVEVLVKDAFDSAVERHIEVGDGLQMVIITKDGIEEKILPLKRD PFICI_00334 MGDVPPPSSLPNAHLGQEAYDNSFHASATISEGQAPTVQATGEN ALGFQSPYEQQIDPSQNAFSAQFNMTQQQGTGRGPAQGSYNMSAMANALPHPTFRNNS NNAGQTRYNHNGSSSGAMPPVAQYPGQSGMNPMAAQQYYMAQQASMPSYYNAQLPPAQ QQAGIPRQHTMGYYTNQPMMNQAHQPIPAGYYYPHPSQYPNHNATMPGNMMPSHYMPH DHRGNSPAYQTAASAFGIPEGTNNRRASPNVVRGPPRKPRQSGHAIWIGNLPPQTDLM NLVHHVCNEAPGLESLFLISKSNCAFANFKDEQSCIQAQSKLHDSKFQTVRLVSRLRK STVEGASGQTAPTGPSATSPKVQTVPPEAVNHDAPSTPAEAEAAAVPTKPSEEQSSRV PLVVDGEAQQQKDRFFILKSLTMEDLDLSVRNGVWATQSHNEESLNSAFKAADNVYLV FSANKSGEYFGYARMLSPINNDPAAAIEFAPKAQATNDLDLPKAIPTEATDNCPRGNI IDDSARGTIFWEVERDESETQTNEAEDSEDSASARSGLDGEQGKAWGKPFKLEWLSTT RLPFYRTRGLRNPWNSNREVKIARDGTELEPSVGRRLIGLFNRVQSPASIIPMGARQN LPMIAGYPPAPMAGPGPYPA PFICI_00335 MASFFRPQHYYNVPEPNFQGLFRLIDDFDRYSGQSSNGRGRNGL GSFFTPKFDMTETETAYELHGELPGINKEDIHMEFTDPQTLQIRGKIERTRTEGNPPA GLLEGGDNNKSDKAITEHADDHSSHHVTVEDAPEEDGAATESNSSTPQDTPATTVAET AKPSAPAKQQESSPKHKYYLVERNVGSFSRSFNFPSRIDNESVKASLDNGILTVTVQK AKKHESRRIAIN PFICI_00336 MFVTKVAVAALSALTVVSAGNSTFSIDVDSVTLATKASWCTSEK NVCGEICSTSENSCEPSTLDFSCVCTDGTEPDMNEYQNSVPYYICETAFANCIAANTD SASGQKNCTTTIADECGTKVAGSSSTTTTAAASSSGTGTAATAASSSVSSSSTSQAGA MPTGIQHLPNGAIAVAAGLLAMAL PFICI_00337 MKFGRNFAWHQVPKWAGFYVNYDEWKVLAKAEKFAGLRRAITCD TRMIETFLQDKFQAVVQQLSILEDEYNLTLDSWDTVALQSIPTYEKNDITASLTEVAS LVILLSRYVSATQVAAERISSKTAETLDEVDHLRTILQKATAQWVQNLQRINSLLRIL HLKKPSDERDTSSLLLANLQAGQNPQVLEKAARALQQDSPEQLKEFLQDESIKESNSS NQKIILILTKIGILCSASKCLPLLVSHLSIDHGLGNYGSQNPIHLQILQAAWLKDADL AHQGVRLILETLPPLHWPDLLLCPDSLGRVALHYAACYGLTATCNEILEHVSSLDVPE ATDSPPVFLLSDNLGETPLSMAITQGHDQVVKCFLNWTHQSGGQVSPPGANKLEGVFY DMILLAIRSQRPHITEFLMDHNPQLLTTCSTISELLCLASQFGQASIVGRLLAHSNNI NIGQRLRGRTPLMIASIYEHGDVVELLIQHPSCDISVRDHDGWTAVAHAAFKGPPALV DVLQSQSAGLSAHLGPTNHQESIKLPAHSPKRQNQASKNVALGRHQQDCSHIFVNLGH FDMEKESMVLQIEPFRRLISPMQIPESSLTLEISAIDSSTAEKYHFPFPIFQDLSNDP MHFMAKDPNAVKFMFRVYCSVVGHNTHEQRGQLIGSASVSLGDARKALGPSLESLERD HTVSLISPDAFGNEYIGTLTFTFVIAEAFVFKGSPPKPTEMILKQDDSPLVAGHRGLG QNSSNQARLQLGENTMDSFFAALNAGADILEPSGFLQKVDVQVTRDLIPVIYHDFLVS ETGTDAPMHNITYEQLMVASTMQDSTARPPGTASSLLQRAEVNAMQRPRAYSAGKARP DNIDIVARLMSTFNFQNFGFKGNIGGECIHGPFMKLEQLLVEMDSSICFNIELKYPML FEARDFDMDTLAMELNLYLDTILDIVFKHGKNRPIFFSSFSPELCFVLSTKQKLYPIL FLTESGYIPTRDIRAISFQEAVRFAKKWNLEGVAIRSQPFLAAPELIGLVKSSGLICA SWGDLNDEPDCAKSGSVSEQSNLLQACIEDGFFYLDFTQSSHSKVLDEVESVFNLSKD LFDYPLDIKTLFDVDRISDLKVNGYKPKGRNIVNKSGKSDGFESWVLPRNGLLQLSED PFPYPPVIAKSIGHLRSLIKGINSAAHVILSSLSSSLSLPEGQRLEDFQGLSRPSPDI LRLLRYHADPDASCVPQTPHTDLGSLTFVFSTTPGLQVLPAGVANRDGDDDATGPSPW RYVAPRPGHAVVNIGDCLSIMTNGLLKTALHRVGPVHGHPMPERYSLAYLMRPEDDTV LRVLDSPHIPRPETSRADDEVTSGEWIRRKFKALRGQQNGGNIDRVLTGGRGVLI PFICI_00338 MGPNQYPLFDNVRGHPNAETISLPEGDEGEDFQFVSSATATNLQ EDTQIVMMQSSAYRNSVGAQARRRGLPATDECSEPSSDRTSCESSMDRQPMQTTKPND TPLLGIDYKDSPLPLKDSVGIYGCAGIAGGSIFILAAVAFLTFLWFGHGTEPEAAGAA WLWRKIALEDWMTRTVTICALALRVAVSMQSGICTSMTAALVLENGRAITRKSQVAHT SVLRAINNGPRKLIQILIGSHTLSVLACLEFWLICLLGGLTLVLQFSSTVLLSDVRDF AIVGDDKVANISILQYNPSGDSIWVIPRRNAALLNIEPRYGVFGEERSERNSTPELSG FSNTDVVQRGYIPIPDMNARNLVRHYRGNALVSSSRIGCVPADIDATFENVTADMVPP MGSLKGTLNYGKVLNRTFPGTDAASFCSAAGCESTAFDCSIGAADESQASALCFVGDT TTWPHGTNLPWNKNSWLYLLFATNMTEQDLYDLSLTYNVTVNQTRNEWGTTRSKSGHA LEVSMCLASFDVDMRFVDMSAKGPPTEPTLSWNPLQHEHDSSLVLQMFDTDSPKSPME RGLLDMQILDGADDWFHANHSQESKPSKLLSTIVNMQLSDESVKNTTYVTCIYCQIYG DAAMHPELLHIVEDTLQLTGRPAKALSNLYGAMGTTLYDVLLNTLNVTEEAHVASAIT VRVPGYCNTRTCSGFTSVVTMLGVHLATVWLIATLYATRIRHSRISNIWHTVSQLFGD ELNDALLLGNNTTDETVNERFFRKEQDALVRIGLAAHGSAIEVVSSQAASRKLPRHGK Q PFICI_00339 MDPATIVQIIGTALSLGDVVCKSIIKLTSIKARYQAAPLVLSTM IGQLYIVQSALDQLQALNNPEYSRSLRHNQLARQVDNSLDSFSILILALGEQLERFHS TESSGMPVKGRLSFLWSEKEMSEYSILLDRQVNALNLLLQALQCVSWSDQDDLMSSHE TIEVLRLAKDCSSSIIGLEDGSSSCRSESTDMIGMRFDFDRVILGTSVYQKAQRSHLR QTIEVSRLGHPNQTPVLTTSDEEASTSRESISTIQETPISHKSSLSINSLESPDSEAR QKPTRRHAIQATKNHAKVLILGTSESGKSTLLKAFAINQGHYDDEYYKDSKEIIWSNV IQSTRVILDAMESLDLPLDNTRLIDYHVQTIFQQPAQVDTLPPQKVCKAIKILWEDGG FRDAYKRRAEYQLLDCAEPFAKDVERLMAPEYVPSNQDIIFARVKTAGICETTFNFKN LDYSFYDPGGVRSQRKKWVHVFDMATTVIFTVDTANYCKLLFENESVNRMQEQLDLFR SIVNSRWFVHNTFIIVFTKTDLLEEHFRIHPVEFYFTDFVPAEGSDNEKVDYYLQYLE KRFKGLIVSDHHQKRIRCIRARFDDIDSHNPASEILETLDDLFWTYHATLDGSGSIYE QLGDSNGIQKVRQRMLRIPNRFFNRNWN PFICI_00340 MAVPANRAVWQDTFGVPGVIRDCSLPTSDGLGHDKVLIKVHAWA INPCDHMLQDRNMAQYPVILGCDVAGTVEAVAPGSAATANFSIGDRVFGFNFNNGFQD YVTVEHKLIARIPSGMEYRDVVGFGLCSATSAMFLFGKNYLHLDIPQLGAAKKEKSVL IWGGSSSVGSNAIQLAAAAGYNIISTCSKRNFDYVKGLGAVQVFDYNDLGVTEKIAAE LDKGECAGIFMAAGLEDGNVAAMKVASAVKQKVKFASSNFIANLEDVPEGVDIKQLTP ETIVPFPKCWFETTKATFEGYLPEALAKVVYKVAPPPLVVNRKGLEGIQEAIDIQRAI SERGQEGVKEAFERAEDGFKQERTSVIKVVVERP PFICI_00341 MSGAEVLGIISAVIAIVDGINQLYDGAKDATGLPEAFREVAARL PLIKKILESVDKDVRGKGRSNSSSEVKSVLVQAQRKASDLKKVFDKLIPLDNDSRKDR YIKLVRTLGKGNKVETLMLGLMTDMQLLVSKNGLDNATSQQVEELRKAFEERSSIKPS VANSELTPQSFTNNNHVGGTQANDNNGAVEAKLNQVYGSGAQCHDSGKFQHIERPETP PNPSSIIPFNRDKDFVPRGDILDRIHQACAIPGSRTALVGLGGVGRKSQLAIEYAHET RQRSSDTWVFWVHASNATRYEQSFRDIADHVKIPGRDDPNKNIFQLVRDWLRGGKNRK WRLILDNVDDASFLLDAPSLLQDSHGGDTSQSTTMRLVDYLPVYENGSILITSRNRGA ALQLVEPSDIFPVEPMSQKEAEALIETKLGSRQDKDCVAQLAIALECMPLAIVQAAAY ISRRAPQWSAQRYLEQFKQSDQRKAGLLRHEGSNLRRDGDAKNSILITWQISFDHIRQ IRPSAADLLALMSFFDRQGIPESLLRDQRKAGDDESIGCSSAFDDDVLVLREYSFVHA SERAQDFQMHRLVQLATRNWLQDSGSYEQWKQEFINRLATRLPNGEYVNWVTWQTLLP HARLASILRPETEAAILDWATVLHQMGWYCFSMGNGHEAERITTLAMETRIEILGRDD TRTLSTMGLVGLIYDLNGRWEAALKLDVEVCENREKISGPDHPDTLRSMNNLAETNQG QLEAAEELHKKALEIRKQKLGPDHPDTLLSMHNLAFTLDSLGRIDEAIPMLERCVNLR EQVLGSDHPKYLSSLAILNDWKDE PFICI_00342 MWSLFLYGILPALAVAQQGEPSVLRRRCGGVPELLPSTVSSASV TLSTFLSSSTSGHKTFLTPSIEASSSLSSFSSDSSTDFSTSTASSVSVRKTIDLELSS GRSSTTPALSYVPSSTSTSQVTTIFTASYDSEFGLEAFALSTSEPVFIASGSISSTAL SFTAAGSGSPTKPAATLSSEPRPLATSTSTSNLAFSDLISTSSLSTSLTSVVTPIGST SSTATSTDSSPTASPVLGTSSTSSSTRSSSAVTVTPGGNIASAIAFAQSNGVPTVTIK AGTYTEAVTVSATAAVTIIGETVSLKARDSTALSDYSQNLVTIANDAAAPLTLSSTAI KGVTFRNINFSNTNSLSALGAVSLKGSQLAFYGCQFVAAGQVCIQGGISSGLIADSYI EAADKIIYQYPSLYIYSSAITATAKSANILYTQGANAGTTLYNSTVILDSCNVSQKSG LTNTFVYLFTANNNGSVALYRNTTLGSFVAASGAHVDSKTQSPLNTYLEYLTTGAGSY SQNVAARSPYVSLAISGSQLGPYEIPAFFANANPTVATTQTSWMDQDVLGRILAGVSA QNSRTSSQSSSSSSSSTSSTFSSNTPSSNVATSSIASDSSSSTVSSTVSSTVLSGILS SSTSQTTVSSSSSSASESSTATSSNVASSASTGGCVLPTSVPSTARVVGPVGSCATFN NISAAVAALPSDSTSQYVYILGGTYNEQVTISRVGPTVFRGETDDTLSYGSNTVTIQS SSGVASNSGGSASTSPFQATQYYTSKMSFYNINFVNTYAPQTNYVAVAFVGKALKTGF YGCSFKSSQGTLLLNYGAFYFANSYIEGTTDFIWGQGGAYFYNSKIATSGVINGQNIA AQSYQASFGPSIQVYDTCAIVPASSSVAKGSTYLGRDYSTSSRVYYINSYLDEHIASA GWRVTGSSVNVTFIEANNTGPGAATSSRTPYAQIQSDTTSYTLASLLGDSSWLDISAI APFAGFPESVYSATAVSSSSSSSSTSSSSTSVDTSSSTTATLTYTVAPTPTGSQFGSV MSAVAAIPADGKAHEVYILSGTYIEQIWVNRTGLGKVTLRGETQNPSDYTGNLVAIQF NYGVSTSGTVSNENTPVLNWKRTDGSGLALYNIDFVNTYPQTPSTAALAADFYGSNMA AYGCSFKGYQDTLLANQGVQVFSNCYIEGSVDFIWGYSKAYFHQCYIASNTAGAYITA QNRPNSAWAGGYIFDSCYVTYTSSYTAGKTSVAATTYLGRPWSQYAVVVYMNSYLDKH ISPAGWSVWQTSNPQTSNVMFGEYNNSGPGAWGTSRAEFATKLTDSQATLYTLDAFIG NTAFIDWTAYNYSPSFSLDSTPTTTASATSSSVTASATASATWAHPTSGTVPPVGAVL VSVEGTQVGSFSNLTDALASLPDDSSTQIIFVYPGKYSEQVPTVERAGPVMIVGYTTG NPGQAYADNTVTITHARGLSVSPVPTGHSDAETATFATAGTKISLYNINIENSENLDG SVSSYVTLAGSIYGQHIGFYACSFVGWQDTLLTGNKAGYQYYESSYIDGAIDFIWGYS KAYFKGCTIGAKRAGSAVTAHSRASLTAVGGYIFDQCLFTAAKTATVDLTASVYLGRP YSAYALVVVKNSYLDDIIAPSGWKVWSASNPQTDYITFAEYNNTGPGNWENNVAARVA WQNCTLLTSDTYSLASVMDSTDWIDMTYWASIATPSPAVTTTDPVTVGTTAYDGTTPP AGAYIVSKTAIEGVTTYDTIQAALDVLPISSKVTPTVFIYPGVYSEQLVLNKSGTTIF IGYSQSPKDYSQNQVTISFNSGISTQSDASNSDSATVYATGNYFQAVNINFANTFGTA EDYASLGFAVKSSKFAGLYGCQVYGNQDALLVNGNLFASNSYIEGNIDMIWGSGAGYF LNSTIAPNQDKIALTANKRTTNTTAAGFVFDQCRVTPARGATFSQVSLGRPWNAFARV AWIGSYLGSCIESTGWDVWTKSDPRTDGIVFGEYGNYGPGASTSGRAAFAIELSDAQA AEFQIANFFATTSWINQTFVYSSPFTAANLTVPSSSATSSTISTTSSTSSPPLSTSTI TTTIPSTIKTTILTTTTGSTVLVTYTNTLTLDVGATVTPAPTTKTVTVKATSTNTNTV TEANAVVTVKTTTIIDVGTTVTPATSTKTVQNTATEVHSQLVTVTGKPTTVKSSTTVT SFATSTPKASTTTLSEGRVVTVTSTVTPGAAKVTSTTTVTINPSATKTLTLKATTSTL VTTTTSTKTAKATTTLTCIPAAKMAKRGIAFGTTDAAPVFPRATSAGAPVTTITVISF FTSATTKTASAPGVTSTIIVDTTKTTGKTSTLKPETIVETTTAIAVKPATVTVPGSTS TVTVVNTKQTGKTTTLSASVTTVANTVFVTRTSSLTSTLPGLTITDVETATKKSTITL EASTITVTQSRYTTVKTIITLATPTSTVLKTTTLSLTPSVTVTVQNTSTKTKISTVVA TVTTTMTKTKNGAPACTAA PFICI_00343 MEGLRLSSGIGSRMARISPDKNIFYGDLYIPAGTPVSMTAALMN TDEALYPDPLSFNPDSLTWAEMYLILAALTRRFDFNFQDVTAKEYERESDQYTIGVKA GTILKARFESSWIR PFICI_00344 MASSNSTPRIVALADKISSSVAELQELLSSQGVPPPSWAEDNPE CLPADAAHLQDAVLDETAELHELLLEPFSLVCRFAAISNLVSLDALCRFHIVDMIPPG GQISFEEISKKSGLDEALVRRLVRHAMTLRILGEPEPGMVAHTKISKFMTIPYINAWV VDATEKWPSSEEPTETGFTLVNNTDKSIYEVLSTNPMRATRFAASMKSFDHNPGYSIS EVPKLYDWASLGDAIVADVGGSRGHVAIEVAKSFDNVRLVVQDMALVVKDAESGVPDD IKGRIEFKAHELFEPQVVQADAYFFRMIFHNWADKYSLKILKAQIPALRPGAKILIQD AVMPGPGEVPLWRERHIRSMDLNMLGGFNARERSIQEWKALLAAADERFVIRRVIPHA RSSMSIKEIVWDASNSGEA PFICI_00345 MARLEVEDENYAVCRQAIGVLQHQTILSKEVTKMITQLEKFTSR VQPDIISIFRVRSDLPEDVIRGLKDLVNHKSLEVREIAAWTLRQQPILPRDVLEAVIR QLEDRDEAKIRAAVGIMDRGRTLDGELIDLIIDCSRDKYPSTRKAAVDVLGRQQDLLP AAVDALKALVEDTDVNVGDAAIEVLKKHRIPLA PFICI_00346 MGVSELFEKFVKRTKTYKPDPKKPNKAFQTGLRDLFVPPSQDPL IDIVLIHDLTAGRDDSWDSEGSDSFWLAELCDDESKAHIAAFGYDAQECQVMDDIINH DRLKRFAEALLTACPLEMSQTNNDRPIIFVAHGFGGLVYEMACAYFLCILSNIPALTL AFERNRAQRRHAAVLLDTPHHGAGVAEWAIMCAKELGVPCANTAQEQDWSDWNESFAE IDATQKLFRGIIQEHMSIKIAGCFATKPIKETKLILSSEWAVLPEFRAFALGGTHLDM TKCRKDDAGCKMLLATLQRWSRDLTKGRIDHSDLGEHQVPTGTIDLSDNSAVVTPQVD VAPVTGAFDDDVVAQLKNRNWRIKLKAINELRHAPGLSEEILEIVARLLEDDDLDVRK AAAVMLHYHSSSLVKSVTFVVDYLKYRRGLYQNSQVVCGILNALKSQLDIPMEILQAI VEKLRTPSTDIDMWESAMIQESAINVLKAQPDLPTGIFQKLVDWFMVKHEEDLRKAIQ DARTTEFPRKQMNLLPSRQMSLSLHAASSGDRHIEAGRGIVLGVLNIFKCQTHLPKDI VRAMAVRLEGKPEDRNVTKAILGALEYRLDLLPKEILPSIVARLKHKDFEIRQAAFKT LQHQSSLPEGVLQVIVAQLEDQDWRIREAAIKTFQHQLSLPEEVIQASVDRFEDHFWS VREAAIKIFQGQSSLPKEVLQAMVNRFEDQDWRVREAAIKTFQGQSSLPKEVLQPIVA RFEDEHPLVREAAIKTFQGQSVKM PFICI_00347 MSASPSLPAKIIICCDGTSKSAYIEGNSLTNVTRITRCIKPIHK KGNRQIVWYHPGIGTGSNRIVNAWHQFSGEGLKDIIIAAYLFLCNNYNTGLDGGPRDE IYLVGFSRGAFAVRCLASLIQQHGVLPREELHHANDLYDQWKKGTAPGAAVPPVPIKA CALWDTVSAIGIPLRGGTMCRKLAHIDSDMTNSVDHVYQALALHEHRHHFFPIVLKHP ITPSNAPNVEQCWFGGFHSDVGGGKKLDALAHLPLIWILSKLSPCIDTDMDGLLGPMT AQSTWRVPRLSDASFRPVDSMKALFRFLGSKYRIPNYEFWGEAGVYTCPDPNNEEKIH RSTRKLIEDDQMTLFHAALSIPTSEPIRWLLRPGPNADGLEPFAAEDTVDRKEIELLL HWVGKEEEELHKQVNSQGRAPPGTILTKLRAWFRAHEAE PFICI_00348 MATELLSDEDPVRRRRLQDSTTPIIYTPLIPAEAQIRLLTLHPG PFDEDIRCSLNTASLKSVLQYEALSYVWGDPADTLPVVVDGSEIQVTTNLEAALRHLR WQEKARTLWADAICINQNDTEEKTVQVPMMGDIYRQATSVVVWLGHGLEHVDVAVRWA QLYLWKQERETPPGSEANVPDTQLTLVGFCNSRPWFHPLAQAPLLASYVDIPRIFIGY KDAFFKVVSNKMAAFSANPDLESLAEQNQQLFTTMTREYYKHVAQDRTAWSCLYDMIM HNRNQATTTENFPRLLVGSVGKGCSEPRDRMYALYGLCPNHSSALPVDYKKTQRQVIL ETITHITSSRFLYFLWTYLEFHADRFVRPQKLPSWTPDFDRRQEFINAKMNGKHGLRF VDRRIEADHISPDLTTLHLWARNLGYCKILRRLSDPGPVTKIPGQKADITFEFAAFIK DLRDNEPSPTGHELCQRFARRAVIDNEGHEQTSDDDLVEAFKAVHSDPSLAHRGQNGE FKSRALELVSRSRSCLRGVACIVTSNGCVGFSPYDTEDDDLVVVPRFPNPLMVLRKEF ADPNQGGRHSYLMVGVANVDVDAVGVAESHGVDVVEYLVR PFICI_00349 MATTTTQSLAKTRLPIVFGAMTIGKPGVEQTRIHTLDEASEVLD VFQKHGHNEIDTARVYGGGSSEEYLGRLDWQSRNLVMGTKFYANPTLRAQGVSHSPDN LHKYLRESLVALKSDKIDLWYLHAPDRSIPFEDTFRAVNELFKEGLFRRFGISNYMAW EVARINEMCIQNGWVRPSVYQGVYNAIHRGVEPELFPCLRHYGMSFYAYNPLAGGSLT ARYRHDSAENVPTPGSRFDPSTRQGTLYRKRYWNDTVFNAVTVIREAMAKHELTEVQC ALRWLEHHSKLQTCGDAIIVGASSAPQLEENLRALEQGPLPSDVLEALDQAWEITKAS TWNYFH PFICI_00350 MSHSYLITGASSGFGMHIAIKALKAGHKVVGTARNVESAAKRYP ELEHTGGHWIKLDVTDPGTQDEVSQIVADHHVNVLINCAGYGILGSLEDMSEAEFQKQ MDTNVTGTVRCIKGALPHFRSLQDKGGSTIVNVSSVAGYRGGASATAYAASKFAIEGL SEALAPEVGPFGIRVLSVAPGTFRTNFLTDFPRPDAGLGAAYADGPVAAALARFESMD GKQPGDPAKAADRIIELIDVNDMGAQFRERGHGTVFRVLLGKDCHAAVIAKSQQVQED IESIREVALSTDF PFICI_00351 MQLLKLFLLLGAQSPVGHTAAAYQKSNSSCTDACSKLSQVFPTA LHYPNTDNFTIWDAKQQEVVSACRLQPANASEVSKALLILRDTGCQFAVKGGGHSRSP NDSKSVGGVTIDLGRIVDVAVATDENSARLGGGLNLRQAFKALEAYNISFAGGRVASV GLGGFTLGGGSSPFSPRHGWALDNVLEYEIVLANGTISAASETRNPDLYWALRGGSNN FGIVTAFTFETFPQGQVFNGRSTYGQNQTEQVLDKVYDLFSSRDSLSDLSMGYDLYYS YNGSAASFTMLGVQRYTEPVTNASVFEAIKTIPTLTRTQTIGYMSDLVSNPALGTIRH WFGTVSMRPSREMMSQALAIFEDEVEKIQTVTGLSANFVTYTLHPNAISKMKSRGGNA LGIDQDEPLILILISTDWTSSDGDVAVESMTTNVLDRIAAAAQELQVYHPFMYVNYAY AGQADKVFSGYGEENRQRLKDIQISVDPDGIFTSQGLWRGFMKLS PFICI_00352 MSPDSTFSDTCDIPVTVADDWSGVTSSRKRRKIQNRLNQRAYRA RNRHLKSSKQDGSRENLAATSLCQELMNFRSGSGGSIRMSDLKRLMDTVCVLQVHSEH NKSALQAFEVFARHCSANAPPHLEFLPILTQFHFTRALFVNVDVMGLSQNQMHDDALS PFNITGPWDTAMAPKLDSLPLALRPTELQVTIPHHPWIDLLPLPQFRDNILIALDNDL DEEALCQAFSGRTRSEPPGIIVWQDPWDPSGWEISEAFARSHGWLLKNCWALFDSTNK WRARRGERPLLPRRPSDVIQEV PFICI_00353 MAFACGTCWRTWPSWRSRDQHVADTFHEVPDFECETCHRYFRSQ RAVEQHMNDLGHWMESSDEPEFCCDYDSCSEVFDDEDLLRDHEVEDHFYCDACDRQFQ DFNSIKMHRNSRVHRGTNAACPFCRDSFVTAAGVFHHLEQGGCSKAPLNRIQVYEAVK RQDPNGILTERLRHWSTETTFEATIDSWNPDTKAFDCYLCGDGFRRLDSLNQHLRSPK HQDKLYHCPKPSCRKEFGSLAAVTEHLQSESCNFMRFEEVQETAKRIFDPGRMIAF PFICI_00354 MTPDKEAGPKPGSIKHAEHEVGDSQAELIESAVAASDEEAKIPR KELFSRYWPAVIYSGLLSMALIMEGMDVGLINNFFAHDAYLAHFGWPDANGKQHVSAS WQSAITNGNNIGSIIGLMVNGYLQSRFGSRKVYMATMVLMAGTIFTLFFAVNVQMLFA ANILCGIPWGVFQTLTTAYAAEICPAALRGYLTAWVSMCWGAGSFLAAGVLRGSLNIP GDLGWRLPYALQWVWIVPLFIVGVFAPESPWYLVRRNRIDEAEKALRRLARKDFYTEH TMAQSIALMKHTNEMEKIEAANSSYRDCFRGTNLRRTFIVCMAWIIQILNGQSVTAYA AVFLKSAGMPTTQAFNYSMGIQSVNIAATSVAIILMGKIGRRIFFFWGSTSIGLAMLI IGILGFIPGAANVALAVAVVMIIVQTIFKVSLGPTTYVIVAETSSNRVRAQTIVIGRA VYVTGQIIIGQLNPRMLNSSSDAWGWGAKCGLFYFGLCVIWAVWIFFFLPETKNRSFA ELDILFQKRTPARKFATTHVDLFEITGPQDQKGQE PFICI_00355 MDRGMALSTLVAAIVTYYVSLVFYRLFFHPLAVFPGPKLAAISR WYEAYYDVVLKGQYTRKIADLHREYGPIIRISPHELHVHDPAFFGTLYRVDGRWDKYT WTYDAFGAKSSTVFGSDHDLHKTHRRAIAPFFAKTKVLGRQEMLRRNTKKLSLRMTSL IGTTFNLGAAISAFTRDNINEYVIGKSYNETDHKDFNVALSIASQGAGVFWRTTKHVR WFGPTMRAMPIDWAMKIADDGTKAFLRYVQNSERDTQDTMAAAEASDPDSQYQNSMIH EMVHSALPSSEKTFDHIFEEVATVTSAGFETTANVLRLILFHIYANDHILQMLRKELS SLQPAISETVTLRQLEQLPYLTATIKEGLRLSPGIASRMARITNQELYYNNWRIPAGT PTGMTTILLHTDPKLYPSPLRFNPDRWIESPETQSLDAVFAPFSSGTRTCLGIHLAWA EIYLLIAELVQNFNFIIKDATASDFELEMDNFGIGTKAGCNLTKLRTTFSALWTFSDL TIVLLPVMVIWRLQMTLRQRIGLVLLMSVSLFTTAMSILRAVGLNHIADQQSGPTATD VQYNASLEILWACLEQACVILMGCVPSLRSVVRLEITKSISSSLSKDPALLSRQFSSR DWSSFLLRHYR PFICI_00356 MLTRHPLGTLVKIQTFTTATRSRRFISIPPDMNSDANRIVTFWF NRNPVEWIIAPKGLDEELKSQFGELVLKARRNELDDWTAQPEGSLALVVLLDQFSRNL FRGTPDALSGDAKATETSTRAIARDFDKEVTVIQASAFYMSLLQQESLITVIAARYLF EALKARCVTDEEHKWVDMGIAASKRHVQQLEKFGRYPTRNAVLGRTNTEAEEEFLKNY NPTL PFICI_00357 MAGFKQQPSVSHQPLKALFQLTYVVTILAPVPLWLLIASIVRLR PHPQWIAKQALLARVAYAVTDLKSRIGVTGKLPLESGQVIELHQEDAALHDTFLVAEL LSFGESARKIISATGNFISRL PFICI_00358 MAGNDDIEAATTNTHPEDAVKGEVADEGYELFEETAPDAVSQEA ADAVRRKIDRHLLPLMCALYGLNYVDKVANGWAVLFDLRADLGLVGTEYSWASSMFYF GYLAAQYPANYILQRFQTARVLSISVIIWGILMLGHLGLKNFAGFMVIRFLLGVAESV VTPGFVLYTSMFYTRKEQVWRTMLWAAMQGCFSIVTALISYGLGHITNTALRPWMYIF LVLGLLSLIVGFGWLFYMPQTPNKARFLSPEERVIAVQRVAGNMMGIKGYQWKSYQIW HAVIDPKVWLILAFVLFTQLPNGGLTSFGSLVVSGFGFDSFRTLLIGLPSSVVSAGSM IVWGTFSIKHGNLRTWGMIIPLLPAIAGIAAVYGTMNTDANKYGRVVAYWLINSYAVT WPFVLTIIGQNIAGHTKRAFTNTMMLILFATGNIAGPFFFRSQDAPRYVLAIASILVF FCASLLCAVVLRFYMLWENKRRDRVYGAVETTEAKVDGMRTGMHDKTDQENTDFRYVL PFICI_00359 MDQQHHYQQNQEISQVQGKTGCRLRQSSEMQFPDIDKEKCLSFL SKLVQIKSYSQTDGELTVTSHIAACMREIGLDTEIVPFDEEKRQNAVGTWRGRGKGDN AAKSLLFNGHLDTNPVSGGWTIDPWEGKVDDDFIYGIGVSNMKAGCAAYFCAVETLKA SGWMPRADVYLTFVVGELQGGVGTMALIEKGKIHADYFINCEPSDIKAVTMHAEALIF EIVLRGVTRHMSAREEAADAIMAACKLIPKLNGMVFSGAKSKEHLKCNRCQIGVVHGA LGDELAEWRPPQVADVCKLAGSARYAPGQTQEGVMQDLAAVIEQVILDFPGMTFELKQ RFEPTMPAFEVSPESHIVKSLNEAYYDVRGTQQPTGVLAPTCFYGSDAGHLYKSLGME GVVCGPGGKYNTRPDEKVDIPDYLDCIRMFMRVIVEICG PFICI_00360 MRNLAQSEVEQHMLHCPKVDNLKHFSKTYSSKPHLAGDLQHAES IRDLWQSYGVQTDLVRYDVLQNFPVKSSLSLLSSDGSTVAFRASLTEVEIAEDPTSSP SNGFPAFHGFSANGEVTAAELVYANFGTHEDFKLLNSKGVSVQGKVVICKYSMVFRGL KVRAAQKFGAAAVLIYNDPQEDGELTIENGYQPYPHGPARHPTSIQRGSVDFFSVAVG DPTTPGYPSLPGPDTERQDPSDAIPSIPSLPISYTDALPFLKALDGHGLSSKDMGGQD WKGALPGVEYWTGPSKAKVSLVNQGEYRISPIYNVIGTIPGRAQEIVILGNHHDSWCC GAVDPVSGGAAMNEVVRGLGSLVQAGWQPYRKLILASWDNEEYGLVGSTEFGEEYEKE LSENCVAYINVDESTNGGQILGATGSPLLADALSHAMSIIPSPINANSTVFDDWKDWT DTNNGASLLAPMGTGSDYTVFFHHMGIPSLDLVFNKRGTAVYPYHSNYDSHFWIEKFG DPGFMKHLAMARLWGILAVRLAGIPLLGFAAQDYASTVEKHVLNLQSREIARLDLEPL KSSVAKFAEATTSLDELARGCDLSTLRGQKVSRSQFGVAEINKRYREIEKSFILKSSK GLPGRPWYKHSVFAPGLWAGYDGVVFPGILESVEEEDIDKANKWVRRIAGHIQDASSA AVGV PFICI_00361 MASVQVINDGKGKTAVATTPNADDYDAPEFDPENTARESSWWKG GHDSDEKKLLFKLDFFILSWACFGYFLRLLDTTNMTNAYVSGMKEARNEYNLFSTFWT VGYTIGMIPSQVITSYVRPSVWIPICEVIWAILTFCFAAVRNAKTIYAMRFLIGLAEA PFYIGVMTLLGNWYTPKGKLSTLLRLATRAGIFYSASFAANMFSGYLQAGVYTGLNGH HGLAGWRWLFIMCGVINVPGALWGFVAVPDSPYNTRVFYLSEKQIELAKARMERLERK PFNGITLQTFRKMLSSPFVWVFVINYIFFCLDTYALSFFAIYLKSLGTYTVQQVNVIP TAAYAVGLVGTIIWGVLSDRLHTRIVIAVAITAVNVVSNAVLAASVSKASIFFGYMIN AATYAYGPVIISYLNEVFSSSADERALILGIAQAIGAAFNAWVPLFIFNTGTQAPLFK IGFTTASACAAAQGVGVLLLGKFGKTIEDKRRHVETES PFICI_00362 MAVATPIPYELYSDAPGVLFNKPEWEAANAAHPTDFDFGSVPKG WPTELKGPQVWTGSYLNQNPDLFIRKITLAEIAEVDAAIQSWKQLDLPLSDLHRERFH LPNFGRVLEELAQNIYNGVGVQVLRGFPVQSYSKEDQIIAFIGINSWTGNERLNQGAD RGLCHIKSISHIDPHNRGKIYVSAQDTNAQMYHSDAGGDVVSLMAVSLSGTGGASTVA SSWQVYNHLAKHRPDILRILAERKFRWQANGIPHEGVNLIHHNGGKLFLNFSTRTFTG YGEIPDRDFRYPALTFEEREAFGGWQWVADQYSLETELQPGDIEWVNNLYLQHARRGF TEDVSQPRHLLRVWLRNSELSPTNLPLDIRRKFDAMFGQHPKFYPLDEIEEDAKRRAT GVFTGSCKTEDAKERLAKSR PFICI_00363 METLAALGIACNLMQVISFTGETITLCKHIYKRGSANPSLETNA KTLQVLIQSLDKSLQASTSQLPSDKATQELGELAKKCLVAVTTLSTELAKIATAASKG SIGKTIIAISRHLCKYGDLRRLQDEIQGYQSTLESGLLVRICSTNEAAVIQSREEFKS LNTTLQHFINALAKNHVHVSQLIQSVENRVENGFLAIRDEATQRRVLGSLKYDGMNDR RNTVKPHHRRTFEWIFRDRAAEHDSEDDDFSDLESDCASDHSVAYSNTSEPESVSHNG NGDTFNDGILSDTSQDDTSLPWNSFVSWLQSDDSVYWVSGKPGSGKSTLMLFLLRDSR TQALLEKWRPGTRIISHFLLSSGYPLQRNTKGIYCSLLHQILEEDLKDKGVLLSFLAQ RLPLVLSKDNPGDWADSELRKAIKVVLKSSKRPTCIFIDGLDELIPSEIHEDLWSFIL GLQSIPQLKMCLSSRPEPIFQLRLEKVHKLRIHTLTESDIRTYTMDFFKSKILPGREN MNNWEHRQFVQIICERSNGVFLWVCLVLRRLQRGLTNNDSIAILNERLKQTPKELHDL FNDMWARSGQDADLSDYISTAATYFGLVDTVRTHPWPYARYPRGVITVADMMLATDRR FLQSVVDGNDIDPATIVEKCDNVIMNLGTRCAGLLECHEVDLECVPRRECKFASQIRY HKLEIRFIHRSALEYLLTTQEGSKILAYDTSTCQERQALFCHVDVARAKLWGFVAEHD RNRSCLNITEFLNMVSGYGTYHRTYSFSNDLGRSLTSLAGLVYMDARSRDEDFFPFYP ECTVPGRFSQSSPDFITVLCCSFSFGLMPTYLRLLDSKLTPQYLGYLVLCICKLFTSV NQTVLWRDNGYRLVEDWVFDFESSLQFLVNNGASLNVKGLCGLELTLEMLEGSIDSHS YLDSPANVLILDLYNYLEPRLLPSRIRMISMFLKNGIDMHQEMLLSICWYTNRKICNL QSGIPAPGAHSKRLLAFTTTPGYLLWLALSLLPKIRRFAPCLSVAEDLVTDFMNLEDM PSNPKAPEPLAFKGGSTSEAETLVFHKISSDHQEESLRLLLVDFLKSRVLGEFRPGQV ERKIWDGLFPIAPQCETMTLQQLKMDLAAKYDFVRGSDYLSKIPRLGDENEKILREHL KREAYPHCVV PFICI_00364 MTSFVPPGLNLDPTSGSTIRTLPELVDFHFEKNPDHLFCFQAEK HNSLVPITYGHLSHAVARCQAWLDEQAIGSQPIVGPDGQAEKCAPVAIFMESHVGLAV FLLACMGKGIPVVLLSIRLSAPAVRHLIQKTGAKIMLVSSSLELNASEAFPTAHESGR KGEVEEETRPRICPVPGYHDFFQDAKQTRSDSLVRTANPNHFVSEDDRQVLILHSSGT SGLPKPIPCSHRYLLSYATCHSFQSAQETHNLAISTLPLFHGFGLVSVCGSLGAGKPV CIPPPSMIPTGASIAALIQDSGAKGLMTVPSIIEEIEALADSKGHTVLRELDFVAFGA GMLKPAVGERLEASGVRLINQYGSTETGPLTNFLKPERGHDWRQITLRRDIVGPLQVQ LHRADTNPDQPEPSQSDGEPKNSYSYKLSMRPFGWGSRFELQDLIVTEREWSVDSDIG DFAFSIAGRTDDLICLATGEKVRPTILESLLRQQEGVKDASVFGDNRFELGVIIEPIK NLKGAELEGFKGFCWQVIQDNGRQMDAHARITSPAAILFVAPGDLPRSDKGSILRRAV ATKFATEIDNVYRSLEAITDAPPLDLSSIPSSVRALAEQNIRWPGSFDDWSDDDDFFS HGVDSLQATRLRRSLVASVRATHLEYGAEATHILPADEITNDFVYLHPSIKALADALI PKSSKANGVLSEAQIIEDLVAKYTNGAKLNHRSGKSVVAITGATGSLGSFVLAQLLMD DSVGQIICLNRPSSENPIERQKKAMKTREIAVPDDAWTRVEIHQTNTAADWLGLEKAV YERLAARVTHILHIAWPMNFKMGLQSYGAAFETLHNLIALALKARSHQGTRKPRVLFT SSISTVGNYPTVKGGSSIPEVFVDDSLCTLGLGYAKAKLVCEKMIERAASEFPEIEAS LARLGQISGASNGYWNANEHFVAVCASSAKVKKFPKLSGTLSWLPVDSAAKAITEILF DDQPLRPVYHLENPMRQNWEDVIRLLSEELQISSSSTVSIEEWLSLVESADEDNNPAR GLVHFLAEDFIKMSCGSVVLDTTTSRDRSPTLAGSRSVQEEKIKAYISYWKKIQLFA PFICI_00365 MHGNNWKEIGALELPDRSAHDIRNRSLLLERRSRNKAIQPPSPN RDLDPTIGKLADADAGEESENDDGSTHNEHSEHNAVDSLVPQNHQVIQGSVVEHYAIT APESMDCNSIERLRYAVPSSDAGQGIQEPLGFGWTGSQEHLQSNSDLLTPESACISWL DPFQASVASNFASPQYQWWSGTPTDELGFQNTESLDLGYFSLPEGDGNPSSRESVQDS LDLVDDSPHDNPDVARRIIGSVLEHGEGHKIRYSFNAT PFICI_00366 MSVSSKDGVSAMDIPSDAVAVVGMACKFPGAETLDEYWSILDAG ESMLKDPPNNHLPTHNHPRSTEKSVFHSNFLKDNSSFDNRFFKKSSREAASMDPQQRL LLEVSYQALESSGFFGPRQPDLDVGCYIGVCASDYNDNVASHPPNAFSALGTLRAFAP GRVSHFFGLRGPSIALDTACSSSAVAIDAACKAILHGDCRSAIAGGVSVFSSPFFYQN LAAASFLSPTGASKSFDARADGYCRGEGVGLVVLKRLADAISDGDTVLGTILATSVRQ SSNKVPITVPYSSSQTALYRKLLDSAGIVAEDVTFVEAHGTGTPVGDPLEYEAIKEVF AKKPRQEPLYFASVKGNIGHTEGASGVAGLIKTILMMQNRSIPRQANFVSPHPKISLV PGRIAIPTATIPWTADRMIACVNNYGAAGSIAAMLVSEPPRKSVKQIHGRLHEKVQYP LLITGNSPKSLGDNCASVRDYALSMSSKVGSSENIIADLTFNLSDRQNRALPYMFTTT ISNLSELDEQLRTAAFNPNSSLYQNNLKPNPTVLVFGGQIGRSVDLSKHVFESSGLLQ KYLDQCDGILKGLGSRGIYPDIFENKQADDVVMLQTMQFSLQYACAQSWIACGLNVDC IVGHSFGQLVALTVSGVLSLADGLKFVHGRAVLMRERWGSEKGSMVAIEADRADIEAL VASVRGVEVACFNGPRSQVLVGSSSDISNVVAAMTKSSMSAKAKILDVTHGFHSRFCD PILPELERLANGLTFNQPRIHLETSSVNGTWPIATSKLLADHTRSPVYFEDAVKRIEQ RFGSCTWLEAGSNTLVTNMARRALNDQKEKMSSHVFFPINLSRDGALGALAETTTNLW KQGHHVQYWPFHHQNGGSYQVMNLPPYQFEKAQHWLDFDFPSAAAPEPVQDKLDASQV ETVKSEPEPEPELISFAGFGDADAAHEGQKRAIFIIDPRVEEWKALVSGHSVLQEPLC PAPLYIELVLQAAKQLAAIQNIPSVPFSRVEDLEMPSPLGMSQDKIIQLVFTPTDHTG CKYTFSFHAQPRHANSRKEALFSIPKGATTHASGKVEILLTNDNTVTSEFERTRKLLE RMRPDQNEVGDASSQAVSGPLVYKLFSSVVQYHDFYQCVRSLNSTGDGSVLAHVSQPQ SGPSCIQSLLSMPLAIDNFFQVPGIYANCLAPCPSSEVFVSTHVDRIQISPDFANLRA EENGQGWDVFAMSTALNDKEITNDIFVTEKATGKLIFIAFGAKFTRVRIAALAKILSR ANSGEVAPSTAAKLPPKARVEFVQAAPTVPQVQRAVPVVSSETPQHTATPVPQQQPKQ HPSTNGSVSLAPPVSIIPAPSTAIPRKSRVEGDLREMLSKMTDVPADDIKDDILLIDL GVDSLMATEVLSDIQKIFNISISPDLLQELQTFASLRRYLDGQMSGPESDNHLPVVVP WQTPIVPAVSPNATLAPEIASQEAEVDDRTEKSSVEYESSTRDSDQEQDLTARLADLL STHLEVPVDELVASPNLVDLGLDSLLCMELMSDIDKDLGVSIDLSDLTGDVNFGHLVD KLVGELRTTKTTTSSTPKSTNTFSSGVSTPLTSSQSGSDDERDVMPTKTQAPRISMNG PLASAADAFESIKGDYDEQAKHYKFIDFYTSVYGKQSELVLAYVVEAFLDLGVDLKTL RAGDRIPAISTVPKHGRMIDVFHRILHQAKVADYNGEAYFRSEVPINLAHSSVLSREI IAEFPQHAKEHMLLDLCGPNLAKFLTGTMDPLAVLFGNKANRTIVEDVYAEAPMFVIM SQLLTSFLEKTLSTSTPGPNGKFKIVELGAGTGATTRCVVDRLVQRGIPIEYTFTDIS PSLVLGSKRKFTKFDCMKFGTIDIEKEPPAEYLGQFDIVLATNCIHATSSLPNSLANI NKLLRPHGFVSLVELTTRNFWLDLVFGLLDGWWLYDDNRPYVLATPEFWDHTMRDKGF QHVSWTGGHSRESEAVRVITGFKQPVQDPSSYKSTPQGVNGGTETVVFKHTDKRLPLR ADVYYPSASQATVHKTWVPGLLVHGGGHVMLSRQDLRPRQIQLLLDNGVLPVAVDYRL CPETTILEGPLVDVNDAYVWLRKALPSLKLMQTDINKKLDSTRAVVIGWSTGGTLAMS LSWTSLPRGISPPDAILAFYCPTDYQDEFWTKPNIPEHSDAFAKEKYNVIEGVFPSPI TAYNVPPTTGSAAGWIAPKDKRSRVVLHMNWYGQTLPVLFKGLPSASAVATHDAAQFN HLEQPPVEDIVKASPYAQIIRGNYRSPTHVIFGTGDDLIPWKQAQRTVDAMRESGIDC GLTLAPDQPHLFDMYRDSDGSRWGYVMEGYNFLFSRIGRGEA PFICI_00367 MELLNTVEQIAAYSFCGVIIGIAGVLSYRLLLHPLSDYPGPLIA KLTDGRNGYYSLLRDLHLVSLRDHRKFGPVVRHGPNKLLFNTVKALHAQDIYDNDRVL KSHVYTVTVQSPGVCNSFNVIDPREHRAKRKILGQVINEGGMRIFEDTMIGQIDIFIK LIRSSCDGPEPVNLSTRLDYLTCDIVGLLSFGYHLRLQTNSDNRFMMRGMYYGNYFSN TRMQYYRLHQFRLGRIIQHLSKATLEKYKRLMEKMITERISNDNPLTHDLYAVASQAN RDEETLGGNVRMSDIWSEAVVFFPAGAFSVSTATCALFFYLSRDKMRTAKLQKEIRST FRNPAEIRSGQQLSSCHYLRACIDEALRIATPIPGTPWREVESTDSKPLIVDGHVVPA GTQIGVNAYAIHHTEEYFPNPYSFDPERWLASETPEQQLKAMREAFSPFSIGSRACTG KAMAYLETSLIMAKAIWHFDFELPAGPLGKVGGGQPGNSNGRHLPNEYQIHDVLSAAH DGPLLKFRLRGGIEDNLATDGLSFQ PFICI_00368 MVDLLDFFRQLAGRACTLVPALFIIAGAVAIISVVRSYMRLSHI PGPQIASLTNLVRRSWVITGNAHQIHTDLHQRYGKVVRFGPNAVMVSQPQAIEKIYGF KSEFYDAIMPRVKGGKIPDVFATRDEDIHRRMRRPVANLYSVTSLTKFEPLITATMQY FFIRLDELFNDKSVEFDMYRWIQFFMFDVLGEVTFSQRLGLLENGADVEDVIENLWLY FEKIAPNTQMQWLDNLWRDNPLVPVSTKRNALAEFGMARIMERMNQTEDSVADKNDFL AYFIKEQAKDNTLPHMFVPTWVNSNIVAGADTTSIVASALMYHLLKNPSSLSKLREEI DDAVAKGRISKYATWRESQNLPYLEACVNEATRMHPPFALPFERVVPESGLEVDGYFI PPGTRVGMSPWVVHRDESIYGDDPEAWRPERWLCSEKKKTAMYNTLLTFGAGHRTCLG KHLAYYEIYKLIPSMLQRYNIELVNPAEDWSINNQWLAKPSGFCVKLSTRN PFICI_00369 MPALTVKPDAEAKPLRVWNSLRRYLPPTDNKDVEFWWNITGYHL AVMIDAAGYTPELQYEVLLFHYNWIVSSRISHSDELVAAKKESDQACGYLQVPRLGPA PHDDGRPVFKSLIAYDGSPLEYSWKWNTSKGEPDIRYSWEAISATSGTTTDPLNHDPT LDYMEKVSHALPGVNFEWYRHFIANLYDPDRAVYSKELEQGDPPATTLMHAVEYNKKK NFGLKSYFLPRKLFQGGDPATMQEWDAAIVKLNPGKNHPGRDALMNFLATSPEGKLMK PNVMGMDNVEPSKSRLKMYFTSAHTSFNSVREIMTMGGIRDVSESSLQDLRSLILTVL GLPADFPEDEEIPVEASASDDWPDFAALCEGFVYFFDIAPTSGSPDVKFYLTTRKYGA DDLTIAQNLMSWTAAHGRGAYNPKYIEMLERLAEHRGLENGKGMHAYISYQCVQQGEP DVKSYISPELYHKARFTTAE PFICI_00370 MAPFALQALPVLLAISQTAFGKPLKLRDYITHAYPTTNPTNSSV WPTEVAADVHDASWSDFAAKTERWSTYQAPTFDEVFLPQSEEELSLGLEYMSSNNMSW LAKSGGHGYSITLSSVQDAVLINMENFNYVNIEDDGTVVVGSGALFQDFVDGVGAAGR ELTVGACGCVGATGAMLGGGLGRLQGLHGLTSDALRKVRMALWNGTIVEASDDVNQDL FWAVRGSGQNYGVVFESTFETWEATNAGMHYNADMTFTKEAVQQVMEITNDLTTAGLD DKLSFVMFLALNATTNELTVVVNIVYTGPAEEGKKYTDLFSPMSHTLNETMLTWAELP TQSVMGLIPASCANGPRYDLYSAITKVLDPATFVEFADDFEKFMQENPLAANSALMIE TFPVQGVEALPEDYSAFPHRKNFQNQIESIGVYTDDSVAEAVDDFFREWRNTFATPEV SGYDGFYIYQNYGHGDEPLSALYGTDPARQERLTNVKNAYDPHGHFNGYHAIPSDVSQ WS PFICI_00371 MAVMLKLAAEQYKTLPILANESTCSGKIYIVTGSNSGLGLETAR HLVEFKAARVILGVRNLTAGENAKKEIENTTGRKGVIDVWHVDMASYASVQAFAKKAS TELDRIDGVVANAGIMVDQWEPVEGMESGIQINVVSTLFLGALMVPKLSEVSKKFNIK PTLVFIVSVLGYTAKAEMDKNRNGDIFANLNDQKRADMDQRYALTKLVEEFAVREFAK FCPVERTGVVIALVAPGLCSTGLGKDARTFTKIMHGGIKAMMARTAEVGSRTILHALI VGEEGHGKLLSGCKIKEYWVPDWISNSEGQQLQQRIWKGLVDKLEKVQPGSITQLS PFICI_00372 MLLPAKPVSFSLDVMTVTLLHNIGIFSVARKLVINAKPYKHRRA LLFVNVNQPGIPGAAPARRAARSHAARSGHARTRRQRMREYQDRTTRTTQGLSELTVH QEAPIVVSQVPLHRLERAGLVGTALPTREDAFDSSCRTLSSFEHRLFDHYIRFAVPFK ARHSQQFTTPELFQHSISTLWVPTAIADLGLLSGVLLYACRSLHMLTNDRRYYELALH YKLECLRLLQEGISLATMDDPTMDIIISRALQLASDEVRHDFPHHSVVTRLLTLQT PFICI_00373 MSRYVDSHKSPNGPGDARPTAMQVVEDEGRLGTMTDKVFLVTGA SSGIGIETARAMAATGARVFLAVRSLEKGQQACADFLDPGRVELVECDISSLESVRKA ATEFLAKSQTLNVLVCNAGIMMVPQREESADGYESQLATNYLGHFLLFWLLRDAMVKC STTDFKSRLVNVSSSGHHASEIQFDDFNLTKDGAYDPIKAYGRSKLAQIYMSNYIDRV YGSRGLHSLSVMPGSILTNLHQHIPESV PFICI_00374 MAMIHVEDEDHVTRDDNGNLILRPGIRMLPIEYHEAYDDYNYEY HGYLEMFTTPIVQPGSEDPFPVPRRTGHFAARAPAHWQLMNPLQAPNNNPPANDASIP ADNIESRPVDAESNLGGGDGSVAAEMAAKWHVELVTCSHHGSMSSYPILTLQGQYNSW QNKHSAGRAS PFICI_00375 MSEHGSDTPQEDHINHEDGSPLNRPRDRPLATTEHLRVRALELA GAAREFSRVFLAFAQAADDFARQAGAGDENQSFEPQTSRWLF PFICI_00376 MLTYCFLSKQNLDDDEVDREPSPAPAPAPAPAAAPAAGTPGCPV CDKKGKDANTPKHLVTHVTKCYVEGCPDQNPDFEGRNGAARLGSHLVDVHHHGVCEWP CQWPECTNRSQWSDPRHLKFHLRLHNYDLGIKAAEAEEEEEAEEEEEEEEEEEEEEDE MEEEEEEEEEDEMEEEE PFICI_00377 MSSSNTDNGQAPSQQASRPQRRRRHRRCRPRSKLPCPVPGCDGD ACERHMMIHTLQCKVSGCPLGNPFFANVKELGKHLVDDHNNGDHKYCHWAGCDPNVER APNNNMVHIRLHNYRI PFICI_00378 MNRDPRWYPGPDDFIEIDINGDPVRPLVSTAPLTGDQDVASLDK AKLVKQRRIEENANNKEHVVSGESAKNEARPVKQRRIEENANNKEHVASEESAKKKKH VAFEESAKDGEHEDVEESQLTDLTLDGLVLDEPDQSSLSDAALNQEQQQQPSTPVPRA RASRAGIRRSKKTCTVEGCDKDGSERHMATHTMECRVAGCAKRGEPFESSRKLGEHLV KEHNQGDTEICHWPQCSNAGSRTSSNHVLHLRLHNHRLPAEVPQESNAGDTSQASHTG EDNPQKVDSQNVDSQNVDSQNVDSQNVHSQETDSQEADSNDPQVNDEDPMDTDSPDAE IVVDNDRASDSDYDQKRNESQSEDDEDMVDI PFICI_00379 MGADEKQHVAAVPDGMSVHDGVSYESTTDSDNPYGIRRGLKSHH IQLLAISGVIGTGLFVGTSSVLNSAGPAGLLIGYSVWCILLLCVAHAMGEMSAFLPVP GSFVTHIGRFIDESSSVAMGWVYAYSMIFVAADCTALTGLWSYWFPDINPGVWVACTL SVVFVLNCLAVKYFGEVEFCASIFKVFLVIGFLLFAFIVVVGGNPQHDRIGFRYWYDP GAFNTQYVGGNAGRFLAIWGVFNTAAFAIGGPDFIANCAPEAVNPRRNIPKAVRRVIY RLIFFFILSVFAVGLLVPYNDPVMLTAIASGNGVAKSPFIIAMNRLDIPFLPDLCNAL VITSAWSCTNCLLFQASRTVFGLAKNGRAPRILAKTTKSGVPLPALILSIAVSSLAFM TCNTASAVVLNWFINLGSTAIMIAYILMMVANLRFHQGLKVQGIDVSVLPFRSRLTPY SNYFAIFWVTLILLTNGYGVFIDGHWSFSGFFSAYFTIVFFIAIYVSWKIVKRAPFIK PENMDFQTGLAEVEAHERSLNYVKPSSRYER PFICI_00380 MSFFYVGKFPVDQDQLYLPFALLLATLAALALLKQLKANAIIDK PPVAVSWQYPEPEPDLNFDLEKTEPPRFRAFRYHYKRHIVDVRKLDKDSWVMLDNEWP MYHRIKVQRLADRGNKIVQTMPQAREAAWELCQDLCEFLARRYPQVYNIRRSEKDYLG WYGLGSVVSVGMPSLGAFYDLTKEDPLTVAGLIQPADLNILMMGEDGQYHLVAMMLGI GGGQRIKDKLGNSLADLHFSGHVPHYADQLQRPLDRFLAKLQVEAPFHRNTTGISTHD AFHWPTVTMGPEDDWDPEIQGPGVGTPSYGTWKPQGPISDISQLWFRQERQVLRRLPK SRAIVWCVHTYVEPMDVVAREPGIPGRLASLVRSWDPIMAKYTQGSA PFICI_00381 MAGASFPAAAPKPLRGTTGAQRQKTVTGCIGCRLRRKKCDETRP QCLGCGRNGLLCVFPEAGNEEHARLLRRTNCTRKQPVYETGKHQVDPNISHSSTRLED LSSPRMLGIEQCMVPGNHHLRQPASQRLLHHYINRTSKAMATCRDVESPFLTELIPVA MANDELVLNAILACSGIHLAALSGSVVDATTWVHYGQAVQNQKFALTQLVQGSSRPLV SATITAILLCIAETFLAGAGKQAMNHLKAAQAMMRQVLDLPKEMLDKGVRTFLVERVS YMITLAHVSLGSTHDQSILNDTAVLFSSIHSMPLIQGSSSSGCVHDLFWLIPQVSAIA HRASNEIRSVAQFTPEIIAEYQSLLCSVSSWEPKSGDEIYNSCGRVYQQALLVYLASV FDAESLSHPASKSAYSSMIHKAFECIEGLLDLSTLNATRITTTLCWPLAIFGACARTR VHQTFIDTKLATIAEAYASQSVRDTRDLLQRLWSSNNPRTYSPLELEQLMQEDDVTIL FL PFICI_00382 MADTFQYGTLGAEVAEIRLLRVKPSDDSNADRAINYELETTILP RYGLQKTLEYYADSDVWGDELQKTPIRIDGRELMVPLNTAKALESFQSMLPHLHTGRD QSKIVRIGVDAVCINQSDRGERAEQVSRMKDIYEKALSVIIWLGDHASPNEAKAALQS VHNIYESSMHWYGGAVFSLANSRDIQGMLGAAHPPSDDRWHELYSSVALYFSLD PFICI_00383 MPPDHNDMEEDAVRRNYIGPHSGRHPIPTVQGYREHRKELDNRT EQEEAAQHEPADDSRPRRAYNSVKAIFKDEDTPKSHHQTYPSTNRNYATPPDQDSRDF ARQSGQPEYQAQEPGQSSPESPQKPSRKDDGNNQEKTGTEVAASTIDPKEKRKAMKKA KRHGGGREVTDPVTHLPIIIHDQTDKDLKSTPENIAEPGTTHATATGPQNASKSDEDL DREQAYLQRGFNGSQRLFPSPDFESLRKELANIYQKAVYWGLAITGGFGALMFMLPAL NGRLYPQFSTVLRFLGFVAVAGGTAIGMGHWVNKKVEEAFEDETWDAARVQEEEALDS DTELPESVQWLNRLFASIWPLVNPDLFSSLIDMIEDVMQASLPKVIKMVSVDDMGQGN ESIRILGVRWLPTGAAGKTVDSDGKLENPNKKSQSDRTDPQNEQEDDNDDKPNNDKND GGHDETKQQEQEEVAIREGMEAEEGDFINLELAFSYRARSSGKSIASKAKSAHMYLKF YLPGGVAVPVWVELRGIVGVMRLRLQLTPDPPFISLCTLTFLGQPKASLSCVPLSKHS LNLMDVPLISSFVQSAIDAALAEYVAPKSLTLNLKDMLVGDDFKKDTISRGVVLVFIK KASGFKQGDGGIGPMQGASDAYVTVSWGKFGKPVASTRVIMNSQNPDWHEYSTILVSP EELNAEEKLRVQLWDSDKYTADDDLGRVELDLKSLINNPESHNQVQKREDRFTGQDPD EKMAGSLTWSVGYFSKTRIQQYQLEKQTVDENIRSKEQLKKHVSELSAHKLREAGKAP DDDELHQQNAQDYRELEDAMIISAPPSDDFPSGILSVQIHNITGLEISKLRRKDNTGK GKEDNEEEESEHHDDMPDSYCTVILNHKKIYKTRTKPKNSKPFFNAGTERFIRDWRTT EVIVSVRDAREREDDPLIGMVYLPIAKIFKERSQVMENYPLVGGIGYGRARISMVWRS VEAKLPRNLLGWDYGTLEIRGAIKAKSGLSEDICNNRLKARTNMGKHKYEAAGNFWKP KGRDENESSFLAVRKRYASPLVIEFRSSSVGPDKTPAFAVLWLQELVDEEDEVRTLKV WKGGKKNLRRASSCYGYQGLEENEQPLGEIEVPLKFWRGLSGFHKGYAAKAKNGDMRQ VMECLDTVTDESLQGEGDFDDSDGGDSDSDSDNDSQAKKKLKVHTNDSSSESSSDEDE GHSRSSSTVSLSGLKKAKNILRNPIEGTIDTATSVLAPGHNDADDGSRGIRNQLRDYK DHHKQLHRKHRGIMQWRAAREIDHLGGKMSRVKGSLEKVFSHSEKDTGIETEV PFICI_00384 MGEAEPATSPSTHHKIVALETLFVPLPSKFRVPEGHTYEVTEYA RTRPGEVAERIRDADIVVMTIVPVTASDIAGAAHLKMISVVASGTDTVDLAACRERGV HVANSPNCNEGSVAEHVIALYFSARRSVPLVNALVRAGQWPVRGFLGRTLDGPHGKPP TTCNGEVMGIIGYGSVGKRVETIAKALGMAVLVAGRKGQKEVAEDRVPFDTVIRGSSV LVLCLPRSPETLNTIGKAELDAMPRYSVLVNVSRGGIVDEEALVAALKTKKIAGAATD VFMTEPASPSNSPLLAPGTEELNLVTTPHVAWCAEDTNYNYNENLKKNIFSWLEGRPT NTVV PFICI_00385 MSEVQSRSQPASRGRGGGRGGRGGFAGRNDARSTNTRRTNGDKS TASDSVDEDGDVGQLRQQYGDSLDTIKAIFPDWSDADILYALQENDGDVELVATRISE GSISQWGEVSKPKKVSKPKTKDAVPSTGPIDTSAGRPTRGGRADGRGGRGGRGSDRAR GGRGRGASSQPATNGHRTKENAELSIPTAEASAWGAGTTTDDTANNEWGTTGWGTTDT TKETTSTTAPVQTSTPASTTTTEPAAPKPKTWASMLRQSTQPKPAPKAPEPAVQKPVE PTEPEPPAEPVAAEPEPVAEETPEPVVEEPTPEPVVEEAPKIVEPEVALPPSDVDLTK TNLEQLPDESHPPATATVASTTADSWDPRQAAPQSATATPLSAAQAQHQAQAARPTAS GFAASALKATERPVRVPSYQRRVLEQEEAVRLPGNREVDRAAVQFGAFSLSGVEDDID GDREEAETRAQPPSDSPIQPRASLPPLAAQPTQPAVPETFPSAATQKPAATLPQPVSA AGTNPFQIYSKQDARADLYTATPVAPPTGPAAGVAAHRMSQYPQAHVSQTNPSPESSF NQQYSRFGQAGAQDQSSFPPKPYDNFSQQPAATTGAGFDSFPAPASQPPSNAFSSAPS DYSSYYTADQSRGLYNSYYGQGYGQQQASQGHNEGPNTHQRSFGGYNASQNSDNLSQY PQSAGQSRYGASSATDAHNSGHNTPNPTGPTQQQPSGQNTGPQSNLHQQQAPAGFPYQ HPYNNSPFYSQYMNFYGGYGQGGYGGAPYGKGGVYGQPHGYQHSPYEHSSSPATTGFA QASVGGRDSGLGSSIDNYGRAGSAQSGTQGLGNSGFGGAHDAFNRAGSSYQSQAGQGF NGPNAQSGSANDDLKPYGESKATGGPSPSLTSGARPGSATNTTPGQSGLPPPQSSGQG MGGYGGYPSHLQGHNLHGNQTGNSGFGQGHNNYGGAYGNYGGNQGFGGNYGRGWGSNY Q PFICI_00386 MVARTPPMASLKKGLKNSILPQIHQPLPLNKRESQKLLDSITSS FRKNLDKEHPWQHQEAIDPALKAMSVLAKDLPRSEAPQKHRPTDRHLDSILTNPLFSQ GTADLTSTTAALQQHHDIFDSAASKGMMTTRRAAGYLAMVRKETQFSSLSATAVSGAG LRVVQWLRSSGAENSLEFVSDQMLMPLLVRFMFVEGLEEVAWTWLSRLGAQLQALPKD GQTQQSLVFLLQVIRLAQADKDRALAFSHPSLDPSYSAFLRANKTLPVDDISVTKGLQ RYWSSLSWASTVHASRYQTPSAPLFEMFTDIGRPWRKTLDIAHLELHHPSRPDHSSAV TYLHDDRVRLNADKLKNSANYVERMISLGTDTVDRLQVVGNQQEASWVSEFMAKTFFA WNLRPDGK PFICI_00387 MAMLSEFRYFRRLPRELQEMVWKHWEASKPVVRHYFFADKSTRS YVAIDPARRVPTKSLTAHKGHNAMRLDPSTKTVFHKIRFSGKVRTTGLETPLHDMWDL SSGSWAKAAKYPTCAHVNFDRDVFVFQNIVPNNMSPFQFLAVPISRYSMSMGKVHLDW VRRIQHVAYYPPPDKRGYFLNWSATPAFVDALRAMESLKTLYIVKRPQKNVCNHGALS RWKISPHRLTKGHHHDAGFIMLQDFVAEHRRLDAQTRPNLMLPPPPTSTTGNHKPCKC EGVNAGRGAVDVSQAITRKLGTTRVAQINIVDVVDPLYPGI PFICI_00388 MTALTIFHLFPHLPRDIQLVIWDLYESGPGMRHKFTVGYGKLTH TTIDPSHNKYISNTAGENDPAELRLDPFCKYKFDKIELSNADDYPAPPNMDPEEKLEE CELRRWYSARSKSWLVTQPTSNVYADLERDVFYLNRNYCPLLDSPQGGAEGDMFRDQP AACWMPKQLSQARHVAVPLFFGHSNVHHRDLWLTLGILGQYQNLKTVYIVVRPVANLP DRVELMRRVGELPRDVFGFVALNTFLQAFRERRNIDFIRRISYWQDSFMRRRPDLCQR ARVIPVVDACFDASW PFICI_00389 MSSSTIPSDKSEFNNSEKEVVPRRPRFDSNITSDRLREGSVVSP SSPMGGSSIKKGRKSVFREIGLVDDWTEDIKKSLDSTDEKEFGELTGVSLEEPASPTG TNAETTCDSDESRTPRRWSSILNQVKRPRIKAGSSAPPPSTSSLHRLTTIALLIAVIL PTISYHHGRQKIDNSGVDAGVIPNPPAIVLEDRADSPVDVCLRWAQQSALLNGTVYIY GGEAKSTGDQETDTWNNNFLTLDLTKSWSTSSPPLTGLSQPSGPPAVALGYLWNDYNN LYLYGGEFADNPRVSPAAVSTWQYSVASSSWTEFQNPQTSSGNYSTASGIPVQRAAEG AGISVPELGRSWYFGGHLDEATTPGWSDLVARVYLRSLLEFTHPGYANNGVDSLHAAG AADGGAYRNITEGGIQDQSGFSERADGALVFVPGWGPSGILLGLGGGSTENNDTADEF ASMSTIDVYDIESSQWYHQQTSGDTPNVRVNPCAVIFSAPDASSFNIYMYGGQNLLPV AGQTQYTDMYILTIPSFTWIKVDIDSSNEPAARAGHTCHPRDGQIIVIGGYIGNTTDC DKPGIHNFDASTLEWKNSFAAYDHAADLDPDNTILAGSYGYQVPGVVQSVIGGSSEGG ATATTPAVGPATGGPFLTGKPPVFTITATGSAATVTNSAPASSGPSSSSSGPNGGLIA AGVIAGLLGLLALYLGFCAWLYRRQVDAYKKHMAVANRYSGADAGDEVGLMASAAGMR EKLRKHRRGSSSAESADQFGWVGQMSEPKWLTTSDEPSPGSGTGGSSSGRPRPSEDRY PWGWGENAMAGAGVNRMKSDATRSTASGGSVEGLLEGREPNFFSVVMGPRRALRVVNA RED PFICI_00390 MSEKVEPSDTIDKIPNATDAVHDNDFNDKVSLQDVARQLGATVE EVIEARDRGSSLSHEEIRQLAERIVRSHAHDPNFPSAALERIQTFLMDTDQAGNTKLD ARTYHELRIQIALLTSNSPYAEVRAVVNARDDPSLPVATIRAWTIGLFFVVVLAFVNQ LFSVRQPSIGLDAVVAQLLSYPLGKAAEKFLPDVGVTLFGVRHSLNPGPFNQKEHMLI SIMASVGKVLPSSRYIIFTQWLDVYFGQPYAKSFLYQIALALSTNLMGYGLAGLTRRF LVYPSFCIWPRSLVTIALNSALHKDDNHSVIGPWNKVWTISRYRFFMACFAGMFVYFW FPDYIFTALSLFNWIAWIQPNNFTLTAITGSKKGLGFNPLPTFDWNIIAHSIDPLQVP FHVTANFVSGTLIGAVFIIGIYWTNTWNTAYLPINSNTMYNHFGGSYNVSKILDSKGW LVEAQYQAYSPVYLAASSLTMYYFFFAAYAATISYAYFFHADDIKLGFRSLIRGWNSS WSDDFQDIHSRLMSVYREVPEWWYAIFNVIAIGLGCAAVAGYPTYTNVGVVFFGIALA LVFVLPTGIIKATTGIEVEYNVLAEFIGGAWMPGNALAMNFFKCFGYVTTAHALDFAN DLKLAHYVKIPPRQTFWAQVIATIVSAFVCTGVMNFQITSIPDLCSSYVFKRKDPLIV LS PFICI_00391 MVVVHRKHWPPAFKVAVKHPETGRPYHPGSWELNHSEADRLLVE KAGRSNHELTDEERDQIRAMPAMSSPIIVKTLADPSSLTEEERCLAMDWPPEQQRRAN IAGVGLGDMDPDQILAQTAADPGWLRTRAQAELIVNRFNVRPRLPRLDFWEFPYDQRT ADRLVQKKDDFFVYMKARRQQDLLTRPDPIWTGAKEKVTDLENQVEDKRAYERSREHG MHCLITTPEWIRQLKREDATFGFNIYKTAEVPVLYDEFLAAERKRPSSRILTMATVDT FQELWKYFMNQRVFGQSGEIRYINLLLRGTNQADLERENMVWTTGDLEAPAADAAAFK NHHRLARAGWENGIHPRYFLVMDKEGFPPIKHVIFRHRAIFPEVWIYDAEWAPPPGVD GIHDEDGYQGRIRMRYPYHTYMYFYPLTLEPGFDLKTLWMEQEDKSKPYPFPWTDIGY HDYGYSSEEDEASLGRLWFEGKW PFICI_00392 MDELQRLWTVKEAANGHGLFAAADIKPGLLIIQEAPLLTVSREE AQSGSEHIGIPAKTGLLTAEDQSRMMDLYHNPSKLREFAEFQGRPCPGAPHIDSAVAL AKFYTNAATITTGDLDAGLFPTFCRMNHSCMPNTSWGYDEVSGTMQVYASREIRHGEE LTDAYTELARPRARRLKELANWGFQCECLVCEGPDAEEHETRRRQVWRINEILNLYDA HKRKSGKERPVFAEMPKTDEEALRLAEESAALLQAEGLVEELGAAYGRCAKFARAAGL FKLADEYDEKEFEILVLTTGECSP PFICI_00393 MQDELATKLPPDTKATEVKEFLEGLNAESTSKIGGTDEITNEWH LDAAMTLSLEHNDPQTVEKLDTLLIERSMAVATAEGSSRAQHEDRVEKEKTAKKEVEH ALEVRKHKPAAKDEVRKREQERMHEIRNGRP PFICI_00394 MGTTSLCYLGQVRLAKLRLEISDATKGLGFLESSVPSVSDDTVR LAAEFLKSSLSSVSQEAFRSVYELWCAFNCATLGPTTFYDPWVRSNTLQTFGNLTADI LKINFDQSLEADKKAWWDRVKDNPRTDETVSISLSTKYHSTMEYGFNKKWFDIRLKGI QVYLCGARVYMDKLPPEARGSADASKTIKLILESRGNYRYVDEKGQPHEFYLPGSTFD FNYKILMASEVGFPRRSQNLVSGAGDQGAGGKCCRGA PFICI_00395 MSRPKYSALLELASTRQFRLLKLHRGFNEPLSGELIHSTIHQSP PFRALSYTWNTAFEDNDSEKDLPPSVIIVDGIPVSIGQNLATALVALRDWHGGADGFV WNDAICIDQNNYAERAYQVSIMGEIYNAADSVSVWLGTEAQDSDRAIDFLRLLARVHR EPKDRRTKTLAMARNPKMEAEWKALDALWKRRWWHRAWVLQETALARRSDFSCGRGLI LDSDVYDGTQALRDVWPALREILAVNYDIVLRESTFNAINGITRIRKARLEGTLFNML TCHFRTTSPRATDPRDYIFAKMGLASNGYLVKPSYSESVEEVYTRFVVDYIEGTRSLD IIHSDGRPRVIPNLPSWVPDWSTHHNAEPIQPELTATQLEPNPSYRPYRASNELLASV VFESPVPVAEGRSTASAGARLAKLKCRGRYFDTVDGVTRVDKEVSYEPYYTYERIVDP AVEPRSNKKSFYASEREVVEAICNSVFSVVNHDGNLWPAGSSNTLISHFANAEALLQH SDEYDDYDGDEDRIDLELLIPGYRCLRDFRIGGRTIADMMCGAASHRRAVMPVRKASH ELYHRAGSGFGPHDIDRKFRDSLLYRRWLTTADKGAVGSGPLETRAGDVVVILNGCTI PVILRPEGEEQYSVVGAGFVHGIMYGEAAENKTDERWFALI PFICI_00396 MALCSRCLAIPFGILPDHPPVGSFYRLFDESELPSISCEYEQQQ PLGYPWHTDLDSLAASVSACVLCATIHQGFQVWLSHFERGQKKQFYVEFQDTYDESVP SGERLWLTKRPSQSPGLSVLAKDPKKRRKFYVLAVVAFSVDASNPAAHVMQLRPPELD SGSVHSLNIAAEFLKACRDQHDGCHVEESPLPTRVLDTDLPDGMVKLIEPHGQSGKYA CLSYCWGGVDNFITSQSTIDSRRSGFHVSELPKTLGDAVKTIRHLGIRYLWVDSLCIC QDDPQDWAQESARMADVYSNAYLVIAANRSADASGGCFHSRTAQPSCEVDLPGYADNV HVRCVLLSDEIDWDHGGFTSEPLSKRAWGLQERALARRVLHFNSRQLYYECDNGIVSE DGSRQTRLHGNLPRPHQKIVQSDKENLHTLWYSLVWEYGERDLTYATDKLPAMSGLAR VLSTRIGADYVAGIWSDALIDGLAWQSLDSGQPALQDPYVGPSWSWASYGGVAAIGHG RRSRYIDIATVLNWKLELKSEANPFGALSGASLQIRGPIVPLFASEDTDEDAVKRERA GLPPSVRGTTSDVEDAESSEYIFFDYEEVPRSGTWRNMSMKVLLLATLVAENGNDEDK DEETEPDPSVFGLVVIDAGAPTANEMQRIGWMFLPAKEADRIQEDEANWRTTNLI PFICI_00397 MVADIEVAIQQHEEALHLTPDDHPGRKDHLFNIGNDFAQKYQKT GFLEDLEMALQRTQEALDVMSKDHADRARRLEGHGSVYNLKYEKTKAMPDFEAAIRHY QDALHHRVSPLRHRILSGEKLVTLYADAHDWQSAYHTADVTISLIPALTSRSLQTSDK QDLIEENSHLASDAFAVALKADQSLHDAWRLLELGRGTIVGSLHEMRTDISELYQQHP TIATEFTELRDQPGAPKESMQAIQRYEMAQRFDNVIEEIRRLPGFDRFLLAPTEDEIK AAAADGPIVIVNASSYGCDALIIKDTSIKAMPLSRARYDDVCIRAETLASPESLELDP LEWFWEVVASPILEALGMLETPVGNWPHIWWIPTGLFAKFPLHAAGRHDGFSDGVLDR VISSYSSSIKVLIQSRRNRSKPAVSPKSGSIVLLGMEETPGQSRLQFVPEEIERLEGL HTSTLLRIIRP PFICI_00398 MDGPLTSCKPFLAYLSACSTGQVRHDELVDEGIHPTGACQLAGF QHVIDTLWEVNDKSCVEVKMTTYQWIQNHAMTDAAVSEGLHRACRELRGRWMTENSSR AALRRRECEPIVGRQSRSIQDNMREARDVEADDDVPLYWVPYVHFGI PFICI_00399 MLTQQVENYPSGYPRFTALLSAYSPYFLCRRFDQLRARLLLLKQ DRLSMLEQKLEEVDRNEASLLFLGKSRCDRNVDRISVLSDIETCLSDYDDFVERTTRI LSLGKAQHRDVESLQNWLDGNGCLAREEAAYLSHRELITLAPPRDNAIAQFEAWIEDK LIRFDRNFRKSHFHDVSNDPNVYIYSGSHIRRAARTLLLCLITVLLLMPVVLCNSIVA VSARMTVIVLSTILYLLVISELTQSRTLELVVAGATVRVKYEPNTE PFICI_00400 MADSEPESPRTSFDEDDESPRTSFDEDDKRPYVTAYGYVTAYVE VNSNFAFWKRLY PFICI_00401 MNLPSMPQAKIHAGQVGAILEHLTRFRFARDLANDSSTDPFCES FDVRIRAGGIDVSPGNLLEVKDSISVEVVIRNKSEQPVFAFIYNLGPLWQVQDIYHGI IVMPQGVKRKKLKLKVPIQMKENGRSSCEDIFKVILTSQPTSFDMLELPTLGEQSISK KLDRSDQKAGGATEEWASMNFLFRTIIS PFICI_00402 MTSLPKSPDGYLMASHVVPSDSHEDLDAAGNTEIYSTTAAPQCQ IDQDGEVGLGLIAKWLPFLALVPTTILSIVLFAGNAHNWVAPDSTYSFISTNRTVSQV AVQVIAYTLGMLNTSVVCRLLQYITRKRLSTRSISLDSLRFWTGIQSQKLLTNIPVYM STSLAFFCLLSVGPSAVWTGALTPIEIISNRSAVLSVPNYSNTSMLVYNWGERFGSYS RQSDQGLFTYNVGEQYMPHLMNSLATATTVDGSARVHSKLDNTGFSYIGRSHGVGAPI GLVDDALSITPHATGYTYIESGYKAHVDCIYNSSADFSLQCQDSSYYVVCAAKGYLPN SLPGQQESLDYMGYSSSPIAAIGVTSNPAQPRRMLATATGTDYEQLNNIQCEWEFTPS QFSVSTSFAAKNISVTLVPQDLTSTPEAVDDFEPKGNLTFLANWQFNLMSSDLSSLYS SFLGKSINANIENYRFAHASNSTQPELSDEEATLGGLEAALEAVMDDLLLGYAGAQLM VPNVSSDVDAHLLTRAVKFGQTSWIVAIFAFNIVLLVWTIVEATRTWGWKGLTIFDFT DPAALVLGTAKASKCSCNKNLSESHDKGYHLSGSDPDGKIRIAQRGSSLVICSPS PFICI_00403 MSDSFQYKYRPLRQPDAIRLCRILPHSDAASSIHISLSSYHLSN PTLNFTALSYTWGDPSDKTPISVGGSEAFLQVPRSASEALTVLRLHGKKTLFWIDAIC IDQNNLQEKTSQVRIMGRIFARAFCTTIYLGPHTKSSQIVFQDVIEAMNLPKVKNPKR QRMVRDRDPPNAAVVQGLEDLIQRPWFQRVWVLQEVYLSQNIWVLCGDTMVPQEMLRD CFFGYSKNNLVTKQNVPFAFRINEPIWGISSRLSWSDLWGCLYWTRGSLATDSRDRLF ALRALFAPLEANDTDSFMAEFDKMINYEKSLEEVFETLALTLLRFIGLWLLVGARHEH NRQMPSWVPDWSQNLPLAGDFFVDLGQNELFGRQVNRATYIDYQLLDQRILIVQGWRC GQIQEMGKTYIFADLEDADRQISHLISLITIDQSSETIQRETSNIAEVGLSQGILKGL VPASQQTCPTIILRPYSSVATDGNNPVIDAVNSEFQIIGGAQ PFICI_00404 MSAGTLDPDDYTVAWIAPLEIEARAALHLLDKRHHGRFPVSRGD NYVFHGGSICGHNVIIATLPAGQEYGTGSAAALASQMKKFFPNLWFGLLVGVAAGLPA LKHSPPRDIRLGDVLIALPEDGRPGIIAYDLGKETEGGIRLLRDGHVLAMTEPIVRSA IGSIKLHAPNDAAIFLPYYETIRDKQHANGTFIDPGQDYDTLYLARDDGTEEVVERTR RLDAQRTRVWYGPIGSGEKLSKNARWRDELRDRYGIIGLEMEAAGTMNQIPVGVIRGV CDYGDMHKNKEWQPYAAAMAAAYAKTVLCQIPPKTPDRLTRSVPHDKMNQTRVTARSI VLGDSAGTYIPRHSPNVTFHEPSHPQQHKEPFSTVTDADAIERAGIATWPRDPVMPLG MPADLVASLEKWVITRGAQYLPDIHDSLSPLMPVLRHILHDLAVGNEMDLCGEHSHWL WNEFRCILMKAVLSKPDKAQEILRPQEEQRPRREEAQGRPKRKHSDVQTDNEVSIVTK RRRAYTGQNIRRTPDLRDQYKSRRYKTSEGNLLVQTRYGKRDLSLLVHFTLIPTTSSD QRDRTGFTMIFQRAMCGQNIERCLRTFRTVEWEDSAFEMIENGDLDSLKAALSDRIIS PFDRLADDESTLISFASRQMQLGVCEFLLDFVEGTDPCEVDRIGTVAKLLQRGCLEDE SWQNREADDGCLHLSGLGYHVALPAWEISEMISLFIKHGAGLEIKDQYGKTPLLDNIN ITGELGHIVIRRLLDSKANVAAVDDNGNGVFHLVLEGHHDKPKYLQERLKLLLQYTTI SELCRPNEQGYTPSDFALTSSVWRIWCETVAARIPLQELLQRDSFLKKDHQENEARDT TPHPRPIRPRQRATRTVTRGGEIIAKKPLAGPTHVGVADHRSRLYIGN PFICI_00405 MPRNIITNSGSGAQYVMTGTGDQYNHCELTTQFGPHGTSNQHST DELAAVPTCNKGRPSRRADFCIAIICALTVEFDAVSLLFDEMWDEEDDAYGRAPGDTN TYTTGRIGKYNVALALLPNMGTASAAGAAAGMRSSFPRLRLVLVVGVCGGVPGTGTGK TELLLGDVVISKTIQQSLGKQYPAQYVLKDTIDDNLGRLNKDIRTLVMSFETELGQRR LQRKAGGYLKVLQREAVRLGFRQDYQYPGLAEDKLFAPTYRHKHHLRNTCTDCSEDSA AVCDIAVHMSCVNLCCDESQLVPRIRLKMKAGLEPDVMQCPEIHIGRIRSGDTVIKSG EHRDRVAEEHNVIAFEMEGAGVWDEVPSIVVKGVCDYADSHKNKEWQPFAAATAASVA KAVLERYTSTIID PFICI_00406 MPSNTIGHRGPGPQIIAAGSGDQFTQSGSGSKQFNNSTFYGYPQ IEAANELHEEKEACLSSLAFPAIDARRHDIAPAYDGTCDWFFATPEFHEWQHPTRLAD HNEVLWLKGKPGAGKSTLMKHIWIQCKEKFFRDHIIAAYFFHARGEELERTPLGMLRS ILYQLLKNNESLYQDFVPRFREKERTSRGRDLQWREAELKEFLRSVLNEFRSPPLLLL IDALDECNGSDVHAVIEFVESLSISASQSKVPFKICLSSRHFPNINMGKMLKFTVEGS EDHLKDISKYLAGRLRIHEADLEEEIIMKADGIFLWVVIVVSLLNKAYDEGRVEAMRR ILNEIPDDLEKIFSTILAQDPSTAAETVVMLQLVLLSVRPLEPRELFAAVVKTALPSN DIIERRIITTSRGLLEVRKGGSGSVQFIHLSVSDFLFQQSRLEKLDPTLGSESIIACH SRIWARCRSCMEQIGAAIAKPGQIAILRAKDPFLVYVAGHILDHAERALANGTSIQNM NHLDIRCNQAHSSSELPIREWLRDSDSWLGWWKLLIAAAGSDEERLGLESEKEVGLAY VLALRGLPNLSRAALPDVDVNLEGGWYGNALQAASYGGHKETVDILLQRGADISAPGG YYGHALQAAAHGGHREIIDVLLKQGADVNAQGGHYGNALQAASWGGHKETIDLLLERG ADINGQCGYYGHALQAASFEGHQDVVELLLQRGADVNAQGGYYGYALQAASHEGHQDI IELLLRRGADISAQGGHYGHALQAASYEGHQDIVELLLRRGAVISAQGGHYGDALQAA SYGRCQDIVELLLRQGADVNARGGYFGTALQAASNRGHREIVKLLLENDADVNIQGGR FGNALQAAAAKGYRVILEMLLQKGANILARGGHFGNALQAASYGGSPKTVVFLLDNGA NIATAGGHFGNPLQAACRGGHYEIVQLLVEKGADVNAQGGKYGNALQAASSRGHDDIV KFLLDHGAHVNAPGGKYENSLQAATSAGHEDTLKLLLGRGAIASLQSEEKFATMFSER EATSHSQSSCTNPHYVVACAIITSLLAVIFAFVYPSLFV PFICI_00407 MTVELGPVAEVNPARSPPSESLGDVKVEAAVTVDSDRTPDDSDD AASAHSSLRPAPLKWKLAAIVLVTAIGFGSQWSSGITGAMKTTIKKELNIDNTQFALL EASEDFMVTALMLVSGIVTDRIGVGAMLYGNAIYSIGSILVAAAAQTRSYKFMVGGRV VRALGDIATQVAQYKVFSSWFAPGNGFASTLGFELGIGKIGAFAGKSSANIIAARTGD FAWVFWVAVFMNIFTNVMTGVFYWFTKIANRKFHGVKDPSTGQILKEKSKKFEIRKVL ELPWAFWCIQAFSLFETSTAIVYLQNATEIAEQRFNVGSITAGWYSATSQYAGFFIVP LLGAFLDLFGQRISVLLFCGVGMFTSMLVLCFGSNVTGAAASLGVFAFAYCFGPTTII DSIRTSIWDPSVFGSAYALKITMNNAMNIVVRVITGVIQDRDNNSYDNVVIVYAILAG ISVVVSIILAITARLNVDLGHLQWTRKQRMARTAILQERRRRFAEENGPRNRKISMAC FVACLFLILGSWCGYFWGVATGNNE PFICI_00408 MCSTKEVGYQRATDAIASFIGLDEHTGFDTLVLASYQDSSTTTT ATETFLPSSDCTAAVTITCSNEIVTEFVTASSTLAVKSDFSSSHSTVPQCNAITVTTS TATVTIPAEPATEVIIISSIATTPTELASVYVDIVTEIVTTMTILTVEGEESSPAVPV SGSRHRSSAASDGLVPAPSSMTSTPSMQGIPDDNTKPCAVPYTPEERPTSTSRGTTFK PPSTITRATASPEGVIETAGTARAGHLSTPSTSNARSLPAAVGRPLDKNPLGTSESVG SVSTTTSGISLPSSPMRTTTTTNAIWPIHSPCGHRAPSPEQSLVQTETAEARPVRALP WLPMTFRTSTRAPLE PFICI_00409 MASPSVILIIVTLFTGLTSITLLCYALWQLHLLKKEVDPLHPIR LARVARNLRSAATTGIEPLETVVCKDAQPSSMEAGAIPTSEPTTPIRPSRPRSLWWPG LAHGVPPEQMNSDASMPSIPESPPPFKTEAHWNAV PFICI_00410 MAQTIPKGNHLDPKSPDDTAQPPYRQIRAQYDEDTITVYQAYNA EIASQAVAHQRLNASPLFRPVRMTWIKPSWCWMMYRSGYACKDANQERILAIKMRHAD FVGLLERAVLTTETTAATAAVGVGVGVGANSAACNEKREGVDAAVKVQWDPERSVRLG RLDHRSIQIGIRGSLAAEWIERWIVGIEDVTARAISLKAALDANPDISEQELVARGLV PDERAFHVSDNLRNVLGMHVS PFICI_00411 MENNKGSAQQGSTGSRRRSSGPAFEGLMSQKRSNDPSSQARRQS IHEQRPAPGIFGQMWHK PFICI_00412 MVAGMGGVLGPVALRLVRTTAYKASKLVRKKLTAALRPVNTALE PALAKSRPRQPIHPSALLRQQKSRRWYSTSTYRNIDAAVRRFITTGRIDASVRIDRTK FMSTKTGRAVSQMTGRAPFASTLRPNLTGGALPRTAGGYGLGSGRIGGARYFSHAPAS QAQVVQNVSSAVRAFWISGQKAQFDGLNARGEKQYRAVSSLQEETSRKLASVPRIMPG SYVDFALNPTVTALSPLAAAMPFTTKSKEVAAIDATTLNTEGFLDVLSVDFGRALKDL TAVLSDIKKLSALGDLPIQLEKGQILRVRFPGVDAETVEALLDDLGLSRGIVREDADF GVETGVPMALKFPFAPDAVSEESALSASPEGSLPSLGSSLQEHELFETFSDIEDNPWL ASPEPEGYETASQPFSSGEHCSQEFEGLDGVYKFLEECDRARGRF PFICI_00413 MVGNKSEYFGKLKNLLEEYKSVFIVTVDNVSSQQMHEVRQSLRG EAVVLMGKNTMVRRALRTFINDTPEYERLLPHVKGNIGFVFTNGDLKDIRDKILANKV AAPARAGAVAPVDVWIPAGNTGMEPGKTSFFQALGVPTKIARGTIEITADLKLVETGA KVGPSEATLLNMLNISPFTYGLGISQVYDQGNAFPASVLDVGEEQLLKTFASAITAIA SISLAINFPTLPSVMHSLVNGYKKVLAVAIETEISWPEIEELKDRIANPDAYASAAPA AGAGAAAAGGDAAPAEDKKEDDEEESDDDMGFGLL PFICI_00414 MRRAACRRLASTLVTRRRPTCLAPWVMISKGHHEGDSSGGGGNA SSMPQQLDSGLPRRYQQQQQQQIRGKKTKTTISLADLPQGPIRNLPPETPTSPSVQEE QQEDAPAYPTVVMQARRNMARFDNCVLLTRVGGFYELYFEHAEEYGPLLNLKVASKKT NAGPVPMAGFPFFQLDRFLKVLVQEFNCYVAIAEEFPNDAGDKVKSNGLMHDRKVTRI ITPGTLIDENFIDPYANNYVMAIHLGVSDADAASSEESIQQDGSNAEESLHPAAEPVG LAWLDISTGQFYTQSTTIGGLSSILSRVGPREIVIDKALQAQKDHSLFTILAEDKHLI SFTPHTTLMPTEEWISMLESEISANTLKSFTPDETSAGSLLLQYVRDRLLGLSMKLQP PVRHESLQVLTIDKNSMRSLEIKQTMRDGAFKGSLLHAVRRTVTKSGARLLNDWLSAP STDLETITKRQDLVEYFIKYPDLRDEIIQLLRRSHDSQRLVQKFAFGRGDPDDLVGLA ATIRATEDIVQLLSSQGSTESPTSHPFADILSRISLDEPSKLAARIRSSIDEDGLSAQ HQLEDSEAGQLLAMASDVVSSEGTTEEAASILPKSAASKIKKAGGGGRPTSIRDHYDA DNPTFTMKSGASPALSALHTELDGLLQERLTLAETLAADFSAPSLTLRWTPNLGHIVH VKGKDVRQLPSDLAALTSSRSTRTFALPAWTSLGQSLHQTRFAIAAAETRLLAQLREH VVRNLVKLRRNAAALDALDVTTSLARLAADHGLVRPLLSASHTAHRVMGGRHPTVELG LRAAGRSFAPNDCLVGAPSQGRLWLVTGPNMAGKSTYLRQNALITILAQTGCFVPAAH AELGVVDAIFSRVGSADNLYGDQSTFMVEMLETAQILRSATARSFVIMDEIGRGTTPD DGTAVAFAALWHLVTVNKCRALFATHFHELADLVRAREMHVDQGGEVECYCTDLAEDG RGGFVYVHKLRRGINRQSHALKVAKLAGMPEAAVTMATEILAHGKKTNGS PFICI_00415 MVKETKYYDTLGVAPDATEAQLKKAYKVNALKYHPDKNANNPEA ESKFKEISHAYEILSDSQKRQVYDQYGEAGLEGGAGGGGMAAEDLFAQFFGGGGSFGG GLGGMFGGMQNRGPPKARTIHHTHKVSLEDVYRGKVSKLALQRSIICPKCEGRGGKEG AVKRCAGCDGHGMKTMMRQMGPMIQRFQTVCPDCNGEGETIKDKDRCKGCNGKKTTVD RKVLHVHVDRGVRSGTKVEFRGEGDQSPGVQAGDVVFEIEQKPHPRFTRKEDDLLYRC EIELVQALAGGTIYIEHLDDRWLSVDIFPGEAIAPDAVKMIRGQGMPSPRHHDFGNMY IQFAVKFPEKGWTENEEHFEQLRKILPGPSLQITPPAEAMTEPADLEEVDAQSSQNAF GGGSMDEDDEDGHPHGERVQCASQ PFICI_00416 MAQLLQDLIYSFGNCLSCFPGSPSLKINSRSFKILRLLGEGGFS YVYLVQDTSTAELFALKKIRCPFGAESVQQAMREVEAYKTFAHSPGVIHSVDYAVASE RSDPGSKTVYVLLPYYRRGNLQDMINANLVNHARFPEKKLMVLFLGVCRALKDMHHYQ APAAGERMEMGDAKAGEDDVPGPGKKKGKGRRNQAVAAADADDETEQARPLMIDEQIA APGERRSYSHRDIKPGNIMIDDDGASPIIMDLGSVAPSPIPITSRSQAVAMQDTAAEH STMPYRAPELFEVNTGAVIDTKVDIWSLGCTLYACLVGKSPFEMRSDETGGSLSMCVL GGDWRFPDEGKNQKKGKGPAGGAEAGNADEVISDPIKEVVRKCLTVEPDHRPDIDELI AMVEEVVEGLPEDGM PFICI_00417 MSLPYQCVTTLGQDGIVASARGTAIFTFSPKGILVSSWEHPATQ HKNTTTGDSPAEDNVEQAAEEPEEGSSPTKRRKVETVTGVEAVAASSGEGSEQQAQVE VQGEEQKRTKKGRHLNKLSPADQPHINLLRTTSNGSHLIVVTGTDKAVWVFEHDGEGK LTELSQRCMPKRPCDLAVTSDDKTILVADKFGDVYSLPLIQTEELVEGAATPKGYQPM PSKGADNLTVHTQRNLKALIDQERQRQSNPQPKTKEAPKFVHELLIGHVSMLTAIAVG ASANGKPYILTADRDEHIRVSRGIPQAHVIENFCLGHKSFVNALCLPRPDVLVSGGGD DELYVWDWLNGTLKGKTDLLGPVKQVVPEATKVAVSRLVSSQDGWVMVICERVRAVFA FLFSNEKLTFVEALKVPGNALDVAIIPATEKRPSRVVIGFDNETESTSSAAEPQLAVF EERGEFWESIDFEYQDTDISKIECTRASLDNVFYAVENLRKTENPYGDDDGEDTPAAD SEGPSSAAN PFICI_00418 MTQAVKHAHDSSNNTTADAGSSLSEQKHIKTPKPRNSEARKEQN RIASRAYREKRKQKLALLDQILNIEDTDAASSPSDIDGISQGSLSVVAQSREPSQSPV PATTTSLATAPPVLSWLSTSSNTHLPGESLSLDMTGGGGGRGGEAFGSDMWFTEYEYT DSTINNNNNNTTTLFGATDHQDFMTTPAYPNHHAHQYTADYATSSDLHHHHIAPSTPP QTCLDTGAMYDTTTWLSQSKESPSETPVAAALAVFSHLSPTQQDQMLEIIYKQRGILG TTSLNNGVNCPATPPSDLSYGPRTYAHQYRESVSKSNRPRSHYQGV PFICI_00419 MGGDRTPQLELASAAAATSNYPAQATAPIGKRISKIYKERIAQF YSTGQWEKQNLLAMMYEGKASGAPHVQLSTWAAPGLTRPTFDAAMKGTYRPTSVGEAF GPSWSTHWFKVIIKVPKDLLDKEHLEFQWDGNNEGLIWTADGKPLQGLTGGGERTEWI LPKAFRDGKEHTIYIEMACNGMFGNAPGGDSIQPPNPNKYFQLSRAEICAVNMDARQL WIDTWIIGDAAREFPEDSWEQHKALNVATNIIDTFKLGDKESLKECRKIAEEYIGSKV NSADVYKTDTVPQVYGIGHCHIDTCWLWPWAETKRKVARSWSNQCDLMERYPELNFAC SQAQQYKWLKMYYPYVFDRVKTKVKEGQFHPIGGSWVEHDTNMPSGESLVRQFLYGQR FFQGHFGERCRTFWLPDTFGYSSQLPQLCRLAGMERFLTQKLSWNNINNFPHTTFNWV SLDGSQVICHMPPSETYTAEAHFGDVSRSVSRHKSMDQDHTSLLVFGKGDGGGGPTWQ HIEKLRRCRGISDEVGRLPRVHMGSTVDQFFDKLQEKGTSLVTWYGELYFELHRGTYT TQANNKLNNRRSEVLMKDLELLATIASLEHKSYTYPKAEFDEIWEGILLCQFHDCLPG SSIEMCYDDSDELYKRIFEIGQGVLKDIYKLYGFPEVQPTSVTDAVALNTLPWHRKEV VDISESECGVACGSGNILNVKSFKTGEKKAVTVKEVSKGIFVMENDQLTVTVEAGTVT SLVDRRNGREVIAKGGKANQFVIFDDKPLYWQAWDVEVYHLDTRKELPSGQTKIFEDK EHRVSVVTETKISDDSSIKTILSLQAAFEGYQSYVECTSHVDWHETMKFLKVEFPVEV VNNEASYETQYGIVKRPTHYNTTWDMAKFEVCCHKFADLSEHGYGVSVINDSKYGFAT VGNLMRLSLLRSPKAPDAHADMGTHTIRWAILPHEGALGSTTVRTAHNFNNPLKVKAA PAAEVKATLSTQPVSLKGDSSLVLDCVKRGEDDEDVSQDDNIPKRKGKSVILRIYDSL GGHSRGTISTIWNVKKVTKTNVLEDDLETVSVSKDGSFAIKLRPFEVATYRLEV PFICI_00420 MSSAATTSTTAYQQRPKTLTRTSPRKAARGFIGTASTPNLSALY SAQAGISNSSSNRLASGAAGLLARKASQAALTPSSLAAIPDDSDNYPFLSVLNDESPT KMPPMTPGRASVAHDDFDVGDIVDVPGGMHGTVRFVGSVAGKKGTFAGVELHPDFAAR GKNNGDVDGISYFTTSQPDAGIFVPVTKLFKRNSATSGGLHPNTPSALRATNQNTVNY TPPTPSLPKFSQSVGPGRVASPTGRKSRISLPRPESPVRKLQLSPAPRASATTPVPKA VPSRYGTPSIGKFSASMRGTGGDPAKPPSRLDYRKPSIVPRSVSALDQVGPQHSDEDE ITPIVNRSQTNGSIGSINSLRMRSASRAAAVDEEEMERLRAQLADRDMQLKEQASALA EMESSVQELTSLMENADVSQLQRDIHDDKDTTQLRALLREKNEKIAILTGEFDVHRAD FRSTIDTLEMASTETQRVYDARIQELEQEIRELQERNDDVESVARQLKQLEELVQELE EGLEDARRGEAEARGEVEFLRGEVERTRAELRKEREKSSAALNGSPNGDAVSSTKELE KKDDEIRGLKAIIHSLSRDGVSGNDTADRASGQFRGSNEFGKPRTESFEARQKREQEL NELRALVDTKNSREEELERELEALRRNSATTHRGSAMTLDSSSRNSYRDSKGTVILAR DAPEPKHKRVPTMDTMAESDAYSSVTESSTLWCEICETGGHDILTCSNMFGNQDQGKT SPDVAKAGNDTMHENSASLQVPGPEVDVMPRPLTPVKSTPATHPPPANVQILPNPTDS GPLAGKDSGVVDIEKWCALCERDGHDSIDCPLEDAF PFICI_00421 MGVLTTLRDERLVFVGLGLATVGFLGVVRLILTHFRDEVEIRPK SPKTQYITQATEDALKLDTISSLIDHYNPTVRDTATKIILGRAANDVETIRHLLRGIT QKDYNEREKYLRALTLAVEDKDITQDHLKALNTPEAYQAIIKSLEQSANDRERNDIND PLWDEYHLRDVNERRCLALLQQLLSRYTRSAKFLVEARFVEKWLVKQGWGETEEEYHT NFTLYVRRRKNRLSDICMQLLRSKDGRKALRESKLLQKGKSRGSPLNDADNGGVKVIL EFNVQNEDENGQIWQENYQAELIPRVMSQTDEEQRRRRRHREAIVLNDGTHSLGRADI IEREHDTGA PFICI_00422 MAGSQLKRLKESLRTQGIIGPQQSKKQKKRNAQDERAKSDKRLS RTTKLEGIREQFNPFDLKHNVRGPKFDVTSNRPATGNAAKGIYGRPTEARAAAEERRK ETLLVEMQRRQKIGGLIDRRFGEDDANMTPEEKALERFALEKQRSHKRAVFDLEDDEA GESFGLTHMGKSLSLDGPNITDDYEEDDMDAGSDDDDEGRKAYLKRKLMTEGETEAED DQPERKKTKAEVMKEVIAKSKFHKAERQAAKEADEDLRMELDDELPNLRQLLFKTGKD TGLAAKSGAAQELQKEYDMQVRSLAADRRAQPTDRTKTEEEQAEEEATKLRELEEKRQ RRMEGREESEDEVEDESEAEEDDANGPVQFIEKDEGDTFGLGKGIKLRPTATELGFDD EDDFFVEDNLIAEGSDLSLSEVESGDEESDQSEDDQEAEEEEDEFTKGILNEEETKNP AFSLKEDGTRDSTTTKEDADGLPYVFSCPETHEALLKITKTVPDEKLPVVVQRIRALH HSKLDSSNKTKLGNFARVLIQHLPYLATRGAPFATIESLVRHAHSMAKTYPIEIANEL RLHIEDISKRPLDMNVGDLIILTTIGTIFPTSDHFHQVTTPAMLTLNRYLGMKIPRQL TDYTIGCYLSILAIQYQTVSKRYIPEVMNFSLNTLTALAPVNAKDKLGFFPVHDPVDG TRVQGLKGTSVRRLNCLDCTNSAETDIAEIKIALVDTTVKLLDNAATIWTGKSAFYET FQPALAVLNHLSDKACRSHFPKALNQQIGRSKAKLEGLLQLARMERRNLELHHHRPLA IKTHVPKFEDSFDPDKHYDPDRERAEANKLKAEHKKERKGAMRELRKDANFMAREKLR IKKARDTAYEKKFKRIVAEIQSEEGRESNAYEREKVARKRAAKRG PFICI_00423 MGDSGDEDDYMSMSFLTESDPSTTRPETSLQRRQRERREAEIRG RPKSKQELAAEAKAAREAALSRSLIPGVASSSKSQTNHQGEKDHDDDEDDPWSALAPP EPALAKKSKGLSMMEKMGFAPGSALGAKENNSHAATEPIRLHLKEDRGGIGLDAQRKR ALDEAAEREGVNPAAAAAAAKKPKIDPLEFRERNRREREVKRWEGQVYGAQKVCERMD EERDAETRAQQETEEEEEDDGAVDENGKRRKKKRTLSTRPLKSINVLWRGLVRRREEA ERERRMRYDLEQSLSRLPTYEDDTEDADDAQALGKKKMAYVPVEDLEEEDPGLDEFNA LEPDEKLRRLVDYLRKEYRYCFWCKCSYPDEEMDGCPGLTEDDHD PFICI_00424 MPPSTFLIEKSSQDYICRSCLLGLRKPPPATPAQWGVRRASQAA AKAARAARAARTSTTPRKPQSGDDSERLQTLKKLGLLQKDEEHKATVNYFQEDGGKIR RLSGVDEFSKALTDPGGEMEAELKSMEKDTGYVRSFMDMLFRAQVDEPKRNPDVLKDE LEKALKVNKPLDSNALEALDDLSKDLYDESDGPIYIDTSILVANWPRTHLEKIVRLNN NLQLASRQLDRGAINKQGFGVWKWYYGARQLLATDWKIVPPATWELLWDIFSVESSKN PNRWHHLHILAKDMNEAGVELAPKRQLLAIEATFLEGWHKEAVDNHKRKVTTLGADPK TFLEFWQLGFRMYCQIGDLERAERTAEIILTSKYPHDARFLFPLIRAFAEKTETADKA YNVYEEIRTRLGEQMTIEDYDVIISYFLAAQQSEIAFSIFVEMMTSGRLNLRKSKRSD KLPPSVANEFFVGKWLKRLTLIGDFEGAHKALLHMKSKGVMPRPMVVNVLVGSWLRTG VAENVQKAEDLAWAMINSRLQFVQLRQETRGIEGVVPYKPGLKIVYSMTGPGWPKATL ETFSLLAENYKDRGLIAKMEELWEAFKRAEMGADTFFMNQLLFSMVRSGRGGEVINLF RAMMERFSASESTPRGLEPDSWTFLALWQSLTANRLQHWGPESVPKIITESRVLFAEM VRYAHNFQQEDVGVNFQLARTIMHTFRRAKDPVSMLVAYRALRQVFNLQDPGSMVLEM IVGTTNLEKAAGDSKMRSQIITATKHTEKYLSQRQQEMVASGELRKNEEMPNNVRSAE MGDYLELHLEAELSKIKGAEELFVQAASDMGVYNNEDD PFICI_00425 MASEDVTGEVLTEREHQAAYIFDPADDADRPARPVKRRKVSKKQ QTTQPEPEFLDRTYFQSLFEKDSEPETSIKLRQTLFEEAWYGIDKRIHHVLREGNQST LENVTSFIKDSTSRQHKEKIPSGFIVTGANIASQELLFEQLSENLEENADAKVVRLRS ADASNLKAALKKIIHDVTARTAEGDDDLEVAMGRDGRRYLNYDLEALHSHLKASPLSH VIICFQDSEAFESGLLSDLILLFSSWLDRIPFGLLFGIATSVELFQARLLKSTCFHLH GDQFDVEQSTSVIEKIFKTAVAHVDAPLRLGPSLMQSLLERQQDQVAGSPMFVNSLKY AYMCHYYANPLSVLLSNELDNELVPKETLELVRSLPSFRTFVETTLKAGSIKKVRSLL DDDKYLIQCMQTERSHSKQWILNVLRNVKLLSVIHNTGYDFVQSYLDAISVGINMQDE HKDLSGLVQRLPPSDAASFISRFINTIKDGDSSIGLSGWADETSDLVAMLSDILAEIK TLQEQSEEQGTTLKSKYSGHNKILRTTVIAQKVQLSRDTADLTDEDKAYTALIDRLVE KLGSTLETPKTEDVFLHELWLYDLRTPYKDVFIPRPRTVIERALSRPHDYLGCSCCKS GKDEIKPTLPVTAILYHLYLETGSLINVADLWSAFYAIVGEDKKDGLDKRTALVLFYR AMSELKTMGFVKQSRKKADHVAKLAWQGL PFICI_00426 MSFNQHHGHRPSLTAGEPIENQIATSPIDTDFSVPTTPVDSVFS HSSVSSSSSSPMDISFTDSFPRECSTSSNGNDSMATPTNPIVSPTAACFNTQPVIATL QNSCSSSSALPIPGPTHLAQPSQTFSAHRLSSLHDQPLSGHSSSIKKQNPAFAKKLQE MALPLAPLVQLTTGLVHPSFPSTLLRFWLLTDAELEGLAHFYHQRTPCRWTFHYPCPV AWETGLALEEKRRRIGKFIGLRGCETPVRVRSEDEIMEEARRAREREDEDEVWRRKLH WY PFICI_00427 MLDFLDIDWWSLALPFGYISVLAGMLFTFSTIYRKRKAAQLANL EPWFGPHLQRQVYSSLLEIAATGEKTKVPDSVIRAALLRRAVADIGRIIQIRQAKQAL NVLLQRGSVGEDLNQRFQRAEKEIEEELREVVMEANALQPGWGNVIFQSANEMAANAA LRERIEEIQGTADSEKEWWEKRREVIRQDFEKELNEEEAAKTDDDAVLVDTSAPSTPG GSKKKKQAKK PFICI_00428 MSETKPQFLRFTGHKNFAQRLVLSTLTGRPVHISKIRTTSPTNP GLAPHEVSFLRLLEAITNGSSMQISYTGTTITYHPGLITGAAPGVGAVGDAGDMIEHN LPATCTRGVTYFLMALCQLAPFSKAHMNVRFTGPGVITSATETGDLSVDSFRTAILPL YNLFGIPAIRIELRVLQRACAGPKGVGGAGIVELRFASQVRLPKTLHLNRNSGRIRRI RGVAYATGVSASNNARMIHSAREVLNPFVADIHVAAQYDQAPLVATGDKTKRRMGIGF GISLVAESSSNGVLYSADVVAPAEGGVVAEDIGKRCAYQLLERIEVGGCVDLAAAPTI MTLMAMGSEDVGRVRLGRGVIGAEETIRLARDLKTFGASSWGLRDVEDDDTGDIIISV KGTGVGNVGRKVA PFICI_00429 MNHDPTPTSPADDPDANPRPREEEDIAAAAVDDDDDNGAPSQQQ NGSAAGTTPRRRPKLSKKEKAGMAKKLAFLIHLLTSLDLLIYAELCVLYYMDCSFFRL LIRWIPHWLFLSVKLEIAIIPYFNYPIGAIIGPNVFCMFLHLVTSLPQASEISRGYLH GGVLVDFVGQKAPTSKFSLLLVDLVVLCLQCLMLSVNLEKDRIKKILNPPRQPEGSAG TAAAAATNPNQDHDHEERGVLREGPNIDELDGIEMQTFGSDGAETTSLLRQRDSNQEG LRDILASGNAILADFHVRTALRRAWTDRGNTSEAAAAYTLQNVSYNATLAALAAQRRA RLAAAQTGANRRT PFICI_00430 MSGDLTSQVLNALDKAEPILSTETFPGVPFAELKAVLDRLGSRS MVEYKQLEREEATLEPEGQSIADNGSHEARVFEALSKAMKALTVQEIQAAVGDKNVAK FGQGKAMQAKWIAKAEGGKFAAAVDSIKDTTREQLQIIQKERTLPDAKVLADFKKRKL IKTQKVISFSITKGPKFALELVKEETDLTEEMLATGSWKTATFKPYNFNALGADQHAG ALHPLMKVRHEFRNIFFEMGFEEMPTDHFVESGFWNFDALFVPQQHPARDLQDTFYIS DPKLAHKPRAEDSEDKKDYDTYFENIKQVHENGKYGSIGYRYPWAEDESLRLVLRTHT TAISTAMLHKLADKRGLDGKPPPARYFSIDRVFRNETVDATHLAEFHQVEGVIADYDL TLGGLMEFMTIFFKKMGIEDLRFKPAYNPYTEPSLEIFSFHKGLNKLVEIGNSGMFRP EMLEAMGLPGDMKVYGWGLSLERPTMIKYGISNIRELLGHKVDLNFIERNPAVRLDKS PFICI_00431 MKFQSYSCAICALTLLSIISSAASVVTVGTEDHQAPLQRQQIAE AVDHPVLFSHDIAESQAQLWRRQFPNQQSVATPTIATRRGRSFGVWNGLLLLSINTAQ IQIVTATSTATVPCSDNTSPGTVGVSYGIANNITSTSHVSSLSASPISLPENTLDTQS SAPLLCQDATTTVTETEHHHHTVFTTQTATVTDNLARRPQATGFLPEYPQGSSGSGAD HFQPVDSFPEDQIAQQLPASTAKPSPPEGNGNRPLPVAQFEYVTEYVTLTAVKVNSVG PEVYQSPVSYIMSPTPSEEESSGDEDESGVTTTTSAVTTLFTTVTGTNVVETAITKSF STGQSPASRYPQQLDPDPTTIPTVSSFSNAVSSLVNTTERSSVSMSHSAKPTIQIVNI WSSDWSTYPSSSTSVTTETTSQITQIPNTPEPGQAFDSHSPSTYPITTRLKFSTSPVS PTVVSTEPSLSTPSTTATTTSVHISTHTTPHADVVDSMETTNTACEDSVPTNGSRMPH IVISNIARRRRRS PFICI_00432 MEPVPETESLESFQSAPLPTLRLQQPRAISTNDLDFNRKPPTVR RSTEPTSPSSPSWSHPPALTLPYRPRTTSPLSGTHTRSKSTASLTLPSMSRTKSMPGF DVANRALSSPLLRPSSPSGSPNRVRQRKKPVDEAFPPTSPIRSSVLDGSEKLQHEGAA IGHRRTASPLRNVLPAPMLSQPPNMSLPSTPSSVASSPIYRYDSMSGGYSFPSSYSSS IPSTPTSIRSRSPSISSLETIPDSPDAEEAALEDERIAKLRAAAEASDGDGEDSLGDS KGKTSLDVPSRGRTLGLGTRDKRKRWSVCGAERRGDLDLETIWED PFICI_00433 MARPLGPVRMRRTNYLTLFIGAVLCLFIIYFLSASGSSSHSNTH KHRPAKPAPKSTVQHIRRDVQRFNLNHITITSDPAANREHVLILTPMARFYDEYWENL LKLNYPHELITLGFILPKNKEGNAATSALQAAITKTQKGPAKDRFRRVIIERQDFDPP LASQDEAERHKLQNQKERRASMSRARNSLLFTTLGPDTSWVLWLDSDIIETPHSLIQD LAAHDKPVIVPNCFQRFTDDSGNQAERAYDFNSWQDSVTAQELGAKMGNDELLLEGYA ELPTYRTLMAYMELENKNDVRAEVDLDGVGGTALMVRAEVHRDGAMFPPFPFYHLIET EGFAKMAKRLGYQSTGLPNYKVYHYNE PFICI_00434 MSTVSSGSSSRSASKRLLRELAAWEKEAPSESGIERLGPVIEEE LLHWEAVINGRGIGNGYDEGRWLLQIQIPATYPLAPPAITFATPVVHANVALRTGEVC LDLLKDAWTPAYSVLECVRAVRVLLSYPETDSPLNVDVAALVRAGDRVGARRLVEFWV QDPDGRYDGP PFICI_00435 MASNNEIFSNHGGQEPYASPFTGFHDHVTIDFPTTPDAPAFSPV VYSHIAGYGVGHGAKESGADFCRPIYNAHYPDFWFQQNQFQTEPPLPQNFPYATFPQH KKAVHDQAAVDGYTTEPLPGHTSPADWSSPFCSSSPLTPGPEIMNGDISMNGGYIPSR RPSFARRPSVPGDFGGTASPNTMDSPYSNSSYSGTIRSSQSNVSSPTASSGPLPLPPP PPHPPPPPPSYPRGGGNSVVISSQHEQNKQKNRAAAARCREKTRHYADELRGRERDLS SKKEFLTACVADLRDEILALKNEILRHSDCNCDYIQKYLTAAANQVA PFICI_00436 MGPDEAGLSSDWVFSLNSSHVCNDKRWFKTLEPFPTAVVQKSFL TKPAETKAEGVGTVDLPVKRSPHLSGRYAHHVLRLENVIYVPDYKFNVVGMEILRKND WVYEGSSPFKDQDAAILDQQGLQVCYMRQNHGMSCIRLSGPPHGPVTSPTQFDGESIH IVGHIMWPETERVRFERHKAALASRKQGTEPSIFTVSELLAHNRPGRRGYTTEEKAWL KKYHGNEYKFLQSHGLSIYKEDQREEGRAIARNMMQMDEKRNEELATVQPKHVEEPTQ EQEKKKNRRGGKKKKSNANSETITAGVSGDATHASSVDIWSGANAEPHLDAVETGIVD QSNEHAQTGKRRKNKKKSKAKITDLATDTGSVINNTGTANVTRDVNPSSNVGGSQIQE LEQTAGVTQPSKKKRNNKKKNRSTNEEINADVGGSATRSSASNLFNDAKTSNNSTGPE TETIEPTTKTIPTGKKKRKNKGTKKANIVPLSPEQQEQQAQTYLHSLIQNVAAKHEQD RQRAEATPPPPQVPATIPQPSKKKRGNMKRNKNIDSNHTMSSIEARPAREPLYFIPPV WPADIFKTVP PFICI_00437 MGLYTRLPVELTEVDVIVVGGGTAGCVVAARLSDADPNLTILVI EEGKNNDGDPSVMYPILCFGSILPGSSTTRAYVSNAEPQLSGRQVSVSVGNVLGGGSS VNLMMYSRAQRHDRDSWKTPGWTAEEMLPFLKKLETYHGPDPKGVHGDQGPIVVSRGP YEMKKSEDDFINAATKLGWAEQADLQDLDSNNGVQRAKRLISKDGQRSNTAHAYIHSR LGDDAHSNLHVLVETQVAKILFDGKRAVGVEVRANPKSQSDTTTQSIKARKLVVLSAG ALASPLILERSGLGDPNILKAANIPVIAPIPGIGKNYQDHHLISYSYKSSLEPHETID GIFGGRVDIGNMIQTKDPRLGWNAQEVTCKLRPSEADLSALGPEFQAAYKKDFNGHPD KPLALMSFLSGYAADPTGNPAEQYMSITTFTVYPYSRGHVHITGATLDDPVDFSTGFF ADPVDVTKHIWVYKKQREIARRMQAYRGEVPAMHPPFPQSSAAALVETDAPLLANVTD IEYTAEDDAIIAQWARDHVGTTWHSLGTCNMAPLEQGGVVDETLGVHGVEGLKIVDLS IAPGNVAANTAATAMAIGEKAADIIIKELGY PFICI_00438 MSQFAAVVALILVSLPFPTLATLAFYGPEVPCIEWMTTGDFLVA NCPANGYRATSVMDLNRKLALTDMFFPGNFSCYEFMDDDTIEAGDGHFITASNCTFEP VLNGGNSTAITCPSHQPGKGNVTSHIMLAHVENRNGLLWCGDIEGCYIDSPGCLSCQD TWIKDGDRCK PFICI_00439 MQEHIRRAHPEHYIPKLPATEESFLLMINTPPQDRSQIPQQNSV NGGGVPHGNHPVQQPPIRPPRSYQQMNHAYFRDDSSAPDTPRGLADFAGGAMLPTESA AAALAQLGQAQRMDSGWDSAEEWQSDTDGPRIPPRSTIELPPINVEPTSEPFPPLNGA RPQPQRDLLPSILPNSPPTGRSSTLPPLQRSLGPHRRRKQSVTKPGHKKQKSRGQAAE WLKRIQNDDRMKTGGLDRKAQSVEPSTDYGKRWEDLIDAAASATEDIEDDRTPVPQSP ISIHRASMPPFPHNNIQSFHGYQASPLQQALTPPSFHQGIPEPFPSVESGESGDQFHI GATGLSDSSPSYSSQDVHIYCAACQKSSKLRESYACTECICGLCRECVNILMEEQGAR RKCPRCATIGGRFKPFQLDIR PFICI_00440 MALTIKHLNSDASFLLSFEPVGANADPARGPVAVSRPFTILLDP WLTGPSNIFHPKFSTTTQTVAPCVSSLAELSVEPDLVIISQPKSDHCNEATLRQLPAS GTRTLILAEPASARLIRSWKYFDREKVRTIESWKDPRTGANNHRRVFRIPVPAAWPGG QTGEVTVCWIPQKRDLSGVHGAIGITYRPPPSQNNATVAAAAVRSTAAVVADMLTPPA TPVTPVRTLIPPTSVSTTHVHLFPPSPPISPHSLRSVQSAAALISPATPNTPQPRQSL LDRYLAMSSSSTSSSPTTQDIVRPISLVFSPHGIAYADLAPWATSHLVAEAALPLTAL LHCMDSIANPWWLGGNVCWGTATGAEIARRLGARVWISAHDAEKQVRGLATGRLTTRR WGREEIAGILGNSHHRHHHHRHDAAIAGYRGQDEGQDVAPSRQGKAASASAVRVDKEV EILRLDSGDEVLVTGNGHVLHDCFSQEVR PFICI_00441 MAYNRSYNPDELPRFAEPEPKPGSAASSRYESKPPPPLPQQTAR PHQNSDPRRLQANNSYGHPSTSPMSPPPQAQGPRPTTHNRPPAASRPPPSPAPQDGTD PTLLPLFRAVDKDGTGQLSEKELSAALVNGDWTAFDPHTVRMMIRMFDSDRSGTIGYQ EFCGLWSFLASWRTLFDRFDKDHSGNISLDEFTDALIAFRYRLSPRFVRLLFDTYDKR NEGVMSFDLFVQACISLKRMTDVFKKYDEDRDGYITLGFEDFLEEILKQLK PFICI_00442 MFSKTDKRAPEGGRKGNSQDHRGTPEAPGRVVTLIDREFWASLV DAHASAPEKVWGVAYRIEAAHVDEVRDYLDIREINGYTIHYSPFQPAAAGAAPIRTLV YIGTPDNDQFTGPQDPQQLAEHIWRSEGPSGLNREYLWNLEKSLDELSPESGDEHVTD LSNRVREIDARHNKGLSVNGTAIHHDEEPSSPHEFRKVRSVDEQEETE PFICI_00443 MSKLFIGGLAWHTEDATLRQKFEEFGPVEEAVVVKDRDTGRSRG FGFVRYTQQEHAQKAIASMNNVEFDGRTIRVDNATDAGPRGGPGMAGRGGAYPAAGAY GAPYGAQPMPGYGMPAQQMYPVAYGRGGYPPQAAYGSQPPQGYPVQQPYGYDQGQQSQ GQHMGGPPQY PFICI_00444 MSPALAFAVHDVSLKWTPLLLSWTTGALVFNTTRSRGSFVYLPT YPNCNIFATTTCLAIAPRVDRFSPHLPTRNLGYRRKHDLTISTRTFLKFDVQSLTLLL SPFVSILAEGWVAIAHPQPPVHTCHPIIITTIAGLEPSTRYTTTQIPTMPPTLVEIWL ATTGSPSPDVAALSTDDAKLVEVHRSQLSQKVEHKAQSGKSHRSRVVWQPQELVKVNQ DTRPVTLTDQRLGHGRREGSISSYSSLLTVADPRGTPGYDSCSEQPAARERAAEVRQP GSSRRVDESDKDDYFARRAFPEPTSFEKRARNKTKEDKYDTRKEERRKASLKEGTSRH RSKRQKKDGKRQGQLSSKNVMKNFNSNAVLQDRVTIHQPSTKGAGYKHTWYGHNGSHG PPGSTGQTLSDLVFTNLNFLTDQKNIAPKPLSSRRLRELERQNKEIEELSSFFIPTDA NPSHSRIEMAPSRPFDAPIRGRTRLNHRAPIKRAHDDLESTHQADSSIMAHIPSESSE HVNNVNAENGSMGTHTHYISSPSSGRVPPSAPNQPVQTVTASPVHERQRRILHGTGIV IPLDEEVDYGVQQQQNVSDDESIIEEAQLRARFEAIFPPHWRKDYNDNNAKLQSLDDS ADLSYKMHQQQSLAHTRPSESPRTYNSRADSQGPHHLHTLDRASTSWMQPPSLGRAPI RQHAINTDSIAMKRSQMRSQMTSPFYAEQAYNIPSMPPPIPRNISWRHETTRLRAPIP NPHVGHLTHQNLNSSPPKRASTDRPKEANGTNTDYAPAAVNAQLASTLSNVPYDPDVG LWLDGRDRIAPNQIQGTSTISRQSAAASSTLPHALVASESATRENPRNNAVTATATER GTAPLPPEQPEQPENRESLKKYISEMQSEINGSMGEQSRIVGVDPSVQPTREVDQTEA GQQLAGSRNQMSGLSGGTNMERPHVTSTGNMPRAESSQESVFDWDLYDQDNKVEHTDG L PFICI_00445 MLAKSLSLKSDNVTYDLEDSVTPSAKPEARRALREHLGQLATTS RPAGISEVAVRINAVSTPHALDDLTALAASPGLDAVVIPKVNSASDLTFAADALRHLA PDRHQGSSSNSNDTGSPQNSPVRLIALIESARAVMNLREICAATPLLSGLIFAAEDFA LDLSITRTPSLSEFLYARSAIATAARAADLPSTIDLVCTSYKGDEGLRRLEEECRGGK AMGFNGKQCIHPSQVETVQRMFAPAEKEVEWAVRVTIADEKAAAAGRGAWTLDGAMID APVVGKARAVVTKAEACGIDVNGLREKWKDQEPE PFICI_00446 MLRAGVAAIALVALANGSPTPTRKEGLEARQWEIGNPWTTIIVG GSTPTAVPTSGPGIGPPITGGLNPPREKRDDGAPSLGWGDSDALKAHIVSLELEYEHL VQEYGDKPPPSVAKREKEIEKELKEYGIIIVQTPDGTSTTITFGKVKRQGDIGPVLGG SDPSALKKYLTALELEYEALVHQFGNNPPSYVAKRKKEIETELKKYGIAIIATPDGTS TIITPGKARRGDPSLDAGAYGSAGYDLAGLEKTLESLWQQYGTNPPHEVYIVEEKIKH ILLAYGITVVQAPDGTSTVIYPSTRRSFPDYDIEKLESIFESLLQEYNGERPPLDDWL VIQHTAAVLKSYGISIEQYRTDTKRSVPTGDSVNVVALQALLALLEATYGSSPPLDIY LIEQTIATILGTQGIIVPGFPIPGGAITPDPTIPGGVITPDPTIPGGSITPDPTVPGG SINPSTKKRDNPDVEGLLAALAQLEAAYGSYGSGSVPVAVFIIMQNIVTILQADGVSV PGWPDLGGGSTVIGPST PFICI_00447 MPSARRLRALLLVALAVVITILFFTSQWQQTSERDTRTIQDFYH KTINAMDGKRGTGGSQIIMSGKGGQVSAEAKDKDGDGSIDADDEQMADEMAERLRAAE QKAKDLANAKAPLKPDSPGKVVGVGSSAGGQGKKDSDSTTVEESNEEHEIEITLNEIL KKSPTIIFSKTYCPYSKAAKKLLLEKYSIDPQPYVVELDEHPLGKQLQAKLGEMTGRK TVPNIMINGKSIGGSDDIAELDNRGTLIDKIKSMGGKRVSMKERFVGNSNKKA PFICI_00448 MRTRKSNKAKRFHQQAYLSDLGSSDEEDLRKATQRQNDDSDDGF VDDPAENGEPDDDDKFDNAELVASSDSGEGEVLSDVEKKPRRRPRPDVFSAKIKDEKD GKDRAGKRSLGEVQPYPGEPSMKWTRSYIGPVSRHVHLGQLCAYWYGDRNDFRKIISS FILMWTPYDLFPPKLVSRNDQRIAKGPWSSLKFWEDQQKKLVDWYFNYLSTRQSSSQS QILQQSIAQRWFIPKAATELTAFLGPHNDQTKHKIHQGQNIQLSLEGSPSSTESSSEE TVGGWLLDVGGITLAMDWAPRTGSIDQLLAMSIIPYSDQAFYQTPEEAPPEESKKQGS IQIWTIPAQTGGNGTMALKQSPPYRVASLCFDGWGRVVRMQWCPVPLTVGNLVGLLAF LTTDGIVRVVEVKQSWSDRNRETFEEIRETLTTLELKSEYKIDITSFTWVNMNRICVG CSDGSLAVWSLYPCVLLQRHPVHSSPVLDVKSGYPSHPFIVASAPTGGVTTVTDLNRP NAELVYVPNLIVNFQPNLLVWSEHMRGFISLWSSSSPANIALSFMSIRTWPQSRFVVA ISGQPSCMAIGSCHPYLLVGSTDGSLWLSNPFRRVFYFKKKHRKIKLFHHEYQALATE AKDTQDGDEEVRRGTCRILHGFKPIENAHPRHDKSGVQMRQRHNAQKKKEQQKRKNTK KPKGKGKQATAEADPEDDELGSDLDQDMAGRGSGDVVNCDPLTRITSVAWNPNIECSW WAAAAMGSGLVRIMDLGEEQASRKARRATTGTGDETSEMAEEEFAEQGEEDGAFDEED FADEVSDVSMEDYD PFICI_00449 MLKSISLLFFLLSAGSAGVIEPRDGKLFLSTFDDYQVPDERANR VDQSIGIVNGVDFTNMNYLRPVANGINNTYAAPSSPNVAAVSSDFQAYITVNYEGSTL AALDFQIFRWGCVVGDRINQSDDPIEVTGIYPGCTLTLTAFKGANQVAQQTFRSTTTR QTCSNCTTVSLISSFISNQNFRKADTITFRATFPNPVGDQQSTAFYLDDLHMTIYPKV PFICI_00450 MTAESASGAAPDNTTSGLRQRRPSASAADTVVSKVKQVEAKVER TLLLLWDDLPAWRRDNAYILSGYRQSSNSYFGSFKSLFWLHNESVNIWTHLLGCLTFP LVGLFLYSIVAPRYPSATSSDIVVFSCFFGGAAVCLGMSATFHMLCNHSPDVAKWGNK LDYSGIVALIVGSYVPALYYGLFCMPRLMTMYLYGIFLLGFGCGIVSWVEKFRTPKWR PYRAMMFVGLGLSGVLPVCQGVLIYGYHDLERRMGLNWVLLQGFLYIFGAFLYAIRWP ERSAPGSFDIWGSSHQLFHILILFAAAAHLKGMANAFDNHHTVMGTQC PFICI_00451 MGGQNREGGKVKPLKAAKKTAKDLDEDDLAFLEKKRAEEKARKD MASKAGGKGPLNTGNQGIKKSGKK PFICI_00452 MASSASSDEGEIIETGVGSGDTKATSLPQINGTGVDRPDRNRVR YSKSRSPDYETASRYSQNSSSRQRSRSPRGFKRSRNDHEFSRGGRLNGDPRQFRVHYE DARDSRRSRYTYDDDDRPPSRSSASGLRYDDGDRPRDHDRPRDHKRERDRDGYPDKRA RNRTRSPYRAPKGGRDRSDRDGRDGNRYNRATDKERDSYVRNGQQGTPRHEMTGRTVK AGRDAYRNDTKLSTASAEEDMAASFDDQVTITQEEIPEEEPLDEAAEIERRRRRREEL LAKNRGPTPMLVQALQGAEKAAASSPAHTQQSTPLVTEGNTPRSVVSSPSSPAPGMQE GMSPAALDIANDQDLINTHGRPQTADDDDDDDGPSAADYDPTADMREDEKRDGLRHGH VGPHGEILPSDQKAEIAPKSKPQVKDSTEDDDDDDFDMFAEDFDEDKFAAPKPVIQPE NVVEDGAIPGAIAQAGAGAILEGDDKEGYYKIRIGEIMNGRYQVQATLGKGMFSGVAR AVDITNKQMVAIKMMRNNDALRKGGFTEIAILQKLNESDPENRKHIVKFERYFEHKGH LCLAFENLSLNLREVLKKFGNNVGINLSATRSYAHQMFVALAHMRKCSIIHADIKPDN ILVNEARNILKICDLGTAIDKSDAATAHNEITPYLVSRFYRAPEIILGVPYDYAVDMW SIGCTLYELYTGKILFTGDSNNQMLKTIMEIRGKFSTKLYKRGQLWQMHFDDLGNFIS VERDKVLDKTNVRTMAIVKPTRDLRTRLTAASGGMNDAETRDLNHFIDLLERCLALNP DKRITPSEALKHPFFLRASSR PFICI_00453 MQNVPVYGYPTPPASPAYDSQKCAYQQQQPFAVPPPACQPRYTL RPEDRLGKFLGDSLQLVGIIGTGAYGVVYTAIDIRTGTRYAVKTLSKYNAEGLPLDQR QIGFQQRELRLHYLASAHPNVVSMLKIVDDPDCTYVVLEYCPEGDLFYNITECGQYVG KDDLAKDVFLQILDAVEHCHALGIYHRDLKPENILVTNQGATVKLADFGLATSSDRSE DYGCGSTFYMSPECLDPSSRRPFYYCAPNDVWSLGVILVNLTCGRNPWKQASFEDSTY RAFTRSSDFLKTILPLSDELNDILGRIFTRNPDQRITLSELKNRIMACQRFTVQPLAT QVMPTPPASPEPSEYAYGEAPASDLVYGAPLSPASSDSDGESTCSSDDGSLTSSVSTI DDLDDDEDFVQDESIPPQVSQEPQMFDQEAAQVYAPEAVPQYAGCVANPCQPCPVPVS TPIHAYVPKLQVPYFLGRFKEYVQPSVPLHHVAAYHHPVQLFGNIQGCY PFICI_00454 MDGNWPEMSFTADRRSERSGSDRAFDRPIPNRPSTVETSSSLQS KSSSATDRDDGATDPRGSLGLNLLHSPSQPAIDFIFVHGLGGGSRKTWSKTTSMKHFW PQEWLPKDPAFQDVRIYSYGYDSDWARGKENILNIHHIGKSFLGELETTPHLCTSKTP IVFIGHSMGGLVIKQAYMLLKQSGESSTMSGRFNSIFFLGTPHRGSSSAKLLKNILQM TYSHRAYVADLEKGSDAIQSINNEFRQYSMDLSLWSFYETQKLSLGLFNTLIVDPESA VLGYPQEKQMPMNADHRSICKFETPSDPNFLIIRNSLAFSANSIRNLEAKVITTATPF EVENLRTYLKVSHDFQDDLVMAEDSRMDGTCDWLLEKQSFRNWRDSPSTTSRILWISG PPATGKSILAGYVVDQLRKADCNCSFFFFKHGDKFNEDARRMVLSMSDEKRDFHRDNE RLMWRTLFSSGIFQAGIARTYWIIDALDECVNFSPFLDTMISKLDSAVPLRILITSRE TPEIGQLLGGLSMPDVLAEKISVADTASDIYRLVDSKAKTFLARSEDDRRALVNKIVS KSKGSFLWTTLVLRELSNTYTEEGVAQVLEEVPRGMNQLYSRTLAFMAQMPRSKPLAT AILTWVACSARPLTTTELEGALSLDVPGAYTNLKDTILALCGQMVVVDKFDKVQMIHE TAREFLFNKDMVSEFSIEKKAAHARIAKVCLTYLASEELKPPRMPRRTKAPHKRMDFA VYACIAFSYHLAHSDPQNGDVLRLLDRFLGLNVLSWIEFIAQGGNLNPLVSAAKHFQV YYNEASAERSPLGKDMRTVKSWQTDLVRISARFSEAILASPISIFFTILPFCPSESAI RKTSFASRKLSLHGHSETQWDDRLTCIDYSGYQTTAVGNGEGVFAVGLSDGAIKLYHA MSCQELRSLQHGEAVKLLTFKPKSNLLASCGSRQIRLWNTSTGENLRSLSVPHRIIGI IFDAERLLAASCKSYLTTWILEDNEAEPSIVSWARSSEGSKPRAQQPPNAISISTEHG LLAIAHSGHPVILWDIESESLYGTCGKRLPNGETSTHRVTSLVLNANPGLELLAISYL DGDLVIMDPFSDLEIESVRAECHTLAGSPDGRFLAGAAGHGTIKIYEFETLKPVYSIR AANYFIKQIAFSHTSLQLIDIRGAQCNVWEPAALVRNSTTDDSSEGTLMSVSEADARP SHPRVESLAINPKDDVVFCGKADGIISVYSLKDGRLVKDLYKHKLQIRVLILWENQNV ILSIDASNAILATKVSKSTDGKWAVKEVFSSRITSASPVTNLLHAELSAHFLAWSRES DHLWTIEGREIASRTHETRDIPRTWVNHAFDRSHVVCTDIQSVSIYRWENLAPIARVN LSSTPRHLQMKNAISLGTNNDWRLILELSELNGPATTEMLYLVDPSIVSTVPVAASNG SNQATAASIALGLQLSSLANQVSQVLGVIDTGKLLFLDIHSWICSINLTKLEDGVRSY SRHFFVPYDWFSGSRNVVCSLGKRDVVLTRNGEVAVIRNWMIFEEQVLLEL PFICI_00455 MADDKPHTPIAPGDHRAYMQYAITRARLSPPGPNKFCVGAVLVD ADKNEILSTGFSLEYPRDRPGDPGTTHAEQCCLIKLAERHHLPDDRVAEVLPPNTVLY TTMEPCNERLSGNRTCVDRIVALKSQIKTVYVGISEPDTFIKDNSGRKVLESSGVQFV KINDGLQQELMEVSMAGH PFICI_00456 MNYQGADGLEFGDGNIVIDPQVLQALPERCSVQTTEGHGVSFWA NTGRIDAQMEDGSLQSFFIKVISGETGRNMVRSEFESAKAMYALQPHLVPRPIAWGTY ESILDTHFFLSEYRDMVDEMPDPHKFGAHLAALHQDSQSPTGKFGFHVTTYSGNLPQM TDWEESWETFFTKNLKLALELELKAKGPDPEFDTLLPVLFETVIPRLLRPLESDGRKV KPSLVHGDLWYANSGIDISTDDCLVFDGCCFYAHNEYEFGQWMPACNRFGAEYLAAYN SHVQISPPEEDYQGRLDLYKLRFNTHVSALFKDNPTLRDQMLGDIRDLVSRYGP PFICI_00457 MTTATFKYIDPRSYNPSATAPFQKPWNKVDGPGKSYSLIDCERR VHDLRGREEEFAVDLCGFAVYHAPSEEKTFTDERRVQDVYYPEVEALIQEKLHGVQKV VIFDHTIRRRSTTSLRQPVQLVHIDQSPGAAEARARRHVPEKEVERLLRGRYQIVNLW RPIQNPAYDHPLAVIDGRSMEVSDFVKVDLLYPKVDEHGMAVSSDPSSHSHVGYEVKG EQYAIAPSEKHQFYHMKDMTPDEVIFIKCFDSSSNQMTGGKTDIAHGSGHTAFADPAT PVDAPARQSIETQQLNLIDDSLPSFQNTCTAHSRSHLNLARKKTGRLLVVAADAASSA WNICAGTSALPCWTDTKEKPYACHCGAVFTRKDLLKRHVNISHSQGDLLEESASSAES RLTPSPASQSGDMQASSSATVVPQGREEQSPLMFPEPLMAIDWIDSSPPRVSDSSHPI LVPSDFVDSRAAYSFSADELSHLQQITAHMEGLDSSTACANVAPFELGDFGMLTEQTF EGSRELGHQAESNGWNENLRPGSPFWSRLPTAPSGGRMLAAVGEQHCARGTELPIPLY RISEERRLHIENLLGEFRDVISEPPSFSRHTWTRYLTSFWEGFQPHMPFIHIPTTNFQ DLSIEEILAFAAMGSQYRFEHHNGDRLFHAGKAIVLEKMKRVKGSSTYRNVTSPATTR KPATRSSQRISRLLVHAAEETRHETNEEDPNRRQTLFHLLKSSMTLLGYAAWETAELV KESLKMQTIVSELLRLIGMKEESDATTPASWTDWAQAESDRRIQLIAFCWMHTLSIAY NHPPVMMCSEIGLRLPCVPAEWSAKTEAGWQSAHASSKTQPGYQASLSFLMTSGPNSV HSHQEPTSLGNYILLHGLIHRIYLIHELAKLDEHDSSGRTRMRFEELENALRNWTTLW QRNPDSNLDPKDERGPIPFTSSALLGLAYVRLHLNLGPHRRLETRDPKLIAKALSELD PPQRHPRLIPSLLYAIHALSIPVRQGVDYVARSQAFFWSVRHALGGFECAVFLAKSLF MVHQTLDTQTPTADEVCMLHWIRRVVEEGRASLDPGDNDDQQPVEQLSPLQLGLETTR LWSRMFRLNIQWPIINIIGIGLEEYADLLEHG PFICI_00458 MAATTKHKVLLNVDMGEAYGNWSCGPDLEILPMIDIANIACGFH GGDPLIMAETVQACKKHNVKCGAHPGLPDLQGFGRREMKLSPEELTAITVYQVGSLKG FLDREGIPLHHVKPHGALYGMMCRDYDVAKAVMLGIPKGVPVLGLAGTNMEKAASDVG VPFWAEFYGDVKYTDTGHLILDRKKKPWKIEGVQAHVRQQLEHHSVTSVGGLTVELPV KGYPVSVCCHSDSPGCLDIINATKDVIAEYNQTLN PFICI_00459 MAQKTLFPGVAVLTGAGGVGIGAHTALAFAREGCRRIAITDWKP DLLAQSQQAVKDTSPDVTVLALSGDITDPAFVDLFINAVVDKFGRVDYAVNCAGILGD DVRSTEQSLAAFDAINNVNYRACWLSSRAEIAAMLKQEPLPAHAPGRDPQRGAIVNIA SQLGIVGRPQAAAYCASKSAVIGMTRGDAIDYSKDGIRVNSVCPGLIATAMTTGTKEL DERMGPAAKITPMQRHGRPDEIADAILFLCSPKASFVQGQAMVVDGGYTIN PFICI_00460 MRTARQISSFGLPLRALLPSTSVGSSSINALPSRRAASTAAPAK PTIVDLTRPLKHRSLCHPFHPHFVMTKWDTHQPMVAGEATFRSSSYYISMSDHAGTHI DAPKHFDPAPGALSIDQMPLTDFYTEGICLDLSHAELRAGITVPEMEEALKKSDQEIK QGDTVLLFNKRVSCEDPRWQHDFPGLVPESVHWLADKGCKIFGVEAVSPAPEGELNFL AHNICGERSITHIEGLDNLESLLGKGRFRFIGFPLKFVEGSGSPIRAVALFDN PFICI_00461 MFGSSLMLLGAYLTAIHVLSGVSALECDDGIVSISNSSDIDFYK TCPIIDSTLFYIAHEFTGPFDLPGVESLPKISSGYLGPKLEGSDWVEDGVTTVYMPDL VNLTYAGMLFGYIENLTSVSFPKLNFIEGDIAIVGDYKLRNVSLPALSTVVGAVLLDG HFDQHVFPALRRG PFICI_00462 MVFKQSQLTVEQLPSILENDTKVKVAGVDVDGMLRGKIMAKSKF LSIARKGFGFCSIIFGWDMHDKAYFRDLKVCNSKNGARDLLAVPDLATYRRIPWEENI PFFFLNWHDPDTGKPLHCCPRGLLSTVVSRLESNGMGAVAGAEFEFSQFRAPQTGRNK PNVAAFLRENPTDALPALTEGRFGYSLTRPTQNQSYFHDIFNTCDKFDCGIEGWHTES GVGVFEAALLFDSISNMADKANLFKYVVKSVATKYGVTPCFMAKPREGEPGNAGHIHI SIVDKENKNLFYREAKDEEAEWEDIAHLSDVGRHFLAGVIEGLPDIMLMLAPTINSYK RLVENFFAPVTVSWGLEHRASSIRLITPPTSSPNASRIEVRVPGADTNSFYVYAAVLA IGYRGIEKKLPLTLPPLGKDQITGGVRLAQSLKEATEKFSNTQSIAREVFGDEFVEHF AGTRQHEIQLWDQAVTDWLVYPPPFPKIIPITLPLAILPLSLAPAQGP PFICI_00463 MSSTNTIQAATPTSLATNNSSGFAGKFRYVNKEKKLATNPNLYH LPPLSDFNDPVTLPVTDIRPSLAQGDASSFKLAVHGFTARREPSELHSAPHKIQSWYN AELIKSVYMPEYHRNLALATEPVIEAENKLLKSGVRWEELKLHYGNGDAETGIPRFAL FSVWRSLKTVRRDPLAVASCATFPTSDYVAIDLIQPSGRFIPPHLSQIIDPSNPQGGS SGDDHANDDEAPTHLSNSYLAYEPSGGNSHDWHFISNQEPEDVLIIQLFDNEMEALEA AKQENKSEPSVGGVIHSAFELEGQDDSVEARESLEVRVVAIW PFICI_00464 MAPKQESDDIRPASFDAEIGSAEKIQQGTNQFEVFKQGDGVVDF RTVHWIQASVIFLKIIFATGVLSIPSSMNALGAFPGAVVVVALTLLNAYCAIVQGNFR NRHAGCHSIADMAHLVGGPVVKEIVGFLFLAAYVICAASGIIGVSTAFNALSLHATCT QWFSLVATVIVALAASVRKFEKIAWLTWVGFISVFIAVMIVVVGVTTRDRPAIAPQTG DFDLGFVVIGYPTFIAGMTACATIFCSSAATAAFLPVISEMKRPKDYNKAVYVCMAIV TSSYLAFSFVVYYWCGKWVASPSLGSAGPVIKRVAYGVGIIGLAVSACLYVHIGAKYI FVRVLRNSRHLQENTVIHWATWLGCTIGISICSFLIASGIPIFNYILALAGSLCFSPV AICLPGWLWCHDYSHYRKGNVWQITTYYLHVLMIAFGAFMTIGGTYSVIQEIIDAYAS GAIGSAFSCADNSGTVV PFICI_00465 MSRISSQSRMHTWKRDQYVISTDSSLVPIKKIGAVFASPIFYWA KALPDAVLKETLENSLCFGLYQSSVSQSGTNPADLSSAESRTNAAEVAPTDATTDAGH VASEKTKPDLNLIGFARCITDYTTFLYLTDVWVDELYQGKGLGKWLITCVQEVIEKMP YLRRSMLFTADWERSVPFYEKLMDMTLLETQRNAGLAIMERKGLGHPSYGSNSSSYN PFICI_00466 MFMLTSVPIHLVFNSTIFEINYLGAAWTTVLASESFVNGAPYYL PGASLLSGRPSLLYDKSRSGLLASIAANASKWTKIDIDTCNKLLSTCQPMTQYKDIVV VMTSDNTTGFTLDGWIPSGIFDMATNFNQSLGLDMAESGRCYGVQHTESFVRKNLNEL GINGNTLGNYGDFWKQRMPLDSPNSLWYATSCSRDAAHDDGTGCRQKCNGLDLRASTV NFQSTGFLQPPPLNLEMPDGVWPNGPPPSLNDTGCPVNETMKDLNGSHTVAVLNPSYC LAEPSQSCMIGILVPLLIFVTICLLLKTLTALVVVFRPHGRMLVTPGDAIASFIRYPD PTTVGRVTLGQPSLGLEVLQLVRQLEGWQYDSEAVFLESVLWRPRPWRKAVWSGYASV RRSAWLTTYLLIGGIFSVACCLFIIAFRSYGLDGDRSFHPVGRGNVAPLGRHLQMLEA ILIANAPQLMLTEGYFSYNSIFTRLVLAREWFSYTVAARPLRVTDPRGEQVSTYRLQL PYSYSVPLLALSIVLHWMVSNTFYIVVIYGGFYQTLYPVKDFTLTGVPPDIYVRGLQM QIGYSTLSILLVVVITTVLAPVPLVLGLRRIKNSNMVGFPSNSIVMSAVCHVSTVTAF SPTESGNRPPRRPSEERDVSSEQATSQEYQLLPRQSFSQGGTTIELSDLASGTNGFSS DDLSEEQDEEHTNNSPEALLPNSGSEWDSDEGDARDVSETAIERQEGRDRLRISRSLL RWGEMKMPPSFHEQWADLDDPVGHLSFGTELQWQGEPKEGRLYV PFICI_00467 MSPSENSSEPDTSKPPGVVGTDGHHEAEPDRDKIETPSANGAQG HANQDAVISQAPEDASGSVIPPQDATQLPTATQHTEKLLDGPKFKSSATQTDPDTDID NAPVRVVGNGDSRGSDDESKTAEDVPEPDSVEEVPSSAIGRIYRKDGKRLVIDESQWK PTITEDTTTRFSVMYEMEETDKTRVFLKSKYLQKLFNAFCKRKLYPASSIQPDGIAIP KPYAPLFFHYDEMLAAAEQPEFTKKFDSDQVQKDLDGLKSWYENWVAASHEAARDAIK RDSVEFNSLWAVFKPGDLLYGLDDFGQARLYVIAATKYRSGIDTLGDDVEMDMMSSFV PMIQSFMNLKRRFAVESWFLDWDSSSRVFKRRSFVSGIPVYTGTRRISDLDVFPLRHY KGGDQAAIDELLSRLDERGRLWKSIMVSSSTCMHHDGPALELDATKDALFRRKNDHIH LNDRVMADNDGWALFGDKTSLPPPIKTMMSSMADAGTSESPILVGGFDETYAKYDKCS PEDEFDALQAQLCPSQLYCCAIRTTKWYVATIASLKPIQWKREAIDNLVVNPNTKKVL RGLVEEHKKNRSQDEIISDFIPDKGCGLVLVLHGPPGVGKTLTAECIAEYTGKPLYSI NIGELSSEQNIAARLENIFEQASRWDAVLLIDEADVVLEQRSLENIKRNAIVSIFLRM LEYYRGILFLTTNRLATMDVAFQSRVSLAIKYSELSPALRRQIWLNFIARLGSSETEA KEQLLARLDDIKEWKLNGRQIRNVITMAQSLALAENRMRGRLRFDHIQQVAADTLGFQ DLFEEEYRTSKVQLSNMGSHRFPENEVEPYARGTLTQSFRANHWQ PFICI_00468 MPRILSIDGQPSITELVSANTVGSSTVLSPPTGNASWYTAGSDF CFYAVAQQAARESKARLSRLKLTPEEKQLLAIVPSSSDAVIEKLHLIVDEREEALKRP KAFQRTNKFFTAFCEVVGKTSGLVQTLVPQSPEYTIPFGVLIILFRAVVTKKDREESL LMYLEALGSKLPLVEFYRNAFPTNAMKLAVANLYAEVTKLLDEALLYYRSGRLGKLVD AVLQPTEPKFQKSMDQIDAEVKKMQDLKDVAHEAQTIDIKDTVTGNAKAISRLHENFE KATIAIGISMELISERMTGLESQVSFIGKFEMLKYAQSLQEALLSSVGDFYLDSESLL QRVMSQGFRLSPIDRWENNGILENLVAWSHGRHGPLLWVGGQSGNRDSWVTDLSMDIV QAFLPQSMTTLFVFCSDMAFNSLPPNPILLVKLLIAQLLELHPHIPYENPIFYSLQRF RHAATFDQVWRIFESLIGNLTEVFLVIDRVEMCKPGGQASLTGDLLPALARLLAETSG RRAILTSIYNPPENMSYDVARTIEKVFIDTGGMGEERK PFICI_00469 MASGHKANDLPKVHRYITDHDTEGKAILSKALPSPLPEQTILNG VVFGLGYVTTERPVVFDEGKDIAAYTPLIKDPPGIVMPGGTVARYVDTPPGSISPMHR TVSLDYGVVLEGEVELVLDSGETQLLKRGDLVVQRGTMHAWRNPSKTEWNRMLYFLQE SAPVLHGGKKLEEDYGEMGDEVKKSGN PFICI_00470 MATNGATNGVSDGHTNGVHPDTNGTTNGTTHNPLVHDYPKPLKI VIVGAGLGGLSAAIALRRQGHDIKIYEQSKFANETGAAVHLAPNSNGVLRRWGIFAEE FGGTQMNTLVEYHSTGGVVQELDVSGTNQMWQHPWHLVHRVSLHDKLKKVATSSEGSN PAATLQTAAKVLEVDADAGKVIFADGTTEEADVVVGADGIYSKTRKAIDGGQSKLFGS GKAAFRFLISREVALADPITAPLVQKKGVLSMWYGSDRRIVMYPCNDNETLNFVLIHP DTESHATPGDEWNKQGTVEQVLKVYQDFDPAVKHLISKVDPSELKVWQLLDMDKLPTW TKGKLALIGDAAHPFTPHQGQGAGQAMEDAAALATVLPAGTAPHQVPERLKLYEKIRY ERGHAIQEYSRQAGKDWINGRPQVNMMEYTAYNFGHDENDNSANIFKRWLWSQKTDMY WRMPIGFGPFPGPRMDHLGRPRTNHLERTFKTASIKFKTSRTYLETLLPTSQYSFASP ATVCTASISITTLDNMSWLGGKGYNHCGLYVHGVKYTKKDGTSIVGTQLPVLFESLTD PIVSGRDELGMNKIFCDIDIQREAGSYKAQCSWRGAKFLDFELPDLKEDDPASEHGTI GGEADYGILTYKYIPAVGEPGKADVEYACVVPHAEEARLFPAKVNKVARSAYPVLMFD RGNWDTLPTLHHITSALAEIPIYEIISAKVVEGNGVPDVSACKRIE PFICI_00471 MATKTAIPVILVSAGSAGLGAATARLFCKNGYRVVVNYSSNAER ANQLVTEFAGLSPLPPAEHQGAFAAVRADLSQRDDIVALVDESVRRMGRLDVVFSNGG WTRIRDMTSIDDNTFEEDWDKCFNMNVKSHLWLMHAAKKHLDETEGAFITTASLAGVS HSGSSLAYSVTKAAQIHLVKALANMAAPKIRVNSVSPGLLLTEWGDRFSDEAKEANLQ KTKLKRLATVEDVAEQVFVFAKSRSQTGTNAIIDSGFLLA PFICI_00472 MGTNDVTTATDLHNVDSSTEKPTDGLKLRTLGTVRLRHHETNEI ILIPTPSKDPNDPLNWSKAYKYYMATVICLAMLMCNFLAAGPTIAIASTAVDFFPPPA TPVSAAISKVAYFFTTTALLQGTSNFFWVPLTNKFGRRPIYVTSYAIYFVCCIWLIFE KSYGGFLAGRILIGIGAGAAETIAPVSIADVFFLHERGFVMSMYTCFLSVGVALGILI DGLIVIDHHWRVIYQVAAGLIGFVLLLAFFTFPETAYIREIPPANVAESRTVEKPQGS VGSDIESSAPSAPPPKKETFFQSLKIYHRVWTDESLVKMFVRPLGLILLPPVLWAALV QSVTIGFVVAVTSNVAPAFTAAYGFQPWQVGLCFIAAIIGSLLGIPAGGQLADITADW FTKRNGGIRVPEMRLPAMMLCLITAPLALILYGVGIEKQLHWICPTIGLGLLNFSISQ GTNVCLVYVIDAYRPVAGEITLAVMGFKSMFGFLLSFYTNPWVEQSGYLNAYGAMAGI AAAVLLMWIPLYIWGKAIRHATWNWSILSYIHWEDDREVGE PFICI_00473 MSANSLSHLLHANAGPDVHRTPAEPDSAANGYAIPSPNGRPAPS LQPQHHVAVGHIASPYTTVPPPPPLPLPPTSIPQAQSSAPTTQPSGAQHATASALGLQ TPAARSHTLTQSLYQCADCQRRYSRPEHLARHIQTHTLGKRFSCQVCGKAFARADLLK RHAANHENDGDPSKKRRRTDTSPNAGRVSHACKACATARVKCEEVKPCTRCRNRKLTC EYASSEAGSAAAMHLLHLSAQAHSNVDEISQVNYPNSEGRGSSAMGSPAAGGIASIKP EDSQVTGQLPTPETVGEQPVQMQSFVNPPYVPNNGVVDMTRMPFTDFLRDVLYDGSLN TARPEEAQGLAVLDFCDDLNYELTDVDFGLLDHWNLDENNRNGQVITQAATPQTDDST VDMSQMRSHLAKIWTNSPWRWIPQERDSLYAEYGNLPIPQRDAASERFQERQKQMDRV IKEKVDPASRDKVLSVVLQSLKSDSLRLRVASSFPSTDVVDTLVHMYLAAHLCSVSSY IHWGTFKLNKQQPEWLGIVLAAGAVLTPVSALRRFAFSIQEAMRIAIPNRFEENNNNT QNLGLVQTLVLVQDFGLWSGNRRKMEIAECHLLVPTTMMRYRGKFQRSSYPLISVEAS DEGDVLEEKWRRWHELESWKRLVFHCYLRDAESSMSTLAPPTISYAELTLPLPEAKEL WFARSATEWKRQCLARSSGQGRRPPSLPDLIRDINLLTANYQRLDAQYAISIYLHCFW NLILEWRQLSSVHRLNSFATNFSAGPHLLLNGRHQELCRALQTFQVVTSDWHASLSAQ ESLLLNLLMMNLHVSMDDLHLFAGKEGEEQARRVYPVLQQWSDSVDARRAIWHAGQIL RQAKLFPNGHLKDFYAVCVHHAALAIWAFGVVVKATKHESTPMENQETVLVDGLESNH VQRYISFGPGRPSIRTRDEQRTECIEDPKACMEIVEGIFRGNYSKGDMLPPIVENLCG LLRQLAGAAWAVGLG PFICI_00474 MEPATELCNGPTETSTITIVITTIVSTVTFLIFLKWSLEPRRPQ ILPGPLTTTIPRLTKEELAQVPYQPDHFPGARDVVTPYGNIRVYEFGPETGRKVLFLH GISTSCMTLNDIALDLASKGCRVMLYDLFGRGYSDGVGDLPSDTRLFVTQILLVLASS PLPWTGDGALNLVGYSLGGGIAVNFAAAFPRLVASLVLLAPAGLIRAANIGRASRLVF TSGLVPERLLEGLTRRRLRAPIGNAVAKRRRASSVSSVTNGGAVAAAAAATTLELPGA SMDKEGYVDVAVQEVVDDDTPNSPSPIEAKIASYVRWMLDYHTGFVPSFMSTIRHGPL LEQHHYWRELAKREPGTTAVILGRQDELIQKADYKEDALPLMGGEGNVFWRVVSGGHN MPFTNSSEVIEAIHEFWGIKE PFICI_00475 MPHPFHPDDFPINEDSEVNPTKVLFLKGTIHFKNEASIMDLTDK IKRPFDDFSQKYMRDVGRALEKVEDEPPKYSIQSSGSMMRTIKTIVDRQGEKVCDLNM TFVSFDNSSVRFPAGSEHSRHEIELYSVDEKNEKHQCFIRHSIPYFWDMTGGQHGVLY KALNQKRVEVGMVAGYGWGKDAVLVIDDNEVDEVVAMATAIVMMNGKDAIDY PFICI_00476 MSTTVKTYSSSKPEDVKSACEAHGLTTDEFSHLAKGSVAAKATA YCPYSRFRVGATLLSGDGTYISGANVENAAYPVGTCAERVAFGKAVTEGHKTFRAVAV ATDIAPPASPCGMCRQFIREFCDLKTPIFMFDKNEDFVVLRLEELLPLSFGPEALPPP QSIM PFICI_00477 MDQADIPALLARLASDEDAARKMAVFKLQSSINDPAFADVFISS GGLVILRRLIMGTGGNTLAYSLQSLTRLLEVDMGWDIFEGPTAGDLVERIVELIVTNP LVNILRGAMSILVALVSHSQSSPPGQNRIPGTFGFRALKPAVAVYPQFFDLVIQQLQS ADHALCANSLMLINALIRDAVSNEGAQSTNGKASGSEEWPKFIKRLQDLGLIKAVFSL MQSSALQDLAYPLLEFQNLTKLLLRKWREVRVDLERPEHRRALKALHLASAPNRDHMN GTAKTEDEAGQKSSKKHNPEKWRRLGFETESPATEFQEAGFLGMMDLTDYVKKNEDGF QKLLLEQSVKPLSERCPVARASLAITLILYEQFESDKNEMEDLRGYQLSDAKQQDKLY RPLLLQWSRLHTAGLAAFFRLWKATGAEQNDFDKVAQLVRILVDQVIGRAKRTKEVVE VEEELLEFDCGRLRELQMELLDLSFEDNWGQHLYQVREDLRNESLSFVKEQRIRCLLA GAWFSKPAPQNNGGSVSQGQRHANGTFWRYAKLSHNRRFLHYADFALKTAHDPTLDSL NETVDLVKVSSVVSNVSVTSEDNDSSHPGAGALANSGSKPTTKITIFSFTSPEEATRP GGDGKEQPVLTLYPLNHSVASEWLDGLLMLLDQKPITAETNKLATLVEDYGLKIRLLN VRMEAAYQGPPPGAGVVPSREGLDDDYWYEV PFICI_00478 MPRRRTSATTTFGTAETPTKKPDNGYFTKGQWHCNCQPRLPAVQ FQVRRESKNKGRWFYTCQIDRTKGKTGEPRKCDFFLWAEDARLREEGALLNNSTTEPD DGEEAGGNGQNTKKSLRTPRKLVQTTLSARVEPREEGKRHWTHRTEITPIKELERTVG GSQSETRSGGGETAKSSSTMRATDSTSSSKTAANTQRLGRDDGEDELAQNGGTASTVA AAQAQQTPSAGTKRKRDVIELSDNDDGDTDDLFGDMDSDEERQLAAIAESSSHPGRKR DAFATPAAQRLSDGATSLPTPSLTGKSVRRVLFAEPEVGEASDANKRQRNNNSGAYTP IGADPKTPSSSQEGVSPSSSQRATPGSSIGDITQEIMDLLKGQQIDELASRKVHSALQ RYAAKARGLEKGRDASRQALRNQEVKIAKLQERITNLENARMVDANARKEMKIKLMEM YTHT PFICI_00479 MHVKNVIVKQVLFAGLISSSLAANKQHNPFVTKRQSLETSYDYV VVGSGPGGGPTAANLAVAGYKVLLIDAGGDSGDAMVEEVPAFFPQSTEFVDTEWDFFV TRSSDPAVEAKNIITSYRLENETIYTGPDPPAGAVPIGTLYPRAGTLGGCSRHNAMIA IRAFDNDWKAVADATGDSFWSGKTFQRLFEKIEHCDYLPNSILGHGFKGYLWTEVASL LLAVQDLKAVSVVVSAGAALGKTITGTLIGTIAGLLEILFLDVNAPGETIIPGAYQVP LTMKDQVRGGVRDRILDIASATNRNGDRSYHLDIKLNTLVTKIVFDQSGSDQTPRATG VEYLEGQSLYRADPRWQNASVTGEGTINATKEVIIAGGAFNTPQILKLSGVGPKEELE KFNIPVVVDLPGVGTNLKDHIEVPIISIASSDFDLIDGCTFAKGYPQVPDPCLEKYLH ANTQVGRGPYATNGFPIGIALHSSAADAIDPDVWVYGGPGYFPGFYPQWADIVLEDHH HWTWISLKANTKNGGGTVKLTSSDPRDVPAVAFNTFEDDLSAQQDIQASYEGVKFARD AMDKLIPLDGTFDEQVPGRADAPTEDDLKEFVKTQSFGHHACCTAPIGGDDDENAVLD SAFRVRGTAGLRVVDASAFPVIPGFFIALPIYLLAEKASEAILNDA PFICI_00480 MPHSLSSKSAPVVIVGAGVFGLSTALHLAQRGYTNVKVFDRQAY QQSQYRYNDGCDAASADVNKIIRAAYGSQFGYQTLALDAISKWKMWNEEIRSGKTTPP GFSTSDKLFVNNGTVTMTSGLELDQFEKDTLESMERMGLRDTQINLHDLEHVQRAQAK GFGFAVNAFDIKNISSTLDVQSGFVYADRACHFARHKSESLGVKFVLGSPQGVFSSFL ENAQGHIAGVKTADGASHAAELTIMACGGWTPALLPQLDHLCETTAGSVIMFQLPPDQ ALWSKFAPENFPTWSFDIRRGEYGGLYGFARDPEGVVKIGYRGTKFTNPQTQADGTAR SVPATRWTQQSIREIPEIAARTIKNFVQEQLPELLDCATTSRLCWYTDSYDNHFVIDF VPQTKGLMVATGGSGHGFKFLPNLGEHVVDRIEGKTNDFLQDWAWRARDAGAKSYNSI MEGVSSDRSLHRQPLVNFDRSAKHNSRLQE PFICI_00481 MTLQGILRKSPLAGYGQAIRDAPRETIFNRHLLLSALVYALGGM PVIWDQGASSVMPSLPGFQQHFNIKSGTNANEIRNFISIVYIGFGFGSGLTFFINDRL GRIWSYRLYTLVYCIGTLIAIFSPNVGVLYAGRVVQGLGLGPLTVSGPISIVEISPPQ IRGLLTSWFNVAMGIGLIGATFCTLGCFRHVPVGKLQFQVVMFVPMIYLAVCMVGTLY IEESPRWLFLVNRRQEAIAALARIRGLPADDPRVQREVAEIEDDINHTAEARGNAGLW TIAKETFTSASNLRRVQQSLLTYALAQLSGANLITSYFVPIMAIVGVSGSTDQSMFLS GMYATSKLIFLLFATFFLIDAFGRRRSLFLGAAVQMATHLYLAVYIRFSQQGPVPGAA SQAAVAALFIHAFGYSVGKFEIEQ PFICI_00482 MQFSLPSILSSFNQWGAFLFFGAWCLVAIIYTFLMIPEVSGLTV EEIEETFKGSWFNAYQTSRHRQVIEGRPGAEAPVVSKIITVRTKSMDGEGKAEP PFICI_00483 MTTRQTTNRNGYSSPYLSEAVSYNGLVFCSGKVGLDAGTGELVS DDAGKQTKEAALKLLESVLRAAGSDLTKLLKVSIYLTSRDYFAAMNAVC PFICI_00484 MTSRISYPLQGRRAKGSSVRIRTGSRTHVACVNCKDRKVRCDGQ VPACGNCQRRDLACVIEDPSTKQHQPRHYLKQLEQRVITLEKQLQEAKSGPAWASVPE REPTAFWDAEFEQSECDDLSSMIGTLSLNAAGSEPTYLGSSSAYAFTRFLKPSLRPAI ESVTLCKTTNDESREVQAPEPCALPDHGTAIKLSNTYFNNIHPQYPFLLEKTFREWED ALADPFQTIFDPVPLFFLNMVYAIGATLLPNTGYSSEQLYASAMLFIDDILLYDNLKS IQAMLCCAAYSLRSPTGASQWKLGGQALRQCINLGYHRDQKRLKSTQLQFQQEMQKRA FWSAYVMECAASVVLGRPLSLHFQEIDVEASSHLPLDIDESRLTPMGIVGASPSSLPS PPGIMSYSNHGFRIRILLGRIQTALYSDCTLTSAEKYARVGELSAALEEWWAETPPPR VLPEGGALSFFMTPDFFNVSYNYAILQLYRVHIADRKNMAPDEVFLKCLHAARNICQG FRRQFFGKPTAYTWSAVHELFLAGLTYIYCLWTSPACRNASRYDQVSSMCTDCTVVLV IVAERWSNTAPFRDTFEVLFNRTMTMMADIQQGKQSEPALLSTDQGAYPLDLPQWIAG ISTTGSSMTGADWLLSELVEDFLAASDPNVGDMAEIPLM PFICI_00485 MDESLSIPSYEAFRLQNKPPQVSPDFLRLRWKPFGPMATSIHVA NSPGDPPSPEDQPYSQDAMGFHPICTSSLTEPPISSITVTQDCLDSWEEDWVESHIPH ADYDGAVWTDGSEDDDAVDEDDSHGDDETGRKLMRCCDENRPPRKSPQLEIKASSKPY LTIHDYIVAVHAFLQTNRDDILSAMGVHQDGPVAADTSFYVRPVELRTISLDDGQGRG DFGYLWSSLARVAARLSGEEGEIMTRAEIDAARPPLDPQNGIQLVSWGSLPPFVPPIK PRWEDLRAPAEMHRIPNRWVPINGNDAKDLDIDTSM PFICI_00486 MADPLAIAGLVIALVQGGFQLYAGISDYLDAVEARSEELDFARR QTLEMRRSLEIIEQLAPKLAPKHAASSSSLESFLRSCELDIKTLNDMLLEFTATGPSG EGLRHRLHRAQVRAKKLTFPFNRSKIDRLEKRLALANGNLLTALNTANLEVSLGIQDH VSDVYHLLTAMVGANTIEARVMSSTPASSHQSRAIISSDSFDHNNALRQLVSKPGYLR SAIDELQSYSQQPVHTSWPLSDSICFCQPRRTLTRQRQVWSSVALSSEKLSSTIHNPD CQFAGATNTLQTFGMTLEYTGLRTLFQKAIQLSLHMTFGAGGLSINPGISYVPLVDHR SAPAFRLVQLLPRVRSDIPNSIDMIRIQKTNNNSMSKGIKTLTLLGVKKLIASKVPTM AHDNKGQSSAMMFLRELWYGDLGPMLATSLISAEPDETLFSALATNRHVSYFTNPAFF PRWPALAEAAAYGQLCSAVASGNQAAVSAILATTPSALRESNIFGQSPLHLAIHHPSC LKVLIKANGVDESLLNILDADSRSPLDRAMINSITGFHESTKILLEADCAVWQNDLHF FEATDDNWKPIIEAMLNRRERLKHLAMKVFSKEEIEACGLEENAVLDGNAATVYQLLL DKGIAVPQALIPVRPGFKARSYSMYHLIHHDEGQLEDLWTLGFRDINMLNNESIPPLM DWRYDPSDADYMPRYEWFINHGANVEEPVRRQGRLDVETQSITVGHNLFRLVGTIFLS EMISVAHWRGDGEILRNMKKDLESGRAVIEKLLRSTLDDACGCKCSRAGCTPFVWFLK YLPVLGEVWESDPWTNRLWPTESQPQRLADAMLLFTETFGSIMSQCHLSEALRCLTHT ALKVKHTCCEAEVMRSPFMVSPLDPDNRQHMSTAEKEELESEQASLIDLLDSLVAEFE LKSQEERDGTPLWRANPAEFWIQVWAAKMDSVLTDLDGADLTREEIRAAEDVGVNWQS PRPERPARERLPRRFYLSFEEFKDEVDQIMAGECS PFICI_00487 MHWLELFGLALVGLSHGAYVWPSEHDFLEDIMYLQSGYIHFGFI DGVSPCSFGTNIEGRQNAAEWVRTAYHDMATHDAAAGTGGLDASIMFELDRAENPGSA FNNTFGFLSNFYTSRSGAADLIALATIIATGACGGPSIPFRPGRIDATEAGPPGVPEP QQDIDMHTQMFAKAGFNVSDMISMVACGHTLGGVHGEDFPEITGNATVGHVSRFEGNN GTSFSKFDNVVVTQYLEDSSENPLVVGTNDTTNSDKRVFGADGNQTMNELADGSVFQA KCADIFTRMINTVPADVTLGDPIEAIDIKPYITLMALNSEGKIDFQGRVRVRVTSSTG RNYNDLGMNLTYIDRNGVRSSDVITTTRGTFQGGLSAGLFGEAFAWFEFATVLNPESG ISSFDVQLKTISTGESTTYDNLGHGFPVQDKILYQQSQSCVNITDNDGTATITVSAAV RLENVNNTVALDLVHSIARQGVFLDALQAETTLLEQTDQTLGDYAIFSTQVPVRMDGS RTTFDLVLGTDEEEVRIMGQNANLIVGTTCQAL PFICI_00488 MVNFGLFAVIASLIGSTLAKPVLRRGQYSPKYVDPRNVTLPLPA KTIAQLNRSDTFFENIVARSNGDLLVTLYEPVGSIYIIQEPYSDSRRFSELYNFNPGM DPNGGPGVEYTAILGIGEVELSHDRDEVFVVCGGRFHRGNDPVHDAINGTMGVWEVRF PASYSSRRGYPTSGGSHQQPSQLPKVEVKRIASIPDAGLLNGITHIPGTGAVAVTDNN NGRIYHLDMASGRSRILVDTPQTRPKPVASSGFAVNGIKASADGRYLYWSNSDLISVY RIRLGSDGLSARGAAVELVADLHGLAIAVDDFALDDAGNVWVTTDVDNMLVRVARNGS TQVVVGAPIELTVAGDTAVAFGRTKWDRSTIYVSTNGATVAPVNGTIIEPAKVVAVDA RGLL PFICI_00489 MSATYDVQTYLLDRANIHDTVFKLMQSFDEVDTPKLVNAVYAPK VHLDYTAIMGGEPQHLESEVWAKSLEPIHSAYEATQHVVQDLLIELPQPTGKSKPRPN SCTAICVGDAKFYRRNGDGLPCVMERRTGGRYDLELVRVPELEEKGENPWRISKQTVK LSVMDLGAAPEAK PFICI_00490 MASTPYDFAASAPYHAVAFLAIAAYSLYRWLLPKPLPGIAYNAK AVRSLFGDGPDMLQEISLTHEFGVWCADQVERLGAPICQVFVRPFAKPWILVSDFRES QDILTRRTKEFDKSSFITNAMASLGDFHPRFLTNQKFKASRGWLQDLMTPSFLHGHMG PIIYSKGLELIQLFERRMELAAGRPFTVRDDYDFASLDAMMGWAFGSNLGYTAVGPQV ELAANLDPSEIPQGGLDDPIIFPPAKLHDFLVTAHEVPQVVERTVIAWVPSLSLFWWR RMSWFKKLFSEKQRTVKSQLEIAKEHYEAGEIKSGLEHMLMREASAAEKEGRQPDFDN HLISDEIFGEIIAGHHTTGGAMGWLTKFLTGHPDVQSQVRSELYATFPQALEEDRLPS FDEIRKARLPYLDAAFEEMLRLTSVTVTREALCDTQILGRRVPKGSQVFLVSNGPGFL SPSLPINDSDRSPTARAAAEKSWDETQDLRLYEPDRWLVKKEDGSVEFDPNAGPQLGF GLGARSCWGRRMAAMEVKTILSMLIWHFEMLEIPAALSGYAGFDGVSRRPQKCFVRLR RARA PFICI_00491 MMEQWFLKEYYAWWSKLPPPSLADCEFTILVLRVCSYASQFLPS PSHTIDSIRGVSLTDIRRDCDEIADSLASTCSSLDTRGTLLRVQQLAFVGLRLQCEGR TTAFWDTLGNLLRVAQRVGIHKEVPASIPGPSEPEKEMRRRCFCILYIWDSLLSRQLD RIPFLPSGLAATNLPRMRLLLDPDDIEAPETFTERLLQVRLANFWRSLSPNFGLEYDM TEAETRYERFCAQFLNTLPPAFALQPNKMWDARIPMLSRQRQVLHITIWDTVCWNFKP LLLLPSTVVQSLPSYKQVLLLSQKKMLAMAALSMLAAVDVLHNLMGNSHTRSAPIIFA TFEAAVIIASICLDPAFVEEIDTTIQPSVLTSLMNGGTSLSKDGCLRALHSAQKRLHM LAEVSSMADAGSRVLNNLVERLNPRSCESEELDLETVVPEYWANIDHDPAVQVFGLSG FLSSSVPDDPYPDWDMLGP PFICI_00492 MATNGINSHQNGHVPAKGASILELAENILEQTKGITKYLQANNL AAPTFSVNSSDPPATEQYQALQSSLRESLEDLQRLIDGPRRFLRSFAAYTYDLASMQI ALDFEFFTLVPAEGEISLKSLAEKAGLDLDRVSRTIRMLITLRFFQEDTPGFISHSSS SIVLHKDEELRATVHYTLDELLKAAAYSAASLKADPFEADSNHCPFKYQHGLPIFEWY AANPSNAGRFARAMAGATRMDRHINELRDCFDWGSLKGTVVDCGGGSGHISMTLARLF PELTFVVQDGSTNMLDEGQKLLTDDIRDRVSFAQHSFFDPQPYRDAAAFLIRQCTHNW CDRDVITMFKGMVPGLEGSSPDTPLLVNDIIMPEPGQWPRYAEREVRQIDLVMLVGFG AKQRTKAEFETLLKEADARYEIRKLHSTGPLGLLEIYLKR PFICI_00493 MTSEARPSDGPEPIAIVGMGCRWPGSVKSPGDLWDLLKNKRDGY REFVEPRFSKKGFYHPNPDRPGTMSTKGGFLVDEDPRLFDHSFFGMTGLEVETMDPSQ RKLLEVVYEAFENGGETWDSISGSRTGVFVGNFSLDHWMMQARDWDYPRPYATTGAAT SILANRISYIFNLQGPSLALDTACSSSMYAVHLAVNSIRNGDCDSAIVAASNWLVDPS LQIALNKLGALSPTSRCHTFDASADGYARGEGFAALYIKRSSLAALDGSPMRALIRGT AVNANGRTGGITRPSAAGQEAVIRQAYANAGNLPFSETTYFECHGTGTPVGDPIEVSA VGNVFSSVRASPTDPLFIGSVKTNLGHSEGASALAAIMKIVLSLEARAIPPSFGVERL NPNIDFEKARVHVVTELTPWPEDKVLRASINSFGFGGANGHCIIDDVSVVYPSYHENV GHSSTRRAQAANGRTNGHTNGHTNGSTNGYSNGATSNGQSNAHQNGDSVLRHKPYIEE PKKIQKATAPSRELVLLPFSAQSAQSLKLNIDALSDVVDDFSLANVAYTLGLKRSKLQ QRTFRIVNKSNVKEGLNVEKKIFSSPLQSANVGFIFTGQGAQWTAMGAELFQYRAFRT AILYLDHVLRSLHDPPSWSIKDILSGNCEKDLVQQPEISQTACTALQVGLVDLLASWS VRPSSVVGHSSGEMAAAYASGRITAAEAIVAAYYRGKAVAKNKQQGTMLAVGLGPEDV SDYLHVLQGGEARIAAINSPNSVTLSGDTPAIEQLAKALTSDGVFNRMLRTGGNAYHS HHMIPLGADYSTMLSGGLDRIRELDVDHEHYPEVPWLSSVAPEKSISSSDTTAAYWRA NLESPVRFSEALKNMVSQENLPIDVLVEIGPHGALKSPVTQILKSVGKSVPYAASLSR NEDARESVLELAGTLFGLNAAIDLCTVNAFDEGSDSETAVVHGYTDIDLPPYQYSYGP VIYNESRVSKEYRLRDVIRHDLLGSRIAGNAKLRPQWRNILRVKDVPWLAEHRLIPDA VFPAAGYMTMALEAMAQVYTDLPESLDVSGYKLRNVAIKSALRIPEDDYGVEVILSLE LDDAFNPKSPTWASFTISTISHGSNEWTEHCTGLVKAEIADPEEQTKISISSSRKVVD ARSWYHKFSSIGLGYGSAFQGLSEISADPRQQVVQARVSMSTTADTITGGESGYLVHP SSLDATFQLGLIGCHGGELSRATTAYVPVHLSEMYIKNGINGKQTTAIAKGELRGLRG AYIQLQVLDDTGDVVLNIDQLRCISYSESKSISAAQSKAFSSPFTRLVWKPDIRNLDN DQCRQIFSPPKENLEKIPLLLNLNKIASIIVYDMYESFGKGSEIPIPSGNVGHFFNWV ERRVHEDTPLMQEAKQLSKEQRLQSIEELYQGMSFNPEARAVKALHANMSDILKERTT GVDVLVNEGILASLYETGLFMTSAYPQLHNILDSLGHANPDLRLLELGAGTGGATRVA MKALAGPNGIKRYGDYTFTDISPGFLTSARESMAEFHDVNYATLDIEKDPLEQGFQPV YDVVMASQTLHATTSISETLANCRKLLKPGGKLVLVENTRNQILVGLVLGTLTGYWHG IPDGRLDMPFLSLNSWETALRAAGFNGTEIVLDDYPHPHNTTTTLVSTLQDENPGQEA KSRGEGATAKIRLIWHDETERPSLLVALYQDLLSQGASVQVVPFKKALHNLSSNERVI LFLHENQLTLDEDEDTLKTFQNLVSSAASLLCLTSSGMVKGVNPDGAVVAGLLRTIAT ENPAGQYMSIDINAENYNVDDKDLVKTILAQEQLLQQETSDANIDSEFVWQDGCLWVS RIVPDSGLQEFAELNRSPKNSNTELLPLDSQGPVRAAFETPGILSSLYFKSYTELQQP LAADWIDVKVAAVGLNWKDLGLSSGKFDGNNLSSEFAGIVAAVGANVTNVSIGDRVYG TAKGHFGNYTRVRAIAAQKLQSSDGFVEVATMPLVYMTAVYAFDHLAHLRKGQTVLIQ SATGGLGLAAIQLAQSKGAQIFATVGTDEKARFLVENFNMPSSHIISSRSESDIAKLA RSLPKGGFDVILSSSRGDMLYASLKALAPLGHLVDVGRMDVTSSKVVGLELFQKNANF SSFDLSLLLDDDPELGAELLQTVDKYYRAGLIGPIHPHSATDISQLDKVLLGFSKGTH VGKLVISFENPDTPVRMMSPTPVARFDPEGCYVITGGLGGLGQSIVNWLGSRGARHLI ILSRRGLDTPGAASFIEEMKLRDIDVQAVACDVSKSDSVARVIGEAAAQRPIKGVIHA AVSYLDVSFDKVSAERWQQSLAAKVRGTRNLHDATIKQPLDFFVMVTSIESICALATQ SAYTAANNFQDYFARYRRRLGLPATASSFGFINDLGSLSTDSITVDLFARNKVLTISE HQFLGLLEPSFLDHEHLSDERGWIGKHQDPLSAANIVTCMDPAAMAAKKRAEVEMGTS TSTVPRWYTDGRVSLVMRAFEDAQRHDGDLAAAEEAAGGGGKSGLSQIRRAFQKAIDA GPDGHASATELVSEAISNAVAEMLFIDASGVNSAMTVAAHGVDSLIAAELRNWFHQAF KFKISMPDLLDAHTSISELAAKVVDDALAPSE PFICI_00494 MTRFSALVACGLALFQPLALASALLPRDGYQYYTRHNLTVQQVQ SELGPLLSQNASIFGPNDTRWENATERYQMYAVPDIKMVVRPGMEDDVAIVVKYANRN SIPFMAKNRGHALTATVGAFSGIQIDMTSLNQINYQPDMKTAWFQGGTYDDQVMVELW DRGYVATTGSCSCVGMMGPGLGGGHGRYQGLYGLISDNLVNLNVVLADGTKLRVNEST NPDLWWAMQGAGHNFGIVTSFELKIYPANIESWYYRNYVFTQEQLEPLFEELNDFHDR GALPGVVGGGYVIYGMNKTVDENKAILFASFIYAGAQADAEPVFERFDKFEYVDRQEG NVPFPQVPDVQGSGLRDPLCAGGKTHITTTAGLQEYNVTAQRQIYELYNQKIAEHPEL EATRVVMEGYSVEGVLKHDPKKSSFGHRDDYLTTYFDVENPADYGLQDYALEWAKETR DLWNLGQPEPRRSQPSTYVNYALGDESLEMIYGWEPWRLQKLRALKAKYDPLNTFRYY NPIPPATNHY PFICI_00495 MEMEQSTHDVIIVGGGTAGCLLASRLSSQLPHLDLLLLEAGENH NEEPKVLTPLISRRMFGDPAYDWCFETTAQPGLGGRTIQQTRGRMIGGSSGINSHSLV YPNKAMHDTWAELVGSESWSWANMAKFYQAFQSEQPPSEATESTDTTPKIQASYPTTM NSLQRAWEDVFNDLGAASQKSGVSGEAIGGVTTTNAIDRSGGKGRRSFAGNTFLNSAT IKKNLQIESSATVVKMVLEDSSNSNFIKRVGGVVYERQGRSIFAKARKEVILCAGVFG SPQILELSGIGNPRVLETVGVHCTVNLPEVGENLQDHINFGPSIEVEPTIETMDVYAR DPAVLLAHSNEYQEHQTGPLSEGAAYSFAYWPLQLFNSKSDQDELHALLDSLATSPRS KGLQLHHEFSRRMILDPEEASATVFMTRMQRYTLPGHKAPGNYMTVVAMLAHPFSRGS VHIATPESSDPPVIDCGYLTHPLDAEILALHVSQIERLVTQPTFASIMRPGGRRLPPG LESAPSLSEIKESIKTYGATNYHPCGTCAMMRAEYGGVVDEELRVRGVENLRICDASI FPIIPRGNILTTVYAVAEKGAEMLVGLYGPESLSAEMV PFICI_00496 MEAEVSIETLSYFFHHVFLPPKLPGGDNRSARQDDHLLRFVQDS LLRCSSLAEEQHQDVFQDLSAMVKSMRQVRNDHGHLDEHALLNALQSMSTTGSPTVLP LEITEQNAGIIIRKAEDQVVFEVFELSPDNASVFKTQGRLVRHFPSTSVAIATNTVQE PGFNQVIANTLAKMSHQAVPGMRPQVRKAQQYHDEERDTTKPCVVTEFLSNVLLAFGT QATETSLCKNTREEVMWNDSRLPWRRSPLWLLVRVALQSTLRRRSHGSDDLYKYFMAF LLSGIMDQACSVSMASEVLHAMSAKLSRRWVKLGHSKSSALAQHINQSMLAASRFVKT RWDTICQQASPPLDLGLLSRLDTKRDIRFHLPKLDKFLRGIPRRTSSPVTSSFTPLSQ VPRLSSLSLPTQVLDSKNEEYRPFRLAAFESWVDKNLTTWLEANVHCPSVCHDLRDLT EKYHADAKAYYRNLPEGISRMFLTILELWVAADRVAVTALPLLRDYQHEIPVELYQAL LLSRIHDMERLDKAEKYLIERKAFALQKDFPSVFRSFGDAGSFSTRYFSDSHKHQRLL IEIEEYANKERSAKITELERLQNEYRELMRLHSEATCTKKTVYDDWNVPSTSHLSCDR CWYERRAKELTIQIHEWPLPALESQKQATVVELDPPPPLQSWRDLTIYLIDDVLKSYP SHEETLRTRHTLRNYAGLRQWQNMSYNGRLYLFSKTKPHVRTHRDGKYLASLETSDVC VNNGLQLSYFDSERNVFMSKLASSEKTSSSCLIKLPRRSAGLEKFMVRTHSSANGVFP NTVLAYQDEYPAHMKLGECKALASIPCGYKLQWMGILRELTSPLIDFNKPESAIFLAQ ISLQTGPALSGKPCRASHLDLTDDGMISQLLAALHNRLSRIEENLESTTSLWTFILLA ARSMTITNTSKAGLMDFLGCARTTAYRWLLKLVERSSSSQNDEQRNQLQRMLYNTALI CSETFNVDHEQLEHILDHPDAVSIFVESSINIRDHSQLADENDSLQSLLFERWRHVMH RASPIMRDQVLLCGNNGLNLAISRHWPGFTSASDWCSSPSADYWIESPSNSIHYNTLT GELLVNGRPLSHLPTTYTSNQMYRDLFGRCLMNVMPSLLPGMDFSSKMAFEGHNVHFG KQPTSGCPEQLLIRIIKADSNYDIVPSDTLAGVLPHAFVRDFVHWYDHSTGSIEFRSI NSPWKKSDQNWQLIHGDHGWKLTHCLDDYIVNPSSKIAKHISVVLGALEDPFHQHVIY DAAQRCLDIQLYRLRMDFFARRDSTAIESCQFRGMQVDEQQSIGTLIGLRSKLVLRGS DNQNSRLVLVSEGRVKLRGDFDGLSANMHPQVFVEIGTASRVQPYRIDPKLGRLVDCG TLQSKLFLAHLHATTSHCLPDPLTGTTGTEQALTIMRSAVVTSTVHLNEEESDRLERI AALAPTRQFYPRNEKVMQTVTWSSQLSFYSQHGLLYTVAKDLLANVQRTSFLYPHESP TKLRIEHSDMELVARDLNNTAYLRTDGFGAEVFSTSGDMLYKQRDRTHDSQRTEHTGK MAMRVFEKNQSLQERPINGLATNIYHILSKSSLTSAINEEPKLYEVQYSANWLTKPKS ALSKLWHKIHYAFQFNHQWINRFQVAMWLAAQAYASEEFSQVNQVLFGLACLPRVSEV QLPAVGNYNLSGGFEFDENEIKRLVNKAVYPLSECPESHLLSNHSETYWEFQERQNRS YNSNKDKSISAFVENLRNQWPCPEPPPLPPNDYIKSPEAMVAISSIWKTWHKNLLFLR YLEQIEQALSSYDVTPLCFQPVQGPTQSNPSSRQARSISTNDLFRGGETSQALTSCIQ LTVSLDNSPQHEICSGKLNDVINHLEQRASSIYEKHYILELQHSLDELQTQSHQYCVA QSGAFLRDLVQRYQLECESHVSRLFDMMMVDTDFSRQALPSHESGETGYDHQGQTDFL PRRSPSFFLSQLQRSRWSRLSESWKRKIVAYGLALVNLQRAGRLLQHCGNESEFTKEL RNPGHQDWDPFEYPEWLLMECESGFLIRPVQHQIALQMMAPPDGRNATMQLNMGEGKS SVIAPSIALAFANGSQLLRLIVTKPQFRQMRQILLARLGNLAGRRIYEFPISRDVRLD TSRVEVIRGMIQECIQEGGLMLVQPEHLLSFQLMGIEQMINGQATIARSLLDIQHHFD THSRDIIDESDEVLSVKFELVYTIGQQRPIEHSPQRWTVIQQVLEFVRQLAVDMQSEF PKSMDVYDWRHESWRYPRIRIFRKDALSTLVERLSEKICQEGLDGFPISRQPKKTRLA VQKYISMHDIPLDDVECVEKGAFWTDAVVSTLLLLRGLIAGGVISHALCQKRWRVNYG VDVNRVPKTRLAVPFRAKDDPAPRSEFSHPDVVIVLTSLSYYYSGLEDQDLFDSFHML RVNDQSTSEYAIWVQYASPDLPHAFRDLAGINLQDRAQCVSEIFPHLRYSKGVIDYFM SRIIFSKEMKEFPHKLSASGWDLCRVKTHPVTGFSGTNDSRCILPASIKQLDLPQQRH TNALVLEYLVRPETKVFLMPKGTSSNGPSSDGAQLLQQFMQLQPQVRVILDVGAQIID LTNVQVAQQWLDMVEEDGEQTQAVIFFNDQDVLSVVDRSGCIEPLQISPFAKQTEKCL AFLDESHTRGTDLKLPQDYRAAVTLGQNITKDRLVQACMRMRMLGQGQSVVFCVPDEI RNKIMQEHALPVDGNIDVTHILTWAISETFLDTKKAAPLWATQATRFYNQDRVRKERI EAKGNYSELWAGRFLEDEAHSLERRYRPGVASSSIADLCKGLSDAIFGQINNHLEQFG PLDTEMASLQEQQERELSPEAEEERQVERPTRAEPLSHRVHPNVLQFVRHGFVATNKS GFNKAFKTLGDTSIAAHANINELPRDLLVTDDFARTIKVRSRFPSDLFQRPVQWILAT GRQNESPAHLVVISQYEAHELLEDIKRSKFVSLHLYNPRMDLNNPSLDHLMLYTVPRR ENINSVAPSLVHQLNLFAGQLYLQSFEEYTQVCQLLCLACETADSDIPLGADGFIPPG QTAGICTNDGHYMRSPTKFIHELMARIRRNSEGVEKTHMGKLLAGKLLKRDDFTDDSS E PFICI_00497 MRNSIFSKGRQISGGLCDACKQQHRQRRSLSIASSSRAATAAAA RHTSPSLSAPSSASKVNNTPYRSARPFSTSRILRTESTANAQAEETATSTSAATAPTP QTHYDFFPDSLPQGPPPKGPFSIDVRTLRREFLQLQSRAHPDLHPPHLKSRAEATSAR INEAFRTLANPLLRAQYLLSLQYGLDVAADETAKVDDPELLMEVLEAREQIEDAEDES ELEPLRAANAAREEESIAELERAFAHADLEAAVRECVKLRYWVNIRESIDNWERGKPV VLEH PFICI_00498 MGSVMGPGPAYMPQQNRGYGSDSFAGGQNTAPRNFTPPGARPGR PESMVRGNNGAPIPQPRRMPSMQPGSYAQFDNRPVMYNQMGRPAPPGANPMGRPPGGQ MGRPPSSSGQMVRPPSSGQMSRPSSSSSNASSVMSRESHESGMRTPVSSHRTSFGSWD AHPRRPEYGWQRPAPIKQQRRRVMQPGELCAAMPDEVFDLIMSNLRTLHMEDGSFSCA TCMMRDICSVSYASKKLLQVARRALYGNIELVGSDSQAMKKRYKINFGSRMVLLRRTL RANPEIAAMVRTLKAPMQPLGMPIDQYANLAASIIMACPNFERLIGPHQSYDHNFNRL FHALSTREKLKEMTWVIEPSASQQQHRRPMADTDPEDLLPQQSTAFLEINMHWKNLTT LSIHCLPGAALTPVSLVATALSTMPSLQTLHLSNLSQTSFDDTDLLALPKLQVLSLSS MAGITSTGLSTFASRPSCQTLKSIRLEHMEVNSLAVLARIFIGLTSLESFTFVQNSTP LLPEGEEIWLFPYMVSQSLKKLHWDITGIQGCANFADSILAKSIAANGFPKLCWLRTP NDPEGIFQKLCKPVEKLEKPSDKYRTRGLVATIEARPATPKTPTTPGKSPGGKSPYTP AYFSFFMDKKYSDLHAARLAAQKRLEEARKIPRFEVNVIDEDGTINENWMMAGFMGEV PSKIDYYLLPDTGASDDNGGLVLLSDIVDDRREDLTQDKDGCTGRWNNYGYINYDKKG QNQEKWWHTERVRWTEVRLS PFICI_00499 MSTPDLMTIFRNRAEVGRLYSIHARLGLRFMFIGGDSDDKILWL RVSTRTRYGMGMVTSVEFNQLLDYYQQAADDVKDPEGDPQLREMIIRLACLNADACQR ANERGSFTCTSQACHSTPYEESLEDSVEESVEDSAEGSDDDSDEESDEEALDPVQMSR IAYERAKGFEDDDEFFYYTPVNTAKTTQEYGGGNDMEIGEETDRDD PFICI_00500 MSSEPYSVHSGKEVTRSPEPPQAYHEGLEVSPQQHYQQPRYDGN YALPGAPAPKPERTIFGMRRATFFLSVALLVVIIGAAVGGGVGGSLAAKNNSTCAPCS SGSNTVSVTTVTATAASTPTGTSSSVLSVPTGVVALDCPSLTNQDQVISLASQSWTFK PTCGTNYIGADITAIIVYSFRDCLQGCAAMNYFSGNATCAAVTFNANQTLEIPKDYGN CWLKTGSGQVDVGSSNYIISATLTSST PFICI_00501 MDAQEKERPAGDTSNEGNVAHDVESNINPGGTSDLEWKNDRHNP YNWPKAKKYVQLMTIMSIAFTCSLGTSIISPAHTSLMTEFEISSTAAFLPLSLYTFAL GLGPVLGGPLSEVAGRQAVFAMAVVLGGLFAMGSGLTNSFAGLCVMRFLSGFVYGPSL SIGSGVLQETYLPIERGLPSAIYILSPFLGPGLGPVLGVFLVARKSWRWTQYTIALFS AWSAVWMLFSRESYHPVIKRRRMKQLGLDTPARPPLSKALHKFLTVDLLRPVHMLLTE PIVMFICLYIACVFGTLYMFFGTFFYIFQTTYHYTLIQCGLVFLAIAVGCLVGFFLIG ISDKLLYQPKATKFPLHQIPAEYRLYPAMAGSFVLPVSLFWFGWTVQKGVNPAAPIVA IVLFGIGNIGLFISCMQYGECSIHEALKSDIGDAYHSSNVASASSANSLARYTFAAAF PFFSIQMYTALGTGWASSVLGFLSVALLPVPWILFKYGHRIRAMSKYETASY PFICI_00502 MSEASPTTSLLNLNALLDNPVFAGGFGLASLGAALAMARKGAIA SAGMIRRRLIVNVEISKKDPAYPWILAWLSQPREYTSFLEKRLTRINKLAVTTATTTT PNGPTRASFFLQPGYGRHIVKHKHAYISVNREKQSTSNLNTGEPHETVELTTLYAHRH IFEDIFTEAREIAMQANEGKTTVYSVRNFDWVPLGEPKRKRPLSSVVLDEGIKEGIVG DVKDFLERQQWYINRGIPYRRGYLLHGPPGSGKSSFIQALAGELDYSVAMVNLSELGV TDDKLAFLLTKLPERTILLLEDVDSAFINRTKRGSDGYNGPTVTFSGLLNALDGLSAS EERIAFLTTNHIELLDPALIRPGRVDMLIRIGEATRLQAAHMWDNFYGDVDQDGTGRE RFLAKLDALGLFAEAKDGEQPQRTSTAAIQGLFLFHKENMEGSISMADALIPQKFEAE P PFICI_00503 MAARSYATVESDLFRPTKFGSKYTVTLIPGDGIGAEVAESVKTI FKADNVPIDWEQIDVTGVDSGTPGSADALFRESVSSLKRNKLGLKGILHTPMERSGHS SFNVAMRQELDIYASIVLIKNIPGYETRHKNVDLCIIRENTEGEYSGLEHQSVPGVVE SLKIITHPKSERIAKFAFSFAKANGRKKVTCIHKANIMKLADGLFRNTCKEVSLEFPG IEYNDMIVDNASMQCVSKPQQFDVMVMPNLYGGILSNIGAALVGGPGIVPGCNMGRDV AVFEPGCRHVGLDIKGKDQANPTALLLSGSMLLRHLGLDEHANRISKAVYDVIAEGKT RTRDMGGDATTHQFTRSILDKLETL PFICI_00504 MTIFKSQHPDIDLPTEITIFEWLFGETSRYSPLNNVPEEELAGY LDASTEKRVSWKEVKQAATFISTALAKQYGFQTGDTISLFSRNSIWYPVTLFAAIRLG GIVSGASPAYNVEEMTYALKTANAKFLATHPSSIAVASQAAKNAGIPQSRIFLLEGSL DGYTTVQELIDIGKSYGGQGQVAAYKLPPGKKNGDLCGFLSFSSGTTGLPKAVMISHQ NVIAQALQIQQLTPEEPRRKSLGALPLFHITGLVHSLHLPVFMNAEVIMLPEFSMEAM LDAVVRYQIAELLLVPPLLIRLVRDPVVQRYDLSCIRRFSSGAAPVSEEILQLLQQRF PGTGFKQGYGMTESCSCITAHPPDAYDWRYAHKVGKIVASTEVRILRDSDSDDEGTTT QSEADVGEPGEILARGPQIVMGYLNNDAATRATFLPGGWLRTGDQGVVDADGFITITD RIKEMIKVKGIQVAPAELEDLLLGHAAVEDCAVLGVPDDRAGERPKAYIVAKQQQQPQ NQTGQGHLRALGADIIRYVEEHKVRHKWVKEIEFTDEIPKSASGKILRRVLRDRARQG TNGVVVKAAREEKARL PFICI_00505 MQVHSKIAAASALIAAVKAQSACTLTTETHPSMSWSNCDASGSC TTTAGSVTVDANWRWTHTVDGSTNCYSGNEWDAALCPDAETCTANCCLDGAEYSNTYG VTTSGNAMSLTFVTDGQYSTNIGSRLYLMADDSTYQGFTLLGNEFTFDVDVSKLGCGL NGAVYFVSMDLDGGSSAFPSNTAGAKYGTGYCDSQCPSDVKFIDGVANSDGWEPSSND ENAGTGSKGACCAEMDIWEANSISTAYTPHPCTNSAYHSCEGDACGGTYSSDRYAGDC DPDGCDFNSYRQGNETFYGPGSSFTLDTTQKLTIVTQFLTDDSGSLSEIKRFYVQDGV VIPNSESSIGGVTGNSITTDYCTAQKTAFGDQDIFAKRGGLAQMGAALAEPMVLVMSL WDDHAANMLWLDSTYPVDSTADGAARGTCATTSGVPSDVEANQASSTVTYSNIKFGPI GSTFAR PFICI_00506 MGKKTTKTSTLGEGSDQRVQAANGASESTTTGSSKKGTNKSSTA SQPSTSALVICRNKHWKYISSFHGPWLQLPLEVLETIANANYYMPLPRPVDPSVFYDL VKIRKLVDNATDLAVRAANGVSSPAMSNSSAGGGTHQMQALGLGFANNGGMKLSPERR FKLREQATQKLSRAYHIDEIASSVATMQSTSTLENVAGLVMQRAPEDPDANYVHFFHE KIPSRQLAECTDFRALDLIINQRHGDCEPLRTRAIVRMFKDDFEGAAQDLTRSLAVYR TYNSSSLHQLANDYDNMELEPRTTRRRDLPPLDERDQPRSTEIQVLFQRAGCYLTLAC SHVEQSLLKRTSPRNDAADVASNETTTIKDASLPSPTIDSLSAETGPTKRELENRKAV RMYAKRALRDYMEYLKSLQYSPNMPIELSDEFTKLVNQANQRSRRHRVGTQDLSSPTD GSEGHTVYSLHQLFSATPPADLPAYPSTDLVSARDSNPVTTMEFVTYHPLLTDALHSL LLCHALIQTSAKELQRHAYMVARLARLADGYPVFQASRSPARADWIEILRRSESWLDL CSSWEALCAPAPLLTTLSPGGNLSSAAATANALAITGGQSPTESDEQRTDRLKHQAII EALEDERVNDEASFRASILARQRRAEAEQAIASGVPANGSSATAKSPSLSSQKRWAVD DGREYPILTERAEAVALWVREAPAGSGVGGGKRKKKPGSAKKPASTPATDSAESLDVT AVR PFICI_00507 MRGSRYLRSRQKSCQQCSRAKAKCNRQAGTCSRCALRGLSCYYP QTQPSPEPLQNEREELMVDAVHQQSSPVATNSTNYSVASAHSGITAIDRGLLSEPDTL GSISQPAPSATDHCSPMTATTATLHGTDFDSQSHISGRRSASKISDDFSSLDFRGAAL ACQLNVDEIASRWLNTFVPIPGQKTKKYPANITAYMRRVLGSYASKAMRGRGAPPFIH PSQIESPAARPPLSTCLSLVRICEKILPGSESAVMDVLQRDMEKVYEQRATHDDISSL SALQAYLLYAIVLFFKVGRAADQTFRSTIINLQELACASSRRGLMCIAEQERARPRWE DWIVAEAKRRTLFAMYIFDNVLSAEEGLPTFIGVELQGLLAPGPKDLWAISSRQEWQI AYNAYLADWPDGGLRIDELWPTPAGFNQPQVDERQRRVDQWLEAVDEYGMMIYVSSRT HIHSSLHYVHKPASRRKRSASDITIYPETLIVLIASTAATFSGFASNIYFPALPTIAH DLDVSVELVNLTVTSYLIFQGLAPSLWGPVSDVKGRRVAYCLTFLVLLGACVGLAEAR NYATLIVLRCLQSTGSASTIAIGAGVIGDITTRADRGSYMGIFQAGLLVPTAIGPVIG GALAGSLGWRAIFWFLTIYTGVFLLFLLALLPETLRSIVGNGGQIPSNPIAKFPLTLY QKFIKVEVKAAIIPVAVSPKKIDVLGPLRILTSKFAAPTIIFLAVHYAVWQMSITAMS SLFESRYGLGETQIGLTFIANGVGSMVGTLVSGKILDIDYRRVKAAFEAQPTRSADTE AGQDPSSTGSEENFPLEKARLRLVPIFSVVQCASILLFGWCIQFPNTVPLAIPIISTF ITGWSSVSIQSVITTYLVDIFSDRSAAATASLNLARCLFAAGGTSFIMPLINGIGVGL AFTICAIVQVAALLAVSVAWKYAGKWRQQSEQAAKLKNESSGRQ PFICI_00508 MYKSLLSSLAVVALLSGSVSATTPPSYSGYTTVWYANFAGDAGS LPDTSNWNIITGNLGVNNELETYTSSNQNLQRSGGQTLQIVPRRDASASGGWTSGRIE STYTFVPKAGGRTMAEAQIQFGSNPVANKQGLWPAFWILGDSIRHGTAWPACGELDIM ETVNGYLTGYGTTHCNVYPGGICNEPNGIQGSISIPDQGWHTWRIVWDNTASSWTGQT ITWYRDGVAFNEISGSQIGDQTTWNSLAHRSLYFILNMAVGGSWPGNPNSATLDGYGS MMEVAYVAHYAST PFICI_00509 MMLREVADDFVLVSLDSERVEHASDDKLPLYIDHISHHVDKLAE QLWPLNKTVHDNPELGFKEFIAHAALTKFFKRQPGWKVTPSAYGMATAWVAEWDSGKK GPVISYNVEMDSLEGIGHACGHNLIMTASVAGGLATAKMVEKHDLGGKVVVFGTPAEE GGGGKIKLLEAGAYKDYDVDINLISHPGIVRESALVRTSAYHMFSVEYFGREAHAAAN PWLGINALDAMVTAYTAISVLRQQCMPGDVIQGHITDGGSRPNIIHAHAAGNWVVRAD TQARLEELKKKVLACFEAGATATGARLQITDGQSYADHVPNRLLGASYVRYFNALSPP SAIPVDQDVDEIHGRTMASTDQGDISYAMPSLSPGFSIVPGPGGNGPHNPEFAEAAGT KDAFWRALRVGKALAGTAVQVLTTEGLLAAIKEEWKQDIKVKRARV PFICI_00510 MNTEKQAEDGIHPAATAEQDVDLTKVDIKDETGDLAVKALAAGA VDAEMSKKVRQKIDRYILPFLCVTYALQFIDKTSLGYSSVYKIIDDNKLVGQDYSWVS SIFYFGYLLAEYPGIAILQRFPVAKFMGVNIVLWGIVLMSTAACSSFAGLATARFILG MTEATISPGFVAVTGIWWTRQEQASRSALWISFLGVGSFVGVLVAYGIGHITGHLHPW RYIYIILGAVTIVWGVVFTLCVPDSPSRVKWLSEEEKVAAVQRVIENKTGTKSRRFVR EQVVEAALDPKIVILGLISFVNALASGGLSFGSLIISGLGFGAIETTLMNLPLSTVQL VAQLGCGYLTSKISNSRLHIASLAMIPPIIGTCLINQLATDNKWGRLVGLWLLGSYPV GFMVILGLLSTNIAGSTKRSMASGWVFVCYCVGQIAGPQFFKSTQAPAYHGGIAAMLA GFVLNLVLNQVLRFLYVRENRRRDRAIAGKSEEELAEMQRESELQGFEDVTDKNNAMF RYVI PFICI_00511 MRSSFTAAALSALTCAGLGSAEIQQKCSTSDICFSVAVTEAAAS SGSGNLYFQIQGPTTYSWLAMGTGSRMSGSNMFVVYTDGSGNVTVSPRLGTNHVMPTE DTSSTAAQLTLLAGSGVDGDTMRANVLCTNCNSWDGGSLSLGSTSASFIAAWHSGAAL DTTDANADISQHDGTDGWTVNLQSATVSSDSNPFVAASSSGGDSSSSGDGSSDSGSSS GSSGSSTGSGTSSSGISGSSGPSQSSTAQLITAHGVIMAITFIIMYPLGSILMPLLGK WALHAAWQMVSFILMWVGFGIGVVAAQRTFINFSATHTMFGTAVVVLMVIQPVLGFLH HRQYLKTAQRGAISAAHRAYGSILMSLGVINGGLGLQLAGASRGAVIAYAVVAAIVAA VYLAIKVFVAARRRRGSSTHRRGSSARAGEKIGGSRRDKYNQTHGEEIEMPRR PFICI_00512 MMPKAAPALSTVRGFGTAPVTSGDEDSDHCATPPLTARRGNGRR LDDVVSANCSPLLRAHNSPAISGIAKLRMQLEPLSLSDSRTSTMTGYSDSRTTTMMTR TNSQHSALGRSDDDISENGSTSYEVALEHEFVSESVREKPKFGAIEGGLVQRKVQADD FEPLRCLGKGTYGTVLLVRQRATGRLYAQKQFKKASVVVHKKLVEQTKTERQILESVN RHPFVVNLYYAFQDHEKLYLILEYGQGGELFTHLNTEKMFPEPVAAFYMAQMIIALSH LHDNLGVIYRDLKPENCLLDAKGNLLLTDFGLSSQVPLDEEDCCKSILGTVEYMAPEV VRGDKYGKAVDWWSFGALGYDLMTGNPPFRGGNHAKIQHNILNQKLVLPYFLSPDAKD LLTRLLNKNPKKRLGGNMPKDLQTLKKHRFFRKIDWKKLEARELEPPLQPMITDPELA ENFAPEFTDLPLSPVITAKDPWGAESIKNKDGFKEDPFGGFSFVASSSLLDNAGFLAG EA PFICI_00513 MSQFSTGGSPRESFTASPSANSSPVHNTPLNKPDFHTRPNHNTP PPPPTYAQSYTQEPSTPAPSSPVRPNHDHSPIGEPPATAQANHSRSHSRTRSRSSSRP LSMVQTYQPPLMDVTEDTIPELQPIFTFLNSHGNKLYQEGYFLKLDDQNTQGKPNPDR TWTEYFAQLVGTVLSLWDAAELDAAGEDGEVLPKFINLTDASIKMIDSLPTRSNDEQP LQNILSISTAGRNRYLLHFNSRHSLIQWTAGIRLAMFEHSTLQEAYTGALIAGKGKSL NNIGVIMERSRTTKEEWVRVRFGAGVPWKRCWCVISPPDEKEYQKMQKELKKKSPYDR SHPPILKGDIKFYEDRKEGRKQKKAKPIATITDAYSAYALYPQAKSLIDASTLIKIEG NMTIHSDPPSSTEGFVFIMPEVHPAVSGFGMMLQFLFPTWDTFGLYGRPGRLAASTID SRSLMFAMPKHKRYGYLEILDVTSLILAEGSSSWTERDWKKKLKDLTGERMTTVDDKP PTNHSRNNSRSKRLSFGPATEAGAKPRVGFADGGAPSVRSSRSMSLTHRAAPRTDSVP PGAERVPAIPSALKSTHNRNASDGNLPGGAPPPPPHGISPVGDSPSGRGLNPARNFVN DLASTPERVSSDEEREHSTRALDGMRHLDTPEPVSQPPAFTHAPGEKPQQRPYHAPEL RRANSRMSATTLAQLAQAGGIEGVARQEGWIHDGSSGNQSPVGPRNDRSGPPMVHAAH GNNPGMNANAGFREDLREKTSSSSLAAPPTDLRQQRSRSPLNQTMGPPSPGMRGPSPG SHPGTPGPHEMRSPPPGPQVRPPPGRGAPPNMPPGRGPPPNMAPYGRGGPPGSMGPPP QRGPPNGPPPNMYRGPPAPSGQGSRPGTPSGRRPPPPALTTSPPIQRKALPARGDSLN HRSEYPSSPTVSSSGSFTRGIDPDIVAHIHGPGSSGRATPVRKDTARSTTSSNYDSTD SPDYASTRPSTDSGASVERPRAGVLRTVGDDNFQQPSSNIPSVDFGPTFNYASQQSRS KTPTPAMPGPPSTFSPGPAGQKNAPGRDLSHGRNASEDTVQQQRRSVIWQPPTPGASA VAGASAPGLTAEQFVQQRAAAAAATPLYAHQRQASSGNINVYRAGTPTPPLDRGHGRD YMHAHSRSNSQDLLQRPSSRGAGAVLGGQSAGENHLSAREQEHVARMTGTPLINMASN KNAPPPDVGLIGAIEARERERQQMKQGYSGQAVQQAINMRQQQQAMQNYQQPMASPGM APPAGMYSNMGRQSPGPQQGFGYAQQGLPSPGVHAGAGWASPGAGYGSPRQGNFPGPG LMPQQGQFSPQYGSPGQSPRTAQPPGRPGFNAAHQGHAF PFICI_00514 MRRLPLSSLTLPPTTASSSRRVRLSLPLRVSFSQSFPRSRFDAA SEPPPIEKGTETPPLTDSCPRLPFRFETGFALFAKRTPRPFPPPFLSPPSGSFSDPLS THHQSRDKRVFVEGELIRGWTNGDDAIYASDHFICANDGVGAWSMRPKGHAGLWSRLI LHFWVAAMQEDITKPRPPDQPYCPDPVKYLQTAYERTLDATSGPSNCQGTTTAAGAQL FYKGDANSAEASVPLLYATNLGDGQIMVVRPADQEIVYKSTEQWHWFDCPRQLGTNSP DTPMQNAVVDLVELEEGDVVLAMSDGVIDNLWEHEIVENVSRSVRRWENGEGGSADGA RYGGANGGMTFVAEELMTAAKTVAIDPFAESPFMEHAIEEGLASEGGKLDDISVVAAL CRRNN PFICI_00515 MPRAKTAKRYRKLMERYSMTFGFREPYQIIVDSNLLADACRFKM DLIKSFEQTLSGKVKPLITQCSMRHLYNTKSEPGVYAAIELGKTFERRRCGHHPDQYP EPLSTLECLNSVVDPKDNGVNKHRYVVACNDQPTRQALRTVKGTPLIYISRSVMIMEP MADESVQVRNKEEKAKLRSEIKRFAEGNKRKRDDDSDSEAGEDGTKQQKGESGATTTE SEKKKTKKKGPKGPNPLAVKKKKKATQDTSASKKSKDEKTPGDADTKEGPKRKRRKKG KTETGDAEGASADQNASQAVQADGDGSD PFICI_00516 MAPAQEFDDFGLPIRKFPSEETGEEAQSDSTGKSEDASKTAETK DIPNDTPKDASQKQEGGAKVFTKEGEIITGENSDKDVEPVPATPSPATISNEKSPEPN AKAGSDDGAATPVPTQTKENESLNKNTKDDAVTEPPVADSADTTRERSNTTSSTQAIS GPSIQDVEAGKKAEEAKEEAKRSSQIGHNRDTSVASMSEFSHQQLTAEKEEKDDDDDD GWQEMPAYAPYDIYDDDNRLIAREHNDEEDEKYGYAGLGGAGKGYTRVLDDEDAESET SMDDNTRYLFNKTGASTSMVDDIDEQRDAVSQLQATKDLLTEGQRIAYVGVVRVQLHD MQTEAEFPFTKKTKKDVQTAAEAMRMWSQKIMIRLYAHMEISSAEQVMIEQLATHGVR PGDLTPLLMQNATVKNPMAEEQSSAPGTPKVGVRSPQASHPGTPRQEQSATAPPPYEA HAHDGEEMPEVKTPSQLPNSQKIDIDLRWTVLCDLFLVLIADSIYDARSRVLLERVAQ ALEVPWIDVCRFEKRVTDALEMQQAAEKENWNEEEHLENRRKLALKKRYVMMGLATVG GGLVIGLSAGLLAPLIGAGLAAGFTTIGVGGTSSFLAGAGGAAIITAGSTASGSFMGG KAAHRRTGAVKTFEYRPLHNNKRVNLIVTISGWMTSKVDDVRLPFSTVDALMGDIYSI LWEPELLTSMGDTINILATEALTQGLQQVLGSTILISLMAALQLPVVLSKLAYLIDNP WAVSQDRAWAAGLILADSLIDRNLGTRPITLVGYSLGARVIFSCLLELARKGAYGLVQ NVYMFGSPVVFKTEEYLKIRTVVAGRFVNGYHKNDWILGYLFRLTNGGIRRVAGLTSI EGIPGIENMDCTEFVKGHMDYRQAMPKLLRECGWLVESDEFGEIEDPDPEQHRERQRE LISEIEEARRELEKEGEGKKKGAFAFFRKKKAQRADWEVYEDSKGHSDTNGSKSSDQT ESNHGVLFDVDAMRAELAKEQANDANDGDELHVKELKSTLPPMKINVPPNENPRNGLR EIKSDDATTTLRTAASPNLTVSQDRTPRLSSSGFGYHSPNPSQAYGLDHEDDGIEMTF DDGGFGGSSSAAPARPEIKSAQTTPNLAMHDPWADDDEDFGKEKEISMTFA PFICI_00517 MRIHVTSAGLAAFLMSVARAKGEDANSESQSTSAVDLIINFTYS DARWNSTRTFDNSYQLEFTKGTDTQVRNASLWRYDPASKTGDVISTYPQEKGQFGGDG GNSKQRRSSAGDVSIADTPLFLQLDWQSTDGVTQGQSYSRYFAMNDGESSGDDTTSSD FLEREASTTAAFPECSGVACSTSGPNQPTSTAQTVSPSAVSSSTSSSASSSATTSAAA AASAGSSGLSTAATIGIAVACGVVGLALIGAGIWFLCCFRRRRNGTGHSALAQQNGSY MSDGLVGGGMRSDKELPHVTDSPQSTFAPTRGLRDSMSSTVMVGAGTGLMNSGGGNDH HHHQQQLQQQQQAIHHHQHAAHGGSVVMDDDDTYAPYRDHTPPPAVYASHDGATAAST GSQTSLPASLQHHARSPTPPISSRYAHLVEEGMTDEEIRRLEEEERALDVAIEDAGRS SRCQSYCQQQQQLQQQQQQGRAQ PFICI_00518 MESSQPQAGSLSWRLSSHPITLLFFLGFRISSLLVYLFGMLFID NLVMIFIITILLLAADFYYLKNIAGRRLVGLRWWNEVDPSSGDSHWVFESSEPGTKTI NATDSRFFWLAMYAQPLLWVALAILALIRLKFIWLPLVAIALVLTVTNTLAFSRCDKF SQASNMAGSAFYGGNLAGSIASNMAGRLFSFGR PFICI_00519 MCRYTDVRYRCFGCGKHDEHNAKTTRHLCSWAKWKSWGSPDPIN FWGKFCQVNHDVEYQRGDDLCTKCQEHREERSGLFAEIFWTIANEKKSKTWSQFLPMA FSGFKRSPWDLWIFVMEILEDPLAAFARIRLPGIRLIVKAKSQ PFICI_00520 MEKIPSTEGALSAPGRRHYQYSTLTDAPVNINQMGNPVWLNKAT FRQLAEGALNNGTTTLPTVFTQEMMALQHRMFNGLVAFHDMAFNSSMPLPDDIVRTNI HHLPLLPPAAAAITASVPHPRPPRRRRRRRLRAAAPEFWEEEAALLHFMKNLEEEAAL LEFIKNMGICPS PFICI_00521 MLFSFFWAIIMTAAALLIIPKYLDSRIEQDMVAIHGKTRELQTK LDNVQRQLDLLQVARLKVMEANLARLQKWFELLQRKSEEEESNGASSDS PFICI_00522 MTTNPVLLAAGSIVAWYVISSLWTWYRLRHIPGPKLGAFSYLWV AWKVARGRGMDYEHLSKYGPVVRVGPNYVVIDDPNDLRRLNGNRTQDARRDDWYSATK MEPDHNMLLSVLDAKSHDSLKARVSFGYSGRDNIDFDVAVNDQMTHFIDVLRHRFVSR PGGSSAGSDQVKALDFAQFIRYFTLDTITKIAFGQAFGFMDHDGDLYGYTDEVERLLG VLALAGDVPLLRHIFTTPVLAQWFAARPTASNGVGKLLGIAHEIIDNRMAKKDAEAPD MIGSFMKHGMDIREIKNETMLQILAGSDTTATAIRSTMLYLMTSPRVYQRLKNEIKQA VASGVSKPISVDQAKQLPYLQAVIFEGLRMRPPTTYGFYKIMPGSGEYIQDKFIPGGT AVGWNMPSLMRREDLFGPDTQLFRPERFLECTEEQRAEMIRNVELCFGYGRWMCAGKI LALVELNKMYFELLREFEWQIVYPGNAWSEKAYTVYSQRDMWVSVTEAEAA PFICI_00523 MSKNVAIAGAGGLGRHVVNALLESKEFNVTVLSRSPKPDLEAKG ANVKVVDYRYPSQLIAALEGIDTLLSFIVDFDDSKEGSSLGALAHRNLLTAAVSPRVN LRRFVPAEYANNVAGFPRSALSCERDKPHFRNYVRAFCPARGIEYTLISNGVLMDFFR PRGDKSGFPDLEAEIIPLDADKKTALIPGNGKKDKISFTAARDVARCLVALLKVPAGG WEEYSYISGDRLTWDEAADQLEAALGAKLARTHVGLEELRARAEQARKGGNFMDIMIA ELNEVFGDGSEVLPENNKYFDGIKFQKLADVFQECYGKGARK PFICI_00524 MEATSSDHSLVKTVASWILLVGLSGAIYWRIHNDPKKKNVVAKT VTQAKQDLGNDVASATAAMKKKADKALKPKSKPAPSRPETATAAVEYDPVAEAAAKRD EAKANQDFAQSFSKLKTGHQFVSGKTEKKRQKSVKQSRAQETDDAPAASPPSSAAGDA DDDLSSAASPIAAPVDSTGVSDMLEASSPGPSVLRLTDTDKAPQSSAKKTKAAPAPVE TKKQRQNRLKAERKKSEREQDEVERKKLEEAQRRRARIAEGRPAKDGSSFVANKENAW TAKANGDSSAPVQLLDTFEQSAATPVSTSTPKAAVAGNKERSESWMSSLPSEEDQIAQ VMEDSSAWNEVTTKKSKKGKKTQEFAPEPATSATPIQASAPAAKTAVNGSKSKPALSS HSSFAALAPEETADDDDVEEEWEV PFICI_00525 MAPKSRVIFDTDPGVDDMLAMLLALASSPEEIELLMISVTYGNV PLKSCLKNVVSMFHVLDKELEWRRSQGKPEGFASLRAYKPVVAIGPEHALEEEILMED GFHGSDGLHGVHEAHPHLNPDETWKSLFEDGVPTPAQDPAYYSYFTPSKVPAHKEMLR ILKEEPANTITICAVGPMTNLAMAAAEDPETFLRAKEVCVMGGAIEVPGNITPVAEFN TYADAIATARVFALTSAVPSSTMPVVPQTIAKLPPYPENLSRRLKILLFPLDITTPHL LNRNFFFDSVTPHVKEGSPLAMWINTIMGGVFRQIEKNYGDDEEPGLSLHDPLTIWYN ITRDHPAWKLARSEPEDIRIETSGQWTHGMHVSDSRGRKRAPGAGSAIGVTADGQGAV DVAVDEIPGDDFGWLSAKRGNRIHRWAESPGFDKFAPDLMHRLFS PFICI_00526 MSNLGNYIEKKVVVLTLDSRMLVGKLESFDQLTNLVLSNTLERV IRTPDDPEDSETVPLGLYIVRGDNVCLVGLVDESIENEIDWSKVRGATIGTTKHS PFICI_00527 MKYSQIATSLLLAGSALAAPSAKVVAPRSSSAADLILAIAPDSA SCDSSNDECRTNVQAAPLLIDAFDKYDIRTTAEIAGILSLMAYESVNFAYKRNKSPGR PGQGTANMQMFDYNVKYAATLSDLTTQVAALGTITTDDGKNQLLDLVVDDQYNFGSGP WFYSTQCTDAQRTALQKGDDDGFAQYMACVGVTVTDDRNAYWTRAKTAFGL PFICI_00528 MRSDVALSALWASAVQALTAAQWESQSIYQIVTDRFARTDGSTT AGCNLSQYCGGTWQGIINHLDYIQGMGFTAIWISPIVTNILEGSGGTSYHGYWAQDIT TVNSNFGTSDDLIALSDALHDRGMYLMVDVVTNHMGSPNAGSSVDYSIYTPFNQQSEY HTPCDIDYNSETSIEQCWEVTGAPSLPDLRTEDDDIRSTWNAWITPLISKYGIDGLRM DSTKHVEKSFWPGWVDASGVYNMGEVYNGDPSVFPDWLNYIDGLENYPLYYWITRAFQ STSGSISDLVNGINTLKGSMKTNTLGTFMENHDQVRFASLTSNTNLIKNAIAFTILND GIPIIYYGQEQQYSGGADPNNREALWTSGYNTNSDLYKWITTINKVRNTAVAKESSTY LAYQAYPTYSDSHVIAMKKADVIGVFTNAGSSSSATVPGFTASQAVCDAVAGTSYTAD SSGSLTLTVGPLPAILVPTSWGLCGSSSGGTTTTSATKTTTAPTGTSTACSTVPVSFT ETVTTQVGQTIKIVGSIAALGSWDTTKAIALSAASYTAAKPVWTVTINLPPGTAFSYK FINVASSGTVTWEADPNHTYTVPSSCATAQVSNTWQS PFICI_00529 MTPTPPSAATSSSGHSPEEQFRVVRRRNRVPLSCLPCRTRKLKC NRGSPYCDNCVKRGDTQACTYASPSVRRKNNTGEASNATPDDMQNRIDRLEGLVLSLM HGGANIDTSSAVAAAAAAATAPTSVSGDPRASVADTSSSRNERDENSMKDEPEGDSDV EDNLANSLGVLKVDADKGKSMYMGQEHWATLLADISEVKSFFTSHKKDLERSYERVMS SKPATARDSPIFLLSAPPTTETELRAELPSKSAITTLVSRYFNSLDTAVSIIHGPSFQ QQLRNHWQDPTKSSIMWVGLVYSMLCLAMLSYHKVGDEPPEWKGRALELAAEYRLRTV QCLVVADYTKPVEYTVETMILYLFGEWSSRWDADLSLWLITSMITRIAMRMGYHRDSE WFPSVTPFRGEMRRRTWALIRMSDIMFSYQVSLPTMIYEHDTDTKLPHNIFDEEFGPD TKVLPPSRPITEPTPIAYMIAKTSLCNEFGNILQTVTRVGRQATYDDILGHDRRLREI LDELPPHLKMHPLEGSHDPVTLLIARFNINLLYLKIMCMLHRKHMIRARQNPRYAHSR RSAVEASLETLAHLRTINRECQPTGRLRTMRWYVQSTSKDTLLPTMLIALDLHHDNIA RTSGERQDSQAAFFWTLEQRTEMMSALESVRDIWKSLTQDSVEAYKAANILDIMLERI KNPNAVPTTMNLDPTKQENLFGNFGSTDMQPEHSAAMTLGMMSSGLTPNYQSPGGSTY PPLDLGMRDMGSGFSPNVFQTDFSGGANNLASPFSMFNNLGNSSDMPLDQNFDWAAFE NYTQNANFGEETSFGFFPEGKQQSPQDASTDSSFSFMNMSTPGTNKSNP PFICI_00530 MGSYARAGATSPLPDHRIESERMLFDSDEEDADAATPASSRDLD DVEQGEALLGQDKAGAEKTVRPGRVRFRGNRSWFIRLSSVFVITFMLLFDLQLITRKD GIPEEASGADADLAKYLELSMRRPDGDYILASDWDFQAPPQERHYDWTILEKEGNPDG VFKPMMTINGQFPGPLIEANEGDTIVVNVNNRATNSTAIHWHGIFQNGTAWMDGTSGV TQCPIVPGKSFQYKFTVHGQAGTYFYHGHQGVQTLDGIIGPLVIHSRDEAKSGPLSYV SDRVVLLHDWYYDPAEGLMREVLSPGNEDSPIPNAALINGLSQVDCSLHPNRRCDAAS TLLPTMTLEAGASHRLRFINLGGYAWFQVSVDEHTSLPVVEIDGTAIEPAPESDIILS PGQRYSAILTTDKFETAGHGLFWLRARMIKSCFYEPSIPENGSGEAKAIIRYGTSTDS TVSDTAEQTAQDLPTTENTNKNYPIICKDMTARTRYRPDPAQPAPEHADHSWYVRTNL AIGNWRLQRGVMNSSSLRPDLKAPTLHRVLDGLSAGNTSFNVEGVNLAAFDKQELVVS HRSVQTVDIVLQNMDENSHPFHLHGHQFWVLGAGHGYFPGYDKLGFAPHGKGLLDPAN RTAVENPLKRDVATAEGFGWVLLRFVADNPGVWLFHCHMIWHSEAGMAMQFVSRLDDL KNMLIPDEARQLCASSENDLRLGAPPKDEIFFGFHND PFICI_00531 MSTIKEISNEDEWRAHVASLHKDTLLIISFHAPWAAPCAQMATV LRTLAAEYPVTEPLQTSWVSLNAEELSDVSETYDVTAVPYLVLQRNDTVLETVSGSSA VKVRTAIETHARTKTDGAAAVNGGGAAAAAAQPDEMDTDVDPAKAKEELFKRLGELVK AAPVMLFMKGTPSSPQCGFSRQLVGILRERSVKYGFFNILADDEVRQGLKEYAEWPTY PQLWMDGELVGGLDIIKEELENDPDFLKSYSVAKEETAAA PFICI_00532 MATLYDDLQARDGVEIRLVTLEGIDKTTGKIECRLDTVRLSDGP EYRALSYCWGDASRPSDIICNGFQLSVTRNLASALVCLLSKGKANDLSLTFWIDSICI NQSNHAERQAQVMLMGSIYRQAVEVIVWLGPASDNSDLAFRVCHRLSGQETGAPTRSQ RRAGSREFSKRIKALYTPLTNVFGDKSINFKYRGIRTIIREMRAVHDILTRPWWSRVW IIQEVTLAKHVVVFCGDAHIYWDQLLVGVIACLDWPRAKHYIDLATAHYARVLFQARQ VVMCYNHDSSRLLFHLISQCRWSKATDPRDKVYGLLGLASIDSDSSNVRVDYSQSIED CYRTALLDIIKASGSLEILQLCRKPPGLKATYKSPQLPSWVPDLRLDASDLHQETDLH GFGPLGFQSAPGIEDLISQHPGWQTQMFSASKGSVERNPNLINDKVLMVSGLMIDTVN GIGEVLTGLKEQNDPQVTEPCSKSCRGAAKSQTPWTLIKSLLKHFSGFAKSHEDVGTD KLLLISWKRLAFSHGVEYPTGETLNRAFSATIHRSWLGEHPERTITNHNAQWKRSLSR IEAFDQKFMEKRFSHTSKLRKSVAGMVWGSVKFLIGQGTLLHGYASK PFICI_00533 MGRLYDELRITDTVEIRLVTLEGIDEVTDRVVCRLDTVPLSDSL KYRALSYCWGDAEPPALVECNGFQLRVTENLTAALKCLLRNRNGKPLSDFLTFWIDAI CINQENIMERAHQVTLMGNIYRQAKEVVVWLGPAADHSDLAFRACQRLYDEDLRRKTG LSSAKEMKYKVAKGVRWSSMESYAHIIYDEKGRLSSRAITAYIRELDAIHAILRRPWW TRVWIIQEITLARELVVLCGHDSISWHTLDVGINACMQRPFSADFLALATVHYANMLF QIRHAVIYSKGAVTQPTYSLTHLLGRFRWSKATNARDKIYGLLGLVAAGRDGPLTNLS YSQDVEKCYRDAIIDIIKSSGTLDILQLSRKPPSLGTVSAQNTLDLPSWVPFLQLDAD DVDPAVDLSKLGAVGVQSWPDIDKLCSAENPWNKQWRSLCFSASGDSRIARDDVESKE DNVLVIRGFVFDKVQTMGEAQTGIKAQGNPLLHQQYLHIRRATVEAENIRFAVKAARS LLKASTRRECVDVFQEQFHHVCKTLAENNIVKTVWQASWTGLGGNFHNFCQFGQEKLR ITEWKRLALSQQGAYPTEETPMQAFVGTMYEGGLGTDPEEMMKQYEVELRRVLSKVET LDRGYLVRRLRPQSRLRHVLAGLICCISTLFKIEEIDFIGQAMYKCFSITKQGYFALV PPETREGDSIALLKGGQVPFVLRHTLKSGIAGWELIGPCYVHGIMHGEQWDEGQCQPM RLV PFICI_00534 MDHFNITIQDGAMISTRRGFKVSKQKHNGTAFINSSASNIAPTG TESTSGSLLPTAGELTFVNNGGDGRKSRRKTRTTTQDGRKTGSRSSARGNAHSRISPG TRLSSSSPRTTVSDTFNSEQSSSACSADSLKPSEPTPLPPWAAYSHHSQVRIDPAKRL RFMALAFGHNKPSHAEDLCPPGETKPAGIIEKSTWQVHDPTTIHCALTMGALFDAVKE GRHETPQLTSLASQLYSIVNRRVSENDRTDKARDVTIRAVASLAIISGYQGKSEHWNV HMQGLLNLVDIAGGQERLFPGTLDVIRCFPLFSADIIGALSAATEPRTAFVGTPCKAR YISKLDASGNTVRTVYRSFEAFKFETEMVETLAATAKFCEEHAAKQDQDPSPFQHYGP EMFEDFHFLSRRLLTIPKRLQEYDEVISPPASADISVHARPFPISESAHIWPPDTTTK AVETALRILVLMYLKELMLDVPCNDRILLGLLAKHLLFILSAQRLNPFGTDQIPVLVW ICLAGQLFGMMKKTVWLREAIGGHGICDQLLREVLQFDETLTLGSVSEEQLAFSKCLD LRRIQGTWHENEAIRGMLGLNTDQ PFICI_00535 MASQQYYNQGPPQGYGGGGYPQQPQPSYGPPQGQYGPPQGQYYQ QGPPQMGYQQQPPPQQQSSGGGKGCLGYEVCELHQEQIESCYNAVETLSNYDPSEW PFICI_00536 MASLNLSINGPSIKSSYQAVINASASGNSSTFASWALFSVQAPL ANAFQDSGNKESVLKVQTTGEGELEELLEDFNEGRVQFAFVKIKDPNSGLPKYALIAW CGGGVPERTKGYFTSHLNAVSKVLHGYHVQITARSDSDIEPANILQKISDASGAKYTA GGSAPVKSSGAPPPVKSKPVFPTTASGTISFNPIVAARNAQLRSKKDDDGWGEDAPQV SRSQIEKVESAYKPTKVDINALRSQPTASTGDERPDVVRGAYQPVGKIDIAAIRAAAK EKPDDRPTVVKGAYEPVGKVDIAAIRAKAQKPAESTPEEAPEQPRSLAERGAAFNQSE RITELPKPKVVKKFDNSSSYGGTKPLNPGGFGLLGPAGGAPKNPQVGSAGRSFAASSG KTPAQLWAEKKAAQGGGAAPSVASPSVTSPVAPQKSGGGWQSGYTGKSWGTVQTGAYG RGIGGQKTGDTTTSQGNEEPEARPEGVSSLKDRFKGAAPIGVAAGAGAVAGAAIGAAA AGEDEEEEDEAAPPPPPPATRPSDGPSGGFALPGMPPRPPPVTDEDEEEEEPEPIPVA VPVPRGPEPELEPVHEPPRSLPTRPLAQEVPAEEELPEEEDAYDPRAAATAVAEQQFG EEQVADAPAATGGKRALIQYDYEKAEDNELELREGDYVTNIEMVDEDWWMGTNSAGES GLFPSNYVELVDDDDSAPTGAAAPPPPPPAAAVPEPEPEPEAAAGGGATATASFDYEA AEDNELSFPEGATITGLEFPDDDWWFGHYGGASGLFPANYVELNQ PFICI_00537 MPLLSESSSDISSLSSDSPEPDNEYDSPAVDEPALPPSKRQKIR DDSRASSSAHVDPEPAEPEPEFDGDISSDTSGDVPNSPINAKLDEEEAQEQVTICAWD GCDAGDQGNMDYLVEHIHNDHIESRQKKYTCEWIGCTRKSMPHASGYALKAHMRSHTR EKPFYCYLPECDRAFTRSDALAKHMRTVHETEALRPSDPVPKSLQHERADKAKQNNRL KIVLKTQQPHGTQDDSMDDAADEEVGSDFFTTLTEQHGFTSKELSIGQADIESLWRLC VANLKWATEEGATLREQCKEWEELYRQEWLEKEVLLEQVETAEVDWWHRRHAVLSGAA DIQVSGASKVKLSGSHDPEETDQEGDDLEEGPEIAKGTDVEVEED PFICI_00538 MDAVSAYSFSSLLWLSTQAIPLIVWPQAINGLLTIDKGIMEPAS GVENYFARSLGFALLALGAVTVVLSGALPLNSMVESKKPLSFPPSHPRTQGLGCVNAQ TNLFDLFVYIAPTEGISPYAAATLLITTLHHGSAAFYCWTKHNETGQTGFLLGFVGSA GLAAFGTWCLLFGGEKARISRRTGADKRTSGWPFPNAEADKRKQKKKGL PFICI_00539 MSAILSADDLNDFISPGVACIKPVETLPAPAPPTDEPLEHEVIL DGHPSAATASTNEPAQISLTDCLACSGCVTSAEAVLVSLQSHSEVLSVLDSAPGLQLR QDANGSWAVDGLENPEAKLFVASVSPQSRASLAAAVGGRLGEQAAGNMIAQLLGGSAG LRAGGKYNNAFTWVVDTNTAREACLVLGAEEILSDVVGDGRATKPIITASCPGWVCYA EKTHPHVLPHLSRVKSPQALMGTLLKTSLSRVLGIPPDRIWHLAVMPCFDKKLEASRE ELTDAVWAGDGKPGRGVRDVDCVITSKEILMLTESRGMDFFQLSHESVSSSQTAPFPD QTLHEFLFREKRKTQKQNDTAAGTSGGNLHYTLQYVSAQHPGSRIETIRGRNVDVVEY NVISANGQSLFKAAKYYGFRNIQNLVRKLKPARPSRVPGGRPIGGSRKMEYQYVEVMA CPGGCTNGGGQIKVDDPIVTERRGIEAKPGPQEQKNWLAEVDEAYFSADEPDVEPIDN DSTSHLVGGISPSYIRDTLAHLSSITGVDIDRLAMTTYREVISDVGKDKTTDTERVVQ LAGKIGGGW PFICI_00540 MAKKAQVPDAWEDDDWETQADKATAEPSAPEPAPASLSKRERAA QHAESNRRLWEAAEEPNNGAPLQYMSQQPEPPFAQTFKAPPIVLARKPVLAKRDPVTG GMAKLSLADDSDEEDKKPQETPEQIRARQKREHEEKQRLYAETRAKIFGESSNGGSGN SRAGSGRSSGATTPGTTTPPRNQRGRGRGRGGPRGRGDRNNHHRTDSGRSRDENLHEG TQRRDEGRERELYDPNYSPRPVVPMEQRTGSGTSGQSTPRKYEHTIRAPRGPDGSGRG GFGFARRGAKED PFICI_00541 MASSAGNRAPGLHTPTQSFSGSPADTMTPGLHSYNPIAINDKKK LKEEADIAKQRLSDLKFNIRDYPDPLLPREVPRERYMPQGLTEGDEKHLLEVIAKYKA GQ PFICI_00542 MTGVYSPPSPPQRPNFQWVLATPPDPHRKLLTLTYTPNRLKPSQ KRAMEDTLQTRRDDARKNKMIRIPEIPMEAAVVALHPCYRGTKLDGGYYEWLNRKVYN FEMPDIVRRVNKFRGFPQRDDPKYNIIDPEKYQEHYRSEKGQAELEPYMPYLRKYWAT NGDVFDEHLDTLDSYHEDTDEDEGRHIDVLEGYEDSRIMGDVAEAVCGLSTPPSLHGP SRWEQERKDFTRDVARRASQGKRIVEATATAAIRDSAEEHGSLAWESANGLLSSPLTL NGSSPNLSGFFESIEQDRSNAQSPGLIWWNPFGSKVSSPVAALQNSSPIPPIAPSPVF SPVPELERAYQGASPRSPPHSVNLSMNRPASAMAYHSPAGEIFTSRASCYPEDARWEN FRARSNVQNLAIANAFEPVPWMAGHSPVFDTEQKDNANEFGSLGFPMGIRRWHHYRAP SHYGPPEHYEPPADDYTWCTCPEHSSGLQDTVLTSSSHPENFKSVRDRVPTPLPFVTA RAEKRQREDDADDEASDKEDTVVVRTEGRPAAKRRRTDAVVQPKWRKSQKVRKARKSG KSKKGKRGKKRQHGSDDDEYEFTGLEVADEDKAYERV PFICI_00543 MTGINLHSRQGTTEDDGADQIGSDTPRSGVATPQPDPQDKRLPG IMSYFGQVRSKSLSFFHNTSSSSPQARESENARPASPREEKEASTSPKSLPTPDEQNS TSEGMPLLPHEKSTPPKESESSQPQNDPYPTPPCSQTPSERGLKLSDASGDGADLARR TSLSQAFKHGQSPSEVQQSRRASVASPLTNVVTATSAVGQLSVPDASSASNTSPPTTI AQTPSEAPGSYFPASVDRLKKLTLDGTEKSGPPTPTRALSSTQTSQTDGKSSSRQNSD NAESTASGVMTPISAQTGGAKAPNFKGKLTIKILEARNLRRSRDPYVVAVFQRSELIS GGPRTFQDEDELDVPPPGAGGIPIQRQGSESGRSMAIPMRSRQSSNTSMGDYGSYRNR PKRTFSCPKWDAEAVFDVVESDMLVDISVYDHGPNGEELLGHVDIQAQQSSSDDSVRG WYPLKGNVDTSAEHAPTGEIYVEVIYHGNEKRHYGPDDFQILRLIGKGTFGQVYQVRK KDTGRIYAMKVLSKKVIVQKKEVAHTVGERNILVRTATSESPFIVGLKFSFQTQQDLF LVTDYMSGGELFWHLQKEGRFDEKRAKFYIAELILAIQHLHFNDIVYRDLKPENILLD ANGHIALCDFGLSKANLTKNDTTNTFCGTTEYLAPEVLLDEAGYTKMVDFWSLGVLVF EMCCGWSPFYAEDTQQMYKNIAFGKVRFPRDTLSQEGRNFVKGLLNRNPKHRLGAIDD AEELKRHPFFADVDWDALSKKLITPPFKPKLLSDTDVSYFDPEFTNALNQNGSLNERA QQLATGFANSTPLSPTVQANFQGFTFVDESSLDDHMADRYDDEEMRDARRDNDWDELD DIDLRKANNRMSGIVRTGANDEPFNSQFDM PFICI_00544 MLGLECIWIVSICVIQKDETDWEKEATRMFEYFSNAHVTLGSTF GVDSTRGFLSGRHIEASTLCLLDMIFRGRQAGASTPKRYVGEVSLLLDVYDTNPTPRV CLTSIMGPARCSSVLGRTKSDLVSSRLLLFTQYQILYECYAQRHLLGLWGDPVARTLK SAYKLFAPTHPRKNIYVDCARVTCTPATPGAPPTWRPRGPEASVPRLTEYDRLLFFFA ERGHSTITFKGEDLTFTQAGRFGRALGGYIVVQGPVLDCILPLTLYKGDDLDCSFGGG QELLLQDGRKIANLKFQPDFERGYQELEADDGSSTGDVIVYLL PFICI_00545 MLVQLRASTARSAASAITRVARVNAVRGFIAPTVSRRADFVQEL YLKELKAYKPTPVKDSDSVGQVQTFSVPKTPKSPEEADLASSLKEYESMAVEVEGQEA VAPGTAAPVVEDWLVEEEDDEPAHH PFICI_00546 MAPRGTLVPPWYTNEVLESNILHACSIIWGFSLCSAMFSATKAA RQSYSAYKKGKLFTAYPIMIWSEWTASVTISIISWLFLKGSIPASFWIYFFILVFWVV QMQCILQIIINRVALVMVNKSLARRLRLSVFFIVLMINISVFIIWMPARLQISETYIH INDIWDRTEKAIFAVVDAGLNVYFLRTVILIGSMSIGTGVIYIQFHPLVYLLKLHIEL NMADLIAKVVRASNPMNNALDITDNTISSGGSGAKKKDPPISAPPSTYTHRDSGFQLE SSIHRDSGCVSTIMSKEADLAEQGRRISGADYHKVLRPNLQLTRTGETILDDVDGETS SENEPREGKKSTAIQGQEKNYDHYFLHVE PFICI_00547 MGSNSGRSPLRVLCLGDSLTGGFPAQHPYGGKLEERLEAAFPTT HHVEVEVDGVPGEMVTGGRFARRMRNLWRMYPDERAFDWTIVLGGTNDLAWRHPASEV LQELERVWAIPLSKGSKVLALTIPECKVVNEALDEARKTINDGIKACNKQNFYTFDLH KAVPFHSMSTEDRVKYWDPDGVHFTEAGYDLIGEKVAEGLIKILHLEEAQSTEISSIV SDERQRKLIEELIFEEERGNPRLLSQGWIIVRKRDLD PFICI_00548 MASKPVADAIATAQSSPDQAAAFESLIKSVKSISSPSSISVDLR AIVDALFGGSISIVALRQLVSDFTTVLKDFNNANLSIEIGKYMLELLANQQSTFHDQA ADIRFLIADGHEHNEDNLEAAKILAEIPLDSAQRKVTNEDRAKIWIRIVRNYLEVDDT TTAETYLNKLKNIIYTVSDPALNLHFKLSQARIQDAKRSFLSASQAYHEISFSTAVSE DERLLTLSMAIKCAILAPAGPMRSRALGRLFKDERAANLEEFGILEKMFFDRLLSRDE VDKFAQSLSPHQLATTSDGSTVLAKAVVEHNLLGISRLYSNIGFEALGVLLGLDPEKA EDTTAKMIEQGRLVARIDQIDEIIWFEGGEASGEKGSGRAEVTVGKEMRRWDSNVQGL AEEVENITNTLQTQFPEFVLANLVV PFICI_00549 MSFLVAVQSAIFYICACTPCAQAREHRQSKKQAIKDREEKQRIQ AENPHLYQQPDPFNTNPYWNEEIMMGPSLPSKKSTQTSKNTSQRALNSAGRDSRSTTD SSIAVGMTHIGSSPTVVPEDTDSTLSKTWSDDWNKKRYQREDEELWGRGEFSQAGHRL MDAIKHAGTSAGRMLDALEALAKEPKEVTDADRVDFYAPARHPPVNDYHPPIVSQRPK NKNAAKWMLQPPPSAKVMEGKVPVSRSASQASRRTVASNGPPLGRQVQEKMIDAKLRS GELPTEIELILASRTISNQRRNTSSSRGALSQRSARSRSLSLESSDLSDELMKQKRRS RVRTPVTPDIDSSDDEEFYRGSPISDRQSTRAARRPKLQTIKSSRPSSEKASPTRKST LKGNRPARVGSPYRNVSDLASHPEDANKAGTEELSRTATIQPISTTPGPAA PFICI_00550 MPSSNPPAPSSAQLEEVKDAVRALLHQPEYDDGSAGPVLVRLAW HSAGTYDAASKTGGSNGAGMRYEKEGGDPANAGLAHARAFLEPVKEKFPWITYADLWC LAAVVAVKEMGGPEVQWQGGRTDFTDDSKVPPRGRLPDAAQGSDHLRHIFYRMGFNDQ EIVALSGAHNLGRCHGDRSGFEGKWVNQPTRFSNQYYRLLLQNDWKKKKLANGLEQFV YVDEEIELEEGEEPEELMMLPTDMSLLSDAAFRPWVERYAKDKDLFFAHFAAVFGKLL ELGLVRDASGNIVNEENVEGGYQSAPKKSDTADTPRDQGKGQIGHEAAPLQKENEKFQ KRKDGARL PFICI_00551 MAFSTKFAQRAPAAVLRHGRRLPTALPRRQLTSSPSPAYTKLVS ATRAEQWNQRRNFTASAACAAVSQEAPNPKAYIESGVIKPKEIVDVKKVLVIGSGGLA IGQAGEFDYSGSQALKALKEAGVASVLINPNIATIQTAHVLADEVYYLPVTPEYVSYV IERERPDGVFLSFGGQTALNLGVQMQRMGLFERYGVKVLGTSVHTLELSEDRDLFAKA LNEINIPIAHSIAVNTVDEALDAADKVGYPIIVRAAYALGGLGSGFANNREELRNMAA RSLTLSPQILVEKSLKGWKELEYEVVRDANDNCITVCNMENFDPLGTHTGDSIVVAPS QTLSDEEYHMLRSAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLSRSSALAS KATGYPLAYTAAKIGLGHTLPELPNAVTRTTTANFEPSLDYIVTKMPRWDLAKFQHVK RDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPNFLGFQGDKFDNLDDVLANPTDRRW LAVGQAMLHEGYTVDRVHELSKIDKWFLYKLENLVKCVREMEAVGSLENLKRDLVLKA KKLGFSDKQIANAVKSKETAVRALRQSMGIRPWVKKIDTLAAEFPADTNYLYTTYNAS SHDVTFEDQGTLVLGSGVYRIGSSVEFDWCGVSTSLALRDLKKKTVMINVCTPSETMS TDFDYADKLYFEELSYERVMDIYELENASGVVVSVGGQLPQNIALRLQAEGGANVLGT NPEDIDKAEDRQKFSEILDSIGVDQPAWKELTSVADAEKFAQEVSYPVLVRPSYVLSG AAMTVIRSQEDLKEKLEAASNVSPDHPVVITKFIEGAQEIDVDGVASNGELLVHAVSE HVEQAGVHSGDATLVLPPVNQDERTLERLKEIARKVAKAWKITGPFNMQIIKAENPEG GEPQLKVIECNLRASRSFPFVSKVLGTNFIDVATKALVGQDVPEPVDLMAKKRDYLAV KVPQFSWTRLAGADPFLGVEMASTGEMACFGKDLIEAYWTSLQSSMNFRVPEPGEGIL FGGEISKDWLTKVADYISPLGYKFYAADNHVKEFLESSTKDKVSVEVIEFPKEDKRAL REVFEKYNIRGVFNLAMSRARTVTDVDYVMRRNAVDFGVPLFMEPQTAMLFAQCMSEK LPRKEGIPSEVRRWSDFVGNKAL PFICI_00552 MSAPKIFFGAGLFNKNHGYNSADDIKPWLEPLLESKDIISGIDS AVAYQECEEWLGQIKLGSQYGFSIDTKLSGGGHPALVATKENVIAQAKESLSKIGIEQ FGILYLHAPDARVPVEEMLSGFDALYKEGVFKHFGLANHSAAQIEEIVKACKANNFVL PSFFQGSYNPIARLPEDELLPVLRKHNITFVAYSPMAGSFLAKPAQSFRDDPESFQGR WNKESFLGKIHHFLYSRPLTLQTLDKWHEIAEAEGISGAEMAYRWVAYHSALTKDDGM VIGATTIEQWKSNLEGIQKGPLSPHAAAKIDALWTPELKAGSFLDHFQTIQALTISPM PFICI_00553 MATSGCNPTHLNLVEATIRELQYALEIGAITSVELVSLYLHRIG KFDCQGPRLNSVCVLNPNVFDEAQRSDDYRASGKPPRALEGIPFTVKDSFMVKGMTVA AGSPAFRDLVSSEDAAIVTFLRDAGAIVLGKTNMPAMADGGSQRGLYGRAESPYNQTY STTACASGSSNGCGTSTAASLAAFGFAGETVSSGRSPASNNALVGYSPSRGVIPNRGQ WPLYPTCDVIVPHTRSMRDLFDVLNVIVSDDVQSARGIDFWRNNPVVSIPKSSDTRPA DYSGLEDANALSGKRIGVPRCFLGHADAKPSHFIHEDVLALWREAKAALEALGATVVE TEFPLLEQYAKQDFPGQGCNVPGMTEAWVGIERCQMIATAWDDFLRNNQSPQYPNLAA ANPDEIHPHIAPMDDASRFSEVQNQVRYSDMIKAVRDRKEKTLYSFAGMDEALPALEN MRKKTLELWMDAQDIDLLAFPTNGDVAYADADESFQSMQHALQDGIKYSNGGRALKHL GVPCITLPMGLMASKQMPLGITFATKAYADNDLLRYAYAYETISRKRTAPLLAPALST DLILLHQNLFVMAKEKPIMDVTSATWEKTQTTEFEVRKVSIECMLYSSAPAEEVCSFR VFVNGDEHGNPIRRDGDRWKWNAQLSRPRVFERYPTIAKVPKDHFLVVLVSKLESGRA AGSMVLID PFICI_00554 MTLVPSGCWTCQVRHRKCDRETPRCHECSDRNIQCHGYGPKPSW MDGGVAEAQERSRIKAAVKSNFRQVRTRQNEARKARNPQSRDTAPVVPASSSQIYTPK STDHESNDVSPCDTGSPIHGQRSALEQTDNRADAKGSGDLSAQEAGLVMYYLDHVFPW QFPYSSSQFRLGQRGWILWLLMRRGPFYDAAMSLASLHRRARNHDNDDGDEAALQRLC DFCQREGGTKLLENKTSLIEFLACGLFLISFEVVKGGRHSWQPHLAGITSIFGGKTPA EIMSFDGSDTENQELRTGLEFLLGHVLWFDILACISAETIPHLPHRSWLDVQELQTAE VMGCCNWVLLSIGNLAELQCWKRDRTQRGTLSVRELVDRSREIEVCLEQGLGTLGETT EATLESQVNWVSLVFTLAALVLLHTIVSGPQPALPEIKDTVRKGAVALRSGPKTSSFN GLMWAICVLGSMADEETQPVFDDVLTSMVRTSVWAMRIVYIVIR PFICI_00555 MVLDGQKLEALLKRLDEQRDAYLESQNLLNQLLEETREAAASPA QIPQSSQTSQTSQTASQQDARWRKTSTGLDSLVTSSASRATGEDSDEEETGEDFFAQT PLEHQEYTQQGLHEHLTSYHWSEEGRKILANVLEDPKSLLASNLLPVARGAVPDRSHL SHHQVYDVGTDGAPLALERPEQEQLPSNALRIWHAIKEVNKPSNERRAVGRITVMHEP SPVLFGAIHYTMQKYFDVDELFKHLVQSEASSASLHRVYNADERRRRTFVFNFEYFTL LGDDCQPMAWQLADRQEERAAHHIAITRCSSVIALHLGGKPIKRIKNRSRRSNGNQGF VYDPFAAWQVLNIQCYPDWQCSLDVHDSTKHYVNGVEAFMVTILGEFKDAQRRFEGIY HKISRLITPPLDFMFNEDVREKLLFEDADFTFTRRYFWAAQTLGIVNESIKAMIDAYE DNFTEEVWLGTHKTLWLLEEQDSPRNLYFRKKMSTLRAKFETQMRSLGKLIVEIDARR NEIRTLREELFVGTSIQESRKSVENSDITVLQGHNIKILTMVSIFFLPLTFVTSVFGM TNMPTDEQYWNFGIVTACVCIPFFVLIGSLNSNRGMHFWSHKTRVFLQAVANFLAWLG RGGRQRQGNAMPNPLNESRSRMGPRRVSAKSATSLEARKAVRLRTESVSADVTSSDVF GKGPVNRPGIPGRLAESSAAAVPTTQLASMILHESRRAQKISYNLDNPHDIV PFICI_00556 MAPQMMESMLEGAASHKAVLAVVTILTYATIRCIYHLYFHPAAR YPGPKLAAISNVWYAYQWITGKYPFAIAEAHRKYGDVVRIAPNELVFITPQAGTDMFG SQQKGFEHFTKSDFISLGRADQGITWETDPVKHRQKVKWLLPAFSAKSLKTKEPIIHK YIDIFVEKMKKTVQSDRGIELRQWADWLAMDIAADLGYSREMDQLKEERSSPYLESIW AANFFVTMHTNAKKFPLLGWLQYFSVPPAAIGNYVRAISANNKAFMKRIDDRGMTQHP DHFDQLLAPGAPIPPKNDLESLETICLHLLLAGYEPISSSFHGIIALILQDPPSYQRL VKEIRGTFISYDDITADSLVPLKFLYATVMEQLRIIVVGATGQPRVSPGATVDGHYIP KGVEVQYGNYAFTRDSRWFYDPYNFRPQRWLPRDHADWDAAFENDARDDFHPWSVGIR ACPGMTLSWHEIRLIIAKVLWTFDVELLPGQDINYERDFKLWGMLEKPDLWVRFKPVD R PFICI_00557 MTVPTDHDVLEAADASTLPDEKKHDTTTQTQADPPSQAGPQQPE QSHLHGLKLVLLITLLYLGIYLVALELTMLSTLVPIMTDQFGNVTNISWYSSAYVLSL CVFTPVGGKLYSQLPVKLVYLSSIGTFSIGLLVSSLARSSPMFIAGRVINGIGAAGQF GGALLLIGPSCRPEIRPVVTAGAFSIVPVGSMTGPIIAGALAARAGWRWCFWMLLFMS GFIIICASLMKLPEKASKPPIRQGLKALPSKIDLVGFVLFASAAVMLLLALTWGGQAT ISWSSATIIGLLCGGGGATQAIPFFLPLWFQAIKGDSTEESALHILPSLISMVIATMA CGSLLRKLRYIPPWAIIGGLITSIGSGLLTTLTPETSTGKWIGYQIVTSFGRGLAFQI PITSVQEFVPPAKHAISITSMNLFMQLGNAVSVSASQTIFNNRLPALLSRYAPEVNTT MVLQAGATQARHLILPEQLPGFLKAYNQAITSIFYLTTSVAILSFLVSFALEWKRLDK APAKPKSNV PFICI_00558 MPVYWYPEEGAVIRGELIKADIIANLIQLAAKLHEILIVLSLSA IALSIFRRRLVGGGVRLGFLTGGYRVGDLPYLWSAAFRHQGLDKSRPWELVHCNSAFE NITLPLICYNESVEVWTVHLRDYNNGYTYRTKLGTCDILDSSKYPASGYSELMGWAEN LGATDLANNLIFQSESTKIRRYLNITKKGDRMLSTTPPHFLMNSIGLFQQFINTTSVG AVSKEPRYQLTSKGDNQYQPFVQSICETHPYTNSSPYLSFKYPVGLECFGDADCEHTK SHPPSLADVWPNATSWSSNSLNPEYTTHHDNSSVVFTSGLVSNNNMDRELYLCTMLAS WAGSTFTYTPQVDDILRARPSAGNDLQEAYHKRFDGNIRVIKFERDWFPISNPELKFS NDHLSNTTVGRLIQQLRYNETKNGTSADHIDPLGHSDTPPNISLAKVFGAYLTESLSR SSLGSGPFVRLVETDKELSLVDLTNQHGYFRDIRTYKRLNETHSNVTAQGITYYPERT FENYTATFKQALNIDMQAKRYGYGSGQRRRTLDFAVSMMCIYLGTVFTYGLVIAVLSV LELLQIHLWGGPVRVLSVAPWSDLQDLFLLALRTPNPNDQDSADVGAGVTSDQIWKKI VRVRADHADNVQLALDQNPDDTSKVETVGGKKYY PFICI_00559 MAIIDLDQGRRPSHNGFTDNSELATQDAKDILIVNEVERWNPFG DGGISVIHVSVGVSSPESLSRLESTLKTLSPPTGNSEPSPKGVLPLGVPDEQEVVQLR AQLLVEISKQVVQVPRHEVGLLLFIPSKPGHLVRSDILEIRLTDLADAALKSAASALF ISSNAVLDPAALGREFENRLSFPIIQPGQRPRKTLALVGSVRKPLVGLGFFRAARALN IGIVQLDRPNHWTSTPEYASWVEAQLPIDRTPDDGLASRIVDAIKGYNKPVEGLVSFF EPLQVGTARAAKELGLPAPPASAFEIATDKQRTSAFQGNAAYRVSSLKEALETVEQHP QLSYPLIVKPCSGRGSEGVFRLDNEADLVQAFDSIDFSRHGAEVVIEPFCDGPEVDAN LVLSDGELLFFETSDDLPKDADAASKQDGDLQTFIELANVMPSALPHDEVEILKNSLH QSLVRLGFRTGFYHLQARVQRSRAKYVTNLDGITDLASNDLIEATVEPSAWLLEINAR PPGLQESWASRSTYGIDFWGSSAALRPGRPERHGTSPFASV PFICI_00560 MSTPPQVNCPQIGPLGFEMKESSTCSDRDLKGVQYLSEETRTDS TISVATPDASTKWEEIPEKPKKLTRGPLKQLCSLMVTHVPALTISFALLWQNSALHFW FDFEKTIWINGHEFNTNDILHMLQIAAKLYELLVLLSLSNIALDLYRIKLMGNGLPLG LVTSGYRIGDLSYLKHSGLLLAFRSRAATFAIFIALASLLSIAMGPASAILMIPSTGW WEFHDPTGTLSLDIGLEPQAEIDSWPSRLDVDWLNRTGLLYQCQGRRISQGYICPAYG IDTFNLWIGSWDSNRLIPNISIAGNMASTEIRRWISISNTATSDGQITLATTASSAAL EVTGYFIDYVDMYYSQAADLLKDFRVNIAPSNQLYQPLVQSKCQLWDWDQARDALRRN DSSGLPYWSLDGLDCLGDTACNSWKEFDQTDRQVDSQYWDFDPEANMFPIFRWINTTD GLLSAMVKIPYTAYGPPDPDTGLVEPIGQRWWASVCTFIPHWVSSSISLPIRRTNTVE TVASNAGDGWNLGIFSDLEESVMITIEHEWADMLNIPVNDTVAALWKDPDWDAAKDPP LTIGILDSLLGPTIESDEGNPGYLSTGFQYREDSSTQSSNIEKVLSLVLVNALSHATT LSSAPYVITQQTPDLLELLYISPVNPTGYVPTRLDRGNGSCPVISSSEEGDTFCLSGY DDFDQITAEFAAYQSWTFTIDEFGYGAGVPSQTLTFALFVVYTYLAVVFTYLLGLLLY CKIIPRMFSFREQMDRPVQVAGWDDLQDIVALSWKSRCPDELQNTGAGVGSFSKVWKS RAMVRVTSGRNLELVINDPGEMERARREVYYS PFICI_00561 MKLRNELLCILSTSVATCLASCASSPLIDCLNDKNVPLKLSCDT DWNDASSAYNVRLPVTPAVIVVPEVDTQLGDAVACAGQNDVHVQARSGGHSYASYGLG GVDGAMIVDLQTFNSVTMASDSEDEVFVGGGVRLGNMAQAIYDAGKRALGHGTCPGVG IGGHATHGGYGFSSRSFGLAVDQITALDVVLANGTITTATSDSNPDLYWALRGAADSI GIVTGFHMKTSPAPEQVVNFMYDVSQSNAANVSAAVDAFLKVQDFVRNASSIDRNLGL GLTLGKNSGTVIFQLEGTYFGSLEEYTANVEPLLLQGLQASTSNVKQYDWPSSLAALA GSSLTPPSPYNLHQNFFAKSVMVPEPGMTEEALQNYFEYLFNGPTSPTPFYVLIDLWG GADSQINTKDTNFASFPFRDTLWVAQHYAYFTETEEFPAEGITFLQGLNDAMTEKLPA SHMYQNYVDPTLTRDEAHAQYYTPEIVTRLQQIKAAYDPDNVFVNPQSI PFICI_00562 MSEANVLSTQALFTPISASARTCLDEFNRLLQSTLSLDGRRYSA IEDQMARFSLWTSNMAVFAPGRNCMDHRVREAPEVQRLILGILTVLQSRISECVELIN RLNAQDAPIEEQFSALARGGFEQAAISIASEVTLLQSLANTIRKASRETQNDKALATF RMTDEEGNNLEAVIKWYFMKNLEDRFPESSEAIRERLASTMVLRRKRILYRRTRYSVN PMKAPDPVARPTTQMPSSFPRQMNTALQMRADRSAPKPLTAHSQLHSAVHSATTLTPH TFQRASAPSVVSQTRSVDLGAHESLVFPDAPLAQSKGMMDVTCPYCLYVLPSNEVTNA DKWRKHVLGDLDALVCLFDPCDKPNVLFSHTKDWIRHMREHTRSWFCSSKLHAYKTFG DRGDFQNHLQNDHERKYTDAQIDFIIEKNTRSSGPLFKFCPLCGGQEENEKGPGISED LINHIVGHLRSLAIKSLPPVHDQVEEDAYKSDIDNRRSRSTVRNAFDQAELVLDSPDS DSDDLNLDEFEPDEFGPDVWDFVPPLLNALPDDQDPIKLAIQSSMQSANLEATLRKEV TIVSEEQERQQLSSSLLSSPQMPDDTLLDSHKSSDSESDSQHRLTRRKRFLSPPAALA AGVVDAPLQSIDHDSSKSSNDSPRSHQHTRSLRSKNKGTTNAGHSDPTKRHLAAHGQT LFARACGKGDYEAARRHLAERPEDLDRPDYAGNTPLQVASRNGYEDIVEFLIGAGCSV KCQNDVEDTPLLDAVEYGHLGVVKLLLAAGVDPRKTDAEGQEPLERISDDLDNSSEMR NLLENARHRSRGRAPSIDLPPRTFLGEIFLSPEPMSEAEDKAEVTEEAFKNV PFICI_00563 MRLLQLGAAGELTLTKDLARDIPPYAILSHTWGSEDDEVTLQDL LMETRKDASWRQTSGYQKILFCGQQAKLDDIEYFWVDTCCIDKTSSAELTEAINSMFQ WYQKAQKCYVYLADVVHGSCAIDATPETAWKSEFRRSKWFTRGWTLQELVAPQYVEFF SSDRQRLGDKTSLLRLIHDITGIAIDALKGQPTCEFSELDRMSWLEKRQTTREEDMAY CMLGIFNVQMPLLYGEGRERAQVRLRQTIASWLGWRSDGQHGQLTADRPESPSIVPLS RDARLVDGHGQSKQLEGKVHLDVNKENCQKMASEAPRWNGKKQLSLKSRLLQALEDFS LVGELLGQHETKVTDMKSFYYTLCGYCLMLHRGDRESLPKDPRVLQDILFRLELTISE DIAESHVHGLKVFPGLEALHRFVSSSQDTNLRKVEALTRCINFGTETDRAALLNLLQD FITASFSSERADATISGEDEQSPYRKTRQKPPQEIWPAADTLFKVLSSRRPCSCDPAH GFSVQVCLETHRAKLKDGDFDLYLGIERMWQEAHVETTAVETRESRKLPNDANSSALS AKERTGKGRSKVVERLCDDILKISRLPDYRLKFQLDRDTLWKLQSEETNFKMDKSKPP ISLEQFLVEKHHLLNEKTKRILSVLLAYAVFHLHGTPWLQSPWGSSNVKFFSTSGGLP LRPYLESYLDHNVGETSAGLLGGYGNEDIDPDDLLLLPPYPCLVDLAAVLIEIHQARR LNSLAEQYNISGADDMDIGARYFLVKDVFKHCHQDITDQTRSAISASLDLKIGLSDDG EPMDEYALRGVLYEKIVRPLEDELENAFSSLSVDKLDVLVQRLDLASGGQPLHIDVTS RSDVVAGAEMQKRKRSDSLSRPRVRFRTSLDVE PFICI_00564 MLLKAGCAVDIGAFDYLYRDMTTRCQRILVRGLKDRRDKLKRLA LEKLPEHDIAALNLESVRTLDTQTAAVLGLLDLNGVQISPALRLTQTTKPATSTCYPG RSVYHTVKNIMNADVFWDHGFQDIDEFNGQFDDVRGDGLPPLANCENLRMIQWLVDHG ANLSRELNHSGIGADRKSLRVTTAHFVFQYIGDWLPDMGDYDDPDWYILSSLQEVLPV DLFDNCECSCSKQGCTPFLMMLKALIAQESAREGQSIISIIEPFLNYLDMSLTELSKD EWKEAIRYFTFTTLEMSHTCHHEPGKYRYTCSVTVEEWQDTMHVRDEQADMIELLEGI LFDLDAMIDTMMDDENQFDMSTFLTDHWLERMEAELEAISGRQLSEEYKKGTEALGVV WSEGEAEEDIDSEPTGDVVQYWLNAIDKAAGF PFICI_00565 MADGLSIAASVAGLVSLGFQVCSGITKYLDGIKSRAEELDSARK YEQTLKTSIQALENILPQLVAHNQHSSTAVIACIQLCKDELEALRRLVAELCDETRNN PNLLDRAKASKKRLTYAFNRSRLTNLQARVDQASKSLQVTLQILGLESSISQGQTLRT IESTSNDAVAEIIETKVEVTALANDVSHIRNEATLLRAAIESIGLSLLDLGQTAIRSE QGIRVIREDATRANRLLATQSSRIGDQVDAIQIQNALNHGATTKLLQLTQLDKASARP IFELFNQMISRPSYLEEVSEEYLDVGSPARDIWNVDDQPQTSVLTTTARDRQSSTSML QFRSSRECTCHPRHRLQRKQAVWSGFIFYQDNSWSENHFPTCDLWQQPKTTEQKWGMR QVGLGWLAHKAIELTFSCKFGAGGASFGPNFTYYPTVDEDAAPAFQIIQILKKASRDS NDRRQFILSTWKGFFERALTKLETLFRKGQASPNDVNSRNQTLIYPITSLVWDLLLRK WRSNDSVRFERLVLDFLENVTYLDIPSNIYDTNGESPMKTLTASFKIDSAKSVEILFG KAADIPAMMIFQENSSRHMSMNDYLFRSISLQRVSQLIAEACGCGPLCQAVLIGDGKQ VKRLLETDPRSIMEVNGWGQTPLHLSASQPDMLRLLLPALGPSQLNKPDFSG PFICI_00566 MSLSTSAALVTATTTLAAVALNETMTISGFGYRGNNPEPQIFFT LAVDSSNTVGCAVVDYDVPGMGYPCDDVDFTFDVLSSTGSELRLHHVVNG PFICI_00567 MLLRLRGPDGMKRVTVDPSDTFGDLGRLLVKELPPTVAPETIAM SNTPSGGERKRLVEIAKFKLSQIGLKHGDLIFLTYDHKSDTANGETDSNGAVPAAANR LNGKPILPTEDIPTKPISIGKEPTLIRNPWEVVKQSALDDRLDKKDGKIPRGRDKMCK HGPKGMCDYCMPLDPFNPQYLADKKIKYLSFHSYLRKINSATNKPELGSSFIPPLKEP YFRVKKDCPSGHPAWPEGICTKCQPSSIILQPQTWRAVDHVEFASFDLVNTFIDAWRR TGAQRVGLLYGHYEEYTEIPLGVKAVVEAIYEVPQVDEADGVSLNPFDNEHEITAVAK LCGLEPVGTIFTDLLDSGVGDGSVICKRHADSYNLSSLEVCYAARLQAQHPKPTKWSD TGIYGSNFVTCIISGDETGQIAISAYQASLEAVEMVRADIIEPSADPTVMLVQEEEDE DGSKSRTRFIPEVFFRKINEYNANVQENAKPAFPVEYLYVTLTHGFPTEPKPLFKDSK ESPFPIENREIMGETQQPSAVAKALKTKDGQQKLSDFHLLCFIHNMGVLSKEEEALLC RVATKHDLADTYQLFSMPGWQTLEAIIQSSGENIPTHTLKRPRSPSGGGVQSVNPDNP ANPRESAKTSDGVATFSNDEPDAKRFAALRLSGSRQQDGRNRPRPAPAPGTAQRRSKV E PFICI_00568 MSDEVAAPIEQKAPETSETNAPAASSDAAAAPADAKSGDAPPTA EDKDSKTVADSAETKPTTDEEKPAADSDVEMKDADATDAAAKAPEDADKSEASKTETQ AGADKLAEQDKSADDAAAAEDKPADEENAAAPAATTSTPANKNRRKSAVASTSKKLNK KQSKAKIQHLDAKPGDHFFARLKGFPPWPVIVCDEEMLPQNMLTSRPVTAARPDGTYR DDYADGGKKVGDRTYPVMYLQTNEFSWTRNTDLDDLDFETVADLVTPKMRKDLAAAHQ LAAEQNSIDDYKRVLVEFQEAKLAEQEAKAARARAKKEKTTKKSEPKIEDEDVDMPDA SAQESEGSEKKKATKKRKAEEENNATPQRSDSVKKPKIKLTSSSTPKAATNGVSSPKE STAKVPKAKSKSKPAKDADGEKPKKESAPKEPELTPEEKQDRKKKEILFLRHRLQKGL IPREGPIKESDMQSMSDFLVKLEGFPDLEASIIRATKINKVLKAILKIPEIPKEADFN FKPRSQVLLDKWNGILAADPLPAESGTPANGVNGTSGEAAKEAPEPTNGVNGDAAASK EIAKEEKSEAKEEASEAPKSETKADSAAAEDKPTEEKASSAEPSAVDSAA PFICI_00569 MGDNHARDVSQYKYSAMSNLVLQADRRFITRRTDEATGDPESLA GRLSIQDMGGRVARDTAPKPKKATGPSIERGNVQEGADILAREQRRKAGPAQTRGTGI LGAADLLVEDLRYRPRTPATRQTYEFILTIAARHLGDVPNEVVVSAADVVLEYLKDDD VKDIDKKKEIDDILGTSLNPKDFNELVNLGKKITDYDAQDDEEMEDAGGLGDDDAELD DRQGVAVVFDDEDEEDQMVNEVREDSSDDDENDQEEMPGVEGADGEAGPDRDENAAGL ADENEMVIDGGNGAQETGQTKERNWIPARDIDRYWLQREIGKVYPDEHIQHDKTRLAL HILSGEPDEEGGEERQLREIENDLMELFDYEHHEVVQKLIQNQEKIVWLTRLAQAEND EDRGVIEREMASEGVRWILDELRGKKSNAGQKKMEIKMDIDVPASFTNEAAPKVERGD GQLVGGLQPRKLINLENLIFDQGNHLMTNPKVRLPEGSTKRTFKGYEEIHVPAPKKRN DPSDLNIPITDMPEWARLPFSSSGAKSLNKIQSKCYPSAFQDDGNMLICAPTGSGKTN VAMLTILREIGKHRDPNTGEIDLDSFKIVYIAPLKALVSEQVGNFGKRLADYGITVSE LTGDRQLTKQQISETQIIVTTPEKWDVITRKATDLTYTNLVRLIVIDEIHLLHDDRGP VLESIVGRTIRKTEQTGDPVRIVGLSATLPNYRDVASFLRVDVNKDLFHFDGSYRPCP LKQEFIGVTDRKAIKQLKTMNDITYNKVIEHVGQHNNQMLIFVHSRKETAKTAKYVRD KALEMETINQIIRHDSGSTEVLKEAAETATDQDLKDLIPYGFGIHHAGMSRLDRQDVE DLFEKGLIQVLVCTATLAWGVNLPAHTVIIKGTSVYSPEKGSWVELSPQDVLQMLGRA GRPQYDTYGEGIIITAQSEMQYYLSLLNQQLPIESQFASKLIDNLNAEIVLGNVRTRD EGVEWLGYTYLFVRMLRSPGLYSVGTDYEDDEALEQKRVDLIHSAAAVLKKTNLITYD EKTGKMKATELGRIASHYYISHGSMDTYNKLIQPSITTIELFRVFSQSAEFKYIPVRN DEKVELAKLLQRVPIPVKESIEEPMAKINVLLQAYISRLKLEGLALMADMVYVTQSAG RILRAIFEITMQKGWASVCKTALDLCKMAEKRMWPTMTPLRQFPLKPNERHIVAKAER IDVPWSSFFDLDPPRMGQLLGLPRDGKKVCELVSKFPRVEIQAQAQPMTRSLLRVELS ITPNFEWDDALHGTAESFWIMVEDCDGEEVLFYDTFLLRKDYALSESNEHLVDFTVPI TDPMPPNYFISVISDRWMHSETRLPISFQKLILPQKFPPHTELLSLQPLPVAALKAKD YAHVYEDWEYFNKIQTQTFNSLYTTNDNVFIGAPTGSGKTVCAEFAILRHWAQPEYGR AVYIAPFQEQIDVRLQDWQKRLGHLRGGKDIVKLTGETATDLKLLEKGDLILATPSQW DVLSRQWQRRKNILTVELFIADELHLLGGQAGYIYEIIVSRMHYIRTQAELDMRIIGL SVSLADARDLAEWIDAKKKDTYNFSPHARPVPLELRIQSFSIPHFPSLMLAMAKPTYL AITEMSAGQPAMVFVPSRKQTRSTARDILATCLADDDEDRFLHVDVEQLRPLLERIHE EALAEALSHGVGYYHEALSLSDKRIVKHLYNQGAIQILVASRDVCWELDCTAHLVVVM GTQYFEGREHRYIDYPLSEVLQMFGKALKPSREGRARGVLMVPGTRREYFKKFLNEAL PVESHLQNYVHDAFVTEVSTKMIESGEDAINWTTFTYFYRRLLANPSYYSLPSKDPDA LNAYLSDLVESTLKELTESKIIDFDEDDGSVAPQNAAMIAAYYNISYITMQTFLLSLS QKTKLKGILEIITSATEFESIQIRRHEETLLRRIYDRVPVKMAEPVYDSPHFKAFVLL QAHFSRMQLPIDLAKDQEIIISKVLSLLSATVDVLSSEGYLNAMNAMEMSQMVVQAMW DRDSPLKQIPHFTPDVVKVANEFEINDIYDFMESMNPEENPNYATLIKRLGLSQKQLA EAANFTNNKYPDITLNFEPVDEDDIRAGEPAYLNVQIDREVEEDEEVDTTVHAPFYPA KKLENWWLVVGEESTKTLLAIKRVTIGRQLKVKLEYTVPTPGKHDLKLFLMSDSYSGV DQEPAFSVTAGESMDVDDDEEEEEDEE PFICI_00570 MEGLSRDEILARWDEIIKSGGHCSPEEHNLIQRMISANLAQSFG GREIRRPLSLVDPDLSISDQKELTAIQRDYVASVERYASHNMVFFNTLEQQKELAGSI SERREAEEEEEMALDKEILEMHLEVTRLEKEQNRRETVMRSLGELETMPAGQPDFLNP EIMYRDCRPLPEMPKQMIDGFAIDHSATESRAEELLQDLKKHVLRSKLVAQREQLLDE QSEARKPFNVKGLSPEAKLYALNAVKNALIQWIETQLAKAGDDGADSDLDQEPVTTKT EYDHEAVMAGLQQKYQRHIELRQNILDQLAQMDHIKEQLKTTATDANSHHAQQKPVVS KEPSQSAHTPDAYLFTPYIEQFQTLARQQKAMMQQKSHVNTTLAKQKEDTIQALEHLA GKSRLLPRYPIPKEPNEAQPNFEDATSLIGKMKITEQVQPWLYAADEAKLATLVEVAE NVEVGMESVNEARGYMAHICKLLDIAIPGQGQETALPGNADAEATSKNPVKAPTKGEK APVKTIWDMLDGNLGSINE PFICI_00571 MASFFDLKARKAAAAANGGSVPKPEDNKKTARKQPWVEKYRPKT LSDVTAQDHTVNVLQRTLQASNLPHMLFYGPPGTGKTSTILALAKELYGPEMMKSRVL ELNASDERGISIVREKVKDFARMQLVNPPPGYKDRYPVPPFKIIILDEADSMTQDAQS ALRRTMETYSKITRFCLICNYVTRIIDPLASRCSKFRFKSLDQGNAKKRLEEIAEKEG VALEDGAVDALIKCSEGDLRKAITFLQSAARLVGAIEKDDEGDRGDKMDVDEEKKPVS VRVIEEIAGVIPANTIEKLQKAMQPRAASATYPAVAKEVEEMVADGWSASQVVSQLYQ AVIQDETIPDIQKNKITLIFSEIDKRLVDGADEHLTMLDLSLRISNILAGKG PFICI_00572 MSSNLWGTKKDQDDEDHRNGAGGAARDSEDMDRAPDEHTRLLPN RLESTHYLSPDDPAVSPYNLWSVRFLRYLTIFLTLATFVWWVIQLVSQFVTLPGFHTR GSGFFSFSYASITLANLLFTLIFFAIPAKAVRIMSILMGAFLLIDVILVTAVDKTRHE EGWVGMVSILWAFVIAIWVLATDRLVLWGKNEEEERLTGRAETRRSLGEWTAVLISTI AMAIFNVVLFLMTCTLILRALDAGLAPPGKLYAVDDDKYHLHVFCKGNSTDAKGTKLP TVLFEADGPVEHGLWQFADAAINNGSISRYCFVDRPGFAWSDTAPSPSSAGMVVEAVS EALARAGEDGPWVLVGSGAGSIYSRIFSARHGDTVKGLVLLDPLHEDLLGLIGAPDRG FLLWLRGILSPLGLDRVPGALFKGRNKEDRVWGQSAYQTGKYIFAKLQENLVAESLTK RDVASSRAIQYRDTPLTVISSGQQVREDDEWEKKQRDLTRLTGKLRHWDIVNKAPHEV WQTFEGRETVEKRMKQMVYA PFICI_00573 MRGFARPTKLEASTSDILEISAENLPLPSQTPTSPQTPANPVLR NFSYPTSITLDTRPASKPTSCLVGPTTWNQRGVICSFSPDNILRAGTVELEHKNSYLP STNTTTQKVGVERSHPIPSVSQQDRRPIDLRPSNSFTKSTTLRENIEVGNKKHTSQGN VRAQNQSLQSHTLKTTQSERTKLVDNTSGEERTHSHSSNIAIRCRSGLLGHQRAARSL ELSQLFDFDDERSRRPVSSSGAPVVKAHRKDSRLEDNQLGLKDHTVVVISPIKALRQG SRGLVMEQIRRRSITSSEELRVGRQDGAKARKGKESRGGWMSHIREWLSTSEPSTQAL KNYKKEAFKRAGTSPDDPRATAKLHIPTATLPPEAIKPSGRGLDPEDVLRKETERKKR LRQSFQTIASSSGGSRSSASRHSSLSSLPFKEPREES PFICI_00574 MVSYTTFLIQCLHIIVFIRITDAAVTDWRVGQIVQTSSGSIKGH AAADADEVSEYLGIPYAQPPIDDLRFQPSVRFNGTGLIAATDFGHACMQPFLSLSGTG KRQGALSGLGLTDAGIALLMDYGSTIPSQDEDCLTLNIWTKPQTGDDKKAVWIHGGGY KTGASGISWYNGQYFADEQDIVLVSFNYRMNIFGFPGNPDITGNLGLLDQRLAIEWIR DNIEGFGGDPDRITIFGQSAGGSSVDIYSYAWTDDPIVTGFISQSGVTTSNSFMDQDT ATDQWQSASSNAGCGSSSDVLSCMQELPATKVLSAIATEPTWGPVVDDKLVLSDYSTA TPANLPMLVGHTDFEPGLTRALTQFKATEDVYDAQEQDIFVCPVAARAQASVQLGAPV WRYRYFGAFPNTILTDDPPSGAYHTCELPILFGTVPQSVVTNTDAENDISSYMRGAWA AFAKDPQKGLLSYGGGWPQYNANDNTLIRLAYNNQSGTNLDTGNAYDDGCDADSSTGT PSSPTTTSSPSPTPSNQAGRATSYSMLYVATGLVVSAFMCM PFICI_00575 MAGNSAPGNVQETPVPVPKPQILQAQSQIHQPNPTAPSVPTVAG APASTAVDNKGHVAAGSTAQSASMTQPPNLAGQAQVNPQPISRSASVPAVQTPVPAPV PPLMAPAPATGVPAPALASASAATPATTTPAVQAASSASPSSGPSKVTLSTSSAPSNT TAITSTPPRPSSPEIPVVEPLTPPLAPVQFPPPRQSHTYLNHPAEQTVIQPPAPAPLD FDSNPDVLALKSTMAILQIQAAKAKRDMVALQKAKEAALADPEAFMKDLQEGKIQMGG SGLSASLEHDDDSDSDSSGDDDEDVAMDLTKDEQASDAVKSEPKAPGSEKKPKPQRKP WSQLPNKQSVARMPAINWSQYAVVGESLDRLHNDQVARPAQGTPATLAADGTYVFHGE GRQQEFNGIAAPFDPLKDQLAKKSKPKTPNRQG PFICI_00576 MADQSIIRISKEISDIQKHSDLSLAVAFRDHDVRNVRTLIIGPH ETPYEFGFFEFAVKFNKEYPRKSPSVTATTTNGGRCRFNPNIYANGKVCLSILGTWRG EPGEEWSPAQGLESILLSIQSLMSTNPYENEPGFEDANTPSDKKYQKDYVAKIRHEAL RISVIQRMEDFLGITHGVQAATRAASIKEKEDYSLDFDDDLDDVDESAVPWEPFKDLI KQRFLWYFDSYMESIEKAKTEFKDGTTFVRMPFEGLNNNMEGKFNYSELQQRLLNIKR ALHEEAESWAKEGLLPKHKDSTVAVNLGRQFEQIKESFKRNDVSHHIELVDGNPFVWG LTYIGRPMTNLDGGLFNVVIRFSPRFPEEQPRVEFKTKIFHHRIARDGTPCYFPKTNR REDVKNHIEAIIDALEEECPPYDPRTLTNPEAHKLFWGGPDDRKLYNRRLRRSVQQSL EDC PFICI_00577 MATEKTQKPSLGRVLVVGGCGFLGHHMVNVALRDWTTSAVSVVD VRCQRNRRPESDGVEYVEADITDADNLAKVFGRLKPDVVIHTASPPAQASGAVSDELF RKVNVEGTRAVVAASQQSGVKALVFTSSASIISDNRSDLINANENWPVIRGKNQSEYY SETKAEAESIVLAANRAEAYPNLLTASIRPSGIFGEGDVQAVYHIVNIYEQGRTGVQV GPNTNMFDFTYVENVAHGHLLAARALLLTAQSKTVPLDHERVDGEAFFITNGEPVYFW DFTRAIWRAAGSDKGTSHVWEMSRELGLVLGFLSEVAFGIMRKPPTFNRQRIIYSCMT RYYDISKAQRRLGYKPLVSLSEGVKRAVQWTLDQKQAKAAAAKN PFICI_00578 MSNQSNGTRRTNNQQTSRSKPVPAGNEEASSVLNLGEFQDVDTL TLSEASLVINALVNKRRIEGKDVEKNEMLTKTMDYLDNFARFQKKENVEAVERLLSAH KEFHKFERAQLGTLCCADADEAKTLIPSLTDKISDEDLTELLEEMQKFR PFICI_00579 MGGRIPARPGPRYWINSGVNHRNHCKAELYSDPKVPRGTGNTEL PLRGVLALIIINKAGGLIYHRTFAEGLNQLTTNDYLVLAGTFHGVHAITTRLNPLKAH QPPTAPGQRPEPPSGLEVLETENFRMQCFNTQTGIKFLLFTDTLQTGVTENTMRKVYE LYADYVMKNPFYQLEMPVRCDMFDRKLNSYIREINNSR PFICI_00580 MPLEAVMIITDNSESSRNGDYQPTRFDSQADSINILFDSITQGN PESSVGLMSMGGKGPEVLSTLTTERGKLLEGLHRTKKKISGKSHLATGIQIASLALKH RQNKSQRQRIIVFVCSPVDDDEKKLVTLAKKMKKSGINIDFVLFGDLDDDEVQKKLEA FNNVVKGNEESHLVVIPPSGKLLSDQLIASPIMLGSNAGAGGSGGMESGGGAGGDFGG FDFDPSADPELALALRMSMEEENARQARQAQADENAANKTNLEGIQEEGENQPLLDKD GEASGSSKKDKDDDRMDTS PFICI_00581 MAPALTRKRKSEESPGFATDRTLVKKRKVDLTVAQKQALIDNLQ LEITERARRLRAQYNIQAQQLRSRVEMRVNRIPTAMRKLKMGDLMAKHSEPQKTRTRS PYVAKPPPVPLKDGASPKPIPRKPVSGSTQRGQKRLSETITGSEDKENSVEPTVMPKK KGRGAPAAAAAEASRNVPGQVLSPASTNARVLPRAAERPASPAKSMLYSSSSPAKSMI ARPASPSKAMGTKSSSNLITNMVQKAKSTRGATAGTRKATTSSSTTGTASRSKKPAVP SASATGSTRGRRKISQTSESSEASTGTVVKKTTAASRGGTTTAKNPPAVKRSVMNTIK SATTKKAAPVTKSTTGTSTAGRTLRKRQAA PFICI_00582 MADTEAPEALGEPQSRHVTYCGVCTLPPEYCEYGGTPKKCQEWL EKTHPTLYAQIWSPEALEAATASLSLEAQKRAAKDAQKKAAQAEKAEQKQASKMANSV VTIKRVERNKRKFVTAVIGLEAFGLDLKKVAKEFGKKFATGSSVTKVPSGGEEIVVQG DVSDEIEEFLLEKYKEIPEDNIELVDDKAKKKPS PFICI_00583 MGPDYGGGLGGGDPAHGHEGAYQLDHDRSLMTPSPSYQNGAFQP PTRAPAREASPALSSTSTNDPRKSSERNRSRGRGRTTSGQVRVCHKCGEPLTGQFVRA LDGTFHLDCFKCRDCGSIVASKFFPAEDEEGGGQYPLCETDYFRRLGLLCHQCGGALR GSYITALDRKYHVDHFTCSLCPTVFGAQDSYYEHDGNVYCRYHYSTQFAQRCNGCHTA ILKQFVEIFRNGQNQHWHPECYMIHKFWNVRLGTEDSNEDPPEELDEAGRELVRTEEE KMEEKVYRIWSVLSAFEESSAACISDMLLHVSNGAFIDGILTAKRFIMHVDILFQAAD KLDDSMKQVDMKGLSYSREAKLLCKKIVSFFSLLSKTQEGGVRQLGVTQELLSLVTGL AHYLKLLIRICLQGTLRIERDHNSQDALYQFLDDLSVIETTKNEDNTLQISVGMATLS ANDSDHCTACRKPIESECALNGDKRWHLACVICTECGQELGNDLANAQLQRDLRILCT PCTRQTGGETSKPFERISRLQQYIFLLKVALARLLGQLRKSGAIPPAGEDPSAWDSRN GNQLTADRSGVPMLTSDSRSKSYAGAERDRHQRESSYESTLNDVRRLRSTRLDKHLSS SLRKARGSRIIDGPGGPGADGNNFRDREMQIDEDPGLGGSTEQYFGHQDALTLDDIPR IVAAEQAKEKQPGAYTRNSRQELFRSPATHPNLGTGHQRSQSDGRGDELKLLPQPSPQ RIGRKFFSELSGLEYFIVRHVAVLTMQPLLDPEFTLDDLLSLIEARKQPTFWNKFGKA FAGKDQRKGFKKKGIFGVPLEIIIEKDGADSTDGVGPGALRIPAIIEDTITALKNKDL SVEGVFRKNGNIKRLTEQVAAVDRDGCDAIKWNDESPHQLAALLKRYLRELPDPLMTQ KLYRLWIAATKIKDDEKRRHCLHLTCCLLPKIQRDTLEVLFSFLKWVSTFHQVDEDTG SRMDIHNLARVMAPNILYCAQSGPSFSDEPMTAVSCVEELIQWNEEICMVPDELMDVL GDSSLFNGNGDISTKEILKRIGDRSAGGPRQYDTELVNRQDNSRPMPTRVDTDPTLWH QERSVRPVQDPNVPYTTNPGTPPQKWRNPDHRSSPYGDQYEGDASQPAENGQRREWRN STGFGVKNSSVPAGSG PFICI_00584 MDINHIFLRSFGDCPAGSSFTSCGGPEPYWRGCCSSHGCSDSEG CPADAKISIQVSSGENWPSFTTMTTSHKASSDVGAPMTGESPTPTTSMDTSTSTTEEP SKTPAETAHVTSNTIGSLDTAATPTGATVDGSGRLSPGAIAGISVGSGVGAIALLIAI FLLFRRRKHAKRMPSYRDNLHGEFNEKEPPHEFQSSAARRNGDDVFAAFGGRATSFES SKAPQTITPSASRHLPLRQDEVSPVSSPCSPAGVSYVSPITPQFTSPIQLEGPPPGNR DTVSSRAPSGPAHLESSCLYHELDSADTARPNTFELPTPTPPMTPQTAQGLCHEAKSD HPEALMPGAFPNVVKDAQRQKFTRVQSGDAAVVSIGGKSQHSRPGSFDHPASLRATMN ATADDVKSNRHVNSWAHL PFICI_00585 MAPRDAASGSKRKLNDKSKDKSFKKPKFDKRPPPSKDESEEVSD ETGFEDFSDDQEDGGASLSKGKTQNGGQYSNGNKSNNDDSGKVFERGQNSRESHQKQK ALAQERKAAKPLADESHRAKKLWERLRRKSHVPKEERAKLVEELFTIVTGRIKEFALK HDTVRVVQTAIKYSNVERRKMIAKELQGTYAQLAESKYAKFMIGKLLVEGDKEIRDLI VPEFYGKVRKMINHPEASWILDDIYRGAASPEQKAIMLREWYGPEFAIFRSEGSDLTA ELSKIIAAEPSKRMTIVRYLLDMTNQLIQKKMTGFTMLHDAMLQYFLNVQQGGDEQKE YIEMIKEDDNGDLLKNMAFTKSGARLTCLLLAQGSAKDRKQILKTYKDTFQLMSTDPW AHLVILATYDLIDDTVLTSKSIFPELLGKNDGNEGENMLVLANDPHGRFVIRYLFEGT SKSLFDASHAPHLQILEEIHEIRKTTSKKDVDVRRKELITAMSPALLAGTESAAFDLV STSFGCQLVTDILLSGVGDKSGALQAIASTAEGDPLAGADGAAGAEAEATEGEEQAPI GLHISRTAHGGRLFKTLIAGGHFDKATRKIIPVDPPLHFADILYPIIKDHIVAWATGP SSFVPVSLLEADDFSRKDELKKILKKEKKTLEKAATEETAEQKASREAAETSGGDSDK AASKKAKKAAPKKERSVGNAGAKILLEKLK PFICI_00586 MGREEQVEEREVLDSIFPEEITDISETEYRISILLDLPEDEEDK AEPPTMLLHVRYPESYPDEPPHLDLLPPQNAATHPYFSVSSDKDTLLAGLEETIQENM GMAMVFTLVTTLKENAEQLILDRRAETAKKREEALLAAEREENKKFHGTPVNPETFWK WREGFLKEMEEERVKAEEERLAEMKKARVKEEKKLTGKQLWERGLAGKVDEGDEDDGG ALADGVESLKVTA PFICI_00587 MGKTRPDKKQKKKGGISRAADSNDNSSSQSAKQISPLYLVSVAE ELIQAGNAPDALTIAQQALDASQPSSDGALASLNLLGQISLELGDFDGARSYFLRAVS IDQDGQRSDEVGGGAEKFLCLAQLSEEGGADSVRWFERGAGALKTQIQRLEEKASQPT TRRQQSQRGANDTTQQQEEATLDELRRKHAMCLCAVAEIYMTDLSWEADAEQRCEALV TEATMTAPDSAESWQTLANVRISQTRLEDARAALLRSMEIWRGLPTADPSVPDFPSRV SLARLLMEAEMEQEALEVLERLIGEDDHSVEVWYLGGWSLYVLGDKQKSENKGEEAEW KMNWLSSRTWLNQCLKLFKKQEYEDDRLGEHANELLTGIAKELGLPPIMDENEEDEEE WEDDEGDEDDDEDEDDEMQE PFICI_00588 MPIRNPFARKAGNDENFRPELLSAQDASHPGFERVDTVGSKASS ALSIRSNKSRDNGDYKMSVVNDSGVYLPPSPSEEKGLWPRRPGIPTRTSMDTRSSLGD IEHFSISRESFDSYRRSFDITARAPIVHDIPARTSLDSARFPRMPRTSVRDRSFDREP PTAEEGFEDVGLNDDATNKPQQQAPKKRGLFARFGDSQEPSTHSQGMSRFIPGRKRAQ SGQGAELGHIERPTSSQSMEGQEMQ PFICI_00589 MTGIRFFTNWELWQEMTFVLACAIVLVFFAGLGKLWWINRSVRK HEQLDEEKKTRMSEIEKVGIPARRRAEIPFGVRAIQSGIEVDGIWISRPGTPNSQIPP ATSTVTLTSETDPKGKAKVTTEEASPSLYQSSAASVIEGGSSPPRSPATYAQQTYRPK HATTRSASRLSEAHTVGSPNELEGGSAERPAIQTYVPRTTFGTRPSPTQSSSQGDRTS SSSEEAYIPSRQSSIRTHSRNKSPFPEFRGYFPASPQGSPENPFATPEGGRTRQASDV STFRLPTGVQNAPMSAPTRSYSGETHANRASRRVNEGFEVLPAGTFGPDPPANGSNVD LERGDGSRSSTRYSRPVLNKLQKRDSSR PFICI_00590 MAEEYDEMQHGEGDEGDESGMTGPGAPTPLSALEGVAGLTKRDI QLVTDGGYQTVESVAYTPRRMLEQIKGISEQKAAKILAEASKLVPMGFTTATEMHQRR SELISITTGSKNLDTLLAGGIETGSVTELFGEFRTGKSQLCHTLAVTCQLPFDMGGGE GKCLYIDTEGTFRPVRLLAVANRYGLSGEEVLDNVAYARAYNSDHQLQLLNQASQMMC ETRFSLLIVDSATALYRTDFLGRGELSSRQTHLAKFMRTLQRLADEFGIAVVITNQVV AQVDGGPSSMFNPDPKKPIGGNIIAHASTTRISFKKGRGETRIAKIYDSPCLPESDCL FAINEDGIGDPSPKDMEKMDR PFICI_00591 MAPRDPLYLHKETRLNLEPSSPALVVDLKLSAGSANGRGIKRPN GGDEDDKLFRKKNCATASSVYHRKHHAEPKSFLWRVLDGNTLLSIRCIDVCKTKKAPD ASLVLNLRFSQPIRPTCLALSDPRDHDALSVFVIDESNQLYTIVLKPEHFRKRSTAEI ADACKIQTIQALGFKAPHCLVAADDNLLVVTTHDGGIIRLDRSRNHDVSHQSWKETQF GSKGWGQSFRGFLKGSHTVRYGNIDMDLNAAASAATTDLGQYDSSFLLTVCLDHRLRI WNLENGHIFHTQDILQAERHPQEIGKWTIDPAQNNLIKIVGATEGKRLCVTYSPVGAG EFKFWKLEADAEEGGIAVDSYFKTSQLIPPPPPGPDVWTLADFTVAQNGPKGTQLWVM WKNNMTYRVQHVSFLPEEIDEAWRDGWSGVYAENSPVAAQTSSPCDPTDPAEKWLQLI LYPGRFTNATLETALTMYEQGLGLTRDSAARHNKGLAEAICSVLGSTLSLERSSSASG GMDYDSFRSASELQWRRFYRLIELLDKQRGEALSLSYEPSSGLSWVVCADSLSSLREC SRLEQICHNPATRHEELENVSLLISTGLNFVDGFSDSMLEICNSVLRSELFENSTKTE DERIQYFSDKAAFWRQTSDEDCAQVTDALGPNFSLITLDLYQRTVQLMKATEDSQREH RYPLTDFGRKLAVKAIQELVELQWNVCFSQLILLVHMEFEFDTPEEALHNRLDIGTVY RYLISCLQRLSLVRWLVKTQLPLPLQSVEKPDYAAGLSPAASKRHPEETQIITAFEGL AGHLLGTAAIDAMPAALTSIATNLLAENSDTALLPQYFQCAFLMWNRPDLAAEISPYS EQDPFSTYVQGRVHLGLKDFTAAASYFKKAAYGLSRAIKNPDKHSSGLLNDTEWRLFY CGMPQYYAHVISLFEKVRAYSFVVEFAGLALQFINHTTKDAASIKTEIQSRLFNGAVN ISHFDLAHTTLVAMTNRALQRSLLRTLIEKMCDGLHTAELVELPFPNLENSVDDILAQ RCRDTIDIITDKPWHQILYSWRIKRNDYRGAAAILLDRINKLRQRPDADDVISDDVLD TVVTRQYLMLINTLSCVDEKQAWITTEGADNSDSLKASVSGSFGGLGKRKVVTLADIR RQYQGELDRISAISGDQWPLVSMDGEEMDLEG PFICI_00592 MSYFFSTPVDIDIVLEDADNRQMVDVKLDKNRREKAPLYMDGES VRGAVTVRPKDGKRLEHTGIKVQFIGTIEMFFDRGNHYEFLSLNQELAAPGELQHPQT FDFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDFWVYSYRIPPEMNSSI KMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGAAPN QYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKFSTRYYLSLVLID EDARRYFKQSEIILYRQAPEAAGNQPLIQSVPEGRAEPSGPKPLPVEA PFICI_00593 MRYIHSEETLEVPEGVSVQIKARKVTVTGPRGKLSKDLSHLAVC FSHPKKNVIGIEIHHGARKNVAALRTVRTLINNLIIGVTKGFKYKMRYVYAHFPINVN IDKNSETDLYEVEIRNFIGEKLVRRVVMRSGVEVKVSAAQKDELVLEGNSLEDVSQSA ADIQQICKVRNKDIRKFLDGMYVSEKGNIVEEA PFICI_00594 MQLHRSSPAAFAGLLLANLVSANPFPRDDLRDVGFGYLMNRACD SYCGASNQYCCSSDETCVTSNNVATCTAGGGYVAGTTTWTVTYTSTWSSYIAGATTPA SSGSDGEDCVPPDGSGQIACGTICCADWQYCAFSGQCSANSGVGTWTTGTTVGTTATV VTTAFSAPYRVTGTGTTVTSTATGTGTAASATATSTGTGAAAVSTTSNNSLSGGAIAG IVVGTIAGVILLLLLCFCCILRGAWHTVLAIFGIGNKKRHSKEERTEIIEERYSRHGS ARPAHRTWFGGAGGGRPSTVASRKEEKKSSGAGWLATAGGAALLLLGLRRSDKQKEQH KVASSRPPRSRSDWSSSYYTDSYTATTPSSASSDRRTRQSRHSRQSHATRTSRRTSRH TRRS PFICI_00595 MSLDPPPYLGSLQNNIRQRPIPWDGAVRANTLNEGQLAKIRAVD KIRKDQRKQVVESDLDGYRALFVGGEDSPSVLESASKRTDVVQYILVLLNDLLESVPT LAKALFKNPDPYKHFLPLLAHSNNPEDPVPLLTSTVLATLMAQSQDESPSTERALPLI LSYLCGMAKNTNDAGLQDIAVTEYSSLLYGQPSRQLFWKQRSETVAPLIEILRKAAGI GADSSASLWSGNTTGRAGGFEGTLSGGVGLQLLYHVLLVMWQLSFEAEEIGDEMDDEY DIILLYTQLLRLSPKEKTTRLILSTLYNLLSTNPSSLLPTAVLARLPALLQNINGRHL SDPDLQEDLDRLKEMLEEYTTTKTTLDEYVAEVRSGHLRWSPPHRNATFWAENSRKIL EYETGEIPRKLAEIMKKPWDSDKAVLAIACNDVGALVKEVPEKRFQLEKLGLKTRIME LMQDSDENVRWESLKALGGWLKYSFENN PFICI_00596 MQRLSVARSITAAAGRSASRRLPTAATSNYPRMASRASIAARRI AATTQHLRSYSLQSTATSFPQTHEQISQPEDTPWFIDNEFKASSADKWIDLHDPATNN LVTRVPQSTDAELQAAVDSAQKAFPAWRNMSVLARQQIMFKFVALVRENWDRLAASIT LEQGKTFADAKGDVLRGLQVAEAACGAPELLKGEVLEVAKDMETRTYREPLGVTAAIC PFNFPAMIPLWCIPIATVTGNTLILKPSERDPGAAMILAELAQKAGFPPGVINIIHGA HRTVDFILDAPEIKAISFVGGNKAGEYIFSRGSANGKRVQANLGAKNHAAVLPDANKN HFLNSVVGAAFGAAGQRCMALSTLVMVGETKEWLPELAELAKGLQVNGGFEEGADLGP VISPHSKARIESLIASAEEEGATILLDGRGFKPAKYPNGNWVGPTIISNVTPEMKCYT EEIFGPVLVCLNVPTLDDAIELINKNEYGNGTAIFTKSGATAETFRKRIEAGQIGINV PIPVPLPMFSFTGNKKSIAGGGANTFYGRPGINFYTQYKTVTAMWASADAISTKADVA MPTHN PFICI_00597 MLAKTDADKYDQRHHAGDSQSVNSCDHRQQLVDLPSDLFLLIIS YLSPEDTFICRRVSRAWQQTFASEDVAWYLMKLHFPRSRELRMESTASARSDRTAIYT EVAQRYHHLRAAKPRSTEKIEIARQDKDSTMLYDVAPWSRFLHFNDYTAPFSHPDPVW CMADGLVIYPNAYGHYCAHDLETRSTFPVPFDAIGKTIRRVRLACGVLIFEWCEREPY HQLNDEETVHRHFATAFDVERCAPRSSSRSPRFSVVRSEPGPSKWNITFRSEWKIHFL GFPLNRVDRFFSAHTATHYALYTWQPNRSPWGEADPPEQFTVWDISFPSQYCPSTDPT GRDKPDVDLGPHVIRRLSWRDLDFLGLRQRDTPSFRQILLDEHNVYIHEEEHRWLAGP QSSLVPPRHHLVRCTGFPIIGMGPRWFDECCADGDVHLSFCPRSGSMARLRGGGSQDS TVTAATYTKDWPGFAPCWRHEEFPYLTMSEMVDAPAGVRIVARQCFMVEALSVFILPR ISIQAEDADGREDGDEVRFTDDMWGELMGKGRICGDERFLVGEDMEGKITVVRF PFICI_00598 MAKQLALLSLAAIPAVNAETILGVYIFSRHGDRTPKALAPANLT SLGQEQVYQSGNYYRNRYVAADASSPIFRLSDDIAVASQMTITSQVDTVLQSSAQAFM QGVYPPVGDLSVESLANGSTVEGTLSGYQYIPINAVASASSSSNAENSGWLQGNSGCG NAVVSSNNYLSSTEYLSTLNSTNDFYQDLLPVINTTFSSSQASFKNGYTIYDYIHVAT IHNSTIPSEDLLTNDTLNELFWLANEHEWGLAYNESDTIRAVAGATLAGQIVAQLNTT LTGKSKQPLAVQFGAYASFMSFFGLAQLQKVSDDFTGVVDYASSMVFELVADADNVTS AAYPASSDVSVRFLFANGTAAANEPVAYPLFGQSETLLPWSTFVSEMNKFAIADTADW CKACGNSTGVCADYATSSDGSSGSSGETSASSTSGNGISLPVAGVIGALVTLVVILGV EALVYLLAGLKVVKKSTLAAATAAGSSGAVKA PFICI_00599 MQFLTLAATALLAHTGLAAPEARSPTVAVQFQGAADAVYTQWVV ADGVDRAVYADFSVSHIAVLDPAPASLNCHAHGIDGSDTYTYGPGTVDVGPPQVQTTI SCTLGPR PFICI_00600 MDQLAAKLGLGAGELSFYDVYSLDEPELIAHIPRPVLALLVIIP LTPAWDRDRRAEDADKGEYTGVGPEEPVIWFKQTIGHACGSIGLLHCAINGQAAAHIQ PGSTLEKLRSAALPLKMAERADMLYNSDAFEQAHKSVEQVGDTASLPTSENNGQHFVA YVKAGGRLWELEGSRKGPLDRGSLDEDEDVLSPRALDLGLKRIIKVELDSGGTDLRFS CIALAQKS PFICI_00601 MGLGILEDTVLDHVPGTTRYFDDPDRPQTADTADARGLKCDRSG TVPIILHPQPSDDPNDPLNWPLWRRDLITFILSVTAIFATALGPILAANTLTLTLYFT LNFTWIAVLTGYFLLGVGFAGMFFVPSSRIWGKRHAFLLGLLLLVASSAWAGAVGRKR DYTSLLWSRIIQGVGTAPFESLVNAAVGDLYFVHERGKRMAFTNLAVFGGAFFTPVVV GKITHTIGWWWTFYLVAIFCAVSFFAVFLFCPETSFRRDAALNTDIVAFDEDSRQSHD HEKMATGPSSAPNSNHAMPVPARKTYWQSLSPFDGYKTDESFLKLFFRPFPLFVQPAF LWACLIQGTMIGWTVFIGVVLAAIFLGPPLFWNEVSTGYAYVGPFLGAVLGFLIAGLL ADWSAKFLTKRNGGIYEPEFRIWLVVPQLIFGCLGLYGFAITSVGTLRGEYHWVVPII FFGFEVCGMVIGAVASSLYIVDAYRDLAVEGFTCLIIFKNMFSFGLTFKAYDWLVEGG IKETFIALASVQVVICLLSIPMYVYGKRNRSFFHRHDLLEMTGLRGKFTLFGLLRK PFICI_00602 MKIQLLFTTIVALVAAQDGLPDCAFTTGDNIGGCPQINAQCICS QQSFISGISCCLADVCSEADQQAAVDYAVKFCGSFGVTVPSAVSCTSTATSTAASSTG SSTGSATGAGSITTATTGTAASASATAASTSSQNAGSSSGSSGSAGILGGLAAAMLAL L PFICI_00603 MFDSIRRLFRKSSPRAERDAQRIRRQLARCACGHDEAEVQAYPV RYEYVLIPAPVLAYPASRHDVRRLSGGRKSADESSWAEHSKMKEAVQISKVEVCSEAE DNVDVGSRTRAPTGDSGYFSLGPKNESSRRPKRQTAAKHVVSDQAAGGGEYDIGESDY LHWGDGSWAKGNNDVDFMRHHKVDVMDSGEIDSVIETWLNDLVGRSPALEFPRVWKKE PSFRDWDDILNRGIDDGHPSRIAATRRLGGTSPDFMDESWVEG PFICI_00604 MCIELKITYACGHYEEQLTWCPSLQKKKSSRRNKFLGSYKGMKH CGAVRRERPASHRNCDNCLVKTDGLLSQRVGNGASLVHRPLVDESFRQERLCAARESL REAQKGTLKPKGYGHHVNISSQTSVWVPDYYHHPRTVTATANYARASAPAPPVAPPRA PSRAERSRSFSQGRPFASRATSGQSSRNKEGSSQIAGVSDGSPRSIRKPAKPLPTWKA QSLRHTGRLPQRDQGYDHEDSLPVRGRPFPTSVASRQLPRPIFETYLQAHKEVERLHA LNQRPLPNSSRKTPQATTKQTLLASLGLGSSHHAGGEADSDVSFACEAARRIEARAAR R PFICI_00605 MSLNLEKQLTFYGAYHHNALNVAIHMTCVPLILISGFCMAANTG TLIPLPDALTVPYLDLNLGTIAALAYAILYILLEPVAGTALGALCLGATAFANKALLE NPTQTNQIAIATHIISWLLQFVGHGVFEGRAPALLDNIVQALFLAPLFVWLELLFKFG YRRELQSRVDKAVQVEIAKFRAQKALKNGKAQ PFICI_00606 MFFLYTLERRVTLHPSYFGKNMHELVTGKLLNDVEGTCTGSYYI ITIMDTFDISEGRILPGSGLAEFTVRYKAVVWRPFKGETIDAIVVSVNQHGFFAEAGP LRIFVSSHLIPSDTKWDPNATPPEFTNGDDLHIRVGTHVRVKLLGTRAEVGELWAIGS IKEDYLGALE PFICI_00607 MRNDIKFHSKSNPHEFRKLIGGLVPDLVPVDKATGRMQSRDEFI DDIFAGLACSTMSVRMMPYIFSRINWDDPRNDPIFRQFMPLGSMMLPDHPKANLDSLH EKVDSKVDGLVHRYPDKALFLATSICPTYCAFCTRSYDVGADTTTLEKEGRFKQGRAR WKACLDHIAKTPEIQDIVISGGDSFFIGPEHLVEIGLKLISMPNIKKFRFASKGLAVS PNRFLDPKDNWTNALIYVSQQAEKAGKRMALHTHFNHPNEISWITEKASRRLRDAGVT VRNQTVLLRGVNDDVKTMGTLIRTLADTLRIQPYYVYQCDMVKRAEHFRTPLQTILDM EKQLMGSIAGFDLPKFIVDLPGGGGKQPANSKISYDRTTGISTFDAPAVKGGNKIYKY YDPVDSLIGSG PFICI_00608 MAPARARSRVASEGSVRWPNPTHLSSSKLAAEYHNTLREQSRLP GVDQRSLATDVSRDTEAASKLSIGTSTTTATAPTTRRWIPARPKTSDCNAKSSRKYSG KRDNNSSSSSNILGPPLQQLSREEIEEFETLPLAVRRKVS PFICI_00609 MIVVAQISTDLDHRPEASLSVTSTRLRETLTRGPISRSQSSTSV YKTPTSHTDLPLAGHHRHLSRAEQLALARCLRESVILDAADEAIYKIGRRSDRRPPQP PSNYVPTLNSSKPSTRIESGRMADHTSAPKQGLQRGDSFYDSFRWLEGDDDLDLSLQL DDYHANLKESLPRRSTEHRPSFRRHLSINKIPFGRSSISTSRPGTNSGTHTPASPSTS SPTSQPPFPVRRRSRTLSLINPRPVFEESIPTFDPDAAHYQDPEARAKLRVYLASPQK FDEAVEFGFPSKNAIANLPDHERHGSRGHSRGLRSQDSSRHKTFFSDDQSSIYSEESL PDPESPRTPNSPDKADIQEENPTDAKEPPFRPPMDGYAQIPASSREMTLRMTLTRPDL RAGEEEIYGWQKRSAQQMARTAPRLEDAPPKKASAVNTGKSKESLEAFFASLDEEEEA AAASAAAATASADTGVVKRFWNKKKDAAP PFICI_00610 MRPDIIALPASWPKQLFALTLLWQLFLLPAVDAKADPNIVVTDF DHYPFNLNYFPDSDVVLFQDQSAGVVWRSADAGASWAKVDGVDEGKAATLIMHQYDPT RAYILTEGMYHWRTEDRGKTWSTFFTDAELDIFHRGEWLTFHASDPDKIMFYGLDWNS GYYDEVIMYTTDGFATDAKLLRGNTVGCWWAKSSELFTTGDERKDSSRALCIVRGDWS SHKEDYRLLISDNYFSAESSDGVIQEEEPKLNGYAAVQGVINIAAVKKYILVATASKY TDEMALYVTDDTKRWHRAVFPSDHRINQQAYTVLEGTNYSVQIDVMNGRPSNPTGVLL TSNSNGTYFTQAAEHINQNGIGHVDFEKISGIQGIFLINQVSNWEDVEEKGNDVAKKV KTKITFDDGRTFETVRAGDKDIHLHSVTEMNNVGRVFSSPAPGLVMGNGNTGDYLEPY SKADLYVSDDAGRTWLKGLDGPHKYEFGDQGSILLAIPDSKENDVSEIKYSLNHGKEW SKVKLPKDLKIRPWILTTTQDSTSLTFILTAESSDSKYHIIQVDFEGLHEDTCKESDM EDWFARKDDDGNPSCLMGHTQKYHRRKKDAECFIKQEFKDPVPISEPCDCSDADFECD FNFVRKDGKCEKAGPIIPPAGACEKGDPDETFKGTSGWRLVPGNDCKRKNGAQKDEPK EWKCSDAIMAPGSGEASGDINSTRTSLKGNFASFDKHYLEHGDSSSTADETIIMRPVG PGGTSAGDIMITHDHGKSWTTPKQLEGENIWAISSHQYYKDMVFFLTSKGKVIYTVDR GQHFYSFNTPYPPDTSRFASPWSFHPDQKDWLIFHGQSCDGGVCHDIASVSRDRGDNW ETLSRFVWRCEFTGSQAYRGYGRPEKQVVCLQREREDNEKENPLNLIYTDDFIEDKGN MKILHRNTRDFATMAEFIVVATENRTADTLHAWASVNGRDYSQAHWPYNFEVDHERLY TVLDSSTHAVNLFVATKGETEDDKSRRFGSILKSNSNGTSYVLSISGVNCDESGYVDF EKMLGIQGVIMVNTVSNPSAVTESKKLQTKISHNDGAEWAFLPPPQKDLDGKSFCQSK SGDENCALHIHGYTERADHGKTYSSESAVGIMFGWGNVGASLGDIKDADTYMTIDAGI SWKMVKKGRWSWSFGDQGSIIVLAPREGRTKSVSYTTDEGETWQDYTFSDSEVTITDI TTLRSGASRNFLLWGNDGDKLFTVNLDFSGLAGRQCIYDEKNSEKSDYNLWSPKHPKQ ANDCLFGHKAQYLRKKPGRDCFNGEKLQHLWGFNNCTCTRQDYEWQLDKHGQCQLVPG YQPMSLEQYCSENPNADEYYEPSGYRRIPLTTCSGEGANEMDKTKAVHPCPGKEEEFE RKHSTSGVAIFFAIVIPVGLAGAVGWWVWRNWNGKFGQIRLGDQGSTFDSESPVVKYP VIVISAVAAVVAALPLIASSIWRSATSVFERFSGRGGSSYSWLGNGGPRRFTTRDSFA RGRADYAIVDEDEGELLGDDSDEDM PFICI_00611 MPPNTSPSDFIYQRPLCSSSAAIEVSTSINEDEENGRAIHRAGY MSNSSTYGARVTTAQYHETLAYGDTPCPAPVFLHDVRQQRLENSHGFGASHTGGSPNF EPAAASVLPQFKQASYHHTLEDTAERSENRRISLATESTLGHRTFSIYSNASGNFEQF CDALNVVHDICLAATRAHLGSHHANRQARASYSGDAGHHRESDNPITVTDNEYKQPSV AEGLYHGPTTSSHAHPVPALNSEQATIPNVSSSLLKNISSICNMLWAGSQRDRLTVLN VERLAVDNMAKLLSWGETVALHDSDERALGNYETLHRALDAGKNLCAWLGAHESVLEM EELERAWVYASGLL PFICI_00612 MASPEVHHLFHHPVADHSFSADRKILAVAKDSAIELYGKVGGAF KLKDELKGHDKTITGVDIAPNSGRIVTCSQDRNALVWEPSPTGYKPTLVLLRIARAAT CVRWSPSETKFAVGSGDRVIAICYFEEENDWWVSKHLKKPIRSTITSVSWHPNSVLLA AGSTDAHARVFSAFIKGVDARPEPSAWGERLPFNTVCGEFLNNSAGWVHSVAFSPSGN ALAFAAHDSSITVVYPSAPDQPPSAVISVNTQLLPFQSLIWNGEAEIIAAGYDCEAFR FKGDGSGWQIAGTLESKGRPGLGDAREESALNMFKQMDLKGKVKDDTQLKTVHQNTIS TIRVYESDGSSVTKFSTSGVDGRVVIWDA PFICI_00613 MSTRQPPAKAPQGKPAPVPPNQTLYVSNVPSSKIQKADLKTALY MLFSTYGPVLDVVCMKTAKMRGQAHVVFRDLQAATQAMRSLEGFVFLGQPLRIQYARG KSDVISKLDGTYNIPEKGGAETNVEMTATQQSIFNAPAPGTTAATVPSASRGLPAKPP AAPPADSSTEEARGQKRARDDEDEEEDSDSDVAMEEESDSDDE PFICI_00614 MNRSAYHNYPFLSIEEFAEVCHHLDNRYCQATLGPVRKQWKLRL HKALDMVFGSDSGYTTFVQITRPLEETGDLDELESFLDSFSIGAKPRGDQILSMQVDE DPEELVKQPSQPRIGHVKYEIHLHPTYQAPCLWFSLHDLPADEVAFDIDTVFRRLVPD QFKSTLRGAGPIGGISADHHPITGVPAFFVHPCLLGDAMAGFDCAKQDYLMVWLGLVG GCIGLWVPKEMALLSQAPQYPGAAT PFICI_00615 MVVRDPFNIHKKGSAISILRTTATRAARDITEKAVEAGINAIEQ GKKTAEEMSFQLPKNVPSFSNPQRTLEDQSWGSGTSSSFSRFGNKNQSLPMYKDKPYA YPPSQRSRALWRRKRAVGVVFFILVVLYYFGAFSSGHDAHTKKPSWSWLKSSEPERQA DWSQRRERVVEAFELSWDAYHRYAWGYDEFHPISKKGKQMAPNGMGWIIVDALDTMML MNLTSRVGQARDWISKSLSYDQDQDVNTFETTIRMIGGLLSAHYLSNEFPQLAPQADD DPGAAGEDLYLEKAKDLADRVISAFDSESGIPYASVNLAKFEGIPAHDVGGASSTAEA TTLQLEFKYLAKLTGEKYFWDKAEKVMQVVDDNGAKDGLVPIYINADTGHFNGDNIRL GSRGDSYYEYLIKQYLQTEKAEPVYLDMWQDTLKGVRKHLITYTEPSGFTIIGERPSG LHGKLSPKMDHLVCFMPGTIALAATGGLSEAEAKKLPGWTKQNEADMKLARELMQTCW GMYKWMATGLAAEITYFNIADPPATESTSHVSPSDEFSSDPHATWRKDFDVHSMDRHN LQRPETVESLFYMWRITGERKYRDWGWEMFKSFMNYTAVEDGGGFTSLSNANEIPPTT RDNMESFWLAETLKYFYLLFSPNELLPLDKVVINTEAHPFPRFEMGKLFSTGWTRKPR DENGHIIKSS PFICI_00616 MPSSRDIELKLYPLKDDSFGTAAHHHYNMGSYATTVGTGGGGGG VGGGHGLGMREPVYEDAEPQGRFARWVDSFRRDPNFSVLPKDPMDDILVAEVGSIHAR PPLLRDGSSHYYDMRGAALRTAQSRLARKLKGRHLQMIAIGGSIGTGLFVASGRALQL GGPASVLIAYSFIGAMLYFTVQALGELAVAFPVAGSFSAFSTRFLDPSWGFAMGWNYA MQWIVVLPLEIIAASITISYWNPDLTRAIFVTIFFICIVAINFFGVKGYGEAEFIFAI IKVTAVIGFILLGIVLNIGGTPDSGYIGGRYWHSPGAFHNGFKGLCSVFVTAAFAFAG TELVGLAAAETLNPRKSLPTAIKQVFWRISLFYIVALTLVGLLVPYTDRRLLSNDSNA NAAASPFVIAIENAGIEILPSVMNVVILVAVLSVGNSSVFGSSRTLAALADQGQAPSI LSYVDRRGRPLVAIIAVLSVGLLAYLADLDTQSTVLDWLLAISGLSSIFTWASICLAH IRFRKAWALNGHSLRELAFRSQCGVWGSWVGLILNLLVLAAQFWVGAWPVDYHTMSAI ELAENFFLQYMAVPIVILFYLAHRIYYRTSMVHIHEMDIDTGRRDFNLPILLAQEMEE KRSWPRWKKIYKYLC PFICI_00617 MALQAVYKQFLAAPDSSLLANDAALHYVTTTTSYRGSTDIINHL TSLRNQVKKKQEEYLTVIEGQNAVAIEVDTILEFVTSGAAYLPGMDDNFLADRTVYLP VVFWVKPQTHIVTFNPEGKLQQIRQSWDQGSLLKQLDIIGKTGRNWPIRDAKDQIKLI ASSLKASGDLPAAENSSTARGRGNSNNALRDPHASLALFAPREEVEQHNPVSVISPRG GPRPRQRDFAEILGDEPVDAPGSPSAGRGRPGSAIAPKIGAGKNFQANRLFDTEGEVP EEPESTDEGKSPDRFYRPNPKKYNHFDFADGSEPQDAPQPGEATPLKTKHTSQWNFED FTTPAKARPGKALQKSHQDVRHWGNPGDENVQESVRKPANNKPRRDAEPHFEFVDDGV PQGEPRAGRPRGATHNNGLGLYKNNVYSGEAPADGDDDAVDADQHALGNITNLKSRGK TFQSQFSMTDDSPAKEPSSKHVGQDRQKAVKMMDANWSSYDQSPAQKENKPVKPKSTD NERGIHIGGDGMGGSRGTNRDWLFGGDEEEPSKTLPTRKNAAKSGGFNWDF PFICI_00618 MAPQKLFTLEGKGLKLDTAQDLEAHIADLRAMDDVEEVRLLGNT LGVGACQLLGEVLSTKKSLKVANLADIFTGRLLSEIPDALSSLLTSILNLPNLRTINL NDNAFGLNTQAPLVAFLASHVPLQHLYLNNNGLGPHAGILIADALSELHAKKEQARKA GQDVPDLETVICGRNRLENGSMTAWAKTFSLHSNVKEIKMVQNGIRQEGIIHLLSQGL NHARSLRVLDLQDNTFTRTGAKALAKVVSLWSEIQELGVGDSLLGTKGGIALAAALAK GKNPKLEILRLQYNEIAALGVKGFAEAAKDGLPGLKKIELNGNKFEEEDESILALRAL LEDRKEKFGGEVVDEDAWGLDELDELEEVDSDEEEEEEEEEEEEEEEDIEPKERAEKL IKEAEEAQEEPTVQLKDPEVDALAKSLEKTSI PFICI_00619 MAALGDDLLRIVNQLQDLVFNTIGTDSLDLPQIVVVGSQSAGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINVEDDETAPEVNEAYRNPTQARRSEWAEF HHIPSRRFTDFGDVKREIENETNRVAGSNKGIVRQPINLKIFSPHVLNLTLVDLPGLT KVPIGDQPADIEKQTRTLISEFIAKPNSIILAVSPANVDLVNSEALKLARHVDPLGRR TVGVLTKVDLMDHGTNALDILSGRVYPLKLGFIGVVNRSQQDIQGNKPMDEALKAENE FFKHHPAYRNIANRCGTQFLAKTLNQTLMVHIRERLPDIKARLNTLMGQTQQELASYG DNQFSGKEHRGSMILQQMTKFASSFISSIDGTSSEISTKQLSGGARIYYIFNSVFGSS LESIDPTSNLSALDIRTAIRNSTGPRPSLFVPEMAFDLLVKPQIKMLEGPSQRCVELV YEELIKICHTCGSNELSRFPRLQAKLIEVVSDLLRERLGPASSYVESLISIQRAYINT NHPNFLGAAAAMSHVVTNKQERERKKLIEEERARREKRRLKELGANGTETPEDDEDAA TEKGDTINSRKLVGKAGRSMSPAIRENGAGALAAAVNGRSASPARLAGGARDSFLTYF FGKDGAPQQPGGAGTPGALPRHVSQSQEPTFSQSIRRVEEKATHRPALSSSLVRDEEV QRTTEFGFGTADVEPALTEREAMETELIRALISSYFNIVRETIADQVPKAVMHLLVNH SKDVVQNRLVSELYKEALFEELLYEDDGVKKEREKCEKLLQTYREAAKIIGEVL PFICI_00620 MKGSEPDKSQPADQVHDGHEQKGKAKEAPTCNSSAQNDQANVGG SVEKVQPTDASILSRFTTSTLALGSSLLTTPDIGALGSPTIKAGPASNTGLGTALGET SNSKRQTSASSGDSIRSAQVQGHIQREEAAFAQFLDGVDSSAVSENVPENVPNRLVCD SLNREGTVFQSQSDASHRLADSDGLEVVKLLDAGYDEVMLMDPVIPLSKPQELRLKQA LFGEGSNADEAGKQASDWSNILNFVPEYISNGSSGWGYNELSGHLGTSDTLEASEIWA DQWGDVLSRYTDEVWGDLGSLVQEAREEARKIQKHEGDSGPSETKALMRLRQILSHIR DNR PFICI_00621 MVDVDSNSPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQN ASHYLLSTNDKTIKLWKVFEKSLKVVAENNLSHDLTPGNAMGVGGGAPRVSPNASHFK SPIDLKLPRLTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNL NIQDQSFNIVDIKPANMEELTEVITAAEFHPMSCNWFMYASSKGTIKLADMRESALCD QHAKMFEQEEDPSSRSFFSEIISSISDVRFSHDGRYILSRDYLTVKIWDVNMERQPVK TIPIHEHLRPRLCDTYENDSIFDKFEVVFSGDAKNVMTGSYNNNFMIYPSDPEKETEV VLQADKSAFKAKKVGVATPINSSGNPATNGGKKGGSRAGSPAGAGQGQRMRKETDADQ IDFNKKILHMSWHPYEDSIAIAATNNMVALCLFGTVEVSRTINILPLSPMTSEVEACQ GTGLLGKGESDSGSEAYDQESCCGVNGGLLKRAWKN PFICI_00622 MTSRSTARRRQTLRETHAVQKNGDPVTPKVINAGPSLEKAQMYG ITDQRPAYSKLVGLAGRIFIETIPQWLAVGAMLSLIFGGCCSNVFALEAIIKVEPASG TLLTFVQFLFVAITGYVSQFDSSRPPFFIAPNKVPIRRWLINIVLFFGINVLNNHAFS YDISVPVHIILRSGGSITTMIAGSLWGKKYSRIQVVAVLLLTTGVITAAWSDAQSKGK PTDETSESSSTFSRGLIILFVAQSLSAVMGLYTEETYKKYGGHWKENLFYSHLLSLPL FLPFSTSLYRQFMRLANSPPLTLPAYVEQLALPANMPNSIRDSLKEIHIPSQVVFLAL NVLTQYACIRGVNLLAAVSSALTVTIVLNIRKLVSLLLSIWLFGNRLAPGTLVGAIVV FSAGGLYSLDSGPRSGAPRHKKTG PFICI_00623 MSTTEPQSGSTSPKELAPVDLPSEDDEFESEVVGSVEDSDVDEH AGRHDIEGDGSAEGMLPRDVPKRTAYYDPVAERQMTQTDAKLFYQRSQHRADGSNWGQ SQATAPASPVFSTGFAKLHETVGPQPHAAAAAQQSITSHPHPANLHPSTSSLSLPPSA SGYNRLPSYNRLPDVGGLSIRDVPMGPESAPRTATRPSLVGHSSGQSPAALQSREESV TLDDPVQPDMGLPDGAAAGIGSGFADTDPHIAAELSSIFGNIQKCLDIRHKYIRLSLQ RDGDNPKDDPGWDIYPPPPEPAWVGPYTAQANAAANSLNSSVVLPLGSDQEPSSAEPS SATNEHPRRRTSKKRKPGHDIGEDFDMEDLLPLPMASEYTFRLDENSVYQVFENDGAD RTNAPIIGVPTLKDFYVDLETILSISSDGPSKSFAFRRLQYLEGKFNLYVLLNEYQET ADSKKVPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKMKKCPDEPVLIRDGKLLTL AEVFESINLTAYDLSIDTLDMHAHVDSFHRFDKFNLKYNPIGESRLRTIFLKTDNHIN GRYLAEITKEVIADLESSKYQMVEWRISIYGRTLDEWDKLAAWVVDNKLFSHNVRWLI QIPRLYDVYKATGMMESFEEVIKNVFQPLFEVTKDPQSHPKLHIFLQRVIGFDSVDDE SKVERRLFKKYPVPKDWHSKQNPPYSYWIYYLFANMTSLNFWRRQRGFNTFLLRPHCG EAGDSEHLAVALLCCHSISHGLLLRKVPLLQYLFYLEQIGIAMSPLSNNALFLAYERN PFHQYFKRGLNVSLSTDDPLQFAFTKEPLIEEYAVAAQIYKLSPVDMCEFAKNSVKQS GYEYSVKQQWLGDNFHLPGKEGNNMVKTNVPDRREEFRHHTWVEEHHMISRYTQPAAP GGSGHLKVREQQSTVAAVPASPKSTSKHASFLESKVNSPALQEVQGQVQSSDRSHTSG HEPRYFPGMVARASRRGSIRHGSAQDSDDVASTRNTLKKSGGKEEHS PFICI_00624 MSISNEALQKLVREIETQAIVAQQQISLVRTQQASKQRELRMAQ LTQTEVSALPADTGVYEGVGKMFVALPMSGLQGKLDTQIKDAQKEVENLGKKLQSLEL TQKNSKEHIDRMLRGGMGA PFICI_00625 MSRYSSRYSDSESDVDIHISRHHASPARPVRAPVQYVEAPRRPR YDDRDRFLIPQHTERTVVTARSRSRDRRHRDSSPRSPPAPPPAAAAPPVIINNHIINY SDSDSESSDDGRHRHHQELVRHTKVVRERADSSSSSYYRERDARRHDVEREVERELAR NRKDDELERARKELAELKLVAKIEEDDRRRNKTAKEERELHQMKKELDEIRQAKEREE NERRLKTKLEVERLRKEDEERVEKQRLEKQARDAVEKYKKDEAARIAREKEEKEQRDK EYKLRLQEQLLKSGLDEKEINAILAGKKVEKKEEKKKEKEPDTRPTYTRMARRHLSFE TLRVYNIDYQLDNDPEFVLIKRWVPDAEQDVLWRHTRIIREERNRKLVMTIEDKPKKH KHHHHGASLEPEFEWVRKKERRRSRSPGLLMYLAGGRPA PFICI_00626 MRLLQSFHFVAGLCSGTLGAVLLQPIDLLKTRVQQSGSQSIRTT IREIAKSPNAVAAFWRGTVPSTLRTGFGSAVYFTTLSTIRQHATPLALAASSSSASSS SSGRYSSSSSLPKLSNTANLLSGAFARAFAGFLLMPLTILKVRYESNLYSYTSLAGAA RDIYQKERIRGFFAGYGATAVRDAPHAGLYVLFYEQFKKRLSKIYGRSKGVAAVDGAQ ASSNMTSSLAATINFSSGAMASATCSFITNPFDAVKTRIQLQPHEYRNTLQAMSKMIT EEGVRSLYDGLALRLTRKAISSALAWMLYEEIIRRAETTLSRNVSGQV PFICI_00627 MAADLLHELLTASPAGDRRDAKAEPADPVALEYLASLASLPLSS IETTEQQSLAQTSHSLLLSLQALSKRSHKSIIESASNHARLKTALPALASSTRDLKTA IPKLDNEAVHFSTTYHKSGENELLNARKKALLLSRNAERLIDVLDLPTLLSSAITSGS SAAAANYASALDLSGHIRRLHSLYPESQLVDSVSSQADEAMQAMAINLISALRTPGLK LASALRTISWLRRVVPDLDPAGSAGNGGGLGALFLVCRLSTLLNMLAALEPLRDLADQ ERVRQQQQSTAGGSWSGGQQTERYLKRYIEIFREQSFSIVSMFKSIFPDSGDQGKGSV QDDPLQPVPSALSTFPLHLVEMLMETLRLYLPNIKDQASRESLLTQVLYCAGSLGRLG GDFGVLLASLDIGDGAEDEWVEVVKRHRVMAGRLESIVGHKERRPSQVSAKV PFICI_00628 MKDPFPIAPIPALSKAVQPWADWLSLPTLPLHIHEVLIIAAFYH FVHVVVAPLVSNWVFPVQYKSLSRTKKLNWDVHVVSFVQSTTINALALWVVFADDERW NMDAQERVYGYTGAIAMIQALAAGYFLWDLIITASNMHIFGLGMLAHAISALLVYSFG FRPFVNYYSTTFILWELSSPFLNIHWFCDKLGLTGSKIQLYNGLALIATFFSCRLVWG TYNSYRVFCDIWSAMETKPSYIPLTSDVAQNLTVPTRYESTMRFVTESSSVPLWLGAL YLGANLTLNSLNFYWFVKMIQAVQKRFDPSQADQSAKEKPLSDGTATTTATEVQTELK SRPRRGTILDGEDGDQPPPGI PFICI_00629 MQYSFVAAVLATLLTTATAAPSDPLATRVGVVTTKASKGQLVQK RAPVAKSSDAEANPLDSILGDAGGTGGAAGGLDGLFSGATGGKGAGAGAGGLDQLLGG LTGAAGGTGGAKGGAAGQVGQLLGGLARRNTTDKAQPANEDEEDEDEDEEEDEY PFICI_00630 MGFLGVYKAIYDYEPQSEGELAITEGDILYLLEKDGDDDWWKAK KKANADDEDEPVGLIPNNYVEQAPIITQARALYDYTRQTDEEVSFSEDAKLQIYDNSD PDWILVGTDGDFGFAPSNYIEVADGAEDAEPEPAPAQEPVAPPLPPSLPVRPPVEEEP EEEPQSPPLPVRQPSVASEPAPAAPAPAAALAGVMQARTSTRAPTPPPIAVPPRRQYI SEESEDEPSPALPVRPRSLSAISNDQSLHSPPPQRTSSRRQRAYDDEVPEGSHGRIAP GGFHMYNINEMVSVMGKKKKMPTTLGVNLKTGVILIAPEKASDGPTQEWTADRMTHYS REGKHVFLELVRPSRSIDFHAGAKDTAAEIVSALGELSGAIRAEGLREVIMAGTGQTI QKRGTVLYDFMAQGDDEVTVGVGDEVIVVDDTKSDEWWQVRRLKNGKEGVVPSSYIEI TETLASTVTSSTTGINAGRSSVKQNRLEEERLTREALKREPQAVEVGPGMRLPERKSS LSAVNIGNQNGQQQNNRSGGKSSSKSKPDPAKVRVWTDRSKSFSVEAQLLGVKDGKIN LHKVNGVKIAVPIAKMSAKDLEYVELITGISLDEDRPLSDVKRAQSTRQQAPRGDSSS RVGASVDKPEYDWFQFFLSCDVAVGLCERYAQAFAKDSMDETVLPDVDASVLRNLGLR EGDIIKVMRYLDKKFGRDAKKRGVSFAGDEDGEAGSGGLFSGPGGTLRNNTRKGRPAP ATETNNTVDPKAFSKETGADAAAAASSPTAKRPNAGEKGTAGGFDDDAWSVKPSKTPE PQATQPAPAPVAAPAPAPAPAAPEQPKPPALLTQAMQDLSLLSKPLEPEKMEPPPPPP QVIQPPPQSVSQQQAATAQVQQGASPAFFSGLAPQQTGLAAMPTGQPLGLGLGGSRQR PLAPQMTQGQPGLVPPPPMRPLSAPQSAQPSGFAPPPLQPQMTGFAPSGQSMNDLNQQ RLQQQQQQFMGGFQPQQTGQGMMGYNTGPGGQQAMAPQPTGYNPGSQFMQPNMTGMAP LQQFSPIQNQPTGFPGAFNAGQQPPQQIAQPTGVNSFLPPAMEPQRTGMPQMQTGLQP QQTGFGGGFGQGFNSNLNNQSGQTPAVAPLQPQPTGPAPPVRFGVPEKIQPQATGRRA NLSQATPQNPFGF PFICI_00631 MLDSILSALSFPYHEPREGYWGDKTVTLNFCEEDYVISYYCAEF CNTLTNLLFIWLGLKGIRDCLKYGHPAIFVVAFIGYMVVGTGSTLFHATLKYPMQLVD ELSMIYTTCLMCFATFSYRRSRVFSILLGAALTGLAWFITARYYHTKDPQFHQDAYAV LTAVVVFSNMWIMEKSVRPALEKRQQERSPASRVPTADAIIKDMWILVATGLTIFLGG FLIWNLDNVYCSTIRPWRHNLGLPWAVVLEGHAWWHLMTGIGGE PFICI_00632 MAAPNKGSRWGSFLSQAVAGVEARLDNILAEEEPAPKQPNAPST TAATVASPPKPNSTPTKSANDRLQERLARAVAAKNAGGTATPKSEASPGRPSTELSSA NQSPRPSSDLSRVNSPPPVVASSPRTSLSRDERVGDPRHISQTANGIEDQPANELAAP VKEADLPVTSSQVGDSVQQQEQPSVASPAPIGTSSTKEETVQVSVSTSEKIVSSLDLY EKRIADLERTLEELQSQHQEELHSQVERVDALQAKLQYLAREASESARKDGSAAASGS LEKRIAEKDQQVAQLMEEGNKLAGNEQKLRAVIKKLRAQITAEEKELNEQKMWRQKAE AELLNLRDTIRGIDEDRKANDESQKTITQLRRDLDRVKTTVSTKDATISDLRAQLEEA SERAKSMAAKINNEARENHQGKIKELEDTIASMQVEKTLAADKAKATANDLRENAERT AERARAVELELKGEVQILESKLEALRATAEEASTGAVGDAQAKLLRQIETLQTQYSIA SENWQGMEASLLARTANLEKERDEALRRESDMRKKARESAARVKRQEEELEEAKTQLP NVQQDLSNHRAQLESLRKRAEEAEKALAEAKAEAEKQQLVTRQDSLDKVGVERRPWLD EVALQTSRPASPLLSAPQRAYSSDFLGLQNVPTKLRKTSAPSSNNGDVSPNERLVSAR RPSQQPRPSLFSMPSTQSGGTPPSILSHLEQITSPTHPLDKEDMFDGAETVASPQNVL HDMVSVSTIAAGPSVQLVERMSAAIRRLESEKVAAKEELTRITSQRDEARSEIVTLMK DVETGKAANTRVEALEKEVADINDRYQTTLEMLGEKSEMVEELRADVQDVKAMYRDLV ERTHK PFICI_00633 MDPDRSKFAIHAAAREGKVTVVESLLNADPKQARHKDDDGRLPI HWAASSNQPEIVQLLAQQKQFDPDVEDDSGWTPLMIAASVKDAEKLVAMLLGWGADVN QTNNSGQTALHFVSSKNNIDVAHMLFDAKPPASARVRDKRGQYPLHRAAAVGSVPMIN LLTKNKSPLNATDSAGYTALHHAIAEGHGAAAVALIKAGAETDKKDADGFLALDLAPD KEVRKYIEREAENEGIDL PFICI_00634 MPDLESLVLSNARKSKTLFSTAGLGAEGRKRQKLSTDADLEKEA ISVRLHAEYADLKELPAALAAKQANAMTARKKKTKAPIGEGAPAAEEQRTLKLIEGIA EKTTPSSSSSQATGASTALVQRTKQTFTSSTTHSGEQPQNQLSVARAQATHQIKPDWH PPWKLMRVISGHLGWVRALAVEPGNQWFASGAGDRTIKIWDLATGNLRLTLTGHISTV RGLAVSPRHPYLFSCGEDKMVKCWDLETNKVIRHYHGHLSGVYTLSLHPTLDVLVTGG RDGAVRVWDMRTRANVHVLSGHKQTVADVKTQPADPQIISASLDATVRMWDLAAGKTM GVLTHHKKGVRALALHPSEFTFASASTGSIKQWKCPEGAFMQNLDGHNAVINSLAANE DVLFSGGDNGSMCFWDWKSGHRYQSLDSIAQPGSLDAEAGIMSSTFDQTGLRLIVGEA DKTIKIWKPDPDATPETHPLEWKPTLGRQKY PFICI_00635 MPRGKSSAFPPGIARDDSDDELGYEDHPWEWIHQSPPASPSKSD VNTESARKRKRGDGQGRVVGARMGTFECYLGEAVLLKAEGSHEAWVGIITEFIEDDED GDKAANFLWFSSEKEIRSREKKRTDYLPNELYLTPSFDVNPLASINGKAFVMSQEKFL ERYPNGKIPRNSPAYNKTFICRRGCNMRTTTYTDEFTWEDMSHGNEEDLVPLIDFVES KTRTTRKKRKTDAAALEAAYVADGGEHDDNDEDIDDPGRQAITTPRKRQKTTKLVTPS SRKIVLKKALEFTPLGTRVLSPSHHQSSPFQVARAQLHVASVPTSLPCRESEFSLVYS HLEAAITDGSGACIYISGTPGTGKTATVREVVSRLDDAVRSDELDDFIFVEINGMKIT DPHQSYSLLWEALRGQRVSPSQALDLLEREFNHPSPRRVPCVVLMDELDQLVTKNQSV MYNFFNWPGLRHSRLIVLAVANTMDLPERTLSNKISSRLGLTRITFPGYNHEQLMKII QSRLEGVPGNIVESDAVQFASRKVAAVSGDARRALDICRRAVELAEAEVRGEGTAEEP STPSKRAKDKENSVKDQKKGTKGRVTIATVKRAIHEATSSPLQQYLRALPYASKLVLA ALSVRNQRTGLPDSTYGDVQEELRRALVLDSGSTRVKLLTGKSGLEQEVSRRKQDTTG MTRAMGISAAAVDLTGAGIIILEGQRPERPSKIRLAIGDEEIKLAFRDDPEIRALGIA F PFICI_00636 MDDLMSFDASPVHETVTPGRRDAEPATSVFDCAPLDSSQVNLRE SPNVTSSTSGALDPKDELAVANDAAFEAVLHRPRQPVYLDAPPLLEQWAKGPKGKERL RQQGIPTQRGRACLVLSHNGQVLDGVLLATYEELAEVAKDQEGYLFEIQEPLSGRPFL YIEAPSQSLAASCLDEARTIAQNIIDDKATKLDLVLIEPPSAPLQSLVVQLQLGRPQL VANQTSPLDPDNDGLRKTWFESKLRQELSWALKKAGRIKNRVTLRVHLGHFLLRSFPP QQSRIPGTETGLDFEAFHRAVRHPRTRHDFATKIGSLEQALRVLQAIKSQNELFCSED MAITLADVQPEYYFGGEVEEWQFSARLRPWSATATEMKRGKKPPKPFQVAAVEAVKIA RSNAGSQLDFKCLNLEQFFDWKIDTVPEAPIRTKGFDILNSELRAADIQVPESTGVRD GAFPRIHFRGKYEKAKALNKVAIKSVFRFRYRPAPYQVEVTITREWEKVVAMGDQPLN SFGVVIYGERWGESRALELTKTGQGWGPELEHLFVDRQNDIHAGLDRLSSGDERAQCL IDAIHNIRSALSV PFICI_00637 MSAQGGGETVNLDTLSAPQLSQVKKQLDEELEHLTTSFTQLAAA QSKFRECLRCVQGGKSPTLEDDKSILVPLTNSLYVRGKLASASHVIVDVGTGFYVEKD IKSATEFYNNKVNEVGSNIKELDTIIQSKTNNVRVIEEGICHYSVTNALAPITNWSRS SVLRQKMASAPQQQQQQQ PFICI_00638 MSDAGTLQVLTPGTGYGLVVGLGVAFTVIMIFLTSLQNRYGRHN TFKSTEEFNAASRSIKPGMIAAGIVSSWTHATTLLTSCMLAYLYGVSGGLWYGAVGTF QTMLFAVTAFRIKERSNNAHTFPEIVLAKHGKLAHKIYTVFGLITNVINGSALLTGGC GVFNALTGMNIWASYFILIVVVEAYIVTGGLRSTFICDYLHTVVLYVIIFIFMFSVYT ASPHIGSPEALYDLLVEQSGNGHAAGTENGSYFTVRSRDSLVFGATIFLAGFSGVWTD QAYWQRAIASAPHTAVKGYVLGSLAWYAVPFAMSTCMGLAAAALQGSDVFPVTLSDAD VSAGLVAPAAAIALLGKSGACLIIVLLFMAVTSSTSAESIGASSLITFDIYKAYINPA ASTRRLLWVSIFGLFAYGVVLAIVSCIFHAAGISLGWLVKIFGCLMGGGSFPIAAVVL WDRTSTFAAQASPILGLVSGLSAWMVTTKVRSGTISVATTGDSFNSLAGDCVSLGVGL VSVVLLTFLSPEKRPHAKDVGRVESMEPSSVQSAEGVVVPVVQEDKTEAVSQPDVEAN IVEKRFSTEPYVPVSALSKDEVKAQKRLALGGLAFNTIGLMILLPFTLYGTGYTFSLG FFKAYVVVAFLWIWTSAFICVLMPIWEARKDWTGTCKAIFRNAT PFICI_00639 MLGSTVDSDALRRTDSGMHTDTNQWHRGSTRSVPGFHAPATTGG DGSQSRPSTSGSSSALGNDGTWGERDVGGVNEHNAIQEYEALRNNLMQLHRTRTRDSQ ASKADSIARRKSRGKNTLEPTRSNISSAAGARVHTTDTDVEDAADSTDAASGGDTFEL ADFMRQGHFEKRTEAGSEKRVGVIYRNLMVEGTGSTISRVRTVPDAVLGTFGPDLYRL LCRWIPFIRFGHFETRTIIHDFTGCVRDGEMMLVLGRPGAGCSTFLKAVSNNTESFAA VGGSVSYGGIPKEKQKSMYRGEVNYNGEDDIHFAPLSVWQTLTFALKNKTRKHARDDI PILADALMRMFGISHTKHTQVGDEYTRGVSGGERKRVSIAETLASKSTVMTWDNSTRG LDASTALDYARSLRIMTDISNRTTLVTLYQAGEGIYQLMDKVLVIDQGREIFSGPAKD AKQYFIDLGFECPDRQTTADFLTAVTDPVERRFRPGFEDKAPRTPEELEKAFRSSEHY QRLLSDVDEYEKHLRHTDYDDARRFEGAVQEAKSKTVRKKSPYTVSFARQVWACTERE FWLLLGDTTTLWTKLFIIISNGLIVGSIFYGQPLSTEGTFTRGGALFFSILFLGWLQL SELMKAVSGRTVVARHRDYAFYRPSAVAVGRVIADFPVIAVQVCIFGLIMYFMTSLDV NAGKFWIYMLFIYVNTFSLTALYRLFASVSPEIDTAVRFSGIALNLLVIYTGYVIPKT QLLLNYIWFGWIYWINPVSYSFEGVLSNEFSGRNMPCAASELVPQGAGVQPGYQGCAV SGADVNAQSVAGDDYLSSSFGYTRAHLWRNFGVGVAFTVLYIILTVISTELFSFASSG GGAIIFKKAPNPMKGTDKAHFTQPDEEKKREQRQALEQLSKSESIFTWRDVEYTVPYL GGERKLLNKVNGYAKPGVMVALVGASGAGKTTLLNTLAQRQRVGVVSGEMFVDGRPLG PEFQRNTGFCLQGDLHDGTQTVREAIDFSALLRQDASIPRPEKLAYVDTIMDLLELND LKDAIIMSLGVEQRKRLTIGVELAAKPSLLLFLDEPTSGLDSQSAYSIVRFLKKLSQA GQAIVCTIHQPSSVLIQQFDMVLALNPGGNTFYFGPIGENGKDVIEYFAHRGAVCPPR KNVAEFILETAARPHRKPDGTKIDWNEEWRNSEQAQAVIEEIDGLKRTRSSASHPRQT TKEREYAAPTWIQITELTKRMYRQYWRDPSYIYGQLFTSVIMGIFNGFTFWQLGNTVQ DMQNRMFTTFLIITIPATVVNGVVPKFYGNMALWQAREYPSRIYGWVAFCTANIVAEV PGAIVSGFLYWVLWYWPTGLPTQSSVSGYAFLMTMLFFLFQNSWGQWICAFAPSFTVI SNVLPFFFVVFGLFNGVVRQYSALPVFWRYWMYWINPSTYWIGGMLAATLDGIPVQCA DSEAARFDAPPGQTCQSYAGAFANSAGGYLLNPDASTDCMYCPYTVGNQYLSTLNIEA SQKWRDFGIFLAFCISNWALVYFFIYTVRIRGWGFGLGYIFRGLGKLVDLIKQPFKSR GK PFICI_00640 MSTPHPQQPRRRGSSTQRSTPPLSKSSESHARFNKSTTQSTEQL PRCLHDAIGLHGRTIAKEINAEIQTLVNLMQHTGISQGVSQVEGPVDIASQEPSSSDT QELVKLYSTAQDRIKHLETMLETEKARSRELTKEKDDMLASHHRDYHELRVNNKISML NEQKLLQQFEEEQERCRRLFDHIQELKGGIRVMCRIRPSLPHEAKMEVADFGPPERGS LTNYWAKLLLPIQRTRVTGEATTETKEYNFERIFGPGDGNDAVFGEINDLVQCALQGG KATIFCYGQSGSGKTFTMSHQEDLDNVDSQDGIMPQALAMLFRSAEEQADKCKYEFYF SAVEIYKETMFDLESVDDEGRIYKVPGNDPGLATKFLVTKLKGVLGDHGVLKQIRDKR VVSSTKLNEQSSRSHLILSCYVKRTLLRGRHVGTISEGVLNLVDLAGSERVGQAGTEG SQLQEGIAINQSLLSLTMAIASLGEGKQPTPSTILTRFLNPCFTSDCKVLMLVNVSPL KRDMPVTLQTLQRASEASKARLVEVKRTQKQRAAAAAAAATASAKTPSASTVTRSAPN KASKDSASSASTTSKARTATHTPAKDASSTHALRRSPAKSTLRSQGSSSSQSSRSAPS RK PFICI_00641 MDGDDRLTIAIIGAGMAGLGAALALARIGHTVTVFEADSGLNET GGGLQLSPNCTRILDDYGLTEELSPNAMHLDAIKFRRYSSGEIIGQTNLRPHMMERYG FPYWLVRRADLQQALFHGAKNAGVHVCFGQTISAIEDEDEDDKRARPRVRFGKADHEI FEADIVIGADGVRSRCRKSMFPDSVLDPVPTNSCAYRAVLSAEASVDSNAWLGPYRQL LGYPIRGGQDFNMVGVHLGRVAEPNKSSEMVEDLDGMRSEFNDFDPVVRQLLGMVESA QRWQLQNLPPLDKWTTQSARCLLIGDAAHAMVPFLAQGASSAIEDGASLAVHLAACVS RDDIPGAIRAFEQHRQKRIAGIREGALYNEKILHLPDGQEQAMRDKTMQVLPGVACSN LWSHEGFSQWLFNYKV PFICI_00642 MGNDSMAGPSSDSGSIYDDAPPPYEEVINTKFLAGTAHLTDTGR VDVDPESRVFRTLSRFVPDWKGPGEEQVKDSCPKYDGPGWKYEAGRRSVPTVRLNIVI QVVGSRGDVQPFIALGNQLQRDGHRVRLATHNTFEQFVIDSGLEFYPIGGDPAELMAY MVKNPGLIPSLGSLRAGDIQKKRAMVAEMLDGCWWSCIEPDPKSGVPFVANAIIANPP SFAHIHCAQALGIPLHLMFTMPWTSTRMFSHPLANMKKTSKDQGTANYVSFAVVEWMT WQGLGDIINEWRKARDLEPVPMTEGPNLAETLQIPFTYCWSPSLIPKPLDWPAHIDVC GFFFRDAPRYNPPRELDHFLRQGPPPIYIGFGSIVIDKPEEMTQLLLEAVGAAGVRAL ISRGWSKLGGGSESTDQVMYLDDCPHEWLFQNVSAVVHHGGAGTTACGLRYGRPTLVV PFFGDQPFWGEMIEAAGAGPKPIPYAALTSSNLAEAIRSCLQPEVQTAAQSISASMSS EEGVGTAVKSFYANLPVSAMPCDLLQDHPAVWEYRRKGKTLKLSGVAAEILINHLKVD SNKLEPYEPKGFVIENRRWDPITGTTSAVISTFSGMAQSTADIFVKPAQIRRVKSSTH IRAPAAQSSSGTVDPKYAGSLHSSARGPGDFPGEAASPMDSRHARDQHHGNNKPNVAA SMALASASGVGGFFKHYAKGFLIDMPVAFAEGSRAVPRLYGEDVTDYGTVKDWKSGFS KSGKNLTLGLGEGLADLVVQPYKGAKEKGIVGGIAGVGKGLLGFSFKTSSGTCNMLPP ALHSFLTRHDSN PFICI_00643 MTYGSLSSILGQPDISWLPPGPNDLRGPCPMLNTLANHGFLQHD GTNITLDNMIAALSMALNFDPKLSSLMFDQAIVANPDPNATFFTLDQLNRHNILEHDA SLSRSDAYFGNNHIFNQTIFDSTKVYWTAEVLTPQMLANSKIFRQIQSRAFNPDYSFS PMNEEFSLGEVAAPILVFGNMRTGEVNRSLVEYFYERERLPTALGWVKNADVIGLPNV LATTQLIRNAMDLLTGFNGAVEHRDLHAGPFAGQD PFICI_00644 MVRSSVQTHLLVAAILSFLLLWLRAILGDSAGFFSTPGPDSAPT IATNNPTAAAAAQDDQGQDQKQNQDLGHESSSSSSSSSSSSNSMSPAAVSVADIASSL LAQRDLELISCRTIQSLWAGYGHICAVQAAPGRRGAGTGEKSTSLILKYISPPTSADI TDEGHIRKVLSYQVEQYFYTHLAPLLPESVAVAECIASINDGQTTAIVLKDLKSSSST PAIKPLTAFPVALEKRGHLNSEQVFAALDWLAGFHGYSWNTAVQIRQRNHALQPPLEE AAKQQRRSVSNIGRASSSPEERAQFDVKGVWLNGGYTYLATRRREYEALARDDDSEWS AVLCSPSSGGGPSLAERVATYLAPTMNSSNPGPAFEYETLIHGDVKSENMFASADGRS VAFVDFQYVGFGLGVCDLAKLFTCSVPLSMLVGGHDADDEQLEGQELEMQPGESRLLS YYLQALKAVSGRDYPWDLFVKHWEVALVDWLRFQASWGFWGNTAWLEARVRYIINHTD I PFICI_00645 MAKVADQVFGTTVDSPEKTTTMGSGIQEGDLTSGQGNEADVEAA MTKEEPRSDSGPLVVGWDGPDDPQNPMNWPDRKKWTNISILSILTLVTPLGSSMFAPG IPSIMVEFRSTSSITATFLLSIYVLGFAFGPLLAAPLSEIYGRRLLYVAGNVLFAIFT MGVALSNGLGMLLAFRFLMGLAGSVPLTIGSGSIADMMPVEMRGRALSAWAMGPLLGP CIGPVAGGYLIRAAGWRWVYWLLLILSGIFVPISYSFLDETFPPILLKRKARQLRKQL DNGESGGVSPGRASASDQIKMAIIRPVKLLLLVPIVTLNSLYVAVAYGILYLLFTTFS FVYPERYGFDEGTSGLAFLPAGLGMMFGVVGFGPLTDAMVKRNNAAGIVHKPEYRLAP VLTIPSGLALPVGLFIYGWTTEKGVHWIVPMLGVVVFSAGLMGIMMPVQNYLLDAYPR QAASVTAALTVMRSLLGALLPLGGLQMYNAMGLGWGNSLLAFIALGLVPIPVMFFVFG ERIRSRSKLGL PFICI_00646 MAKSPALIAIYVALLAVPLGYLYNTYYPVVQRTITVFGIYRSPS AVSVASAEDLVLIDGTIHCEDIHYYAPSHELYMACEDSPVTRFSWFPPLAEFNASVLS QSQGTLVVIDPDTKKARKLKLDNFYGPFVTHGIDVIADPKAGAKKAVYIFAVNHLPNP DFWDESFVPRTTTTASSSSQPAKTRSQIEIFRHEIGSATARHIRSVRDPLIRTPNDIL ALSPQRFLVTNDHHYREGFMREVEDINPFAAWTETVQVDLSDLLAASADQGIKTSVVV EGIHNNNGLGRGRTDTELLIASAAGGSLHFVEPSPAQADHSFQIVDKLLLDSTIDNPS YFKDPYASATFDGSGYVLAGLSRAIDLAKTHADPQAKEPVIVWYVKKVDDAWEKRIIF EDDGSTLRSASAAVLIAIDPAKEGGKRRAWLYVTGFISSNVVAVKIDL PFICI_00647 MSNHLRTHRRPRHANMRRKGRTMPFREKFARRFGDSLSPHPSPN QPYLSLTYEDVKSLRNDWLTDNNIAFWEEFLEREVLTKFPQANIVLLRPSMAFLLMKD RDTNAVRKAIPSFDGVTHIFLPINDNRNVAMAEGGSHWSLLLVSVIDGVAFHYDSLEG ANNEEALMCLRRLEHVLHKKLRFHHLSECPQQDNGSDCGVFVCIIMRHLLVKRLLAVN AGEKISMSMAHKAIDAVGARKEMINIVNSLRKEGERRRSSSHSNTPPRVD PFICI_00648 MAPTIPTKRRSLRAKIAAKSDGSVYAPRKVPRHDAVDVSDSFLN SKKDKRLVKHSSFVSRIEKSNQKSLKRRRPGKKLVTDLDSLKKALPDLLAEGETEESL QQLKEGKIRLKSLKSRKGALKKKEKVVKGEVERFRGSLARLNAVGATPQEQPSDSIQV VETTGADLQQQQAQNATASRWAALRGFISATMEQNPAFIEKRPDAK PFICI_00649 MDVAAEAVALRQQIHGAEKELAALRARLVEIEALQTVSKTTGNP EIDNTPATWKWPLREEEYARYGRQLILPSVGIQGQLRLKSAAVLIIGAGGLGCPAAAY LAGAGVGTLGLVDGDTVEESNLHRQIAHSSAKVGMKKVDSLADFCRELNPEVTYTRHG IHLEPQNAEEIVSKYDIVLDCTDHPTSRYLVSDICVLLQKPLISASALRTDGQLIVLN NPAAVQGQPGGGPCYRCVFPKPPPAESVVSCGEGGILGPVVGIMGVLQALEAIKIIAA GVGMSQQEPNTPSLLLFSASGPTTFRSMKMRGRRPNCFACSPLSELSLDTLKSGSLDY VAFCGTSAPVRILAPEERISATAYNAITKENPRPAHVLLDVREREHFDIASIHGAINI PFSTFQKKTQSTEQSRPDWLPESLPPDAPIYVVCRVGNDSQLVTQRLKDVGLDGHGVR FIGDISGGMRAWKQEVDTSLPFT PFICI_00650 MLVSTTQFARFGVLGSRGLQLQSTLTKGLTATSLFSRQFAVKAP RSICTAPVSQTLRSRPAASQCQFAARRHASSSATRASAPAASASSTPGLDWNTFFTLR KTRRRYQLACSVVTMMIGGSIAGLVLINVDVDFLGKLPLDPFITLGLITMSSAALGWL AGPSLGSTLFYMVKRGVKTPMALKEVEFFARVKKNRVDPSVSSVGNPVPDYYGEKISS VAGYRQWLKDQRAFNKKRTSFL PFICI_00651 MNDVSSRVQTAALRLYPIEVVLPSRPPSRHVMTRGLGPALAPLH ITPEDDSTARISSKETWSSLTQTGTTKVQLYYIVHWTDLPGASVLVQADKILDYVSPR TVEDFEYKLSLERDAAAERLREELELAKQIAREEEILRHEAAAAGTTPSNPNKTSAKR PGRPSKADILRREAEKAQAGLEVLLPSVGSAASGPSLSTPQKSQKVATDTLDVEDGVE EYDAAEHHHHKVYAANPVAAWNSRSVHSKSSPATRSPRKARSVAIGGFTPAARSSGRW PSKSPRVAEASSPSVLAHSIAKAPRKKGKGKRKGKEAGESRPLPEEPEYVIECIEDQR MFKVKGQEKPHYLVRWEGNWPPDQNPTWEPAENLPAKMVKKFLRKKAQMDIGRYSSVA DAFEGDLEEAAGDMDYYSSDENQDADMEEMLLVEEG PFICI_00652 MSPAEQKTGIGHCAESRRLITHGDCLLVQEDAGASTRAYIESSG KYLASLVGQALNNRSVQERKDYISNHRVMTQFELHIVRGAVAELRRSILAQYKVSGPT AASCSAINSLKEDLERCKALGTMEANLMAKLQWVDSVECRVYNSDCQHSSSSSCHDNG GNGPTTTTTLSQRQNIPVSRRDLDRDGGLNNPSVLLPLSLQSVNRRDGNQPKLRDPSM GAANNTDVNNVSSVVDSLYETGFRVDQCDDEAILAQWQQAFVENPTQSRFPEFLQSVP RAVPSRQLTHHVNKVFHGSATVFESTPISRASTQDAIRGIPTLGSLSGVPELRENAAI KEGVLVDVSESPAELYTPECTPKHTGCSTDDKDPLLIDLTDSQEVLRPSDELAIRQTD SLDVLFDLVTLIPRNGELDNSGCANGTSRNLIDLDGNSTFVSGSQTISQRTSGNADNE KDTPNSCYLTPISKFAWHPQTHCQDTSEDENFSHSGQLLSVTNIQETPQHGFALAHHA LQEKSNLVASPLRPQHLTVGNVLGENLGVGGQSKTNGLQYVTAAARARNNSIKSGSES SPLGCQAVILSGLPCQAKLQDVMPRVRGGKIVQATMADTSALQVGRSAYVVFANSTDA SAYVDFAKENPGYVTVLGQQVYVHLAGTLTYPRHGMRQPWGDETRHVSLGGRVSRDLC SRLFRQIESMFRHAEDALEDVWYDATGSCLMLFKNIDYAIRFHSFVRNYPSYKHVAEE IYFVDDPCSGPLADLASPACFARGANQSLLHDWLKYKYGIVELSEQGTDQLDVTPHEP AQQEDTLSPHRPQGILIDLSDEDTLPAAVQVSAPSSNLETLSSNLGSDAADYQAPHCS VMGSEPLDREPLPSKTQDATLRESKPAVCRIFTMEEFLKEYAYEEHLRDPVQWRKDFL YLGHMKKHTPE PFICI_00653 MDGAEVAKHNTSKSCYIVLRGNVYDVTSYLEEHPGGAAILLTNA GQDATAEFSKIHSPDVLEFLPEGSNLGPVDTATLAALTPAPRVSAAIDALVQEGEVPH LATCVDTHDFERAARLTMPAPTWSYVSSFANGGHSMQGNLDSWNTITFRPRVLRDITK VSTETSILGQASSLPFYISPMGLLGRSHEHAELALVRGLVRSGAHAVISTVSTRPMED IATTLRDCVVQEAGGPLPQLHFQLYTRPEQEPTLNYIRRAKAAGYKSLWVTVDTPLLG KRTIDRRHMAQAALAIGSEQQASNLSLGIQSFTTQAQNGALKWEDLKWIKEAWGGPLV LKGIQTAEDAKLAVDCGCEGILLSNHGGRQLHSAPSALSTLVEIRLHCPEVFDKLQVF VDGGLRDGADVLKALCLGAKAVGVGRPFSYALSAYGTAGVERCVDILAEELRTAMALV GITSLDQVHPDLVNASRLLNEAWRPWGNQTIKSRL PFICI_00654 MATKMKLWYGVCMTVAVTTSVVSSANTQPQATTDSRCNCYLTNT SSHDVFSSHKFFDFRSMSKYVNVPKPLEDPSLDSLANVTSSYFASQEWKKYWEIQSWN NSASLNDNGTAGNDATVLMVNSLNNVYFEANKDPRASSQSYLTLRTARHDGYQSAAEF DSVSGDYHYLSMRMLARTRGAAGAVTAMFTYRGGGGGGEGAASAVQEADLEIRTGDPS NVIQYTNQPALHNSTGSTRNITLPSHLSWSDWQHHRIDWTPGSSTWFVNGQLVSRITS QAPRDPSRILFNAWSDGGSWSGNMARGSEAYLQIQWIDMVFNNTDTSLGANPAAVRGH CANVCSIDQTRTIGSPVLIASDNGGGQYKA PFICI_00655 MPALPTPPAGSHVKTEPVVATPLVSAPSRGASPSRTSAQPMLYP KPEPSIDSASMPDKKPDKDDLDDSSQTNYLQQIVALNNPETLEAGVKVGVQVLDGLLS TLTSDNDDIKAWVKSIKDLRERAKPAKTIVGVVGNTGAGKSSVINALLDEERLLPTNC MRACTASPTEISYNYSVNPDELYRAEIEFISKQDWIRELGVLFKDLLDGNGEVSRDCN NSDSDAGVAYAKLTAVYPNKTKEMLATGTPERFAADGAVSRVLGTTKKLTETTSKDLF RRMQHYVDSKEKERGDRNRKDQPMEYWPLIKVVRIYTKATALSTGAVIVDLPGVQDSN AARAAVAANYMKACTGLWIVAPINRAVDDKTAKTLLGDSFKRQLKFDGTYSAVTFICS KTDDISVLEASESLGIDEEISESYERSEDLKRTKERLKKKVVDLRAKKRGYNETIEDI ETKWDIWDDLRTKASEGETVYAPAEKSNNKKRKRQNTSSKSRKNHASSDTDDSEDSVS DWSDKENSQPTEEQTPLTEQEIEQTLAALKSQKKQIREDKRELDVEIKQITQQMEECQ QERDTLLGEMRAICVQGRNEYSRGAIKQDFASGIKELDQENAVEEDEATFDPDQDLRD YDEVARTLPVFCVSSRAYQSLSGRFQKDDIKASELGFPEAKDTEIPQLQQHAKKLTEA GRASTCRRFLNDFSQLTNSLKLWASTDGTQSHLTDAEKRREDVFLRKLLQSLEDAFEK TVKESMASVHQSLNEHIIETFNTAIPKAVRDAINTASGWGAHRSMGGLFWSTYKATVR RQGVYSGASGPRDFNQELFDPISKDLATGWERAFQRRVPLILDQFASSAKAQLSKFHQ SAVERAQQRHTNVAGVMMLSQQILNHIRTLGELPATLKATITDLQREASRQFVPVIME AMAYAYHVCSEERGTGSYARMKTAMIGHVELNKNTMFVQACNTVKTHLDAMCRTVQRE MNEHVENIFDMVYRDYNTVLIGTTVGRPAKITPEERAIRSQVNSSLKKADTLFCAPLG IHVDAVMDDADNHAHGDSLNDAMEDMEQAMVHENRSRQETPLAPVSDDAEDSDDPFAS PVRFKTELGEAAGIEVSEEIEHHVEAPVKSETSSDDERDAASQLQFEALQAGRVSETP AARVQSTDGSATPRKLAKPKKKKTSNDFQDIFDILGDD PFICI_00656 MYLTFLGLLASATTAHARGQWGDDYDGGGPGWGYYYGGSGNDDD GFGSGFGSGIGIDYAKATRYRTAHGALAALAFVGLFPIGAIIMRLVPGRLTWLVHGIF QLIAYAVFISAAALGIYLVRMVRIPPDGRSLLSIPTANAHPIIGIIILVVLFFQPALG WFHHLRFKRLGCRTACSHAHIWVGRIAVTLGIINGGLGLQLARASRPAVIAYSVIAAI VWLTWVAAAVIGERRRRSNAVPTAAAAGAGGRRLGGRDPRSPYSSEPINGGPIYTRRD GRGVELGAMKRGRGSSSNSSVSSYSPRR PFICI_00657 MDEDVAQFMAITGTDNERVARGYLEISGNDQMQAIQLFFESPEL AANFTSDTHTTSAHPSSTRDPRRLTGREDSRGVITIDSDDDDDIAMAEGGDDDDDDND DVAAVARAAQEEDDAAMARRLQEEIYGQGGAAADEVRAPMSRTTETLVAPNPAWSLED DREAAVLEQLRRRRQPPSQPANPFSQSVWDEPIAPGEGVPPVPMSSRPAGGRSQRLAD MFRPPYDIISHLSWEDAREEGKEEKKWIIVNVQDSSDFNCQTLNRDHWKDANIRSLLQ EHFIFMQFDKDNPRGQEYLGFYFSQHENASNYPYVAIIDPRTGEQVKLWSGLPFPDKG EFYSDLIEFLDRYSLAANSKNPVPKTKPKAKKVDVDRMTEEEMLEMALQNSMENGGGP SKTSEEDPDALTKSVPELDKGKETAVTQEPASPFAAIPSDRPHVEPENNPASTTRIQF RHPAGRVIRRFSTAEPVQRIYEWLKAEPLEGKDGLVFELKAQPGGDLIERLEATIEQA GLKNGTVMIEFIEDE PFICI_00658 MASSSAEAAGQKAVSTIRYKIATASADDDKLSDVLQNQLVPLLE KAASPHKSVRDAAFQAYISVTKLIQPPSVVLPVAALLEQYKRTASPVVKQLDLGLVKK GLLRLDQQKRRELLPIVARGISKETNRASASGFFIILLRLLLEIKVPPRGTRDDSSFR DAIGFSDPSDAKYIAEWLARFLLLRQDLALASAANIESTMASSPPTGLSLDDIEFLRS SDLKTWKPGEPGSLGLPECKLKAVSLLASGAFTDEERFLPTIFAAGSADSRINSIADD VLKRSSVSLEDRSVIVSLFQAHLRLPAAHRIQILRVLSKSVTACTMHAEVVEAVRADF ALTAGEGAAITGLEALRLHKALLTFLAWIARNGSNQSTKDHEMGPSLVLLLKDYILKQ GWPAPLPAANQSQYQDEQRLRANAYETIGTLARGSHFEHKAKDSLLKWLFDSLVSDPS ADIVVYIESALSSMMSLFEPTDSGEHRDLEILLLEYMTYPDGQGLRTAKHVAARFANN CLPYSNIKARWIDIIALGGSGPERRDVSEEGQKGLDPWWATKLHPDKDLVLPDWAQLA DMFFDTAPNQMPDDMDVDQTSTYTLFTNERLKSFPIAIKYVTQMIRLNALNESKIEID WESQLATRLLNDIPTRALMRQYLSGVDQGHLLKVVNAALDGLRDHPDVGAEVCMQSVA DLLSFAPSETIIAKLSSRTSELLTWAQSHNQKIRHLASTVFGILAPWDSQGSHLISKL RTFLDSCPKPSAMQSAEYQGSLAFLCSYFSRAAMYGKIEYFDDSQHMEYLLCILLPIL KGSDQTAKDNALPAFSQLWTANMGMTDSDVFEEYVSAMETLAKAHNEKAISALGRLAM PSATTTEAVDKVLDVLFSLHEIKRTEVHFATGEAIAAVVARWDSESVQLGLDVDPAGA GVAGLQSSMGRRTGRIISTVEKLITDCKTTKPSLLKASGIWLFCLIQYCSHVPEVQSR LRDCQVAFMRLLNGRDELVQETASRGLSLVYEKGDPSLRGDLVKDLIAAFTGAKTQLK VDDDTELFDAGALPTGDGKSVTSYKDIISLANEVGDQSLIYKFMALATNAATWTARSA FGRFGLSNILSEAELDPKIYPKLYRYRFDPNSNVRRSMDDIWKAVVRDPTSTIDVYFD AIMTDLLKSILDGREWRVREASCAAIAELIYGQPFLKYEKYYTDIWKVALKVLDDQKS TVRAAALKLCMGLSKTLVTQLTENNSSASAKAMIAQVLPFLLSEKGIENSVQEVKYMA ITTVLDVVKNGGNTLKPFIPTIITHSLGLLGTIEPEAINYYYQRVGEQDREELDKMRS NAATRSPMFECISNCLRFTDEEVMKELAPQLAQAIKSAIGMQTKVGCSEVIATLALRH SIFLPPYNANFLKIMESQILDRNHEVSKAYARSAAYLVRTASAATRERFASRLAELYF AAEDDTRRQKIADSILAIAKISPDAFTDLESRLLPFAYLGKHDTDEYVSEEFEAVWSQ HAGSDHTVRRFIDEIVGVVSTALSTSKWALQHGGALTLASMIKALSHVIGKDGQLDEG GLQRIWPVLDKALALKTFKGKETLVAAYPIFIRHSKKLWGSDAAFAAQTKKIALREAK RNNDEYRPHAFEALAEFIAARQDEDMYAEAVAVVSEYLKPDSQADHSLTEIERNTTAA ALKVAMMSYSPSKLQTNPGAVLEEVISVLEGAKKAPAIARDTFFTCSAELLKAASSSG GAPSTGTNQIGHRWLEMLTVESDVALVESQRAGRAKALRSFGEALRKGIFGEPMESLT EEAYSMITALLEADRSLDVQKLLQQAAATLNR PFICI_00659 MDTFTPFNGQPASNGSGSQGEGGSQGGMPPMAPNGQGAGDNGNG FQGGDASRQTLWMGELAGWMDEQFIRSIFLSSMNENVQVKIIRDRHSGNAGYCFVEFG TPEAAQKALQLNGSPVPNTDRVFRLNWASGGGLVDRRDDRSPEFSIFVGDLGHEVNEF VLVALFQARFPSCKSAKIMTDQQTGQSRGYGFVRFSNEQDQQRALVEMQGVYCGNRPM RVSPATPKNRSHQGGQFQNFGGHQMMAPAAAVQQPGMANPWVAQQQQGFGGYQQGGQG YNPMVMNQFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFV HRHAAEMAINQMQGYPIGNSRVRLSWGRSQNNSGVGTPYRPAPPPPHYFPPGPPGPPG PPGPGFGGPGFGGNGGHQGPPPGGLGPQVSLAALQPFQH PFICI_00660 MADRDYEANEALDPELLYTKEYCIGGGSFGKVYKGVDKKSGQAV AIKVIDIENAEDEVEDIVQEIAILSELQSPYVTKYYGSYAKGAELWIVMEFCSGGSCA DLMKPGHIGEDYIAIIVRELLLGLEYLHCDKKLHRDVKAANVLLGSNGQVKLADFGVS GQLSATMTKKNTFVGTPFWMAPEVIKQSGYDHKADIWSLGITALELANGEPPYADIHP MKVLFLIPKNPPPRLDGNFTKAFKDFIELCLQRDPKERPSAKDLLKHPFIRKAKKTSY LTELIERHSRWKATHKSEDEEELDGADDIREREPVNEDMWDFGTVRLVSERGGKVTRP GLFPMDESTRNSRALRPLEEDYGERTRSLSPTKNQESSSSSVPATTLKPPNNTSSTGT ARQPSPQRKPVSGLAPLSSPTKVPLPASPTKLSQDSSTPRPISKQVPPPPTMASPDFD RALKEQLQHDMSQLNITPVAQPQFNHPAPALSPRGSQAQLHQHQRVASKPGPLNLADI PPFRGAPPQMLPQKVTNQQGMFQQAGFPSKAQPRQAPLFTPDSFPSPAATNPNAELDA LNDVIFPALEEALKRRQIRLQQVYRNEKVVTPQRQRAEAAQDRIRKHVYKLANVCKEI DRLDKSEPVGMGREVGTFLEGLLEEILVRVEPLDEDDSAEPRA PFICI_00661 MQEQETRERKPSVASGHSAASASAVSAKSQSASSHSSGSNRKPT GFTIPDPASVEEHGRTYNGYREGKYLLPNDALEQERLDLAHEMWRLLLFGDLSWVPFA DEPKQVLDIGTGTGIWAIEFAQQHPASHVVGTDLSLIQPPEGSIPSNCTFERDDIEEE WVFDRTFDYIHLRAMLTCFNDHVGVLQKIYNNLQPDGWVEYHDYDPGVFGKDEANQQA LMGSPLWDWCQRVFNGAKRFGRDMFIARRYKQLLIDTGFVDVTEKILLAPNKPWPENP RERRIGQYMQANSLEMIDGVSAKIFQADGMSLEEVENLISKCRESFQSKELHLYSRIY VVYGRKPREDELPPRHRDEQSEVPQVPADKVLATQTIDGGESDEASSMKAPGEAVKNQ ADAPVMAHAAVPEVTVEVGGTPAVSISEGAPEPEARGCVAGATLPLSKRGITVNPVSQ DNIEEAEHAK PFICI_00662 MTMIRLRSLITVLVSLFSSAAPVCRAANFTLVTEDFGPNPRNVG FYIYVPDQLAQSPPILVNPHWCHGAANDTYVYSQYANLADQYGYIVIYPDSPNLEDKC WDVSSNETLTHDGGGDSLGIVSMVRWTLEKYNGDASRVFVTGISSGAMMTNVLLGAYP DVFAAGSAWAGVPFGCFAGDGYDVWSDACATGQVTHTAAEWNAIVNAAYPGYDGWRPK FQLFHGTADQVLNYTNFGEAVKEWTAVFGLSDVPTSTILNWPKANWTKYTYGNDWFEA TSALDVTHDISTNETVVLDWFDLTCTTGGCFQWGTGGPFSNGTSYRKWTV PFICI_00663 MAPHNIVDEGPTDLSQQADGISALPPPPPPMSARPPRILIIGAG SRGRTYARAAISSSNGVVVAVAEPNEYKRQQFGQNFIHGTDKAPQGSLFADWRDFVSW ETERRARESSGETVPEGVDAAFVCVLDEMHHDVVVGLAPLGLHIMCEKPLATTLDDCV GMYRALAPQQKDKVFSIGHVLRYSPHNIMLRKLLLEDKAIGDILSVVHTEPVGWWHFS HSYVRGNWRKESTTAPSLLTKSCHDIDIILWLLCSPAPGSDAPPHLPSTVSSSGSLQF FKKSRKPKAAASATNCLSCPIEKDCMYSSKRIYVGPKHQGLETGNTHWPVSIVLPDIE SFDESERHQVLMSKLAEDYTDSTPQSEIDKQNWFGRCVFESDNDVCDEQVVTMTWDDE PLGSSATDAGSNPPGGRGSKQATFHMIAQTKKQCDRYSYIYGTEGEIYADSKTITIED FRTGETRTFKPRLESLGHGGGDVGLTRQFILAVDHVKNHGWTAEDAQAKFIGCTLEEV IRSHALVFAAEEARTGKKVLEWKEWWHRKVGHISTA PFICI_00664 MAGENVKTCRQTTASVTSTAGGKDVAIEETMVTALYDERNMSLV EDFLFWKKAIAFSFFISLAVIMEGYDTSLMNNFFPFPAFKNKFGDEVDPDGGRLVSAR WQTIILNGTQVGCIIGLAINGYLSEWLGYKKTMVATMMAMVAAIFIPFFSTSLDMYLI GGIIQGLPWGVFQTLAVSYAADLCPTHLRAYMTSWVNICWVVGGLLSTGILRGLLNID SEWGYRIPFALQWLWPIPVTIATLMCPESPWWLVRKGRIDEAKAAIRMLTSPRPGSMD FDVDSHVEMMIVTDKFERQAQAGTNYWHCFQKSDLRRTEIAAMVCITQAFCGVPFMGY GVQFMVRAGLDTNSAFNLNVVQSCVGLIGCILAWWLMTYFGRRFLYLSGLSSMFVILM AIGFLGLAPDSNAGTSWAVGALIIFMLFLFQLSLGPICYAVFAEIASTRLRIKTVVIA RASYNSAVFVNNAIMPRIVGRNDWDWGAKGGFFWAGIDLLFLFWTWFRLPESRGLTYA ELDLLFEHEVPARHFSQERADMLKPALDEIAAKEKRHSRIQTVEITI PFICI_00665 MRKPSACSACRARRRRCTWPANTTATACEYCTSRRLRCVQEPPE GGYYHKRQTRLQEPGLGGGGGGELPLSPNQNTSLLFTGPQVELPPMPLRLELATLYFD YIHDQFHSLFHRPSFMDDVANDRVAPVVLLAIFALSARFSSNPLLANIEPRNRGEAFR LASESLLKVRDISTQTCQVCILLGGYAAGHGDTDVENLYYTLAGRMALTLDFPNKPAS NSLEREINIRTWWTICMVDVWSSTAVKLPKIMPNLHSVPLPMDELPFLALPGSFAIEM ANSPPTFGSPLLSQMIKLNRVLAQVNDFNRRCVEERLEGSALEVGIQCLSLELERWLV GLPYNMRDTPENFAWFASRGLGRVYAAVYLGYYHYGQLLYYQFLGADSNRSMSSSHIY ADRCKDHAAKLCEMVYQTFDAPGSEVLYAAVAHVIVIASTVQIHTLLFSGDEMQIRLS RTRLERNFEILLQLRPYWSSVDSAMSRLRAFHQTCLRRSKASFVLDKWLVRFLVEFAP YMESEPRDTDPDYEALWSLSKEQQHRAATSAMAP PFICI_00666 MGSGKNNWNAFGCHVSEDLLLTTAERVVGLGLRDLGYQYVVLDD CWQDVNGRDQNGRLQPEPSKFPRGLNFLSDYLHSLGLKYGMYSSAGEMTCARFEGSLD HEAEDAQSFASWGIDLLKYDSCYHMGRIGTPQISFNRFKAMSDALVDTGRPILLNLCN WGEDYVHTWGMSIANSWRVTGDIYDSFTRPDDLCGCDTSISDPFCIAPGTHCSVLFIL NKVAAFADRSIPGGWSDLDMLEVGQGGMTDEEYKAHFALWAAMKSPLFLGNDLRDMTA SAFSIINNPAILALNQDPHGRSITRVRREVDGVAKDEWGIGETHVWSGYLQNGDEVVI LLNAGAADMEMKATLAEIFVSFGPGGSAPHVKSDWSVHDLWAHRMPHKTAAAIVDAIS DDERAAIYKNANWYNSTETPYAEGLRNGDPRLFGEKIGVIEAGGTLKAEVKSHAARVF RLRRIQKPGELFKEKRHDRMAKDEL PFICI_00667 MGGQAFTKGPDGLYTPRMPRDIYEHVRDHCHALLRELFVMVATP IEGPGKSDFGDIDIFVAVKKEDYFGASAASNLPGAAEKLPFGTIAALFGAERKFQERD NVAMFAIPWPNHLPEGVKDTVDTTSDKLRFIQVDIHICPTIQNLEWFLFKHAHGDLWN LLGSTIRPFGLTVDEVGLYLRIPEVEKLDKKKAKVLLTTDPSETLRFLGLRLDKGQWE QAFSSKELVFEYAATCRFFWVKPKLADADHDDNDDDEGEGALDISGDYRKDKLKSNDR KRMNQRPLFRQWIEEFLPKCRAEGRFMTRPTTRQEVTEEASDEFGVRETYVTQLGDFL KERQRLTLWKEVIKPAIPNDLEPNFRSCAASAMKKIVMEDNEAFGVQPTTALKDDNGL YLEDKVRQFVEANWQEVGRVAVEQNHIRYLESLAAKAKKRTSTGDEKENMGPTQ PFICI_00668 MFNFLASRPRAVTKGPLDPDEGPLSSPRSPPPAPAARFIASQQA SSRTSSRSPARSPAPSANIPVASRDFSHLLRPEIFHQLTPITVPAPFRNPSKQPAPET PIPELVANGHFRAAAIASVQALTSSPVSATQAAAHPPADPHDYARIFSLLYTRLACLC LIDAVPLAAQEAKALQDLNQGFYLDPVSGTHLMPWELRVLATRLQTLGFGDPRRAVVS YYDLAREARFEVLRAGKSHDQTAKETWKQRLAELGIRVAGALVEMDDLAGAASHLASL KEPSSLGDGGRLALAKALLWLHLGDVDAARRCVRDGKADQLGEKVISALADMADGRYE AALEKWTALKVELDENGVGDEMVAVNLAVCLLYLGKMEEGRGVLEKQVKEGQTSHTLL FNLSTMYELCSDRAKQLKMSLAEQVADKEATEHGWEKTNADFKL PFICI_00669 MATFLENAYSLVHQDNAADVPSQQDLKNQLERGTDESKCDTMKR ILTIMLNGDSLPGLLMHIIRFVMPSKYKPLKKLLYFYYEICPKLDAQGKLKQEMILVC NGIRNDLQHPNEYIRGNTLRFLCKLREPELIEPLLSSARSCLEHRHAYVRKNAVFAIS SIYIHSPSLIPDASELIAAFLEGESDATCKRNAFSALASIDHDKALAYLSQVFEGIAN SEELLQLVELEFIRKDAVQNSQNKARYLRLIFDLLEANTSTVVYEAASSLTALTSNPV AVKAAAAKFIELSIKEADNNVKLIVLDRVDQLRQKNEGVLDDLTMEILRVLSSPDIDV RRKALGLALDMVSSKNVEEVVLLLKKELSKTVDESYEKNAEYRQLLIHSIHQCAIKFS EVAPSAVELLMDFIADFSNTTAVDVINFVKEVVEKFPKLRQTIIERLVATLGEVRAGK VYRGIVWIIGEYSLEENDIRNAWRGIRASLGEIPILASEQRLLDAGDEEKAEEQVNGH SKPAQPSGSRRVLADGTYATETALTSSAAAAAKLEAVKAAQKPPLRQLILDGDYYLAT VLSATLTKLVMRHSELSQDTARSNALKAEAMLICISIIRVGQSQFVKAPIDEDSVDRI MSCVRSLAEFSQKKELETVYLEDTRKAFRAMVQVEEKKRAAKEAYEKAKAAIQVDDAV QIRQLSKKNAGDGAMEIELDLEKATGGDAPAEDLSSKLSRVVQLTGFSDPVYAEAYVK VHQFDIVLDVLLVNQTTETLQNLSVEFATLGDLKVVERPTTQNLGPHDFHNVQCTIKV SSTDTGVIFGNVVYDGAHSTDTNVVILNDLHVDIMDYIQPATCTETQFRTMWTEFEWE NKVNINSKAKSLREFLDELMKCTNMNCLTPEASLKGDCQFLSANLYARSVFGEDALAN LSIEKEGEDGPITGFVRIRSRSQGLALSLGSLKGLNKIGSS PFICI_00670 MSNPSGEPFYLRYYSGHSGRFGHEFLEFDIRVVGDGRSAVARYA NNSNYRNDSLIRKEMCVSSLVVDEIRRIVKSSEIMKEDDAKWPQKNKDGRQELEIRLG SDHISFETAKIGSLIDVTESSDPEGLRVFYYLVQDLKALVFSLTSLHFKIKPIS PFICI_00671 MPTPSSNPPPELPPRSHSSSCPDELRASASSWRPDSDGLDEMHV DSDASSRDLVSSLAGSSAATAAAVAMAEDVDIMSRSNSESPRPDSRIGDSSTPTPENA EIDGPSPSRGKVMGPEHDLATHAEPLNLRTERGSSAFDMTSPASADSDKIDDIVVSSH GMLQDDYTTPSMGYEYSNVRLIPTTTSSYLRPGSKFHGTQQSERQIYDVQVEIKYVDL RESSLCGYLRIQGLTEDNPTLTTFFEGEIIGSKYGFLTNHPDWGATDKIDVSHWNKFA AFRPYAKTVRKGGNIAIPNLGSKENIFMRWKERFLVPDHRVRTINGASFEGFYYICFN QKSGTVSGIYFHAKSEKFQQLELKHVEDKGCFGALEFR PFICI_00672 MEYLIRFSQSHETFRLPEIQALAELGGIDLKVLYYDPESPYCIV QLPAEEAARFLMSRSILAQSIHEHWGTGDNYEELHEDVKRRTSHLWSKYMQCSFKFSL DIFQGTRSNTNRREIMNSFAYLAFAGEIKMTNPDEEFIIFEHWDFQAVTRKLPAPLKV HFGRFIAKSVRDLIMTFDLKKRGYISTTSMDSELALISANMALAGPGKVFYDPFVGTG SFPVACAKFGALALGSDIDGRSIRGESEKKSLKGNFKQYGLEHLMGEMFASDLTHTPI RKARILDGIICDPPYGVREGLKVLGVRDPIKYGYVIEKGKEFYKNDDFVPPKKPYSFN AMLDDILQFATDRLVDNGRLSFWMPTANDADQAIDVPTHPCMAIVSVCVQVFNKWSRR LITYRRLPDSEIDTDALAQRQERVQANGVTADELNDFRRHYFNAFRTDSAVDQAPDDA TPKISA PFICI_00673 MLFHLWHVDSPGAKAAALKRKAMGQSPGSRFYSQGLQLIYQIIE AHIDSLQVDLILPQEAADKLRIKLAQETYSPTYYRVIMKLADILDGDFFTQNIKLGSI MMLSNGNTSQENVFSLRDGILTMFLDKEAYERAGLVGQPHGVKGKRGLKPRWVVQYDL RAPASFPGKKGYDRLLYACRNVFDQPITWLFHRQSSTPDPDPLLNFFPTKYSSAPFME EDLDVQTPTLKIPGHALTDDGRLELEDFATEIYEWLSLLRLKSPRVESGDNIDPFLSR YSVPAHGQVDSSRLCKISWQGFIAPGWARQVLVDVILSVSSRSWFSLHAASFGKGVKG ESSECTILRLPGAPGEYLLWDIHGHE PFICI_00674 MASSNPYLLAADNPTALITLLQENPSLASGQDEHGYSLVHAAAS YNHLELLRSLVRDFGVDVNLRDEDQETALFVVETVEAAQVLVNELKIDFLAKNGDGQT AKEKILQEGDFPEVAEYLNTAEPSREGDGPNGAGINGHENDLPQPPQGMTVSMGTMAP VDDNDAEIDPEFRRRIEELAEREDFHTEAGQAELRQLVQDAVAGRNLGDRNVRQRQE PFICI_00675 MSGTLPQPNSISAQDEPVVVDIRPLLQRLWPDPGASQVTAKEIA DAIGLIFTNQLSPVQTGSLLTALHFTGWDRRADVLAEASAAMRAASAPIDFKVLNEVV QRKARKEGRYYGGLCDIVGTGGDSHNTFNISTTSSILASTLLRMGKHGNRASTSKSGS ADLLMCMTPRSAPLARITPRTIAEVYEKTNYAFLFAPVFHPGMKYVAPIRKELGWRTI FNLLGPLSNPLHDAIEVRMLGVARDDMGPIFAEALRLAGCTKGLIVCGAEQLDEISCA GESLCWQLVQSPDGVVEVEHFRLTPEDFGLSRHPLNTVSPGKEPHQNAEILRKILANE MPDDDPILEFVLINTAALFVTSGICDADTSNMAPGDDGKVITERGPGNGRWKEGVRRA RWAIKSGEAWKEWESFVDVTNSFTMS PFICI_00676 MASETKVPYVAQDPQEIQNLVSSLNGSTNKGKGGIKAKKTVFPV HDSPNGIKVDSWRFQDWDYKKPNMPTYARGLFTTKDNRGQHEIAIRGYDKFFNVGETN DTKWESIRSRTQGPYELTLKENGCIIFIGGLEDETLLVCSKHSTGPRSDVELSHSMAG ERWINRQLESIGKTRADLARELRSRNVTAVAELCDDDFEEHILAYGPEKAGLYLHGMN INVPEFMTYPSELVQKFADEWGFRKVDLQVFNDIDTVQNFLERAAETGAHEGRDVEGF VIRCRMSYDPQRTPYNDWFWKYKFDEPYLMYRQWRECTKALIAGKPPKYKKHVKITEE YLLYARKRLAQDPKLGKLYNQNHGIIKLRDDFLAYKNMKGSEAANIEMEEDDGASVTD GVVIVPVATIGCGKTTVAVGLTNLFEWGHVQNDNIQGKNRPAQMVKQVMQLLADGHPV VISDRNNSERREREQIIKDVKLQHAGARLVAMNFSHGDIEEVRRVTRERVLARGDNHQ TIQAASEENKVIGIMENFIHRFQPCDPENSPDDGFDLVIDLDPSKDSRANLETMVSEL HQKYPRLVPEVPSAEDLDEAIKAAIENYKPDIRHKLPDRGAKNKHNGGQQQQQQRVQK KKPLEYMSISVPAKDVNTILEAAFKTTDPETSKFYKQLQQTRRVQPQFHVTLMHRAAS RDHPERWAEFVKLEEQSAPNDGRIAECEVELERVVYDDRVMAIVARIHDPQWASVNPI AHVTVGTRDQSVKPKESNDLLALWVQNGPGNGIRERAFEPKPVLKGIVRGVLAR PFICI_00677 MPARLNCSSARAVSRALRPQGSTTTSRVSATLPCIQQTTVPARN YAAAAAASPIPLGALRLPDDYIPPTKPPSARRPENRKSQVLRAYTALLRSTPLILFFQ HNNLTAVEWAAVRRELRKALSEVPPPVAGPNESLPVDPVNSIELTVLRTRMFDVAFKI VEFFDAEAAAGRSNAYTHDLSSTAYEAIKKANLEDPNTAYAQMSPLFTGPVAALTIPT VSPAHLAAALRILAPSAPDFPTPTRKKNPGYHDPVAQSGLQKLILIGGRVEGKAFDQA GIKWVGGIEGGIDGLRSQLVSMLHSAGLGLTTALEGHSKGLWLTLESRRTQLDEEQNP KKTEEGEANSDAS PFICI_00678 MDFAPYQSSPPEHSRPFSPAQSPSPRISYDRQRPGNVASPPPLQ HPQPQRQWTSTLPGGYAPVGGGSNAGGGYGNEAGYGVDAFDTSLGVRLDYEAAASYLA LPPLGAIVMLILERKSDYVRFHAWQSSLLFTAIFVLHLLFSWSTFLGWIIFLGDLALM AFMALKAYRDADTLDRFELPIFGQLASKFVDDE PFICI_00679 MGCCFSRPGGPNAPYPGGAPSTSSRAINSPRLQPAAAQDGSSGS LGPAAQSERLAPHASIAETSRRRRDQRPLDQHIDKKLRWHVWTAKNRAWTRAQLDKER QDFFDTRVTGRPEVWQTVHAALRELWEADLAINTPGASGETDVSPDDSVLSVAQGILR AVEITLPTGDLANGVYDSLGQYYALPEWIVRDPVNITVEDKAEAQGEHKGELGTGDES SEEIGEEEALRRREEKGKAVVDSKTTTKIRIRISETARDIIVRVGPDERVRSIAQRAL QQSGLDPSTRRVRLVYLGKTLKEDATLESQGHNKDHIINAFINNRQA PFICI_00680 MLFRSFAALSCAAATAFAQGLGDSSLEKFTDSLTLDYAFSPVKE AYWTGFPHHRRTPFAVSPDGQSAYLAYLDSSETDVHVQQVDPSTFTAVGSAVTVTGGK EAGGLVAHNDGFALLTNEALPSGTTDAPADSTPVPVLYRFTDGTQTWKTFLGGPGVDT DFGLAASPDLNGDLVYSEEAAMYGAYFVITAYTGDASGHYGDSIKYVTDDGTLTTISG ASSSWGCSHNTGISFEAADAAPFASICAEDQGDIWLNTATQGMSGVKISNENTTNGGT GEPMGGMSGSFSGLARLIDSDAYVSAWVSRGAIDLTANEWMGDGYTACSNRTNGRNVA IAQFSDKNTLVGDQASSVVGATDGDSQINWITSGTADCSNAHVAAFDSSSVLVTWEEI EDPDCQFIAMGCKGTFTGSRFQLVTDGAKVGEPLVETDVYVSGDMVTLSDGRVCWPYV SMTWDLSEPVAYSGSTASTNSMSFACASISGSSSNSTSGSSTTTAAATSSATAAATPS TVAVEEDASSSATVVSTLITSVRSSSSDSAVATTSDAGAVTGVESATEVVISASSVPV ETATAAVPTEASPSGPAPTASSGFAFPTGSRPSHPHGSARPSQSAFPGFGSNVGGSGH TCGGAKKREV PFICI_00681 MSTPNAAQIEEPLTRSQRFKETHLLARHGNYHQYYAKFRAQTVP DERLSILPSDILRDSRVLDLGCNAGKLTYETMVHCGAIASVGVDIDPWLIDQARATYP DGPCTFEHFDFVDRSAYTGTALGKFDVVLLLSVTKWIHLNNGDAGMLALFEHIHNLLN EGGHLVVEPQPMSNYQRASKRNKELRETYKTIKIQPPFDEELKAQGFERVLESEREEE GFSRPVHVWKKLSP PFICI_00682 MEEWSKHHFFHHGKPSREAEKRNCHWCQIRRFKTHPEFPVTIIN RMDQIVPDPEFRFIDHSVFGAGVEPTKDEFRSGCDCEDGMDCQYRDCHCLQEMYDSED VDEEDGDYGDLTQRKSYAYHTHGTKKGHLRSKVLESREPIYECHDGCSCGPDCPNRVV ERGRQIPLQIFRTPNRGWGVRSMVDIKRGQFIDKYIGEVITPQEADRRRARSDIAQRK DVYLFALDKFSDPDSPDERLRGPPLEVDGEFMSGPTRFINHSCDPNLRIFARVGDHAD KHIHDLALFAIVDIPKGDELTFDYVDGVDDSENDALDPTKKKDMTVCLCGSSKCRGFL W PFICI_00683 MPPTARRHPRSTPRIDRPYLAGYIGWLPPKDADEPDSGHDDGFY GHPIVILSPLAQDGRLTSFGGNDLASKYTSTHARGRYLPISPSPAHPDNGKLLYLDVS SLPLRRSSNINTENKREMELSSFRPYDSNSGNVCLLSRNSYQELIEYARFEVPLADLH QHDLLSSIMSNGAQISPSQANSTRYQPIPRISNHGGAPTRHQTHHGAGGRTTGRGQSQ RAERFTRPASRPHGNYGTISSSSRSQQPVVRTPNATQSDSGSSGSIGTVLEMFLWCAL AIVLGYGAYRAGCWTVWAAGAAIDAIKDAWGAATGAIRQTINGTIATVKNVPSTASTE SGYWTFCENLIMCASPTSNF PFICI_00684 MTSNQGSVPELHRALVVRDVNVEPKVETIPAPQVLPGTAVLRVL SAPILSYMNDLFIGNKRGYEYPLPIVPGTSAVCRVASLGPDATSLKVGQLVLFDCYIR ARDAQGDGPKNFLSAITGGFSEASQKLMRDGGVRDGAFAEYVRVPLENVFVLDEQRLL GSPEQGGLGLGVHDVGDIYKLLVPFGGLTSVGLKPGETVLVSPATGPFGGAACLVALA MGARVLAWGRDEQELQNIEGKLQNINSGRFRGMIKTVKMHNEPIKDTEAIRLAAGGRP IDAYFDISPPQATGSTHFKTGILSLKHSGRVSMMGSLTDDAAVPYTFIMINDITLRGK WMYDRHDIFSLINMVELGLLKLGGDGGCSTPGIFGLEEWKKGWDLAEVKNSLGEKVII SP PFICI_00685 MSRQYLYPHLNYIPNWASEPGLLRGFFHEEIVQILENISTGIPP EGWVDDGIVPMMTTLQRVEYVLSAANRTIWVLGEEDTMADFNASFYELLAPEIQREEL YAEAIDRRLDSLDTLVAMMCSTRGDATRMLKHFTLSTVAYLMNFSARTTVARQKSESQ RLLLELTNENRGPERQGPMARARNALWEFLGRWLGW PFICI_00686 MRASSFFTAGALALGSANALNILMNNDDGFGSANLREFYKVLKA KGHNVWIVAPAVQQSGQGGRSDFTTYPNLTSPAQYDLIPTGAPSVGPDPADSQIWYYN GTPAACTFVALDYVLPNFANFSVPDLVVTGPNYGTNLGAFVWTLSGTAGAAYAATSRS IPAIAFSASNSAASYKTITNTTNEYTYVAELSGRVVDAFVSSAPAGGPILPLGYGANV NYPRLYANWSSMDIVQTRYTGGAETDAAVAATTAGTFTWSNLSPRSAGVNTCINGDCS LPGETDTVSAGKVSLSLYTIDYSAPKNCNTTAIVQRLKPLTEA PFICI_00687 MDPPPPPPKSPASASLFQVYLRLRPAPASTTNPDRFLLVEEPEQ SQPPTHITLNPPNDRRRAIEKFAFTQVFEEDATQLDLFQGTRVLPLVEGALAPHGGDG TDGLLATLGVTGSGKTHTMLGSKTQRGLTQLALDVIFRSIEPTLVDCAGNPALEASIT ASDPSEANILAAPAFLETVYSDFAASARTSRTSRASRATTPMVVRHPPKHDFNGPLRQ DFPQLPGAFPDSPGSSQVVRHEDENQIHLQSPMPPSARHQSKMLSNGEKSSSSRPELA RGLQNKNHYMTLTSASKLKSITKSNKFPVKGDQAPPPTPRLRLPRPSAMAQTPDIDSI RASCDPTAEYAILISMYEVYNDRIFDLLTPAIRSNITKEYRRRPLLFKYTEQSSDRKV VAGLRKIICGNLKEALMVLEAGLHERRVAGTGSNSVSSRSHGFFCVEVKKRRKDRTND AWDGSTLSIVDLAGSERARDAKTQGATLAEAGKINESLMYLGQCLQMQSDIGNTSKPN LVPFRQCKLTELLFSNSFPSPSLSQSHRHRRPPQKAVMIVTADPLGDFNATSQILRYS ALAREITVPRIPSITSTILNGQQPAYPSLPPFSPSSSPPLSSPISQSKPFFKTNMFGP PANRRVYSPASDSERATMENAALEIARLSELVDQLNRDLARETEARMTAEAHLTSMED RMVDLEQEIREECYNDFEQRLALEMARSKVSMSLEQERGEEHWDKKVEVLARSVGASM TISSDGEPEDEDKENVLIENLEQENERLTREVSILKKELGTRTPSRRTPLSERGDFIG IKNDMTGLNNRLQELKVSDGGEEPRSSTRKSRTVNTGSPTKKVRKLTAKKWESIDIDD NVF PFICI_00688 MSKNARQKPPVTPVKPSKRRASDSSSSLDSLSDLSDSDGYSAVG GISDSDEDEEDVIAAEESHILDDDEQAIPSNHGSPWPSTEEAAEEGDDEDEDDDSDDD EEEEDEDDEDDEAADQTEWEGFMSEGHDATDASITTQEVTERRVRFAGVPDSDGDTTE TDDGIDSIFPDIFVDQSSLDPTFRREIENDYDNSSDSGSFWDLHGSLGDDMYMGNLEN VPYTSFESDSTPVATPMTNHDPSTAMSTPVASPQKEDDDDMSDGYQSDGEDGGDTTDE DEEPAKQPLPQRTRRETPSQSSDTDVLSLMRRHRGRPRVGRFNLDSDGKKPIAVVNPR TGKLMIFTPQRLSRLDLSPEAFNFNFAPDFLFAEHDFSQSSSILSNSGNLMMGAMVSS NTFGDFMNGTQAVGPAEAFMPPVSALEDISEEEEYDEFEEDHDEQRLKLDDFLDIEDF DADQGTGFDDEDQYWTTPHRHETPGDDVNPLLDHSHLLNNSHLAGAFRRDQQTHQLLR SGKATRESLAFSGPLHHGTLRGIKDGRIPSTNIPISPMRKHKRNMSDAASSPLAGASQ KRKSSSGQYFGHKRQRSIPDVELLTLQ PFICI_00689 MANAPSLTDIRFEHHPTGLGVNETRPRISWRYESKSASLVKNWL QTSYEVEVKRKNSSETKPFKSDSDSNILVPWPDSPLTSRDAASVRVRAFGVSSTEAAS TEGQATPTEWSDWASVETGLLERKDWSAHFITSSKRTALPVGGKRVEYFRPIRLRKTF RLDSKPARSRLYITALGVYVVYINGTRIGEEHMAPGWTSYRHRLQYQVYDVGDLLQAG QDNTLAIEVGEGWYAGRIVWGEGVTCFYGEEIGALAQLEVGPEKGSGQILVQTDDKWE WKESPILSSGIYDGEEYDMRQDYQDWTKISSQEQKSSDWQAVKKLQFPETTLLASPCP PVKVNQLVKPVSISESPTGKILIDFGQNLVGKIRIHSLSRPSGHLLTIKHAEVLENGE LGVRPLRCAKATDRITFSDNILKDYSPQFTFHGFRYVEMSGWSPSDATEPLTLESISA AVMHNSMLRTGHFECSSAPLNQLHSNVTWSLRSNFLSIPSDCPQRDERLGWTGDIQVF GPTASFLYDGAGFLGNWLRDLIADQKQAGGVVPFVIPDVMPNGPWPHESHAIWDDVVI LLPWALYQWTGDDLPLRECYDGMLDHLKVLPRDHDGLWDTEVWKLGDWLDPSAPPDNP ALATTDSTLVSDAYLVHITRTMARIADVLDNSKDKARFEAEAEKLAQAFRYKYIAGSG LVVGDTQTALALTLVFNLHEQGDQAGRALAAARLAKWVKAAQFKVSTGFAGTNAILPA LSLDLPGGTKEESNLQYAYKMILTDECPSWLYPVKMGATTTWERWDSMLPSGEINPGE MTSFNHYALGSVAAWMHETIGGLALAEDQKGRVGWKSFRVQPRPGGDVTSAKTEYLSG YGWVRCEWQVVELGGTKGKKFVMDLTVPANSTAVVVLPDADKKGQHDGLTVGSGGHHF ECRFEDPGEWPPKSNLPF PFICI_00690 MFTTPEKTSLVYLYDSEILRIEAWGPNALRIRATHEASLPTEDW ALTEPLPEQNNVSIQINDDQASIRNGKISATVSQYGVLAIADDTGKVLLEEYSRTHVD RKDPKCSSLNISAREFQPRLGSDSWHLRARFEADADEQIFGMGQYQQPCLDLKGVDLE LAQRNSQASIPFAVSSRGYGFLWNNPAVGRAVFGKNVTTFEALSTRVLDYWVVAGDTP AEIVRAYGKAVGTVPEMPEYGLGFWQCKLRYQTQEELLEVAREHKRRGLPMDVLVVDF FHWPKEGEWKFDPTFWPDPDSMISELKSLGIELMVSVWPTVDRRSENYDEMLAKGLLV RQDRGWRISMDFGTGNCIHFDPTNPAARKYVWNKAKANYYDKGVRIFWLDEAEPEYTV YDFDIYRYHAGPNLMIGNSYPVRYSQGFYEGMKEAGQDKILNLVRCAWAGSQKYGALL WSGDVASSWSSFRSQLAAGLNAGLAGISWWTTDIGGFHGGDPKDPAFRELLVRWFQWG AFCPVFRLHGDREPKQPRHGDTGGSWCLSGAPNEVWSYGDEVYEICKTYLALRETLRS YVREVMHEAHVHGDPVIRPVFYEFPRDPQAWKSTEEYMFGSKYLVAPILKPGQRQREI RLPNGADWRRLSKQGKLEGEVLRGGDVVTVDAPLDYMPVFERA PFICI_00691 MDQVRSWVLAAGSPGSLQCVNTRVTQQVLPNHILVAVQAASINP VDSQLLNWRLNAPSGVDTPWVVGRDFAGVVLKAAPGTEFMPGDHVMGVTRAMDGSGTL TDRVHINLGSSAVVTKPPNMPWAEAASVPSAWLTAFTAIEKCASTVDTSRKHKIAILG GSSPTGIYAIQIAHRRGWWVMATCYGQQYVDLLKKQGAHRVTDCSSSPDAGNDAVAHF EPDAILDCVCQKQCENMARTHVEVSGFASESSLLGGGSVAQDYPSMYFELNNAWLEEA RRLCGRCIHVDSVFTFDKVKEAFNKMHTNQCRGRVVIKIEGDSRAMQDTLQLTAAGRE IS PFICI_00692 MSDTNETLRQSIFNDCKDYIDIYPDICSYDDSFYGYRIWIVPNA IFLGLFSLSFLGFAGVFVLTRFRNVGFSVAMLLGVLGEILGYAGRVMSWQDQWSENGF LIQICCLTIAPAFLAAGIYFCLRNIVVAFGAQNSRIKPTWYPRIFIPCDVISIVLQAI GGGMASVASQNNEDVTPGNNIMIAGLAFQVVTLFVFIVLASDFAIQTLRNPRNLNNDP ALVSLRTSLRFRLFLGALSLSTICIFWRCCFRVAELSDGWTGPVMKAQNLFVGFEGVM VIVAVLVLNVWHPNICFRGMENYLPQKKGSKTELKEFSASPSEDERR PFICI_00693 MAGEERNMPFIKNLASSDRKAREKSIETLRTFLTASTTSRSLSA LDNLKLWKGLFYSLYMCDRPIPQQNLCAELAALADVLPDDAVAPWFTGFWDVMSREWT GIDVLRLEKFLLLVRRVFAKSLVWVREGKGKQAAKRKADMLGLFIEWPLETSGDLKRV PVGLRLHVLDIWVDEVEKAGFLSDDATEQDAEFLTDFKAILDEMIKNTVTPVKSKAKE SRKDDRLPWNAKKADDEEDDEMDDDSDQEEDGWGGFRD PFICI_00694 MASETRASESAPMLDSSGAPVSAESSVDGPSASMMDQVLRLGGG VTVSFLHDSLFVKDKAAAKRDQSKICGIPVGSSESSFHIPFYHVLWAEAAQDTITIDY ATVVKDKARARKLKYTLGDIPSATAAAWASILLEKAYGLAKQKKRAKVLVNPHAGPGG AEKIWEHDVKPLFDAARMPMDIVRTTYSGEAIDICEKLDIDAYDVVIPCSGDGLPYEV FNGLGKRPDASRALEQLAVAHVPCGSGNGLSCNINGSHKAGPAALAIIKGVRTPMDLM SITQGDQRILSFLSQSFGIVAECDLGTENLRWMGPARFDLGVVQRIFTRKTWPAEVSV KTAIADKNEVKSFYKHGRGQDYTVRRTGTTADNEVQPGQGLPPLKYGTIHDEVPEDWE TTTYDKLGNFYCGNMGYMAPNVNFFPAALPNDGHMDLIISNGDISVIKYIELMTAIES GKHYDNPLLSYRKVLAYRLTPKKSEGYISIDGERIPFEPFQVEIHPGLATVLSKNGQF EAPGPLGWEKAEPSTSISA PFICI_00695 MDTAGPAVKGLDVTALTQCAHWHSPRDIIAIRHKCCGEYYACIS CHEALAGHAPLTWPRAERETKAVLCGKCRHELTIEEYLSCVSVCPGCQAEFNPGCSNH YHLYFEM PFICI_00696 MRLLSSLTTLALATSVIGSSWFSKAAYNKWHETELERWLSDHDV PYPTPSDRKDLENLIQKNWDSYAVSPYKNWDSDKLSAYLKQKGIETKEGAEASKDSLL TQVQNSWYETEDTAQNAWASARDWILDSWTDSQLKAFADKHGIPVPQPRKRDTLLQKA RSSYDTVAKKLGETASYPGNWLYETWSESDLKEWLDTNGFPAPQPSSRDKLIASVRRN SRLAYLRAQDQAAAASASANAAYASLTDKIIDAWGESQLKEFADKNGIPVPQGSKVNE LRALVRKHRAQILGDSASASAASAFGAATSNANNQYAKATDSASLAAQEAFNSAVGTW TESRLKAYLDARGVPVPHGSKTDELRALVRKNSHKAASGYSAWTWDDLSTENLKDYLK SSGDTTAQSVANKAGATRDDLVLAAQSAYASASSAGGDSYASVTSYLTKATDAAKQNA FDTWSESELKSYLDSYGIPVPQGSKLNELRAYARKQYTYFKYGTSGPAETAFAKLSEA VKDGYQWVLDQVIGGSEAAKKKAQDTKAEL PFICI_00697 MTAVATVSTERGHWLDRYANDYHRWRLEKDQSGNASWIRRQGVV EQGFDTDGRYYGGRADVNTLLRLKASSAISPEQLQQRILLAWTVLRLEHVLLRAAAPI MTDSSEVAEPYFRVSCFNSSEEAIEDAQKCLVHVKDRRGRPVNDDEFYHHVVNTARVV DPNGSLSKLFVLPPKLSISGHVVLRFFFVVAHSVTDGLTMRVWLESFLRLINSPLHDL QTAVESLGTTVATKPRLPLAQEDLYPQISGSLARRRWFWCLSRVLRHVRRSLPAAFPN PLRRDQPFPDSRLYPPVYSDVLDYSSKPPLNTFYVRATVNSKARDRLVSICRQVGASV GAGGFALVALVMMILNERRNPGDQRPFMTGFPLNPRPFFNHMDPPDSMMLAFSDGIVL PFLPSHLDLEGRFRILVRHAQRQLSSFQKRARPEDTRDPLWYVGSRGPGRVIAINYLA TVEKEQAKLPVERRNAVNGPQGSLEARPNESSQTCGVSSVGRTTQKPGMYDLKKPIGK NEDDFVADWFDARSSVRVRDDEFLCGVTGHDDGLELGVSVDGNAIDEKRADEWKHLIE TIFDDEQDQVRPRL PFICI_00698 MALAQQSASLALDGGDPRLNAGDVVPAKNFSRRDVSFEEYMYYA AITRAEEKVANEKFIQARGPRSLKTIVQARFSKGHTGALPATPNESAGEKSENDNTVT RSVQTRQYVTPEEEKTASRAVRTAGWGTIFYLITTDILGPTSAPWAFAQMGYGPAFAL YTVFGLLSYYSGWVIWKVFLGLDSDRYPLRGYGDFFYRLFGPPARHFVNVTLSLQLLL VVSVLILLNGQSISQISRGPVGDRTGLCFVVCLLIYMVVGFLLGQIRTLQRLGWLANA AVWMTVGMILLCMGTVGYGPNYAAVEASFGLERAPIRTFAGTPPAGYTSGTGFVASLN GLNAAVFAYGGCMLFAALLAEMRHPMDFWKGLLIAQIFIYAAYVFFGAFMYSFQGQFA YNPSQQGIVLYSAQTAANILSILSALIASVLYSNIGLKVVYIEIFHELLGFPPLTTRR GKLWWAFLIPVYWILAFIIASAVPAFSYVVGLQSALFTLSFTYTLPACVALGYWVRKD AMVEGEERFDPSTRTYNYVDRGFARLRRGFMKKPLFNLANIIYILGATVTCGLGCYSA IVSLIDAFARGSANSLSCVPPL PFICI_00699 MTLPGVSALNALSSAGAQRRWARVTGVFFLTLVIWQLFLSSRAD TSLLPPARQRVFKDDGAALGFVRRANARASLELSDSIKYTRRCIKPTTDTVSQRRTVV DSPEPLLDQPRLVNLQDSGKMDQVLGECVPISLSVSDAYPKQEKFSHLIFGMATTYGR LRDSLESIAHWSSGRDSKLIVIVQDWVDNVYQVIQLHKTYRERGVHAIFIEPIDKSHT TSQSHFAVLTKMVQESDPETSWFGLLDDDTFYPHLKPLSDALGALDHTKDLFVGTLSE DFIAVRNFGIQAYGGAGAYMSANLARKLGHPEQANQCLQEFTPDFGDIIIRDCVFHHS NARLTTLPGLYQHDLLGDMRGFFESGVEPINLHHWKNWYQAPVVAMSAATEFCGSCFL QRWLFGSNTLLSNGFSITLYPDGLDSIDLNKMERTWNQVYPDEDPHYEWVLGALRESV PNDQRISYFLRDTEVYNGTMRQLYLRERIEDSDLADEVIELIWRR PFICI_00700 MGELLTLMRSSSRQMQFGIAALIIISLLIYHSKLGLSETESPSS VITKSTQSKQRLYGGDYHGRSSPDINRVTNSTLGFSNIFVVGLPDRSDKRDALSLTSA ATGFHVEFVDGVKGETIPDKAVPFGVDRHLLMETNLGSWRGHMNAVRRIIEEDLETAL IMEDDMDWDVRLRSQLESVATGAREIMSSGSSPSSPYGDEWDVLWLGHCGDFFPEAAS ENAGKPPHPKYTILKDETVAPLDKITGLVDFRKYPEFTRWVHISGAPICTFAYALTQR GARKMMYGLSVDRLSGPIDNALADMCRDGAAGNKDGLRAKCISVTPPVFFHHKAKGRL AGDSDIQNVDSNEIRQKGTTENIVWSARNNIKNMLMNAEMENQFGEY PFICI_00701 MAWLGLLALCLTYLPLAAGNGFISPAYQDTGTGPYGTVEVWELG SSQLVAFQTDYDAYSIELWQQNLQKASARNASSRVYSQSVGQDKAQSFRWTVQTYDIT LSDSPVFFLWLRGPSDSDASQTSAFFNITIPQESTTTSTTSSIATTATTATSSTSTQE SSSTASTTTPTTTATPAPSDTSSSLSGGAVAGIAVGASVVGLAGLVWAGIFCWTRRRK QNPGVTQVEQVGNWPPNQLDNKPQHPPSYYQHPSPPPPESNHVYRPVPVEMGPPSVPA ELGDNYR PFICI_00702 MDSVYACGGYPLRYPNEVNRGVTEHEQIDHMSYLKNVLEGQHPP TSNPSADDVAIFKKLKTYYSTCMNTEAIKKTTDSLETITDHIKTLFPVSRDELWSNVT CSPSDYPQLVDLQVYLNDLQIDLFVSYEVPILDAKPENIVPRVKSSVWTQKMGYQDAT FRTLSEQLNKYFSPDGHLSQKVIKDAMALGASIEKIVSTKINAIPNDHATSINETNAL VPLLSIPDVLGKLAPAGHELSQVMIDTYEMSALSRLLMAAPKSVVQAYALLRTIENIA WITHVSDKNQDRWGAYCYTHVTQTLPWAASKFYIEAYLNPDSTHPILEIVEDVMSTLI ERLDHVEWMTDATKALAKDKAKLMKANLLHPSESPNVINGTDLVAYYRDLEFGPSHFD NVLATLRWENRRHWNRLVQPTTPVDWAFAAYETNAYYNPFNNQFYITAGIVQEPFFGA SLPKYVNYGTLGVLASHEMTHAFDPTGLLLDGHGVLTTDALRWDDETLTAYDKRLSCL RDQFNKYELHEDTPNPGRVVVNPKTSKPFRIDKARTNRENCADNGAVANSYAAWTKHK DREPEKNQKLPHLEHFSAEQLFFVSAAQIFCHNHNAEGILRFANDAHAPDEARIRAMA ENSKEFRNAFHCKNKEPVCRVW PFICI_00703 MAPIWSFVTSLSKQYRVLATSEHFAGTTSLDSAANSRQTPPSIG QILLSKRLRYIGTAISAIGLFVLASRNYESLPSLEILKSSYGVDASSPEPFCPVPAVP LTVDKKNVDWSKFAYTQYVTNLSYLCNSVMIFETLFRLGSQADRVMMYPADMMHPQAT ASEATTEEARLLIKARDSYMVKLQPVEIQRRDGMDCKSPGKQAHFHYMTTWAESFTKL LAFNQTQYERVLNVDSDSTILQTMDELFLLPPCPVAMPKAYWLLPDSNILSSQLLLVQ PSAAEFDRVMNKIAEAGSNDYDMEIVNQIYIKEAMILPHRPYDLLTGEFRSGNHVKYL GTENEEWDPVYVFNEAKFLHFSDWPIPKPWIPMKEKEREEHQPKCIENNGIEDCSDRE LWNGFYTDFAQRRKVGSALAFIV PFICI_00704 MASKVASKAVAAPKAPRTPKTPKTPKDPNAESSQHIVVLCNLMM CSETFEASTTKLAPILGLSHAKNVPRKINGIINPHGFEFKNNKVYKKDEEGAPATSAN TSGDDTETPTKKKTATKSATKPTPKKRKVDEATEDEAMMDEDVNGEEIKGEDIKDDDI KGDEDLNDAGEV PFICI_00705 MVTINIAYTAPINPAGVEPKLNQAQIWAGLERKVRHAEEFVAII VACDVVSEGQTAKGFSTVTRDVTFKGAPAPVREVCTHYAPSRVDFEQDNGSFISNIVS KGPDGELLMTYSFAWAHPDLADGSPEVEKLEADHWKTAKIAVEGSINTIRRLVNDKTI Q PFICI_00706 MGGHNQVRLLTVSFRAVPPELLRSALRVLLSQGSVTQKPFVQHI RDRFADAPPELVAPEVLFPTINQASPECKRYMAMTRCVFSCKLAEESLKYLIHFVDAL RLAGAQWQTGSELEADLEKFGGDIVQAVQALKESRPEPTEALEGQLFKLWTSLDECRQ YCQGLEPQSPAFPFARSARQVKDVFGLLFPNSDHLSSLSHSSGTPISVDLSKQGSIET FTLGPHQVPRLFNGLWQLSSPAWGSGTAEKQEAALANLVECGLIAADMADHYANAELI YGEFRNRLAPEVQKQVYAATKWCVFSPINQPVTHEFVLAAVKERCRRLGGRVELLQFH WYDYEAKEYLEILAELVKITKSHPVLVSAIGLCNFDSEHTKEVCEYLLEKQGSVGIVS NQIQFSLFDSRPLQQMSAVCQHYGLKLLTYGSFCGGFLSAKWLGQPVPEIYAEKDQLT PSQRKYFDMIRNWGTWSEFQTLLETLSSITQKYDVSLTNVATRWVLQQPAVGAVIVGT RLGVSLHGDENLKVFGFALDDDDLQRINDVALGKDREKSLSIYQTLGDCGNEYRAMH PFICI_00707 MPDDSFELYDLRVEVVCPQGHPEGEKMICGAKKGDFFTLEGEMM YLPPGQGISIYSLASVLPLLAAKQRMTHAHDWMSTDALIACPDPNCKSQLKIIRTGIR KFSHAETTVVGLEGNS PFICI_00708 MSRENVFKSLSIRSKKTSNQLDIKGLGSLLDGSYDEDATPIREH TWSHQENCISTKDHQPQGESINNNEEAANSAAMEVTGQQTTKEAIVNEEAAEGVTESE KGVGHDSTSSAHPDEGTASVTGEEWEPVDPFSYEENVNDYLYGGFYPVEIGDKIISRY EVFHKLGNGGHGTVWLVHDLELIKWRALKILSAPQSSEDGPDRRFLRLMRDKGITAED LEKRRIVFPCEDPFEIDNPDGRKNLCLVMPLMGPDLISIRQWEPAMMRRIFYELAESL EFLHQRNICHGDFRPSNLLLCLDQDDLHTISKEEMRSILERPHTQDVDYAIWWEHYPR LPRYIVQKADTSWLGIKFTGQAAVVDFGCAYDVTDSSAYAGIPPMWAAPEAYWNLGSG LPGDIWALATTIAQVYAGTDIFYGHQHDDAVVAEYEFVLGALPQPYRTAYYNQLKQAI LYDYRGKQASNTIEESRVPDTVANMSAATDATVSIDIKELPEPISMTQESLAKDRKYY CNKHSQPTVIRALISRPWLMEMRDPEFPYHEHNADNHYDLLRAYPDITIERRLIPREV KILGDLLEGILRYRPEARLSISDVLQHEWFGYQEERWASFDDDVVKNPTAKYDGASKR YGRGIDAEKVHAAKGPRNNNKHGSKHWAKEGRSQRQKQPGRSMEAKIQISRPLRDILV DIVTIDGLLKQNMSLLLGIVLATALWLPMAVAFYLNERQRQRQAIPIDISFHQGSLL PFICI_00709 MQYLTLAALFAAVLAAPSAIQQRQTYEACSGLYSTAQCCATDVA GVADLDCQNPPETLVNATQFQDVCASVGQRARCCVLPVGGIVDVLCETPTGVTD PFICI_00710 MPQPLSSKENALFRQVIRFYEDKQYKRGLKAAEQILKKNPKHGD TMAMKALIMNQQGKTDEAFALGKEALMADMKSHICWHVYGLLYRSQKNFEEAIKAYKS ALKLEPESVQIQRDLAFLQVQMRDYQGYIQSRSSMLQARPQLRQNWTALAVAHHLAGE LEQAEKILTTYEESLKSPPSKTDYENSEAVMYKNTLIAERGDYERALEHLKTIAKHNL DRLAVMELRAEYLKKLGKKEEAVQAYRSLLDRNSEHPDYYIGLIEVSDIPADDQKAKK AIYDEYAEKYPRSDAAKRLPLDFLTGDIFREAAKSYLNLMLDKGVPSTFANLKHLYAD SSKKETLGSLAVEYFESKKSEGGAEANGDALKGEGAALYYLAQHYNYHLSRDLEKALE YVNKGIELAPKNVEFHMTKARIYKHYGNTQKAAETMDEARNLDLKDRYINTKAAKYQL RSDNTEKALELMGMFTRPDSTAGPLSDLLDMQCVWYMTEDGEAHVRKGNIGLALKRFH AVYGFFDVWVEDQFDFHSFSLRKGQIRAYVDMIRWEDHVREHPFYTRAALAAVNLYIS MYDSKSLPNGVNGGDAANGADAAERKKAAQKAKKAAQKAEREAAERAAKQDPNKPKKA NEEPKKVDEDPDGVQLAATEDPLAEATKFLVHLLQYSPKLIDAQIAGFEVYVRREKYL LALRCLKQALALDPEHPKVHEQLVYFRHILNTKLSSLPHKTQEVVKAEFDVLDASTDL KKYNDEFEAKHKDSAPHVIAAIKAKKHLGEDQAKVEKELTEVISISGVDFEAAQEVLG LLRSWRSKEVDGFKKQAAQKWPEVTAFA PFICI_00711 MTDLPPSPRLTPQFCFNTTALRDFLRISRGAVDDSITQNLNALV TPATKGFDPTSTTVRTTRSPREIDSKSCQTFRERVLFPSWQSRADVLTYCAYVATSPD PDDPETSLREAESFKERERVVNERLDPYSARYFPREPRTQQLAQLLRQERNVETIVRS RTWGLVRERCSETLDDSDRALNEWRERQAPAKKL PFICI_00712 MSSSQDMEGITQREPEEKKQNNGSGDGDKDQNKKPDHDGDAEME DDAPEEEDILDEEVLNLSTQDLQTRKRLLENDTRIMKSEYQRLSHEKATMAEKIKENM EKIANNRQLPYLVGNVVELLDLDPTAESSEEGANIDLDATRVGKSAVIKTSTRQTIFL PLIGLVDPEQLKPGDLIGVNKDSYLILDTLPAEYDSRVKAMEVDEKPTEKYSDVGGLD KQIEELVEAIVWPMKEAERFKKIGIKAPKGALMYGPPGTGKTLLARACAAQTDATFLK LAGPQLVQMFIGDGAKLVRDCFALAKEKAPAIIFIDELDAVGTKRFDSEKSGDREVQR TMLELLNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARAQIL KIHSRKMRVSDGVNWGELARSTDEFGGAMLKAVCVEAGMIALRSGKNKIGHEHYVDAI TEVQAKKKETVNFYA PFICI_00713 MPGGVCAVLDYEVEMMAEYVADMAMRVVMPNASVTGAFRKFVTQ VLTSTRLPSTTILLGLNYLAKRVNGLNAAGGFKTNEGQVWRMLTVSLLLGSKFLDDNT FQNRSWSEVSGIAVQELNTMENEWLRSIDWSLYVNLDKSGDYNAWLQNWKEWAASKKQ FQKQQAAAARERLAPLVTSIRNNSQHHPLSYEGWTPEEISDYERARLARASSKSFRPR ENSWANAQYQNAWSNTPVTPPDSGYGTPEYLNSATSLNSRYNDWFNSAIPNTGYRGYQ TGAPVLHSNYGRHGCHYQHQHHYGHYGHQGIWDANVAECNCSQCMATLHKPTHYFQHH TYGQTVMG PFICI_00714 MAADEKDGREDPAAMAAQESLAREEIRPSTGAESSPAGSASHTR PHYTPQFSATTEMILKRIRGEPNNLSSAISSASAAGTINKTSFEDAKRRLVMSMNTSI SLPMPDSAQVSPPRAVPSLVLPKIEVKEIVARPASKPGPKPRGRPPKTAKAERQEKVE KKGKLPSSGTKRKRVGKDDDGGSSPLSEPPETEEEEEYHDATPTMTKSGRQILKPNQF NPTTAAGAGKRKHYGKRTAEQALCKVCTRGLSPINNQIVFCDGCNLCWHQMCHDPYID DDFVSDEARSWFCRGCSAKREKHLAKKKRVEGHKGVSWPSKTVEQKRAYLSGLPQSQL VNLVMYSLELHPDLPVFPEANGPRRGRPPASAKAAPLPGSPGPIHFKPIAPVVDTPTT NGNQGQTDRERSEDIPAAWPKVGAGVLAGINLNEADFEDKNDFEAFSLATFDARSGKK VAENGIEFDCADLLFQDG PFICI_00715 MRMSLALTGLAAVAVNASCKGRSAATATGSSSAASTGTSSGSLS YGNGTLASGAAAHDAAPSSVSSSSAAAAATSASSSSVKTSSKAATSAANSGIKGFNYG AFFLNQQALTQTDFEAEFNRAQNLPGTSGWNSARLYTMIEWGTANSVIPAIPAAIATQ TTLLLGLWISGGDAAITNELAALESAIATYGTAFTDLVVGISVGSEDLYRDANNEVGT TATYLMDCISKVRSAIADTALSAVPVGHVDTYDSFLNSTNTAVIDAIDWIGFDGYPYW ETALPNSIDDANERFYSGYNKTMALANGKPVYVTETGWPTTGDDQNLAVASAENARKY WQTIACSLVDSNINIWWYNLQESQYGTATPDFGVYGAGDLSQLDPLYDLSC PFICI_00716 MASTSQPNHPSHYGPSDTALLLLDYHNIILSTIPVEAEKEKLVK STQTLLAAARESKVPILHCLIGTSRNPLPTSKLVARWEESFKPAIQSNPELALQISSL AGDQSSDTEYFFDRVPGRVSALKSEGIMELLKDKLGIKSLVLGGVVSSGCVLSTARNA ADEDFVVTVVPDACWDREAEVHRTVMDKIIPMTGHTVSIEEAVNIFKGSGASG PFICI_00717 MSLFTRMLLASTALARLVAASTAASDSHGSDPSPPASQKPNFIF IMTDDQDLHLNSLDYMPAVRRHFTEKGTTFDKHYTTVSLCCPSRVTLFTGRAAHNTNV TDIVPPYGGYSQFIAEGWNDNYLPVWLQAEGYNTYISGKLMNGFSDHNYDKPPPKGWT SRDLFVEPNTYVYYNASMQRDKEEPKYYPHNYSTDLVGDKAVHFLDHAIDSDKPFFLA VTPVGPHSESVPDGFSHPVPAHRHEHMFPGLKAPRTSNFNPDVPSGGGWIKTLDQLND TVVDYLDDWYRNRILALQSVDDMINDILRRMEKDKDVLDNTYLIYTSDNGYHVGQHRL APGKTCPIEEDINIPFIIRGPGIDAGKTVSIPTTHTDLVPTLFQLAGIPLQDEFDGEP MPVTSEQIEACEHKNEHVGVEYWGIGIPEGKYDGTGALMVGGGGLFNTYKAARVISDD YNIAYIVWCLNEHELYDMTVDPGQMNNLYGENGTINGWQIPQLTNRIDALVLTLKACK GSVCTRPWETLHPQGDVHNLAEAMDPKFDDFYRDEAKVTYSACMKGYLPDFEGVLYPT PFSTSANYSSAEPQLRIPRWAA PFICI_00718 MSSKITIISDLDQLKVDLHADKHPSKTIIENNALSESVWRVDND QSSAEITSKDGSVKYLVRGELVVKDQVSSSSQTAKAPCVILIPKGSQVSTTQSEDCKI IHINSSAADQLLSRYGDYEKRLEELIDRFIAANPTSEANAKRATSALPGGNTRTVLHS SPFPLIIKSGQGCSVTSAEGVDYVDLISEYSACMFGHSHPAILDAIQRAASIGINLSG VTEYEVQFAELVKKRFPSMELMRFCNSGTEANTMALAAALHYTKKRKVLVFLGGYHGG TLAFAKEHDPVRLPHEFVIGTYNDVEGTRKLMDSSIGAILVEPMKSAGGMIPGTREFL SYLREAATACGAVLIFDEVVTSRLHYHGLQGYHDITPDMTTLGKYIGGGFAFGAFGGR QEIMATFDPASPSAISHSGTYNNNIFTMIAGIAGCHILGTEEISRTNELGDLLRDGIN EAVTSRGSKAIWATGHGSAIGLHFESEIVKDCFYFELLERKIMIGRRGFTSLNIMHKK EHMEQVLEVIVSLLDRYDTYSTSK PFICI_00719 MTKGERQYPIAPSITALLLRWTHSQLFRTPAYPTQSFAGQTIIV TGSNIGLGLEASRHFYRLGCARLILAVRSTAKGEAAKEDILASVTARTDGDTAVEVWE LDMSSTASILKFAERVRQDIDRLDVLVLGAGVSLRSWSTVQDVDGVWETVVQVNVINT FLLALELLPKLRGGGRSEDAGGENRHVPRLVVVSSEAHRLTKFVEIEEDDIYATLSQE KHYNVNDRYAVTKLMEVLFVRELVARLRAVDGSHPPVIINLVNPGTCISALNRYINPP LAGRIGIKVLEVLFMRTTEVGGRTYVYSAAAGPEYHGEFMSDGELQHVESWIYTDVGK KTQ PFICI_00720 MPGRRSGRAAAKRAAEKLESTPRTFEDLEDEPMPDIDADNSDAE AQPDAEDQDGGSDGEAEQEKEDEPESDGASAKSPSPPPQPVIRRRRLGRPPKNRPPDW DTLPIEPPNRDPNETPRRRGRGGWRGRGGRKGQSFKPTQQAIDNEGTMADIVGDELEL PEDPDGETKVDKLGNLKDGRDYRCRTFTVAGRGDRLYMLSTEPARCVGFRDSYLFFTK HKRLYKIIVDDDEKRDMIEREIIPHSYKGRSIGIVTARSVFREFGALIIVGGRRIIDD YEVAARRAEGVVEGEIADPNDRFIEGEVYNKNQYVAWHGASAVYHTNGPSIPVVNGKV EIKKKRVPLTDTNWQLEHARAAAQFNAEIGAIRRHNNNGVYDVHTNVLQYPVSMQPTH ARIEQVADSSAPSDSKLFAPLNPVIPRNFKVIDTIMETPPASLPNSAYKQNYNVPDFL ASFQGLDSVSDEIADLLPPECRKAFDDARVKENDWKSKWGPEAEMAHRRLPVIDAAIV PYSKM PFICI_00721 MAKLSFSLLAASLGLGQLASAGFDPSIQSNVAIYWGQNSYGQAN SQSRLSEYCSNTELNIIPIAFMLGIQNPSVNFASAIHACTSYPGTQLLNCPQIAQDIK TCQTTYSKTILLSLGGATYTEGGFSSAAAAQAAANNVWNLFGPNTTAANRPFGSAVVD GFDFDFESTTQNTAPFAQELRDLMNAATSSGDKKYYLSAAPQCPFPDAAMNDMLSTVE MDFVMVQFYNNYCGIQSFTLGATSQNNFNMDVWDNWAKQSKNPSVKVLLGVPANTGAG GGYLPASQLAPIISYCKTFTSFGGVMMWDMSQMAANTGFLDGVYGALMGSSSPPTPST SASTSSSSSATSFSTALATMSRSSAASSSSTMLARSSSLTSSLFGRQSTATAPTCACP TAATVTVTTTIFTGTASASKRDTSSTSRAQGTTASTTAATGTTGTTGSNQLVNQWGQC GGSGYTGPTQCKPPYTCVRSSVWWSDCR PFICI_00722 MSTTTTITAPPGPPGQPDISYVPNPEKWRARAESRIKAGGLPNK VPEGFPEQLSGNLVWEGSSVAASYNWTFVLSDGHLDEIDSAVKHFKSLNLPTGHVSVE TFPLPTLHPELRRLSAELHKGHGFFVIRGLRPDEHTREENLIIYAGVSAHIAPQLGRQ DHKHNGEPADVVLGHIKDLSSTQNGSIGSPAYTTDKQVFHTDSGDIVSLFALETAAAG GASKLASTWRVYNEIAKTRPDLIHTLSGTWDQEVFEKADKQWTERPLLFHFPATDSTP ERVTLQYGRRYFVGYGALPRSPNVPAITEAQAEALDTLHFLGEKFCVNTNFQKGDIQY INNLAIFHARDGYTDTAEQK PFICI_00723 MSNPTYYARRYRTLFSPNALNFAPSQPRQAIAAVYSPAPTGIHC GLPRGIAARSNPRVLLALLAPVAIATGLVTFLEGQLNKSVATAHLNSAPVTPSAEELR RKQLLDAYGDRESLERLAEVTAIYEATR PFICI_00724 MRSTIVSAASLVSLFGVIPVRAADNDTYWPWQTYKSEPSLQPPA LEITKNGPVSPGYLFFDQNGNYGHNYSCFIMTDDGDLVWQGGYGDYSAFKAQTFEGKP VLTYFEGVTWSEPWGWGYGLVKVHNDQYDNIYNVSVPGTHFVTIDIADTSGFESWLDM HEDLITSEGTMLTTAYNVTPADLTSAGGPKDGWIVDSGFFEIDVKTDEVLFEWFASDH KDQIPLADAVETYPLDYLGTNQTYPWGPFHINTVNKLDDGSYLISSRQYCSIFMINPD GSVAWTLNGRHGGNFTLGEGLDFCYQHDIRIHAIEGSQYTMSLFNNDNNADFYGVNQT TGIFMQVDVENWTATLLQELTDPEDAIYSHSQGNVQLLDDGHVIMGYGSTPRIKEYNP DGSVAMSVKFGPSEGTVFSYRAYRLPWVGKPTTSPKAVACSDESNQTSVYMSWNGATE YTSWKVYAGASKFNLTLAAEAARTGFETSAVLPAGVSYVRADAYSLNGTLGASEVIAV GGQC PFICI_00725 MQLPILSTLLALHLAVANGALSKPALWPNLGKFSPDLTAGLPMP GYQVTQWPAGTISQGCLYIADRDGQDPAFFRAYSVLLNDSWNFCIQDGSKQSIDDLAF AFGQAPIRLRQYTSEVVMQSQGGGANAQNQIITLYQPATVQLFLHEMSHCADSAGGYK TPSSSASTAWSSSYDLDSAVPDTYAQTNQQENFAQFGGLIVYDRLTGGKLRDEPNLWE IGHQLNTMVDEGDNGQHGGRMYDFDSGLICSGRYANSPPVTMGSFVQSVDDKSQLDFI ASFDLDKQVAFGSANGITVETLIPETGAPTQCFDV PFICI_00726 MLQHIPARTVKILVIALLISTLLVIIQQTGQADRILHSTIGTSS SGSSEKQKPKPHYKPKEVAVPPPIRDPFPLLANTQQPLPPIPQYNVPRKDLYKEYGLG IAPPLLIGFTRSWPMLLQTVVSYITAGWPAEQIYVVENTGVHRANKHDQLTLQHPRYL NHTSLQRLGVNIIQTPVLLTFAQLQNFYLSISQDMDWPYYFWSHMDVLALSHENGFEN LTVRAGEEGYKTVYALCLEALRETLDNDHRWGARLFAYDHLTLQNPKALEDVGGWDTL IPFYMTDCDMYSRFAMRNWTQEDRNAGVITDTASHLHDLVALYHDPSVVPAMEDPNPM VEVAEPRQTKRDQLSSSSASLSLDENIEYWKALLQTSDHMFHHKHGGRGRNTWQDGQR GGYGEPYYYDAAGFTEALEVLTEAGKEVYRRKWGHQNCDLIGGGGLRFEDQWLVEKDY PFICI_00727 MPPSIHPIIDLTHPLDSNVPVYPGDPTFHCRQACAVKDSGWAVS ELTFSSHVGTHIDAPSHRIDGAVTVDAIPLEALTRLPARIIDVSHKAVAGGSISLTDL GPHEAHIKEGMAVLFRTGWDRYFGADQSERYFQHPHVERGVAERLVELGVAVLGVDTM NPDPTVTDDGSAVFDVHDIVLGAGKVIAENLTNLKELLDAQQASGEASMAMVCLAPLK VRGCDGSPIRAFGWVESTTSEH PFICI_00728 MAMDIESLRQWYNEYLKSNVEFLSECSPLLQTVLADDLDTSSLE RSIKEVMPSIQVKNGFCKQCWHIFDEWPLDYYSEAEVNASSVSDESLGEHDSRWKYVI ARSCNTIKIEASTRMGCKFCAFLLQALKDDDLLTMFRKIESRLRRLGEPSTISLSIGT MWIRGAFSLWLDLPNKISMECNSESARSTFRSRSTPINDSENHADQPLELFDQANKWL LECCADHDCCNTLAETYLPTRLVSLVGGQPRLIITKDMGQPSSQLRYATLSYCWGERA FIQLRTDNIEAFKKGIPTADIPKTFQAAFEIASRLAIDFIWIDSLCIVQNDESDWEKE AEKMQQVYSSSYVTISASSATDVHQGCFLTPALFCDGFSNKVAINGRQERMQFDNYDF YKRSVLGAHLMTRAWAIQEKVLPPRTIHFGDRGVLWECRKGFAPLCLQENIRSDIASL VCDADMTKPFFWLKVVMAYSAANLTYRRDKLRALAGIARAVFNQTGDEYLSGIWRKDI ELHLCWRVAGPRVTHHRANHQDRDWIAPSWSWASIDGGVEYNDADKHDEPNDDMSKPE RYGHVCELGITHSENRDFGQSIRTMLHMRCSGLVLGRLCDQTTVASDIPEAIDSVVIN YNDHDTEFPIRVDCINDSRSLHGKSVYLLPLAGGRTGISIRKHKGDWVDEKYISGLVL RKTAAGLGEFCRIGSFKFFENASFERARINEYLQPFLKIFNQVGAITAETVCAEMLHH PEHPEKRFLITIV PFICI_00729 MYSSLLVIFLYQALPVLSFPTALTHHDAVHRDLAIPILDLSVIK RSEAATASEAKAGEATTTNRTAAQVLAVGEEFKEGVVRTVGVFGSANPVQGGNLFTKM KLPANAAGAIEAEYNGTAANTITVAPKMASIAPPAGLMYVDPLTFTIATATPPAAGDI HQVDYIFGEASSNAVDVSQATVGKFDAATGQFVTEGLGELEFEAEENEVALKVADMNG EWAIFVPTSAVKAPEGEEGAVTDAEDASKTESDALDDASDDEDSDDED PFICI_00730 MVVILFCTAEEAKPLVPAIMSFEQAQDTWIFGFAESRDGLGADD GNFKNWIEDGATVETDFIGASEQECQSWALEQMNRLPNVNDGMIAIADERTAKDDTIL LQLYNSSSGDLTPEGEGDYGLEFEGYGKLPAKENTWYSFRIRYQDSFNIYAALSYGAI DVVYPVYFGRTDELVDDNGVFNVERAEALCVE PFICI_00731 MAYSALEPQHIRVLKLEPSAERDAIITCSLSVISLSENPTPQYE ALSYVWGDFTERHEIQLDGLPTPVTMNLWTALRYIRLPDAPRTLWIDAVCINQSDVQE RNAQVRQMGRIYSQASHVLIWLGDPDPQIEDTFSALSVPGALDQRQYEDFPPEIADGL RKMLARPWWHRVWVLQEHVLADADPAVGCGDSWLDWKELSGALLDFARSMMDASGTTI ADDQTTWVQDSTMLLRHVLLREQWNDPGYQGGRGTTIAEIIERTRGRRATDKRDQVFA VSGLLREEDKAMFPAPDYAMSVNEVYQMATVAFIRSSAKLTFLIHATDKGDDDLELPS WCVDFSKRMWDWGAYGNLGGHDFGHRRESEEEIQEFMNVLSHDASLGTLNVLGGVLGQ VIMSRPLVPPSAFDQSGVTVQFEGEPRPMMEAGVGKLLMLTEFVKEVLRMTTISHTIW EQRDGAQAAKEMIAAGQVWETLFGGILFNIVDAVCIRTGIETVDGDDRPREYWVIEAF IRKICPWYANAIEKFGFLHPTPQLAESARLERALWETMMLTTQINIGKYWFGTDTGYI GCVDRELEASDQLCTILGCEGPFILRSTNDAFKLIALPQSRDFVQDHHRTRVREVERE VFTLR PFICI_00732 MGVSFRLSEALSISNVIVASAIYLVTLVFYRLVLHPLARFPGPK LAASTLLYEAYYDLVRSGQYTFKIAELHEKYGPIIRISPHELHINDPSYFEKLYRHDG RWDKYSWSYDGFVAPGSTLCTAPHDLHKVRRLALNNFFSKAKVIARQDIIQRNVAKLC DRITELNGNTFDLGAAISAVARDIACQYIINKTYGALDKDDFNVATTQILQQGGVMWR TNKFIPWFNRMMRSIPRGLLVKTADESTKAFFEYLEESGEDTTKLLKEAATPSVDEAP RTMVHEIYDSKLPASEKTILRVQPDVSMTTGAGFETTSAVLRLIAFHIYSNPEILDRL RAELATLAVPASPVGENIDAKMLEQLPYLTSVLMEGLRLSPALSTRMARIAPDRELVY DKYCIPAGTPVSMTVILMHTNKELYPEPLSFRPDRWMDLEARKRLEKTYAPFSKGTRM CLGLHLAWAEMYLVIAALVQRFNFELPSAKAEDFEMVSDRFIIGTRAGATLNARVTLC TS PFICI_00733 MPRLSIVIVLFCAAASAFTSLVHQRLVPEARQLYKFDANTTLEN IAVNSLDGKLVITSFEGRLYTLDPYSNDTEPFLVGQLPGVDALFGIVELAPNTFAIAG GNLTEFRLEPGSAKIFKVSLGSPKAIDVIASIPDSTSINGMALLPWNPDIVLAANSEN GSIYRIDIRTGHVGIAFSDSILAPVDTGNVPLGVNGIKIWNSDLYFTNSAQGLFGRIP ITRDGGKAGNATILSTLPSSTGDTYDDFAIISQCERVYAYIATHGNSIRKVGLDDPIE TIYFGDGNSTTVEQPSSLAFSRDRKMMYVVTNGFLTGPDQGGQIVEISAI PFICI_00734 MYIYIYKLRILVTGAGLAGPTAAFWLTKAGHEVTIVERFSQLRA AGQQIDIRGPAVEVVKRMGLMETFKSKVVPERGLKFVDDRNRQFAFFGVNTDEKSFTT EYEIMRGDFCEILYQATKDSVTYIFNRTVESFTQDENSVQVRFSDGHEETFDMLIGAD GIRSRTRKMMLGTDYSGPDPFRSLRFRVAYFSVPSHPDDGWEFTSYHTTQRRFVATRK NHPDTLQAYFSIVTDSAEADAIDRQPIDEQKKFWAKTFQDAGWQSQRLISGMLGSDEA KNFYHEHVGQVKMESWHQGRVVLVGDAASCPSPLSGSGTTCGIAGAYVLAGEIAKHCK HSSDMAAVDAAFKGYETAYRPFINIVQELPASPEFMFPKSHLGIWVLRFVLQVAAKFR IQAVIDLFSSHDGDWKLPYYGERESRQ PFICI_00735 MYSSILSTFLVSGLALAAPTARDDTDAALTQFYELLAEASANQA AFLASNGSSNCTSENTVVRKAWGSMPEADRKAYTDAVTCLQSLPAQTPSDLVPGAKSR YDDFVAAHMNQTLTIHYTGNFLSWHRWFVYSYEQALKDECGYNGTQPYWNWGLYASDP ASSPIFDGGDYSMGGNGEYIPGRGDLVLTLDENNTVYLPAGMGGGCVQSGPFKDMVVN LGPVALPLNNGSTATSANNGYDWNPRCLVRDVTTEANLGYANSTSMLNLLTNPDNIKD FQELMQGPIGSGDLGVHGGGHYTIGGNPADDVYISPGDPIFFLHHGMIDLMWWLWQYL DIENRQYVISGTRTFQNSPASDNATLDDIVELGYAGGYPITIRELTNTAEGPLCYTYE A PFICI_00736 MAHDNGGSLLRLVSPTGILSFDLIIVLLGLSCLYIVGTAIFFLT FHPLAKVPGPKLCAVTRMPFWINYVKGNDVKWMFGLHEKYGPVVRFGPTDISCSSAQG WKDVHGHVRGQRDMEKAQEAFVQPINGVPSILTTDYENHNRVRRLFLPAFSERALKKQ EPLFQKYVDILVSKLHELGDNGTPVEMMQLYNFATFDTMAELCFGHPLGLLEKNEFSP WVASIFGSIKMMPYSTMIGYYTILREFFARFEPKAIRKQRVEHCKHAEDRVNQRLHEG SDQPDIWNLVMVADGSEKGLTLKEMHSNAELFMIAGSETTATLLSGLTFLLLTNPEKM QRLAKEIRDSAKAIKDIHFDTIANLKYMNACIKEGLRLYPPVPFGAPRVVPAGGHTVM GFWLPEETRVSCHHYSAYYSEANFKNATSFVPERWLGDPAYVDDSRDAWQPFGYGARD CVGQNMAMHEMRLLLAHVLFSFDFEICDESRDWIDQETYALWIKKPLLCRLKPVKN PFICI_00737 MVLRKKEVYTVITPIPGFIPRQLAIDILHSHGEVITLNPLVLSH KPISAPRNAPSDEFYSTWYEITERLQFIPGIGKLGSGVINFNGCFHDLPWGLQTHIYA PAGVDLRNKYRIGGNQPGVEPPEQQEMGLGAPKDGLYLREDIEIRCNFAMVSFVKAQL KAASKEMVDRIVKKAELLEAGVLTAMIENGKIKTSNPNDRSQYTGGLAAQSPPDSPAS MYHTHTGQSSMPPMSPSIPYQIPRPVSYQVPPSLQQHQQFNRPNSSMSHQSGSYQYSK PVEHPQVAELPVEAPQKNDIVMELPGDMYHPQASPSLHPPQLSPGISDTSGKTSPNPN NWRWSQSQHSPGLQSSRPTSYSSEPGNAPHGSPGLDHKGFAFELATHAETREEHHSGP KTQQHNIPPYNPADYARLSR PFICI_00738 MSDSKPTFTISRASPGDVPRIGEISTRAFDKDTNSQMKIMGQRP GAFAQGMVAGARSWLESPRAVLIKATDDRDGTIVGSCAWGFRGVELDPSPGAETDKDV LSGRRENGDDDSTAASAGEDQTEPPGAEKIKALEGMTSKHLFDFMGKRMPEGSKCIFI GGISVDPRYEGLGVGSQLLRWGTEQADRLGAFSWVHSSEAGWVLFSKHGYEEVDRLTF DLDEWAVGPPPADGVFAGREKWGEYTFRYGLRQPVKVSE PFICI_00739 MEALGGVASVIAVVDLSAKVLSLCSQYHEEVKNARSDIEELRKE VQNVQTQHERTKMLLEGRMGFVLETSRQLIEGHQGCKKQLEDLESKLAQNLQNQPGST RMRRFGWRALKWPFAAKAVQETVQKLRVFQQDISKGLTIDQTVLELGDVERSFLEAIS SIPYEKHHNSNSEKRTKGTCEWLLLHETFNEWRKSNSQVILWLQGQVGVGKTCLMSKV VDYMKGESGNLSQNEGVIFFYCDHQEERRRKPVSIFQCLLRQLLLFAKEDHPARKQLY NRWQGRETQQLGLDLEECQKYLSHLINDSAKTTVILDALDECEPSSRRYIIEGLKTLL EKCSKPLRILVSSRPERDIRDRLSSVSSIDVRAEYNEQDIKTFVKQEIVNHDNWSNMP PELQLIIERTIQGKSSGMFQWAALQVDQLLEQETISNIKDRLGRLPDTLKGTYDELFA AIRIRKGTDKWLAENALRWVMCAFAPLGREELLSAIRLNTEGDELVLLEKITEVQLLK LCNHMLVFDTERDVWRFAHFSVIEYLEDIGFGIRKSHSDVAKACLKLLNHTFINFEQQ GDTSKVKNHTTVTDGIFETDHPIQVYSRHHWINHIQTQETSSEQERDDELKEQRIDNA LTRQLQTFLGSFDNSSPQYRNWYDQVFEDRYFPRTSFLYIPGHCRTAPLRMISPESAS ILLACRFAVHKVLEDWWKADYDLWQTNHDGKNLLAVAAAGGSNSICKELLSRGINVES QKTNNAEALAEAYYYGHIDTLKLLITKQMVDPNVGIGLKGSILVMAAENRDLETTRFL LQEGQANVNMVVEHGRCGSALTAASRTFCLSIVRLLVQEGQADVNMVVEHGQYGSALA AASRSFGLRVVRFLVQECQADVNMILQHGRYGSALAAAAEAGSRRGSSKHVVEFLVRE GQADVNMILQHGRYGSALAAAASRKNFKTVKFLVQEGHADVNLPLRCGKYGTALAKAA ASGELKIVKFLVEECGAKINLPGNIRLRYGLECDAIHAARTAKEKSKDNGCLKFLEDW VARHPQEVETENQT PFICI_00740 MELVRNSVGINLLDGCCYEAERAERVAGSLEQLRQHLPPDMHAH LAGLITQIISTSHNLRDITDHSQVHMARVPWATDHLNILLPCLARTLRDIEGYYSNNG ESRVNRWRRMYQEMGEELRGTPLPARFVLYNDYLNQLRFLLGRSPNYDPNSLIYMQRR ILDLRRARDIREFLSVGRSDQRSHWAESIFQPEIPRKSRLERPKRSFGPWERFGQPFV SPNAKILTRCHFNNHQLSVTFILQDDDAPWLMIRIVNRQAHWLCLHGAHELCISRHDY ATLYLSRWSRTEQRAKRWASLKFEFFEELVLFYNMFLCLKLKSSRMVDFHPKELALRE EINMFTAFVEDDRTIYTLAIHRDVDTKRRRLQMTLCEGHLKGCPVWTAFLPGPGETPR SQWLKRGKSEHVILLKNLHAYVFCDGYSVPTTKLNADTFKIYFVNPIDADKFLTYF PFICI_00741 MPKEATKRGKTGKAEKVKRGKKDPNAPKRGLSAYMFFANEQREN VRDENPGISFGQVGKILGERWKALNDKQRAPYEAKAAADKKRYEDEKQAYNVSTPSPT PFICI_00742 MDIPARTENSLPLSEDEKRVLELYDRLQQLQLEIALITAQKNYT PSTSRAQTVEDAQNDLLESRARYVLRNQVTESVVMANPVLQAVHGGTNASPIEKDLLG VLAKRDSASIALADQTTSTKQINEEIMDVRSRTLQLSRQNVDLASQVLSLAGEAEERK AAAVEDTEQAEEIAQLEQEVKASRQRWRVMKATASAIVAGSGVDWAADEQLKSIVLDE DDDGV PFICI_00743 MATPTQVLIAHTGQRLQVDISQFATLDEFKAWVARPSSISPQNI IALTPQGKTVKIQTIHFEKEIYIYDVRITQASPTGTPAHLASEEPIPKQYTVTNAPDY IENTHSLQSWQDLFKSRRDWAFKIIEDCAAMTEAFQARHEEMKVMTKCLDAAVANLDS AVRPQEPKFNELKKWVAPAQEEYGTLATNWEQYMDLARGITISATMVRFMTGRDLRKS RQATLEDLIDLDTARKAGKLAASSLRKFNNKVAELDNMADKMFGGCDDLFQEIDKVMS RSILTHGDDAAQLLEDIEAVAKKIDTDYQATLAYSSSTRDVLQASKTAANHTERLLPS VQNRAREMDELLRDATRARNDLSAESLVLMRTIAEITSFLHNLKTMMGVLNQGEEEMT TFDYLRLIQQLPFMYASFMAESMRRREWSDKVKTDSSTLVNEMALFQDEELKRRRKWQ KMVGSTYGPDKTESNVLGLEVNVLGQEEAWPAVNKKDLDDFLAVVQHHRADTTVIEDI QKLINELNSPTKQQMKRVKAFKNGSVHEAALGRSGLLVRGDDDLLRGLQADKAMLEGK LKTAESRVRRLEGLLHRQSTESRPSIGNLFQPGSHDRNSSVSSIKSPAIPDDRRYSNV SDNSEALLRRITQLEGDLVTEKERSAVFGKETAARTKDMEGRMDEVNSIKKDLLENMD ALQKEHDRERKTLENEIKTLKARLEETEDEIEHFGQSREDEKGAFIDRMRSLEDEVER LNQEKHDETLKTQGQVEFLRNEARMQRERNESLEKQLQEANEAVKTLSRRAEASEASA ETHIQTLRDIHGQISPREKIPEDISDLMEAVATKSSDVLSKVMTLEEDMTLLKSDLEI AQETIKESKTEVAMTQEKLSSEEAARIKLNEVFEEEKAKSRTLENEITEARDQLSELR MKMTEGESGSETLRKKLEEKEKKVAELMETLAARQSHTGSLEEEVRMYREKLDSLDLR AMGLSQRFEGRNERTKDLTQRLFTYNERLLRLLERLSFSVNRQDGKMTIQKIPRAERT SKDANDSSDPSSSVRRSVSMGATLADSTDLKLLYWMNAEDAELETSQYQGFVSGPGSF DIDAFAEAIYRRVKELEHTARKYTKDARNYRERAHQAVKDAHDKIAFRHFKEGDLALF LPTRNQTTGAWAAFNVGCPHFFLREQESHRLRQREWLVARITRVQERVVDLSKSLSSS TAAHAGETDSVNTSNGSGETEFDNPFDLSDGLRWWLVDAAEDKAGAPSTPGLGKSTVA ANTVEAVADIHTHQARTGASRLLPGGKATGIEGVSRTLSKSLESRRSSSGSVNRKALP FAGGAGAGAAKSSALASETNSLRAGPSDSPDLAGSVGAMGKGKAVEHSSGSGSAKASG GHAEGDEDWQRMPPPPPRSQPTSTSPAKSKPASLYRGSPSKQRLHQGAGQNSEGGNGH QQLQRDTSNTSADSRDKSVVWDSLWSLDVSYPGK PFICI_00744 MATPRLGRALTTCPNCLGRLPLARPTATQVLPSPLQQLTQVRHA RTLTKAELEDLQGIPVRLLKNIAGFGKQHSIIRVKPGRMRNQWHHKGLAEYMTKKRFT ELGLTEAAIGVRDRTFGTKLLDEEDGDSQKIVTNEPVKTKKKETIVMTADESRALLQD LLPKVLVFARKPIAAPEPAKDPEPVVSRNFALAANAQVSTQTAAEAAAVPEQPQQDTK AIFGSVAPTDVVARIREALLADSNGSRVSFEAEAVSILGLEAGEDRIKRLGVYEVEIV TGKGLEPVRRTVEVVPETD PFICI_00745 MSGVQVGLEVAFYLYPCGLFVTLALSQLARHQRYNARSSPDVDE KRAEQVNRVHNRIIRVAQALLLPLLLVSVVLVTAQAIAEEQAYPPVNFPYTAYIASYL GVLLYFLGGLLPDPDGPWTPTVAHAIAWAAGIILEAVIAAVLFSQQERIHVSSRLLDN LFSLSLARLVLLLFMVAVLARRQWALKKPKHAGSSSEHESLLENGEPANGYGSTPANG AKAPPKKPDAQKSGWLDYISGFRVLFPYLWPKDSRLHQAVVVLCIILLIAQRVINLLV PRQMGVLVSELGYGRMPYKDIALYVIFRGLQGGQGAIGAARAVLWIPVSQNLYRRLAC AAFEHVLGLSMDFHLSKKIGEVTSALSRGSAMNTFLESFLFQVFPMIFDILIAAVYFF FKYNAFYTLIVLTIMWSYVFLTIYMAKFRARQRRDMTTKSREMDAARTDAIMAYETVQ HNCAVKSETGRFKEQVTIYQRAERIVYYSLNALNLTQSSIFTLGTALIVVLSAYKIST GQETVPGFVELMAYFAQLQAPLNFFGTYYTMLQNTLIESERMLDLFKETSGIVEKPDA VALKDPKGEVKFNDVRFSYQGKEPAIDGVSFTVQPGTKTAIVGESGSGKSTCLKLLFR FYDVAGGSVTVDGHDIRDLKLDSFRKYIGVVPQDTVLFNASIMFNLLYANPSASEEQV FNAARAANIHDRILAFPDGYETKVGERGLKLSGGERQRIAIARAILKDAPILLLDEAT ASLDTHTERQIQDALERVTSGRTTITIAHRLSTITNSDQIVVLSKGKVVERGTHSELL KKQGAYFAMWEKQTTAEQKAKKEAEAEEKE PFICI_00746 MLNSVLPLLLSGAALTSATLCPVSVLDNFDDLTTGGPRAAGTPI GTYNGVKFQDFYVEDPHDKTGKLPYGINPASGNQSALYYGDQSTPIVKVNYIGSKYDS FDLTSFKFGCAFGFQTIPFGVSRCIVAVLGYRNGNRVAAQQFSYLPNMRSTTGNMIVA TLTDDFKNLDKIRFGTGYSPTLSSGGDPAAAGFVGATWFDDIQFTLYQNVNGACPGSS SSSVVLPSTSLSSSSTLLGTSLPISVPTTSVSSPISTDISIPISVSPSVSLSPSVTVS TDLLPTSTEIPTVLPTTISGVSTDLPTSVEVSTDLPVTTTISTEVPITTSIELPTTLD TSSDIATSLEVTTDLPTSTEITTDLPVSTDVTTEVPTSIEVPTSIPTTLEVSSDITTA VEVSTDLPTTILSTDESTTVEITTEIPTSIDVTSVLPTTVDVTTDLPTITDITTDLPT VTDITSDISLTIETSTDLPTTIETSIELPTLTLPTLTLPTLTLPTLTDISTDLPTTIE TSIEVPTSTEISTDLPIETTELPTSEITDLPTETTELPTSTDLSTDLPIETLTDLTSS IDITSLLSSLTSDIDISTLLPTTIEVSTDVITSIEVPTDVPTTILSTDLSTTVEITTD IPTITEIPTEVPTSTEISTDLPVITDLPTETSVELPTETLPTSIETSELPTDTSTDLT TELPTDTVITLPTETLPTSLDTTELPTDTTDLPTDTITLPTSLETSTELPIETTELPT DTVITLPTETLPTETLPTSFETTDLPTSTEDITSLPTDLTTISLPTSLPVPTIDTSDI ITDIPTTIDSSTISLEPTFDTTSDLVPTDTDSIISTEITIIATPTGEPTITVSTEIPI STDVTTDLSHQTSDLPTDIPTTELPTSIETSIELPTSVETSIEISTTELPTSIETSIE ISTTDLPTSIETELPTSIETSIEVPTSIETSIEISTTDLPTSIETELPTSIETSIEVP TSIETSIELPTSIETSIEISTTELPTSIETSIEISTTELPTSIETSIETSIEIPTSIE TSIELPTSIETSIEISTTELPTSDITTELPPLTTPTELPTSLETSFTITSISIPTTIS TLIPVTTSVIVNPPFDFNITVPADGVPPLSTDGRCGVEYHGTDFETRCPTGQCCSAWG WCGTGGLYCATCQSAFGACFRFGNFRFRIPINATTPQ PFICI_00747 MAAKSLFTVSIPPLKDHVGGEIVCTEPAPEVYLLTFSSAPDNRL TPAFCAAMIKALDLIELRKPATTGVVITTSAIQKFYSNGLDLDLAIRTPGFTEDALYP LFHRFLTFPMPTVALVNGHAFAGGFMLAMHHDYRVFAGDRGYMCINELEFGAPLLPPM SGIFRTKLRADVYRTTVLEARRWDAQAALAAGIIDRADGLEGALALVRDGKLTTKGKT GVYGLLKEEMYREQVALLTATGKDVVKFSDAMDREKKRKAGLKKRGAESKL PFICI_00748 MSVKTVEFESFTDQKPGTSGLRKKVKVFQKPNYSESFVTSILLS IPEGVEGSFLVIGGDGRFWNPEVVQLIAKIGAAYGVKKLLIGQNGILSTPAASHIIRK RKATGGILLTASHNPGGPNEDFGIKYNLANGGPAPESVTNKIYEASKTLKSYKIADIP DVDLSTIGSKTYGNLEVEIIDSTADYTQMLKDIFDFPLIKKFFTNNPDFKVLFDGLSG VTGPYGKDIFEKELGLSGSTQNCEPSPDFNGGHPDPNLVYAHSLVEKVDKENIPFGAA SDGDGDRNMIYGAGAFVSPGDSLAIIAHHAKLIPYFQKQGVYGLARSMPTSGAVDLVA KAQGLNCYEVPTGWKFFCALFDADKLSICGEESFGTGSNHVREKDGLWAVVAWLNIIA GLGEANPGTTPSIKQIQKDFWATYGRTFFTRYDYENVDSEGANKVVGVLNDLVKDPKF VGSKIGDRTVVDAGNFSYTDLDGSVSANQGLYAKFSSGSRIVVRLSGTGSSGATIRLY IEQYTDDKSKYDLDAQDFLQPEIKFATDLLKFKEFVGRDEPDVKT PFICI_00749 MAGNEALVWIDCEMTGLDPDREEIIEIFCIITTGTLEVVDEAGL GIVIHQSKERMDQMDDWCTKTHGQSGLTAAVIASTVTPEQAADELLAYIKKYVPEKGA ILAGNSVHADRAFLRKEPYRKVVRHLHHRILDVSTLKEAARRWCPKKIIHNAPQKKGL HQAKDDILESIEEARYYKETIFKRD PFICI_00750 MPSRTEKPRSQSAASRRNEKRLEHRASSLPGGSSRVTKTSRPRE RRFSLSLTLQHVDDECHYEEVVEKRGEMAVDTRDGSQRDEARDLIAEIMVAQGLQGRL YEKMGRMARKERFQQVVVQSNASKAIECQVAQLQQRLTMISLCCSFEELCLD PFICI_00751 MADSKPSTTPNAAPAAPTQAPGYKAATPNPALRMMGLPNLPKKL PSRNWMIFWTITASFSAAVIYDKREKKRATARWSKAVAHLAKEPVTSATQLPRKLTII LESPPTEGLRPAQDHFTEYMKPILAASGLDWEFVQGRKEGDVRAAVAEKIRRTRRLQE GAPVEEQEPTGEEIVRGMRQRNATPEWTGINGDIIVGRHTWKEYIRGLHEGWLGPLTA PPVPEIPKPETLAESAEGSTEEKKEEEKKEEEKKPERPAQPVPHNTTNDYETSPLPSQ IPAEFSPSTPVHFPHILGFSNTFIRLGRFLNRRSLADEVGREVAAVCLAHAREYRQDA VGEEPEIQTVLEKEEGDWPKSVWKEEKPKEGEADVTTPAPEKIWTSPIVLDSRITQRM RRFELLPEDEARAREIVVPEEEIEGWIKGSFRGLWRWATGPSPPRPYWSDEE PFICI_00752 MVSVTVRALLGLAALAQSISADDSAITSDTVFYGLSDPVYPTPE LEASGTWADAISKAQALVSQLTLDEKVNLTAGATTETGCSGFIPAIDRLGFPGMCLAD AGNGLRNTDYVSSWPSGIHVGASWNKDLTRQRAVAMGNEARKKGVNVILGPVIGPVGR VVLGGRNWEGFSVDPYLSGGLVYETVSGIQSTGVITSTKHFLGQEQETHRLATTSAPI VESISSSIDDKTVHELYMWPFSEAVRAGTGNIMCSYARINNSYSCQNSKMLNGLLKEE LGFQGWVVSDWGAQRSGVASALAGLDVAMPDGDGLWAGNLSLSVTNGSVAESQLDNMV TRVLASWYQMNQDEEDFPVPGVGLPADLSAPHEVVDARDPNAKPTLFDGAAEGHVLVK NTNGALPLNSSEMKLISLFGYSAKAPNMNNQAPVREGDYFSAWSLGVESANLTEINLG FLGNLSIAKSVIAPNGTLVSGGGSGATAQNQISSPYDALVAQAYEDGTALFWDFESGS ALVNPTSDACIVIGNAWATEGYDRPALRDDFTDGLILNIADQCANTIVVFHNAGTRLV DTFVDHPNVTAIIFAHLPGQDSGRALVSLLYGQTNPSGRLPYTVARNESDYGAMLKPD ETLAPAKYALFPQSNFTEGVFVDYRHFDAQNITPRYEFGYGLSYTTFDYADLLISQTD AATQSYPIGETVEGGQADLWDVIAEVSVNVTNSGARDGKEVVQLYVGIPGTDVPVRQL RGFEKPTICVGETATVEFAITRKDLSVWDVVAQKWNLQEGDYSISVGRSSRDLPLTGT ISI PFICI_00753 MSKVGGPRITEEIQTSSRLDLNRHLNKLIEPMRALCFEAAETEL PASPDWTSVVLYPKILTLFAAMSARVMVGPGLCIAWPAIAMKYINRVLAAQGAIRNRY HPMLYWMAYYLSPEVALVNEARREAAELVRPVLEARQAEYANRGAETEKHDDFIQWVM DAYRTNGKKVTPDETVQNIFIVMFASMHGTSFVALQALFALLSEPDALSEVRDEVNRV LRDELKGSSIWTRHALGELKTMDSFMKETLRMKPFQEATVQRVAMVPYTFKDGLRIPS GTVMSFLNLRYNTDGSRVPEADTFDGKRWLRRRAGFDTSKFQFASTSEDMFDWGGGLH ACPGRFMAEITIKLILICLITKYDMKLVESENDRPSESRRFMDLTPDTSVPIMIKDLQ A PFICI_00754 MAFPSGQAPMVLAVMWVQTILTLIFVLLRLYTRRILLRNIGPDD HLSWISMVLFILYTTFVTVAAFHGLGNHAADITLEQFAESTKWELMGQSSNILAIATS KCSVAIFLVRIVVQDWHKWALHFCIWSTVFVCVSCIILMYTQCTPVHGIWDPRVTDTV CHINFTADAIFSGSYTAAMDFFLAIFPWFILWNLNMKRKERLTVSIGLSLGIIAGICG IVRAVELEAIDSKADYPYVTVPILIWGSSELMVCILCATIPVLRPFYKHVTGRGSSTD PYSNKNYGSQGPNGSNWQELEPTSRTKNATESVTWKSRNAATVKGDNASDESALFQSD DADTRKFAGGIKRTTEVNVSYA PFICI_00755 MAFPQPLVLPPGIEASRFEAYLKAVTEVVGAENVTVIHSEDVLT KESYLDPSKAHDMFHVFDKDYFIASAVVAPRKVTEVQAVMRLCNEFKVPVWPFSIGRN VGYGGAAPRVPGSIGLDMGRNMNKVLEVSEQNAYCLLEPGVSYTDLYNYLVEKKLNDK LWVDVPDLGGGSVLGNCMERGVGYTPYGDHFMMHCGMEIVLPNGELVRTGMGAMPNPS ASSSDGTIDEQPGNRCWQLFNYGFGPYHDGIFTQSNYGVVVKMGMWLMPNPGGYQPYL ITFEKDSDLPQIVEIIRQLRLAMVLMNVPSIRHILLDAAVLGDKKSYKNVDRPLTEEE LVEIQNQLGLGRWNFYGALYGPEIMRNAQWEVIKGAFGQIPGSKFFWPEEGKQSPGVL DIRAKTLAGIPTTDELKWVDWLPNGSHLFFSPISEITGDAANLQYSITKKRVLDAGFD FIGTFTIGMREMHHIVCLVFDRKSPEQREKMHKLIRTLIDDCAAHGWGEYRTHLALMD QIADTYSWNDHALLKFSEKLKDAIDPNGILAPGKNGVWPASYNKEQWRLTGASSLQRR S PFICI_00756 MCTIIINGMEFSVTRTLYTTLRYLRHTTLERVFWIDGVCINQKD TLERNHQVAQMGQIYSCARCVRIWLGEATVDIGAAMDLVSASQNGVIKNWTIENWAIE SWPTPNWQTKHIQQRVVLCVRSYRVGASSLTKLLKRPYWQRMWVFQEIVLAKRAVVHC GSFEVDWSSFREMDGVMADQRLWAEVPMNESWVHDLRRAFFGIAQFCVERDQSAYLNN VLYPTRNLKCTDPRDKLYALMGVCEMSRSLGFVPDYTRSVCHVYTDFTRSLIDRQKDF SNLLTAGLWNCKNGIDICLPTWVPDYRGTHGVNIRYLAASYLEHFNASKGRKGFYLFQ HDEEGELTRLQVRGSVFDTVKNTAMFANGKETRQILEVLVDQESLGPTQPLLSARTLL DSFFRIMVFEDPTTSPETNRDRLARLAVGFAYDLLTAGTPSSGSRTSENTFAAIIDFL SSLKTSLESHPFEALGESYLKLMEEDPEQLHWFREEYLARSRETSQGSTPSFFSTQRH CIGKGVTSVSPGDVVAVIFGCRIPVVLRSSGRFYQLVGPCYVHGAMNGEVVRELGTIP GVEEQDIILV PFICI_00757 MRCTNLVSLAPLLALIPQVSSLISASSYPQFCSTEQWEDDELVV SMSAAHCYAYSVCAKEMGVYPTATLGSTTLTTTLTTTIVTASTVTVDSTLSQVETITD TIIPADVTFTSVATVSDTSITTDATETSFTTVTTETVEETATSSITSVVTTTETSYTT TATVYVTVDTLTEVETQTDFTTIPTTVPATSTVGTEVTSTNVASETTTTTVTDVETAY TTVYVTTIAEVVTVPVTTTVTVNQKRALASATADASSKKDLWLAAFGETHLHNACQCI VPTTNSTANYTTSSAETFYTTAYTTVYDVSVTTVGTAATLISTVIESTTVAQETETVV ETYTATITQAVEATITIGATATTTQTTTTLTTVTSTSTTTDNEVASTAISVTAEETSS TTTTSTVVETITSTETITTTATAEVDLTVTSIVTVTSEVETTLTSTSTTGVTSTTSTT ATATFAVQTNVIVNGGFESGVLSPWTSSFAGVTANKPYSGTYSLQATAFRAGIIPFIQ QTFSDTAGVTYGCSFQWYMLLYTPLTTITVYVDGTLVSTYTLTTTNYRTWNAASFSFT GTGSDTVRIVFTDVSPTFNYGYIDDIACTAQ PFICI_00758 MEGDKQIVFYDIASGPPRTCYAPNPWKTRYALNFKGVDYRTAWV EYPEVTRTRMELGVPPCRYHADGSPFYTLPVLRDPSTGALVGDTFDIAVYLDKQYPDR PTLIPPRSIAVFKAFNKQMDALFTSTVLLWCQGIPLNPETAEESKAEFVRRTNGTPYE EITVKGEARRQLLEQSKEKLAELAELFQHPEEPFMEGATATYADFIIGGWLTTMSITL PEGDWTEIKSWHDGRWARLYAGLRRYADVK PFICI_00759 MSTSTSSAGKKFNTELTDYSVAHEDKSGPYAENLEVDALVVGAG FAGIFMLKTLRDRGNKTVIFEAGNDLGGTWRWNCYPGAGVDSEVPEYEFSWPEVWKTW NWTSNYPNYQDLRAYFDHVDKVIGVKKDCAFNTVVIGANFDTATGKWSVRTADGRVTK AKFLILGTGFAARRYIPDWPGMDKFKGVIHHSSFWPDEEVDVANKRCAIIGTGASGVQ IMQAWGPKAGQVKVFQRTPNLAVPMRKRPLATEDQERNKELYPHLFKLRETSFAGFPY DWYEKNTLDDTPEERERFYESVWKDGGFRYWVAVYKDNLFNAEANQESYNFWARKTRA RIEDPRKRDILAPEKMPHFFGIKRPCLEYNYYEQFNRPTVDVVDIKDNAIKDFTETGI TLADGTHHEFDVIAVATGFDVVTGVMTQLGLESIHDTKLEEEWITGANTYLGTSVSGY PNMFHIYGPHGPTLLSNGPSSVEIQGRWIADLVDKVNRQDIKYVNPKREAAEEWKKHI LDLNNKSLFPTTRSTYMGGSIPGKIYEPVCYTGGIPRYKKEIREALDNMTGFEIVAN PFICI_00760 MTWSPTLAETARKIASSCIYGHDTSVDGGGYGQNIGAGFLSTAM GQFITEGLYNSEVNNYVYYGGEPNLNTLSQWGHFSQIVWKSSITVGCYTSDCSATGLS NAPGIPPYFTVCNYGPAGNVIGGFGANVGPSIGLATVDASYGCPSRANCV PFICI_00761 MKAWSVTTAPGGKVELEGTTVEVEVETDTLVVDDNVTVVLESSV VRLEELEEGVTVVLIGKTAVPLVLLLLMMEVDSNVGLIDKLEDELDELVGERVRLLVE SKIGLINELKEGVTVTSVGKSTELLGDDVERVINVGDSVLETLVNEREGKEGDKREDN ESMDDELEVDRSVKAAEIIEEDEESIDDEVEVDESVKVAEMIAPSLVEAVASVRLPEI TGYGTLVDSMTGLELARYAV PFICI_00762 MNTTKATDQASAMTATAPFLRLPTELLHDIVQYIEEPEDVAAFV SSCKHCMLALGKDFVHVRSAKLWIVIQPLEDLWRYRSWDAKPLTTLEMAIERTFDKDI LEWILDLHVTNYPQCLEEETIISSVEPSLLVKAIHHHNKAAVQLLLDRGVKILKSTAT VEEVNKHWEGLICPLLSALDEFPISKDIVHVLLEAGHYVDHEHLCRSIWKDNPELVSL LLERAPESYATEARRYQLLEYAVIGGDDEDPKLLEMLINSGMDIGSAPEAERNRLLKT AITKGKDRDTKILEYLINRGLDIESKTGRYLDPEYPGRPKLAFDPIKYSIQAGYPQSA IFLLRQQVLQGLHTLESLPNLAQPVFEVYAGSQLRRPEVYSHNFGYVEFTMALHAEFT TSICNALTLEEADVEQKYREGCDFIMSQVLQHSIHSSKLMTYMLANGCRILPEFIFQE IEFWRMAEHCLVTNHEHPYRKLCKRCKKRKGIRNPCPKGDSSYTEAMSHLDLLLSWAG SDLINSRNKVNIVRKRVQEEVEMTPLDYAWAGSSSISKVKLTSGRVKEVVEMTLLDYA LVNCIWPVVFCLVRHGADLTSLDPRAEQSIRQMYNDNALWWAKGGNVPIEDAMAGRFV PERQVFGQDMSEGSQATQALALVMLTIGLPSEDQVSEAPSMAKQALTSEKMAKISSRN WRAAVNGSWKTRRFWE PFICI_00763 MSSSQSRIAQLSEAIATHSRLVDEYLSKNNLPQPSFDADGPNNL DLPPEIEASRAQVLAATRELNDLLQGPRNLLFNHENVPILGGITYKELAASIGVNCDA VCRILRFGIAFRIFQEPQPGTIVHSAASRQIAEDGAMADWVGSNVDDMWPSAQKVVDA LKQWPSADEPDQTGFNVANGTKEVFYNALSKDPARARRFGGAMSFFTTGPGYSLDHLA DHYSWASHGCGTVVDVGGSHGDTAFAIARKFPDLNLVVQELPGVVANSQKQVGLNVRF MPYDFFEEQPVKGASVYIYRRILHNWPDKHCIKILKALVPALKSGSRVLVMDFVMPPP CVIPNAAERKLR PFICI_00764 MAVLQIFLTTVLLAALLTIGGAIRRLFLHPLAHIPGPKLAALTW WYEFYFDAIQSGQYVFKIQELHKQYGPIIRVTPDEIHISDVGFLDTIYAPSSSPRDKY EYQLRTLRVPGGVGTTPSLEVHRRRREALSPFFSKRNVVLLEPTITEKVQQLCQSLLK HASEQTPVNLSDVFFAFSNDVVNNFLFSQRTDVLSDEQKAATLRHNSYQLMTGINLNK HFPFIPDFLESLPKSVSRSIMPPGLLDMLDLFDRVRAELVGIIKAKASRASNDSSIAK SVYYSVLDNPILPSPEKALLRLEQEGALLVLAGTESPAKSLNMIFYHLLANAHIMSKL REELNTVTRSASWTQLERLPYLTAVIEEAHRLSFGVTARTARIARETLIYTPTSYAAH SSNIGKSFRIPAGTPMSTTTLSAHTAPTVFPDPFAFDPERWLGEEGRKLHKYQMSFGK GSRKCLGIELARAEMYLVTAALVQGFDMRLWKTEASDVAFVHDYHVSMPSLKFKGLEA TITAL PFICI_00765 MKFPCSLLLLFAQAHGMALQKRDVETPSPGLLLDRYTQSGLEFH QWVERMIDEGHLYPPSVASGDGLIFLRDENESWTVKLKNIACKQVHGNRDTLTKAQSH FCGWVQKIEQIKAKEMEEDVTNVLCDDGVICRLGVRTAFDFLKVFPDNVQDITNACNE MFDQLHSACPDGGGVADTEVMDTQGNIKESGQVEASFSHDNVGECSPSQTRQCHEHEL PFICI_00766 MRFSRFIYLPALLSIGISTASPTPTAAMLEARATWAAMGRNDAE FVYGMDTYKRNYGRNNNVSADEYRQAVAWVKDTLFPNMDTTGIEYNYKQHHNNRYQMP AQDEANQAYTLLGLQPPY PFICI_00767 MQFSNALLAIISAAATAQAGCFTRGDGNGQGDGHYGQGLNQYDI LSSVAPLLKGHYLSNEERQQCAMDTYQNKWKFYVKASFRDSPHSESVRKTILSLLTFL AACSQNTDGKPNDISEDTVKQYLHNEAYACQYGGKSTQEGHWEIVSDPNPGECVSSSP SSKRDTTVRGWRA PFICI_00768 MKPSQVVVGDDHLKVFKLDDPNTIDLIQRAKESDTYDRNLTIRQ ALKKYKVAVFWAMYMSMALVMEGFDANMLGCKMSSFYGRTQFLERFGEYEHSTGRRYI SAAWQSGLSNASAVGQLFGLAINAYSQDRFGARSTIMVFMIWMAAGIFIPVFTPSLIV LAIGEFMCGVPWGVFQTLSTTYACELVPAMLRPFVTAWVYWNWGAKAAWFFAGTNLIC SIWCWFRLPETKDRTFGEIDLLFDKKVPARKWRTTRVDRVLEPRTIDETLENLHKHRC VDPELPDFSVAIIIHHIIQWNWQVERYYTNPLSQWNPKEYGHGSSKPRNYVSPTHYPA EIPQIADWRNSACDCLDVLHWNAVSDSAKTGDFEGSVFLYLHLARLVLLTPFMELRTL FESFKSGEASATQCFGHASRPKCEQIAWCWFDRDRYKCRLAVLHAGAIYWHIRRYPSD TMLQPFSMFMATVVLWAYALCCRAAASKGSPLAVSIPAEHSDVSRPIPGGLPGENVDP DEPSRAVCSPATPSIRNGPPFENRPREQRRSAEDSHNLASDDALESRSQLPNFMHIER PIDDDLVQNFIQSAEGIQVYIEGVGDLSCVDSMRVIREGARILKRRCGHWGTALKFLC VLESASR PFICI_00769 MASKEGVLITGCSDGGIGSALALEFQRRGYHVFATARNPSKMSR LNGLSGVTTLALDVVKPDDMKTAVAAVEGSGTTLKYLISNAGRNHFMPILDEDPQAIR DLFEVNLIGPITLTQAFAPLLIKAKGMAVYITSISGYLSIPYMGTYSASKRSLEIVAD TLRLELAPFGVDVLEVVTGGVKTNGQTYFEDLKLPEESLYKPIEDTFVNRAQGKGDGL ERMDAAEYASAVVDAIANRTTGRFWFGVNAEAVRMSTTATTVPQSAMDAGAVMGTGLD ALESGDK PFICI_00770 MASIRSPESVSCTATAAMPCTEPCEEDPHNPPRSAQNNAMVDEI WTQDEEHHGENFDPDALLPRVLRPEAGESDLQYLPWSGPFDDLLTHNFMPRWWSAITF TSIVISYLLWLNWASNGKTTIAMSSSFDYYISLSSIGGLIGNFGFFVVWLWRRSLVGS CLLSISISTGCFILIRSLTSTLALQDGQSFCGEEVVAGWTWRTGPPPPITPSCQDRVD LQQSKLFTEALYRAQFARMIEITLPAGNASILAFSMADFLKIKNDSVLCYQGFQGNQD LYGMGIRYGIYLQWIASILSNNFLPGTRLEIQSLHLLFSIGICVATLVFTFRKTCTFS IEIEVLYWLFWGGFVGVVAACPNQLRLGGTQRARMLGLNWTMATLFVTASLMTYHMIW YFSWGYDQSFSRMPCGTWHFLILAPILDPSEAFCFSRDGITLLTLPYAHLLGISIPVL TFLTIPEILGAIGSSRLCRFLMTRTDEPPESTTPTMSRKRLRDSWKRIYRWLCIVYHG IRHIIGLPAHNTGGIRLITPLDIAVRR PFICI_00771 MPPKKAVKEEKIPLGRPGNNLKSGIVGLANVGKSTLFQAITKCN LGNPANFPYATIDPEEARVIVPDERYDWLCEKYNPKSRVPANLTVYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVNPVRDLDIIAEELRLKDIEFVE KALENQKKKTRMGGQSLEVKKAKEEQDTIEKVLNWIKDGKDVRKGTWSPKEVEVINPL FLLSAKPVVYLVNLSEKDFIRKKNKHLPKIAEWMKEHAAGDPILPLSVCFEERLTQFE TEAEAAEECKNLGIESALPKIILSMRKALGLGSFFTTGTDEVRQWTIRLGIKAPQAAG VIHTDFEKTFIQAIVYNFNLLKELGDESEVKAKGKIMTKGKEYVVEDGDILLIKAGAA KG PFICI_00772 MSSKQAGRNSMSRSSRQSSHRSTLSLSRTEVVINVYDLLPPGRL SSVLWTFGSSLLHTGVVINGREYAYGGHDRPSVTGVYWTEPRTCPPGATFKTEILHGF TFATQAEIDAIIKSTSEDFPGTSYNLLTKNCNHFTQSLCERLTGRRGPNWLNRAAQIG VALPCVVPRDWLEPPDYETAEGALVEESDDELTTNEGTGFLSRENSYRGNLANNSAGD GTAPGGTGKGKGKAKSTRDSSGRALPASERAPAR PFICI_00773 MSKPASRLLATTIRQTSQWQGSSNIRLPIRSFSQTCRRFADEPG SNPPPSVAGTPSGAQTSASPASQAQRRSAPTPGFSSLSDLSASLAGSRPSRIGGQQLA QDAIARARNQPTAQEVLLSSSEELIDKDNWWQHEEPFHFHIYSHKHNTHITVTKPDRN AIISVSTGNIGFKRSKRGTYDAAYQLSAHVIDKLNQGNWHKKIHKLEVVLRGFGHGRE ASTKVLLGNEGKFLRPKIVAVSDATRLKFGGTRSRKPRRLG PFICI_00774 MSSLRHAARSSTSSALRTPSLASSSHGRSPAYRRILSGLERQNG ASPIASFTTASPALAALRSPFLPQTIANAQLRSFHQSSRWLQDQPKTEAKPDAKQEPK PANADAEAKPESEEQTKKEEEDADKSEDGEKKQEKKKDDLPPPPPHGDKTPWQVFMET MQTEFKQSKEWNDSTKQLAAGAKEFSESENVRRAREAYESTTGAISSTTGKVLKSTGT AIGKGAAWTWDTSVMKGVRKGANATGDVLDKATKPLRETEAYKNVKNVIDDGSSSRYG GWVEKEERRKAKELREKQAAELYGKPEDMVEDPNAGTNVTVHKDAAWKEAWRDFKDQN KLFQGLFNAKNVYKESENPLIETARSITDRIAGFFAENETAQVIKKFRSMDPNFQVEP FLQELREYILPEVLDAYVKGDTETLQLWLSAAQYSVYEALTKQYLQMGMKSDGKILDI RHVDILKARMLEPGEIPVFIITCRTQEVHVYRNAKTNELAAGMEDRVQLVTYAIGITR TPEDVTNPETRGWRLIEMQKSAREYI PFICI_00775 MARSRKTPKVPGLILADPKHDFNSDSKSKSKKSKKSKSKSKSKP TVEEPTATDAHPPAPVVEPPVSQEPTDSSAPETISREDARTAKNKTSSNKPLYFYRES HPRTGFLSQWFTEPFYDPTDQYRNTYYTAEHFMMYKKAMLFKDANTAAEILAASTPKE AKNLGRAVQGFDEEVWVANREKIVRRANYCKFTFPHGGDDSFGPPVRHWHLGANLDAE TIRAPSFRAALLRTGDRHLIEASPFDRIWGIGFREADAESNREHWGENLLGKALMAVR QNFKDEEALVS PFICI_00776 MYIPTTQSVITAGLAMTGLLKDRTPTPSPLDLPISEASELTPNL IKTASTVASGSVLDYAVEKCLDADGNTRCSKPFLVTKSTCYEIEWHTEGVLTHTTAEV RDAGSGEMVYYRDTNGQWTPDKGELVYLDFKPKIVATGNQTVDYTVKTCE PFICI_00777 MNPLRPMNNHQFNPFEPHPELDDIDVPDDVDYVNNTDPFGIFAA RGMGAPPMMQPKEVRELAARRSERIFAGYRLLQSILERHESTIQKRWEKKSRPQRLSI LLGAWPDMPLTHRPDFAAFRRNSNNIPRVAAQHRSAFLWPSINQEDLGDPRTLPLLLN ARGRNHPHVFAAADGEQSHLGKVTMAIVPVFLNEHVILINGMPEQKDYGKLLAWSEHE DAFDWMHERKQFLPGEGLLILEFQERLLGFLVECCQKILHDIPADRVAGDEYPIQPEP SLKEGVDATGFSTLGVLAREAAYRPPAKLDLGKIESLLAARTVRAEDHIWAMREDPSY FADILLDMKEHRQEMIKDLRGGLHPTLLPPREGLLWARVIGSVLTESFFQLEIFAELR TQVQRLQVLSEKYKSEIDPGKDLPKEYLEALLKFRFYLLRAVKGVLEQLKMTTTASPP LRSLFVREVPVSVSSSMMQIMSKGVRMDETTKSLMWLLQTLWEDGNNLFLAKLTTVVD ELDRLLRADPKASELVSSYIAGLVGELSIVGECLRQLEIYQPWANNFENAMVEREEEF KKEFAQRSASWAQVLECFNEKNTIGLRQLGDPTGGRFQYPIGKRRNKANVEMLRTSEA NLDAFWSAVDQMLHKKVHKLSGTAYKKLLSQPRYLQRTPEWVEPTAKKDGKPSERQVE ELIVPFSRLFQSSEPVTSARAEALAAGLTKTKIKSRGAPGTMPEEENTEAALPAVIDD VQPIFKIDARALKVFRIVFFDPSANTTAGEVAWRDFLHAMDSTGFTAQKLYGSVWHFQ PTKLDVERSIQFHEPHPRGKIPFLVARRHGRRLNRAYGWRGSMFVLEDKKN PFICI_00778 MSKHEEAAANVVQIHKPNAFHDEDVIEGVDRELSPFQCLKQNPK IVAWSLFANIGSCLVGYENLVLSVCLAMPAFQMTFAEEVNGALTIPAYWQSAWNACYN VGMMIGSLFAGWIQDRVGRKKVMAGAIVLACAGIAVAFIASTSAVYLGSKIITGIAVG MMQTVTQTYVSEIAPLPMRGIALSMNIIMMNLGMLIAISSTFSRVAIIDPMAYKVLFA AGWAFPGVLALGLPFLPESPYWLVMKNRRDEAIISLKKLSGSHEDIAARTHQIEATIE HEREVESTATYIECFRGTNLRRTMIILICMYMPQVAGAVLSSNAPYFLNQTGLDSHMV LLLTQVGIAMGVVSASLNVFLMMKFSRRTLMFFGVGLCATMYFIMGVGAVVPRSNSSL LAIGVALQFTSITYGPAIGSSMAVAGEISATRLRAKSIGIGYTWSCICSIVWTIVLPY LFNTDEANLGGNLGWIFCGMALIMGVLLFFFVPETNGRSFDELDILFERKVPARAFRN YDLTQPAQP PFICI_00779 MAPIQTDIPLQAGPQDPEKAALFSTTVVPVATIPQTPEELLAEL TLEEKVSLLSGVDFRTTPGVQRLGISPLCTSDGINGVRPAAHDSDMTTACFPNTTCLA STWDAELLGVMGERLVPEAFAKSSQFLLGPTINIHRDARAGRNFECFSEDPLLSGQLA GAIVNSVQKGGVGSVPKHLVCNDSEYLRHFYNVELSVNDRPMREIYLAAWQHLLRTSD PVGLMMAYNKVDGDFCSENKPLMDLVRNEWGYKGIFMSDWFGTHNTVEPIKAGLDLEM PFPVWRRGKLIEAVKSGRVTQEEVDARVLKMLEVRDRTRPSHRSEPEFSEPNEETIKV IRDLAAGGIVLLKNENETLPVKSAKIALIGEFAKKPVVTGGGSASCNPQYLLSPHDTL KQALSGKAEIEYAAGVRTRRIIPMAPKEILLAANGRPGVDVKYFNDGTEGPVFTEQLD KAAVWMLGDGYRPGLAIVGSHLEMTTKMTPPSTGKHTLAVRATGDFSLTVNGQEVLTG KQPDVTTEQTIFNHTLLEFRTEVELTGGEPCDIKLHMRSRDKMTVNEPTPYAATLCFE EYYSESDAIAEAAELAKNSDTSIIFAGRDGQYESEGFDLQSIKMPENQTALIKAVAAA SKKTVLVLQSGNPIDVSDFEGDVDAILAAHFYGQEGPNAIADILAGNVNPSGRLATTW FKSIEDAPSFGSFPARKEADGSVTLKYTEGLQMGYRHPDASRVRYPFGHGLSYTTFQY EALDARAQQDGSAEPKLICSVKVSNTGSVAGREVVQLYVTPASTSSVWRPQKELKGFK KILLQPGESKQVDFEVDLKVACSYWDEEKRSWSLDSGEYGVLVGSLGSNFTVAKSEVW NHL PFICI_00780 MTSSGESFPGTDVGNATEYLLAELLKVVKDKIATDDSATDWDPI TFAFTVPVGIFGILATLFAFVTIIQGIFAASPGRRKSSRRVIGKWAETRWTTVLWDEL RTTTFVKTPILRADSLYEALQVQIESLGAETKESLAPVGNIQARSNPRAMVSTEKHVG TGGATQANWVKLLAQFHLDDIEFREEDLEITATDHIPDELRSVYAYTDIRTMVALGAI AGASNLEPESGSSYPILIGTETQIDFRQHPLLGTVVAFSQYGKQRRQLKEISSYSGMS SRALMMALQHSNAEVEYTPPNANSNVFGSPRPSVDSIHVISAVDSDELYKGTTPQQAK EIIYGIRDYKYSREWHNHEDCLCRCEGNWYRFAHRNLFWMFVAKAPREPPRVFPSSYV AIRKSLTTLCLQSRFWSQPRVNQSIHFLYHPLSSTELPERFKDKLDEFRGQLGSYGDT ICQNCLQVLSGNSESVRGAWLLEYFNTIHGLLEDLDSTLAILPIEVVGCRKANLFLTT LILREISCGIEDGNFKTSNLSSWRYLTLSDTQPLHEIHTADGTIMQEHLYDLRQLDMF LSRAKYRQEIETSSSLFLLTNDGLRNLDADQILSRLKMIVRQCAATGHLLQKMEEGKV YNEDTWERDLYEIVDDIIIWKTILIGVLFCSAPDNSTIFQSAVWNHVIPLL PFICI_00781 MASTDGPFRAVIYLPTTEAYDRWAAVYDTDGNFLQALDDLEMSK LFSKFRAALNPSASPRKLVDLGCGTGRNTAQLLGVEDATVVALDASPGMLAVAEKRLN DLVKAAQGGGAQSSGHDKLAMGIFDLLTCDIPPETARQADGVISTLVLEHIPLDTYFR HVSQMLKPDGVLLLTNMHSDMGRISQAGFVDTMTGEKVRPTSYAHTVEDVITEARRHG LVAISDEETDSAGLMERAVTEELVERLGSRSKKWVGINCWFGGLLRKVDDASTQIEDG V PFICI_00782 MAQTEHDGDQAPLINDNPLLQSYYYSLESRVGYRFVLGGTRHFG YWDNDRYWPFPISKALRAMEDKLAEVLALPAGAQVLDAGCGVGHVALRLAKKFKLRIS GIDVIDHHIVKARRNIASSGVPAGQVTVRKMDYHHLESFKDESFDGAYTMETFVHATD PEAVLAGLYRILRPGGRVALFEYDHNLLENEPEDMAKSMRQINHYAAMPTNDISDVGV FKRMLEDAGFEDVVVRDFSDNIRPMTRAFFLLAVIPYYIIKLLHLERFFINTIAGVNT YLGHGRWRYVAITATKPGSTIEAPKSK PFICI_00783 MVGLLGPDFLFGIAIGQLSSARRSVKADRKLSKGMKWTYRHAFF VDMGGIFLTSPDFPEGFPINAQQFHYLIKHDYIDFPNMDEMHISERNSADTLSRLITM WQVLWFSIAEIQRARTGLPLTTLELTALSYAFVIIITSVCWYRKPAITHPRNVPTKND KTIDEIRAAIKETTHPNLDLGVWYRTPVDFLSGPRWRIEAHWSYYVRLSEILHIPLVS RRMNSRPWNRFPSDMWLPPDLFYTPYGCFILLWFSASFLIAWNFQFPTEVEQQLWRAC SVYCAVFMIYGFVYYVISWYMWKKAIEGNGVPRSRPGPRSVRKAPLNPTTLLSGDQEQ AIDTDTVILEARSCPKGPMRVIHRLLAVLGRMRNISIDKDPEMAVPLHVMIPVTITCV VYTFCRLFLYVEDFLSLRTQPIGVYYTVNKFIPFLGD PFICI_00784 MSSRFVSGGTIAGDDNTLAAATTATTSSTAPPSSASSAWEKAQA QLESDRRAREAARKTAIESNSGQSLYDVLQANKAAKQAAFEEANKIRNQFRALDDDEV DFLDGMAEDERKKNEEQKRAEEEGLKVFRDAQKKGQPVEEADDAPVADEVIDEWSTAG ARKRKREKEPIIKGIKRRVSQNALAVESNPEAKDETPTTSITKASVAKSPIPAQKPAS SPEPKPVQPTPTTKSKTGLVDYGSDEDDDDD PFICI_00785 MERRNVYQALAAPESIRLLRLLPSTNAHAPLRGQLFECNIMDFY GKPSSFEALSYVWGDPKQSHFIFLDGSEHPITSNLHAALTHLRGLHGERLLWADALCI NQKDDREKEHQIGLMYQIYASASRVVVWLGEKQDGSDHAFQDLRVIGRRRSTESVTDQ RVLERIFLLFHRQWFRRIWVLQEVGAARHILIQCGHSTIDGYAFSLAANVLKPLFSTR AESRGLQNTVQSLAYLIQGSVHRQPYQGFGAPSDGIAPFGELIDMFHDREATVAHDKV FALLGMSSHDMTKSGLEPDYTIPLGQLFNRLVKFILSDRILVDCRKEKEVAVIKATGY VIGNIYSVGDDAQSFNLQRIRFHWTGVIDRLASDDSELSSHGTEAEVENDEYWKMPAS STPVQKGDLICLLDGSVTPTIIRVYKDFSVVVVASATLSPRTEDNNSDLWEKRVKQLG LPKRDLLLVWDWYYTSESYSRLANYRAWAISNDWGTEDPVFVANKSLNDLSRHLDHVL ILSDGFGYNERLLRELLMHFGTAIQTESSRTMCEKRFADFLYDISTSSAHAPPIAHGS GSYYIMPRLLNWAVESHNKIAAKLIIASGGLDDISLYGSDSELPSPFQMAVKSGDMDM IGILLQAEAVIHASAVEPEGMTALQAAAKHGNITVVECLIQLQVDVNAKPPKGGRTAL QAAAEQGHVGVVERLLQAKADVNAKPAEIGGRTALQAASQNGHVALVERLLQAKADVD AQPADDGGRTALQAAAEQGHMAVVERLIKAEADVNGRGAFDNGILALSAAAGNGHLAV VECLLDGGARIDKVAWGQGGIALHAAAENGHRAIVERLLETKASVDKADKHGVTALML ASKQGHVKVVDQLLRAGAYALYPDDTGVTALMWASLRGHIAIVERLIEADGYVHDNSR GGKALDYALRNGHHDIVKRLEEQGALVSYDSGYYIDENDIPQRFGRWRAPNRW PFICI_00786 MSITSCCAEGGFDSKFPSRPGHVETHGLLGDVKPAIWTRPTPSI SDKITLLKTWFDQCESSHGACTPVVSILPKRLVTTGVSDGPIRLVRTAKFTQKAVRYA TLSHCWGDKLPLRTTSGNEAMLEKGIPESMLPRTFRDAIELACRIDIPYLWIDALCIR QDDPVEWAQEAAMMKDIYAGSVLTIAAANGRCSDDGCLTAMAPDDSFRPVGPFRDINF TVKVSHGHSLHIRAHNGDTRSLTRETALSSRGWVLQEDVLSRRIVYCMLPELHWRCQC CYQIESGAAFDLRNNNNVLPSVPGRPQASLHDVWYQWMANYSGREFTFTKDRLSAMAG IVEFFTSISGYRHILGCWENSFAQGLLWLPLSRKPPNVGIPGIPSWSWLTRHDDVSYD HWTSRDKNAVHEYHVKLLDWNVLWTGTPFVSGIKAAQVQVRGPVQDIVLSVAPEGRDF NPPKMNVGDEVPDFEKGPIPWCCTGRIDHGSGAKQSYTCLLVLSSGSPTPYQEVALLL EPVISNDSTGTTYRRVGIAKFLIKETRFPDKSPRNIILV PFICI_00787 MKFFMTAVSAAAVASATAVFSVSDFSASCIPHSSQCSYSFGVLQ PGTMETTPVQCSKMLTASGTSNLPDVTDGTCENSSRTWTVTRSDAGLVFTVSQQVTPS SFQTGTYTIPADQLAVATQPNAQVESYTGPTSFDLN PFICI_00788 MDSMVRALALCSDDLESEEDVNLSIATALGQPLNREATINQTLP QVLGDAPESVQFSMLEPRCITATQIFKTLLFQISNRRYDVEHPLLAQHMMKLIYGLCR EAPAAVDHLLRSPDLTSAAIKETFFAIAVTFGQSLLVSKFLAAGKNPNLPMSYGMQFD ICLCRGRANFVREQNTVWQVTRLQLASSACDVQMATVLLEYGARPDLGNPTPLQIVCS RSPGPHTMKLAKLLLRYGAQLDTAQHNILLPPLLEAVACQNKEMVHLLITEGSTDKIV SVDNFDDFKYSMLIFPSILPVNVHSPTYWGPFPMHHYSGRVRLYKRYHTISAMQIGVI INDADILDILNLSILHHGSRKEIYRHTFITACLAADEGMIHKLLNMDIEILDDDTWVN SAFCALAWISDCRIARLLLHNGLVPRWSQRAFVSPVQVAALHGNAELIELLHSYECDV NRCPNRELVTVFPGPFYMDILMGHWRPLDCAIRFRHSQSALVLLRLGAWLSDTTLSLA IRFGNDELVINLLSRDASIAHGSGERSLILTAIEQRRGLICISRLFQAGAGIGRDELV EAVRQNQQDVSEYLLASGANILAPGTNGTTVLETAAQAGNFRLIQRYINSGGHYNSKA LQRAVYKYQGTSDHCMVQYLFQCRPPGALDAAEVSMFVQSILTKDHILVEMFLDLRPE LFWYESWPSGPQVLSCGRIRLQDFERVDKRWVSPLWAAAYMQQEQLVNELILRKHPPD HFLLESALFNQDFASKEMRQRLGTAYPLASIKDEELGHKLLMSAIRLHAEPELFQQQI VSLNSFDFVYGEYVDDSRTPLQLAVEFGKLGCAKVILESGSNINAPASGVSGMTALQA TVYVKNIEMATLLLDSGADVNASASVSHGHTALQFAVRSSNLEMVILLLEHGADVNGL PSMQFGMTAIEIAARRGLIDISALLLQHLNVEGALRIHFVRAVRFAEDQCYSAIVALL KKHGGWTEEDRRLAASPKATIGDHTCPRFLYGDESWNGECPQCKFTGSSDSSSAPNSS GDDDSINLQFSDSNGIEEQEMQSGMHLLDDDFTVLQYPLEESILGDTEVTPTIFAPYD STDRWLDDLARDYIDSLV PFICI_00789 MGLLMLALSLQLGITLAQDYVSDIPSGFNASQWALISYQNPSAS VLPGSFNRSVFDAPFESTTSDSSLIQINNFLNTTDFIAYDDKFFDIIGPNAVVDHVQY LAFQSHEAACYNPDAKELFFVEWGPPGGLAGEHSWQYILDTETNVLRNITTNPPTTNV HGCVFHRGAMYVVTDGSHQETGTLVRIDPGSLNKTVLLNNYYQQPFMGFNDLDIDPDG NFWLTDSKAAYGRYLTEFYPPTNPTVYMVNGTTMRPKVVHITTGNANGVAVSAPSDGP RQLYLPDTGVSTFRTVSLKDPYGDRRLTAYDVAAEGGVLSNPRLLNSPISYFYDGIRV SRNGYIFAGAGDGVDVIDPITGLTLGTIRVGGGENLAVTLAFGEHELWIVGRGGVWHI GDALVKLKYPYGGFLDGIKMFSPGAIGRVFGPAITVQMVEMSDTSAPKLDKHFVDHNE DGSIMYIQQPKGLPSACWGGLMSTRAKFLGAQAVVIDGRMRDVSEHREMGFPVFARGN SILGSNTFTRASRVNIPLQYKNDLWINPGDLMIADEDGVVVTPPSLVEQVVALCQERA EIDEKMFVELRKGGAMGELIKSLRKEK PFICI_00790 MIETKRNYFPRGGWDTHHHIFDPSFPYSPDRHLTPPPATIDAFT DFKKRLGITKSVLTHGLSYGDDCSSLKAFIPELGPETTKAIGVIEPETTSDDEIMAMH KAGVRGVRVNLYQYRAMADVELQKIALSAHAARIKKLSLPWSLTMTTIYPEFWSKLQP FVEENISRDGIPLITDHFALLKGPSMLPAEYRDDPTTQPGFEPIMNMVRTGRLYVKLS APYRVSEQKPHYSDLKFLVRAFVDANPNQILWGSDWPHTPRMKVRTHEEAMAETPFMD VDDEAWLQSLRSWLSDEEWDKVMVENPSNLFGQ PFICI_00791 MENKSNVSQALQSTIAGGVAGGAESLITYPTEYVKTRRQLLHAG APESSLRILTTAIRTHDFGTVYTGAGAFCISNSLKSGVRFLTFDTVRDRLPKHAETGK PTAISTMMAGVAAGVAESITVVTPGENIKTKMIQERARYNDTSAGRVIREILRTNGLQ GLYRGVSPVMMKQGANAFTRFTSYSAILDMIRPYTQRAGVEASAPAIAGAAAGIVTVY CTMPFDVLKTRMQSQAGAASPQSLAQSFVMVVNEQGIAGLWRGSTPRLLRLSVSLIPP IPAAAYAY PFICI_00792 MATRSDAPKKVRLACRRCRTRRIKCDGEVPACSNCAKSGSPCLD VDSQNSDVLIPRNFANAARARISWLEDIIRTRLPDVDLESGPQVETSRDQDNAAGSAT PQPISRGDEADRNGHARQLSLKRPLPLETDAEDAEAFSEQAHTVSMNLGMLSLNSDSL QKHYIGSSSGLLFTHLIGASPSSNDSPSAVSEGQPTRTHWSPSEAHSTSLNEHYKSLH LFLRQELPKKADAELLLQTYIRWVHPDFPVLDPPSLCSALEILYASTLSDLEHDQLQS GWPSTINNLYWNGRLYSIDNAEVPIIPLPVIAFIFFMVFSIGAIVKVRSRVYEYSPER FHQAALHFSKDCFMRTTPDTIQALVTIVIHGVLTPSAINAWTLTHLGVAHCIELGLHR EHPSTETQDVAYQQVMRFIFYTLYSLDRSISSIQGRPLGFRDETFDIKIPSVTLTPMH GLNLIPDTFVKAVTCYAAAQFELDQIVSDIKLHLYHLPNKHIGFPWARDPVAQQQKLH QRLLEWWTRSSHLHLDANTLDKRQQEIWYLKLKIRFQTTMILLFQPSQMIRKPSDTSL QICFDNACQTLHDYQTLHDHHGLYHGWRTVQNIFAAGATMIYSFWTSIDVRRRASVVE VSRTLRSCSTLLSIGGEWWPSAKRGYNSFGAISDLTIQKLYTEGVSSKQMRVSAPQNG THTVFDINHELQAADSLTRLQSSMAPGSFEPNLVTEQGIESSQRQQEEDWPNMLSDLT TRSAPSFDYAPEIEEFLAGFDRSDLAWNLPLNEIGDSSNPPDIFFPQI PFICI_00793 MSSLVARNYLRDVRPLLQRTQQLSRGRQYATTNGTAAISPLEPY NILNYTERCETLNHVRKTSTRPLTLSEKLLFSHLILQRKEWALDEIKRGKTILELRPD RVACHDATATMAMLQFISTGLPRVQVPTSIHSDHLIVAEYGAEEDLARAASDHKEVYE FLSSASKKYGIGYWKAGAGIIHTTILENYAFPGGLLIGTDSHSPNAGGMGMLAIGVGG SDAVDAMTGMPWELMCPKVVGVRLTGRLSGWTSSKDIICHLAGILTVSGGKGKIIEFF GPGTETLGTTAMATVCNMSAEVGSTSCIFPFSEAMARYLSATKRKEVAKHAQVFKEPL LTADPGSESFYDEVIEIDLDTLEPHINGPFTPDLSHPLSQFKQRVSESSWPSKISHSM VGSCTNSSYEDLTKVRDLVTQAKEAGMTTFQTPFLVSPGSEQIRATAEDAGILETLRQ AGATVLSNSCGPCVGQWDRKDVDVAGAEANSVISSFNRNFTGRHDSNPATHSFVTSPE LSTAFAFSGDLKFNPATDDIIFSDKTGSSKSFKFSAPKAEELPTAFSPGSNKFQAPVL SDTSSLQVAISPNSQRLQLLQPFAPWRDENAKDMHILVKVSGKCTTDHISPAGPWYNY RGHLENISNNLLLGAVNAFLPDSRSLNMIGKTMNPKDETVQPIPQVAKNLQKDGIKWC IIGDDNYGEGSSREHAALEPRFLGGVSVIAKSFARIHETNLKKHGMLPLTFADPADYD RISPGDSITLLGAQDGEMQPGKQVTMEVRTAKGTKWTAMLNHSYHAHQILWLRAGGAL NHVKQLMLSQS PFICI_00794 MAQLDEKMTERETSNHIERKGSDVPDITTKEDNCGLSDEEWEAA EKRLVRKLDLTLVPILWVFYLFNYLDRNNIAQARLSTFEKDLGLVGSQFNVAVSILNV GYMLMQLPSNMLITRTRPSIYLPACVALWSVISASSAAARNYQDLIAIRFFLGIAEAP FFPGAMYMLSCWYPRKELALRTAFLYSGVLLATAFSGLIAAGVLSNLEGAHGISGWRW LFIIEGAGSFGAAMIGMFLLPDFPGMKSGVVRWLLTEEEQKVAVERIARDRVSLPTED SSVWRGLTLAVKDIRTWIFSIMLTANHSAYGFNNFFPTLVKSMKLGDNTTTLLLTAPP YLVGTIVAFLTAWSSDRRKERGYHISIPQGVACIGFIVTLATTNNVARYAVAFLYICG CFSSNAMVFSWASSTLNQTPEKRACATAIINLLSQLGNIWSPYFFPSSDGPRYVMANI LMAVSSGVSIATCIIMKILLRKANKKLRETGEDVNLFTL PFICI_00795 MAKTLSEAAREREALKYATVFCHIKESSERSKPGTSLSSFDAGL NAFAQIGALRLGAARCLISIFDQDYQYVIAEATPSSSLATNTSQPEHFWLGCAAVTRS YTGCDHLLTAANNPSTDESKLLSTPLPVSVVPDMTQDTRYLAKDHVLSAPHNRFYAGS ALRTARGICIGAYAIFDDKPRDGLSTPDIEFMQVMSRAVMSHLELRRSAESAGRSDRM VRGIGSFVEGKSTLSNLWRGTNAAAFEQSGTEGKLNKNQQFLQQADTDRDLAMQESAF ESSRNLYHGADVAPHRSPRSSLAAAAHERSENESSPAPLSSLTVSDPNGVVSSGQDSR SVTDKASKSTRFTLHSNASVTSGAAKSFGDELHTKELREVFGKAANIIRESIEVEGVA FLDATIGTFGGLVASNSDSETTSSESQPDTSGSEGREEKEDPEQKYCRIVGFSTTDAS SIDGKLHGSSSINVPQRFLRALLRRYPAGKTFTFDGVGELVSGDSEEDRLRASGPTSG HVQVPLQERKRKVTQSRHSESAMLTSILNGARSVAMVPLWDSTRERWHASAFVWTRSP DRIFSVEKEVSYLRAFGSTIMAEINRIEAAHSEKMKSDLLGSLSHELRSPLHGVLAGI ELIQDTELDAFQGDTLHSMESCGRTLLDVIEHLLDYSKMNRFVNSTKPTKSTQSPKRA SKNTDKTLKKQQQSFESQMAPLATDVDVSLLVEETIESVYSGFSYGRSRGAQADQALA PGSLYDQSSFAYQNNQDSHRISNGSVAVYLDIDANVTWTRHVQAGGLRRIMMNILGNS MKYTNSGYILVQLTLIKVPLRRNYKQTNLKLTVTDSGKGIDPDFLRDKIFTPFSQEDN LQPGTGLGLSLIHQIITLLNGTITVESQLGRGTKMEVILPLAQAQMASETSGSSGTDV DSLKGLRVSLRGFKTSTNTDGLPNHPAPIEAELLANLCRTWLDLEIIPEDSEEIRPDC IICNDQYFDQLTRGPSGVLPPIVVVCQNGSIARAQLDKSRNRRRSDFFEFISQPIGPH KLSKALLLVMERWKVFSASPEGTADVLAMLHSRPLTIDPGSSPIDQQTHRVGDSHVDP TLSLESLTKAVAEQTIDEVDHVDEIRPVTTQNTGEGSETSLGSGYEQGTEFLLVDDNK INLKILSAFMKKMKRSYESASNGLEALQTYSASPGHFRCILMDISMPVMDGLEATRRI REFELSEKLPRSLIVALTGMASGKVQQEAFGSGVDFFLPKPVRLNQLTELLDDKGLGT KT PFICI_00796 MIVRSAGAPNSWIYTKTGDLGKYSGVQALMPDLNVGMNILAAGV HSGPQARVTADILANNFIPTFWAQAKDETESTYAGTYTDQDKNSTIGVATADDAPGLV VQQFILGGQDVLAAMEQLLKSKFTLRLYHMGLSIPSSNGTSTESWRAIFETPASLSFR VNKLYLLVLAQPIRVWRCRP PFICI_00797 MAKSKNRGPKPMAASSAASSPQTTSALGNNPFLGYKIRVLLHDL RSMSKDRASEQRTLATTDALYISGPYFTPEEVTCVKNAVIDHEIPSIEDNEYGDNGEQ DDEPSRYKAATGISHPATVETAIHTRMARFLDKRKASGDARPCGPHDIAPLYEAVFGI QQDEMRNEKFLARLQRQGLPRIEDGPTAAGSKSTTKQQKARK PFICI_00798 MDKPSPTTPGPDPQQNENWMDSPLIQLQRQWMATNSKYQLAALA FAVLTAAITIALWAGIPKLLDPALTLPLGVVSIIWNATDLILVRMREDKIKLKWHIAA YCILWFGGFTSAGYQSYTIIKDPNSVVQGTSSSWRAVLNFLCATTAIMSLLHFILFIR ACLETDRRKKDLRVRDLMIALSDRQEQQRMQSSWSAFTPSPVTPHPGLPDLPEFDDKA ALAELGVAEPQEIYTEPKPRMQPVELP PFICI_00799 MAFLLNPRYILAVHMVQLFLVITVIVLAVARIFIKGGQLRRNDT MALSMGAKSLGFLAYELITEHWHRARRWASLKANTILNCLEILFWAAIAFLAIQTNVN SCLGTSCTINWVIVVLAIITSIVSAYAAAIFYIEFRKSRQPVLTSEVMMSDSMVPLDQ HRGSYKSVDTV PFICI_00800 MSFLNTAVAALLPALAVAQSLQVPVVSLSEGATCGCAQLSSTFG NKTIFSNSTAYATEVIDFWDVRSDLAPACIFEPSSASDVSSALQILAGCGTQFAVRGG GHMNYPGANNINGGVLVSLAALDQNIVDAESQTIDVGPGSRWVDVYDALDEYGLYTIG GRMKTIGVPGLTLIGGFHYFINKYGFTMDNVLSYDVVLGNGTQVVANKTDNFELFWAL KGGANNFGLVTNFKLQAYPIANVSITYQNFNESAIEDFIGATVDLVNNDGPELAAGSV ITIQYNATTKEVTSFIMGMQEGTESPPSRFDAFSKIPSTSTMNAVMSPKQWHANLDTP NQMFRVQFAHKTMIPDADQLYRIYQGWKDAVDSISDVEGLYPTFVMNIVPKSALSVAK NNGVGNVWGLDDSQSYIIWQFSTGWANAEDDIRMTSWSRSLLDYWHQENQLMGLAHEW LYMGDAGEFQDPYVTFPRENVERMRQVRSDYDPLGVFTRLNWGGFKLSR PFICI_00801 MPRTPELLHIWQDAIPKMAFKSSHLMYQVLAVSAFHKAHLAPSE REHWVLRALGFHGRTTEDLRLATRELTEESCHSIFATASLLVINSFASYSCQSPGSAI EDLLDIFELTRGMNGILKMYESSLWSGPLARQFHPSDQPRDSAELGDVMHDLAKMAAS PSNVVGSDPACRQAAESLTYAIERAMLTSSAPHLRVVFYWPIALSDEFMRLLKQKEPS AFVVLWYYARVLSLGEPNVWFLSGWGESLLRDAQHLTHDAVGTLN PFICI_00802 MLTIANLRIQSQETSFSDWISVSTLGLAPLVAHILAGAPQPSFL VSSKPRWHDRICVLNPTTILCRYAAIVDRRIRARGGRWEPGDAAAANAIFWTCQGWVG SDLMAVEALPLCTLLPECGTVRLLSTEMLKTIIVTLQGLQSLVMLLGGATGTIMYNQY FGLDWVFGPLSIMGLLRLFAAPWLTSDFMYSIRTAGTGSAAPDRSRHSVDSLCITSEE KSPVVIRYRSSSYRPSRCFRVLYLGLLASCWLLAVWWTFIKPFIQPTGLPLTLSAFIT GAFYVSALASVIAIFFYYYVWHGSTSTVLPCIGETWYKVYTMLLMSFTLVVILLVALE TYKTPCGTYSSLPRKCADLVCKVREGEVTGAFTDCY PFICI_00803 MWQVLFVLFLFGQLGLCAKPNILFILTDDQDWHMESVDHMQHLK SLIVNEGLTYNQHYCTVALCCPSRASLWTGRSAHNHNVTNVAPPHGGYPKVVDQGVND DNLFLWMQDAGYQTYYVGKLWNFHAVDNYNKPFAKGFNGSEFLLDPYTYQYWNSQMTR NGQEPVSYAGQYSTDVVTDKAVGFLRDALSHEDPFFLTIAPIAPHSNWVIDPARDLSY LEEPKSAPRHQHLFEEYIIPRTESFNAPIVGGASWVKDLKKLNDTVLAYNDHYQRQRL RALQAVDEMLPRLVQELENAGQLDNTYIFYTTDNGYHISQHQMHPGKECGYDTDIHIP FFVRGPGVPRGEAVDVVTTHTDVSSTLLKIAGISKTLDGVAMPLHKADDDLSHRHEHA TIEYWGMGVPEGNYGGKADKNREAGEWKNIYQNNTYKGIRIVSDEYSLYYSVWCTGEI EFYDLKSDPFQTTNIATPSSVSSYQIAGRPLGEILSRLNALVMVLKTCKDRVCIHPWE VLHPNGGVKNLSQSLQKRFDVFYNRQPQMWFSDCPLAYFADLENQSPVNVFRGEVEL PFICI_00804 MSHQKSHNTARVDERSSLLGVGQTTSINGNSHTEQDYIQPVVLW MVLPILLMGIFLSNAVSSVVIATNQHIASEFNALSSAAWLLTTYTLSQSASQPLYGKL SDIYGRRRCIIFSWLVFGLGCLLVALGQRFWHVILGRAISGIGSAGKIALTSIVVADL VPLRDVARYRAYVNLTATIARSIGGPVGGWLAGSVGWRWCFLIQFPVALVGLGLVIWK LPEPRSNNILDTCQYSHGASALRRIDFAGASSLVGVVLAGLLSVEMATKLGWCWLTAS LVVGFIMSLVIFILVEKYYAREPIFPLGLLANPGVLTSYAIIMFQSAAQFGLLYAIPI YFQVIGRESISSSGTRIVPVVIGNAFGTILSGQLITRYKRYKVMTAMGNVIGLVGFII IFLRWRGSTRWYESVFVSLPGMGMGIIQSTTFVHLAASLEHSEIAIAGSAWFLAQNVG ILVGASLSTSIINQRTSFLLEKNLDGIENKQQVIERVTSDTEYIQKLPEAIWRLVADA FIHALSSSNGKGFRTF PFICI_00805 MSSPRPRRATLKACARCHRRKQRCVGYPACANCRTANIPCSRDS TPLMRRLSALSKEELIKKIEDIHSQVPELNADEGDNRQRHFSIEPIDGRPSPSASSDS NANPQRWRVQHPQPCGGLYDPAQTPEALSSGISTIATHFAVNSALQPSWPNEVPSRGS CLDDGIGKSAVNERQLLTTFLENLHRRLPFCDYLGILETLQHGVESPFTENTKPMHSF RLHMACAIGARVQQLTGSSHPLQPETYISRALETEGYLDNKNAIEMTERLLWHIMYKL RSSFTSDIWYSTGLAMRTAIDAGMHRNQHYQTLPSEEADLRRRLFWSVYVIERSVAWH LKRPVSLSDLDIDVELPAPGAFSTFLDSESGTHLEYGASRPLDLRVFIAIVRLSRINS QAYGRLHGTNLSYAAQEYAISLLGKIRQLEASISDCDELDREFLRLHIETAAMKMTEP FLSTQILCDDMKTACLQAAGGVCKSFKRQRLERRLGYSFTMVNTVFTAGVTICYIIFK NPGLWTPARANEMRVCSSALFAAAARNSAVDKYCEVLETIIEAVTEHVAQVESAAVSS ALDIEVQSCSSQPLVQAIFDQLAGTIEGKGFEFPSQSYPSYCNGTTSTPQATSVPDWS IIGDNMLDVGSLDVTIWDGMSPQDPLSEFNL PFICI_00806 MTIPRKSTVEESARDMDETPANAEAGLVRDWSLQTERRVRRKLD YLVLPSLMLGLFALQLDKGNIAYAITTSFTRDIGIGTDQVNYGNQLMLAGIVIFEIPF NMVLSRIGAAKWLVIQIFAWGTVATAQTAIRNLAGFYATRFLLGMCEAGYLAAALTIL ASFYTRGEMAMRVTLVYIGNYFSAGVGGLIAGGIFKIPQSTGLKQWQWLFLLNGLFTL IVGLWFIFFMPSTTTNTAPLCGLKCLNLFTEEDNRIIRSRVILDDPRKKISLESIGVK RASQILLTNPAIWGHSAINLISLTPKGGIGTYMPTIIKNFGFDAIIASELAAVHNFGV CIYAIVVSWISDKTAIRGPLCLLCGIYSLVFSGIQYSLVRNTDTWLKYAILTVFAAGT AVSQGLNDAWFSVNTADPQVRCLGMALAVAGSNLGGLAGQNIFVQSDAPYYEKGFLKI MCIYAGSIVVVSLMIVYYWAKNRQLAKQTFVGELVDEKGEVVLAHNGETPQVKYQL PFICI_00807 MAPRARSSAACDTCRLRKTKCVLRPGEERCILCAFHELECVFDP DGPRRKRQRRRRSTQDGAGLVEQQQDTSHTGTGQHVQQRRHHQETLAEPAASSRETYV DLSSPESSRDRISTPPAAAYPSILNETLGLDPANHAEYVGPSDYRDPLLLDLRLNTEN SSSFVRRTDNRTLFIVHSDEAAASDARRIADVDAIEAVVHPHGPNLVNLYFRFVHPSF PILHKDVFIAKHRVSYRYFTPSLLAAVYLLSIEWRLHDSVLASSDIRTGPDVTALEQL ADAAIQEDMRTPKLSTLEAGLLLLQRHKLQLVERPVASIWRLHSQIVAISHELGLHAD CSSWSTPDWEVGLRRRIAWALYMQDRWISFVHGRPALLHDDDWDVQPCSSSDFPEYHD KPDDSSIGTIAAPTGWRLFLRQIELSQILCEIYRIYYATSATRRNGSLDQMGVRAAVD LAKPIIARLSQWKSALSPDLAFTASKPRTLCASASLHLAYHTVVIAMYRALVRILTPD TPESLYTAVRSAARDKLQAAVQLLGSMQPEHTSAFWGSVASYQVAMIGAFAGLLWATA ETSEEIAWCAARIDDLKWALRVRGAAAPFAREALQLLEHETSGLNALKAT PFICI_00808 MQLGLSEAQAMDGEFPVTISEWRAAQVAGNGLARLQSLVAHQRA HNEQSRVWISLATDKQIAHQWHALSSDNLPLYGVPFAVKDNIDAATFRSTAACPSFNP TAATEDATVVKRLKAAGAILIGKTNLDQFATGLVGTRSPYGAVPNSFDPSYVSGGSSS GSAVSVARAVVPFSLGTDTAGSGRVPAGLNNIYGLKPTRGALSTKGVVPACRSLDCVS IFALTVDDAETILHIAEGFDEEDAYSRARPSQLTASGLGENSCASPTKTPTFAVCNDP AWYGDDEQADAYASALKRCTGLGWKLVPVSFADLFALAKLLYEGPWVAERFAAIHEFI ESGSEMDPTVRTIVLGAKNFSAVDTFLAEYKRQELTRQVQSTFKNFDGLLVPTTPLFP TMAALVKDPIGENSKLGTYTNFVNFLDWSAFSVPAGFRGDGLPFGVTLIANSWQETAL LAWARQLVSGTSHRLGSTQHLAVANPGHEANAPLADSPRLDRIRVAVVGAHLRGFPLN KDLVSRGAVFEQLTSTSSTYRLFALSNSEPRKPGLRQALTGEVGEKVEVEVWSLPREN FAGFMETIPFPLGIGTIQLADGTWVKGFVCEYSALQSALDITHFGGWRAYNRSIQDSS PHILPPKATARRIKSVLIANRGEIALRILKTLRQMGIKAVTIYSDADAACPHVRDADV ALRLEGTSVIDTYLNADKILQLAKSEKVDAIIPGYGFLSENADFARRVEEHGIVWVGP TPDQMSDLGLKHRARAIAQQAGVPTVPGSPELIAELEKALSEAHRVGFPLMLKSTAGG GGIGLRQCNDVEELREAFDGVKRLASANFADDGVFLERFIRNARHVEVQILGDGTGRV LAAGERDCSLQRRHQKVVEESPASMIPAAIRSQIRQSAIKLASSVKYRNVGTVEFIYD VDSQEFFFLEVNTRLQVEHPVTESVTGLDLVQCMINIAAQDFAALFHQKHNEVESSGA SIEVRVYAEDPVQSFQPCHGLITNVKFPEWLRVDTWIEKGTEVSTSYDPMLAKLITFG KDRQEALEIMARGLAETRIDGVQSNLKYLRQIISSEMFRTGSYTTTILDTFQFVTDSL TILQPGGSLAIQDFPGRVGFWDIGVPPSGPMDDLSFRLANRLVSNDDHAAAFECTLRG PSLKFHCDTVIAVTGGQCEVFIDDLPVKMYQALCVSAGQHIHCKEIETGYRIYIAVAG GIDVPLVMGSRSTFDLAQLGGHKGRKLAAADVIHLNTNGHKSESRTLQSAVPLPIPSQ PNAKWTIGVIPGPHGAPDIFNQEGIDALFDNEWDVHYNSNRLGIRLQGVRPSWARQNG GSAGLHPSNIHDAPYSIGSVSFTGDEAIVLTVDGPSLGGFAVFCVVAAAELWKLGQVR PGDKIKLQPINLKRAHELEMSLLQSLNSFEFSDPFSNPSEHGQQAAEFYSTMIGEVDD NGTKIVAKQAGDRGILLEFGQENDGFDIWQSARSLTLIQQHRVDPIPDVRELTPGVRT LHVTYTSQADPGLIFQRLVKSLTSREVPLKVPSRIVSLPLAFDDSVTRAALDRYSATI RSQAPWLPSNIKFLEQLNGIDDLQDVLQQSTFLVLGLGDVYLGSPCAIPLDPRQRLFG TKYNPSRSFTPRGSVGIGGQYMCIYAMNSPGGYQLVGRTTDIWSSTPVHEGNRGAGTD TNNAWIFQIFDRITFHPVTESDIDTKSSSELIKISDGTLDLVAYKSWLEENKSEIAEW EAKRAEHRDNSPFMEELIKPYDSGLTRSLDNGSMEIVGDSVRAPMPGRCWRIAVVEGQ KVKKGEALVYLECSKMEIEVSSPIEGVCARVSVQEGRIVQAQDELLVIQPLS PFICI_00809 MRFHTVLAALGACLFEPSFAQQYAGDSINSSLPSVPGSEIAYFR IKDPSGANNNLTLINYYSHGKSGKRLVESNVQRAVVIVHGLNRDPGTYMSNMLSALSQ VTTDSNINTDSVAIMAPYFPNGDDKNYGYPWTDGLKSGRGSTTNALVWSGSQWSAGGN NQYPYTSKNTSSYAVLDQVIQYFDNTTLFPNMKQIVIAGHSMGGQTVQRYAAIGAQLN TKSPVSYWVANPNSYVWLSTDRPFSTASCAAYDVYREGFTNFSTYPMTYATSLVAQGR SAILANFNSKAVNYARGTKDLGDDSSGCAPGTTGSNRNERFFNFIKAFPPSCPNPTGG NCDTVDFINSGHDGGAMMASSAGLARLFIDNFYGNGNRSYDFGYPRQQDGDDPYPNPS LNGTSSSVNNNTYAGNMTYWGCWSDQTPLTLTNMTYQSDANTIELCTSTCAAGGNTIA GLEYGSQCFCGTSLGYLSQQVIESSCSTACPGNSSEICGGGNRLSLYSNGSPAQQSAP GTPESIGDFYYVSCYTEATSGHALSSKSTSTSSMTLEYCASYCKGYQYFGTEYGSECY CGNSFAAGANRTSDSECSMLCANDTSEYCGAGYRLTVYQNTTWVASSTTADISCPTSN NTVVTSNGKNFTIECGVDHSGGDLTSTTVSSFQGCIDACASNSQCVDVSLSGTSCYLK STLGAAVSNSGIWGAKLSSGSSTSTSSSTTTSKSSTSSAASTVTTTGTSSLSSVATTT TSSAAATSTAPTCPGSNSTVYTSNGLSFLIECGIDHAGGDLTSLSVSSFAACIDACAQ NSQCVDVSLSGSACYLKSTLGASVSNGVWGAKLITSSSTTSSASGSSTTATTTATSSS ATTSLSSTVSTTSSTTVTSTSTTTSATASTTSIVCPASNSTTYVTGGLSFVIECGIDH AGGDFKSVSTSNLQQCIDACAAESQCVVVSFQGSACYMKSSVQAPVYNAVQGARLVTS TSSSSSVSSSSLSSTSTSSSSSITSSTLSTVTSISSTSSTSSTSPTSTATTLTTLSTI STTSTTTSSSVISSTTTSSSAAATTTSALSAGFSALGCYVDSGNPRLLTYQATSGSTN TPQSCATACQSAGYKYSGTEYASECWCGNTKPDNSTLATSSSECNMACSGDATQTCGA GNRLSVVDDTTWTSSFGVRASYGTWTLMDCYVDTSSRILPNGVSLSASGGSSNATIAH CLDACAASGYPYCGEEYYSECYGGQLSSSAAVASGADPLSAGCDYPCNGNKTEACGGS NRILVYKNTALV PFICI_00810 MSAATATPEPMKAPARGPQDTAGGVTDSESGNVQEINDRDRTDI EKQKSAQGAAHFNRLGWKRLTVVLLVEAIALGTLSIPSAFATLGMVPGVILSVGLGLV AVYTSYIVGQVKLRYPHVSHYADAGRLLMGKFGYELVGTMFALELIFTVGSHCLTGAI ALNNITAHGTCSVVFGFVSAVILILLAIPPSFAEVAILGYVDFASIIIAVGITIIATG IQYTHPTAASVATAAAPWQLWPKEGLELAEAFVALGNIIFAYSFALCQFSFMDEMHTP EDFPKSIVALGIIEIVIYTVTGALIYVFVGQDVQSPALLSAGNTVSKVAFGIALPVIF ISGSINTTVVARYIHGRVFEHSIIRYINTKMGWITWLALITTITLIAWVIAEAIPFFS DLLSIASCLFVSGFTFYFPAAFWFKLLKEGHWYERKNIVKAIVNGIVFVIGIIILGVG TYASVQDIINQYREGTVSGAFTCDA PFICI_00811 MENHGDAVAQRFGLAASTHERFQRELRGISTELQHYTETTKKLL NFSEDIRSMYDDILKFRGQELLHDNGVRLAQIAQADSVENKTMLSLADKTAQDSRTMR IATLIAMVYLPANLVMAFFSTVFVWFDSAGDGEEEESQASHLQVHSSIWISVVTILVL TTCTLTASMWWERKEKARTDKDDSKEKRFSNVHSLSEGMV PFICI_00812 MALRLDEPDKKDDLKYHLKRLRKRNSGRKYFIPETAFLSLMSEE AIRQALAESAIPQHHWEEIAPRIVQYGKKIFSILVLLDHTALVNNFIEADQFEDAKLP FKIETLTDDINLQEEEAQDFEERQWELLAPTFCRGTLHRRLGEGAILPFLSDRRIGGG AFGTVYEVALDVTHQPLDRAFPENVGTVSMHDSSACPWLTMIKFARKEFQISDDHHKE LENLAILNHLKHPNIVELLSSYTHNDKHNLLFPLAKSGSLANLLKAERSDTAFASDES MVFALAALTSAVHHVHDFFESKMNLRFIGCHHDLRPRNILISDGTFILADFGLSTFKQ TTRNSATPFKPVTDDYLAPECEDWDNGFQGGTVTRSSDIWSLGCIIAEVATYMAFGHQ GVAQFVQVREHKVGAWTLSQFHHGPKQLSAGVESWLEKVQQSSSVASTLMASIARKAL CLDPADRPKAAELCARIRLAALYMAGLSIDDLFKRIMSGNVSLDMFLEQARFNSWRYA MTIDCPEMDVVTLSSATLVVLQKFDAALNCLTRLRDDLDSRLSRGQDTQRLDLSLLTQ LNDELMYFLGQEQKDTSQRYFSITLMENNDGLFDKVTDSNSGLTLDYEIRMRSNIKHI SQLFAGGAGTESRALQVDASKLQISGTFGEHNLGVFTEGESTRPIWIEWRKYAQHGSD EHVMEQLFGRAARLTELFAQERPKTFRTLRATGFFHEASRGAFGVVFDTPTSSPTTGS LDRVSLQKMIEKTASKTSLWPDLDDRFALASAVAGAILEFHTVGWVHKAITPSNIVFF PKANAGHEGIIREPFLVGFDHSRPDDPLSFTSGMAESAARHYTHPAYLKQRFGYQAQF DYYSLGMILLEIGFWSPLAKITHGWTGSFEDRRKKLLADRVPRLRQHMGKAYFEAVRD CIECDFGAESLKGNDTVSSRETLLQFEKRVVGRLQRPFSGI PFICI_00813 MNSSGTFQGATSILDQESLFERPRLFSSYILDTSEDAARYNLIT FLAAVQGLDLGIFSIPWQSARRAIGDGGTSQISQASVNPETSIAFKRVSTHDKLQLDD GEILRRLLSEILVLGHPEVRDHPHILDLQGVSWDVSVKADENNASSASAASPSSSAVY PVLMFEMSRHGDLYNFAKSPNGQVMTIAARLEFCFMIGTAIATMHANHIIHGDIKPNN VIVSKLEDDSFIPKVADFGYSSPPAHQEVPIQLPRSWPWNAPELDEYPSFTPAQATTA DVFSYGVLCFWFIFEKYLSGVEPLPEVGEITGLNHGFENQDASWKNLADLRRNNINLV QLSEYLISSNKDLDAQSMSKLRRFFSATLAYDAMERGTDLSELLSYLDPGQELIKEEA DAFQYNAPADYTFHLNQSLRSLYLCDFRVRSHIVACLEEIVLADPKGPLAAQLGLCYA IGFGQSTTVDEQQRVQAILQASSIDTKAILEQGPMFIENATDFMTDLAESRYMAEMDS LADTYREHDVLEEAATVTKHEFESLSRIMGPDHPILADLANILSLIMHSMGQWEEAEK FAKYQADFLEKTLGDKDSDTLQSKLHLAGVYWEQGRWQEAEKMEQGVMDVCLSEFGRH HALTLSCMASMTATFREQGRFEEAEKLGIETMQGTRQLFGPEHPTTLANMTILAALYH RLGRLDEAIELQTEISETSERVQGFDHPDSIVALSTLAQMYWDEEIWKQGLELQFDAM DAATRVLGSSHPETLKMTAAFANMYRELGEYEEAEKLQRQVIDERKRTIGDEHPDTLT GMAALALTLGEQGKRNEEEEIELAVLETRKRLFGPKHPDTLTMMSNLAFTWKDMGEND SASALMQECLKLRQEELGNDHLDTIETLQVLEEWDDEEWESAEDEDVEMEDD PFICI_00814 MIAQVVGPAGTIIGRAPRKWIIATIAAFMIIALGLLHGVKTDFQ SFSHNSPDIEEPVLQHPRDLATNSTLGFERLVALSTGPSWRTRGLNRAADLSGLDFTI PFQPRNPDELVHAFQSLGSPQDRKPPDGSARAWLSHLDLLKYIIASGFETALVVEDDV DWDVRIKQQMTLVSDNLRAYQETPENDTTPFGTDWDVLWLGHCGSSISEHPSKAPLVY ADDTAFPSSHYLGWAQPTLEKYLGDGRRQVQTSSMTVCSFAYAVNRVSAQKVLEVMGK GANEAFDVGLNVACRDGKLRCLIVNPQLFNHYEPPFTQGYVSDVQAGDGKGASKEEDE FEQKMGTTRNIIQSARCKALFGSECAQPSNCIGSAICKELYN PFICI_00815 MAFVNSLGRCIVILTLLVLQCHSQTVTASARFMGWYEPPSSDPQ EMNCGTDQKWTTSGKYGVCCNTAKTSCQFQTACSSTWALADSGGTDIACTGTSTCYSM MVVYPTGSAIYNYFCGQGSWPAATVFRQLDATTTTTSSKSSSTSSTTSASTGVQSLTT ATSTTPMTSTGTSIISSPTSSEPATTSAGPSTGTIAGAVVGSIVGVAIVGALLWFLLR RKKGGNGGSHAPGEMAATHPYQSPVRESVAKVEHAGSPELYQHPVAYSDSPQVYEMGG QVHEMGGNVHDVGGNVHEMGGGQAPHYYEMDASTYHGR PFICI_00816 MPPPPVLSGGDAALQAKPLNTKLRKLAIRTVIGCVSTLLISMAH SNFHNHTLGHSTRRFVQGALILRTQLQRDTSHRRIRAGNKVKDIIHTDRSTLGDFWMT CFEGISAAPR PFICI_00817 MRYSTVLSLLALNSVSAFKTDVDHNNEMTETVRADSGYSIDEFN DLVDSVLDACDSNGCDSGTEKCNIAHCISIDGDNLDDNHDNILEIVGEYIKNSMKTED TTISDCTSSGCGFLDATNYTIPGYISLRRNYDNDDPNTLYKISISTSESKDACGAVFD ALSAAGGSLGAADLFGAIKVAVCTE PFICI_00818 MIPGIAPRLPTPWVRVKGSCKRLLGYHVPLQDIPDVAFNPGDRG VTQSGAEPTLVNKKSTGSLRDTYQMNLCDSCSRLEIQQLPLNSHPRPPGFKLGTLGQV YSRAINSSCALCGLILAHILASSYFAHYATKDGVAADKIELPVSLLRQAKDSFKSRKE TSLHGFDVSFGRDGLIFSVNLYAPRGSPAAVFGDVASRDICVPLDAESLTPSDLASKW LNWCKAGHDDCYESFSGERIEGNPEVAPRRLLAITAPQNPNEPPSVRLIEPAKPFRAR YVSLSHCWGPPEKRPLCTLKANLEQHKIQVPWLRLSQTFRDACTLCLTLGVELIWIDS LCIIQDDPADWSHEAAIMCRVYEESEFTIAASSASNSSQGLFGVRSNVNFVELPYTHR ESGTRGAIYAYEHPVGASTIFEKSSLSGRGWVLQESVLARRTMHFTAFGVYWTCGRDP GYQRNEFEVGTAYIVPNTWMAMIREYTRRDLTYKSDKMVAIQGLADAWAKRTKNIYYH GIFLEELPYCLLWIGRGSVLEALVRNIGNGVPSWTWASTTGRIEFASIAVEDKSASEV FCGDIEPSTLISGALHLRAKVKEAASFHGPFECLPTTVEELRGVDPDSGLSMKDQDRE WCHHSNHPERYYMLMASSGKAVGWGAFDEGPKIPTASVWFLPLVKAPTQPWYMPPLDT AEPSYLWCLLVCYNRDRNVYQRVGYGRLLDTTWIKNETEQDVVLA PFICI_00819 MAKSKGKRGRGKGKGKSKGGQANKKTESPSQPETAQQSAFKNNK TKPSLPVQFPYFKEFPQEIQNSIWKQALIAEVKHTFVIHDSKTGGLLPSVKLISSIST VNKAARTIATEFYNVKLDVFACTREPPEMILQRRQAKGVLRLNLEYTALFLGRQPPWY VFRLDLDEIEDIGEEFEDGRDNQDYVKWSGTRPQGDSSIWHDKQLWITRSHITAPLDE PQRAQVKRIYQEESSPVDDCYWEPPCCWGCSWESANFPCEGAYSSHKESQFPNVWLCR LFITDFEYQLDMLVTDYAKVPIEELWELMRGRFVTCDDFPVRRAGDDFEPDPDREW PFICI_00820 MEELQRETMEMAQLEVDESEEKDKNGQDKESNPPAQQQENPPSF KDLPQELKDIIWTYALIAEAQRTIVVEDHQGLVPSVQLISNISLVNSASNKLAKTFYN IELDVFACVRESTEMRPEPDGEAKGVLRLNFEYTTLLTGFNPIWWDELIFAINYGELD NIQQRLQWQYLDWSGYRPPYKISDMALWITRSHITAPPTTEQMNQVKRVYDLQMLDSE EDLDSHQPPKCCYQCEWLRERQRHCPLGFRENEDPPVPNMWLCRLFIADVEYNPDDLI RDYAEIRPDALWEKTKKRLVTCWDFPLRALGDDFEPVLETDETWQTTREHSEKTNNDP SDINT PFICI_00821 MAQQTPNNPSSGFTTGADTGNQSVGSNNTDSNLFIPDDLEEIEP HVVERIGGADTEADAGMVFLNGLMTVLTCFFGLWLMFLVLRIFDKVLFTSTTRF PFICI_00822 MTAPTLTVTAAPTVHKSQSFSCQPKSQANPGHAQPQPTDSSASS SSLFLNDQQQQQQNRLTSKSLSNLPAATAAAFDFDLPSLDFDTGIDFDPTLPVSRKLQ LSPNEKTTLAPNAANLEGPRPRTDKMGRRKSILGRPHSWVPTSKATADTRPASEKSTE PNGGHVKTTETQDQNNNKKEAPGSGLLQLPPERTGGALSSLARRSWISSSKTPSPSAN ATESSDYVSHASRERSLSTSSNKLKKLTRKRQGSTNDNEGSKSSDSLGKLGSYLGKMK QRPQNGLSKGAAQHDTDSAASSITSSAPASTATRPSNAASDNTFPSVVVEEVARPPTA QARDPLWSVFKNLESEYAKFQAKKPAEKMRHVRTVLIPFLRGHASHPSTKKLTLEHVE QRANILHKWWNGLLELLDGPSQGAVPGTDRPTLHEVTTLVMMRPEWRLNTPYFRPLSE RNLGEASKRRGQPQSANAANDSEGSVDSDFIAESAEHNVRTMFTSNLMRQMAIVVDKM SQRHMPWSMINFAGKACAYAFFFVPGVADVLVSLWSLTADLMRRVADEFHVQRRGKGE SDDIVALFPPNLNRLSWTSVKGMARILREPTKLPHAAAKINWNGPWMARWRGRDTDLF FIFCKYYFILAEEFIPSELALVEKSRIPAFVLVNAQILSALDSTVHRQAAFNNAMAFP SADGIDATATALPIAPNNNVMKGMTENRLIVLLKDFLSASSVAFMAARHTFAEAFMAL MKASAKRTSLFDHNACFTLCDFLEESLVAYDGYVDVHRPTLEYIDWPFWIDVCRKMMA SSNAGSEVRVLSLVFSIWDAIVSNPSRKELVCLDWLLQEDIFYKWFNNWCPMVRAYYM RLVCWRMCRNTGSPSELDTKIFAVVNSRLKTVWSHYIWLKQEADSKGKVPPSTAPCWP TPGKRFVIIRTEVPAAQSGLMLGFDSFSTPMGPLETAVSPPSPRGSAEMSAVEPAAYK KKWNLFGKVLSFGSTSGPNDLETIRRETAAARKHSAPPKSSETPTPPASDTDSLGSSP TYEALQYVFRFTLQWHSQGATAPLGRILTRPRLPAPAQSCINAQANQGDGSPPPLPAS RPAPTRAFSGSANSGLIDAAKNANPGDVSPTTVRTMMQYADDDISVSLSPIEQRSSNG QSPWSAPQIATSETLVGPVKPMGIYMQSSTYAGRALAEWSTVVAECNSFVDRRREEGV LSLEDVEVPALGVEGFRKIG PFICI_00823 MLRQIKPRNARSKRALEKKAPKAIENPKTCLFLRGTSCSQIVQD AITDLYQLRVPLAKKFTKKNAIHPFEDPSSLEFFSEKNDASLMVFGSSSKKRPHTLTM VRLFGHKTLDMLELHLDPESHRKIDQFKGRKFAVGLRPMIHFAGTAFDSPVTNEYTLA KSFFLDFFKGEGSDKIDVEGLQYMVSISAEEPVGENDKPVIHLRVYLISTKRSGQKMP RVEVEEMGPRMDFRVGRVQEAEEAMWKEAMKKAKTNEERTKKNVSTDSMGDKLGRIHL GRQDLGELQTRKMKGLKRSRDMEDEQAGGDDDDEAPKSKK PFICI_00824 MASKKVSILKFVGTVSLGLLTGTTYTLSTSTIPQLLDLPSASSA SKAFRSITSTAATHLNTLTGVAGSAFLLAFALSPRGFRHPYLIYTSVFVFSTRLTDYF APSLFGAPGDFSQKRAALAKARREKAAAKRMEQSYEVLGSETQSDEGSSEDIFEEEIN GEEVRSEVELFVKNHLVQTALAGIGFMMAVVGIWGDGVYDSFSETVVVAL PFICI_00825 MPVERSEYLSSVWKDGIFNGRVAFVTGGAGTICSAQTRALVHLG ADACIIGRNVEKTDAVAKDLATARKGARVIGIGGCDVRDPESLNKAAERCVKELGAID FVIAGAAGNFVAPISGLSSKGFKSVMDIDVLGTFNTIKATVPYLIESAKRNPNPSKDG LTGGRIIFVSASFHYTGMPLQAHVAAAKSSVDSLMASVSLEYGPYGITSNVISPGAIA DTEGMRRLSGGNKGDQWQIAASVPLARWGTIRDIADATVYLFSDTGNYVNGEVLVVDG AAWRLKTASGPGTDSSTQYPQFLLNGELAKYAKDARDGRSKL PFICI_00826 MPFHNGWLPREGFTADPVLSLVNKTVLNPVLLLPVLLLARFTKK GEDVSLLHPQAFSRFKTLFYFGLAKWLSAYYSDGVINNWTRDTYDWPREIAVVTGGAG GIGGNVVKLLAERGVKVVVLDIQEMNFDAGPNVHYYKCDLTSPAEIKSVAAKVRAEVG DPTILVNNAGVARGKTILDTTERDLKFTFDVNVFAGFYTVREFLPAMIRRDHGMIVTV TSLAAWITVPNMVDYGASKAAALAFHEGLSAELKTRYNAPKVRTVLVNQGYTKTPLFT GFREDSPFTMPALEPESVAEAIVRQILTGKSGHIVKPSLGATMKALRTLPHWYSYGLR AKGENFMTNWRGTQVVKDLDKHYAEREGGKGEVDGSTVLVPEA PFICI_00827 MQSLIIFALALLPTAIGAPTEKRVLGDVELFTEENFAGQSETLF VGDTSDWIANCKKLPEPYALNLGSFRPSSGLLCRLYSSEYPDCSGHGMIIADGSDASG ATLFTLANPESQTGGFVGQDAESISCVRCTNCV PFICI_00828 MATILIGACIAGLVTRTAALNFTISNGQIFTPGFAILDSPQPGT PMGGDTIEVALDVSADGKLNLPPYGDDSPSQIFNITIFLYSYETGRNFTITNGTASAN NASLGDIMLSESGSTVKHVKWQWPDCLVGNGQPTSSDSDRGVYNISIRQNFRLNGDDH YTIFDVPINVTNSIEDSSDRPSCDALDNPLLSYDEINATAANSVGILFAPGDATQVEQ SGSGSGSSGSTGGLGAAATIHWSGAAVSLALLSLSAVFFI PFICI_00829 MTITRTAWRAVNRQVYSQRSSLLTTASRRLWSTSVGGASKSLGS LGKFLKVSEAVQDAIATNKPVVALESTIYTHGALGDDLDLEGIVRRNGGVPAVCGILE GVPSVGLLPEEVAHMVASSPKKVSRRDIAFLTGMGMMGQRINGGMTIAGTMILARLAG IRVFGTGGLGGVHRDGHNTLDISADLTELGRTRMAVISSGCKGFLDLPRTLEYLETQG VLVSTFADGRNGNVDFPAFWARDSGTKSPFVVQTEEQAAAMILAQESLGIESGLLFAN PIPEEFSIPRDEMNKIIDQAVRESEKQGASGNENTPFILKKIRELSNGRSVPANKALV QANVERAAKVAAAVSQIISGDIAAPVQAKSQPQSYTIPKLANKPVINDVQETKPKVEG ADIMVAGSVAIDLNCDYAGPGSANDPAPHLKTSNPSRISQSIGGVGRNVALAAHRVSG DMKVRLCSMVGNDIAGSTVLASLTSAGMDTQSIRQLSGPSSRTAQYVAVNDANKSLVL AMADMDLFTTHSFPAEWSAEVAAAKPKWLVVDGNWSEPSIRSWINAGKKSGAKVAFEP VSNAKSARLFCSAKNMEQLGVYPRHHVDLATPNQYELAAMHAAAQQNGYLENQKWWEV IDAFGMKGARERFVSITSAAMTDAGIPQQAIQLLPYVPTIITKLGDQGALLTSILRKE DPRLIDVAYEPFILCRSFHDHPDIGGVYMRLFPVAQTVDDVVSVNGVGDTFLGVLISG LAQGGEIENLMHVSQKAAVMTLRSSESVSEELGSLTGELAASRAM PFICI_00830 MPPKQRQEVVDEDVYTSSSSEHDESGSESEEEQQQPQNRQPKPV LEKDSDEEELERLVLGDSSNFRENLFKNDYEGEVGSDFEDIGAEDDQDGDDNIEDIDD AQLFFLDAPPASSKGLAVATPTNAVAAKKEDKNAPAWEDSDDERLTVSLASATQLRKL RITEDDDVVNGTEYTRRLRQQYLRLNPVPAWAKEAEGRPAKRRRRSSAASDDSQASDV SDSSDEASALPLDQFLRDATSLRESGARSKKLRPEVIDIQRSRDMADSHKEEVTALCF HPEYPVLLSSSTSTLLYLHHIAPTAHPTPNPLLTSVQIKQVPVRHAEFLYPGGDKIFF AGRRRFIHSWDLPTGLIQKTQKLQGHNLEQRTWERFKLSPCGRWLGMIASTRKGGGEI NIVNVNTMQWIAAARLDSRGGIADFQWWSNGNGLTVLGKGGQVGEWSMATRRFLAIWN DEGSIGGTVIALGGHNGPKVLGGHKWVAVGSNSGVMNIYNREDLITSSEANDELELKE RPEPARRFEQLTVPVTFLTFSPDGQILAFGSTHKKDALRLAHLPSCTVYRNWPTAQTP LGRITAIAFGSKSDTLAVGNDTGKIRLWEIRS PFICI_00831 MPRNKKGAKAKAITVEPKNPPKKPKVVEEWEAYFKKGDLQDWLR FMGDLGLRQDYSSITKCRKALKNVWVNIHDFLHAVKNNQKPRRFASQHELARYTLDSR KTYPKKNIPQGSPLRRLLAHIHQPSIGEKRLSKQEQQHEYQKQLAHALAIVEKEHRLK GAYTPESDEESLYLSSNGL PFICI_00832 MAQRIAIVSVYDKTGLLDLAKGLAQQNVRILASGGTAKMIRESG FAVEDISAITKAPEMLAGRVKTLHPAVHAGILARNLASDEKDLAEQHIDKVDYVVCNL YPFKETIAKPNVTVPEAVEEIDIGGVTLIRAAAKNHSRVTILSDPNDYAEFLKELEAG EIKESSRNRYALKAFEHTADYDDNISAFFRARYATNGEQHSQLRYGANPHQKPAAAFM KSSNLPFKVLNGSPGYINLLDALNSWPLVKELKAALGKPAAASFKHVSPAGAAIGVPL SAEERKVYFVDDIPGIETSALAQAYARARGADRMSSFGDVIALSDIVDVPTASIISKE VSDGVIAPGFEDAALEILKKKKGGKYLVLQIDEDYIPDPIETRTVYGVTLQQHRNDVE ISPSSFSRTITPKDFALPESAARDLTVATIALKYTQSNSVCYAKDGQVIGLGAGQQSR IHCTRLAGDKADNWWFRFHPKVLGLKWKKGTKRPDKSNAIDLLVSGELPKSGDERAQF EAVFEEVPAAFTEAEREEWASKLTNVAVSSDAFFPFIDNVYRAHRSGVKYIAAPGGSQ NDGAVYETAQKLGIVVVEQNVRLFHH PFICI_00833 MYGDLGNKLVLHAKRAQQLAYLPPYQTELVRAVTREVRDLDKDL SSMLESFQGSFEPHADEAKSCTMLVNHLSMRRDKRCLLAYHRTRTDKLEELVWKGEDV VDLPGQQISANQGPSASSSSSLSPQEEDYVRQYSDLLAAYKGQWTDIDLTGSLEPPRD LFIDVRVLKDAGEIQTEYGAITLTKNSQFYVRQGDVERLIAQGYLQKLS PFICI_00834 MPPSARLLTSYAAPIDSASYARSVREDTAELASYVLADKKDKRN ASFLRRRTSGSSYGDRENFSPYRGEQHTASGEGITEVSEPSSPDSAIEDIPDDGPSIL SSMLKHSPPKIDYASEADDSSTNGNADNGKRKTNRRPESANGSMAEINVDGASEHTPL LGRTMSSYSRPPESPSMSDADDLSDVESQKPRMDQSYRRYGSIRERARDKVRVVSHFV NPKSWDRHAIWQNAVMAPIHCLPAVVVGLLLNILDALSYGMILFPLGNPVFANLGPAG ISIFYVSTIISQLVFSAGSIFKGGIGSELIEVVPFFHSMAGTITSIVGEENPDAVIAT TITSYALSSMLTGLIFWLMGKFRLGYIIGFIPRHILIGCIGGVGWFLIQTGFEVSARL EDFQYDLDTLHRLGQSDTLALWIIPLALAILLFGLQRKITSQYFLSVYILMIPFVFYF FVLTIDELEPENLREHGWIFEAPNEGEPWWHFWTLYKFNLVHWGAVFETTGAMFALTF FSLLHVPINVPALAQSTGEDNADLNKELKLHGYSNFLSGCAGSIQNYLVYANTLFFMR SGGNSRLAGVMLAALTAVVLVIGPKLIGFIPVMMVGTLIFVLGFELLCDALVAPRHKL KGLEYLTILAIVLTMGIYDFVAGIFLGIILAFASVIVHQSGVSAIRACYTGDQVDSMV RRNPSQHHYLQEVGRQTYIIKLVGNLFFGTIVGVQEKIRALIADNVFAEHPIKYLIID LWQVTGIDYSAAEGFMTISRLLHKKGVILLISGKDAESKIGRDLRAVGLGNDGPEVMF MPDLNSALESCENEQLKTFYAHQEALRRVERPASAKNLEVPSPRKTVQSLEPGEFLSE SPRRNYRQEVAKNVLVEHELKRASRWRNINEPLRLMLQIFHDLSDKNEDFWFRAKPYF AQKEYPAGTILYKRGEPASGFYLLERGELRADYETPQGKLSEPIVQGTTCGELPFFSQ TNRTATVQAVRDCVVWVMDRESWEKLRQEQNDVYQELLSISLKLTTERMNAMTNYTLA NAN PFICI_00835 MIYADLAFTTWNDRSDAILECAPDDKFKGFPHVQNWHERMTSRP SWAKAMESRARLMDEQRLTWTGMPKGFNRLEECQERLKANDETAANAATKK PFICI_00836 MAVQDIDKSPAGPALLTPVEGEKQDETTVSLLVTWDGDDDAQHP FNWPQLRKWAITILLSNGGLVTLMSGAMLAPALHSISQDLETDEEETQIFLSIFILAF AFGPMVLSPLAEVFGRRPVWLLGSCFYVLWNTVAGFSKTPGLMIASRILSGLGASVEF AITQPVLSDCWKPDERGKSFSIATFIPLLGPAIGPIIGGAVTQSIGWRWTFWILSIYD GILIFVGIFVLQETYEPILLAKKAARLRKDTGKSYFIDAESLSLTAKLSRSLSRPFRL LFTQPILQVIAIFLAYNFGILYIVLSTFATLWIQRYGQTESQSGLHYIAIVIGYTIAA QVGSRVMDRLWAYLKAKHGDDTAPEYRVPLMVPGAILIPLGLFIYGWTAERHEYYHWI VPDIGIGIFGCGIILNTQAMQAYVVEAYRKYVASAFAAAQFLRSVAGFAFPIFAPSMY RNLGYGWGNTILALTFVVIGWPAPFLLWKYGAKLRAMGKPQW PFICI_00837 MPTSPPFSRWLTTYPVRLYHRLMGSKNWRPAGTVIDEEAGVVEY RKGRMDRFAKMVSITIASTIPMIAILGLYFEQNLLHRIYISIGITAAFAALLSMFTNA RRIEIFAATASLAAVEVVFIGCAEIK PFICI_00838 MAWKTTPKSGKTTIFPGKFKTFVRDVAGLKRTASGHHLSPRPST AESTATDSERAWSIASDFDCPEEQPEHKAGISVQLSLDFDEPLEFSCKRCYNSSPDFK PSERLLRGLLRRIDHGAFELITRKDPVATATTKGDGKEKPKRFEMTFEISQKGSVWAT RKYSSYQKDVMTANSVKEVVLSSHRLIGLFLRRHDPEFVWRDGPFRDEIPEGPETAPY RVGGVQPMNCIPRSRFLEKTQTFEALPGFKLELSITSRCQRRRPPEWSQTLEVESEQT SPLNLAIAEALFANASYAVEGTLGHRRRSVEERHRQSCGFIAAHCPHYEEDATKLSLR IINNLGPQFDHLEKVMASKLVLITDVNTKNVTELMSNLEKALQASRDIADRTISATND FEFRIVELSGRGWNIDEPLVFTLGPADSYSRRSIQAILDRVQAGVADVLRGNAATVRM TAAKRGHYILDKTLIAPRDVTTDTGGWSIPSKNKTKVVDKLRRRVLQDIDMICKDTCS LDNLDESEPAAIKDLPSKVDVFGQAEFEAIKIPLPGTPCPDPMTRARSPADGYATPPG SAGSLLPQSPGTALANISPERPKPRSFAYYRDGVRAFPLISPTSSYGFIDKRAPAYSV REDKPVDALGLNQSTEGQHGTQEHDINGNKDIKVAAPPNGAAISGTDRPQSGQDLQTQ VLVRDFAESDTRRMSSTGMSDQARHSEADDLSIAPSTPSLVSGGLHSAASSLSMFTPQ FHAAGSGSELDMMLSPGTPDSNRDLHTLNETVEAGSHAKLSNPIISLELISPLRRSSL TKHKHMPSPLQQQNHLSDESVSEVRDTLEGNAHIIDMETSTSNDGQAHQMPDNQQQES EVSKQDNKTALSAEGTDASPVTPQLPEKLASFAATTSSQKNRSEKASSQLPPPPVRHE DYSDNVIEDNERNQSSETPQQATADVEITLNDDTQTPTKTAYAEVRTPSEGFAQTRQD FDFSSPWSTASPYSETSQPAFYNNLDNAVESEPEDSRSRTNNKSVIPRSLATSLVRSP PPSRTRRRSFGSAGFLGIRVGEPRLIEVGLRRALMIPMIKGIRGSPMTATSLWSNMHQ HGTRGQSASSGMEMGFAIRPATAHGKGAVLFEPDPDQTNVKRSASSGMLQDLLLVEAK DNDAKQEEEDSGTSHEGDRRRSASPVFFMPAVTFASRLIGGTK PFICI_00839 MEYQYQEQQRQRQQQHHELDEDGFDDYYHHPSSPPSVTSVSETP NASSDVSSPQLLPSQLPDTSVPAAAQLPSSPSSSSRNPRKLTINSDIARPPSAGHLIR RKPLSISASPIATRFSSGAYLSIVRDKARLEQRTSRSFSLDSPTVYGFEQASIIETVA GLSFPRAKVASIPKPKDDVRNSHKIESPVRSSSPSRSMSPEPSVIAGEEVKHPSLADH PNPAAPQTDLTAGLLQPSGQSSRDGSVAEPNTDEEDPLSVLDGYNTDSSYNTSSNNSN MSMPHSRKTPPQLALAQVDTDTRSTSISSPNDLKTPDTNKPLPKSPGSASKLGSFFGW GGQSPTTPESSDKESFSPIPPSTGFSRSNTLPNASPATLRQTTLLEAPLVSYNGSANP RDYVDSYLATPPPISAATTAEIEEMEDELKAISTELAASIRREMDLEDLVDRLQAERD NPTATANKRTSDYFSDSGYSSAKFSEYEQSRDEIEKIQRRSEQEKAQIRLELTQKVQD ERLKRAELDGQIKELSERASQVDLAQINSMDASGRIQELENTCESLRRKLADERQIKD NFEDLLSALKGELENAANERDNLRDEIVPQLRARVDGLENQASELEKMNYEATKMQQE LQALKSENTSLKEAGSKAAASMGSITEEDSFSAVPSRLTRSNSITGPPPTRKFSKSPG GLGLSRSKSVRTTESRDTTPNRLSITGPPSANAPSPLNLTDRLKDVEDQRDALHRALK ALLERQEHQNRENEKKIKALEMERDRLLNSSPKKAGFAKEVSNLRDEINVLRRRSEEA LEQKWQVEKGLAGLKMDLDRAEMEIASLRSLLQEKDILIPEAYLRHSGSSDGSIRPPV TSESLVTAYAELQDAYAQALGRVKELSTPQDEKTELAIERLEQSLSSTISDRDLAMQE AASFKAQLDMLKAGESAYLESEKALGDELSESARRVEELSTQVRQQLATNQNLRQRLS DTVARGDAAQRANAERIAALQDRLHMLEEQVVAAQTASEERVARHEDEIAAIKENQTG YLARLSSNPRSPRQFLPKSPMSPLFARASAKSFRLSTTRSGPAMSVSEETQVASLKEK VTELEKALTQADSEMEEVVGRMNAAQIEVLQLQEEREAAMSQTRKLERELQAEKVKAF EERFKSLQS PFICI_00840 MASTKNGDYRLGVDVGGTFTDVCVITPNGETVRAKTPSTPQDQS VGVKDGITKVRKLLKSKYDWEGEFSFIHHGSTVATNAILESKGVKAGLIVTAGFKEVL TNRRCQIPGGLGGWISFVPPEPVVPLERTVQCTGRIDPSGQVVIPFDEAALRRDLADL KRQEPEAITVSLLNSYVNNEHERAVERIVRDEFGPHVEIICSADVLPEAGEYERTVTA AANAVVKPLVKRYMEGLEKLLSPDSRTIRILKSDGALTSLDLAGELPVNLLMSGPAGG VQGVVDVISKQTPYKNLVTLDMGGTSTDVALIVDGKAALRRETVVDKLTVRAPSVDVR TVGAGGGSIAQYVDLTASMRVGPESAGASPGPACYQKGGKQPTVTDANLVLGYLPEAL LGGDFHLDVAAAAEAVKSVADQMNISAEETAEGIVNLVNETMYGALRQVSVEQGFDPR DFALVAFGGAGPLHANAVGKLLGAWPVIVPQAPGVLCAQGDATTKLSHSQSVSFIKSL SQCTHQDLKEVLENLGRQCTEKMNTALEGGSLSEKKLSVAYEADLRYKGQALDITISF TPEEFSKGKDELAELLRKRFNTTHELQFGFSLDELEFELVRLGATVTDASSPIVFAEI KSESDGKLVAPPESAVVNKKMITVEGKQIEAKYYNRAALNKAGYRVDGPAVISEMDSN TLILPGFYGEIDQIGNILIHPADDSVVAKTKTFTPEEAKAEVTNSPLIPTLIGSALQA IRIEMDTLVLRCSMSPGIREQQDEFNVVTNGKGQMLVGQFGSFIGEFLEGWNKTGGTI EEGDIFMTNDPYSTNGAISHLNDVIILLPIFYKHQLVGWSANFGHLSDVGGKVPGSMS ISSSGLYEDGVQIPPVKLYKAGKYNVEIMNLLCRNSRMPEWYRSDIQALISSCQTAGA RVCETIDRFGLELYEAACDELLRRNQLAVSKLIETQFGDEEAVFTDFVDDDGAGIGPY AVKCKMTKVDGNKLRFDFDGTSAQSNTALNFYLSPTMFKMFVGYYLLAVFDPHCVVNE GLYDFIEVAIPEGSILKPIRPAALSCRTHLLGRVMDIIQALMGQHNKAYRAAAGFSDS PHFFYSGWKPDGTWFQLYQIAFGGVPARPVGDGPDMHCLFPAIKSVPTESIELAFPLL IEANESLADTGGAGFYRGGNAHRTRYRFLNRGEFSLHDDRWFTHPWGIDGGQPGKRSR KVLYRYSQGKDPRPEYLPSKCDHVKVLPGDVLEHITWGGGGLGDALTRPAETVALEVH QKLVTVAGARDNYGVVVDPTTFAVDASGTEALRVRLRADKKEYPSIYNRGGSLEELRA RCLDDTGLPAPEPQWNEDPYGAHVTLPYVQDWYKTRRAEGNWTLE PFICI_00841 MCMAPYPKYLIADPTTTVVPFSALPSCAVSCGPLYDANGACVPP AAATANAVVYDQCFCSYSALQAFSSTTAGVCDAACTGEADGLSSIQSWFTSFCASAAT ATDSSSTSTSTSSSKGSSNSGGGDWMSNHWKWVIMIVIVVVGIGGIWIGACIWRRKYL KKKDRMYELGKGLPSTVAVNAQGKLVGPGARTSDQPGLFMSGPGTEAGAEKPKKERKR WNVKERT PFICI_00842 MSPSSKKSKKAYSSSTHSSSHVPVSSAVTEQWVHTQAVEGPWDN IAFAAGTWPSNVQSSSTYAESVQASQGSVKHSHKHEHHKKSGGKK PFICI_00843 MAPRTMTSNEVYLLPLKDNGAPDVPGEYIYLAPKSQAPITIRFT IEGTSSICRQGSLWVNIPEQGSPFQRDSFREYKLDPDFNRTLEISIPIHDAGAFAYYV TYAELPELTTELDSNKLTSSEKKKSPLYYIDVAPRLSLDGRPLPLPALSLFSVISKFM GKYPTDWERHLRGISDRGYNMIHFTPLQVRGDSNSPYSLYDQLGWDPDCFPNGESDVQ KMVTSLEKNHGLLSLTDIVLNHVANNTKWLEEHPDAGYNLTTAPWLESAYILDTKLLE FGFKLEELGYPNEFKSVDDLVKVMDGIKKHVVAEIRLWEYYALNVDRDVDAAVEAWAA GKTSAAADLDHLKDASLKDQAEYLLGKGLLNNDRLGERFFRRIDPEVAAALAATLFGK YRGEADESTIRAKLVEIFDAANVRFYDEYDKEIGEILQQLFNRIKYVRIDDHGPKLGP VTQKDPLIESYFTRLPQNETTSKHKKQDLVLVNNGWVWAGNALIDNAGPLSRVYLRRE VIVWGDCVKLRYGSGPQDSPFLWEYMTKYARMLAKYFAGFRIDNCHSTPIHVAEHILD EARRVRPNLYVVAELFTGSEEMDYVFVKRLGISALIREAMQAWSTAEMSRLVHRHGGR PIGSFEVNDVSKTGSQNPGSPPMSPGVNGATTREIVRRVQAVPIQALFMDCTHDNEVP AQKRDARDTLPNAALVSMCSSATGSVMGYDEIYPKLVDLVNETRLYTSESSEKAVKVG GGKGGIGGVKKLLNQIHTLMGMDGYDETHIHHEDQYVTVHRVHPESRKGYFLIAHTAY PGYGNGNGAFNAVHLTGTKARHLGSWMLEVESDEAAQKTVLEDKKYLKGLPSRVVDVP GIRMEVKGDETIITVRDKFPPGSIALFETWIPAAEHSVGLDNYVTSGAKAAMSELDLV DLNFLLFRCDAEERDGSDGKDGAYDIPGHGKTIYAGLQGWWSILKDVIKDNNLAHPLC QHLRDGQWALDYTIGRLERASQQDHWKGLAVPASWLKERFDAIRKVPSFLLPRYFALV IRTAYKAAWERSLELMNENTRDGQWFLQSLAMVSVQQTGIVKSGSLWPSKLVPSLAAG LPHFAVEWARCWGRDVFISLRGLYLGTGRFEEAAEHIFAFASVLKHGMIPNLLSSGNL PRYNSRDSVWFFLQTIQDYVRFAPNGIDFLKRKVKRRFLPYDDTWFPSDDPRAYSTES IIHDVIQEALQRHASGMKFREANAGPSIDAQMRDEGFNIEINVDWSNGIIFGGNQFNC GTWMDKMGESERANSKGIPGTPRDGAAIEITGLLYSTLRWVAKLASEDKYPYKGVKKA DGSEITFTEWADLVKSSFEYSYYVPTDAADDSKYAVNSQVVNRRGIYKDLFRSGKEYE DYQLRPNFAIAMTVAPDLFEPSHAWGALLLADKVLRGPTGMATLDPSDLNYRPYYVNS EDSDDFATSKGRNYHQGPEWLWPTGFFLRALLKFDLERRQGSQEGRVEAFQQVTRRLE GCKEMIQSSPWAGLQELTQKNGEYCSDSSPTQAWSAGCLIDLYMDAAEYTTRA PFICI_00844 MAPGLLTPASAEKYEQRHKVTIIGSGNWGSTVAKIVAENTKEHS DLFEEEVQMWVYEEEVTIPKDSPHYDPAVGDAPQKLTNVINKCHENVKYLPNIKLPAN LIANPSLVDAAKDSSILIFNLPHQFIHRVSSQLKGHILPFARGISCIKGVEVSDEGVA LFSEWIGDGLGIYCGALSGANIASEIAAEKWCGTTIAYDPPPMDSSRNPTPRTQTPSR THTPSRDGPRDKAAELSITPLADMQHRDARGRASKTRLTPVPVEFPPLDHETFKTLFQ RPYFRVRMVSDVAGVSLGGALKNIVALAAGFVIGQGLGDNAKAEIIRVGLCEMLKFGK EFFAETVHPDTFTVESAGVADLITSCSSGRNFRCAKMAVEQGVSVAEIEAKELNGQKL QGTSTAQEVYSFLKKQGLEKEYPLFTAVRDIIEGKYPTTDLLSLIQDD PFICI_00845 MAAPEQVNSSTCCLVRAEQSASRIWQSVTASRTSLHRSSPDQLP ASSIPRTSPERLAQASPDYHGPRTATTVLYLAYGSNLSAHTFLGVRGIKPISSINVSA PGLDLTFDLPGLPYSEPCFANTALRKIPKLPLPGDPPIKPPIDLPPHAENICTNDKSG GVEAGLGGGGPDVSFPALPIRRPTWSKGLYGVVYEVTAADYRKIIATEGGGTSYAEIA TLCVALPPAIRVPEQPPTIPDTKPFLAKTLYAPRLPNNAEPPVDDDKKWWRKFLLPVR RAEADYAQPSLRYLRLIREGAAEHFLPFEYQQYLQRLEHYTITRRKQEIGRLLFSLLF LPLLLLLVLVGKFVSDKDGKHPRWLAVVMGVHFNVMWMSYDAFFKDTFGDGERTMEDE EDDENDVTRSMSRRSWWHTSNGATGEKNRLLNDW PFICI_00846 MLRTRQASRAVKALAQNSRFQQRSFSTSPATAAAKLTHKTPAGT RNQATSATSSPVARESPSKAFNTADAKSQGHVQPLVNPRQPEMDESFIGKTGGEIFHE MMLRQGVKHIFGYPGGAILPVFDAIYNSKHFDFILPKHEQGAGHMAEGYARASGKPGV VLVTSGPGATNVITPMQDALSDGTPMVVFTGQVVTSAIGSDAFQEADVIGISRACTKW NVMVKNVAELPRRINEAFEIATSGRPGPVLVDLPKDVTAAVLRRAIPTESALPALPSA ASRAAMEVTRRQNAESIRRVANLINNAKQPVIYAGQGIISSPDGPALLKELADKSSIP VTTTLHGLGAFDELDEKSLHMLGMHGSAYANMAVQEADLIIALGGRFDDRVTLNINKF APGAKAAAAEGRGGIVHFEIMPKNINKVVQATEAVEGDVSTNLIQLLPQVEKKAISDR QAWFDKINAWKTKWPLGDYEKAERAGLIKPQTLIEELSNLTNDRKHETIISTGVGQHQ MWTAQHFRWRHPRTMVTSGGLGTMGYGLPAAIGAKVARPDALVIDIDGDASFNMTLTE LSTAAQFNIGVKVIVLNNEEQGMVTQWQNLFYEDRYAHTHQKNPDFMKLADAMGIQHR RLIKPDDTVDALKWLIESDGPALLEVITDKKVPVLPMVPAGCGLHEFLVWDSEKDKKR RVMMRERTGGLHG PFICI_00847 MTGGLDRLEKFFSSSRKREKDVPQRSVPLRDFDSVQTTSPGTDA RETTVFPSPSFLKPTSSRMQPRDEAQNGKDKARSRSLPDVKKSIRGQMSGIKSLDVER KTRSYEPRPQSIPEIPSTPPHLSGFRFPEDSLFRSPKTPDTSKGSPGRNDTSNDALES SRSDKDGHQLLDWSPRRISLMFKPNELLSMNQNLGLALNHETPEDKTLMPSPMFAVPE HPPPKSPLRRKGLKTPPRPHTGQPSKSQPWDFSKFPRQYSVINSSMCHTYSPPTSDSE DDQSTIVTIRSNIHSKLNADVSSNSDLSPKSLVTKGQQPTSVSGHKTSRETWGVRRDD PNLYTLDSNGSPIPRLHLDKTTNASDFIETSFSVVKKRILEEPTIKDIYALSDEDIFE ARPFTPPPSYPPPPPPPPPKDEVRVRIRRRTLTTSTVVAVAAPAQPRRVHAVDPTSGE MTPPETPVDLQFLAPLPAARHSAWELGALMTAGIATKFNFDLIYLIKVWPSSEGSRRQ ASLSRTASCGATPHHSVVEVNKGSGVLCGAPSTRADICTQYLAAFGLDQVGEPLQIQA HVLQTALQTDRWSELDDPAGPFSHGWACSLASKRASVRSQDVADRKSIMMGGAKNAAN RGVVFAAYSRRHNNTVLPRESPSTTNFLAELRDDAEKLAKLVLGDY PFICI_00848 MSSEAPEMSSATREILGKVRQMVPPMLEKFHKGQLGRVAVIGGS VDYTGAPYFSAMASAKLGCDMSHVICTPGAASVIKTYSPNLMVHPLMRQSPPPNSGHL PATDTDPEEISKGIIDMLGRLHVLVVGPGLGRDPLMHKTLAKVLAAARERKMPVVMDA DALGLVQTNPELVIGWKEVVLTPNVVEFGRLQKALKVDETEGKQQSETAKVEALSRAL GGVAIVQKGAKDFISNGDDTIIVDLQGGLKRSGGQGDTLTGSIATFLAWRNAYLEGLW DHGGKLEEKETLRLAAFGGAAITRECSRRAFMKKGRSLQASDLTEEVHPAFLSLFGEN DPDAKL PFICI_00849 MFRNAVRQSTRAVSALSASGRVLAARNAAPAAFNVQTRSYAEAK ASPTEVSSILEQRIRGVAEETNLAETGRVLSVGDGIARVHGMANVQAEELVEFASGVK GMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGMELLGRVVDALGNPIDGK GPLNTKEKRRAQLKAPGILPRQSVREPVQTGLKSVDAMVPIGRGQRELIIGDRQTGKT AVALDAMLNQKRWNNSNDESKKLYCIYVAVGQKRSTVAQLVKTLEENDAMKYSVIVAA TASEAAPLQYIAPFTGASIGEFFRDSGKHSLVIYDDLSKQAVAYRQMSLLLRRPPGRE AYPGDVFYLHSRLLERAAKMNDKLGGGSMTALPIIETQGGDVSAYIPTNVISITDGQI FLESELFYKGIRPAINVGLSVSRVGSAAQLKAMKQVAGSLKLFLAQYREVAAFAQFGS DLDAATKQTLSRGERLTELLKQKQYSPMAVNEMVPLIFAGVNGYLDNVPVAKILQWES DFLAHLKTNESELLATIDKEGALSKDLEQRLRDVVQTFTKSFSS PFICI_00850 MATVTATPTSAIYARHNLGPLTVPFEAPASCIYNIAECDTCTRG WRAQSCTFDGAYPVDDSACWPPRATYAPTTQPGFNGWGFYSPGTLCPSGYTPSCTKTN GLDGGFPFQYDLVSSETAIGCCPTGFSCTLDKNEKQTCVTIAMSTSITTATCPGQNSG QPSVFHYKTLPSSVPSSTISSENAIAPGSVVSNFTLLAPLFQLVFQPSDLTTTMGGPT ATSSSDVSRSTAATSGASNQTTSDQGTTGGLSTGAAVGIGIGATAGLVGLLVLAYFAW RSKRRNRGPEMVSSAASSSSTGNPTMYSELSSAAMHQAPSSSIAPSELAASSEIHSQN IRPNTQDYNQKSLMSVKNSI PFICI_00851 MNHDQGICQEGHIVQPSLGTKVPVSGHDEDHDVESIMAQPGSGM GGDDHEMVDADEALEMPAPRQPSELPQILSVPSLHDDTPSVDHAVIKPNEFAPDKPGM ETEARSNKVKKTCQEILLPNDTFKSQVADPPENAPRYTTEQKGKQRAKPPPEVQPHDD EYSWLYAEFRLLTFPRSWAICEHCHMTIASSSKFNDILMSCRPRPYAIRVGLDELVYP KYFTGLNTILFFDQSGRRVEYDRLLAEFGASLLEVLCEPNIIVECAEF PFICI_00852 MSIQPIITFKAGICEVDPSTKPYKVSAKPEKGYVYLYSEDDLIH FCWRQRSSPIDEPDLDLVMVPTDGHFVPHESHKQTNSASKTNGRVFVLKFMSSSQRHM FWMQSKPQGRNGDPAWFSPRDLKIGQIVDALLQGEEVDVQSELNSVVNNNNDDRPDDD EDDAMEDVQGHGDSNDPRGGAGAGGAGSDATGGDVREEGEGAREGGADGARAAAGGNV DAATAVRNLLNSLQGGLPGQGGSGPSQGGEGKLYPMLSDLLTPPTTVPVAQDATEEQL DELLDYLPPQVLILSQQSDSGDASTEPTPAAVEAAKQAMSVGQKKALLVKVLRSPQFH QSLTSLTMALRDGGLPTIAEALSVKVENNGYMKGSSMPLGGGEAVEAFVEGVKKTVEK K PFICI_00853 MSAPTSKTFGKSTREVPHHSEKAQKWYPADDESKPKTVRKAIRA WTPRQSLQPGTVLILLAGRFRGKRVVLLKTLDQGVLLVTGPFKINGVPLRRVNSRYVI ATSQKVDVSGLDSAKVDEISKKEYFVGDKVKDKAGEEAFFKQGEKPQKKEISSSRAAD QKAIDKALIASIKKVDFLPSYLASSFSLRKGDKPHEMKW PFICI_00854 MDAADLKHYLADSPPTIVRLEIEKHFDALTDQQKRYAHFISKAA FAGTRVVLRQISPESEAIYDFILALHKSSGGDWKALQSKAGISDEDLDYFLQYAGQFL GNNGNYKSFGDAKFIPRSSESAIAALAATDATADKFYKATNGAIFSHDRPSLMHLGYP EEGHMTTYYPDSPSITKDEIDAVSAWMEKKGLLPENTRLSKSSSGTFDIKIASADTSV PSEGGDIGKDASFTVEEGPLAGKTINLVYGDYSKEMAAITENIKKAAENGANETQKNM YNAYAKSFKDGSLLAFKDSQRFWIRDKGPAVESNIGFIETYRDPAGVRGEWEGFAAVV NQERTKAFGDLVSAAPDLIPKLPWSKEFEKDKFLAPDFTSLEVLTFAGSGIPAGINIP NYDDIRQTEGFKNVSLGNVLSAKAPNEKIPFIAEKDLEIYRKYRDDAFEVQVGLHELT GHGCGKLLQETSAGEYNFDKANPPVSPITNKPITTWYKPGQTWGSVFGSVAGAYEECR AELVAMFLSCDFPTLKIFGYGDGSENMDGVAGDLLYASYLSMARAGLASLEMWDPKSR KWGQPHSQARFSILQCFLQAGDDFCKLDYEGDNVEGLTINLDRSKILTVGRKAVGDYL QKLHVYKSTADVQTGSDFFLGMTEVDPEFWGQKIRGEVLRVKQPRKVFVQANTYLEES TGKVSLKHYDATLEGMIQSWAEREV PFICI_00855 MGSANASTVGPNPKPITTATMTHASHVSNTTAQNSNAATKHHHI WLVTGPAGCGKSTVAAHLSEALGFPYLEGDEYHPKANIDKMASGVPLTDSDRWDWLTV LREASLKKLAEGADGVVLTCSALKRKYRDVIRVAPYYSHDVILHFVYLHAPEDVLIQR VGARKGHYMGASMVHSQFSILEPPTAEETDVISIDVSSTMEEVQREALDKVLQKMDED AQS PFICI_00856 MFLQRSSRAVRSQWCRPQGLSRVLAHGSQGAFSVSRHGFHTSRA LQVVKPVLLADIGEGIVECEIIQWFVEPEARVEEFSPLCEVQSDKASVEITSRFAGVV KKLHYDAGDMAKVGKPFVDIDIQGDAKKEDLEALTAPEPSQGQGGSGEALNKESLSKP PEGKTTETVKAEEKAPVGQQSDKPKKSTGRFASLATPAVRHLSKEFDVDITEIEGTGK DGRVLKEDIHRYVESRKSSAAASTPTQPPVDTATQTETPVQLTNIQAQMFKAMTRSLT IPHFLYTDEIDFSQMHSLRTRLNKVLKSSPQDDISKLSYMPFIIKAVSLALYQYPILN ARVEIDANTQKPSLVHRSQHNIGIAMDTPSGLLVPVIKNVASRNILSIASELTRLQTA ATAGKLSPQDLSGGTITVSNIGNIGGTYLSPVIVEKEVAILGVGRIRTVPAFDEEDRV VKKQACNFSWSADHRVVDGATMARAAEVVKQIVEEPDVMVMHMR PFICI_00857 MSFPRRPQLLCLLCQWRSFSTSYRRFAKEAEKAASKPSITNSTS SSPAPQKFIPPSPLENAPRGYGKRVEEFTPVPLPRPIGMPHPPEPGQNTGIDNRSVRQ KRDDFVNWDKHLARREQLKTQFRKPYFRDWTNLEHHKGKTFISPLRPFKGDLSLWFPN LYGTTLSKTDKGPHDTTPILAGKITIVSVFSGQWAEGQVKSFVSPEDNPELQAVLKEH KGKAQHVRINIEEDGLKAFLIKLFTNSIRKTIPEEDWNKYFVVRKGVSDEVKEAIGML NSKVGYVYLLDGECRLRWAGSGYSEGHERVGLVTGLMRLLDEGKGVKKAKLAGSAAAL KL PFICI_00858 MLRDHLVRNCPVPVEDRATSWVANGVTRRRGGRRARDTTNNNII NAVNRLTAVLANARGGGDRNNNKKGGGGGRNNNKKKGGSGDGGGTGGAGGAGGNGGFI T PFICI_00859 MPRSNTRICFGCGAAGHIARQCPVPLEERAAARAANGVGRLGRG LGRMRGRNSANIIMAVNRLAGVLQDINSSRSNNNNNHHHHHHYQQQQQQQQQQQQQQQ QQQQQQQQQQQKQQKQKQQQEEKEEEEEEEEKKEEEEEVKEEEEEEEEEAKIKEEEEN PFICI_00860 MFNHHHQSTLLLVLATASGLVVGDTYQYDSSVGTTIPDVDDNYT ISLFRNGLAHPNATRSVEFNPFAKISDLINTSSPLYQNWNLHFSVPNAANIAENETDF AWFETTFVDPHIVDYSYGLSWPGGGSLESALPENVSHMCFTTLDMWGTPDNVSNLLSQ QDADDSTDCSFVLGTECVSAIEDAVRTDPCRGVEEAWTRLDACADTLSYAAAQDYNNK YGTTLTQALSNNGTHSGDSFWGGWSGAHNGSDTSAYDRITTGLRVMTFSPVVTNGNVN VSRAQVVCTRIDATQVEEQDNSDNDDDTSAGWSIRASSGIATLFTLGWVVAAACAA PFICI_00861 MQHNKHIVLVTGCTDGSAGAALARHFHIRGCRVFAAARSLDKMQ SLADLGMDTLAMDVLQPAQITAAVDAVRSATGGRLDILVNNAAVFNLMPLADQDLDDA RAMFNVNVFGMLAVTQAFLPLLTATAAVGGRPLVANVGSISAQAEPVFQGIYAASKAA VAAMSGVMRKELAPLGIRVVTIVSGAVATNFKQGNKPWRVPEGSLYSALAGDIESKQS AGSSYAMEPDDYAKKVVGDLLGVNPGPAIFRGRFSSAVWLLSWFGRYGMLDSMEIRDA GLNIVKYPEI PFICI_00862 MTPILELQREGAFGDAEIVDLPASMYPPDFNHVVDDSPDPVVDA SPVPVHNAELADAIAARERAERRYGEMLARFTSQVQETWSLMREARGGHGQPLTWLAR APPSLQSSHT PFICI_00863 MTDYDTPSTAPKPRKRGFAFVLLASYVTDWLCLIAAGVVGTILG NVTPNKRPFRLDDPNIGFAFTEHETVSSALLLTCNAAIPIAIILIVTLIFVPGPTVPK GTPQSLIWKRKLWELHAGWLGLAMSLCGAWFITSGMKNMFGKPRPDLLSRCQPDYENV AKYYVLNNGGHGNLSGLVSAAICQNTDSSLLDDGFRSYPSGHSSSAAAGLIYLSFFLA SKFSVVIPFVATSGYSAESSAVAFPSRHNGGAAGANSSYNPLSHATDELSSPGDPSVA KQIASHGSSVLAVRRQAAAPPIYLLVLTLIPFFLAIFIASSRWYDFRHHGFDILFGFI IGIITAWFSFRYYHLPISSGAGWAWAPRSPDKSFWAGVGVYSWATDKKHWIRPGDEEE GLAASYANDIELRGMRYRQRPEGGLNTTESSTAITKDRNQLSPTDDFSTRDDRASTSH DDHNRI PFICI_00864 MRGLTLMSFTMASNLAVASASSLPIMLREVSPDMTCGSTGAGTA GYTCETGMCCSQYGYCGNTTDYCSSSNGCQAAFGDACIDDDGSGDTGEDRCGPSFNNA VCASTECCSAEGYCGTTVDHCKAPDCLFNYGPACDANKIPSGTNTSTLTRSKLGSIAY GGAGIYSCETAGTVAITYDDGPSGYTSDLLDLLAKYNAKATFFITGNNNGKGEIDNAS LAWPAVIQRQHADGHQIASHTWSHADLSNITSLQRKNEMIKNEMALRNILGFIPTYMR PPYSSCTAESGCESDLADLGYHVVYFDLDTQDYLHDSPDLIQTSKDIVDQFFDGHVAS DFDALAIGHDIHYQTVYNLTEYMLKEIQSLNYTALTVGECLGDPVENWYRVDSSTSVI SSRVSSTASATSSAKTSSTATSAVASTLSSVLTTTTRAASSSTLVSPTTTTARASSTT TTASAPTTTSTNNNSSSSG PFICI_00865 MIEITLTFNRSNGSSLYQHLPDDDKVYLPDSNVYVTTTSSGRPA IARKKNSDRDFDFLSEAFGIPTRASFQRGRRQSSPLQQPRSKSAYSTPTPRVIELPSD YEESPERNKVERSPGQGSSRSSSRSNSTLKSILRTPNSAAVGAESGKLRDCSPSSSRL SLGSKRRSHTFSYPPEPERTRFAEYEDEPDENDSIADSTVPLVHPNGSSYSPQAASQF HPSFTTLPNGFQQLPNQTSPMIWHNTGTGRAPVAAATAATSNLARNLNPRQTQNFGIQ MPYTHAMGFQQPGNMLQMPHAPQVLMSTFAQPQPSFVPPPPPPPPFVSHPDDLVSLAQ PSSLVGSDEERFRQHFETNVKSQLPKYKVTPSRNRTPKNRNDENKDKDVESAKKKKEV VKDPMDQTPPSTPIMHMHICAGCGKTRSKGYHMTHPLKKGEAPEPDYCRRCIMTADYT DSEMTDTGMGSDFLMTPALPADRKYRDHDSPTSTIISNKKGSSRKDVQKDRRKRGSLL QSVSSIISNKRQSRRANSLSTPEEGSDRASSPTGEPRVRRASSRSFKMHSTRGSHTQS PLGKLIEQQSKTSIESVALEPRGTQKCGSRQSTRDEPAKTNDRVVSDIRSEAANQSKV SCRSGATRSDVQNFSYKPSRKSSRKTSPLLPPSSSRDTLPKSQSSSSYQQPVAHNEAS ELGRSSERVSVRQKGTDSSQATSRRQERRPASRLDHTVSNITGSGWTQSHDQLGGFHV IEDDGLSQSRAIFDQAPNFETPESTQNLHNWPGSHAADSRASSENCASRSKGSPKRSD DGPNASTSNSNEPAQDFNAVFGHASNGENPFVLADLKPDSGRSSMQPHQSEPRSIGLP VHSDMADPSYSKPKSDDAYNMELPKSSGTPYSSHPSTTSTRKSRNKSKPEDERGADSE PELSPNQDRFDDMPSTPADAEWDGIDANPQVRRNSWGYDQGHFERQAEQMAEQMVEDE LLRAGKHSGLFGLGLGFGSFNDSATSTYPTMPSYFSHLTTSRISIESCGSDEDRNDGN AHYQLSNESELEGSDTVEEKDVKQIEFSGHQSRSNSRQEPHQGGLESSRDRLSPLNHT SSYTHSNKSNTSARRRLSEQGGRGQEPLSNKSSFDYAPPSEGSSVIAHTGHSHENFAG LGNTYGSTSNESHAGTPRRRIRRFGLS PFICI_00866 MAVATESPSPIQALAGLGQNKNTKTLLRVLILGFIAAAAVASRL FSVIRFESIIHEFDPWFNFRATKYLVANGFYNFWDWFDDRTWHPLGRVTGGTLYPGLM VTSGVFYHLLRFLSVPVDIRNICVLLAPACSGLTAYAAYLLTNEMTTSPSAGLLAAAF MGIAPGYISRSVAGSYDNEAIAIFLLVFTFYLWIKALKLGSVLWASLCALFYGYMVSS WGGYAFITCLIPLHVFALLLMGRYSSRLYVSYTTWYALGTIASMQIPFVGFAPVRTSE HMPALGVFGFLQIVAFLLYVKSAVPSEQFSGFLKVAIGAMFVVGVLGIVGLTSAGYIA PWSGRFYSLWDTSYAKIHIPIIASVSEHQPTAWPAFFFDLNMLIWLFPAGVYLCFQKL EDQHVFIVVYAAFGSYFAGVMVRLMLTLTPVVCVAAAMAVSQLLDTYLVADSPAPVEE VSEEAAEAAAASKKNKGGLRITSSPYIGIYSVASKVVVAAAMTLYLLLFVLHCTWVTS NAYSSPSVVLASKMPDGSQHIIDDYREAYQWLRQNTKEDAKIMSWWDYGYQIGGMADR PTLVDNNTWNNTHIATVGKAMSSREEVSYPIMRQHEVDYVLVVFGGLLGYSGDDINKF LWMVRIAEGIWPDEVKERDFFTQRGEYRVDEGATDTMKNSLMYKMSYYNYNSLFPPGQ AADRVRGVRLPDQGPVLNSVEEAFTSENWIIRIYKVKDLDNVGRDHGAAAAFERGHKK KKATKKRGPRVLRVD PFICI_00867 MAELPPHIRVTQATSIALLTTASGLNLGLSFFVAPRLLELPTPI MLQQWAKMFKTTAKALPPAFALPALLNVYLAYKLPGRTSRAYALAAVLAASVYPYTYA VIRPIAQKLLNKEEQVKALGPAVGDVLTLGEEMGIMEDNGHALIDKWAFYNLYRGGAA FVAGCVGLYAALS PFICI_00868 MKSPALLALAALGACPGLAAAQLYSIFTSVITECDAEDVYPTEV YPVETAQYSDGVYTTSYPILCPTGATTQTYTITETYAGASSLPTFAEPTTTPYGFTTS HAVCDVCGEQPITTTITCPSGGATYIAATTDAYDTEAPVYPTTGKNATANHPAATSKS HAEGETSTGTITKTLAPEQSSSGYHAEKPTQTGSADATYKASTKSADAENTSGYKAQS TKPVTVSGASETSGRSISLLIFIGSVIALF PFICI_00869 MASLFGQRDAIPAASDIVQDGPITIDIVSRSGTANPFAITTIGD MASKICLDWKVHMAQRDEDYRFAAFHETTENRPPSRNDGVTAFMCFEQNITKKEAILL VKEFSALDPKYRVLNYDIIQKVHQILCENPRDENGEDSILEHKLGERLSYFSLEFFLI ICQHNIDLCSDEDTIKEEVKKAVAMAELHEETVFSIKARMQFKHAEIDENVLRRSDFV EFAKMAKETLYNCKQDYNDFEGTVAQQHEAVLGHRIIKGALNKIASFMSRRRTDTFMD QISALSATASLVQLPLEEEDFKKLREIGERLKKRTLVYSKSAKRQKITNSLT PFICI_00870 MQSTAVHKNARLYVLIRPEQINRVLDSMNTSNSQPFFARLVHLP CPGLADVDEGDQKDAVDPPYAVVPSYQEMPPNRIMPDGEAERDDDNNDNESSNDADKK RRKYKDIDAEDDDANDNGSKH PFICI_00871 MRFLRTFNVVGVHAAGEVGDVVVGGVLDVAGKTMYDKMLHFLTK DDQIRQLLLNEPRGRSAKCANLILPPCDPRADAGFLTMECEEYAAMSGSNTICTTTVL LETGMIKMQEPTTKITLDTVAGLVTATADCQDGKCQSVEVHNVPSFVVALDFEVEVPG LGKVLCDIAWGGMMYAIVDIACTGLSHDSVHGKKLVEYGELIKRAVQAKIHPAHPENP GIHGVTNFMFTVPHQDCPTGKLARNTVVVSPGRLDRSPCGTGCSARMAVMHARGQLAV GEKVRHVSIIGTEFEGGVLGTTKVGDYDAILPSIKGSAWISSFQQVVLDPQDPFPNGF RVGDAWETPA PFICI_00872 MASQSNMTLVEIAKTTSEILYSVIRILEMVLLNIDELIRKEKND LREDQDGLGQLKNDLRLVKNNLRQLKERFCELEMMFRTRKMELRELEMKIRKLEMKIR KRKMVLHELEEKHRQSKKLCREMSQNCLTLI PFICI_00873 MRFTSFLVAGVLALTASAQSVTESATTTVATDASSTTSSIVATD SAQAAALECLSKCEAGDVDCQAHCITVPSPDAAAVNATTECSANCVQGDGSEEETAAY ASCLQDCVEKYYYSGTAGTPTNTGAADSDSSASASVTSVVSTITSGSSTITTTFASTK SSGTGTASGASASGTSSSSSGNAGDVISRPASSYVGLLGALAAVLAL PFICI_00874 MEQDAAKRAKTETEMVVDNNDFLVISDDANHPANLIPSLCAKFW TLGWVTGTGGGCSIRDEVRDLVYIAPSGVQKELMKPLDLYVLELSKQVDLKNRVYLRS PPGGRPSQCTPLFLAAFTKRGAGCCIHTHSHWAVLVTLILESQGPGNDKMFEINNIEQ IKGFGRGFQKSGNLDYHDTLRIPVIENTSHEEDLTEFLEEAMDKYPDTYAVLVRRHGV YVWGDNVHKAKTMCESLDYLFQLAVEMKQLGLPWISNVEKVITSSGR PFICI_00875 MKFTQATIAWAAAALASGVWAVEEAEPASSVAAELPTFTPTTLK APFLEQFTDDWETRWKPSHAKKDSSNDEEWAYVGEWSVEEPTVYKGMEGDKGLVVKNP AAHHAISAKFDKAIDPKDKPLVVQYEVKLQNGLECGGAYLKLLRENKALHQDEFANTT PYVIMFGPDKCGHTNKVHFIFNHKNPKTGEYEEKHLTSPPTARVVKTTELYTLIVHPN NTYVIKQNNEQVKTGSLLEDFLPSVNPAEEIDDPKDSKPDTWVDEARIADPDAKKPED WDEEAPFEILDEEATQPEDWLVDEPLTVPDPEAQKPEDWDDEEDGDWIAPMVPNPKCA DAAGCGPWKQPMKKNPDYKGKWTAPYIDNPAYKGVWAPRKIKNPDYFEDKTPANFEPI GAIGFEIWTMQNNILFDNIYIGHSVEDANKLAEETFGEKHPQEQLLELADKPKPSEKP KSPSDLSFTEDPVTYVKEKLDLFLTIAKNDPVQAIKFVPEVPTAIGGLIVTIAAFVGL FALGGSAPEPVKKAAVDAKEKAKDAKDKAADAVATGAENVKAEVNKRTTRSQS PFICI_00876 MKSIARSKPFTSSRHVVRSWRLVDSTIINNKTRTLSSTARQWRP TNVGGIGLAAKDASVGEQAPEPLTIQGIKTRREKAGKLVAGTAAYSDSDMFKSPHAYN NPKALRWDHLLSAESLARKPCVLKQAAKYLKKPGLVSLGGGLPSADHFPFDSMGFRIA TPPDFSEATTHKSGRDVSIGKRDVVDKDSVFDLSIGLNYGQSIGSAQMLRWVTEHTEL CHRPPYSDWRSSLTIGSTGSLECAYRMFCDRNRGDSVLTEEFSFSTALETAAPLGIKV FGAKMDEQGLLPESMDDILSNWNESERGARKPTVLYTVPSGQNPTGATQGEQRRRDIY AVCQKHDVFIFEDEPYYFLQMQPYTGADTPDVPPPATVEEFLGGLIPSLLSIDVDGRV MRHDSFSKVVVPGSRMGWVTASEQIIERYIRHAECCSQGPSGFSQLAMHKLMDEEWGH EGYLQWLMNLRLEYTKRRNTMLRACEKYLPREVVSWTPPAAGMFMWLQVDHEQHPGVK TKSLLEIEEEIFDACIDQGVLTCRGSWFRAEHDKPPTSLFFRTTFASASEEQMDVAIE RFGAAVKESFKL PFICI_00877 MKLHQQILVCIAAIAPLALVEAKIPVPQLRGHRVRQDNPTPCTS STVETITTINRGNEGIWHVRANQTDLNQLYQFSQVDWLSNDKTAVLDQCTSVCLAGNN TETPGSWLPSDKYFQGAFVNTPGGSGQDPEWMCACYDGTLSQTNLVAGGGVWDNANPG SGTIINRVC PFICI_00878 MPGAVAMENGIQNGLPGGDHDAVARSARMNDLPDEIQHITQGYI PLGLLLSRLAQRSHNQLTAKILELAKMPVSAPAVNGNSAPAVSLPDDTSVENLSKKAS LLNFMQELHARWTKALVIASWSRKAPTVSKLIDLMNYINMERKAFDDGLGYMANIKRD LTFARLPNPDLQTALQVLSTGSASWMPDLGYIEPPPLTAEEQLQWIEELNTLLSLRLN LEDHDKIPLQFRDYTIASGRVTFKVEGEYEVDLTIADEDFEQQYWFLDFRFAFTPAPA ELTETLRVFLEARVNEALEKEGLRGCYNFLHEFVLTHKISEYVRQARELARASWVDTL KIERLNRSMAIQYWTNRHLPDSPKSWIIMGVHSGRKSGATTIDNDATSYLSLRWFRDG KEVQESDITFEKSHISTEKLLKKVIARHVEHILSTIHGKLQTKDRYLKREAALSLDIS RDEPLESSLRVQVGQKDFINLRIAPITGSFSMTPQRTATYKGEQRLNTLAKDPTEEGI LALEGARYAWMADDLNRRGKSMGWSFTRSPVRPEEIRSILKSKESGQNFWFKRKGWPA EWYMMLSLSLAGDRWWLMEVANLPTGPRVVTFAQLPLVTPSPALNDTFFAGMTIFSAA MMSQVINSKELHRRHIKYRDDQKMSLPQTPTMKLPILKVRLAEILAGGTIAAGKPVTW AHDHVFIRFMRIENTRRIRKEIQQGEDQAQKQPLLSIVADARFRVVDPAKFGLLQGNV EQDVAYNTQLGVFALQLKADLGTTIVGDLANRIHAIERLVDCVDAIRRSPSDIKCDTI TLARVDFTYSDAIGRTAGNAAEANIRRWKASLDLRSDQIKMSLEKGNPHLRILDGLNR LINSSLGFSKLPQYLAFTLPAIKALDQIEDSWAQVPQGRAEIFSEQLDWFTIRYILSG PNKAAPRVYRIALKLRERRGSPFWHVTRSEIGPNSNPDDEFKPVLAKVWSQANAGWQN FGDSACCNADDSIIALLKAVDDAARELAKKPVPTSPVASRQPAKTQPTPKMQPASKPN NQKNTAAQANRGRPMQQQSGTSSNTAITLD PFICI_00879 MSFLNTTANLRNTTPIPLPPSKRQGAVALLHDHDFYLHCDPHLT DYKAVEAPTNPAPTFASYKVPAPVSALAGANPTVKLYEVHDHVPNPVYSSNVKSNEEM VDFADGLWVRVRSPMGVMMETTWTVRERTDNKTQEEGGGGENAAADDSGLELVEEVYV SCNRMLMGLVKSSVEANWQKIHDKIVSRMVEDAGKATSS PFICI_00880 MADTAPPFAIDEKTPVPKDGSAHESNTTETDSHDVASSQIDTDL GSKVTRKIDIALLPLLGMMYLLAFLDRTNIATAKLNGFEADLHMPSSGYNTALWVFYL PFVLLEVPCNLILGRRGVRPAYWLGGIIFILGITSMCQGLTRSAGGLYACRALMGAVE AGVQPGAALLMAQYYRRVEFAPRFSFFICCALIGNTFSAFLAYAISHMDGVHGLEGWR WIFILEGIVTGCFGIVLGIFTPSWPEKAHFLNESEREVLLRRLEIERGKENLDMQNVN WIKCMLNWKTWAITLVFFCCDMSAASTVSFSPTILSQLGYSGNRANVMLIPIYLVGAF IMVSSGWIAGRINNRSGVIAFGAAMSIVGWAIQRAQVQPAGVRYFGLYMIYWGANIQM PNCVAWFHSNVVGRPEKAVGMAIIAGFGNSCNFVASNVFITSEAPRYPTAFSTGLAIQ SLGFVSCLTLAGFFFIQNKKAGTQKYYL PFICI_00881 MLLQRTTAAVAALMVSQVAAQTYSSCNPLETTCDADTALGMTIT VDFTQGEVNSFSASGGTPTYSSTDGVTFTVAESGDAPQLNSLFYIMFGRVEFELKAAP GAGIVSSVVLQSDDLDEIDMEWLGADTYQVATNYFGKGQVTTYNRGEWNPAEGCQDNF IKYTIDWTSERIVWMVADTVVRTLTYDDAETDQYPQTPMQIKFGAWSGGDSSNSAGTI DWARGPTDYSEGPFSMYVKNMVVTDYSTGSSYSYSDTSGDWTSITSEGGTINGNLDGA GSLTVTATAGSTATASVPAGALGTSTNTVSAVLPSGWVMTSSGKVVPSASSEMRPPHL ALLVAPAILTILAVFAWIPV PFICI_00882 MGRWSQYDTDEERLPSGMTRIGYDADDQTYTFRDADGSIWESAP GSQYGGLHRVSGPPAPRQQQQSTHYEAGDGENDNDGLLEQPPPPYTEDPEDPTTKASW RAEMMPLFNFFMIVGLFLIGVFFYLRATARQMDDRLRQGQCGTDGVPYTIHHGDTCWD IANARDSSVETLLGLNTNLDCDRLQVGKSICVPEA PFICI_00883 MAPKAPKFELKTPKGTKDWEGKDMVIRDKIFNTITEVFKRHGGV TIDTPVFELKEILSGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARWLAMNKDVQQI KRYHIAKVYRRDQPAMTKGRMREFYQCDFDIAGALFDPMLPDAEVIRIINEVFEALGW SGTFTIKLNHRKILDGIFEVCGVPEDKIRTISSAVDKLDKLPWADVRKEMTEEKGLDA EVADRIGEWVTLKGQRDLLEKLRADEKLAANASMKQGMDDLELLFNYLEYFNALDTVS FDLSLARGLDYYTGVIYEVVTEGSAPSQTPAAEEVQPAPKKKGKKASTDPDDDRSNDP SIGVGSVAAGGRYDNLVGMFSGKNQVPCVGISFGVDRIFSITKARMEADKNAAAVRRN EVDVYVMAFGGSGFKGLLKERMSVCASLWEAGIKAEFLYKVKPKLQNQFKAAENNGVP FAIVLGEDELAKGQVKIKEMGLSDGHPEKEGVLISLDNVAEEVKQRLQRKKELDGLTV KAEGLRVVHGIRGEEVTPGEAAAAVEQAAPVDDGKQQEQPQQ PFICI_00884 MGGLIPLLLPAANNRSAVSAQATQVKRPVSLMTPHVPSSMGHRR QQSVPMGKAAARLSITTPASPANVPETQEDWRDAINEVKRKYISRKYRTCSARCSEIL DNLKDTSNVETLHLIYLHFYAASSSEMCARPLSQSSAYRTKLLDDARDNYDKASALIK KAEDIAVEKSRSGSSLSVTPSLSSSSSASPRSSTICTSPSTPRDSISSAEDLNTETQP AKPKKKKKVSFSSLPGIDIPKYEPQAEPHVRPDSPTLGWAPFGHGAVAEEAVVCKPMA ITIPKAADSPSLLSPILKSVPEKTSQNRDSFDLESFLQTRSINRIISQLSALRSQVAW HRDNIDALLTESEEAPEIPETPSLPEMPSLPGLTPPGTGSLSASDGGDDQPSTPLSAA LTPHTLDSALSQQLKSSFGAQANFDLTSARLSMFGDAWERTRSGSVCSSNSGYYPPPR AASQMSMRSSAGGDENLQKRIEKLRANGWQRKRFDSRRYEALREQVLSELGP PFICI_00885 MSTVTGMLSRLQGQPESYDKKAKYRFGRTLGAGTYGIVREADGP TGKVAIKIILKKNVKGNERMVYDELDMLQRLKHPHIVRFVDWFESRDKYYIVTELATG GELFDRICEQGKFTEKDASQTIKQVLTAVDYLHRNNVVHRDLKPENLLYLSKQSDSDL VLADFGIAKMLDTKDEVLTTMAGSFGYAAPEVMLKKGHGKPVDMWSMGVITYTLLCGY SPFRSENLQDLIDECSNAQVVFHERYWKDVSDDAKEFILHLLQPKPEDRWTSQQALSH SWLSGESATDHNLLPEIKAYMAKARLKRGIEMVKLANRIEALKMQEDDPENPDFPADS KDAAADAAAANSSDPPREKKSLSRIARGAIFREIVLAKVREMKEQEQTLKVKEEAEKE AKRRSFTGA PFICI_00886 MSGLGAKRLGKELSKISASLPPGITLVAAENLEEWQLDIQVLDS NPLYAGETYRLKFKFSQSYPIEPPEVTFHKTDSRPIPMHPHIYSNGIICLDLLGSQGW SPVQNVESVCMSIQSMLTSNTKNERPPGDEEFVRGNRLRPRDIAFMYHDNTV PFICI_00887 MAPHVGFDTEQIRDKARKDILYLLEGVRGKKNLVIERSLAGPVG VVVKVSTLQEYGVDKFFFLENNNADTSQQNVVFMARGECARHAQNIADQIKRLQRESQ TGHEFHIFWVPRRTVVSDKLLEASGVLGDVNIHELPLYFFPLERDVLSLELDDSFRDL FLSKDTTPAFLIAKALMNIQTRHGLFPRIIGKGDNAKRVATLLSRMRQEILAGDDATE ADKAGQTPSNSFESVIIIDREVDMVSPLLTQLTYEGLIDEVFSIQNNQTDVDSTIVGA SAQAPSQSTSNAPSSAPSRKRKIQLDSSDKLYDGVRDTNFAIVGTLLNNVARRLKSDY ESRHTSKTTAELKDFVQKLPGYQAEQQNLKIHTGLAEEIMKHTRTDQFSRLLEVQQNL AAGADPSSQNDAIEELIARDAPLKEVLRLVCIYSCISGGIKTKEFDHFRRLILEGYGY QHLLTLHNLEKLQLLLSRSSPMASMIPMPGSGAATGTKTNYTYLRKQLRLIVDEVNEH DPNDIAYVYSGYAPLSIRLVQCILQKQYLMSITRGSGASAAGTVANSGAQGWRGFEEA AKHARGPTFDEVQKGEDKAVKARALLSGSGDKKTVFVVFVGGITFTEIAALRFIAKKE EARRNIVICTTSIISGNRMMEAAIEKESFAMEKPRSTATAA PFICI_00888 MRSTQETLVEGGKATGPGPLRGLNELDLHLSLLTSRGRFTSMPA SPPHVSDTNSSNSVDHYTSEASPRTESSIIVRIDDMDVDEPTPDSGPGQDVVE PFICI_00889 MSDLSDLSSPPPSPSSKATTPVHVTDSKESIEAPVPQSIQSVDQ TQPLPAKPSSSRRKYDVRPKVSIPTDIAPYDYANQCIAAAEHSRLNPYVLHQEEYQIL REHISHAQVTTYLNIRNGILRLWMNNPRVGVAREEAIGCARDTRWFDVANVSYEWLLR RGYINYGCAQVPISKGRRTKGAAPRRKAKTIVVIGAGMSGLGCARHLDTLVKQYTDKF HDLGEEPPKVVVLEGRSRLGGRVYSRSVNGGDCQRLMGFKGQRYSIECGGMIITGFER GNPLNVLVRGQMALPYYALRPDTTLYDSNGKPVNANRDLQVEKLFNDCLERVSEYKYK NAPSKLIEGNRALMDEGRDSSSEGAKTIAHVEEALAALPQALPVAEQNLAPQVNLVPV STDKATGRIHVEPGAPTTLNAAHKARLMGWALKDGVAEDRDLELDALAKSSDVTLGGL LDDSISQYRDIVDLTAQDYRLMNWHIANLEYSNATNLKNLSLGLWDIDAGNEWEGKHT MVVGGYQSVPYGLAHAPSKLDIRKESIVTKVAYDADGSGAAKVHCEDGVEIDADYVVS TIPLGVLKHGNIEFSPPLPPWKTDAIGRLGFGILNKVILVYQERFWDTSRDIFGVLRT PHSRHSLKQSDYSSQRGRFFQWFDVSNTSGVPVLLALMAGDAGFDTEYSKNDELIREA SEVLRGVYGRKVPSPVHAVVTRWGSDRFARGSYSSAAPSMQHDDYDTMARSVGNLFFA GEHTTGTHPATVHGAYLSGLRAASEVIDTMLGPIEVPSPLVLSKEASLATSINGQKRK AEGEPLSPHTSKQARIQAHQDAAWQHVFSKIGERPWPPQKVAGNSYLLYSKAHFEVAR KRCEEGRRLNKGRPSPNDVRTMTSKMWKEATPEEKQPFEEQAREQKRVYQEKFKQWEV DSKEWDHKAQEIKAQWEADGNQLVLDDTPTEELGSQRRRSQKVAMYAESDASDVEMTG PFICI_00890 MLSRVARPALRAGAAAIPARVTNNAAGYATLREIEGRLKSIRNI EKITKTMKIVASTKLTRAQRAMADASKYGQTSGEVFESAETKPLEAEGKKTLYIVCSS DKGLCGGVHSGLSRYIRRTLGPGQPEADLVLIGEKARSQLTRTNSSDIVLSFAGVGKD VPTFADAQAIADQITQLPGDYSDIKILYNKFINATSYEPSVVPAYSEEAILASANFSA FEVDEALVGNLREYALANSLYWALAEGHACEISARRNAMDNASKNAGEMINKYQILFN RTRQAVITGELVEIITGATASEDM PFICI_00891 MASDFSDDDMPLSRPNGRLSAATVSKSDQAMDASSKGGLPAPVS IRNGPVNEDNAMDVDGQHLNGLVKRKSRTSNVSYKDESDSDDAPIAKRQRKSSGIAEG AGLPPKPTKADLIDSDSDDDKPLTAKLAQKKKDIEVAAAREARAKRKSIKDESDSDAP LAAPKKRQSNGVAKSNGVKKDPDSDSDAPLKKQPAKKVAKPAPATKGKTATPAKKTPA KNVVKKAASSKAASEDVEDEDEAERWWDKPMIEDDGIKWQTLEHNGVMFPPAYELLPK NVRLIYDGTPVMLQPEAEEVASFYGAMLDSQHASNPVFQKNFFEDFRGVLAEHGGAKD KQGNKVNIKDFDKCDFKPIFDYYKAKSEAKKTRTKEEKKAEKEKKDKEEEPFKFCVWN GRKEKVGNFRIEPPSLFRGRGEHPKTGRLKLRVEPEQVTINIGKGAKVPEPPAGHKWK AVKHDQNASWLAMWQENINGNYKYVMTGADSSTKGQSDFKKFEKARSLKKYIDRIRRD YNKDLKSSVMGDRQRATAIYLIDKLALRAGNEKDTENEAETVGCCSLKYQHITLREPQ TVIFDFLGKDSIRYYDEVEVEKQVFKNIRLFKKPPKGEGDDIFDRLTTSSLNKHLNGY MPGLTAKVFRTYNASWTMSRLLKELKFPPNATIADKMVEYTKANREVAILCNHKRTVG ANHAVAMEKMGDRIKGIKFQIWRHKLMLLNLDPKLKKKRGADYFALEEELNNNEWVQE HINFLVEDQRTKITKKFENDNKKRVENKEKPFPEKELKERLKAADDLGKERRKEWKSK KVEAEGKGPTVAKIDAKIEKLENSLNQAERKMETQEDNKEVALGTSKINYIDPRLSVV FCKKFDVPINKVFSKTLEAKFEWAIKSVDENWEF PFICI_00892 MSQLPSNTSGTPAGPSKPSVVGSQAASPNEASMAQKKKKHRGGR KHRRPRRKSFAALPEDDGDSVQGGERESAALSSGAFYMQARRTSNESLDDQALLDHRG YPFGSRPRRPSILASSIQYPSQQGDRDSVARRRPNSGRRDSDVEEEGPTAESEVEPLL AGSLLREAGQRKGYGASDMRPRFERRGSSGSSKRRFNAPATPSGDKYNVNYPPSMPPS PTLGASVVNFGDEMMREELNAALSQSTNHLLDDGTPMHGSASLQRRHTIALQAEDDVC YPQEGMSEIADEDTQPSQEQRNRRGRKRRDRWPDLSVLEDWSVFEKEIIDRNEERRIK KITEPQLINGRLRPTQKGWFKAEEDAPYRFTYFSEELQSTIHSQTISELVQPDSSFQE LFLPEPPILSDSESETEEEALLTAHFSARGSVKGSETGYSTKVPTRQPSLAQPQRAFD EPGLGNSRPLSPRQVPGGSGDASRQQSGEATPVGNGNGNGNGIKSPPHGIDFKSPEPF SQKPVRFGDRPVWWLDILSPTADEMKVIQKTFGIHPLTSEDIMLQEQREKVELFRHYY FVSYRSFDQDEESDKHLDPVNIYVVVFREGIISFHFDHTPHPANVRRRIRQLQDYIVL SADWISYAIIDDITDVFGPLVQHIQEEVDDIEDAILSLNAQSQPQAKRRKEDADEKSV AATGAVEQNDGDMLHRIGQCRKKATELLRLLGNKADVIKGFAKRCNEHYEVAPRSEIG LYLGDIQDHIITMTGNLMHADKTLGVSSNTYLAQINILMNKRAEQTNDMLNKLTVLGT IVLPMNIITGLWGMNVWVPGQEYEGDLTWFWCITAGLVAFGTACYLTATRVYKIA PFICI_00893 MSTKPPSRVVFVGNIPYGLSEEQITDIFSTCGQVLNFRLVYDRE TGRPKGFGFAEYPDADSAASAVRNLNDYEVMNRKLRVDYSTEGRVGEEDDKMGPSTMN NAGSYSNGVSTAPPVVPAGTLPPLPPGKELPPGVSFHDAISRTLHTLPPAQLLDILSQ MKTLATTDPARATELLTAAPQLSYAVFQALLLMGLVSPEAIHSVIDTSAPPPVQAPPS AYPAASMPAMPAFPGVPTGTPPVAAPFAPPPVAQPPVNYGAPVVPTPAPAQDSDQLLK MVMELPQATIDQLPEGERQQIMMLRAQLMGQHR PFICI_00894 MSNKSPIEEYAERARRFALQNRRRVPFGGGGGGGGSLGAAALIT LGIGGAILLQNSLFNVDGGHRAIKYTRVGGVSKEIYSEGTHLMLPWFETPITYDVRAK PRNVSSLTGTKDLQMVNITCRVLSRPEVTALPQIYRTLGQDYDERVLPSIVNEVLKSV VAQFNASQLITQREMVAKLVRENLSKRAARFNILLDDVSLTHLAFSPEFTAAVEAKQV AQQEAQRAAFVVDKARQEKQAMVVKAQGEARSAELIGEAIKKSKAYVELKKIENARII AQQLQEAGGRNRLMLDSEGLGLNVFGDDKKQ PFICI_00895 MLIKVRTLTGKEIELDIESDYKVSQIKEKVEEKEGIPPVQQRLI YGGKQMVDDKTASEYELEGGATLHLVLALRGGQ PFICI_00896 MALHVPDDGSLCRARTALQELNLSDKLSEITDVGGLPYDTVRPI LMRIDNAAQLHAIETNSPHIAEWDEECWRRLIKKHFPVLEAQHQTVPRNPASWHKVYA QYVKFNAQAKAEAEAKLRAAFAGLQKKKAESQSTIESIRSLPRPPRDVRPVGRKEAQG RRGGSNDTGELRWTAGSRTKTTTGASVMRKVKREAAEVAARNKLATPNGQLAVRQGQI KRAPQGMVHEQAVKRNPALKVRPQPVRRPEDDAHDRAMKEREARLLKLKAKGASILED SDVDDDETDDVFGGGSGGGRLNVDDLEDLFDDEKPAKTQRPQKSAALPGKRLLSNAHG TSSPAKMYRSGSTSTSTSKTNRSETKPVTQSPPTKFSYISNSPPKSATPISPEPKKMP MKRKAVDVFMKPKPKAQRR PFICI_00897 MPKQDMTKADSKRIQSTQARSGGDMSSGGFAARAQSAGDRNTAA GAQGGQYQGTGSAGGNAGSGNGSNTGKK PFICI_00898 MARHLRDIGTTLLDRFLFLMSKESTGFAIDRLESHIQNLKTLLD MVQSSYDDDSDTSDFNYDSYSEIAEDLKTDTTCLIRINSVLNYTARLAPSFGTSPRGP TALGELYQSYCDRISNRFPNAVECLISRLGKANYDRCLRRIQRNNEDDEEPKETERVQ MANTVVVSAFHDSGLGSSVPSKSSYAETVMSYGADGNQQSVRVPTLSEEAKQGLPFTC VACSKTVTIKNNSLWKRHLYEDLCPWMCLDPECPSGDSVFSHRNDWLAHLSFKHQMAP AWASTQCPLCCSDIESGKTSITRHLGNHLEEIALGSLSTQTELDNDNDEDSQTSISHE GSTEDSIGKLNLTDPGGSLQIEEPPPVTLENQSGDDIFHDSQPPLKSDRAESHGQSQD TEQLNVTDEGTAYMHYGGGGGPSSDSESEYDSDGPPSPPPPPEEETFHCCECDEERTF STSYDGEWVCNSCGKVKCSMCGD PFICI_00899 MDAASLILGILPLVAGAIKGYGVLKKKSDIFRHYSRELKRIQKS LNVERDVFLCETETMVLAAIDDYSLVGCMIEDPDHPQWRSTTVEESFKEVLGRSYDEY YDTIKSISQAIQEIQTELDCFDASERHVAEKQKEVFQRLRDRFKASWKQPTLEGSLAS LTRCNGSLQRLGKQARKIQEMLAKKTVTDGPKKQLPRNYEDFELVRRASKAVYLALSN AWSSQNGGRQPPKSHQHDVRFFLNTTVIGSMSMELLVACSSNAAREANAPNNHPISQP LRLEIKSEVIQRVDFVPQSHSNPISAIIEEGQPKQRKVRFQDDDTHRMGKESPPATRA QQDAFDKSHIPNLLDRDLCSFLHQTASRTGKSACTECVGYLDSWSDETFRHSIYDVVP SAFDLASVVSIQQLLDSYSAERCVRMVDQLKLALSLVAAVLKFHSTPWLAQYVTLRNV SLFHTSQDFEEWLPTLHFDTTFVDNRPQTLTEEQEAVGKASQGNTTDTMARVIENAKF DHGIRNMTLWCLGVILLQVGHWSRIAEPDDVRAVRQLSWEPTDFGEDYEELTRRVLEC DFGFGGDLSQVKLQRAVYERVYLELASMIDKVDIPRY PFICI_00900 MFYSEALLQKTGPLARVWLSANLERKLSKNHILQSNLPESVNAI VDTRQAPMALRLSGQLLLGVVRIYSRKARYLLDDCNEALLKIKMAFRSTGNNDIPENL AMPNREALMLPDKITPADNLNILPPPDADWLLAQMDAGVAPTQTQSQGRGRGRPSNRE INLQEDFNNSQFLMDNVMDKDEMAFENNDDLDLELDFGMDLDEQPRGIDMSVEMGRDA PAARSIEDDLMSELDAGPAKGPARDQSTAFDFGDGIQIADADGDLQMEDDFQFNLEDQ SAMPEIGGVAPPERARISESPLSDIDETTAKDVEEWTRLHNHSIYEPDQEPEEMEAQP QRKAKSRRKVFGLDPTTQLSSAHIKDQQADRSNILKEPNFVARDPYFLALLELKHRGN FVSNIMGDGRSKAWAPELQGLLSLESFRQMNDLKRKRDSGVADMDSEDEQGASKSPRI EIDEDETALQMGGLGEQSIAADGTIIDIPAEEGLVIDDTEHHEREGSALPAFDETIAP MVHPADSGPVSLGTKHAVHVLRDLFGSEAATSEEKRKKTSVVFQELLPEARTTKADAT KMFFECLVLATKDAIKVEQKEGSLGESIRVRGKRGLWGAWAEREAGGEIANQDEVTQP EPAIAGPSQTVAAAA PFICI_00901 MPPWPWAGRRDSGESPLLPTTVPSINGLEDYEDRDHDDSGPGRT RSRTSRPRHSRTTSAQSRGVADQYSFMTATEAASNLQTSLTHGLTAGEALSRLRDFGP NKIPHEPPEPLWLRFVKQFQEPLIILLLASAFASIIVGNLDDAVSITVAVTIVVTVGF VQEYRSEKSIEALNHLVPNHAHLVRAQNPKSAQGSQAAWPPAADVDKQVDTLNGAETP AEELMEAASTKVMAAQLVPGDLVLFTTGDRIPADIRVTKASDLTIDESNLTGENEPVK ITAESRSRNFSSATRLPSPSTLSPSAYDGAQNQDASRSSGSNIAYMGTLVKSGYGQGI VYATGGDTQFGTIAASVSGTESPRSPLQLSMDELGSQLSKISFVIIGLISVVGWLQGK KILEIFTISISLAVAAIPEGLPIIVTVTLALGVHRMAKYHAIVRKMPSVETLGSVNVV CSDKTGTLTMNHMTVANTWYFGAKEPVDVETEEVTEQTPNLATLRILRIGNIANHARL SHHQTDGATTVLSDNQNKDFSRHSRWVGQPTDVAMLDLLDRFTEHDVRDSIGPRTAET PFSSERKWMGIVVGADARNNDKEYAYMKGAIDKVLHACDTFLTDDGREVVLDSARRQE AFNAAERMAEKGLRVLAFASGPVARSSRNRSGLNPNSRSTTPGGVDGSESPGLPNDES LFKGLTFAGLVGMSDPPRPGVARSIRRLMRGGVKVIMITGDSDTTAVAIGKQLGMQIA TPREHSSNQVAVKPVLRGDEVDAMTEDELAAAMQTTTIFARTNPDHKLKIIRALQSRG DIVAMTGDGVNDAPALKRADIGISMGLHGTDVAKEASDMILTDDDFSTILHAIEQGKG IFSNIQNFITFQLSTSVASLTLVFICTCMGFKTPLNAMQILWINIIMDGPPAQSLGVE AVDKDVMSRPPRSRSEPVLTRALLTRVMTQAFIVMAGTMFVYTREMLADGEITRRDTT MTFTCFVLFDMFNALTCRSESKSVLRGEVGLFSNTLFNWAVALSLAGQLLVIYFPWLQ EVFQTEALSFSDLFKLLFMCSSVFWADEARKWFTYGKRKLGGYSQAV PFICI_00902 MAPKKKAVTPEGHTDRESDGHSLQVTPPGVETITTVIGQVRERR TQIRQDIRRDFTNDVSQFKTRINQHYEAQETKRMEIARQQIRRLSEAIEKKAACEDKI LKMIAMMANETDKLHSIMECVLNARQQLVLEAASSDKYKEKKR PFICI_00903 MGLAGKKDKRKLNQDPNNTKWSRNETTFGQKILRAHGWQPGQYL GAQDASHASMHSKASSAPIKITIKDDNLGLGAKVRQKQGDECTGLDVFKDLLGRLNGE SDESIAKKQAFRSEIKTSLYVERKFGPMRFVKGGLLVGDQITELLNQQAVNKSSETAE SSAEDSDEVAESKPEKKEKKSKKRKAEDVDDSVDAETDSAEGKKKKKKRSKDEAANNV DEDQSSDAERKRRKKEKKEKKAKDAQADAEDDSAETKSKSKKDKKDKKKRKEAKSEDQ GDESAEVSKKEKKKKRKQQESDGDTVVASTTVTVSATASGTSTPAGTGTSTPQPLSRH MVRSRNIASKRMAMADMAALQQIFMVKPV PFICI_00904 MGLSDPNVWAAIQRTLAQQNRLSLIAPNEASPKKAGVIRRPEVP SRTSSQRRALDHFARELEKYADAADATGKLPVTTPTESDMKYSLHTVKPLFPYKKEFE AAGLAMTADQQRNKSPEQIYEQSHGKCAASNCRGQEPHEGIDGIYDYSSDSATPSSQW VVEFPQPNPISTKDETLPARKEARQTVGKDSPIRGRKMLPWLRRKHGTMEPDTTPRGR VGTMIETRRGEWRLTGKENEQPKKAPPVTTGIRPRQEAQKSRQLKSVDPVAPQTPAKS RPHVVRSHMAEFINGSSNPPTRAEEKKTDRKNQFRPEKELARGSLPRVRSSRHDQDLD SRPHHHPFFQRHHHSPHHRIHHVHGAPVTWVEEEPKKSPKRRLDESQGIRKPKPRPKP VSPAPELPYTWKYAVSNASSLERALNMAQERVSRIESQALRPSNPVVPKAKSHKHHPL LAQDSCRNYIGSGQPLKPVEAVVTTEEVKTGTAFGQNTSHLQRQYPLARTENRMAKQE KDNVAVPLPTQQTEYDVNDQRDTKRFEYPPLQHGTKPENQSIAAKGKEKMHIQEIGEM EEAEPLPSSNSPDDLDAVLDYLDVFFDTDDAAIDDLVVLQGLQVAVKAAADDLYDGLL RQRTGLRIRRFLADLKAIDAFDPQLAVNQPMPKPSASKRLKRGQPVPKT PFICI_00905 MSPPEQNGGAPKHRACDECRTRKLACTKEADGCGRCKREGITCH YSPQKQMGRPRKRPRDEAGETTTTAESAPKTAMRELPPDTQDPGIAFINFLTGGDVDF DAIFSGDAANQPQDKEPWTFGYTGNDFGTLNFDATSEHAPSFSPSNIDPALFTAGSTP DASVPGLSSAQSSSPAASDGQSASLGPTNCACIASLYLSLDSMQKLPKDVTEAVRQAR LAAKTAYQVVNCPCCSVRTLQTSAMEPEKSTPMIHTFQLQMLLATLIPSIVHAYERII HMVDQETAKAQAERRQIVFTLDNYGGVWGGLNDGCCDSTQALNRTMEPVMWRLTVRAL MRVDVYGISACEDRGPGSSSGDPFHLGLKDIVNQMENLSRARHAIIDPLVESGQWDQC ANASVLKFHKNGETPTCQKIIQMARMSIDNLVIA PFICI_00906 MAEAVGTALAVVGVLGQVFDGCVKAYSYFSRAASFDADSQRLVC KVRIEETRLVVWGREWGVAEGRLEKHLSQVDIGGGGGGVGKLVDGGGSGGSGGLLRNM ALEILNNLHATITDVQKLKGRYGLVDGDEKDGGKRMEPSARRSSSDVRNVGDKMKGLT IRARWVVAGELVIIQSFYKTARLRFTDKDKFDSLLADLKHFNDGLEQLFPASRIPGVQ RTWVFEMLHAAKDVEQLSVLEKASDETYPKLNASASLKKLRVNLDSTPQQKFRPTYAL KVQRHALKLSDTDTKRSEAFHDPKGNVIIEWVDYDKEDLDGRVVHMRRLDDLARIMHL AVDRHPDLHTIDCLGYTDDTAMSRYGLVYRAPEPSYSTLHKLISTNEFKTPDLNDRIR LAHTLSVALLSLHSLDWLHKSLCSNNILFFPSPFSASATRATASAALVPDISNPYLVG FDASRPELDTEMSVAPKNPSILDLHRHPKSLSGPSRRPYCKSYDLYSLGLVLLEIGDW KVLQTYYRPHYSAERWRDKIILPQLLPRLGAKVGRLYRDLVEKCLTAKDDISSEDAAQ LMEEVVATLEKINV PFICI_00907 MPRHGHSRQHQVADERRQAQAAYEAQQAYLAQQQEEAQQELQAQ QQWENQMTTYQSDLPYESDWTYSVQQEYDNQQAWAARHIYDARKADPNRIARQAWVTE ETCDEPIYGNFFGVVAAIGAQHEHITVDDLDFPNDWSITGLISTEMHSVRHDQPRLMA YCEMMTNIRYYQQQWFIGQTPDDGEYGSLAHSILWPQAEWPDDEDGQPELNEPSPFSF VQTILEFIIYDQANFKQAADAGNDVIQQIVVQCIEAKPLVNAAFDRLLDRTMLAHEAE IAQDTEGHWQTYIDGIARTSSELWDAELYSSPYAFIVVLRIGLESTVAVEGGYVESAK LILDNQSLALRQIIHRYRHGDDTTRLDPLPGKPGGLHEGRWAYWTRIIQAMVASEPHG RDSIHREEGGQNLRDIKKVWGTIKWAEDSVPVLQDQVPKVERRILHEGELQRSRKTW PFICI_00908 MSYTPYHPVAQASAQAALSVYNDPEYGNIFDILYHISIDEDTVE SLPPASEDCITGVIANVMRKAPEYKVDSYAMALWEIRRWQYDDFLLAALEFIIHDGGR MHRPGTHACLFEQLALDLHHLSAQITRAFNTDLERSILCQEDVMLMSHSEQIGHRLHG MAYMAARLYMLQLYTSPLAAIVILRMALESESVFLEESLLAAAVEILTSCSKKLRDWI QSDTYSYNSLDERHQYYYRHGSRREMHNVVVEWDGGLHESRWAFWREAIKLTLRGNQG GVLNEMQEAEQFYPVDHSRVPLHTTVYRRRGNH PFICI_00909 MAQIRGTANYHLGGTQPAFGGSSRDGGSDPSPLDTIREQTSKIE DLLDTWSEPIKPYLPALGRFLIVVTFLEDALRILTQWSDQLLYLHDYRHFPTGLNHIF LLTNVVAMVSGSFLVITRRYSDYAVAGLMGVVVVQALGYGLIFDLNFFLRNLSVIGGL LMVLSDSWVRKTKAFAGLPSIDEKDRKMYFQLAGRVLLIFLFIGFVWSGDWSLWRIVV SLVGLLACVMVVVGFKAKFSATLLVLILSVFNVLVNNFWTLHEHHPHKDFAKYDFFQI LSIVGGLLLLVNSGPGQFSIDEKKKVY PFICI_00910 MVSLTQRAILLLAACSVVSARPRGQMKRDITENCTDIKYRVPWT SLTDDEKSSYIAADLCLINSPSKAGIPGAETRWDDLHWPHVYQTIWVHGVGAFLPFHR YYMTVHENLIRDECGYTGRMPYWDELADINSVIANLDMFKDEYFGSNGAGADRCIGSG SFVNMTLAFANNDADENGRHCVWRNFSETKLQQAAQANIDECMAIGNYTSAWSCWANK PHGAGHGGIGGLMGDPTYSPGDPGFFLHHTYLDKLFWEWQKADYPARLTDMGGPNIPS GTRPNSPNYPPANVTDYFNDGGSTTTLEHTLWMMDIAPNVTIADVMNLNGPTICAEYL DE PFICI_00911 MPYPFRLPTTSYFAFSSSFGCESHPSLPLNASTHRGVVRDTLKK HKRLPPTSQPPNLPTVVASINNYLPYLFTLDAALRQQPVQKEIINAIPTATPAIQWRP TLSDNAVTRKELARVKIQSLEYELYFTLSTLAYAYTNLARAALYPLYVTSGAPVGSEA RTTAIQNATKHLLDAASVHEFTAQRAQGMVTNPPCADISASTTKGLASLALAEATLLA VLKDDPWPAVVAQDRNKNDREWMYKAPEIPKVRTLLFARLCLAASDHAATASALCKDS SKGALKLNDPLVRYINDLQKTARAKACRFFGIDAETSGKIGDALGWVEAGLQELGIEP PKDPKKGLSLTKMKKAWTDKREDEKVEKATGWGSDGGRLEESRVLEMLHQKWTKVNDT MMTQSIPAPGTLLGTMPSGREIHSIKPYVAPELGKQTIEAMRAPPDRSDDYNGDGSSS DDEYTIAVEPAMGSVPDHRSSSTRSYF PFICI_00912 MASASPASHKPRRKSKKPGVTFDPSTKPGALVRTPSFPLAAFLW PARSSFSEWEVLPLILMVVGLFRWASGLWGYSGFQKPPMFGDYEAQRHWMEITTQLPI SQWYFHDLEWWGLDYPPLTAYHSWALGKIGALIDPSWFALYTSRGSEDPTLKIFMRAT VIISEYLIYIPAVVVFVRRYSRLFGVAQWTSWVALVAILLQPGTILIDHTHFQYNTVM LGFVLASMSSMIAGRYMWASIFFVAALGFKQMALYFAPAVFSFLLGSCIFPRINPSRF IVIAGVTAVSFAVLILPIVIGALYDAQRGIDSQPGVDGPRPLPIFTQIEGYLDKKAAY YPVVAQLVQMVHRVFPFARGLFEDKVANFWCAANVVIKLRKYPTALLQQASLAATLLA LAPANLVLFFRPRKELLPYAFAVTAWSFFLFSYQVHEKSVLLPLLPMTVLLAGKQGLG KEIRAWVGFANILGAWTMFPLLQRVDLRIPYAVLTGLWAYLLGLPPTSLSAYFEAGQY AWVQWATALIHWAFYLTMGAWHVLELYVAPPVDKPDLWVVANVGVGVTGFALCYIWCL YKLIHESQILSAKDSAASKKKRQ PFICI_00913 MPQISIGASEEQALAYMTGGLVNEATIWQGDETIMSNYKGKGKE IQTPSIVLHSEIPSPKDSAVDLGGDDEGDVNVSVDYTEYEPPAGLSQIENTDSEVIVQ IVHESIEKVKTRIVEDKERRLEDAREAERLAQQFEAEDAKEAEKPLATENEQHGFQRA PSIPKYYRADPIGFFGAKMIIDEHGLLRPAPASKSKQWGLKGLLKRFNNNSRGESSAQ GAARNSRDMVTPDANRAFLEKSKSAVDAVLQKVSNTAPETPVPSETIEVECVSCLEDY NPKEMIKVTCHSYCTDCFHRLIKAACENEQQWPPKCCLNPIPEATVRTGIDTDAELRT LYKARSTEWSVPIADRVFCSNRECGIFIQPRHVDRSQDVARCSSGHWTCILCRAPRHG DEPCPQDRELQRTEELAESEGWKRCHRCRALVEHREACQHMTCRCGAQFCYVCGAPWR TCGCTEGQLRQIKQDAQTRRLERDAQTRREEADLAEALRQIEEFEKEEAIKAHLLMIE REYQEKQQREKEARERLRLEGERRKAVESKFQELRWELLELHMRQRVEVEREHGKEEN LIKYEIEDSTTRLRDANHVQREAAAKEARNDFEEFRAALKTEYNARVKYETQLETQYQ EQLQVYWANQEGGQEQIETALLDVRRRMDKGHRAYCRWLQSEIDAKRSLIRQEQSIQA SFMETAERQLSENNREKQQIFTRKKTAELKWVDLVFQERHDMLDTMEATEVDDGDDIY ELLSEFSLEDEMDDDLQEYFEAATTIVA PFICI_00914 MPSFSRLVRFLAKDGKVYYGDAILPRGVTDIAKTKQARILTGDI FGKHEVTDQVADVRLLLAPLALKDVKTVRCLGLNYEQHAHESKMPIPKYPILFYKPVT SLAGPTDPIPVHKVAQEQPGLDYECELVAIIGKRCSDVSESEALNYVLGYAIGNDVSH RDWQIQRGGGQWSLGKGFDGWAPYGPGIVSSDMIKDPQTLRISTKLNGKTVQDSTTAD QIFNVKQTISFLSKGTTLLPGDLIFTGTPSGVGMGRDPKLWLKDGDVVEVSLEGVGSC INKVEFSKAETAKL PFICI_00915 MKEYISPPPLKRQRLTQPVDKAEIPTTDFTPISPPTPGALRIFS WNINGIEPFVQPYLQKSIKSFFKPATNTVKRKRPRDTGSVTSDGENDEAGGWSKGDRE DPAKEGPASLRLVLGRYGWPQIFCLQEVKVKPGDSKTMEAIRQAVNDPIGSNYEPVQS GKSTTASLSDGGPEYKVEFNLPADPYNAKGFGGKVYGVATIVRRDFMAEAVQEIREVP WDREGRIQIIETKELSLPLGLLSEPLPTCADSDDDKEAEELAKSKFAIINIYAVNGTT NPYRSTHTGEQVGTRHDRKLAVHSEILIEAKTLEAKGFHVIIAGDLNVACTKLDGHPK LRTTPYQHVLNRRDFVQKFFLGQDLDMAPSKAAYNGYEKRLSQAGDIQSFSGVDTFRN RHIFKQRFSYHPRGVPWGSTCDRVDLIITSQSLEDNILDSGIYDSPRDRGPSDHCPIW VEIGSRMKSRRDTSEV PFICI_00916 MEPITALAFAGNVLQFVEFAFKIARAGHAFYRTEDGSEGGLELE DVYERLQALSGQLSLTINNGMSRDEKAVAELGTSCRADCDHLLQIIQTLRSQGQKWKS FRSAVRYVWKDKQEIEALQSRLRDKQQILNLHISSITSKTLKRLDGDFQRLSELNAKN EVDHELRLDKICQSLEEIKDMLSSAHGANQSISASDVETLASQLSQVSLSERDVAKEQ AILYSLDYERRSARYEAISEAHKATFNWVFDDVERKGLGAEKFLDWIKTSGEVFWISG KPGSGKSTFMKFVAGHEQILKAASLWSDPDEVIIASHYFWKAGESMQKSQAGFLQGLL YDILRQKPHITQAICGRQWGLSVPNAHLQRWTLQDLQQSFDTLSTNDSLKLKIVLFVD GLDEYEGDHIDLCLFLKDLARNSSNIKICAASRPENAFEDSFGSDLSHRINIHELTSN DVLRYSQSRLTEHPRWTQITKESRDLQSVCQDIANRAQGVFLWVKLVTQSLRDGMTGY DTAEELESRLDSLPSDLESLYRHLLLSVDPQYHQKMAEILLMMSDMGGSQHWELFVMH EKSYQDVRYAHKITLPNLDQRESAQEILDEWESERITLTRRLMSRCRGLLEIKDQNVE WIHRTAHDFIKSDEMQNYLGGKCRPNFNSAMAELRARVACVTTTDGVLPGNMQIRHLL SRNRSRILLNLQCITFRIWDYYSGAPLLGRTEELEAFDLLDRLAQKLLPHMDGIRGKT GVSMDQEIPIGKLTEVRNHALIRPIDHELVPEFVLANCLRYGLHKLRMDPDYFRYSTV PALRIVLEIYVDGATERAEITYELLKNGYELNRGFLLVHEAVTYSFLDERATYSEVEP YNLKTPWICYIEAIGLSSTYGSCRHVEKMLHWYRWQKLHIFGIVNGTEPFENRRSSKH FIHNLSHGFLSKLLHYGADPNAQSTPFTTAWTSFVCAGVTQHELLQAENAYLEALDGF LQNGTDLGASTIGLTLDPGSTRTRLPWKMITGWDMFCEHLEHEALKHDDKRMLPFTAK ITTRMIKYAIHTQWPFGRLAEILAKVFPNDLRAPMMQLIEQTPTGQSRKRRAHCSPDL DRLGKHPRIEDVTEAERV PFICI_00917 MAGKKATLKRKASIVETPDVAAHSDDEFGDGLLEGVLSDDSDES SEDDEADEDEELDEDEDEDEDEALESDDIPSDEEEQVADKAAIVNGKGVDEDEGPQYR VETDANGGTRFVYEEIDPVYDSDDSDAQEPVNTIGNIPLSFYDSYPHIGYDINGKRIM RPATGEALDALLDSIEVPKGWTGLTDPQTGKPLNLSQDELELLRRVQMNEVPEGYDPY PDTVEWFTSFEETMPLNAAPEPKRRFLPSKHEQKRIMKIVKAIKEGRILPYKPPEERE REEDEEDEPIVDIWADEQPQAPNVMHIPAPKLAPPGYDLSYNPPPEYLPSKDEKKTWE ETDPEEREKEYLPQKYDSLRRVPGYDQFVKERFERCLDLYLAPRIRKNRLNIDPMSLL PKLPRPEELKPFPTVTSVEFSGHQGRVRSVAIDPSGTYIASGGDDGTVRVWELETGHQ LDSIKLSSDEPVDVVRWRPGRDAFVLSAAVAEDIFLIIPQHANPELHQASRDVLDLGF GYATNGKQPTTANGARKEPSGKWARPGARLEDQGVLLKITVRSPVKVINWHRRGDFFT TVSPTGQRSSVAIHTLSKHLTQIPFRKLPGLPQTAAFHPTGPLFFVATQRTIRCYDLQ RQELVKALQPGARWISSFDVHPGGDNLVVGSYDRRLLWHDLELSNRPYKAMRFHPEAI RAVKFHRNYPLFADASDDGTLQIFHGKVVNDMMEDATIVPLKMLKGHKVTNKLGVMDI DWHPTHPWIVSAGADGTCRLWT PFICI_00918 MAPLRDTIVTLLVLLFSTLTIAHSESRGGSGAKWVDIWAAMPQL TEPANLPPAPFNDTGVVFQNSTIRQTIRATLSGETLRLQISNAFGASNLPITAASIAL PASNGTGSGVSAIDTSTIRGLTFSGGLTNYTIPPGALALSDPIFDFPIDAGDIISVSL YLADGQTTNSITSHPGSRTTSHFVLGNALDASDLSTDDSHQSADHWYFISTLSAYIPD SRALAVLGDSITDGRGSTTNGNDRWPDVLSGRVSSSSISVLNLAAGGNRILHDGLGPS LLSRIDRDVLAASGVRYAMVFEGVNDIGTAAVDVVSQTDVGNRLLAAYEQIVTRLHAR GLPVFGATITPFSGPGQSYSDPERERTRQRVNAWIRDSGRFDAVIDFDELVRNATQPD QITDEYNSGDYLHLNPAGYKAMGEAIDLDLFEQFRGGVDGIL PFICI_00919 MSSSNCAVSKSVSNPKCNPTPQPSPSPSVTGMEDALKDFTLADE SIVARYPPSLDTDSTLSAHTVVNQDLKSQIDFMAWVLGNESTQRQGLTHLALQAHNLN TKDSGYHRDLEGWCRDGDMFDRSDRQTGLTDSMLVTKRSKSHATEYTSSSYDMLSDFD PAADTDHDVNGAGAAFHDIPGPQLEDDQILSSM PFICI_00920 MYSEEYTAEDEPQLQSEWKADPLGTHMLNHGKNSVILRLWKLTQ HLWPGIYPETIISEVNLLEFENVRVRTKVKNGEGKDVLHSYWSKNFRIALEMLLIHPF WESQLSIMIICIQYAVMCRTDDQRHLTWPRNILTDSILWQTLDKLLQNEIMAIKPLSS FTKKPLTGLKVGHRNSELLKGVNVERPLHDFVSMPFETTKPFQPSQPDTAFEVRSSGF EVIIKALDAMTANDHLANLAPLTANLDEAPSHGYTLASDKGQSPVVRHYSLSFLENTT KHVWNKYPEEHQAALCQCALELASSLEQHRERVLLGKRRPPPT PFICI_00921 MACVIMGSMTTGTVLLSLDTKLEKDPLRINPNLSTWIAIHGDSQ YPGFRQAFAVIQGAITSNVESNYGRCDFVLETENDLFAVSKDQDKINGLMKQWREKVS DGGPIVVTMTTLGDYPYKVATASENAEAGGAQELWRILQLHRRASQMTKTSHNVDLMI QDISAHRSGLPPPPPPFVM PFICI_00922 MFVSFPTAEESRQIHSQEEPHIPESSLPSVPAHPFVDQPCNGQT LPQTQGSGCTTQPSRNNESSPNQADPVDNAIAIHGAPDATLPDSQRYPGFKEATLETH WIDGSRGLVNKRTGQAHMQTVLRTANQFVIMSFPLSKATELTDQFITERATSSYTGVV FTLLQLHPWDTQGNIMRVPRRIPSNCAPDVSKDDVSCALCGDKSHRLEDCVGPPNRFK GAILGCPACNTLMHSFDDCPQVKGTTGKAPMSLERKFELIVVKRQDKPMFLCDPGFTP EDYANLLPRYPIRAMPWSPSFTRDQFDKGGPWLQYNYQTATPELPRDPWGERTLQALR SGRVPEIWPGYA PFICI_00923 MTRDDGVGRISSIASSSSGQSAGTVRASTPGRSASVSHATRKAT PKPLRATNDNGKHRPYPFKEESQNSPITNARGSILSPASTTSSGSSLLYDTRRMSDLA NYRRDLAVLEPSGGRIPQIQKDPPSAGPTGQIAPWMMSGNGSGNQNAPSGSFGTTFFD DSSDAVSVASQLSPGFRHNPSRIVETPGSSDSAAYFNDERRPSVASVTTASSQGSKHS NNRGGLNLKKLQGFFGEDFPGRDGSETSLPAGGPGKEQRSYSFNRPTRERNYSNATDH TREASPASSRPRTPVPSSDVVPFLYQEADDIAKYGEAPVRDMLAGPDKERYDNANQLP PKTSSSTRSGHSIVHLPGHHHRHKNSNEDGRSLRPVVSRDDSIASSNTMKERGGSVAT GARRAQSPTPSANNLRMASVDGSVSPGANNTKRGLFDRLRRHKPTKDDASSRLRDMPA SSSSLASTLVSTSSRHNTSAGRDTPYMNKPLPPIDQAQDQRPNNARQATFNNKFPFAK KGRGHRYDDEDEYIGPKDRHDPGRMFHLDTDLNNMEGILSQPQPLTPMDPAILPQSGG DLEKIPEAENYNSAGGAWSAPDSWAVRRTAEEQASNTDNLEESVIAPRPEEKKTAHCI RVFRADGTFATVQLPLETSLNDVMAQVVKKTYVADGVENFHMILKKHDLTRVLTIHDR PLLIQKRLLQQIGYDEEKDRLEDIGREDNSYICRFLFLSARDADFINAPNELGFSRTA KYSHVDLSGRNLITIPISLYSKANDIISLNLSRNLSLDLPRDFIQACPQLRDIKFANN EARRLPISIGRANRLTYLDVSNNLLDELEHAELGGLVGLLRLNLANNRLKSLPPYFGA FRSLRSLNLSSNYLDQFPPFLCNLEGLVDIDVSFNSIAELPEEIGKMRTLEKFVMTNN RLAGALPTTFSKLSNLRELDLKFNALTAIDVIAELPKLEILSADHNKISQFIGTFQCV RSLKLNSNPITKFEITKHLPTLKMLNLSNAQLASIDGSFNNMSNLERLNLDRNYFVSL PNQIGNLRKLEHFSIANNSVGELPPEIGCLGELRVLDVRGNNMRKLPMELWWANKLET LNASSNVLDVFPKPASRAPQAPGETGTPPANGTKSVPGLLSHASSTEELMPDGSRRPS QASSTLLSVGPSPVPGGPDRKSSVVSVYGKGGRKTSVMSRSTGGSTNGTTVAPSMTTR KDSGLSGRLTNTFAGSLRNLYLADNQLDDDAFDQITLLAELRVLNLSYNDISDMPQRS IKSWPQLVELYLSGNDLTSLPVDDLEEYSLLQVLHINGNKFTNLPADISRAKKLAVLD CGNNALKYNISNVPYDWNWNLNPQLRYLNLSGNKRLEIKQAGFSSASQNREQYTDFSR LTTLRVLGLMDVTLTQPSIPDQSEDRRVRTSGSLAGHLPYGMADTLGKNEHLSTIDLV VPRFNSSDSETLLGLFDGQALSSGGSKIAKYLHENFGHIFALELKALKTRHNETPQDA LRRAFLALNKDLVTIATSHGEDRPVGSHRGSQTPIVLSKEDLNSGGVATVAYLEGQEL YVANVGDCQAMLIQSDGSHKMLTRKHDPAEPAERQRIREAGGWVSRQGKLNDLLEVSR AFGYVDLMPAVQAAPHVTQVRITPQDETILIATKDLWEYLTPGLVVDVARSERGELMR ASSKLRDLAMAYGASGKIMVMLLSVSDLKRRQERSARLHRGQSMSLYPSGVPDSFQYP AKRGRKAKGEVLDSTLHRLEAEVPAPTEKPSIVFTDIKNSTNLWEMYPSAMRSAIKLH NEVMRRQLRRIGGYEVKTEGDAFMVSFPTATSALLWCFAIQTELLKVDWPAEILNSVT CQDVFDKENNLIFKGLSVRMGIHYGEPVAEIDPVTRRMDYFGPMVNKASRISAVADGG QITVSADFISEIQRCLETYQEGERNASRVSEDTFDEDNGATQIRRELRSLSSQGFEVK EMGEKKLKGLENPEYVYALYPHALAGRIDHHNQDARPVVDQPATLQPGSELGFEPEMI WSLWKVSLRLEMLCSTLEEVSGRGLQPPETELLERMKQRGGEVTERFLFNFMEHQVSR IETCVTTLSIRHLAAGGGEITELDDLRAPMPIVLDDIAKQLRLLELYKAKYGHLNEIE ELDGSDTEME PFICI_00924 MSSPWPQRRQGLSSLQQPSGLLKNIKGRRQDGVDLLNGSPSAMT PKPDDQDEESLNRPPDSSDSEDDRYKTADIIPTKFKSGAASTRNSSAYTQSGESRLST NNEAQKEGEKQRLAGTKRSGDDMASHLTDARGFPKKPKVKTTFGKSSQKGQKPLIRVP SRNYSKKDSSSEKVFRYHSVNSSPASTPAKSRFKRFESLESSPEKGKKGFVKPDLVSE DDSLPKRTPQRSRSGKKQGRNDKDSAKDNEEAVETTPVHKFKTHFLDDLDDLQDISDS DSRAPLNTQQVTKLLDPKSNGLESDSELSSMDEDEVLSFEARCPMCDDLVDPALLKQH TSNGKMNVKKQTAFCRMHKRKDAEAVQRTKGYPIIDWDNLDTRLAKHEKHTKNILEGR QPSHYASILGERVEAGENRTLLRTEESLIPGYYGPRGLRVMTDYIMKRFSDTIRKRAV EDRLVSSRGYTGYVQAVLVPEMAVCLIMEDMRVEENDARQILSDSRDVGELVNEETKD IVRWTEEERQDD PFICI_00925 MALNRKYSQLPDLDTAPDIYETPDLTDDNSTAPPKTTLGPSQSD YDFDDEEDDDHPGISRSKLRIEEARNRFFPAQVDARDVNFSDRLDGKRKSYKVTSRRQ RILENGTLELGDLSGDEEDDAENLERKIARLKREIEEAKEEYNKRKDSPDGAPHEDLA DLSTALDELTIPTSGLRQPKSPAQNVVKEPSATSAAIDGATYTVTYAPDYEQSHTLAK TADFDRRLAQLETAIGVTAASMPGLDGDRLPGAVVPTLEHLQKQLTILSQASTSSIDT ISRRVRELTKEADQLEKARKAAKAAQESLEASGVQTSEPADEQTAKINALYGTLPAIE SLAPLLPPLLDRLRSLKAIHSNAATASETLDRIEKNQSEMAEDIIQWREGLDKIETAI KASDSSMNGNMEVIDGWVKGLEARLSKLS PFICI_00926 MITSLEIPTSCLTQIWMTTPPGDYEPGPVLGNPYDTACFPVARG SSLSPALCPQGYHSACTPKSLTIEGETVMDCCPNGYFCDGGFFSCSSHSSANFTVTMT DVDGPDQSLVLKTTVVNGMNAHSIRVRYATTDLFVTTTVSPDATETDAAVPSSATTLP AATSSGEADTEAGGLSTGAKAGIGVGAAVGGLALLGFIFFLFRRSRRQTPRTELEDTT YHPMSGAPPVVPQKSPGPSEMGHKEPSELNVPPNQVYHEMEGNLHEVDGGRYELR PFICI_00927 MDSAKQPVKLVKVTRVLGRTGSRGGVTQVRVEFMDDQTRSIIRN VKGPVREDDILCLLESEREARRLR PFICI_00928 MVVKPPPGHFNVLYFASAGSFTAKEFESFPAPLPISKLFDLLET RHVGIKAKILDFSLVTVNLNYVDLPENVEDPNQLVIKEGDEVAIIPPVSSG PFICI_00929 MAEAVATLALASSILQVIDFGTKIATTAFKIYQASRSSDESLDE VSQLQVVYTNLSSSLKTLQTETSSSVTGGEIDENIQALAQGCSDLAKELLETLRKLGF GKALTKRKALWAALKHTWKHEQLVNLQIRLDKFKSELTLCVLISVRQVASLTLSRQGE LLQRLGKSGNIPIGTSVLEYVTSRLDASSQEKHRQILERDLIETIQDQKAITGNDTNT RKIETTQKVKQKSIDLLLASLEYPGMQDREGRIADPHEVTFKWIFEKSSDKWISLREW LESDGKLYWITGKAGSGKSTLMRYISHPYPRPGQQYPRSRSHEYLERWAGNKRLIVAS FYFWNSGLEAQTTQRGLLMTLLRQIFEQCPDLLALASPSRWEKLCLFGLNPDWTDVEL RTLLLRSIENLEHLDATVALFVDGLDEFGGDLKVLISMFEEIRKLPCHKLCVASRPWN EFRDAFSQKPSLMVEHFTHDDIKNFIIARFDSDPRFVELRSRERNFANQLVEDIVSKS SGVFLWVSLVVSSLLTGMSNGDRIIDFQRRLDLLPPDLSDLYRKMIQSLDKFYLEHTS QLFALVGASREPMNLLLASYVDEDPRLALQTKVAPLSDQDVNIRMDTMRRRINSRSKG LLEVSGGMSTQQGSIYSAATHREVTVQYLHRTVKDFIESDSAVRETLRTALKPTFDPH LMLLAGRLAYSKVGNMDPNKNADYLTDCLLHARAVKEQNIDIMIELLDNMSEQFPIID MGHKHFQHLGPYSRPTITFMSLATACYVVEYIRVRGTKPCLTKHPDKQWPLLMDAVFS MLGKHLPATIPREHFMATIEVLLENGADPKFKIEGLGEGPTRQMSPLALVAVGLMEDA ENFYRHLAWQLLAKKDWYDSWTMDCITNDYALKPFWRSRTTQFGFKFWARYRFRKSVR KALNDAAKGGRPDISQI PFICI_00930 MAPSLLEPVEDASSTSKTKHGSGDPSLAFVRDSETAPPTFEDKL EERKFLKHRLALAFRIFARLGFSEGVAGHITVRDPIEPTSFWVNPFGMHFSLITDDDL IRVDHGGKVVESGGNDRLNYAAYAIHAEIHKARPDVLCAAHSHSVYGRAMCATGRSLD MLTQDFCTFYNDHALYPNFAGVVLATQEGKDIAACLGNKKAALLGNHGLLTAGPTIEA TVSWFALMERCCQVQLAADASSAGTGKPLVTIGHDEAKNTWEAIGGGDEGYFQGLPLF QVAEREFGERTYLGRGLRPV PFICI_00931 MASRCQRRILSCSRCRKRKLKCDRRLPACSQCLAANTTCNGIAA GSQTEVPRSIAHHLESEIARLEAALFESGQLDDVQASDILLQIPVAGASRSSHGQVGD PLHLPAPEPRPPSSHNTDTGRVLKIRESILTSAPLHSIVHATMPPDSGATELLSRVRM GMTPSTARIGDITGTLSTGSYRPVTSNVLPGIHVLQSMPVDIVHRLMMKYLDTIHPEN PFLTISDIHAHFGHVVQILLSPDPGPVEASYDLLVVYLILAMSLTLAAFGSRHEERCT AFSMSVFKEGVQNLYGLSSFPSEIARLQVTLLILHYATVFPRLANVWVLSGAAMRSCL ELGLHRELPASIGSVDSQTLHLRRRVFWAAYCMDRSICSALQRPVCIPDVAIDAKLPS ALSADDDIDDSFLGSISYHRLLSEMLHVHYQGESIPSDLSWDDWLADMETRLRDWHNM YRPNASHQAMTEFNMARGLMILHRPSPRLPMPSPSSLLIAFKSASTVARTYREHMRAR FFCRPWLSAHFTLEAATVVLFCLRHGNAAIIEYFTPAQIFKMTKLFTQNFLDIAAQGG GWPEINAYAGTYERLLCPLLERVFLHSSTLSDAFGPAQDAELLRLLYPDPAHLEKLRF GDGLPEASDDLASFDFDLFMADDNFWEASVEMPGDT PFICI_00932 MAIEAPVKPSSQLPAQYSHLKTNGKRMMTTLQESCSWGSTPDGG MNRLTLNEDDKAVRLWFIEETKKCGCEVRVDEMGNIFAIRPGSNNALPPIGIGSHLDT QPTGGKYDGILGVLSGLEVLRTIHDNNITTYAPLAIIDWTNEEGARFPPAMLASGVWG GAFTTEYGHDRPDLAGTTTLKQELERIGFLGTVPCSYDANPLSAHFELHIEQGPILDA AESSTAVVQGVQSIRWYHVDVVGREAHTGTTPMDRRSDALLGAAKMIVETNRFVTEGE LAARGARATIAVINSGPQSINTIAGKVRMGLDIRAPDDADVEAVEKLCRQSFDEICQK HGLSVEIDRFWTSPAIHFDPTMIQCVRASANAIGCEMELVSGAGHDSVYTSRKVPTAM VFSRCRDGVSHNPAEYTRPEDCAASAEVLLGAYLRYDDYLKRTNESKGV PFICI_00933 MSISNKRIAVVGGGLCGMALMNSALQAGLPNIHLYEAASQFTEV GAGVNITRNANRILDAFGIGPDMLLKSSRDPPCYMEYHHYRTGEYLGHIDEFGEPASR QIHRAHMIEALQKNVPDSNISLGKRLSGISWSTNKACYELSFQDGTVAEADIIIGCDG IKSVVRQHLGLTDHPVFSGQMVYRGYVSYDDLTPDTAKLLRKTVTFRGHGKHVLTLPI GNDETKTARVGIIGFMTEPLERWTSEAWLSTAPIDDLYEHVKDWTGPVQEIIDGLRKS SSDDKMLKQALYVREPTPKWFSIQEDHPESGIILIGDSAHSTLPHQGQGACQAIESGF ALAQTLKNWEGNSLEAAFQFFQDFRKPRTDRITRTSAETGKMASADIPEEQWAASFSP DVVSERMKWVMEYDLLSDLASKLPMFAQSVSKSEERKGATVVQV PFICI_00934 MAPQSKRVLILGVTGVIGQVLTCAILNAQDVFDRVGVLTSAATA ASKSELLNSFRARGADVIIGDINDEAFMFNTFQGFDTIVSALGRSAIELQIDLIRIAN ASPSITRFIPSEYGTDIAFDATSASEKPHRAKLKVRAFLESDAVQHLAYTYLVTGPFA DLYAGDMSSEPQLGSFNVARKEATLLGDGKGPLGLTTMADVGRFLVAILKNPKVCDGK AIKVNSFTSTPEEILAEMERQTDTKWNVQYTPLDALRRNEKDAWNQGNPLASLYTLRR IWTEGKTQCENTDNESIGICKTDTLEMVVQSILSNPISGFQSGKL PFICI_00935 MGRIVAGVGMSHAPGAIGFPETAQPDARERTEKASKALGKTLSD AKPDVIFAFLDDHFENFYRNHMPTIAVSVADFHEGPADQWMEPLRIKTKTRFPGSPET AEHLITSLVGQGFDVSRTGPVEYGNNLLMPWILMEADLPSNVAIVPIFLNVFTPPLMK YSRAYALGEACRVAAESLPGDMRVAFLCTGGLSHWPPYWSPTQAGDPPEDKFLALMKE YQTVGKSVLERVPDLFVQFDDYEVEMAKKNEYPLNSKHPLVNAEWDQLFMDKICGGDT TWLKGLTYEEVEEEAGHGGHEVLNWVALSGAMSGKKAKLVLYEAVIEWICGMSYVDWE VKE PFICI_00936 MSLPAASTPSADDRRNAARQMLPTCQRCRRLRRKCDTELPSCRP CVKAGAECTFHDHALQHALPRSYIDSLLKRVEDLQTAQQSYQQSPITESRSLVTQAVP EPETNALEESLPPSSHPVPPSAPSFDVVIPSTSTSGKSRYWGSSSAFVLNIELLQHAA IKGLVSIPCEEDDTLPMIEGQGDYQSTLSLAGAFYYTPDATITYLVQSYLKSIDTLYG FVDHDETSAELEAYLSLRRQPDFSPQLLRGDQAHCFFRITMMCAIACACQARYQPQQT AQCFAFYRDASQCVEEVTSEATSDTLRALLHLIVFCLFQPRQGDIWKLLDYACRLTIE LGYHTETAQDPQMDWQSPADTHNTPAPPTRAKLRRSTFWGLYAIERIVGQLFGRASDL PESIITTEYPYPVRVHAGGTLLDTASNNGRCPSTTSIDQVTFQSMSISHHYRLVYLRS EIFREMYLPAKPPDLGLSWLREKHATLYAWRQELAVSDDLEGVATLTCDVGFDATICF LFQPLLLKALRWTSESETSDSEDGITVASDPFLSAINLIRIYDKIIRAPEHSSRGAYP MTFMSAHYIYLATSTLLAYGLIGLDGRVRVLWPMSEMDAAQQDETHNLDWNEFIDVAG TCLVLMAWCSERWPGFGGTLHIYKRLFARVTKELIGTGGRG PFICI_00937 MASPRHFNIVALETFFCPLPPLTVPSPHTFTLTEYTRTAAHETA ERIRDADIIITTTLRLDASVLSSTTCPNLKFIAVMASGTDSIDLDACKERGIRVVNSP ECNVTAVAEHALGLYFAARRSIVPSMRHLGAGQWPQRGTLMTTTYAAGKPPRGCGSET AVIYGHGAVGRAVQALFEALGMTVLIAARKGTPPTDGRVAFEESLKVATVMVVCCPRT PETLNLLSGPELALVRDDAVLVNVARGGIVDESALLKALQENQLAGAAVDVFATEPAS PETSPLLGPEAAHVNLVTTPHTAWIGMDTATNYRRVLQENIDGFIMDRLEKNRVKA PFICI_00938 MEPINSLRPAVWNAGDERDFSSWDQHRDTLRCLYLDEGCSLKTI KHKMEAEHGFPLYRLKDYETVFREHFHFRKNLEQKDWESIAYRIEERRKKGKASVVYL GNKALTNKKVQRGTRQFISTGNNQPKTNLSHPLNPRIRIKTPPPRPADIAGGSATDVQ DGSIAPAQVLATLKSTPHSVQPYAAASNHQVADASPQSALHKPLPANAHSETILEYKA IPNNQYGSDAQNYGFQSSAPRITLPGIGSILSKPLDDGQKPSSSRYSEFPTEQSYNHG TGNRKRLITHSTTTRATGVLPLRLSANSSWQTPPTIVNVSMFPEPASALAYVPLDLSS GTVGYLSTTTPSQPLEKLLLVTRSFMQGKFQPGSLASSDIENPALVQKQICEIERWYN DFNPGFDLLGKQKVPEAFRIFQRCFEGTRKIIKPEYPFTMIFVCHQAIRCAYYDRIGR NMSKFLLKHVAELCQVFLGVHHPLSVIADGLARMDIFEFALCIGPFMDHYSDALEPFL EKSEMALGELSGSRGLGISLMEGTGMLGLYEAKPRLDVATRKARERGLSTLHLQIETA AMLQRNKFLNEALAIILELRQSKEGQANPYQFFYSGVILTHIFRSMKNYDGQIRVLYE MVDSLSASSANDDEMQLTFSYKVYMEIRQSSLLLVLGWLMEALRKMGRTDEADRVRIR YDEAVENPI PFICI_00939 MAPKVAIVYYSMYGHIRQLAEAEKKGIEKAGGKADLFQIAETLP KEALAAMGAPGQPEDVPLLEGSEGPKKLEEYDAVLMGIPTRYGNFPAQWKAFWDRTGG QWANGLYHGKLAGLFVSTGTLGGGQESTAIAAMSTLAHHGFIYIPLGYAKAPGQLMNI SEVHGGSPWGAGTFSGADGSRQPSPLELEIAEAQGAYFWSIAAKHFG PFICI_00940 MILSTNESALIKYCSGPDHEDDSEDEDEDDDGDKPTKGGKRKAS AVPKGRGRPPKKAKAVAAQSLTYMLRSRCRETGEGMIHYQDEEGTITFKDKTLVSFTA DAALPCVGRNVKFVGRKISDELASSSESWNDYSERAYERERVGRWR PFICI_00941 MPYHEVVSDGFAYRYGRFYALVPNRVERVQGSHLRQMFLPKLTP EANKRLRDSHEDTFVRGQLKHYGVHFEEGEISGNGTLLIKKVLQAGKCDRVPDHITQL QERMHAEWLDKLTAEELSSYPDWIMERFFLSRGQADPTKTTTVVGIPVAPSSTYRAGQ IREAADKIPGLHQVTGSGPKTQTIFLGWDRAAVAKAASGHAATEAKKVREADEEWEVE RAEMHTDYLNSLKKKKKGSDPHSPIGSYMVDCQEIERE PFICI_00942 MSTSTVTETETPQPKPGDSIVWRFNDDPMPKVPQTGGCRCGTVR FHIQHEVLTKQPGFHIPVKMCNCSICGRNGYLMIFPERDEIEWISGKDTLSEYRFATE KNAHKFCGRCGSSVLMDPEGTWKSWAGDVVGLNVRMLDDWDFEDLHLHKKNRKDCDPS KYATQIKAE PFICI_00943 MLDDITPLAPAHLGAVCLLLAVMLWRRYAAMRSDFIASSKRRHN PGSPLTFPYVLPILGSLPIMYLWGPRAFVLSKSNFFQSVHPVRVKIITQEFYVLCGPE NIKALFKGSWACTSIPFVKFALGYAFGLPAKALSLYDKDDSGGGPVPHPGSSVEAHNR IDYRVHHSLTKFLEGKGLLPFWNRFTDNITEQLHSFHDRLDPDWNYYSDLMKVVGDET TVSIINALCGPYLLSLNPGFLQDYWDFDRNLQTYLQGIPWYIAPKAYAVRKRALNAVK VWQQHARDHYHDSAVSADGDDPYWGSSFFRERQKMFLEMDGFDHDAIASEDFGAIWAV RNSITAASWAIFEIYRDPELLASVRAEVDQCARKSQDGRIRFDVDPLLRLPVLQAVYA ETLRLRMHFYIIRMSDKTDMNVRDCIIPRQKVIVTPTTVAHMNSEVWSTGLRNEHPVD QFWHGRFLKTPYENSLNDAESRGSATPLRFSTKELEGSWIPYGGGPRQCPGRHFAKRQ ILLTTALMVSLFDCEILGEGKSVQEDFTLKGFGSGVSHPAGKVPARLRRRNRDNM PFICI_00944 MIFCVISWAFVVADPFIICPYFSVDAVQCFTSTVDGRKTLGLTA LVTVLDILSDIMVVSIPIIILRGSFLSRSTKFGLAVFLCLSIFMAICAIIRIAGFHYK GLEDDTWEFFWQQVEGAVAVMMASITAFRTLFVKQTHNDEVADHSPLGNIFHRLYMRF QSLARAQPEEKPSLNQTRPTIKFPKLPSPIFTGIRSFIRRNNRTDLGVTTLATLDSVN DGSETDYHAALKKKMPRALAATALHVVNMEVRPRPSY PFICI_00945 MDESVRSRVQPAAPTKRSGLNGNPAAEPRDKATNNGSAKPSSRV PSIEKVDVAAASTGKGGGALRSIDQKFEVNPCNGTLALSLTLPVTSGRDECQPTLSLS YNSGSGNGPFGIGWSLSLGSITRKTTQGIPQYENSDTFLLSGLDDLVEDGCAFAYSGS LGKYTVQRYRSRVEIGGAAMRIEKFTHHLDQHDVFWRTISPANVTSLYGRQDQSRIMD VAGDGGPPRIFTWLLCEVYDPNGNAMLLTYLEENDEGVRGTDGCLPVHEISRNPSART RARYIKSIKYGNISVARDLTTWQITPLPADHKWMFEVVFDYGDHDSEIPTSEPAMPWP VRKDAFSHYSSGFEIRTYRLCKRILLFHHFEDKLPRKDYLVSSYSLQYDENAAGSLLR SLMTAGHVWDEERDIYQQQDLAPHTFAYSNAASLDSLHLQTMKPDCLQGLLTSNEKFQ TRWVDLNGEGAPGLLVQRDGAWYYQRNENALDMGGLSDGGGETESSEDSDDGEPAESP KADRDFGSVRVVNAFPAVGDYSTSYLEDLDGNGQQDLVICDLKGRAAGYFECDSTDEW QSFREFPTCLTFNINGDSVHRLDLTGNGLSDVLYRAEDGFIWFPSLGKEGYDLERRCQ GIDAIDMFVSHDKRSAVFLTDVTGDGLTDIVKVSNGSISYCPNLGHGRFGSELSMSNP PLFDSDDQFSFERLNLLDIDGSGTTDLLYLTADGGINIYFNQCGNEWSDKLSLDCFVR TDNLSSVFTLDLLGNGTSCLCWAGPDAAAPDELVISYLDLTGGVKPHLLKFWSTGTGL TTSIKYTPSTRFYLQDERQGRPWKTKLPFPVHTVSRIVERDEIASSTHTTRFRYHDGY YDGAEREFRGFGAVEKWETDNFTVTRGKKSLQTPTTYTKMFYHTGAVEMGLAPSGPDM WSEARLASVIVPSLKSDQWYEAYRALKGSLLRSEVYGADGSDAADVPYIIRETSFDVK LVIPDAERRAETSSPLVYRVEPRESLTEHLERLRDESRLVHELALETNTYGDTTKSLI VQYGRQQSSLTTPQSCAAQKKSHITYTEKTFTNAIDDNVDVDNYYKPMPSSTGIFHLE DSPVANRFLDIEEIRYRGIAVLGGSKHMGHMTRTYYRSADLKRPLRLHAVETFSRLDR ECVFAMDQTIIDSLSAQKDVTFRKVSPRNLLYKSGGYVQLDRDKCAWIPSAEALWDIK GKSDSGNDYDADETLRAARKSFFIPKRSRDAFGHVSEVIMDEFQRLPIETIDPAGNRM RAVYDYRVMQPTILTDVNGNRVSVVYDGLGDTAAVAQMGKSGEALGDSVEDIPSVLSD EDLLAFMKGASREMAMRLVGKAGSRTISSRKRLKLSVSGSSPVELPTFRLNLSRTSHA ISPDAGTGDVTVEVFYYDGRGSVSQKLNLTHWPTSDNKEHEWCLSKSVVNDSSGKAVI VSKPFFSSDHVWKPLLDHTGHLTFSFRDALGRNVGILQPDHTWTKQCFTPWSSTSYDA GDTCLVTDARTDPDVGFHFQRMSTELFMPSWYELRVQSEDKRLQQSAHKSAQYANKPS TTHHDSRGKVIEAVEMGDAYTRTLCSAYDVFGYKTAQYDALNRLVESYDLYLLDQPLV RRNMESGAIVTIITSAGQPLLTSDSAGRQTRFVYDSLGRKTDTWVMQNCEAAQEVLWS QYVYGEATLDPEPNNLRGQVFEIRDQSGIRRNTSFDFKGNCLSFDNHLAVEYQTMLNW RGHVPVEEKAYTTRNVFDALNRNTMMCDATGRVHVRTFDLVGNTQTLSSFVAPSDDNS QSPFVPMPPKSGTDYHIAKAMYAADGQPLRVDFGNKSHSTYTYDESTGQVVRRRTWRD DRAVLEDLTTTYDCLCRISCSQDRAQQTLFFRNKMVEPLKEFFYDTFGRLSRATGRET LDAGGNTTRSLRQVSASSALVTQSLGPGQSSDVCDYVEHYVYDDADNLRLVRHEVLDK SLQGWTRFYTYKEPSLLESSQYSNRLSQTRIGSLIERYGYDGANGQVGCMTHMTGFSR LQWDHRNKLQCTARQKVNAGVPEMTWYVYDDSGKRVRKVTTRASGGSGDNTKSTKRKE TIYLDSLEIYHVYKQDGSTIKTTTNTSTVGSSTDPEVPAVVSIEDVKVATDSVEIDSD DCGDSDDDDSKRKPRPEPNKPLLRYHVNTNLETDDKGQIISYEEYTPFGVSVLLMCRA QIEAPRRYRFASYHRDHETGLYACGARYYASWLGRWTSPDPLGMVDGPNLYAYVSNEP VNYFDPGGTMRRASGGAPLPTAPTSAPNGINGRVAGPPNNNQVTISPEERARIHQQYQ RNQQAQKSYIRQGMENIWKNKKEYAIKGAGAGAGYYFGGPLGGYLGTAVKTAFSWGAG HIAGRVTQGRQDVENMNNGADLYRSALADGPNRSADYTVLEIPDPVEQDDQANSNLEV GPREDQYPGANVRAEGANAPEQLRAHTILDIPSDANANNAAQRNVAESHRINNAVRQD KPDP PFICI_00946 MSAYNRNRISLNIQGTKGAEYYDQICALSQKTINENFKTLFKQR RDLVELWYKDKRGSNGVLDAILDPPQVKLQLGSSDTPELYFEIHIKSGKIKFAADQIQ TINNWVITVRSQLFDAQVSPNSSLGDEATAVAAAQLEEIKKSHDVHKLELAIKKAQEE ALAAAEKEASATGAPKPTPLKAGDYSIQRLFCAIAQGQWSTPVESHSYLPDPEDGTKR ISLADWRASNRDSKTRLMANAVSKLLGNWAEDNQESAFWNLGVQIRLPVAKLVREQAL ATCAPTAVRLQNYAYISNAAQLAHLQAVQKGAVSSLANAGELGHPQNCLVFCEVVKRK LPSGARLEYGGNLAEPAEGTAEPEIPGTFVLDHRLYFEQKILSSLRDLCVKTSVIPVY PDMFTDKSSGEQQFKSRYIVGANPNPASLYPGLPDQVATNPTFDFKLEDKGRYAWRRT WPAPGSGTEVKPYTDCNAAPVYRKWSIEAANNVEVTWTPGQDTIRVAGSVVYDHWEAY NARGNMNFPWKAWHDCYWGDFVITISWSFEIDLCDKEMSFEDHKEAMKKRSRYLVELD EALKNGKPAPIEVPEVPNGVINPVIKGLDPNTSLPKNLSVTRTGGQYVRDDTHTKMRD AVEASLKSGFKTACDNLLNSVADAGNFIYPGTRTLVFGDPKLNDFGDVLATIAYIDLE DGLVDVNVAVPKEIEPSQGKKVDPIIHDSTVTGNPTHITWSPTITWNSHAGTAKLVLQ GRNILPDPMAFEEVVVQLLPTGGQNCLFSGSAYAWESRTELDEKKRIKDQSEAAKKAE RIAKGDTQSEGTSSVDMVSAGADSVNGTVTPSITTTPSVWEFSQSLIKEELQVTLESE KNSTIFRIEAVPPAKKSSKTPRFTIPPDGWFSLTLEGPASGPGNYIAQLDELWNHTDY LQNMKGKRAASSFLKCCLIEGTSGVAYLSSQADADKTRGVLNRRE PFICI_00947 MREEKQTTTTNTDESSTAAVANDIEFQVAPHLLPSGRNPIIAMA ALLSFFERRLGEELPLIRPRLNKATAEKCEDRRYALDLVLKEGDISINGISTLQFAQS VLDYTNSDLDISGWLLNDLKVTTPLELADVLYPRSKFVQRDGASRIIERSGLQTLQEI CSRFFDDHPTLAVQVVLRSGQFSSLSETVQKVAESMISCLERGNMGNDDAQLMEETRF ALMKGEAGIQPSETDLYAALAFTKSMILLSRVVDDPEDLPFLHEAGIASVRQITQQLK GKFAEQMVAKGMEMGNALKVHDSAERVDCWNEQLWLSIMQSSRDDCLPISTERPSALS GGQPTGRSLSNLTDIFKLEETDCEECCSVTGLSAYLSDLLLLLRNTTFINRTPKLLSD ETPADNLLDVLFERRPDIRKLELTCANSQTLLPYISLVNEVLESYIRSVAPKPIAPSD RFEDSIRAYQTPTGFEEGQGPTGAGPATQYRPANTDYPVYQKLVSKATYPFTCFPYDL ASNIEGAVFGTYQLDFVHFLRIFQSPQRLLDLVPRSRRGPRGDRLDRALLSGAKATLK RRLAAETLGLQQAEYSTITSETFFPATFADLANGLSQVPLSIDKECPNDVASLWGFDD EATMLDPFKGLSLIKNQLMFRSGLEFDEILELVKTRCFSQHLIITNASGSSQFGPLVE GLLLLSNAAAPPYQPLTAKLSFSLQAFLRLRNKLSWSIVDTDAAIVGFRDLELSMVVN TRRTEKSVLPQPIAPAVVKKSEDASKDSDEKSKLQKEPPPLFDPNEAPVFNSAEIVSI TPFVVSGIAALSRLSKLSGISTTELLPIWTPINTFGDKSLFHRKFMTKRLQQIDDIYS PFIDTQTTPPSAKYLTTKGVQEAVGNHAIGICAALQWPMEHFDKLVAASSCRDQNLDI GVFTALYRHAIICRILSVPPNSCEAFFKVFYAHSSPAVLADPMYTVDVIEDWKLLLNS GWTVESLVSILGQKGQTNTVTETRETKEAWFAGLRLALDISTGAQKIEQSIPYTLPGA VLSASDVVDCASRVFDASTAKSVVDLVESSVAVPDAICQKFPLAQKVSDAIALLDQTT PSSSTIETVTPASGGSNEGMSTVDDDAIADAKATEDARLAEQASLNATIQLHRRRAEF IKVASPAITEALQKTFLVDTVKVLLPDTDPVIILPLLADIVKVQTIDGKSEQAAISAL QDLKHARNDVSTADRLDAYFAAPTSDNFTLKYTGPIDKGQAPPTLSVDGIDVPYDPDA KSFTPFRLTGGKYYRLKASFGAKAVTWSTPKSSSTAFSDDVLKSVSIARRAATIIDAI KRIASLCTIEQLKPEELQYMILNRHKAGQTLVVNFDDVRLADLTRLVKFRQLRQSVTG NIGSLASLVGWLGSVMSPTLDDIATRFADATGWKKASTLEALEAQCSGLPAADVLSSL KSFEGFLGFADIMLFNDELQNASSGGVLPKMSVLFDLAKPKTTLSSSKSDYDRAIALQ DGLTPSQKTDIDVVLTQTRRKVLVEYLLQQEKVRDELKIVNADGLFELFLIDVQMGPQ LRTSRIKQAISVVQLFVQRCFLGIEADIAKDMLPREKWTWMQHYTLWEAHRKMFLYPE NWLEPSLRDDKSQLFEKLETILMQKDLSVSTFVRAVQDYSYDLNGISSLDIVSYLHEP HPGAEDIFHLFGRTRVAPHTFYYRTLTIYHSDSAVFWKPWIKMEIDIPSVENDWDSTR LQDSGAYLVPIFQEGRLYIFFPQVILKTVNTSQLAAGPQSQTWTQMGDAGVAVQEPKQ QWEVTMAWSELVRGTWTPKRVSPGSLLVDAPTSAAPKDKPVELPPQGRLPSAWQFRLD PIFETNRVRIALSHAPKEAHAGQVVGSFDFSTDQVTACQTTDIKVSSHEFCTHFGKVT GDKSSLFVPGVEDKKNAPLVWLPSKIKQSQSDIKWTLSKSAERVTGLVMNVKQADGSS VSYFNVPEKDLLSTSWTQEMISSNMALTPMDHPFSQSLMQAAVNRADPLKSIYDTMAA IPAGQLGTSFGLVGGDTFYHELATPSALYNWELGVHAVMLATDRFLATQQYDDALQIA RLLFDPTVDTKVQRDQSDPKTGKTGNDVTKSAWRFPPFQDISERIGKAGDTSPNLKKL AEEIELAIKERRSFGQLVHAAARGRPQAYMKWIVMKYAEILLAAGDDCFRQGTLEKLP LAIQRYTEAAHVLGPEPIKGLKLGRKAPKCFEDIIDDEVRINLSLPFSAQLKRIKDSG KGSATSAELDARKEALRSFIMTPYFSVPLNPKFKKLRSLVHERLYNIRNSLDINGNPV TYALREPPIDPAALVALGAGLGGSISDTASAALGNQNGPLPRYRFEMRLQKALELCSE LRSMAERIMTAVEKKEADEFSAMRARNASVIQSLMLDMKRTSLSEAKQTMDSLQLSRD SQAAQLSFYLATMGETTSTGPKAYEDWIDIEQDIGPVTQDDLRMSVYEKTEMDLSGAA AGLNIIAAGIDHTASMFAWLPSISGNVAPLGIGCSVSAGGSTISGAIQIDAMSMKADA MIVSEASNEAARKGRMVKQLQERRLQANIRGREIRSTDQQMLIQRTRIAAAEQDINTQ LASMQDAAQAEAYYRTKYTSQQLYAWMEKELRGLYFQAYTLAAAAAQQAVAALSFEQG RPVGMLRPAGYWNASRDGLLAADNLYMDLKRLEAIHLEGRRSDYEVTKTISLRQLDPL ALLRLRITGVAEFSIPEVQYDMDFPGHYMRRIRSVAVSIPAVIGPTTGLNATLTLTQH QYRVSPLAGGGTAKDYLSTTADAFRSDAVPVSSVAISSGTNDAGVFELDYTSTGKYMP FEGAGAISSWRLRLPSQMRRFDYESISDVLLHVQYTSLDGGELLKSAAESAVKSMIAG VESEGRTRGFFAMFDLRNDFVNAWHSFQTGMLASSRVGGKASGTLQLDGGGGGVKGGL KSRLPHWSRSQPRLVVKTVMLACRNAKLVRGMTIPDVVGEQPTVDETRIGTGSTSVML RTFGELSVDNLDGWTLKASGVPLGDKVDRLDNIYMLIEYVFG PFICI_00948 MTQPATAWMKAQVQKGNDRTRLTLPDADLSNKWVVISGANNGIG RHAAIKFAAWGANMVLACRDAPAKEVHPTEVVAECREAALQQGHANSVIEWWQVDYTE LKSVEEFAQKWLQTGRALDLLLNNAGIGSSPGGSSVFKTKDGFEIIHQVNFLSHVLLT LRLLPSLAKSRAPRIVCTTSSYHYLGDFNLQNCNGELGSPGHEGVNYYKNNKLWFQVW LTELQSRMLHRPEYQHITINGVHPGFVNSGIWNLNNTESWLTTAKRIWVKLNAYFYGI SEEQGSLALLKAATCIEAGPDPQVQSVGEDGGKGGGRYFSRFSDEIPMPQAHDSDSRQ SLWIKVNDELKLREKGLLDILGFDPIS PFICI_00949 MALLHVFLTIIVGLCSCPTLADLLTFTESANTEKLAGNGLAPEI WIASNDYNGVSRTAYDIAIDFGRVTGTNGTVKLLETLPSNVDASRPVIIAGSVGNSTL IDGLVSSGKLDASQIDGLWESYTSTLIRDPVAGLPWALVVAGSDQRGAIFGLYDISET IGVSPWYWWADVSIKTKTGIWVTETPKVQGPPSVKYRGVFINDEAPALTAWVNARFKK SASGYGFTNEFYKLFFELCLRLKANYIWPAMWSASFYVDDLANGPTANDWGLVMGTSH HEPMARPYAEQHTNLSGTWDWGTNKDNITDFFKGGVERAKGWETIYTMGMRGDGDAAS PDLTSSALEEVIGVQQSVLEDVLGVSNLEDVPQTWVLYKEVGGYYQAGMAVPESISLL WTDDNVGNLLRVPLANETGRAGGAGVYYHFDYVGSPRSYKWINSIQLVKTWEQMHLAY ERGANQVWIANIGDIKALEVPTTHFLDMAYDMSNFATPEATNDWIVRWATREFGPEVA ASTAQIFTTYGRLIVRRKYELLSQTPFAFSTAHYDEAERVLEEWTELLALAQSVYDGF DQARRTPFFQMVLHPVLAGKTVVELYIKANLNAWTLQQRRTSTNQLALDVQDLFRQDQ VITKRYNQLNGGKWNHFADQVHIGYTSWNDPVANTMPNVSFHGQSNVPKSGPMGVSVQ GSALSAPGDPERYLLSMDPYMGPTEIRYLDVYTRDNQTFSYKVTANESFVRVDNSSGV LSSPGGMSDVRCLISVDWNAAPDGLTWVGLRVQATNATRTWATTAHLPVNKTIVPTGF NGFIESNGVVSIEAEHYQNSETKNGLSYVTIPGYGRTLSGVKLWPVTADSQTTSSGPK LTYSFYSFSSPKTARLIVSLGSSLNHDPSRPLRYAFSIDNGSIMSVQPVPNTPMGTLP SGWTDAVISGGWQSISSISLPAGAHKLSLWLLEPGIVVQKVVLDLSGYKSSSLGPPES RQIE PFICI_00950 MQLHLQVCRFTAYALTLLLLAEVTQVHAEFACFNKLQKLFVFGD SYSRISFNATGPQPSVSNPYGNNGSTSANGPNWVNYITGTYNESLILTYDFALSGAVV NNSIVSSNTRPDLVNEFKVNFVGAYGNASGLFNPERSIFAFWFGINDVNISYNSRGRD TYAEIMASYHELVLQLYGIGARNFLFLYVPPLQRAPVIASGSNASTNIPLMETAVREF NSRIYNMTQTLQTELSDATVFLHDSYATFDKVIDNPGSSQETALLRDTKNYCTSYAG PFICI_00951 MTAVDAQALPAVFQQAISIARKLRVQYIWIDSLCIVQDNKQDWE LESSKMCDYYENSYLTIATAASPNCDVPFLRPKEEKWRPVKFALGGKEKNGETINAQR SPYTPKEQGILFTRAWAWQEAALSSRTIYFTPSELIWECREHVLPQRYLPDLDISEKL EFSKRLSMIETMTFDGDNISHLWDLVGTLVSAYSSRRLTVPTDKLPALSGVASRIQKM TNSRYVAGLWEDNLIYDLCWSIHFQPLQYVPPTYVAPSWSWASITQAAYAEISQTRVI FESQFTVLETHTEVDGLNPFGRVSKGHVLVRGRCAYVELGCDNPFQTQGYTISRLDDK EDKCAFLPDCVLQATLDPSGDSILSRARNGQDVSKFKVEALCLYLGVSTLRNELDIRT RYGLILGPSEEEGGSFCRLGFVFFHGDCTDLFQESSEKEFRII PFICI_00952 MADPLSAAASVAGLVSLGLQVSSGIIKYIDAVKSRDEELTHIRK KNDILLSVIEAIQRSCSSSRTQYPQSTAAVTQTFQLCDTQLKALDSLLAGLTNGSLNP STHTKVRDLGRKVKYPFRREQVKALTSTLDQAIATLQLAVQGLELDVSQQNNDKLNTL QTDLLTTSAAVRSGHNDIQNIIKELGLQTESQTLRLSATFTQSAGSLRQDAVAIQGSL ETSIRPIVTGVHQLDVNQCLHQSTLDAIQRANQSYQTNLNQRLNRIEKLLLESAFGGA ETPENQSVGNFQNSKSHKQIMRRIVAKPQSLRDVCDAFELEANNEAHDTHRTLALSAG YATTDSARSIDDLSNRYKCNCNARIRHQQQGTWLGPFGFFHRTESMAHLPDCPVAEFP AIGAPNEVGVRLLGLRNLLGGAITLSFKLSSGAGGFSISPGFTYYPTVDERNEPAFRI LSLMRTVSLDFDSLGVLEHVGQYKDFMNRAKVKLKELFRNGKSSFLVVNSQNRNLIHA LLAVLTFDDRNMSEHCDDYLCDLLEFFKYHQVPLMEYDINGRMTAFGCGPLTQATLAD NLSEVQRILENNARALEERDLYGRSPLHFAGARPKILRYLLKVANIDQLNAILSEGAL DAIVRIAITFKVKKFWTSFCYEIEGYMYPAPWKQEKATPVHTQMNFGALSPRDANYSY RIGFIDTDPQPPQDLPIWRHALRLDYLLWLIDHGVDMSRRLKRQSNEICNAHCILANV GQYLHRWETGYPNGSERSDIEKLLRRCNEANIADSCRCPCSPGGCTPQTSMLKSFANY PSSKDYLLLEFQPYIEMFDRPWSLEDHMRAIRFFTFDALELTHSCCDQNWDLSDIDEA EEEEDSFMLGLFDELVLEFEDHFRSIPEGHSYLGFWMSIWKVRIEEVWKILDARALTK EEIEGAINLGVVWDHSPRRKVQRGPDIWFSKLDEID PFICI_00953 MNLDDGLLQRLVLPIALCGLIACIWTNLHSWYRLRHIPGPSQAA LSSFWLAFTSLKGQNNDLWIHLDEKYGQLVRVAPNLVTTSDPKTIRRMASARSTCWKD EWYRRARFEAGIDTLFTLLHPRAHDEYKSKAAAGYSGREAPSIETSINEQIQAAIDLL RHKYLSTSTGGNTGDQVNDQQKSLFRPLDMANFSTYFTLDVITKVAFGEAFGCLQHDA DVSGFITELQGFLPFGSFLSYIPSWLYDAISLTLSYTTRLDDSQGVGLLRRIARESVT KRFEQSADAQKDMLGAFMRHGMSFEECRDEATFMIATGSDTTSSVIHYTMLHLMTNPR VYQTLKNTVRQAVLQGSASCPITQKEAMVLPYLRAVIFEGLRMRAPAPGIHARVTSKE GEHICGKFIPPGTGVGTNVSAMLLNPDLFGADAASFRPERFLDAENLGDAAIRLRMER DVELVFGYGRWQCAGKTVAFMELSKIYFELFRHFDFELINPINPIQRTNSYGLWIEEG MMVKVTDAPVI PFICI_00954 MDSNQHTAGAIRVLELGRQMFMNEATRGDDNTLWDTMGACIRGL TRRFASRSGGDDRPMEATVAELHNIWFMFTLAASNIDADHPAQDRLTRTILWARETGV LTATKGAATEAITTSDGRIWVDLPFLVDDVRTAWEKAMISTEDGAAAKARNLAAGIAR LAGLGLRNDAFTACGLDIIERSLEKEPSGPFTPLQLLAMVEVWLRYAGDKLFTLTSEH HTFGTRWDGTETGASVTEAEDDDARINVLTRARVLTWDRRLLELKSNDDADVSAAAER CRHMMQWCCLCLYGKGIEPWVEDEIPEP PFICI_00955 MLDDQLPLTWLPASVPLAAWYQPQTVNPHGDQIFGLGDMTFLAT TSAPEVSWNQFSVPFVTPAIHIITNETVITGDFLQDELAAYFSEDDVLTDGFSAMILM ESSLSFRMDNSAETYISSIDAQLLFVPTDSDSPGSLVPGPVLVVADGSLIHVSKVFRL YQDIYRDFVDGIYISNGTFKPLGFFDPAWSNPLVPVPSRLYSKFDPRPLAGQRVGVKD IYDIEGLVTTCGSRAYTAVTPPSNTTAPSIQRLIDLGAVIVGKQKTAQFASAARGWEW TDAYFPQNRRGDGLLSCSASSSGGGCSIAAYDWLDYAIGTDTGQSVRQPAAFSGTFGN RPSQGLMSLEHVMPISYGTDTAGFFCRDPIKWAEAAKYWYDASLQQDSALNGLSELDV PDTDAYPVQILYPLDHLPLQNPEAEKVLQSFLGQIIHAFNMSIATINLTQTIESTTGR DMKDILADLRTLWTHDQLKDVAVPLLEKYHPEFPPLDRPHRTDFRNATWNDTEYAEAM LRRREDADKWGTNILFSTPDSCSESVIIYDIGTGGLPSFRELDLNHDTGAALPADSGT REAGSIVASYFGLVDFTLPIGQVTYYSQATYEEVFMPVSINMIAKRGCDKVMWNFVRR LAEKGILRAVQTGKQAFQE PFICI_00956 MSNLRETIENTIKTFGENNTLGVKTKDTSLFSSVLAENCIRQYR PFAFINRYPQFFKKEITNADYEAQMKVELQTMQEVVQDLTKTSIDEVQRTATIWTTQN VTTVDGTKRTVEVIWDLTFTEDGTRISQILEFVDTFESTKMLEQILAGASHA PFICI_00957 MHKTNWLALLGGIASCTAASPNVGPNYEHPLEDLDKDLPFSQPV TFAHLEWQRCLAASHDQPLDIAILGFPYDTSTSYRPGARFGPRGIRAGSSREKKGRSY NTVWGVDPYEQGLQIIDCGDVPITPFDANHAFKQMEQAYRQVLYHPTTEQNTWEHPRI LSLGGDHSIVLPILRSLKTVYGPVSVIHLDSHLDTWDPYEGYTGIVSNQSAITHGTFF WHASREGCISKGTSVHGGLRTKLFSPKDYEIDRDVVGFTIIEAHEIDDIGMNGIIDKV RAAVGDTPVYLSIDIDVLDPSIAPATGTPESGGWTSRELKRFLKGLEGLHLVGADVVE VSPPYDTVAETTSVVAADLLVDILAGMTKTNGGRSTLPVEKDEL PFICI_00958 MNTPAVIDRKHGPLATEDDDVKDYGADHVEKLDTTKSTPNNLYD SIEQTKPSNSVWLITATVAMGGFLFGYDTGVISAVLVNLRADLGHALSSNEQELITSL TSGGALIGAVIAGMTADRHGRKFGIYIGCFLFFIGSIIQAASFSLAQMAVGRFVVGLG VGSAAMIIPLYISEIAPARHRGRMIALDNMSVTFGQLISYALGAALTGPAHGWRYMVA IGGVPPVILFFLLPLCPESPRQLLSHGHREKATQVIARVYPHASEAQVSAKVDHIVQG VEAESHALAGKSLWWQFKQLHFVGSNLRPLISACAIMAISQLGGFNTLMYYSATLFSL VGFNNATAVAIVVGATNFIFSIVNLVIIDKVGRRRILLVTVLGMSLTLALVAIAFHFI PISKDLVLEADSVNWAGIVVLVAIIIYIAFFSGGVATIAWVGTELLPLEVRALGTMMN TVTCWGCNIIIASTFLSMMKGITPSGAFGFYSGICFVGWLFVIFCYPEVSRLPLEEVR QVFDDGFGVKLASRMQRERKLIEASALA PFICI_00959 MDKHSDDIIQWLAPPDVSSNFNRALQARHPGSGQRLLASNAYTR WENGDNSFLWLRGSSGCGKTILASTIIEDLQQSQSHTVLYFYFDFTDNRKQSLESTLR SFIWQIYCQSKHTHKYLHEIYSSACQGGKEPSFDSLQWTFTQMIGNFDKDSSMQVDVH LLVTSRPEQDIESSIQCYASKEAIEIQYEMLEADIRNYVYARVRQQKAFRQLFRNYEI QAEIEVTLLNAGGTFRWVSCQLDSLGNCPTRNAGLKLLRNLPRTLEDTYDGILATIPL VHMHYIKRILQLLTYSERPLRLEEAVDAIAVDIGKTMARGQRFNPKNRLPAIGEIISC CSSLVVLVLRKGGHDEEPRIPEIQLAHLSVKDYLYSNPIPSAQYLQGIPAGSSIARVC LSYLLDLPRSATVKEIRKSFPFAQYAAQYWADYTIMCKRKSGFEVQLAKELLCNPNRP WEIKSKVEDIASALYYSSLMGLPRCVRMLLEVGADVNAHSGRYDNGIGNALSAASFGG HETIARLLIDHGADVNARGGRYGNSLQAASFGGHEATMKLLVNKGANVNARGGFYGNA LSAASSGGHEAIVRLLINHGANVNARGGFYGNSISAAASGGYVGIVKMLMNEGADLAA AGSNGQTPVFKASSHGHAEVVRILLNRGVDLTVADNDRVTPIQAASSKGHSEVVKMLL DNGADCSVADDFGWTPLIFASSRGYAKVVQAPLDRGADLTVTDNDGWTPLNAASSKGY TEIVKILLGQGANCGVADNSGWTLLLLASSKGYTEVVKMLLDRGADWTVADSDGLTPL NTASRQGHIEIVKMLLDKGANWTVADQSGFTERCGEVEEEGQQALELGKRVRRSDRNW RNRGKSSTFPESPDANQVLQPIRTPVKSKVRTANPYISSRMTNTSSIIRKGKGRHIVE HPEPEVTNSLNVRLTASHTETSSSIPHLVIQSVLSNETGITASEAQGLKPNEVASTII AAGAAGLAGYAAAQSKRSADTAKGNLDLARDVHKYNMKKDKRAGTAPDSSDSDDGGSR APVGDEPSGNQIVLLPRVGPDTRAPTSPIQDSPLIAARTPQATATQTRISETHKPAQE LPDLAVDFLFARLSQLPTPPSHLPHVALALLALRNLPPTPDHEPDKDTANQSGGDLSG QDSSSDEDIDGPPQENDQSRKADSWHKFKGTRNGQRQPPGKLFDRTRQPRSLSRHNSL QRSLLDQLTDLPSKDTLFSSINGRPKEQ PFICI_00960 MRLINVESFIIEEFTHDFPPYVILSHTWQDGEVSYQEFHTAEAR QKAGFQKIARFCLLVNRMHYEYAWVDTCCIDKTSSTELSEAINSMFRWYQNADLCIAY LCDVTWVEEEQVWPQWNASRWFTRGWTLQELLAPPSVLLYNSDWQCLGDRTSFSTWIQ AITGINERYLVDGEDDLGGNHPTNNDKSRRRLSRIRDSTIAEKMSWAARRQTTREEDI AYSLLGLCNVSMPLLYGEGSYAFIRLQEEIIRRNFDWTLLAWGLPWDDFESRRLESQK SREGSLARLFPAARSAVDMLLCNEHPWSDPVPYEATWDPSDGLLATSPRYFWRCERVI AHRIAFDWELTSRGFRVSLPASDDENCHMILPCQIKDEPSYLIAIPLIKQQTGLYRRA FAGIKLVREQSWHRWPLQSLYLSTATSNADHLLSEKSEEIMWLSVAPGLSIQEVFPPE KWSPTQNILYCSHDRPAGMKPLFVLLFKIVKTGKEFAVVIIQHKSKVASDVEIALQTI PQQSFSCPRELLDANYLGSLPSSPNIDRDILLYTTRTNILDASGDK PFICI_00961 MRLLRLEEGDSVSLTDDLTHDIPPYAILSHTWGARGEEVTFQDI QNKDFQQKPGYGKILFCGRQAQLDGLEYFWVDTCCIDKTSSAELAESINSMYRWYENA HKCYVYLSDVSINFDRNTPPLLSSWLHGFRKSRWFKRGWTLQELVAPQVVEFFSSNGQ KLGDKTSLEEPIHNITNIPAPSLRDFSQSNIDLKTIFSWCQNRETTRPEDKVYSLLGL VGVSMPVIYGEGEGSAFLRLCAEVLQRDPKQGQSLLMFVTEIGHAPALRILVRGKENI ESKNDNGNSLLALAASNGHEAVIRMLLDKTKKKLRPTPLENLWKSALKGGLT PFICI_00962 MASTCSVQIGEIQVSSATAVTANPVLQSYETNDVAYEFTATTFK KTSRLPFSSPYPDGTLFTWFRSWNHERITNEAKALEIVSQQTIIPVPKVLEHGEHSDG RRYLVTELIGGLLLEAIQLQGCSRPEDLKHTECIPCKACSDQAYSNANEFIHDIVLPQ LAKLKSRERGIGGFVMPPSWMAPDVEPPWKGKKFWKTLPLEEPKYVFQHGDIAAHNIM MDPQTLQVKALIDWEYAGYFPAGMERWPGTLDADVYRKRGCHLAQAIEEFLPEEYLEC YENWKDKEQLDVLVESGELPHISRLQALKADGHWS PFICI_00963 MAESRVVNIVGAGIFGLSLAIALRSNGHKVTVFDQCPYDDTGYA PGADPLHEAASVDHNKIMRSSYGKKIHYQRLSLESRELWLQMNQEHGKDFFVESCMLR VQPSDQLGLLERETLDSLTRDGLRDTQFVKGDAHDRLRAAELGWDHKLLDFNIPGESG KTFEAVLDTISGFIKCSESCAHLQKMAVDLGVDFRFGPEKGCFESLVLETQLQDTQET DCKKAIGIKTRDSVFHQSDVVVIAGGSCSTQLLPELSYHLESSAGSIVTFKIDPNDEQ LWTKYAPENFPVMTWKSAPRDENGKDTGSVYVFPRTTDGLIKIGYRGIKFTNFVPAPK GTPFTQDGQWSVPLPPGKPEQLPRPAVEAIRRFVSVFLPEFAKVPFHSSKFCWYTDSL DNSFVSDYVPTYADQSVFVCTGGSGHGAKFLPVLGKHAADIFEHGSNSSSFMYPFWCW RDDAPRGNGLEEGPSGPRNIGHE PFICI_00964 MQLLWPLILLLDSVLCSASNITPAGLTVRLNDISYLISPHVTAT ISVDPSAFANTPSLFGLVPVSVFTNDTVVENVAKVASSWAQVDDVFQPAFLQLTLLDR VPGKHVNGSSKTVKAIKTSNSTMIQYSSNSKIPQGPFFLDKSTGDLHPIYRLYDDFAG TFNEALLQDPNGTFQTLSARIPGSATLTIGVPSRLYFEPSETQPLAGVRIAVKDIFSL AGVKKSNGNRAWYHLYPPENTTCTAISRLVAAGAVVVGTQRLSQFATSEVATVDWVDY HSPFNPRGDGYQDASSSSSGAGASIASYEWLDAAVGTDTGGSIRSPAGVNGVFGNRPS HGSVALDNVMPLSEPLDTAGFLVRDPVLWDKLQQVMYGPNYTTRLAEDNDDAPFRYPT NIWTVSWPDSTTEASYLLNDFASALAKHVNGTLETLNLTELWSDSNSEDPDVDSDLAT MLNVTYPVLTGQGQVSKVIEPFLADYAALHDGRRPFIDPAPLARWAWAANYSWDEAMH NKTLFMNWFNTVVLPRVSDPAQCSSGLVLYPAKVGTQALRNRYDIDPPAPYTGFSASR MSVFCECPDFIFPIGEMPNWSSITNHEEYLPVAVGILAAKGCDGLLSRLAMDLVDAGV LQAPRVGSTLGGGAILY PFICI_00965 MLLSRPLSKFSIFLGLTSCAIATDLRKPLLKDFDSLGAWFDGVA SIANTSRPDNVDQVKNKSIAIVGAGITGLTTALMLESVGIHNWELLEADNRVGGRLRT VSNIGGTQEWAEMGPMRLPYRAKYKDSNETIEYSDHAMTFQLIDWLNDMNRDNHSSLH LDLIPWIQHSPNELLALGTGRLSDGGVPTRADIAANSSLGKPAPMEIQEYKDMKAKMN SILMNTTTIKEMQRDVWRLHERVMEQGLDEVSQQSMLRNIWNASTNVTDAIHTATDYD VFWDEMNHNSNLAQDGGASAIAETEWKCVDGGFSRLSQAIVPHVQNRLRLNRQVREIE AISDQGGEITGTRLSWIEPGVNTTTMKPQSDQSKDYDYTMLAVPFTMTRMMSLPSFSS VKTRAMSERGLRFKDACKVALLFSERFWEQEERPIFGGYSTPRDAAVGALYYPVYNLN ETGRPGLIMHYRGGDWSSRFASLSDEEHVSIVLDAIADLHGEQVRDLYTGDYERVCWL NERHIATSWTRPDVEQHRLYIPSYHETEQNVILIGEHTAPTHAWISSSLYTSVRGVVQ LLLELGLVDEAKEVNQCWMGRWIKV PFICI_00966 MAANAENRLTVHDATQDIAPASREEKIVFNEQTNYVSRGKIIMI FLACASIDLVALMDQTTLAASLSIVGNALHASQQMSWIANGYFITSTIGQLLYGRLSD IWSRKVILLIGLGIFFFGSLAASLAQTATQLIIFRCFTGFGGGGLMTIAQMIVSDVVP LRERGKYQGILGAVTALANGIGPVIGGALSSKSSDSWRWIFRLNLPLTVLSTATVVFF MPLKNVKGDWKLKVRAIDFVGIGLALAGAVGLMLGLTWGGGEYSWNSPAVLVSILVGA FVCVSFVLWQWKGPKFPLVPLEIFRSRMTIGACLTMALNGWNFVVQIYYIPTFYQLVY NYSSTKAGVMLLPITLVQTASSTFSGLIVHWVGRYRECILFGWLCWAIGLGLMATLDE TSGLGKQIGYSLLIGVGVGNTLQPALIAIQSGVPRSDMAVVTSFRNFVRNLGATFGLA VCGTILNNVVKASLSTLELDSEAYDTLIDNPQEYLETLSDDQATRIRAALIPAYTKSF KIIFYVGAALAALGFVVAFFLMPQLELHRPDDERLKEEARKDELKEK PFICI_00967 MEKRNNLNVYKGPEALKDYFNPDVAPPLPLVELPEVLNPYKQDG VHIYAKMMTMHPANNVKAMPALNLLQNHQDCDKTKTVVEYSSGSTVISMSLVSKVLPG FGDVHAYLSNKTTAAKIKLMQFFGLNITLFGGPSQPEPLDERGGIMAARRWAQQDDTV INPNQYENEDNWKAHVRWTGPQIYEQLPEINVICAGMGTSGTMSGLGTYFKEKKPSVF RLGVCTAAGDRVPGPRSLALLGPVEFPWKSAVDKVEEVGSHDSYYLSLQLCRHGIVSG PSSGFNLKGLYQFIDDRKAAGTLSDLAGEDGQIHCVSLCCDLPYQYINEYFDKLGPEH FPSVQNAELSDVDLFRYDEAWEREALSVLGDFYRLDKTIAKDVLLLATKKCHTDRLGW EELVPPLQGTVIIDLRQPEDYNLFSLPGSINIPTVKMGCDRPFSDASTLVSLWRNLMS KVNSDASEINMGIQGKRSLFICYNGDAARVATSVCRANGHSADSLRGGTEALYKLTV PFICI_00968 MNFAESVPRGPPSESNSVLRSVQGLKVLLLEDIDKTGKDLLASY GYAIQAFEHALPEHEDVHVIGIRSQTMLNARVLQGARNLVAIGCFCIDTNQVDLDYAT QRNIAVFNSPFSNSRSVAELIIGELVALARQLGDRSAEMHNGIWNKTSNGSVEIRGKK LGIIGYGHVGSQVSVLAEALGMKVIYHDIRPVMGVGNAQQMSSLHQLLRHSDFVTLHL PEQSQYMVSSAQFNLMKPGSYILNASRGSVVDIPALIAAMKTGQIPGAALDVYPSEPG TNGSGFTVGSNDWIEEIRQQKNIILRPHIGGTTEEAQQATDAEGAFAKPALAFFANTV LAVAKKLTFYINTGNAEASVNQIKIPCTTSGDDKVNIILKGHKDES PFICI_00969 MESKPKDESSVQGDAVGDTSASSPTNNLPTKNELTPTETQHKVS ERDVDDTTVFYNRQKDKVGPLTPELQNKINKKNFWCLLSQTWWIAFLIHLDKSTLSQA STMGIFDDIDMSKTEYNNLFVLFYLDYLIALWPGGYVAQRVGHKHFINASLVLWALLL GLHLVVKTGRQMMALRFFLGMTESQIVPSTTVLHQAFFTPKKSPWVQLLWWASGSFAN VLLTMVAYKLIEDDDVGTLVGGLSSWKWLRIVCVILTFVVVVPMFYFLPNSPVDAKWL TTKEKIHTIAMIRETYSGIVNTTFRWNQVRECFTDLKSWLFIFHMFFNELPNNTSQQL PLIIVGFGFTPAQSALFNIAKPLWGSFLILVSAAMLYATDLGVGYTCAISYIPCFVGG IMELASPWENKIALIVGTQISTFKPSYVLGLSWAGTTTTGYTKKLTLMGTCIVAASVA NMISPQFWQTQYAPRYRLPWAFMTAFWLISPLMCLFIRFYLDKLNKQRAEILAHSRAN NVAEILDTGSEEIKIDEEDLDATDRQNLHFVYPL PFICI_00970 MGPPYIYQALPSSQSIRLLRLFPSAGASEPLKGQLLEYSLQDMH DHEEPYDALSYVWGETDTTHFIELDGCNLAITANLQTALTYVRHRIRDKLLWVDALCI DQDNKREKEHQIGLMYGIYACASCVVVWLGEMADESDQALEDLRKVGRQWHINTKKEG QISDRIIALFQRPWFERIWVLQEVGAARRVQIRCGHREMDGYAFGIAANLLKPSLEAG GEWQALQNTIRSVAYLMQGSVFRSPYDLSTALAEGICTFGELVDMFHDRKATTAQDKV FALLGMSSVDMSSSGLLPDYTVSVRQLFQRLVEFVISNQISIECQKEEEIAVLKAVGY VLGTVIDAEHDDRFNNMQRVNVHWRDVLAEYSSKELYPDVLMLRNSTILLRKGDLICL LDGATTPTIVRLYHDFSVVVVAAASSLTHKENVSTAKLRVDDAMRLQLVRRDFILIWD WAYPFEQDHDWNSYVEWAAGHQWELQGPSSSKEPFSGDYLSRLSQSALLCMDARGLRE SENVFHWTFNQFDANLATNAGVTSSERAFAALLNGMSSESIIWVDSKVSKTFGAVRIS SSGAASVLFCWAAGWHLNHVANRLIQSGKVDPNFAYFSRPILSLVANLGSEDYVKRLV QAKADVDARDGVIKNGRTALMVAAEAGHLGVVDILLEAGANLNLELYEGQTALTIAAK AGHLSVVDRLLQVKEKLGPKIRSSSSDRTTFLINYESDDSEAENQLLRARNREVPGEE SVQTALKEAVKAGHLTVVDRLLQATANVNSRLISSNQYCVTGLPARPGPTVLIEAAGV GHLTVVNRLLEVGADPNTVGYASERTTPLRKAARAGHLSVIERLLEANANVNESGRCG SRQTVLMEAAKGGHLPVIERLLEAGANPNAIAHVTYNEYLNDYQDATILSVSESESDQ AEPSQAQSDQTESDQSESDRAESDQSESDQSESDQSESDPAEFDQSESRPSVSGLVRS ESSSISGRYPSTRRYPSIRRQHRSALDFALERGHTVVAQRLREAGGVVTEWPADDLDL ETSGTAPFAHDSDYVRGVTEGPPDDPKMEEFHEFGMWLQGFGVARYEAPSSREEV PFICI_00971 MLTLLVLCQFLLVRALQNPIISGQVFDHLVKFNKLLLTIGSWNP DPSILQVKGTYYLATSSFEYFPGTPIYASVDLANWTLISHAQIKPSQVQLYGTPTGAG VWAPTLSYHNGLFYLASMTRWTYDPVARVWPRVMFMSSPDLITWSDPIWAEPWGIDPS LFHDPKSGRTYLNLMAPNNNKDRIWGIYQCEVDLDSGDCSGSYRSLWNGTLVQNATSR LEGPKMFYKDPYYYLLTAEGGTDDQHRSTIARSDSPEGPWKSAPNNPILFNGRWGFDN LTVQSTGHGTFTETPNGEWFCSFIARRKVNGSSPLGRETFLTSVTWQDGWPILNNNEP ITLSQNISGVSAQKPPLQPFEDEFCERTLDLSWYQLRTPYTRNYALASQGQQSSKRHG NDTQCGLMLKPNVFGLSDRDTPSALLRKQKSLNMTFSATLFASNTSLGSRQTVGISAY LSEYSHQDIGVKGCTNSTGLCIYSQLIMNTTTMVKEVPLGQHTIPHDLTLHIRAKPLS YSLGYTIGDSIVTWVDELPSSWLAWAPSGFYVFSGASWAIFASGGGEPWAPTGGIVGF TKVREDYFQEDIPDYDVW PFICI_00972 MSRPVVLIVPGAAALAELYKTFIKAVSEKGYEIEALTLPSVRNA AEANVTPPTMYEDAAAIQSRVAKLADAGKDVILLPHSYGGTPSTQSVEGLSKKEREAK GLPGGIIGLAYMTCLIPELGQPAMSVQASMAPEDKVPMEINEHGWIYFPSIPRLAELS FTDMPKEQGEYWAAKLANHSSASFASPLTYQGFKDVPVSYLVCEHDLTIPPVLQQAGI DMIERITGEKVEVTRIDSDHCAPASDMQKVVDWIVNVVNRFETA PFICI_00973 MFELSEHHHRVCQKNGSVSPLPPFNDPIQTNLLLLIDLTISILV LIEVETALNFRPLPAGSDRPTRPRTALPPLFSPSRSGAFPGTDSATMSNLFSGINARF RGTAAKAPGQKSPVTTSSGQPSSPTALSQGSQSSSNLAAPKIPPLPSSPSLAMDDPSL ASPGGANGDEVLNSYHLPRPMPLWLNSSYAKHIVKGNFMTLSARPKTVDPGEWIAHQV VEHYRNLWNFVRVIHEKEEDGTTICNATTCPRMSAGANHSFTWLNFKREPVELPAYEY ITLMQRWISGKIDDTTIFSTDSNGVSFSHNPQITTTPLSQLSSPGDRDWVGKRSGFPE NFLEVCQTIFRQMFRVYAHLYWAHFVDPFYHLNLEKQLNSCFSHFVLTACALDMLKPQ ELEPMQPLIDLWAANGTFPAGSKAYDYANHKAGDRLMQLGNAS PFICI_00974 MSDSEDPVDIGGDDVDDLFGEGGDDDAQSDNERVVSDADLASDR DANEHRYDGDMDVDEREVEVENRLVMNIQLYRHRAPKSKDGTLRSLRPPNFLRIAAEE YKPDTFEPTEWDQENARSDNPKGVIRYQRDPETGSLKSNALVHRWNDGSITLSVGGEH YEIQKKMLAPPSDKPYQEVQDAHYYAAAAHLSSNLLVTMGHVSESYTVLPNRNVQDDA VAKLASKMQEVARSKFKSADNIFIATRDPELQRKEAEMAERERMKAQRRRDNAAARLD NRAGGYRSGGLSIGDLEGRRGLGGSRKRGQPGASRPKKRRPEYDSDDDLPAGRGRNED YDHEDDFIAPSDDEGSFVEEDDEEDILDDDDEDEEEAPRSKRQKTADAEDDADADGDL DDDAPAEQSRSRRRNIVDDDDDDDEE PFICI_00975 MKELRGFKYNSVPLEQAPAEMIECKTSLEYTAWAMQKLLECNDD TTHQLLEKSTDIQRLFQPKIWRQAGRPHISDMFFLRSEPCYAVALYLFLDQSKLVANG ENKCCQQCDHINNKGPFDKCISAGTDVAKGACLNCYYSASGKNCSFHLEKPLKAGSKY PTEAQRKKATASDLELWMDQIRAELHRRDKAKFAIELEDDKDEDEGDGQGEGGGQGEG GEDDEDGEGEGDEEGNDNEDDPVDKDVGDHEEGGEASRSKRQKTANAEDTE PFICI_00976 MAAASGLIGVLWAVSSLLLEVVLFWIKKFGSWWKSRNILDDWLD ALRNAESYEDWEHAALHLDNIKQLNLWRNDVTSSSYDWTLIHRRLESLNIAREDRDLQ TLIDLVRAGLVRNLGNITSPKLYNRSFAGTKYLIEEYIAQVVVAIEDINAQTASNAAP VVETSGGPQPSISAQRKLNFLHDSRQAFGRTTLVLQGGAIFGLCHLGVVKALFFRGLL PRIITGTATGALIASLVAIHTEDELPRILTGEGIDLSAFEAHAHRGQQTGKQTVEYGW ETLLRRFRRFWKEGYFLDVKVLEDCVKANVGNLTFEEAFNRSKRILNITVATEGRGGL PSLLNYITAPNVLIWTAAVASNASTPSLYGSRGTTVLCKDADGNIVPWAPAHTFRHYT SASATESKDSKSPLARIAQLFNVNHFIVSQARPYLIPFLESDMHGPSLFAARHRRAQI TGNIIRMVGLETRHRLRQLDTLGLLPAGIRRFLVDEEIPGAHMTFVPKVTASDFVRLL ETPTRETLDYWILRGERSVWPAICALRIRCVIEFEIERTYQQVRSLKAGGLRRKASIN AGAEISEDNQLPLPDEPMHPPYDRQRAASIAPSLVPGAG PFICI_00977 MSIDNHYASAGRCVQHISENGANVQPSMPASASVSKQWRAETFR HIVVAQVQPAVPGSSSNAFGAVTTVVHPSGDIVFLTDRAPDTYPDNTKTILQAAKTVM VYKEALVPTDPEESGLLTKVMLAGTTFKELRTLDVIIQPSFNKPGGLRTPSHRQAPS PFICI_00978 MSVSAPRSNQLCLVPDCTSDACERHVMIHTLQCKGSGCPLGTPF FANGETLEKHLIDDHNNGDHDYCHWPGCDPNVERATNNNMVSCLI PFICI_00979 MFHHYAISTPLIIPNATPKHSRQLLAQCEHPESSQETADPARTG EHAHQRPLPRDTAAPTPQNPQIRRERRRDSIINDPTMDYLIDFSTEEGFYECAKKKKK QQAAFNWDEPEKKDEPPADEGNGNGGDQNGGDSGNAGGDASGAGDGNGDDKKDEGGDA NPDDEWGSFATVGKKKKKGKKGAAAEEEVAETKTEAFDEIKLDDTAPALDLNFGGDAP ETKTSSFGTWGTSWNTGSTWDWSNTKDADKGKEEEKKEEVDDNPWSINRPKPKKKGKA TFSFGAFDEEEEKTEEPAPVEEEKKDDDFGFSFGNSKKDKKKKKNSIWDPEPEETKVE DPLAASQDLESTKAAEDDLWGGGWGTTTKKKGKKGKNAVEEIKEPDPPAVPDPPAEAP PPEDDWFTGGSKKDKKKKKATFSWADDVEEAEPPKEEPPKEEEKPVEEDFWGTISTKK KGKKGKNVIEEVKEPDPPVVPDPPAPEPEEKKEEDDWASWGLSKKDKKKKKKGVFDDP EPPAPDPVVEEPAPEPEPEKKDDDDFWATFGTKKDKKKKKGKNADPEPEPVEEFKEPE PEPEPIVETAPEPEPEKKEEDDIWGFTSKKDKKKKKGKNAIVEEPPKAPTPPPEPEPE PEPEKKEEDDLWGFSTSKKDKKKKKKNAVEEEPPREPTPPPPPEPEPEPEPEPEKPAE DDIWSFGISKKDKKKKKKGILDDFEPVKEPEPEPEPVKEEEKPAEVDIWATLGSSKKD KKKKKKGVMEEEPVKEPEPEPEPEPEPEPVVEEKKEEDDLWGFSTSKKDKKKKKKGVE EPEPEPEPVMEAPPEPVEEKKEDDDFWATFGTKKDKKKKKGKDAEPEPPPPPPAPEPE PEVDIWGTSKKDKKKKKKASIWDDEPAKEPEPEPVPEPEPEPILEFEPEPIVKEEVPA TDDTAWSFWGATKKTTKKKGKTADPLVELEKELEPVPEPPPTAPEAPDAGTDDFWATF GTSKKDKKKKKNSDASVEEPPADDAFIPAPTPPLDDPAPEPEPETNIWDEAPSSKKSK SKDKDEKKSKEKEPKLSKKELEKLEKEKKKAEKERAKQEAKEAEEKAQREAEEQAARE AEEEAQRIADELAAKEAEEQAERDRLEAEAAEIAQEEEELAALTAKMAKRKLPKKDQE KFNRLTEKANARNEAKATQEAEEAEALAAQEAKEAEEQAAREAEEQAIREAEEQAIRE AEEQAERMRLEAEEMASSKKKSKKSSKEDKKSSKSKSAKESPPPKDKDDDLLDLDGIE LDPAQIDELLSPTPPKDKPDTFYLWGSSKKKGSEIKDAESSKILEDATLDALPSPKAD VSSKKSKKSSKIADKLKAFEVVPDDGDLIDALPPPPPPPPEPLHDDYFSHKRNGIPGS FPLDDEDEIIEVVDMSSPKKSKKSKKSRDVPLEVPPPPPAVPEVPIPPPEPIRSAKKE RAKINRDGGSSWGMWSATPKKEEPKSSKVRSEDKRSRSERKEREEKTSSKGSTSDKTE RPRGHERASSNLVQSRVPSVFQSTPPVSRSKSTRERRPSKSNSRRPSADMSGGLMSPP AEEVPSKAAKILGFDKISRKSKSRHAAEDDDIVMVDPADAAPAPERRSSHKKSRSRAA DDDIVMVEAEGPPDATPLKRSNSSAKKGISGLFGGLMSSTPRSELRPEPRRRGTYQTT DDEGGRPDKRARRTARESDVEGGVDPEAEARRAARRARRAEEKQAEEARIAKEEARRE RRKRQEEADEARRETEREARRAERRAARKADDERLAAEADAARDSERAERRRLRKEAA AAAAAEDADAEPRRSGRDDRYRSRQGGDTEEEEARRRRREARRAARAVEEERESRRRG TPPDEYVYTPKPKDSKTRPAWPHSGTSSWVKEHSDAGPPPENDPGTDAPTPTDDDDAR RATRRARRRSKYEDGSAEMDDDQRRRRARREERDRRRGGSDGSGEKPRDNVFMDTTPR SSWWKKLRGGA PFICI_00980 MDQQAMPARGRSPSAGHQHQQQQQQHLIRNSHSPSPHPYTSPEP NIGLGLGLDNSTASIQQFNPNDYTNFNNNSSANNQFLNTTQPQIFPNQGLADPAAFDA TQDFTQSFATQNLLAPTDFNATGDFSLFPQTTQPDQFAAPLFGDSPGISTPDLTNMMS PQAHQSPTPPHMLQHDGRPAGSAHQSPSFNQHQFASSPHQRNLSASLAPGPAFLGTQQ DWSQAQFQGHRRSPSEYSDVSSAHPSPSLIAHDSFEHAHGHSPMQRPSDSFDNGVSFG LNNFSISDAQILQHSRSPSHSPAISPRILPQQVPDMGQANPTFTLGGQPQGYVSAPAI VSEAFPTLASDNGPVMAPPSINIDFAPNSRTNSFEPAKSAVDQDSLTPPDRGRPRSRP RAVTDPYNSGGANISRPTTPGSLSPHLGVERARNRSGSDSSRSVSPASLSPGDRSGSA SAASRRRQSMSAVPNNVIALRLADPDYQAAQDSGNTKRVQKHPATFQCTLCPKRFTRA YNLRSHLRTHTDERPFVCTVCGKAFARQHDRKRHEGLHSGEKKFVCKGDLKAGGQWGC GRRFARADALGRHFRSEAGRICIKPLLDEEMIERQRAWQEQRMQQNMHNMVAQQAVAM DPNMYQPMDPNGGFALPASLLAQYPALAQVNWQAAEMGNVEDDISGRSSFDASDYDEG DDTGYVSGPGTGFGEGGMQQNFGEIGYTSDYGR PFICI_00981 MLSTQAQIRLCSTKQVTRLSQAVVPLVHSGLGYNAGLKTGFVSI YQHGSTRSFSTTPERRLKHFFPAQETENIRQTPPAWEHHGFTEQEMLDIVPAHRPVET WGDWAAWKVMRVCRWGMDFCTGMKSEQQVDKRDPTTAISTIKPLTEEQWLVRFLFLES IAGVPGMVAGMLRHLHSMRRLKRDNGWIETLLEESYNERMHLMTFMTMAEPGWFMKLM ILGAQGVYFNSMFISYLISPKITHRFVGYLEEEAVHTYTMAIKQIDEGHLPRWTDPKF EIPEIAAKYWHMPVGKRTMKDLLLYIRADEACHRGVNHTLSNLNQKEDPNPFVSVYKS EREPPKPASKPQGYERAEVI PFICI_00982 MPAGKQWDEEESDSSSTPPDSPAANATVRRGKFDDEEGEDSDVL DSWDAAEDSEVEREKAKKASEAKAKADAEAAANKKSKKQRIEERIAERAAQLADESSG DEEETEAERRERLKRAEVESDLKHAADLFGDVGLSNSTQTRKTVTAGSAIPIDPKDPT KTIDMASLALFKPQTKTQFENLRNVLVPIISANHKKAHYSLFMQEFSKQLSKDLPSDQ IKKIASALTALGNEKMKEEKAAEKGGKKTKAAKTKTSLVTTRANAEDMATYDDDFGDD DFM PFICI_00983 MKSAIFALGFAALARAQSLDFDVIDSAPVPETTTVPIGAGSTTV AYNSATAAASAAAEITASPIVDDVKMRRDMKNRLAFDKRDGNCAVQPVGYGPVPDPDT PDAFLADTDFSTAAQSATTPSGFVQSFSNLQGSNSAYAYMGYTALKSYDAATCASKCK AISGCNAFNIYFERDPSLDPGSACPNPASTTVIKCVFWGGPVYSTNAKNTGQWRSSFE VVIAGSNGYNSVGPFASPTGYTGTYIGQKAINAPLCPSGSDSYLGVKAFTSGPFDPSL CAAACSAQSDYNRAHPASDGTYKTCQFYNTYMLIKNGGTGQQLCAMYANSWDASYATN NGQYRGSDHYTIEFSVTGSNSSTPATCSS PFICI_00984 MSTARTSLFGRQAWRSIYESLDFFGTKISSRPTTTCRSGINHHS TIPGRSTNYSSWSFPRTPKVQGYNVRRSFTSGGLLLVGVNPTSSTTSAVVSTCTSAAD SSMISGTTQKSDLLRLARQAWTRDIHTGRGRRRHGAVSRRRKSTKSSSNSTKATAAAS QAKQESESASVASKPEDKPQAESEHHNYIANYFHLPNVHLPHRPTKEEFLAAANGFWA RMSVRFKWFSIRSMRPWNTDEWGAFLSFFLFGHLVWILVGTTTFVSLLLLFINTVSAQ EWLAKKVGDYLTESAGLTVIFESAIVPKWKDGVISFRNVYVSRRPGQTKSTVSKGSPS TAAAAAAAENEKKEITEEDDGNYTQFDVSLSTVNVTLSFAKWWNGTGPLKDVEIKGVR GVIDRTSVHWSDANVDPLSYRHEHNPGDFEIESFKLEDLLVTIHQPGGFRPFSVSIYS MELPQLRKQFMFYDLLSANHMSGSYDGSLFTIHPRQVHGVAAGQERAANDFGEPNAWK KFSRCRIDGLKIDHLNKGDQGPFGWIYEGNVDIVADIAFPTDDEDSIFEVVSDFYDRL EEAVTTNRYLQILDRTKRRVKEDGEDALRSGTFEDAAQVPIVSEADPAAKPATPDPES GSPSSVPSSTDDEPPRYLVMDLRIHMNDVKATVPVFDSSLGYINQALVRPIVAYINAK KTYIPIGCRIVKRASDFDGAWSLYDCGLMNDMSAEVYDAFARDVEDQQSRSRRLKKVG FWTLSLAAHALFMGMAGNVV PFICI_00985 MPAPTALKAPIETAQDAPAADIPLPVTGTEDEELLLDADAMNTL DTTAIQAPTAANANTDMEIDEESRPQFAPQRDAPAAHRIESRKVPIPPHRMSPLKASW PKIYPPLVEHLKLQCRMNVKSKAVELRTSRHTAEAGALQKGEDFVRAFCLGFDVEDAI ALLRLDDLYVETFEIKDVKTLSGDHLARAIGRIAGAQGKTKHSIENASRTRIVLADSK IHILGGFKNIQIARESIVSLILGKQPGKVYNGLRIVASRMKERF PFICI_00986 MEKPKRVLPFKKRAAPRNSDTPAENKTTDDDSTSMFRKRSNKYF EDAERKAAEKAALQEKARREKNNADADNEAKEQLSRKSSKSGTNSTPRRGSKRHRMSL SDDEDDSEINSPTPSSRKSSRFSSTQSPSRSRDSIKKSKRPHSKSLGSSKPPSTQVIS LDSDDDDPFTTTTTRAKDKGKEKMSPLPTNGSDVPPSAQALHHSDVEDDTPVDGSLHD PDPDDIGAAYIRSAQERAMKRRAVLEAEEARVVPAVEVMIESKLPGIPPLKVKIQINK RISMMKEAWRNYVKKRLDELPSITPAIIDSVFLTWKEMKIQEVLTLERLGITPDSQGN ITPQTPKLENVYASRDKVHLEAWTPELYEKHNLEKERERKRNLGELDDEPVAEEEPEP ETPTPKSIRIILKSRNYENMGLNVPLDITVGKVVQAFRKKHGLDHEKVIKLHFDGEIL TEEETMDGIGVEDMDSLEVHITDP PFICI_00987 MENGTVTQGDGRDPSGFLSELHGNPVTVKLNSGVVYKGELQSVD GYMNIALEKTEEYVNGVKRRTYGDAFVRGNNVMYISAD PFICI_00988 MASATQLPVAAAEGFKDANAYDAYRPSYPADAVEKFFDGMKLKG TSDARVVEIASGTGKFTELLANQPERFLVKAIEPHETMRQKLAEKDLPAVEVINGSAS KMPVENEWGDACIAAQAFHWFATPEALSEIHRVLKPGAVFGMIWNIDNYNNPKGWEAS TKWEQKLNDFVWSLDDGHSRFRHMKWKEVFEKQAPGNPFQVVKDTFLDHLPKFSLPLG EDSVKWTVWLSEDALWARISTLSQIAILKGEERENAIKVFKEALASDDVERNEKGEIA AHGVTYFAWTDRI PFICI_00989 MIVSYRRFRRFYLRPAIYIFTFLFLLDAIRLVSRRPVTHRSPLK QRRAHVPGVNETSVYIVSVHRNTEVIQRSAWNEAVLALVDYLGAENVHFSAVESGSQE GTKDALMELKDGLDQRGASNDISLGMTVWEQLDEIDARPPPGSNEPGWIWNKAEDQFE LRRIPYLSKVRNQAMEPLDRLVAEGRRFDKVLWLNDVVFDAQDIQTLFDTRDGDYAAA CSMDYKASPTYYDTFALRDDLGLKTASLYWPWFQSPKAKASALQNEPIKVVSCWNGIV VFDAAPFYADPPLRFRGIDDSLAEYHLEGSECCLIHADNFLSSFKGVWLNPNVRVGYS VKAYNKIIADVFPTPFWTIVGGWANRIMSWRIGFQTSLESRVVHKRIEEWAAETPAGE LPRYEPGEACLINEMQIMWSNGWKHL PFICI_00990 MSHALESFKETLNRIILDPANRDLLAIVKGARNGAVYGAKVRFP HALVMVFLFRSGSFRQKVDLVFRATRTHARNLAKFATIYKTVCYLLKWYGSTPGKEGK FDTFLAGLVGGYFVFGGRSPRSGKISSVNQQIVIYVFARVVLALARLAVQPGNGLPLV SEPGTSAQVSYYAWPVFASLSWAMVMHLFRYYPEDLQPSLKSSMNYIYKESDNWDCLR NFIWHNK PFICI_00991 MRLRLVCALLAASAAAAIAQTTKTSNNDTLILSQLANIFWQLGD LKVPAPNSHKNVYIGYQNQTYCCLEAVRAALTIVDGNLAMNNSSPFINATLDDFVQRA AHSNQFPCDATYNGNPKGAPLVEVPYSWWTEKCPGWQLNDRSNLESWLQPLSGFLIPA VPLIFSIPRRRKLEIYRQFFTADLSGVKGYLAAPLGALGAALIVILDTLIWLSTCFAF AAPMILSGLYEALLDKRMLDFLKEKMTNKHLTLDMRARCLMVVLIGNLDIALDKEEEE YPGALRPKISNRVIEGQPPIPLENLPDPEIVGEDKIAPRTPEPPPEIGIEEPSQPDED DWPAINERGNELPRRKSTARTLSISPMAVQTFGPLSPTSTIQTKDRPVDPHEPPGFRR IQYPAQTGVHRRPTVQEAASPWRHMENLLYEIRLYDDEDRSRGEFPRQWPKHACTDRM CDMRDHVERPRTRDHIFESFVAKTRTRLRTMLHCQYSFGTIVGAPVIFFLGGFIFALL SSLEELGDEDIAEALAFGQWYMIIPHISIISGLLLAGNNPNILEGVFATERDEEVDTI HFFGLRFGLAFPSCYKTAWQWRRGHVKKGWINKIIDTYGTRRDVDYNGNIEPDDDMED LRTRTNLVFFDWVFILAIMSLLIYVPFILAFLTSYFTPPIGLACRSLTSSVYACSQAG QIILWFWSNTGSPLEMGNGQRFKLLDFARRGGWLDRTGFFKASSVSWLTRANPDWNSR KPWLLLRSKRTWTVRMLWCVLYHVLFVVFGIGAVFSVLGGTIMQLMGVYSANICEIKA IYWFSSYADRPQVIVSKNTEAAIRAAEQYWEPCAITAIVFLAVVSFIGWWYQRRMRDV FADLVRNIDAPKYDRDDTRLARPLSDRRPRDFEGITVG PFICI_00992 MAASINPDQIAATEKQVLEFEQQSLDILGPVPSDLRESIIEIPL QDGFVSHSILIRPAISPGQDIKKCPLVVFIHGGSFTYCSPKQFLSPGRATASHFNAVV VSIEYKLASKYPFPAGPRSAWEVVSWLSNPSNINDTVLRAEGLEVDPQLGFVLGGASA GANLSAVIAGIDSTVKAGQHHDLIEGLPKIASDITGLFIAIPLLLDAEIVPAEYVDLF KSHEEHADAPFINARSLAETRLIYQPDIHSPWFSPFNLDWSRLSGLHPPKVYVQFGEL DMLRDDGVIYERMLSARGIAETKFDMMIGYDHACWCNLIFDPAHTQEIKEKTMDALSW LLGREWDRTKPLSH PFICI_00993 MADQTRKTRLGARGARKVKTGCQTCKHVTWRDFAYFFDDLSWEG QLLPAIGAEPIIYHTGLAISALGWRQANPNNLWSGSSGRARSAEEYCTLQYNKAVHLF KSRMEDPLEDAHALQVLILGSLMFIHLEFMRGITDFVLVHLRGANALLKSLKQRSRDT AFLEAAVAYVEAQWKGMTTPTCARPPRTLADPPARMITVLDDGDL PFICI_00994 MSFLEKKILAMTEAREYPKTICPSEVARALTEDELHSLDCSDWR AAMDPIRREAWSLKERSLLDITQKGEVVAAHDLDEIHGPVRLRRIPEGSGSQQDP PFICI_00995 MASKTTDNTLDGNLITNVLNYFDASGDLCGDVTCKVECSICTTE LAILQPADEEHKTWTVLEACGHMFCHECIVSWIRASDDPKCPQCRADLRHSRCRHKYK PHEIVLTNGFNIHDDVPKVVSELPGQCQDCKERPASTRSSDSLGREDYGRLFDSSSEE DRYELSDSDDDEYDPTAFRRHAYANIYDYRPAFFSRSIPDGSPRDSARRGERRRHRDG SSRSRSHHSERPMGPRPILSNALFPPVPPPPHPGYIRRLPGPIRYATHLRLDGVEYSH TYDRQD PFICI_00996 MPITREPSQRTKAWVRWNYGQHSSSKTSLASTATEIIHLGGSRV SGPESPTTSSGREQERPCTTWFSPIGPPPQPPIGIARSSTTPHERLSHERFSHERLSP SPSSNSRHSRIEPRDLISPPPSASSRTEPRDVVSPTPSTRSWESISPQMSPEPLKVPS DKIPSPLASATSTSSFSKIISPPPYSSASPPRSDSVPRSVKQLRRTQSATDLQRRGRT QSKELPPKPLGGTPNEFVRPERTTSLATHVGQLIKEDAHEKSIHDKNVEMALNERETQ WFPLPPLISPPPSKPLPTVSAISPTSPDDGNPNKSPVVPAEIQQQPDPKAKALPEKPV SRLSPQERLWLHRNYRGEATFLKAWGLSIEKREDREEGVAMMRELMAAEDEKKRAKKA EKARDLGHPDGGLQIIVEEERSTHSEPEKPSPRMLDPQSAAKPQGLRVPTRNYTHPAS PTDRHTRSESESSVLGSYLDIRMSRMD PFICI_00997 MSTKPESSTAQSADAAASASSSSTPTPKFSCAICNSKDAKTCAG CKSTAYCGKACQKADWPCHKLLCSTLANEEFEKDRPDDSIRAVVFPTDEDKPVLRYFA VKGWVEGLYDAAGEVLDEYDNQEFVKMVADALSDEEDSYIQPRPYAYIKVNKVRGYRD TESVLEVWTQLWYPEALPNQSILKAAGGKTKYHPWHRSVMIVAMTNPKTDERGEMVYK DMSLRDFRDAVDWLADFNNPSRKDDAPANAEERYYQLNRFAYADHFARKARENGKGED KESLYRDADRRRWEEQAEEMRGSSWEAYYKDYNSL PFICI_00998 MNDMIYDGFESLQSIDLWPNQTTGGRLLLHMEMAQALMQPQSSY HGWLQHLRFVVEVSHEDTDHAYELIESTSLDDLSEPYIAISYRWPENKSGVLPAKHHI LAGTPRRPGEKFWRPVNAPIDVLRRAFAFARAHGIRKIWIDQECIDQEDEDEVAQAIQ SMHVVYRQAAIVLAVLGHHVTNRADINALEKLAEMGDGAQVTLRERVMGDSWWTRAWA AQEMGVATYDQLRFMVGWHESLDTGGTWWRELTERYNQSRDAQDQQNVSREWVLRGNQ PLYLNMLAGGSPKVLYGLVSGFSHPPVMPATVFALLTGYRQFQQECSPGANETFSTDG MPKMPIFEAVRLLAYKECLKVSDKLAMLGNLADFEQRIDRTKAVKLQLGFTACALALA LYNGNVNPLFSSLHELVQELANTANRGLVSWLPSNQLSLEDLSTQFEFSHALNSMGGQ SCKALVLDGKLATKGFMWEISEYRGFQGIAKQMQMHQDPTLRLQLLLRTLCRSGRHDL LAIMITLRMFRPIKSPGELVHHMLAIQEWSLEMRPWPAQVFSGYVNFTDHEPWKIITA ICTEIAAGRPLLLGSYGKGDDELTCLCLGPLNTKQVFSPLSSLSCEFTLNQLEHLART TNDNRHWYVESTSGRVSQRLQEKLLEHLDDNDPPSSKVFRIRGTTTVIINPFFWVPIA HNNTRFQYRFKTSGKYLQCILNMS PFICI_00999 MQSLRNVLMFGLAVAHIARACETDDDCSLNGLCVAADTSDEPNT CSCDPGWFGDDCGRLDLAPATRGTGYNHTTVQNADDFGSYGNSSWCGSIVQDPNDSGL FHMFTSQFAHGCGLSGWRPSSFIARAESRTGPQGPYLYAEAVTEPFRHNPYVFFSPAD QKYLLYTIGVDAPEATKCQSISNTRWPNNISVSSADDPHGPWTPFELILSSMDPQSTN PAPWPLWTAENPTSQIALGIEDDAIFIGDHWNGSYELLYQQQWNTTEYSPTWTEDPFL WHDKRGNWHFLAHWMIDIVEEDGQKYPRVGAHMFARNLTGPWTFKQQEAFNSTVNFTD GSTQLFKRRERPKLFFSNDGELTPLYLVTGVQEMNQTGASYTLVQPVGSKWQDFEDAL GF PFICI_01000 MPTKERVYNWYVCLVAAMCMVLYGYDASVFNAAQGSTNWLAWMD IDPDKDTYLLGLINTAYTIGAIVAGWFMGGPIADYFGRRVGMGTGCFVTIIATFLQTF SPYHKLGCFIAGRVIIGLGQGIALTAGPVYINEMAPAEIRGTIMTFWQLNYSVGSFIA YWIAFATGKYKARLGEWDWKMVVIFQMLVPIIVLVLLPFQPDSPRWYIKRHNNIEAAR NVLRKIRNTEQEVEDEILAIREAIEFEKEAISSNYSALFKDPSVRKRLFLAFIMNMGQ QLTGQGTLNSYSTAIYKKIWTDHNTINLINALNATCGILFTLNAAWTADRYGRRWLLM VGATGMAVCMLVVPVVGLATPTVDGVKSQSVGIGIVFLLFLFTFFYKPSWGATTWIWT AEVFSVNVRAQAVGMCSQMQNVANTIFSQFFPTFLTNTGLKCLFFFMAMNIILAFFVF FFIPETKGIALEEIDVLFGGQNHVEKGGNILGVPDAHHAAVGTETIEEKPTVQSREIR V PFICI_01001 MTKIRHLYVFIILAIIAAWLPGVNARNWVPHPKSSTPEFVKCME FRNTPCLEPRDREWDQYAATYNIRVPVTPKFIALPRSIDEVALAMECVHICGLTAQAK SGGHSYGSFSNGGFDGEVVVDLREMQTVHVDSRTNVARVDGGLRLGNLAVALYQQGER ALAHGSCAGVGIGGHFTHGGYGFASRAWGLGLDQIIGLDVVLANGSIRYASQDNNTEL FYGMRGAADSVGIAARFHLNAQKAPAAVVNFRLDASDATHSTDATVAAFLTVQDFVHN KKHNAVDSKLGFSISLQYNQFSLSGIYLGTLEEFNTKVRPAMVEGLPNATVDAKQLDW LSSLVDQNDGQPLEIAEPYTARANFYAKSVIVPEPGLDADQIRPYIDFILNTDSPTPF FAYFDLWGGAGSFINKQYKHWTAFPHRDSLWVAQHYAWVDNNATFPKEGINYIHNLND FLGRAVDTAANFKRMYKSYFGYLDPLMRPDKARDRYYDRYTDKQLRILKSKVDYGNRF RNPYTFEHN PFICI_01002 MVRSFLVPFFSLAAGLSVSAHVPEPRNTAKANGTGVVNSTAANC TGVAAVSPRCSSSEVLYTRDVYYVGGRLINTGSANITADQLYVEKLTPSGGVQHAHPL VFFHGGAVSGVTWLNTPDGRRGFASYFLEQGYQVYLLDHTGVGRSSQEDTSEYVLWNS TGIDGVQSGFTAPELAATYPQAVNHTQWPGTGQRGDPYFEQFAKGVIPFTSNWTVGEL SMRASGCDLLELIGPSYLVSHSSGAQYPILLSNDCPDLVAGNINLEHSTQPFWNYGTT LNSGSSIRPWGLANTPLTYDPPVSDASELDKVWDGNDTLALRRCYLQTEPARQLPQLA KVPYLCTTGEASVHATYDHCVINYLEQAGVKTDWIKLADVGIHGNGHFGHLELNNLDI AEVVREWIVKQDALSS PFICI_01003 MSNSTSAFIGQPPNTERDWYIVRGFLRGYGMYTVDPGLGYTLAA QKPYDGYTYAPRTPGIIVGLSIVIVAIVTATGTRLALRAAMSQMRFGADDWATIAAAA MGITYTACQLCMATHGGGKHIWDVTYEEYNVFYYYGVIDKFIFYVTVGLVKISLTLFI RRLADRASRHWKWFCDFFLVTLILYIAAAIFWEVFTCTPARAQWDKLFAGMIIHPATC QPSSIQGVQNLFFNITHVVQGVILLLSPMVILWKVQMDKHKKARLFGMWTVGLIAVIC GLMRQLRADFSSDLMWDYTELLIWTALDVCVGIITISLPVMDAWLAGAWRGAVSKVGR SGGSSSNPKTIGTSRSSKYINNASARRSMMPGAKGYSHTDSVEDMIHTIPNQPSPTGS NDETELHAITRTDEYSVHCYSSAANDSDWDDGRPGKAYTKHSSRNFSMK PFICI_01004 MSNIAADLLEQLLRVAGSYNDFDVYLAVESFEITTALADHGRIV ADLFRCIIVEARNHPNIDLTDDEIVALLRVSHKIRFNYTLVDAVNPEIAPEVILPTPT ARWYKRARARVRNIMTPVTAERPAGPQTPATTGSGTMRTWRERPPTKTSLRMMMTTAK AAYRRRRHGRKDRDH PFICI_01005 MSRPEDTLAADVHYDDVEARKYTTSSRIQNIQASMTHRALELLD LPGPSFILDVGCGSGLSGEILSSLSPDEGGPHVWVGMDVSASMLDVALQRDVEGDLLL ADIGQGVPFRAGSFDAAISISAIQWLCNAESSDTSPQGRLSRFFGGLYASLKRGGRAV CQFYPKNDEQKKMITGAAVKAGFGAGLLEDDPDTKNVKVYLVLTVGQAVINGQSGDIT GVVKDMDNVDVMDIRRKAAGSQRDVKKGSKAWIVKKKEQMERKGKVVKATSKYTGRKR RIAF PFICI_01006 MWILPLVGYLGSIVGFCFLTLAIASGLYYLSELVEEHTVIAKRL LTQLIFGIIGLQTILWLIDGLPFTLSLMSIVSHVVYLGNMRRFPFVRLTDPLFVVSCV LVLVNHYFWFRYFSAVQQRSYSRLSNIYDQPDIPSFAEIASYFGICVWFIPFALFVSL SASDNVLPTMGSEQPSSSTDGKNKRQSIVKVGVDYVLSGIGEVSRMAGWKKPEDRF PFICI_01007 MAVAFDTIRRQTWALTKKNYTVAVVRSFGWTLFRAYILPLIIVI LLLEIPNFTKKTSHNGVGSPATVKSLADSIDSSRKLAIVQLANQTSDVQQVIKTITEP LGEDKIIRLSSADEVWDACNVDFHGNSNCHAVLTFRDSPGNGAVNDTWTYSIQVDPNR RSGGVVDVVNHDTLYENFWVPLQVAIDNAIANTTSMPEVYSYAMVDVDEAAKRTRQSY LETARVILGFAFFLSMLTVVHHASTMMSSEREFGLAQLVDAMGGGVAWPRIISYSLFF DLLYLPLWIIIGALIGHLLVPHTNMAIPIFWQIFAGWATTHSAVFATAFFRNSNAAVT IVFLVAFLLAVAATFVDNLGRTPPEVPEIAILSLLFPSMNYIFFLNFLLRFEILELPT TMGSPLPKGDVDTMFSSEAVRRWNYNVGPYLLWILLIIQIIVFPILAGLVEHWMNGNN RRRRDFNRHPDADGSHVAIEAAGLEKHYGPSIWRKMFCCGRGKPVVKAVDGLNLTSQK RQILCLLGPNGSGKTTTLDMIAGFQTPTGGSVNINALPSQIGVCPQKNILWDELTVFE HLVFWNTVKSGTDDAAALEKLVQMCDLVKKRNTLAKNLSGGMKRKLQLACMLVGGSSV CLMDEVTSGLDPISRRVIWNAVLGERSRRTMVFTTHFLDESEVLSDHIVIVSLGKVKC QGTPAELKNQYGAGYRVHLPKTADVSSITYPVVDHGDRYICRTPDSSSAARLLATLED TKDSQNFITGPTIEDVFLKVSDEPHVVKDGNSDGGIVHEKPNEANPSSIPDQMPKPHT VFFRQFNALFTKRLIILRTQWWVYILTLAIPIIATYFLKDLLQTYKDGGYVGFQPPKC EDLVGRTNEPSVSSLYSASGVVVGPDQAANQSLLATGQRSFPGNVYFYYVSQYYNGLP VVVNNYDNFTKYIHDHAVNVTKGGIYIDNTTSPVLAISASAYDSVSFTNVFNMMRLNI TTKMLVGDLRSVSSYEMSNSLLYNIIFCLLMAIYPAFFALYPTYERRSKVRALQYSNG IHALPLWSSYMVFDMMFVLIISVVCTALILTLDTEFWALGHLWLVQFLYGIAALLQSY IISTFSSSQPAALSWAMIIMMIEFVASMITLVVVGDSLAGDTVTLDGTTYALGLIFPI QNLLRAIALSLNLYIVRCRGTTAINDPGSIYAFGGPILLLIIQIIAFLGLLVWLDGTI LHFSRTKTPQTDNERAAAGASGRPDVDTETARVEASKTDLLRMLHVSKRFGGTHAVED VSLGLREGEILALLGPNGAGKTTSINMIRGDMSPSSGSILLEGVDVQKNKRQAQAHLG VCPQFDALDLLTVREHLVFYARCKGVPNVAQDVGYVLSRVGLSAHAGKRAARLSGGQK RKLSLAIALLGNPPVLLLDEPSFAMDAASKRVLWKTLEAVAPGRSVLLTTHSMEEADA LATRAAIIARRLLSIGTTQELRKRHSNDYHVHLILKSAPLSTPEEMQRVADWVVQRFS GVRSQVTFEGENLGGQVRFRVPADAVVHDSGHDATVRDRADEGDDLVSPIENEGQGKS FVRHLIDTLEKNKEELGLDCYSIGAATMESVFLSVVKDSDAAEEEDEKKTIWQKMGFN RQ PFICI_01008 MAPLDVKHFINGEFVDSSDGKTFDLFSPYSGDLIAKVAEATTED VDKAVAAAKAAFPEWSSRSPQQRGQHLAKLAQLITAADAELAQADALSLGRPVSTYFD GYYAAMHYRYFSEAAYPVGTSSLNTPGFLNVSLRQPYGVGAAIIPWNSPLVFYSKKMA PALAAGNTFVLKTSEKAPLSPHRLNQMLNEAGFPPGVVNVVHGHGAVSGHAISSHMDI RALSFTGSVRTGRLIQKAAADSNFKHIIFELGGKSPAIVFADADLERAAKETQHSIMW HSGQTCMANSRIYVQKSVAEKFVATFNSLAAARKLGDPTLKETESGPQADKSQFEAVH QYIEEGKKTGQLVEPGTQIPESGAGLFVAPVVFLQQPEDSKIMKEEVFGPVVCINTFE TEEEALKIANDTEYGLYAAVYTNDIDRAMRCAKGLESGMVGVNCTSPTAAWDMPFGGY KQSGIGRESFLLSMDDWLEQKAVFIRMGGTEKIGGGNILGR PFICI_01009 MDSEYDFIVVGGGASGCVVAARLAQSAVKPSVLLLEAGGLNEDA AHLTGAQRYEVAFREGSPLNWGYKTEPQWAGQQIDYSRGKGLGGSTAINFCGWVIGPD DDYDEWARIVADDAFGWRHVRRVLKRVENFHNDVPEDFRDYINPKDEEHGIGGAVDVS YQEEWLPGTRDVFKAAEQVGFGVNPDVNNGNPMGMGMGMVCIHKGVRVTSSSAYLSSP PSNLTIIADAHVAKIILNGKTAQGVQIIDGRRFTAKKEVIISGGAINSPQILLCSGIG PSSELEKLGIDVLHDLPAVGKNLRDHCFSLAGLVIKKTHDAPFKQIPSPMGWFQVPAV LASAEFQDLPLETQNYLKKSHVPSWELAAQTPFLDGTQVKDDEEVFTSCCLLMNPQSR GTVTLKSADPKEAPIIDPKFLTHPFDRRAAIESFRDMLKYLQAPVWKEKTVRNLAWPH DDSDEAIWETFSSNLRSTWHMAGTIAMGRNADDACVDNSFKVFGIDGLRVVDMSVCPM VPNNHTQTTAYVIGELAAEKIAFEHCL PFICI_01010 MESVASLNAAIELLEQAELSLQARKSTTIPAKHGTTKADVGGDS LKDLQDATKKLKQIAAWQEDKLNAVPLSDVKNLISLAESLRYVANNDSLLSSKISTRH VTAVELRSQLKSAVRSLEVLQEGASGNTVIDTAVASKSGKSRPMNPASSVAYPTTSNN ESSTADKENDSGAPSDASELLLQKRGRLMRTCNECRSKKIKCDGKQPCNHCQIQGYQC TYGRASDRRRDDAPPYFGTLDNQVQRTEALLRNSMPDLNLEDPTLGSAVVESPGKLIP GDISDADAHKYILNHSKGLEETTSADISHRTFGTDAGNRRKFAGYLSKVSDYIGTPSR KRYDVSELQPHRTVGTDAGNRRRFAGYLSKMSDYIGTPSRKRYDVSEFQQGPAMNYPE IPGETNRSKPLSHIRERWPAAASIREVPSRSGSPDSYISGKNESGLHGNGTPSRKRYE VSEFQQGPALNYPEIPGETNRSEYLSHLRERWSAAASIREVSSRNGSPDSHIGDNESF KSPRNLDLQDIEILENRLQRAELPLNDIMPDGALEDPISDPLAALASTELILGSDNTH HIRFIDSQQGYSHSERGNLDDISESASTDTSCYSRGSMYDMASTAPTELVVDASNESE ILALFVSLVHRSDAVVKALDHIVTSKQTDRTAADIAARVRASLKSFVDLLKLETPQEH ETVPSLFLGRSRQISLLIIEAAISRRDAENELSDGDDSGVSDDEIVDQDDDVHLDEED DEAGGHSDAYVDTASFERVILESNAFTEFLASLYDIAFPDFATRLKRVVRRFEAHSSG MQNSQVRELFSELAYAQPTLVIGTTHGPGFSDKVKNLFESVTGAWNWWPLSDPLKPLG PREMRIRWTCRCGRTRNENVPEKLAKALISLTKSTPLATSIPLRPSSATNLGRQPSSI SPSGSTVQEASSSTNPAPSQDVSIVRLPSPGSLMAAYPNQQTRTIFFMTEKGAMHPKS MGLGQSRISTQGKCNDRFFQDVRSGYWTYRGWMRTMFSIYQFGFCEFYRFTEYAVNKY ARGPQEEIPDLERHKNEYYYRKTVWTPQVSHEEFRDRFWNCLSDCDCNDNGTVDLIPQ KIDETGLANDKVFYGIIAREEKQIYMAAIYLAIIGASLIPSLWFFFNWLSPGSIADEA LLSNRKGNLSNAIVPLNGTSFHHFFNTHSQPPITLPSAMGVSLSTARYLAPVSFAVDF AAQQYGMLSSPNMKDVHDANLSFFSPQPFFIAGFFFPQQLFQLAWLWKLWKATPEDVR NDRAVQKMVDFAPYYAVGNFCIATWMIFWNQSDLKTSNIFVIINSLSQLYYVFGRLGP MNTSSWNSILTHIVSKTFAGIGVLDLLHNGSVAYAVGQPPSTLVKALTGAGFGLLASA SDWIFGGCLVYDLVALAVGQKIYGNAGWSNLLGAYAAGTAAIVGVKNWLRPPYVNEIE YQPL PFICI_01011 MATPAPELNIPPSTATVNVSIINTTGTIRGVNTWKFVEPSIKGH DWLSTPCYAFLIQHPTLNRSLVFDLGIKKDLENLPPHLLERFKQSGYTVDVPKHVREI LDEGGVDTKSIEAVIWSHWHFDHTGNPSTFDPNTKLIVGPGCKEKIFPGYPDNPTAAF LQKDVEGREIVSLDFAQAPLAIGRFDAIDYFGDGSFYLLDSPGHAVGHVCGLARVTTS AHPNDNDSFILMGGDAVHHGGELRPHPYLPLPGAIAPHPFTAAAHAACPGELFETVLR DGRDQPFYLPAAPPSVHYDVPEMIESIKKLQECDAHDNILVVPAHDAALLSIADFFPH YANDFAAKGWVKKARWAFLKDFAEAVGYKGEIANPYDFSPVVKN PFICI_01012 MAQQMGVVGSSPETIDVSGTSPSIATSSEQSVSQQQRKAGKRDR VALACQRCKTRKQKCDGQRPACASCARLSLKCVYVVPLVPAAGEKKLYIKALEQRVAE LESVLASLGHMGVGTDHLRGMIGASPSSSLPQQGIRSESQSLQQEPDDDANDILSAVR DLSLSASGHYVGASSNITIGRVLSSVVHSARNPVASTIDDSNTQEDDDPAPKSVYSLG LTDAVGEPFLAPNVANRLLQAWFRHIATRYPVLHSAKVLELHENRGNLTDVYERSMLH LVYAVSGRWLESAGEIGHFLSDQHYDAAFANMDTILPLRDSRTVNYLIYMALYCTRAP RDPGAWTYVGAAMRLCIELGLHRRQRRQQPSLEGEMNKRRFWATYFLDRDISIAIGRP PSLSDHDIDAEFPLDLDENTQSDDLIRQASMNVSNTPAVPQKTLTSFIHRLRLKKLES EIQHVVYRVDQPTKVSDATVTYFMDRLNSWKQNIPFEANNFARQTEGAFEGLELYTIH YYRCVRFLLYPTLAEVPVNMQYVKLCADACAGIICDYRRLHHIFPVGFSALSIQSMFL AGLTLTYCAWLAPPGHINIDGPLTDCQLLLYIVTERYPSARKYRDVFERIKKAITDLI AQGKHEPRHPVHLDPSIQEGVAKFQGHWEPDMPGMGNEYNFMINNMIGNPVTTPPFEL ELDPTATGLVGQSHQQAQTLGFPMWNSMSHIQGDHMNELKGLFQ PFICI_01013 MTEPKVSRRKSLVLQVKSFLRQFSDHREEAVPATPKTMETQAHD PLKIAILGAGIGGLALAIALIKRGVQVTVYESAEAFSTIGAGIGLGPNSLTAMDIIDK RFREKYNDAKTANEKPDFEHSIFDALYAEEGFGEKRGWTRGLVGAPYFTRSSAHRKDL LEIMESFIPEGAVKFSKRAQSVVENADGVSITFADGQTERVDALIGCDGVKGITRKLV LGDIAPEQVPPTYCGMYIYRGIIPIDKAKEILGTHAGDAKWFMIKEKGMAIYPISKGK EENFVFFITDHKPWTQGDSPVPCTKEEMIEDLKDFDERLLKLLDWAKPLRWPTWHHPT TSTYYKGRVCLLGDVAHASSPHQAAGAGQGLEDAVVLSHLLPLVKSPEQFEAAFKIYD SIRRPRAQKVVQTSFDAGRVYMWLDPEIGDDMTKIVANANQRLHWIWQHDLQADCKRA EDEFTKATASDPVAGSEDAAIKTALTPQTKEMTAAVA PFICI_01014 MAPQAPVSYVSPRARFGLIVPATNTVVEAEFNWMTVPGVSWHSG RIEISNPNLNDDDTMVKFLEQLRGTIGAAVERVCSCLPTYMVMGMSAETFWGGQKGAE EFEQFMTEKSGGLKVTTGALAARAALDAYGAKKIGIITPYQAVGDQQVVDFFTQMGYT VHMIKGLRCDTATSIAEVHPDIIKDAFRAVNAPDVDALLQAGTNLPAAKAAAEMEEEL GKPVIAINTATLWHAYRTNGILDKVQGFGSLLEKH PFICI_01015 MAEILKPVPQEDLKLAEKSENSIDSATPEAAVIDHNPALDRKLL WRRDLVLIPIMGVLYMLLFLDRTNIANARALGIGSPNGLEGALGMPSNGYNVALVIFY VPFVLAEIPANIILTNSQIPPRFLLGGQMVILGILGMCQGLTKSYGGLLAVRFLMGTF EAALPAGATYMISMYYTKKEAAIRFSWFFNFALAGPFFSGLLAYAINNIDGAGGYQGW RWIFIIEGLMTIVIAIPILLFCPNFPQQAQHWFLKPEERDRVVRHLEASRGAEVKGSP VDNVPWWKVLLDWRIHLFTMCFFCCDITAASISAFSPTILTELGWTNTVAQLMTMPVW ASGIISSFTVTYLASRFNFRTPFVIGAICCQLVGWIIMRVYVPQAGVRYLALFFMSMG TFPQMPIFMAWLSANLRGHKYLAVGMAWMVGFGNCANFISSNVFIKAEAPRYVTGFTN GLVFTIVGMLLTLTGCALLVVKNKKREAARARMTDSEREAYDDVYFKFVL PFICI_01016 MDDDHAAARKAAVTAIQPIPLICTICPKTSKFSDVSHLLTHIAS KGHLSNMFKLDIAKVADHDAQRRLDEYQAWFDRHNIRGLLQDRSENRIQKSNGGRGRS ATRGGSQSLSLRGGGHASMVSRNKKGKAAVKRDNRRLVDSSIPSTYARATSYNPQDDL DPVSDFAGLQHVHAQQPWEAAHGTQQWTTHLPPWNGAYFEHDFQQDLDLIDVDLPLPD ADEGNNDIEVSSVYEPSEAEAEDDDDEINSSIPQSEDTMNTTVLEDDAPAAPQLRDDD ATEQKHFRKYLKGDISKLEGVGGFDAAPEDQRKRRNQKKDPSVLVHMEASSRAVRTVE QVTDLNFNHVRWRDVYDEPSIAGSDDEDEESKPPKKAKRSPAAKPRRNTARAARASKI KVEETTSRESSVAPATRVTRRMTRQRGRARQPRQPAKVSTVHATSPEPVELPENSSVN SLAAQDSAPSTTSNGTSVSSYPPLQDDGLPREDDDQMRCDALTYDVYRAGMPSGNIDS QPVKESADYFVPHRRQRDGLSRLALRSLNTNVNVPLLSPTPVFKRHGPARLFSGKENE HGTFSGDSPAVFNPYMPSSDTFGEGSFNPLRVQNQDNPTIRGYPWDDSSRSLAAGFQP ISNSGFNSLNVGAQDPALYRAPTYQNGGEYGI PFICI_01017 MAGASKEKKQQSLTSFFTPKSVNGLNAHVQRTLSQSSPASDGNE NKDKETPTGSKKRPLQRNTDDGNETPTKRSKNDEDNDKSAFFSKSPQKSPVVTKTDAG PIARTQRYLYNGSSQDGAALEAVAENEDAVEKRRKADLHQKFVKKLGKPDSMAQLRRR NFSAEDETQGGEGEDADDVEDDEPPPPPKGKKKGAKTGKLTPMEIQFLDIKRKNMDTL LVMEVGYKFRFFGEDARIAAKELSIVCIPGKFRYDEHPSEAHLDRFASASIPVHRLPV HVKRLVAAGHKVGVVRQIETAALKKAGDNRNAPFVRKLTNVYTKGTYVDEIGELEQQS DSGAPAAGYLLCITESKAKGWGTDEKVDVGIIAVQPATGDIIYDNFEDGFMRNEIETR LLHISPCEFLIVGELTKATDKLVQHLSGSSTNVFGDRSRVERISKSKTIAAEAYSHVT QFYAGKLKENAAGNEHASALLDKVLKLPEPVTICLSSMINHLKDYGLEHIFDLTKYFT SFSARSHMLVNGTTLESLEVYRNQTDHVEKGSLFWALDKTQTRFGQRLLRKWIGRPLL DQARLEERVAAVEELLAKASTPSVDQLENLLINTKTDLERSLIRIYYGKCSRPELLAS LQALQKISTEFSRVKSAADSGFDSSAISGAVLSLPQILPMVLSYLERINAEAARKDDK YAFFRENEETEDITDHKLGIASVEQELDAHRKVAAQKLNKKTPVTYVTVAGIEYLIEV SNTDLKHVPASWVKISGTKKLSRFHTPEVVRLITERDQHKESLAAACDAAFAELLASI ASDYQPLRDAVSALATLDCLLSLSKVAALPGYSKPVFLPADSAPTVTITSGRHPIAEH TLMTSYIPFTTTLSSAPQTSSDSPVSPAQLITGPNMGGKSSYVRAVALLVLMAQIGSF VPAEAMSLTPCDGIYTRMGASDNIFSHESTFMVEVNETAQILRSATPRSLVILDELGR GTSTHDGAAIAQAVLQHVAEDIGCLTLFITHYQNLSLVADGIKGGKVRNMHMRFTATR RGEIANDADDWDGDEEITFLYEVGEGVAHRSYGLNVARLARIPGKVLDVAAKRSRELE EEIKGRRLNGTAKLLMDVLDTGVDQLDHLISSIEQL PFICI_01018 MVSASKFFLACAAVVGVLAAPFAELEALDGLHEKIEQRAVSPGT GTNNGFFYSFWTDGGGSVTYNNGAGGSYDVQWSSVNNFVAGKGWNPGASRVISYNGTW NGASVNSYLSVYGWTKNPLIEYYIVEAYGTYNPGSAAQKKGSVTSDGGTYDIYQTTRT NQPSIIGTATFQQFWSVRTSKRVGGTVTVQNHFDAWKQYGLTLGSHDYQIVATEGYQS SGSASITVQGP PFICI_01019 MKFPTIPLTAALTLVSAKAPLHARDDGTDIPNNYVVTLKQSLDT TKVNKFYKSLRATSFGKPPGTGYRGIINSFDNVEYSAVHIECDDKVIDAIRNNPSVES VYQDGYVYGQRVIPAPEVEPRDDQIQTTPPWGLSRISHREAGTTNYISQKSLRTYLYC LDTGVRITHKEFGGRAIWGANFIDNSPNTDENGHGTHTCATAAGNTVGVDNTTTPIAV KCLDKNSSGTWSGILAAIDWAVGDAKARKAITRSVINMSIGGTTFQPLDDLVSNATAS GMSIIVAASNYGADASGYSPARAPGAITVAAVDQGDARPPWSNYGTNVAVFAPGVDIY SAWFTGDGAYATESGTSMAAPHVAGLAVYLMSREGITGPTAVRQRLLKLATTGKVKDG GNGSPNVIAFNGGADSWQF PFICI_01020 MKSVLVAAAILATGSFGQSASSTTAAASASSATTPTTTAAELSA TESSSTRTPTTTTVSVGLNHDFNPDSIIAEPGDVIKFIFYPTNHSVVRAAYENPCIPY NYVNPGGDTFFSGPKISSTGDEQPVWLLTVNNTDPIFFYCSAPGSCISSHMVGAINPN DTYTLAAQKAALADVKYQLSPGESIPSEYGTTTGTGLGSTSTPSSSSSEQHGSSLSAG AIAGIAIGGAVVLIGAVALIWFCGRKGGIEKGYRKSTVANPPSASMIESNYNGHPKSP PPPMYRDSSHYAQSEAPYRSMSPAQWSQTGSPHMSYAGYPSPGLTSQWSDVHGQSEAP KSTSPGPVELMGNTHHPDSAAGRHEFPA PFICI_01021 MWLAVALFLELALAGLIPQPHERRQGTDCASLVAPSVDGAVITS ITTEQNNGICEVYTYLTHTGSSDNVSVITFLPISNWNGRYQGTGGSGFSTGGSTDQLS GPAQSGWAVGTTDGGLPHDSNSTNFAFNPQLKKNFAYLSIHDMTVIGKAVAEQFYGVP VAYSYWNGCSTAGGQGYIEAQRYPADYNGIFAGSPPLDYSHFQVSQLWPWVVQNAEGQ FVPTCVFDTLTEGAIELCDIDDGGLDGILSDPPACQANATVWVGYPAVACDDGGATVI TEEHAKIWNKIAYGPVDTNGNWLYSGIAKGASYNGQTGSTPEQDASGFIRAWVLNDTN FDLSTINYSTFPGIFNLAYQEQNDLVGANNHDLRAFQQAGGKLLSWHGWADSNVYANG TADYWRRVQDFMGSGVDVHDFYRLFLAPGVGHCGGGYGAEPVDAFDVLVGWVENGTVP ETLSASGNGLTRNLCSYPGELHYTGTGDVNAAESWTCI PFICI_01022 MPLAHGFASSTAHGIPKQSRPITEIFRLVPLAIPGSSHGTSTYS DSKISVQEPTSPSWNSRFLCAMSPSDASPRTGHLSPPTPSSITAYPTRRTISPGVYIC KGEGHSVRHSWIVGGRLVEFPFPELRDDHEQQHGGQQRHQQQHQYADEQRRKHHMEEG TRPPISIPGAGQRRRPSEASTSSAYSTNGRSY PFICI_01023 MDAWVKHGDKCDGNKFVRPGEKDIYVQLTISLALGLSAFIVFCF LRPRWPTLYAARKRHNDRNINLPELPNSFLGWMPALYRVTDAQVLASAGLDAYVFLNF YKMSMRLFAVMLFFAAAVLWPINHAFEGDIDLGSPHKNKTTTFDPDFDYYRQTYAPSS SFSMFETDDDDVEYPPDGWIYWNKPHLWSYLAFTWFFSLLTLYYMNKETFNVIRIRQD YLGTQSTVTDRTFRLTGIPQDLRSEAKIKEFVENLEIGNVSSVTLCRQWAEIDGLMTE RDAVLRKLEEAWSVYMHKQPRLGVARPRNQGNSLGTHNNEQGSHESSQLLTGDSIHNI EDRPRPKTRVRFGFLNFRTRLADAIDYYDEKLRVIDEKVMEARKKEYKPTATAFVTMD SIAACQMAIQALVDPRPGQLLSKPAPAPTDVVWKNTYTPIWRRRLQSWVITVFIAVLT IVWIVPVATLAGLLSICTIEKASPALAEALLRHEITKALVQTALPVGVVSLLNVLVPY LYDFLANYQGVISQGDIELSVVSKNFFFTFFNVFLVFTVFGTTSRFWPVLRNSLRDTQ YIAVTLAGSIQTLSNFYLCFIMLQGIGLLPFKLLQFGSVCMYPIYRWGAKTPRDHAEI VQPTLFSYGFYLPTALLVFILCLVYSVLPGCHLVLFLGLCYFTFGFFVHKYQLVYSMD QPQHATGGAWRIICYRIILGLCIFQVVMAGILSLRGAFYGAALVIPLTMYTVWYSYYF SLRYSPLTIFIALRSINRDTDPGVLRQPSVMRMARRLSTVDEDREKGMRFVNPNLVRP LETPWIYHEPPPQLTPGESMYVPYSDTPENGNTNGTPTPQNENGPGNRSGSSSFSLGD THIWRNNGDNNV PFICI_01024 MAQARALLGTLKQRISAFIALSILLLLMLRYQEKPPATLSSIVT TGPAQQQQLSPTIDLSSPASPFIAFPLARVCAEASPAVPGLVFLCDNNSGGPGNIRNY ILTCVRYAVEAGATALVMPRIRARSAVDLSNIHLGHREFGYLFDEAHFRAALAAACPC LALWDRLEDVPHVLAKVEREGLYDVEKMVERVTPRQQFGRRAGCDQRDLNRHTDRFGQ RFRDWLEASAAERGLAPLTHESPRLIRLNWGVLWDWEVLRDGPEFVGTFGSLLRFRAD LIELAGAVVAALRREALAVSALQGGRNDSFLGVHLRTEEDALKEWPSFDMQAEGYMSE AIRQGYRGGLAYLASGSETESHKFKDRARSAAQIDVRTKFHLLQGLDLMKLKELTWDQ QAVIDFIVLLAADYFVGVSPSSFSINVALKRHLRTGGLYARPWKVGGSGDGLSHLVGA YTRYWDDWLFMYDGMWP PFICI_01025 MFSLCQIILALTSVLVVRAAVSECFYLKGISAGRDLGYASIAQP SGNKNYMSTFTTNGLLASKFGINPKTSELRVFTTGNNVNGRTAAIAPKSTSAFLVFDT KTSMLLQNRKALVCSLKPVNILACTASDNAAVSVSQYCPTFWSIADRQRTDLGCTSLV LQAIAAPFEGCGNFSTGVGSLVGSD PFICI_01026 MVANWQLWLSSRPTRRTNTAVQPSLLTAGDNMLYEFAMENDGRR TVKETHYIHKKTVRDGLSGPPLHIHLSQKETFQVEQGVLGVVQNGKEIAISKDDGPVS IEPGVRHRFWAHASGKEDLIFKVWVEPQDADFGFDEDFMRNFSSYLKDCESEGMSPSI FQMLLFLYHSDMVLTPPFWLPIWFLVGLHHVFAYWVGAGLLGYFYLPYIVDLDD PFICI_01027 MPRKQLERKRRVHTRSRNGCVTCKAKHLRCDEQKPLCGRCLASG GNCGYADRTAQNSQSPQPSEGPITPKLENLELWDECLSFTSVSQQPLLPYPQGASHDH SLLFNIFSRYRSVVDREVDPNSHVFLVERSLSSPALLHGALLLSALRWTWYSGASEDI QKSVAHHKAEAINFVNDRLRNPALVDNDTTTAGIAALAMAESGFGRPDNAHAHLHGLS QVLALRTTTSQGNGALLYNMIVCTTQGLSQMSMQDVCLMQQSIAECSSTLTLFIDPEL QRLAEDPSSWNTTLGDMISTPVYHDIIDGIAPRSEAESRARFLQCCLRVVTMLGPNNL DFFTLNWFIEALIDELSMSETAMLRGNFPRQAWLWAALMARVAATSARHQSLSEAQQA DEWKNITNRNIRIASQAANLRTWAEAETLLRTWVWQANLFDAGPLKEIWEAAVISSSS SSSSSSTTHFDDGTVSPSFLDKRLFFADNEKKPIIMDDEAFDLCVPTVWT PFICI_01028 MATILPPPSKRQRREALERTQIQADVTPAPIEAGSFKARFVDSD SNQLAEVVEIPLADASEKNVSLLLNTLLGRDREDFTPYRFRIHVPSSDIVIDTYPTPH EFLAVLRSHGIANPFETTLTLSAEPQAVFKVQAVTRMANRIPGHGEAILAAQFSPKSS SRLATGSGDNTARIWDCDTGTPKYTLTGHSGWVLAVSWSPDGSRLATGSMDKTVRIWD PESGKAVGRPLSGHSKWVTNFAWEPYHQWRDGTPRLASASKDATVRIWIVNTGQTEHV LSGHKSNVSCVRWGGKGLIYTSSHDKTVKVWNAVDGTLKHTHAAHAHWVNHLALSTEF VLRTSYYDHVKDVLSTEEEKRAKAKARFEKAATKQGKIVERLISASDDFTMFLWDPEE GTKPVARMHGHQKQVNHVTFSPDGALIASSSFDNHTKIWSARDGKFISTLRGHVAPVY QCAFSADSRLLVTASKDTTLKVWSMQTGKLAVDLPGHQDEVYAVDWSPDGKRVGSGGK DKAVRIWCN PFICI_01029 MSGFPSGSSCPSAEAASARSSPYPSTPNIPLSQESPIIAQSRRV ASAALFRERLNTLSGTMQSRTSAGTPHPSVSHARSRSSLSQRSYRSSGKRSVVSSSYP FSQRGSASTASGRKSRATSSIWGSDGHQVICAVSEARGVSPSVGLAFINVTTNEAILS QICDSQFYVKTIHKISMYDPDTILMASTAFPPNVKSTLLSMIEEEFHATIVESLDRKY WSETAGMDAMHNLVFREDLESIQIAMQGNFYATCAFAAAVRYLEAACHLKIMAHSLRL RYQPSENSMMIDISTIHSLELIQNIQDAKSKHCLFGLLNQTSTPMGARMLRSNVLQPS TQIQQTLKPRYDALDELTIKEDMFFGIRSGKFFPLETFAAFNLISRGSHQRLVIVPEH GSIYESEMAINHVLMIKSFVVAILPLYEALSCAQSELLQRIRDVCQPEVIAPIRELIS ATINEDVSYVKTPIDLRNQRTYAVKSGVHGLLDVARQTYKEGVDDVFEHVEKVKAENE LSFDIKYDDNRKHYIRVKDCELEGRDIPDLLINRVRRGGYLECQTLCLVQLNNRITDS HNETVMLSDKVIRELLDAIRGHVANLFRACEGIALLDMLAAFGQAITTRDYIRPEFGD TLALKAARHPIIEMMLQGKFVPNDVYASEDRRFQIITGCNMSGKSTYIRMIALLQIMA QIGCFVPAEYAHFTIVEHLFVRMSTDDCIEANLSTFSTEMREMAFILGNINGKALAVI DELGRGTSTRDGLAIALAISEALIQSKSMIWFATHFHQLAKVLGSRPGVLNLHLGTDI SPTELAETPKMTMLYKVDSGPLNEVHYGLHLAKVVGFPDSFLHIAETTSHKLRRQIER KRDNSQFQKLKRRRNLILQLHEMLKQMEEGDMDDAALGSYLIKLQNEFAERMDDIESR SYGTVVDDDDDEEESERDSAAGRSIDGILTEQEFMLETE PFICI_01030 MTVFIASLFLPKTVYFQLPDAPIKSSKGGRLTRAPTAKLNSKNN QRPTLDTQPSLFAPHPTNTPPRTPTDDEAVESDDGLFVNEDGVRIHITDDDTISTGFG PTDKESPLWGARKNQPKSRASSPPPASLLEHSRTFAKAKELGRQGVVQPRAQRSDSHD RVFANANWRIVTSDQGNGGLRNAADAASRDGYDAEHTWVGTLGMPVDALDATQQRDDI HDRLATEYNMLTVFPTDKDFDGHYSHFCKQILWPVFHYQIPDNPKSKAYEDHSWKFYV NVNQAFADKIIKNWKRGDTIWVHDYHLLLVPGMVRQKIPDAKIGLFLHVAFPSSEVFR CLAVRKELLEGMLGANLIGFQIPEYTRHFLQTCSRLLKNVEATPQGIQLDDRFVNVMN HAIGIDPVSLNHHRQDAEVKKWIDVMKDRYKDKMLIVARDKLDHVRGVRQKLLSYELF LNKNPQWRDRVVLIQVALSSSEKSDLDAAVSDIVTRVNSAYANLAYQPLVYLKQDIPY SQYLALLTIADAVMITSQREGMNLTSHEFLLCQDGSIDEKKHGSLILSEFTGTSSLFG GNELTVNPWSYRQCSEAILKALEMSDEEKAMRWGKLYKAVEHHTGGHWFTEFLNQLDR VYEEQADHAQTSIPRLNLQKLEKVYGQCQRRLFFLDLEGTLIPNNPMSSMIPMNPQRT ISVLNDLVEDPRNMVYIMSARRPQELDRLFRLVPNLGLIAENGCFIKHAVTDSWDELA DKSSVSTWKASVNSILNYYLERTPGAEIEERHCSLVFHYKEAEDFAMAERQASDWTGH INESCENQRVHATQLDNSVVVESIDWTKQSAAEVVYKEHIENAPYADKAGPIDFLMVI GDGREDENVFKWANGLKQQKSVQNVVTVGLSSRNTEAKATLTQGVTGK PFICI_01031 MTNVDVLIVGGGPTGQTLALELSAQQIPFRIINKAAERSPYSRA LVVQPRTQELLNRYGKVQELLDRGFSARVTTFAVKGQWVTDMSSDWKGITTTQFLKPV VISQADSEEYLDSLLAKQGITIEMGIEAKTITPDAEGVTVVLTSQDGKEETIRAKYVV GADGAHSSVRHAAKSITFDGDAYQQEFILADVRLKSEPYPNDRAYFCMDQGVMNILPM QGGYSRLIVSRPNQPSEKDLSLEDFQKFLNKVFPGTAVASDPRWIAAFHLHHRIASNY REGRLLLAGDAAHIHSPAGGQGMNTGIQDAINLGWKLAAVLKGEKPEAFLDTYNEERH RVGEYLLRNSDRMFSFASSQNPVFLFLRNLILPWVLPWMTSSPQRVLNGLKFMTQFGV KYRRSSIVGTAAGFTGPVLGGFRAPEASLRGPEGDEQYLQDLLTPGSHHLVLFSGTGP DAATEGDLHRAEAKFLEASHTRTKVHTVFAGGEKQQMAGWTDVDGEAHRVYGFGSKPG YVLVRPDCYVAHIGPLAALDGLIAWL PFICI_01032 MDSPYPPLCSACQRIFDPGSCSYMVYHSTSHQERERSRAAGCWI CDSLVNQPNFEGFNEILFYTIKRDGENSLLYRITFYGDEQLRVLPQLRHSFLQIIARR TELPSSFFDNAAKSRSCVSTGQPEVLQLALDWLKICQESHHCMARPTDGWHPSRLLDV SRDDSVRLVITADEQTVGNYATLSYCWGKDRFLTMTPNNISEFKAGRSIKDFPLTFRE AFTVTKSLGIKYLWIDSYCIMQGDSNIARDDWDREAQQMCSVYTNSFLNIGSAHSDGP NGGLFHKHAISDWQYFIKWQPTSDDDELLLELEVDGPSFNEIYNFTSRSLMSSVMSTR AWIVQEIVLSPRMLTFTDEQLYWQCSESHACEAFPLQTSNGIDHTRRCSPFWVMESGR QYPPSNNGSASIELRRLRSRWFQILDLYFKAKLSYPEKDLLKALDGIGQRFAQLTGQA YWGGILEGTFPQALLWETQTNHHRDSYCELGPSGLAPSWHWASHKSASYFENQMYEPD PTSQELVLAHTFLWEDGMPFDSKTAKDAALNVQGPALICIGRLLKLRLADLHENGHFH PGLVQYPFDSISFSSIDAGKVDDFKNARLGELKFLPLLMTYGKIIEGVVICTDKHGFS KRVGYVKLMGESRNGDAFQRELLQEKPRLIFIE PFICI_01033 MRPPLSKRWSYTRVIGNQAKKAYKREVRANCRAPRHDRIVPLLA AFKHREKFHLILPWARGGNLADLFQNYATSTETKTEEQQVADWYSERWLLAQCLGLAD GLAAVHRTSDNPPTSAQIHADIKPENILCFALGEADHGPFDLKLADFGEAQEVDPETN TVPVKRVPHTKTYRPPEHDTDDILHLNYDVWCLACVFIELLTWAIAGSEAADKFELGR LAERDERKATTAGGNVFADTFFKKVSTRLKHRLEPQIRIIHTSKTADGEDKEDAEDAV VKTQVIQVSTPAFTSRYIK PFICI_01034 MSQEATATTTTTLIARGSELALSSFQECLFRAARLHPREYTLFE DQLARFSIWASDIGVFASGRASIDHRLREVPDVADAVTSLLENVTYGMKECSLVLESI TGSQSQTSEDALTDPDPRLVSSVQAVADEISMLYRLSNTIRRASRESHNLKVAKISDA PDNDGNDSESKLREVYCSYIRGQFPSIQDSLCERLASSMIIRRRRILYRRFHYGSGIR THEIISQPLIQLPQNQRQALAGPGAAKASQPVMGQTPAKSDLQSQAVSATTLSVNAYK NAPAPPVMSTTKSIPLGSHEDLVFPPPPNERIKSRYKALERQRRQLHKEFINSLSGLV SVSERQEQIYQAETELQVNLELDWRECCKAVPELTCPFCLYVLPSLSVGDDKKWKHVT VSLRTTRWTDANHWYRAHVTSDLDAYVCLFDKCDRPEQLYHHSSDWLKHMRSHTLRWR CKAKSHKPQIYPTENEYIEHMREFHPGSFTEPQLRALADANARSIGPMFQACPMCGTE AEGNSLEDHIVGHLRLLALRSLPPHVSQVLDSPDEQEDSTDDRVIQEMLEYKRKVGEV SLRTTGIAPVTSSSSSSSSSYNQNAARVVTDDNIKNNAVDGVQEATAEQTHQTPQPQE STLKLKNPETLPSSNELPTVMDRNMSNSGLKSRNTMPLKARFEWNNIVKHRYEKVDIL IKYLDDEFGSKNYRVNDKNRPGIQIWLPNNKKLTEKQIATIHRKYVEAHRKENADDSD PFICI_01035 MAPSLGAGPWRNNQNVDLVIRTARSISEIARLLRPLQTNIDLMH FYGNLNVHCVLVKDQMKRLKFILSPANDLVAQALNLFESLVSTQGVPQDSALMLNYSK QRALNQRWDVLRNQENDAKVRFIDQCFNVVKSPGLRPGQALDESFRAWEDHVFAAYPE DRSQWLIEDLPPKKVRDEPPYVVWSTANSLYTALTKSANCGCHQKHELGATLCLGTYR NPESENSSDFDMCFSREGNWQEARVYTTRESVVRFSLNDKPPPPQKQLLDYKPLLVKK ELCEHIKGKSLTSRRLELRFEDDCLFKLLSAKGKLWTNSAEPTVSLQQFIDDRFTSQP TRTRVILAVLLSYAVLHLHGTPWLQPTWNSSHILFFRTRTSIPLRPYIRTQLGTEGID PDWSHPKNQKDGDNLTQHPDPSNEVSPDDSDPDNLDYGDLDPDDIEHPFPALVTLAII LMEIYTKTPFGELAKNCDMPLPELPDSRTRLSDVVTVFTESKIENIAENSKFCNAIEK CLQPRVWRDECGEPMDDQTLRTAIYREVVTPLEDELCDAFKTITIEELDQMAKEVDIG NWGQPIQSRIAEEMPPKTASPAIDEVWPQRQGHLIGSEVRFHDAPFAAWNHRSQEYLP PFFDLKQNPAQESEYDSARFYDDERPSEAHSRAELLDYLTWKAKYKKVYEKYIERSPQ SVVRVAILDSGVDDGHDLLKTGQIKDKYNWTTAAKNKKVAHDRDGHGTFVASLLIDYA PDAELYIAKIAEKELSSPRIIAKAIEMAVNDWKVDIISMSFGYPTNQIDGYEELKKAL EDAHCKHVLMFAAASNSGANLGRAYPAREPQVICVHSTDSHGNRSKFSPTAHKYNTNF ATIGEAVESAWPVNLCDRLANPQFLQLKSGTSYATPIVSGIAAFLLQYARFHLADMAD VLKRKDKMEEVLLEITKKTPTTMARDDYHYISLSLYSDNLFAKGKRFIDATLTEILKR PFICI_01036 MANNVFYFFYAPTWDWPPEGPIKLGNVIRAVGEPEQPLFTAPLP AASEVFTSEKFNVEYTKEKLREGRFSILTKFLSILGVGINVGADWQDSNAELYGFKSV ETTQFIPKEDYIQKCIEADAVRLYLDRSRYRKPVYIITGIKTVYGARAKSYKHKQHGG EVGIAVDGTIWSGGTAPLSVEPGVGGKRVTTTETTWEGSSDFVFAFRVHKIHVNRKTQ AVDESHDYTKGALLDSKTDKIRDALPDIFILSQEDPKPSDENHDEGEFTEGDRVVLCA IPRVTATDEEEM PFICI_01037 MPSQGAHGEQSLHGYIIYSFTADIFQVYNCRSDTDTLKFNEDIL LSTTYFERKPWVFSAIYGYPSEQAEVLVRRLIKFTETAFHPLMMPMVFVEHERSRFME AMELKSPKLEERIMDLENRLQADKQKKSQNRKELRKENQEMTQKDCDSAKLWDDVSRL RNGMESFRKVLASLEEQFVTFKNLNLQSHLQGPDEKVADSISSEHIRCRLKEMDVEVD GNIRKCEGLLAGMALAIQVEWNYHTRRDAKANIFIARASHQDSTQMKMISWVGMIFLP GTFFATFFSMSFFQWIPDDSPRLISPWVCMYFGLTVIITGAIVWFWWRKTKDQPDLGE FLEYIGVEDIEMGLKEPPRRKRSHDSDESRDTEAIEKDD PFICI_01038 MKSRKAITNTQRRALRKWFFDPTTKDGAKTHADASFWWEENYGY YLNSSTVSEILSYKYDPLDNISADPAYCQIDSRKRQRPPKWETLEEELIKWAFWHECV AGNGPVTGAMLKDRGTELWNTLECHRGMPMPKWSEGWQCRFRTRYAHRKQKMLDEIAR NSSGPSSNSESGDYGSPDPYFETGHYYW PFICI_01039 MADDPARPGCSPSVDGSFGPIVDECVRTFDFTILFEESILSILP SSIFLIFAFLRIGVLSGRQRRVGGHVFSAVKLVVFGILLVLSAALLALWSSGGRSMYR TRASVPAAVLSMVECLVFMTLSFLEHRKSAGSSLLLDAYLLISILFDAVRVRTLWLKN TQSSIAGLFTTCMVIKIVALVLEESNKRRWLLPSSMPWSKEVTGGIFSRTLFTWLDRL MCAGYSSALSVGKLPDIDAKLLSQSLWDRIGPQVHKGKPQYNWERRSLSDQNAAKKEK KSLLLTVFWSLRGPLMAPVFPYCVLVASQLAQPFLISTILDYVGSPNDGSQDQKNVGY GLIGAYGLVYLCIAVSTAWGQHLSYRFVVMLRGVLVTSIYRKTMSATLAVASDASAIT LMSTDVERIVLGMVKIHDAWSTLIQVACAMYILYRQVGAVFIAPIVLSIVCTFLSLVL SSFAGTFQVKWMAALEKRVAVTSSLLGSIKGVKMLGWSRKAVEILQDLRLREIASARS FRLMLLGVVAVSFIPVTLAPVATFGIFARNADATGLDSSHIFTTLSLLSLITQPLDLL FSYVPEIIAGVACFSRIQNYVYEDGVPDRPSISETNSEKSLAQKSNKDSSLSSDSDDG NEKALGNSAALRLQSASFGWDAKADPLLQNLDLEIASGKLTMITGPIASGKTTLLKGI LGETPVCRGTVELSSHSIAFCDQNPWLANETLRANILGTSHFEAARYSQVVDACALKQ DIERFSQGDQVNIGSNGLSLSGGQKQRVAIARAVYSRRKIAIFDDVFSGLDMETQSHV IREVFGPNGLLKSQGTTAILVTHAAHLLVHADEIVALNSESRIVKQGQPEQLGGEETL AAVLEGVDAITQDPSLTAIHKHEPAFEPSQPVPSASESSVDEETQRLGDGSVYKYYFS TFGWPKTIVFFALQVTLVFCLKFPEIILSWWGEDNDREPNVHNSKWLGIFAALEVAAL VALALVCWHVLLNLAVISGSKLHYTLLTCTMRAPLSFFGVTDTGSITNRFSQDMNLID AELPFSLINFVCNGLTCIAQALMIIPASVWLLIGYPILFGGLWLLQRFYLRTSRQLRF LDLEAKSPIYSQFLETLNGLATVRAFGWQDDLITKADERLDFSQKPFYLLYSIQRWLN LVLDLIVACLAVVLIAVAVALRNSSSVGFAGVALFNIMNLSAALKSAITSWTMLETSI GAVARVKRYEESTPDENLATEDLQPPDAWPSTGTIVFDNVTASYKDDDEQTAISNLSL QINDGEKIGICGRSGSGKSSTLLALLHLMNPRGTITIDGLDTSRIPRETLRERLTTVP QDPVSFAGNVRLNTDPQGKFADEAIIQALQAVKLWSVVEAKGGLDAEVNEELFSKGQQ QLFSLARALLGHSRVVIMDEASSSLDEESEALMISLVRERFKDATVLCVAHRLDTIMD FDRVLVLDKGGIIEEGNPRDLLTRPSAFKTLYES PFICI_01040 MSPAQNEGELDARPTASLSLDELESYNTCIFPTLSNARASGDND LSSFDTVVACMGEVLEFCNTHDISVTSLLQAVWSIVLGGYTGSSGITFVIHDAEQGHS VLLFGVQLDWANSLQDTVQHVHQSEKSVVPLDTEQRQRLLQPGPVHITNTELRFNLSG SHIPDNDAKMVEATLADVTLGFSEDNRNLILNLRYRSSILNDERSLVVAETFKSTLDA IRSRGHLRLDQHDFLGQNDKERIRDWNGTLEESRKTSTLHAPFECMAVEKPHNAAIDS WDGRLTYAELDHLATRLSQGLRQLGIGPESMVAHCFPKSLWAIVSIVATLKAGGACVA LSPEHPRDRIQAILSDTNARHILVSAETKAQLEGLGAELIVVDEVSIKSIQDTGAVDL ESQVAGPHNAAYAIFTSGSTGAPKGIVVGHDAVYSSIMHAARLFDMGPNSRMLQFASY TFDIHLFDIFATLGCGGCICIPSDHERSNDLAGFIRRYEVNALDLTPTVASLLDPKQV PSVTRLMVGGEALTQGLIDAWTATPGLRLFNAYGPSETSPLMTWTEVIPGKASPSTIG RALGGDRLWVADPTNPARLMPLGCVGELLIEGPTLSRGYLNLPAKTAESFIDGLKWPE DGQEKVLPTPGQVYRTGDLVKYNEDGSLDYVGRKDTQVKVNGQRVELGEIEHHLNSTQ PRLAECVVVYASSGPCKGKLVVLVAPSDGSSRMTQSSQMSLVDESQRTSARNLVQDLK KEISSKLPTYMIPRLWAVVDSIPLTASGKTYRSVISRWINDLDSATFSSITGMSYAGD AIAAAESEEERQIQHIWSQVLGVTVGQIGTNQSFLSLGGDSVAAIKAVAVAGRSQVPL FVRDLMAGKTIRELATKRGATQKTVTPAAEELIPVFSSELGKKLEEAHRIQLAQISAH SDDAAIHIEDVYPCSPAQQGILISQVKVPGTYTIQYLSSVSIDGGLSAVNIDRIQSAW QAVVDRHSALRSIFVEGNTAERPIEQLVLQNVKATFTHRHLTTHHEVDQLLRLAGSET PTSGFGRLPHAMTLFTDASGACYLRLEISHAITDGGSITLMMRDLALAYESKLSTAPG PRYRDFLNTIFGRDLTPSLSYWKEYLRDIESCQFPSLLDGQPTPQRRLCAVDTDLSSL NGALSTYCRQNEVTLFHIFQAAWALVLRSYLNKDDVCFGYITSGRDAPVDSIQSAIGA FMSTLACRVNFEETKTLSSVLNSIRKHNNASLDHQHLSLADMKRPLNLPGDSFFNTVI GFQTVLAKEDEEGSVEINEVAVHDPTEYDIAVIVDTAGNIPAAKLEYWSDILSPSQAK HLSEALVHSISTVISQSNDPIEALNLVPSSQLATMKKWSDEATEPAKEFIENKSCLHA IFQQQVQSHPDKIAIVAHDGELSYGELDDITTRLAHHLISSGVKPESLVPYCFSKSIW AAVSVFSILKAGGAGVPLDPTHPKARLDTILDDTSASIILTSPTHSKLFTHRDATLVT LDDEFIGALPAGDVAACSKVQPHHPAFVIFTSGSTGTPKGVVLEHHSMATNCQAYGKA LQMDANSRVLQFATYTFDASIFDMCAALLLGGCVCIPSEHDRMNDLAGFIERNRCNWI LATSTVMGMMTPEQVPSLKNLILGGEALSRQVLDTWAPFTNVFNAYGPAEAAIMSACS RKMDANSQASCIGNGATGAVWLTNPTNHDQLVPIGSIGEITVQGPGVSRGYLNSPVKT SEAFFDSPRWLTGSNATYTRVYRTGDLGRFNSDGTIECLGRKDNQVKLRGQRLELGEI EYHISRHDQIRQGIVLKGSRGPCKERLVGLISLHSGPASGNETIDLIPQDMKLRAIRI IAELRQTLSAGLPTYMTPTVWVVLKGLPMTTSGKVYVKVLQQWVNEMSQETFVEVSQF SLSPEDANESRGHAEKQMSEVEQQLQKIWSKVLNLPLQNIKRSSTFLGLGGDSVTAMR VMSLCRSEKLVVTVQDVLQNQSLASLARCVKSTNLVGEKEQMNEIYDRPVPVTSIQSF FFRTLPEAPSHYNQSFMLQLKHSITEERLHVALDSIVERYPLLRARFQKSDESGLWTQ TIGQCEKEKISETYHFQHAQVEGQETIAGIANVAQTQLDLTQGLVFSATLFSQPGSQF LYLVAHHLVIDLVSWRIILQDLEELLFSSTPSIDVGLPYLQVATIRQQQLDSRAYPKT EDVKSHADLSYWRLPSAENTQSNTRHVTFTLDENASANILGSCNTMLRTEPTDLFLAA IVNSFTAVFDDRKAPAIFVEGHGRDHDLIKQLDVSGTVGWFTTISPFLLDIPAKGSSD LVQTLRATRDTLRRDRTSGQYFLDQLMLARCDNDLTLEIMFNYEGHYQQLENEQGLFR MLPIPAPDFGDTIRRSSLFDIAVIVKNGKLEFDFLFNSRSAHQDRIDAWILRTEQTLQ KMADELPHMSRYYGLTDFPLLPLDYKSLNNFVGDLEMIASSLCPPHQPVVIQEAYPCT GTQEGLLLAQGKDASNYAVHEIAEVIPCTNDPIDAHKLRSAWAQVVERHPILRTIFVD HPTNTGYHCQVVLEHVVPGIDLLASQSVEMALEAWQMTSTSNYTMVKPPHHMSICNIA DGRVLFKFEFSHSILDASSVQILLKDFALAYAGQLDSEVTIPRFSKVMEHVCRRDESA DLKFWASQLAGAESCCLPDLSGAPENNRVQMQRLDLNISADDLQSFCKMHHVTPFAIL QAAWATVLKSYTFMDQVSFGYLASGRDVPIDGIESIAGALVNMLVSHAKFSPDVTALE VVASIQKGLTDSLAHQYCPLGEIQHALELGGQPLFNTVIDFQRPGESDDSSAAFSVQN LAFHDPTEFSLTVHFELTKDTLSGYVAHWSSTVAPEYALHIGEALTLALQSILSNPQQ KISDIDLVGQFTLGRFIEINTPAPIAREECLHEIFRQHAQATPLSPAVFSTQMCLTFA ELDRLSNRLGNYLADMGVGPEVKVPMCFEKSAWAIVSMFAVWKAGGCIVAIDPTHPES RIRGILEDISATLILMSSQTLEKCRESLGDEVRPIIVDIDSLDSMPDEQARTTNVKPH NAAYINFTSGTTGKPKGVVIEHQAIVSNVEPLAKTSDITPATRALQFSSYAWDAFYCE TIMPLLSGGCTCVANDDERSHDIAEFMRRSGCNWSLFTPSFARLLTPSDVPNLKTLLL GGEAMSADDKVTWTKSVTLKNAYGPCEASIVALMHPDIASASSEHNLSQRLGQTIWVA DPKNPNRLAPLGAPGELVLGGPPVGRGYINEPVKTAEAFITSPTWYTVNPQLEAMGFT EKFYRTGDLVRLNIDGTIGFIGRKDEDQVKLRGQRMELGEVEQCIMTNMPSAKQVAAA VISRSGQAEHKQLIAFVTFSGSHDASGQLSSKPQALKVSDELFKELKTLASTMAKLLP SFMIPSMFVPVTRIPLGSTTKIDRKALAEIACQIPFADVARYSLTALAEVRQPSTPLE TALHGLYSDILGIPTASLSVTDGFFQRGGDSIKAIKLVSAARQANLTFTVVDIFNNPS VSELAGVARFIDANATSGSIKDDAEILAAEKTKAEITKLATEEYKMKSKQIQDVYPCT ELQDTMLAISSDNPGSYTMQLVTAISEDADLEGYLDAWKAVRRNNDILRTRIVNARDH RYQVVYNDRMAIKNADSLQEYLKDDRKKLMGYGDALARFALITEEDDQQYFVCTLHHA IYDGWSMSLIMDQISQACQWKPLSKPPPFADYVRYIQSQDRNAAEAFWLFELSGASTC DFPRLPSSSYQSSATQTTERIMSLDQQTSTGPIAAVAKTAWALLLGLYSGSDDVVFAT TNAGRSLPLDDIADMVGPTITTVPVRVRLDRTRKVCDLVGDVHERALSAMPYEYLGLQ NIRHVSSDCEAACDLRSLFVVQPGDLDNVGFTGVPGVSIVPTEASGFFTQPLVVECYL GQTKLKLSASFDENVLRTQEVDRMLAQLEHLIQELNSRGNEALHEINLLSNDDKRDIS EWNADMPAKLNERVQDVIAVRAKEFASSIAVSSWDGQMTYAELDAYSSRLASYLCEEM HVTPGDLVPLSFEKSTWAIVAMLAIIKAGGAFVFLDIKMPFMRQQFIINASKSNFILS SVKNNYMWSNSQWHVIEVSKAALESLPESPMPAVNNQPDSLLYAIYTSGSTGVPKGCL IEHSAFLSSTASYTKNLLLDPSSRVLQFSSFAFDVSIMESLGVLTVGGCVCIASEEAF ESGIATMIQETQSNWASLTPSVARLMDPSGVPSLKTLALVGEPLSSEDLKTWAGSLRL QNGYGPTECSILCVINPDLRAETDAGNTGYGSGGLTWVVDPNDPNILMPVGCPGELLM EGPILARGYLNQPETTASVFVEGLKWSPKGRFYKTGDLVRYNSDGSIHCLGRKDNQVK VRGQRLEPGEIEEKIDGLSFVNNSCVVLTKTGPYANKLAGVVVLQEFAVASAPPLQLI DRHQKQSAAARVAEMRDALSEVLPVYMVPEVWIMVTATPLSISGKTDRKSIRQWVEKI NGDLQQEIDSLIAPNTKESPQTDEEKKLHTIICDVLNIAPSDAGVNQSFMSLGGDSIS AMRLVAKCRAAGFTINLKKILSRASISQLAGLTSKSAKQAPARQVAVSDQEKPFALTP IQQYYVDTQTQLSGEHTGMEFTGQYRFHQSFLLRLARYIDQEAVTAAVRQLTQRHPML RAAFSKNSQETWEQHVLGDVTALDVIRIDDANTTEQAISAINLANNLNTKNGPVFRAV FFPNKIDGGDQMLFMTAHHLVIDLVSWRIILDELEQILTGTQLPPPSGISFHAWASLQ ASYAESNLTPSKALPTELAANNSAYWGITNPSNNTYGMTITRSLSLSKILTSALTGEC HDVLRTDVVDVLLASLAHAFSAVFPDRAVPTVFVEGHGREPWDESIDIGSTVGWFTAV SPVSVSVTAEQELLNVLRQTKDVRRLIPSNGWAYFASRYLNPEGRKAFHDDSIAEILF NFAGQFQQFENSDGLFKDSELELVGEASEVGPDTTRLALIEVSSVIKNGELQMSFILN KHMQHIDRLQKWIDAIPDTLQSMLEMLNDNKATEIPTLSDFPLLRTTHDNLDALLQDA CAKVGTEDWTEVEDIFPCTPMQTAMLLSSSMDPEHYRTCAIFQVGGPSQITAEHLQSA WLGVVKHHPSLRTIFVAAQSQDAAFDQIVFRNLAPQVHIIRSSDSEALDHLKAQAPAN WSDASPHTLTICETTSGILCRLEISHALMDGASMDLLFSDLVSAIDGRLSGEPSPGYS NYVNYLQERSTNDALAYWMRYLGGADPCKVPAIKSIDDDTDVAESSVTIPVSDELGQR LTSFSTKHEVTAASVIQAAWAMVLRCYTQSTDISFGYAVAGRDIPVDGIDRIVGPFIN VLPCRLDMSSDSLSTLDLASRVQQDFFDSSSFQHTSLAEIQHELKFGNRALFDTVVSI QRYDNSSMNSSSGIHMDLLDSHDPNEFSVTLNAAYSPGRLGLVLRHSPRNGVTTARAE SIAHTYAQALSAILESPEQTVHDVDLFSSHDRNIVDQWNKHSPALIHERVHETIQARA TASPESPAVDAWDGQLSYAQLDKYATRLAHELVRQGAGPEVKVPFCLSKSAWSIVSIL AIMKSGAAFLPLNRNDPEERIRSLIEDAGASFVLASSDQADKLQALVNTVIVISKASV QELPAIDEPVALGSNTDAAYVLFTSGSTGKPKGVVIEHRNLSSNIAEHAPGLGFNSQS RVLQFASHTFDASITEILGTLWSGGCVCVPSEEDRTTDVESAMRRMSVTFAMLTPSVV QLLSPDNLPCLQTLALVGEAVPKSLINKWTEKLTLLVGYGPTETAVFASIGHLTPRED SGFIGTAAGAVTWIVNPDNHNLLTPIGSVGELVLSGPTLAREYLNNPAKTAEVFVPTP RWASAQSIHRVYKTGDLVYYRDDGGIMYIGRKDSQVKIHGQRVELGEIEQQVLACLPA QSEAVVGVLRGNEALSKLRLVAFVRIPGFDQVSTVAASIVPMSSELSATLAKLQTELS TRISAYMVPSLYVPLAVLPKSAAGKADRNAMLKIAQGLTLDQLDAYSLKNAAKRPVTT AMEQIIQCVWASVLAVSSDSIGADDHFFRLGGDSLSAMKIASQLRSQNVTVSVPDIFK HPQLSELAELIDTNGEAIGASQITDLAAFALLGDKDAVVARARVLAEDQALPVEDAYP ATPLQQALFALTQNDPKAYINRMVFKIPQSLDLVTFKSAWGSVYNDNPILRTAIINTE TDGTCQVVFGTDINWREASGSLEQYLKTDSDAAIREGSLLTRYGLAIDGSNRYFVWTA HHAVYDGWSTDLIFDMVHRAYQGKTSEPLAPYTRFIQYLGNLDTTQADQYWQGQLATY SGEAFPSTPATHKVISDGTIEQVLQLPSKDRKSEITTASLLRAAWALVVSKQTSSNDV VFGMTQSGRNAPVVGIEKIQGPTIATVPVRVQLDSSKSTAQYLQDIHLQAAEMIPHEQ TGLHNIKSLGVGAKSACDFRNLLVIQSHRHLEGDDPSWITPMESDLSGFDTMPITVEC SIESASKIELAAHFDSRLLSNLQVQRILSQFSHVIRQLSEANAEHRISDLDFFTPEDQ ELVEKWNGSLPLTYRDCLQDRFEKFALETPEKEAICSWDGSFTYRELDILATRLAQML VASGVKAGEYVPFCFEKSAWAVVSMLATLKAGAAFVPLDPGHPMSRLNDIISQTAAKV MLASSTAPSLDIQKTITVSSDAITSFSSDTSYSLPSKDPSSIAYIIFTSGSTGRPKGV MLSHQAICSSAPMLDLPNIGLHQNSRVYQFPSYVFDAAVFNIFGSLSAGATLCIPSDT DRLGVNLAKSMRDMQVTWSAMTAGVIRLFQPEDVPTLKTMKLGGDAVYQEDVDRWASK VDMIQGYGPAEASVFVTWNRMSSNDAPNNVGPAARARLWIVDPENHDQLTPVGLVGEM LIEGPGLASGYLNDEIKTASAFITDPAWCRGQQRRFYKTGDLVRYTDDGSFLFVGRKD GQVKLNGQRVELAEIENHIFSSLPSCQLAVVLPKSGPLKNSLTAIISDASNGSSSNDL AFVSEGTFKDALVAKVTEVADALPERMPRYMVPRTWLAVRKLPFNSSGKIDKKKMQQW LEALEDDQVLAQKLQAKHESTDIIATNEMESILQQALSRVLNLSPDSIAFNRSFMALG GDSITAMQLASRLQASNVVLSTRHLLESKTIKDAAALARFKAERLEIAEEAMDVPFEL SPIQKMFIDLIRPSSDTSRRFNQSFLLQITRAVRPEKLTAAIEFLVARHSMLRARYQQ DANGSWTQRVLPRTGGSYCLTIHPTIDIEQVMEQSQARLDIVKGPVFVADLLTQPDGS QILFMAAHHMAVDLVSWRIILNELEDHLANTGNVTLPRPLPFQTWLSLQREQAQTIQP DSALPFDLPIQDASYWNVESNTNAFANIDEHEFTIDAQSTTHLLNDCSSALGTDTLDV LMGALIHSFSQTFHDRPLPSIFTEGHGRESWDDSIDVSSTVGWFTTMSPVHVVADPGD DIVRFVRKVKDRRRQIPMNGWKYFTSRFLNAEGQQRFAQNGPVEVILNFAGQYQQLER QDALFQLMPRDGLGTETCDVSPEMVRTSVIEISAGISDGSLKVTFMWPKSLERHEQVK QWSSNTQASITSAIASLRGLTKQVTPSDFPLLSLTDEEFDSLVHESLPKQKIIDLDDV EDMYPATALQEALMMSRSIDSGLYAVRVIYKLSEANGQPIDIDRFQAAWSAVIDRHQT LRTVFVDTVSEKSALTQVVLKHLPANTIRIVSDTDAEAIKTLERPNAMTPGVALAIAS PCQLTICHVASTGNTYCKIDVNHAVTDGGSSGILLRDLGLAYDNLLSPGRGPLFGDFV SYLLKGDRNASLKYWGNYLRGLEPCMINNGFTTNEKKVLRDLEFEIPNAAGLRTFSAA NSVTLANLFQAAWAMVLRNFANTDQVCFGYLNAGRDAPVEGIREAIGLFINMLVSRVD FTDALDAKGLLQTVQSDYINALDNQNCSLADMQKLAGNSLFNTIMSYQTVSESTDDGT GTLKFEQVTAHDPTEYALSVSVAVAKSSVFVTVSYYTDSISDFQATSVATSFEKAILG LVAAAPDTPAQHISTFGDQAIDKVKQWNGSYPKILERCVHHLIQDMVDQNPTAMAVCS TEVSWTYSELNELANRLAHRLAILGIRPGTKVPYCYDASPWTIVVMLAILKSGGACVA LDPKHPTDRLHGILEDTDADIVISAPQHASKFLPVAAVMALGPDEIKRLNVTLRQRTT PLPETRPTDLAFVNFTSGSTGKPKGILLQHQAIATSGSYYGAAMGYGPGSRVLQFSSY TFDVSLSDIFFSLMRGGAVCVPTEYEKLNDLPGFINRLGANVADLTPSVLEAMLRPEA VPCLKTICLGGEAVKQENLSVWADKVALHNYYGPSEASVACVGRSNLSFTDQAANIGV GCGARTWVVEADNSDKLAPLGTVGELLLEGPLLAMGYLKLPDKTTEAFLSGVTWPGFT EPKRLYKTGDLVRYTQDGSLEYLGRKDNQVKIRGQRVEIGEIEHHAILNSPPNYNQVA VEAVTVPGRVGMVLVAFIAVGSQIGACTEAALPIKILTTFRNLQASLTTSLPSYMVPS LYIPLRELPLSTAGKRDRKALRQIPIGLSDTQLRTFSLTDDSITKREPSTATEQRLRS VWAKVLRVQETDIGVDESFFRLGGDSIAAMRLAAAATKSGVQISVLDILTHKTISKIA ALADGQVDEAYATQSLTSSSGGGSESHDKFSTHGGTPTRLDIDPTEVEIVYPITAAQK ELIQASKKSPSSGYYHISQVLEVLSRNGNEKVDAQRFHSAWQQVVDRHPILRTVFDDT LGQIVLKTYKAEVTYMNLEHAEDLDKQSFTLALDKTTPLHRVTLAKTPTGQLFCRLDL HHSITDGYSLMTMFEELASAYQGALPLGKGPSMRDYISQVDGLDRNAALSYWKSIIHG LTQIHQFPRKQNLLGNTAHTNLRELHTQVQNIALPNFCLVNDCTMPSLIYAAWGLVLS SLCGNQQQDVVFAYLTSARTIIPSEALGFLVNTVLHRIPADGGLPLSALLQQSHESVL SALPYSYITPSELGVQVSSLVNVRKFDDEALAALDANEEASLATNGITFKAAPSPDPM AYDVVVAVSELGNGTLDLTLSYWDSVVAEADAQQALDCLKNVLGSMGHDNNVTCGDFI KTLG PFICI_01041 MAPFPPPPVNTIDWSNVGFRVREVNGHVECHYSVKTGKWTAPQF VTDPFIRIHGMAPALNYGQQAYEGLKAFRTPSGDIQIFRPDRNAVRLQHSASFISCPP VPTDLFLDAVKAAVALNAEYVPPHETGAAMYIRPQIYGSSAQLGLSPPEEYMFCVYVL PTGVYHGTHPVDGLILDEFDRAAPNGTGSAKVGGNYAPVLRWSDRARTEGYGITLHLD SATHSEVDEFSTSGFIGALTDGENVTLVVPDTKNAIQSVTSESITSIGESFGWKVERR PIKYTELPQFSEVMAAGTAAALVPIRSISRRFDPAAPDSISSAVKQHPRLSTEGKVEK VAYIPASQEDAGPICVKLLTQLKGIQLGKVKDEFNWCAAVSEADTQKATGVPKVTNGN GHTIDQMD PFICI_01042 MRFPTYCSVLAILSIPSSFCFPSLSHIASHTSRNDGALLGLRET IARIHERAINGTLVQRDVTQPVNVGGKHAFAAPDFDAGDQRGPCPGLNALANHGYIAH TGITTFTELLIAVHEVYNMNIDLAVVLITLALVFTGNPASLDPQFSIGGVDPRVTNLV DGVLLLGEPRGLDGSHNFIETDGSLTRDDLYVTGNAWDMNMTKFLEVYLWGANGVDSP LTFDDVGDISAARWQEGVATNPYFWYGPLTGYLPRTGGYALTSRTMSNYSEGSLDGVI TKAMFKSFFAVVDNETGESGMVYHRGHERMPDDWYRRPVPWGLVDLNLDLLKWSKKHP FLLDIGGNTGQVNTFTGLDLSNITGGLLNSATLLEGNNLICFSLEIAKTFGPNSLSTL YEALSVPAGILTDALATPLLDLSCPVYADLSNNGQDIIASILATYPGAKRANSAL PFICI_01043 MAVTQVIIGFSQHILSIIFIVADIIATACAFVETLLPWAFDLPS TTTFRAFTDLSAITVAPDFAPDFADTIPEYAQYARVSALVWLLSAPCLAIVEARLDFF RSPVGTLLHPWTKKSRRVACVIMAVRIWLLLATYNAIVSQFFQTGDLLRFAVTVPFCV MSL PFICI_01044 MVTQPTTIRIADDANEPVKPDLSARAGGIYDVRALSRVGTSASR RSSSRHSQNRRPEQDGDVEDGDWRPEDGGRKKQIFKGTTLLWLAYQSTGVIYGDIGTS PLYVYSSTFTSVPNYNDVLQVLSLIIWSLTIMVTLKYVVIVLHADNDGEGGTFSCYSL LTRYANITQRDPREALLVKMERIKTQDLHDSSKKIRSGMEKSPFIRGLLKFIGVLAVS MVMADGVLTPAQSVLGAVQGLNVVSPDISTSTVVGTTCGILVVLFAIQPFGTAKLANF FAPIVILWLGFNAGFGIYNLIKFDHSVLKAFSPYFAIEFFREKKTEGWRMLGGVLLAF TGVEALFADLGAFSLRAIQLSWLCYCYPCLLLAYIGQAAYISVHPEAYSNPFYNAVPP GMLYPSLVLAVLAAIVASQAIITATFQLTSQIMKLSYCPQVKVVHTSKKFHGQLYVPF LNWILMALTILVTGVYNNTTSLGNAYGVCVMFVTFFDTCMVTLVALIVWKWPAWVVFL PWLFFATFDGLYISSSLVKVPDGAWLTLTISGVLACLFLLWRFGKESQWRAEAEDRFK PSTLITKDTDGGLSLSDRWGGGSLSRIKGLGIYFDKTGVLTPTVFTQFVSKFGAIPET MVFFHLHPVEAPTVPDAERYSVSRLGAIPGCYRLVISHGYMDEVISPDLALLVYEQVR KFIVRQACSEALPDETEAPEESVATSTSYDQGVAEGIRTTTAASSSGGDEDEKGKVRE PAELTNEKVKEEVAHLDRAFANKVMYVIGKEQMRIKTSTRLFRRVLLATFLWIRENTR AKIANLRLSMDRVVEVGFVKEI PFICI_01045 MDYQITARLRMAIRLFAIAVFVGLVQGQGFYLEPFTCTSAQNWV DLGCYDATGTQPFTFAVQNGYKSEDPSRAYANYNIGGNNINSTVTPNFCTQACRAHGF RYASLYDRQCRCGTALGTSFFGKRSSDQTCYSQTLSTDATPCSGDRSENCGQVSGNTK ARIFVDPSFEAEQNPASIDTAGLASSYGYLGCFNKPNLPSDDPNRDTLQASPQACFEN CARYGFPLTYGKHYSKKAKYLSIKPLLCQDL PFICI_01046 MYYNGNNQVKCECGTDFGVSARSYDDSVNTQNNKKCDLSCSASG THGCDPTTQRCCGDANFQIYPVYANPRLMGCHIPRIPGYYQIEANGGPATPIQNSYSC IATPYSLSSRRSSSVAYASTVGTVTRSASFVGTATATRGASTLSYVQYGCYDGAVSSA LSYNGAVATVEASVTNVDVDKCVQYCASQSKDWAAVGGSRSSTRCVCGSTFGTGSGPI AMDYCNEPCQNPAVAQNCGDANRFMAYAVAASATGGQWYQAWSSSITTRLVRITRSFQ GQTCSL PFICI_01047 MVETEDVGTVDGVVVVDTEPDEPDELGELEVVVVVDCKVGETTG EPVDELLDEPLDELLDGMLDGELLDGELLDELVVAVLAELAVDAAELVAPEEEEALLL DTLLDDGLDVGLENVGVVLGVELGVVGEELPDDKLLDEEVVADVLGELIVDAPELTAL EEVEAVLDRVGVLLPLVALDPLDGPVEVDEALEVDEAPEVDEALEDVVAALDGVLLGL DAVVEDVDDEGLLVVEGFVDEGLLLVGELVGVLVGATDELGDVLVKLEEIDVAVDVNE EGEVGEDVIEADVGEDEGEEEGEGEGEEEEADEEADEEADEEAGEEAVEEADEDADED ADEEPDAEADAEAEEEAEEEAEEEAEEEAEEEAEEEAEEEADEDADEEADDEADEEAE EEADEEADEEAVEDADEGADEEAEAVEDATEDETVEEAVEEAVEEALEEAVEEALEEA VEEAVEEAVEEALEEAVEETLEETLEEVLVVVVELAALDDADELDVDVGPPDREGVAA QPVSRRLSETSVTGCGKPNRRLL PFICI_01048 MESQEVKSFNAIFDEDNVEPGTAEFASLAVFDPNTGAVIGSETF VIQYVGCYGLPAGLSPFVGDNATDKYRNDTTAGDTASNCASYCASSGESLSSNNQGVC FCGETVAPGYPGDDRTNCQEECPGDKSQRCGGGQTSKRDGFSYFNVILALRQSEVEVV EVGQNSSTSIASPTGTESVTNTAASSGINTGTGSESSSNTAAASGTNTGSGSLSNTAT SGANPSTVTGSFSNTTASSGSSTGTGSESSSNTAISSGINTGTITGSDTGPGASTAST ASGEQSPGASASGSSFESMESLSASGSTGASDSNASSTGSGVSGSGVTNTGPTSGSLT TGSSGPTSSNDFNTGTSPGGSIPETTSPTNNQSVTPGATISSSSSAPTVTDRSDPVIL AVMPTSESDGMSGNALQARGFRRQAGTTAGFVGGAGPVNPLSCTDATPFVLRNGEFFS GSQRISTNPNAAYAPFKVEQQGSISLLFSIVADTYLTWTNDAFSGGSATFCQDQYGQV YALFVSQSAIPFQCTPVVLLVYSALQCRDGTLITQAPAISTPPVTIDTTGTGGNIQTT AVPSLPDDFYPQGTIPSDGSCTMTNMTWVLGAPTFMGLA PFICI_01049 MTSQQDKSNPVASEVAREAAPVQPGDLPPSYEDTVGDTAGPSIT PNTLMLSGHHVVPVSAANYNPQPLYELNRGVAVCTNATRSITFSRLDHEVRHKSDGQP VVKERKRHLYDLERPHSLPLVGKYSESWPSRSSGQHEVSYWCRSRSRQTFGDVGLRLK SSFLSSRSSAQVVRVKPEGGESEGRAVVRETKEDEAQSIFTVEWKKKEEFHTWKDENG NQVALEIASDTEHKLEVTSILKQEMLDVLVAAWCLRIWLDSVLKHERDKDMLTKLGER PIAMRYSKVFN PFICI_01050 MSFLNKWKPGAGGGSANGSKDVQKKKEEPPPELTPLEKMLQNAG PVRGDGSDKYFGLENFGNTCYCNSIVQALYYTVPFREHVLQYPPLSPADTPSENYKPK VNVVVRTLAENGDAAASNGNAKPVTTAEALARRKAINSGQVPPSQGVRPEDKPDTPEY KKKQAMLKGPILELAQENPGAYGMDECSFTGLRDIFMALVETNSRTGVLSPQRFLDIF KRDNEMFRNSMHQDAHEFYGLILNDVIANVEANARRMLEREASRSEDGLVKSVESALG AAVTHTSNGINSPGTGWVHDIFEGVLTSETKCLTCETTSQRDETFLDLSIDLEEHSSV TSCLQKFSAEEMLCERNKFHCDHCGGLQEAEKRMKIKQLPKVLALHLKRFKYTEDYSR LQKLFHRIVYPYHLRMFNTTDDAEDPDRIYELYAVIIHIGGNAYHGHYVSVIKTEDRG WLLFDDEMVEPVDKHYVRNFFGDKPGMACAYVLFYRETTFEKVRAEQEAEGLEEVRIA SEKADIASDEAGKINTNGGLELTRQTTQPTSPIEEHALANLDHALTAPEAPSSPQQEV PITHFDTTIPRSDTKSKDEKKAEKKEAKAAEKARKVAEKEEIKAKEKQRKERESQLRE ARKNEADELRKALDESKKMATEADSKNRDRAASVTTHDSPGLSRHHRGSKSMSRRSFA FLGKDKARRDSSVDIPPVPETPTTEVHNAEHGKGVNGIPTTPSTPQKTKERFSFSLGR KKSTILS PFICI_01051 MNAQRTAIHESRLTKSPLPIPEQDDNHDLLTQLDTAHIDTLQVQ SPTTTLQYSDPSRIAVLLLNAVAILSEDRFLARVGLSASSHDPAFGAGPGGDQSFKFK LMNMIASVRMLTRGT PFICI_01052 MGAIDTLLSLAIPALVIVHLIVAPYTKVEESFNLQATHDILVYG TPTSDVYAKLSARYDHFDFPGAVPRTFMGPVLLAGITQPIIGVVGFEYAQFIVRALLG LFNAWAMVILKSSVESEFGRPAARWYAVLQATQFHVLFYASRTLPNMFAFGITTLAFS QLVGNPKTLRLGRCKLAIGLLTFATAVFRSELAILLCTTTAYLFLMRRMGVESILEAF LISFVFSLITSIPIDSYFWQKPLWPELSAFVFNVLQGSSSEWGVSPWHYYFTNALPKV FTNPLVLSLGIPMSLYAPATRAQAQTFILPTLLFVAIYSVQPHKEARFIFYVVPPMTA AAALGANYIFTRRGKSVLYSLGSIALVASVLASFVISTGMLGLSSLNYPGGEALAELR SLVQPGSSSADVQAVTVHTDVLSCMTGVTLFGQHPYPPVDPKPTTDAISFVFDKTEEP STLRSLDFWSKFDYLLLEDPAKAIGSWESVGLIQGFGGVEILKPGMDADGALETGEGQ ILGRGATVKKIRGMIRPLTGGWWIGPKMEPRIRILKRVRGLPRKEVKE PFICI_01053 MEAETPNEGGRRRDRLMGKMFGKQRTAEKERERQYKTEQSANDL SAFLGNSDRLQVTHPPPPPPVHGHRDFEARQPQLALDTSRAMRYPGALDVGNDGQQLA YRSRSHSPPRNRKNKGLVVRFADSYPEVIGEGGDECETIVAEIGRRKRSKSAPLTAPS QSSLKSYEHSRQGSDTRAVSPRKEPNDFVPGPMKRTQTGFSTIGDEPKQDSLAPNLQA SPQPRTLPAGEVTRSRFLETSDRKDEARRSFIEIHQYEQRQAEGMAFAQARSASTDSH QGWDEGESSPEPVRTTQPRPPRDLPTIPIMPEAPQLPPAFTGSSERESSPSPFRAQST RKPQYQPMRHEDRPPMRALSTRTHIPPLMEDHTQPQQPPMAPPPRNPTRRSPLPPVSP SKNPSPSSLEYPRKSPLPPISTDVETPPAFANEVPSARASEIDELRLGSKIDSDSPSS TYSGSIYSTSDMSHKATPITQNPRDRGWSASADKAPRKLSSQPPENEGAYDEFIARTK HLYELFRLHAEQFKSLGSSKPDDMCRAALWWFLKGRIALETAMRSRGQEADETKSLMN RYQAYTDLTKSYWLTEVALPEIADGKFSTTEGEIAEVRQVLATNLKKLAGSMKRNALL PPEEPFMPQMMDKSIWIEYPVLSQDIISLLNGNWGSLLVASQQSAKQVPLVETLPLGD SPTLFNYGRVKADVYLMEQGLESMHTHFSCMLSINRQQKDSTLMFTVASQNGTVTLCI QADKNAGPSWDNVKWRTDKCSLELKMPRGFMVAIQCSQTDFRMLWNIFDFNAKVQSYL YPRKEEVVAFQSKLRGFHYFDSDPQGRTFPKEPVGQCEIGLYEKLLKEGSPTGPRTFH RGFRLAIVTGPRTRTLSGVTHLYPPSMPIQYAYLRGEQREPMIQLSYNDGKAKARMVL IFNDDKEREKFISLLKGSYVHSDEEVVTEVPIQGFSMTEGLQDTKASFPALQRLPWQH ARIINDRYAGDVPPVVLAEKLRLELNSIDAKGASVGTIVDRVNMAPGEFKIRLGTKDI LTLHVIRNPQVDLTTSVLDRPGLTDAPREFATLQSTVARSPTVRTYRFNSFKDLHAFQ NGMTGYTVVFDGVASSLNITRRRMVVPVHKKWESGTARIQVAHHALDGTVQLLAFFED WIHGHCMGFVLKGTDVYEASGKMGKAAIKFVEAKFPLPKGGDGGEKRDEDRFLCLDMP DYAGEHDDISIVFEDEGERDKLCAVLPAPVKGARLSKLGR PFICI_01054 MPTFVTFFVDGKVVGSESSPTRSDTSSPRNLQTSSEMQRKEKAS DRKVVQKWQRQQQKASLRQMPLDMRDANPFCGGPKMDDLVKKITKSLEKHQYKMEQEI DNIVDTPYHNQQTRLMSLVEPPQNCCPHSFNGWNCRFHPRAPPAEYWSWKLARTREAL GRAYFVDLFVHWKRYQQATRTLHKQRREELGLTDLAPNLDFPHCPITTRNEKKQLLGK LCLSHKLHFNGRRSGGLGTSVDTCYLCRYDAVDAVYTTACRLAYAKYCEALATATDRI HRAMGRVWFAYAMPDPNPTVREDTSGRRVLHVTHWSEYQAGQFEGGSVPFSWEARAAS KRKCEVWRRMSGKKGDGDGYVAVKPGQNESIETWLDNIMEE PFICI_01055 MPRSSFSDNPLLRVSRPVSACSRCRSAKVKCDGKLPACTACEKA GRENECSSANDQFARGKERSYVAALELRIEKLERRLEYARSRKASVAQYESEPPPTQQ PDRKDSLATIRAAIHRKAERTRENTDVNSLMSDFGFLSINATTRDFEPSMTNMNFARL VLASSSNDPLPDTQGWAAPSREEGLGIVQYYMNNVFSLYPAFSETTFYTTLEQVYNQP DRSIKDTDYWLVFMVLAIGSVAQSQSSNDAHYRNGMYFVSRAMKHADKALVPGYVTQI QSLILLTQYSMLDPTHFDSWHLVGFTARAVVDLGFHQDPPPEQMPDKAQLDFRRKLFY CVYSLDRSISMVQARAFSFTDASTNVAWPRAKSFSRRASITGQMLAGTEPAFLLFQLR WAQSHWYQVLFQSDTVPISDSTSFIWQMCQDMREWADALPMSLPVAMREMFDLELRYS YVYCLMESSRAPNMSDYRRSLVFEHTIAYLDRLHEIVQNGSKNAFYTSHDALRVFFMA TKLVAVLNEAEDLIAAGVTVSPPASQPGKPLPPPLPSRPGGYGLDNLQRSLDSLDRAN QILLRWGQRWQDSSSLQQALDMITSDLTPRLRVRQQMRQRASPPQAMAQPATREMRWV DVDVEAMMRNNR PFICI_01056 MDAPDVFRHVEGFGLYQRPRPPHAQHHHPKPVPQLPREPSSGDG EGEASHRIAHTLTACCRCRQRKTRCDPTLPRCLPCERSGSVCEYFDTTKGKKISRYYV VKLQEKVRALEAELGLYTDEEEFPKNNEDLLRPGGLVRLNESDETPRYLGPSSGIAMT RMVMEEAKRYTDSNRISELVPSVRNRRPIAPETLNTLATRSMSFSIPQSAAARKKSFP MISGVPAATLPSRVIVDRLLEVYQQRAQVLTPVLHESKLEAAIRDVYNGSQDAYQQFV VRMVMAISMQKLDTTYAGLADSYYLSAMQYFERVVRPKDLKTLQCLILLGQYSLLTPT RTAVFYIVGLATRICQQMGLADEKTISLGIEDPLTLDLRRRLSWIVMTNEFGLSYSMG RPSGFAKTDDFADVAFFSTVDDSHITENGITPGPVDDRKLVAIHFCKMRLLQNEIRRV LYEKKQATPRDDRDPWFASMESRMQQWINSTPETPAWCKPWFTGRYHTMVVGLHRPSP QVPKPSATSALKCFDSVAFVINISSKQVMASAIDVTWVFLLTLYMSVNTILWTVASYP EVRAAHSRDEVQELINVCLDIIDSCAERWPGTSAASKLYGKFAEACLRSYDARGTPIM SSSSVFGTPSSQPDTNSPSSEVTNATTISKNSGPPPMFNPPQFGYVFNQQPEQVHFDS SFPSQPAFRSNSIFLNPASTEPSTGRRFSYFPPDFTQSTENLIMEETTPPGTEATASP PILSPPDHLPTPPDSVGNGSSATPLFNTPHIPPVAMSTPVMKAAPPVAMPNHLMQQAP PPPQHTPQVAFALPTLSSHQTPMVQQRPLPPVTSGTDWFNPPPPFISPYAFGNTNGNM WNGAGNNGFNMMGMNDNSWAGLPPERQGSLNQSQQTELMDVLENEGMTDIDTYLNGFN YGANDLLNGIGMNWNGTS PFICI_01057 MIGRVLAVLPLALLLPGGHAICLPSSSTCASFLGHTFSNGTAVV HTAETIINGSTFTGTSANADYNDPQLLVPQACRLGLTIRTTTNSSVEAEIWLPPTAEW NQRLLTVGNGGFAGAINYPDVVWGLRKGFASVSTNTGHASNSSDGSFLSFPEQSINWG HRALHVSVMAAKEVVSSYYGNSTGGKLYSYYAGCSTGGRQGLNAAERYPEDFDGVLAG AVPWQTHVAGWQTYVALLQFPNTRASYIPATKWPFVAAAVLEQCDLLDGVADDIIMDP SKCTIDFAALTCGTGTLNSTACLSDEQAANLERMYTPWLANGTTGELVHLGISPSGEA SFSYLMNGDEPQFGPTWFRHAIYNDSAWDWSTLTAADIFFSDTINPGGANAYDPDLRA FGDRGAKILHYHGYADPLIPTLSAAAWYATVQDFYGAHGRAGEVEDFYRLFMVPGMGH CSSGAGAWVLDAASQSGAVPPVEDASHSMLYSLVNWVEGGSTAAPESIIGTKYVEDVA PSVQFQRPICRWPAVAEYDGVGDADDSESWSCPVV PFICI_01058 MAEVPVVQILQKDNYANQHIVSLPDEVPYPPLTNPSSLRIRTKV LSLTVNNLTYAKLGFLLKWWDFHPLPPSTPSALSDPAKYGRTNCWGYAEVLESTVTSV SKGSYLFGYLPLGNLAQDVTVKEGEVSGQVLITNDYRQGIMPIYNRYLVFPASLREQI DAKADTVAMDAAVRIMYETSYLLNHFAFAEKSDDILHPASDTSRPWGTGEADLAGATV ILFAPGSKVAAAFAFELRHARKQAKAKRIIGAASEYSKSFVEGTGLYDEVVSTSSSPT ELLAKWQVGPEDRVVIVDFGGRAGVAPKWAAEIQKTNSRFTILSVGPSISASSPQDVL QSMGSATGGAIISSANDMRSRAIEKLGEQEYWKGLNSAWEGFRNQGIKGLKFKWGHGM DDVKKGWDALCTGSVVPEEGLVFLL PFICI_01059 MESRELTASYLLEKSAREYGRWSSLLPWNRLCERYPYIRYPPCP PQDEKCTCAESNNGPELPLLTEEYMLDEDTEVKDPPEPEHESPVVTYFKRYKDRAKIA FASTKDLYNPGLPAQGVFYTIPEILELFTDRRMQRHGIIMEGLPTQFPLSEQADKFYR RCKHYHPYYCLMPSVMHYIQNDIIGKSGYCHFINTKGKRWWLQVLEGSIRIAWLGSTT SDEPILLGNVNTAMMPVKPGYDEVTFFKGSVGHFQSISYLKITFLEDAVLHRGWLLEP DLAGESDFLIRVGLDGMPEHSGREYEEFLAAEKAKAAQDPPRD PFICI_01060 MAYERELDVALRAVHRASLATKKVQRQGQDKGVSDKSDATPVTI ADFAAQALLIGAVHDAFPEDVFIAEESSDILKGNADLLQRVWDLVLSVGPLDGLPVPS TKEEMLDLIDLGSKTSKEIVESRTWILDPVDGTKTFMKGQQYAVCLCLLENGVQKVAA LGCPNLLYDPDTPLVTEDIVDTEGNGIIVGAVAGQGTFFVPLDNFADHAARRTAKLDT LPPYPGSLRFIDSEESSHVSKRHHEYLYRTAFPHASHRSATLSDSLWPEDVWAMQMKY IAIALNAADATFRIPGDPKFYASVWDHAGGQLILEEAGGTVTDSAGKKFDFSKGQRSF ADGNWGFVVARSGEVHQAVLKEVQGLISSGLKK PFICI_01061 MAPMKVLIVGGGIAGPALAHWLSRIGANITLIERSPEKRASGQQ LDLRAQGIPVMKKMGIEAAIRAASVPEKGMQVVDNEGRVKFQFPVGKPGSGKQFFTSE FEIMRRDFVQILYGLTENQPNVQHLYNTTVTDFTQDDESDPNGKVHVTFGDGRKEDFD LVVAADGTGSRTRKIMLGPDAPDPRHRLGGNIAFFSIPSDPSDSDWFTGTFLPGSTTR AIGTRKDCPELTRVYMISRGNVKELDEAHKSGDLAALKKAWADLYEDGGWQCARFTNA LRNAPEADDLYSTPQEEIRLPEGSWSKGRVVLLGDAAHSQTANGFGTTWGIVAAYVLA GEIASLLATNSSSPPTAAVVQGAKNYEKLFRPVSTAGHNKSQWPENFLMPKSRFMISI MFFIGQVISYFGLHQMLDLEGEQGKWQLPEYPALDKGHLW PFICI_01062 MVNVATLALYATAVLGAAIRRDVAETLADLQAIDASTNSLTSTI NSWDGSVVGALTISSAATAVGDQIDAANDDASDESVASSEDSATIIAYITETGEPDIK ASLDALVAREADFESVGVAAVVLSQLQTLKSKTDAYGATLLSITSTDQQDAASAALAL LDSDFDTAITAFS PFICI_01063 MEGQNPPQAFLSSYAPRLRVYNNSLLNPVLAAAPGPQSRTTKRG TTLINYAEDGYDYEDDDDDDRRRPTGLRSLRREDSTSALTAAAKVGKEARAPVGVQGI WREWMLKDKKRSDLGNQAQAQLPVTLIPIRIDVDIPAFMPAPAYPLPRGVQQITPQMD ASLYRNGELTVAYKLRDFFLWNLHETIITTDQFATNLVQDLDLPNQVAIANEISKQIR TQLEEYAGVALHPLFHSEETKTAPASNVAAAASTALQAVARDSPIAPPTPLPASVSNL GGSSTPLANGAETPSKASASQAPEVTAVATPVPADDGDEFSPDDTYRCLVTLNMNLGS NLYTDKFEWSLLHSPGTAEAFAKVTCTDLGLAGEWVPALTHAIYEAVLRLKKEACEAG GLVTGWGATGTEFPNDAAHGAEAGWRYDPEHLADDWEPKIEILSKDDMEKREGDRERQ IRRLRRETARFSSVAGMIGGTPVGSSFGVQEEEERMGRGERSKKKRRFRSLSPLNRSG TPGGWGTPDVGYGGGGAGSLTDQERYNWRCTHCRIWGTSVWAVRDGPYGPRSLCANCG LLYEKNKRLPRWTQNLHYMDHRPF PFICI_01064 MTLSLFPSQDSVRKSFGSPLPQSNKTGPTPYAARPELYGAWSVV EDAKNKAQKLSKEAQAEFDKASSKAQAKAKSGTIELYSRQYYAACVVGGLMACGFTHA AVTPLDLVKCRRQVDAKLYKGNFQAWGLIYRAEGLRGIFTGWGPTLLGYSAQGSFKYG WYEYFKKTYADMAGPEAAYKYKTGLYLAASASAEFLADIALCPFEAVKVRMQTTIPAA YKGTFDGFSKITAAEGTGGLFKGLYPLWGRQIPYTMMKFASFENIVEAIYHKLPGEKS DYSKAAQTGVSFVGGYLAGILCAVVSHPADVLVSKLNANRGAGEAFGAAVARNYRDIG FAGLWNGLPVRIVMVGTLTGLQWMIYDYFKVFMGFPTTGGAPPPEQKK PFICI_01065 MSEEAAERDHGSPEPLAPRCGPDRDEWEAHKDRIELLYCERQLP LKDVIRIMQKEHGFHGTARMYKVRFKQWGFRKNLKKSETLDVWSQAHAGTAHLPMIRG RHLGPKRLKEQVDRFNQRKTMNTCDGVSPVYIKSPDALHHLDSALHQIWRYTEGHLSS KHWDLTTIFSWNDESLNWGVVLREAAFQLSADGDAPKGFELLDDACDKFRDVARKQQP LLLWNLIVSITEISSAADRRVIASFTNYLVAMCTIELGPSHPLTMLCANLRSMDRLSM RSYVASFLGAQLDLLSKQAQRGDVLAVFMRMITSQWLNDMGLILDFSFARMISDVSTE LKASEMVKASNFGLGVAWGALLLTQRLIAAGRLVDANALLACVKRCAEDLGGDPALMS EYTKLKATFQEDSIKLEYDDAHFQGDAGETGIPGRYLPPGSSRPHDLDSNAELRHVLN GLEALKIYRGAWPVR PFICI_01066 MAQTAAMSSSQPFVSKWSDRYRGAIVQDLEPPAALSINPSDPVS LALISAFEREYTHLTIIDSSSKALLGYVSIPHLQAQLDSGAVKPDDPVSAAMTRFQRR GRKYTVITMATPLEELEAFFDAGPQKQDFAVVTDEERRFVLGVATREDLEEFVRRRPA PFICI_01067 MAAPASKTIGDLNGKWVMNKTLSDSPEPGLALQGIGYLTRKAIG FATVTLEVKQYTEEGVPHVDIEQTATGGLKGTSEKRTVDNTPREHADWLFGKVKARSF FIKHEDLPGRIQAELGGDEFLAGDWIVADEEKTGPDGALHLVNWVEAEAGWTAAQVWG FQLIGGERRYARNIVIKKGDKKVELRLVYDFVSA PFICI_01068 MATEKPSVLIIGGLGYIGRFLALHIQKNNLASDVRVVDKVLPQL AWLAPEFEEACSGDKFMQADATREQALAKVFDRADGKQWDYVFNCGGETRYSQEDEVY KLRSLNLSLALGRESAKRKVKCFIELSTGMVYKSDSSPSKESDKLKPWSRIAVYKLEA EQELAKIEGLNLVIVRLAHVYGPYASQWVATALCMARVYQHLEDEMKWLWTKDLRTNT AHIDDVTRALWDVARWYDAGKANWDTKEMGTTPTFNVVDDGSTTQGTMAELIGQIFNI QTGFQGTLISSFARLNLDSVVDDVNDQVLGPWADLQADAGITRTSPLTPFMEKELLKD TDLSMDGERLKKVVGFQYEKPRMTKELLEDVIESYRKMKSWP PFICI_01069 MAADPFDSALDLLRRLNPKHTTQHLQSLISLAPDLTEDLLSSVD QPLTIRRCKQTGRDYLLCDYNRDGDSYRSPWSNEFDPPLDGGVGDGGAGDGAGAAGVP SDKVRRMEVKANEAFDVYRELYYEGGVSSVYFWNLDDGFAGVVLLKKEAKPGSTSEGS WDSIHVFEAIDRARVTQYNLTSTVILSLANSGSGLGDMDLSGNMTRQVETELPAENDE QQIANIGKLVEDMELKMRNLLQEVYFGKAKDVVGDLRSIGTLSEAGRDRQAQREIIGS MNKFSRD PFICI_01070 MNNSVAHTANELLNAILQSPSGSWGDILPSSGDSINTAERRPIT DPDKLKFLREIIALLLNGRRICASFNLTVLATLAILTAIHWQLKFRSRRQIKRLVKET ADASKASPQTPDKPNNHNRHLSIEGSRDELPSSSSSSLRTGSRSPRQVVKPENHDIER QPLLGHQLPARVPLGVWRTIRCTVRSWLMYQPRPIPFINRSLPSNGTTLFVLGYIGAN IFHHLYGGSFRPEMEFVFADRAGFMFIVNMPLLYLLAAKNQPIKFLTGYSYEALNIFH RRVGEWMCFEAFVHSLGMLLDHLFFTVDWLKEGDIWFFLRHPLVLLGIGAFVSYELLF FTSLGSFRQRWYETFLALHVVLQVVALVFLYLHFWTARPYVLACLIIFLADRLIWRLG LKSTSLQADIRVLEDGNTLMLSANWDIFQTKRTLFCRVFGPSIIQGWRPMDHVFITAP SLGRTHRLQAHPFTIASAAPGVPSKGDGNPFHAWLALLVRAHDGFTRDLLHYAQSNPT IKLRVDGPYGSTDALEMLLTSEISVLVAGGSGIAVVFPLVWELVHKQDHIFPQRQIHL LWVIHSSSHRSWLSQNRIEDLKRAGVHITIPPPTAEVGRPDVDSYIADLAERYSQSGA GMGLVVSGPDAMNRTARNACATAVRRGYNVRLSVEKFGW PFICI_01071 MYFTAAISTLFATMALAAPAPAPAETKSMMADASWTIEGMKRTC TSDLKSCTWDFTINTHSGTPQACSGSVTGSPATQTDITTAIKCGNFQWTAGWSNQFGP GFTTLAVVDNVNRLIAFPAYTDVQLANATVVTPDQSYTPQALS PFICI_01072 MSASNITVYFLGASRAIRATWLLEELGLPYNLVSEDRAANRLAS PEVQAKIPAPLKKSPTIKDGDTVVQESGAIMDMTPRASCSRDPDVRAKTREWVHAAEG VFMLHGLAVLYARWTIPENAKSAALPEMEKKLAVNVHKDLDWVEEHVRKQGTKFLMGD AVSVADIMMQFSIELIYVSKLGVSAEEVGETGGDGRWPLTKQWLGRCMSTPSFTKAVK KSGYSLESFRN PFICI_01073 MSGAPIVPVPAPWKLKGTVYTVTFWCKAGQLPDFAYSPLEAASA YADPKISGQHHGGTSQLQVIRYTESPVGPYDEMIIIPGFFDYRVEENGKVKTKKNARV TRIYVSQNKTCWNGRKNWNIPKHLARFDFQDLPDGSTKIRVYPHDTCDGASEVQASNV PFFQAIVQPLRWAPPFPFSASWLGYAGLDISLVQPPLPEGNGSQGELPGTDKWCKILP GQASNNTSLAWIDLSQRDEQGALNGQNENFWPNLGRWQLGVKMENCDIDFGEGVYWSA L PFICI_01074 MSSAEPAQERKGPVKPQRVLACVLCQQRKVKCDRRFPCANCNKA GAQCVPAVAVGPRRRRRFPERELLSRLRHYEDLLSKNRIKFDPMHPDEADHASVDADD KNSDHRDDSKSESEPTSSIAEPSPGERTPTKSRQFNIWRALSKKTLDPEKGSIYDDDD DEDGDSSDDARDVHAEVIAKAWDRTFEGRGNDHLLFGSPESNVDLSTLHPQQAQIFRL WQVYLENVDPLLKVTHAPTLQARLIDAACDTPNISPPLEALIFSIYALSVMSLDDEKC LELFGLAKTDLLSTYRFGCRQALQNCNVLRSSDRDALTALLLYLLAVRSETDPRSLSS MLGMAVRVAKRIGIHNKTTYERSDPLEAEISRRLWWSLVMFDNRICEMFDYQNSSLVP TWDCELPLNVNDTDIWLEMNNPPAAHQRPTEALFAVVRSDLGDLIRHSSFHIDYINPR LKALANYGRFGSIPDADKLAALEKIIEDKYLAFCNQENPIQFLTLWFTRGSFSKSHLL EYYSRNTKTEGQQAESRRNTMIFHAIRMLECDTKLINSPLTRKYWWFVEFNFPFPAYM HLLQELKQHPTAEHVMRCWDVMSKNYEPRSANFRNGKSPMKVIFSRMILQAWRAREMA IGSGADLGPVPGMVAEVKRVMDTELIFPQQQKSEIVKATMDLNLSGLEMPLSDPLGLE PLQGPVIPNFGTNLPWSYDGISRQGVVDVGMDQLDWTTMDWNSMYPGHGI PFICI_01075 MYHQTVSAVLTGLLYTTHVMGSNNAADAQPGRVLAARQQSADCT AGISSVLSAFPRGTGDAISYVESALATGTNGGSGGVNPTQLCEAINALPSSQQSEISD YESSVTSWLSAEGSRIDSLVSSCPNDTAVSSLASVTSELSAYASGCNASNSSSSSSAG SGSSNATTTTSGGGGGVGGVGGGGSQSSGTGTSGTTAGAGTQTGTPAGVTGTSGGNAA SKDPAFVIGAAAIAGVMGVAVFL PFICI_01076 MGLPDDAESGPEMGVKMAEKTQGSNIVDWDGPDDPQNPRNWPSL KRNSHVVFISLFTLYGNLASTMFAPGAGELVQDFGITSSVLAAFTVSIYLVGYGIGPL VISPLSEVYGRLPIVHICNVVFIAFTIGCAASTNTAMFFVFRFIAGSACSAPMTIGGA VIADVTTPEKRGKAMSVWAMGPLLGPVVGPIIGGFVAQRLSWRWSFWIIAILAGVVFV LSFIVMRETNAAILLQRKAAKINREKGEKYLVVEGDTQQAARQIIIRAILRPTRLLLF SPIVTLLSLFSAFVFSLIYLLFTTFPSVFETQYGFSVELSGLAYLGLGIGFCIGIIGF GATNDRIYNKLKGEGEGTPEMRLPTMMWVSPIVSAGFFWYGWTAYYHVHWIAPIIGTS LIAIGALFVIMPSQLYLVDAFGPQAAASALAANLVVRTLSGAFLTLAGPPLYSSLGLG WGNSLLGFLGLLFVPIPWLFYRYGKTLRERFVFQP PFICI_01077 MSALPTPVDSGASNGAVSPSTASAAEPQYPDCSNWSSILRDLRK VNAPLDCAHKAGIPVPGENKAGPRLLYGCRPAASMDELLHALPPRHLTNRMVSYYFNG LDLSSAIIHGPEFLKEYDNFWKSPENIAPLWLALLYGMLCTAIQFQKANPESSVLPGE DLDMSLNIYRDKLCQCLMFGKYTQGGPYAIPALIHYIVIELFDKKDADDGTWLVMGLV VNIARRMRYHRDPDLIGNLSPYESEMRRRLWRSLLVIDSALSESVGAERLIREFDAVR EPRNLLDTDFDASTTVLPPPRPDTEPTPMLYCVAKGKSLTVFGMVTDLVSKQPCDYAN VLKYDSMISESLAKMPSCYKWRPLEQSITDSPQVICRRMYLEIVYLKARIVLHLKFTM PQKDQDRYAFSRKTLFESVCKLLEFHHICDEETQPSGQLYAARWRYLTAVHQNFLLAS IAACFYLEHNKATMDKDDLELFKRLCRRSQGIWIRTSRSSTEAAKASEALRVSLDETD ETNTNLESSTQPELPMPLDTPTDIWDYQCLPSYFGSFDLPFSYSNLFDGLAVPPVGPE PADNLLVMPLAGQAEIFTAPTNWSSVDHRCAQ PFICI_01078 MTSVIDQIKAAAPRNHELLAILSETDHAPPDLHQQRTYLADLNQ QLINQGNSIRNLEHKRQKELSEHANYRDSVMRRFAYKVGGKKEKFAARAAKEERDYFD ALQEEHKAKEQLKAVEALRDDALRVRDGLAAEVERREQAQRDLDGMYESIFNGPTPEF PDEDQKEHKCTTAWQAYEEARNRARTQGQAVDGLREAARRLDGAVGSVEEALDHSRMD MFGGGTFTDMMERNALSQAEMQVQDAFWHFRNAQRSDPLIQDLPPLKIAQGNLMSDVF FDNIFTDMAFHDKIKDSRAELQRCIEVLRVQLQGATSKHQELSRDQDAKNEALKTARA DLQQARKGIFERTIRGDDAPPSYKEVTA PFICI_01079 MPGGDGTPLHMAKFILAQLFTNAPKPTTSFAGQTIIITGSNTGL GYEGAKHVLTLGCAKLIIAVRSVEKGETAKRSLVQATHVDPSIIEVWPMDLASYESVQ AFAERADRELERLDVLLENAGVASVEWNTVRDNERMVTVNVVSTFLLAFLLLPKLRAT AARYNVKTHLTIVTSDTHFLIDFKEAEASEGIFNRLNNKEKSAGEVADRYPTTKLMQV FLVRELAARLPLDSNSVIINCTNPGMCHSELSREIDSLQVRVLKFILARTSEQGSRNL VAGACGGAGTHGQYLDVGKVRTPATVVVGPGGEERQKRLYDELVKKLEKIVPGVTTKL PFICI_01080 MLFTSALILALAACRAHANGQAPVHGTEDSHIPPPFPDNGQQQP LVGSDSSRGRPNIVFILTDDQDLHMQSLEYMPLVKKHLTDQGTFFKRHFCTIAICCPS RVSLWTGRAAHNTNVTDVNPPYGGYPKFLSQGFNEAYLPIWLQEEGYSTYYTGKLFNA HTIWNYNSPHVAGWNGSDFLLDPFTYSYLRSVYQRNKEEPVSYEGQHSVDVLTEKALG LLDEGASSGQPFFLGLAPVAPHSNVDASVLGTGYDVRPDMVAPKFTAPIPADRHKHLF EDVKVPRTENFNPDEPSGANWMLHLPKRSREVVDYDDHFYRSRLRALQGVDELVDRVV ARLEELGILENTYIIYTSDNGFHIGQHRLSPGKECGFEEDINVPLIVRGPKVPKGRVA DIVTTHTDLAPTIIDLIGGKIPEAAHFDGDAIPLTGNGIDEAAKSRHEHVNVEFWGLA LGEGKTFPGYESRWGNNTYKALRVVSDNWNLYYSVWCTNEHELYDLKTDPGQLNNLLA PRAKSPGAPRSLNGYPLDKVAARLDSLLFVLKSCKEQTCIRPWHSLHPAGNVNSLDDA LSPRFDDFYVEKQVKIEFNRCEFGYILDAEGPQFDTDGLVYRHGLPWSEWT PFICI_01081 MTYQDDATAASSESNTITPSETSSLLGGKSLDGEGDNHKYGSVE EPRDPESGVVTTPRIAAAAGQEGEGRRYSNAFIARTVVALLIGTFTTNADGSLVLATH PVIGSEFNDLENSSWLFIGFMLAGLATQSLYGKLSDIFGRKPLLLLCYGLFAIGCAIS SGVGQSLWQVIIGRVVSGSGSAGMTVLVALIITDLAPLREVAAWQSYLNIVSTTGRSI GGPLGGWLTDTIGWRWSFLGQAPIFAAATLICWFVLPPLKPESNTTSDGRLSKKLGRI DFTGAALLGLGIFALMLPAEIGGSRLPWSHPLILGLFVTGALLLGLFFLVEKNWAREP IFPVRLLHNKNAVLCFIINGCQMSAQLSMMYSVPLYFQVTQRTSSTIAGAHLFPAVVG NTIGALAVGHVIKKTGRYKALIIFATAAGMLCYSLLILRWQGNTNWAESMYIIPGGLG TGMAQTAVFIALQASIEPKDRSSATSALFLIGPMATTIIMAIGSALIVAGLRDGLFVR LTALGLGADAIQEAIASAAADVAYLDRAPPLIAKAAVESYVEGIEYSHYVSLACSTLG LVAALFLKEKALRR PFICI_01082 MATENGYGTVGNANAGRGSGTRGVVDEEQALLGQPPAKSNWRSH LTVNVHRDWADLVLLLCYFVTGLLDSASIQTWGSFVSMQTGNTVYIGLGLAAPTESTR WIKSGTSLISFCVGSFVFSRFHRYFMPSRRWVLCASFVLQMALIIAAAGILTWGPSSD NELSWNVLVPIGLVAFQSCGQAVVSRALKYNALTSVVLTSIYCDLFSDAELFAVNNTE RNRRVGAPVLLLLGAFVGGLIVRTDLGAAGILWIAAAFKLAVAVTWFFWPAAE PFICI_01083 MQMRSSLVLLGAVLGELGLAVPVEDSPRQRLLDGKPLPSKYTNA KNPYKPGFKDPLDKAVDTIGDELDPLPYRNGLGSSVLGPWNWERSRQNPDLVRPPSTD HGNMANMRWSFADSHVRIEEGGWTRQTTVRELGTSVELAAVNMRLDEGVIRELHWHKE AEWAYVLDGEVRITAIDYEGGSFFDDLKKGDLWYFPSGVPHSLQGLSPNGTEFLLVFD DGGFSEESTFILTDWLAHTPKSVISKNFNLAPEIFAHIPDGEKYIFQGALPGSISDEK PTGKNVKKSKYNFAHRMLEQEPKQTTGGEVRITDSKNFPISKTVAAAHVTIQPGAIRE MHWHPNADEWSFFIKGRARITIFGSEGTARTFDYVPGDVGIVPRNMGHFVENIGDEPI EMLEVFKADEFRDFSLFQWMGETPKKMVIDHLFADDPKNGEIFWDKVKSAAKDEVTQP DADLFSHQRVSSSELK PFICI_01084 MAIISASEKVDAFRNVVRTDTTTNRVKSFVVQGSPKFPGAAQQY LLDKLPIVQWLPRYHPSWLIQDFIAGLTIGVMLIPQGLAYAKIATIPIENGLYSAWWP AAIQVFMGTSKDISVGPTSILGLLTAEIVASYSDEYSPAAIASAVAFMVGIYCLILGL LGLGFLLDYVSVPVLTGFISATALTIGFGQLGSLIGLSNTPSMVFDIIGDALKRLPHW DGPTCGVGIGSIILLIAIEKIGKKLGERHFVFKYVASSRAIIVLFIFTLISYLVNKDR GDDLVWGISKVSTHGIATPKSHDAGLIGKAAARSFAPLVACALEHLAVAKAFGRRNGY AIDQTQELNYLGVTNLVNSFFGAMPCGGAMSRTAVNSECRVRSPLSGLVTAAWIILTI YVFSPALYWIPKATLAAIIIMAVINLFGPVSLFYKYWRMSLADFIASQLAFWLTIFQS AEIGIGAGVAWSLVWSLLRSAFVKADVNMSTNDSTSTESSQGLDRFNTTSGDLSTVSV PSDTVVVHFNDSIFFPNAARNKRVTVEAIQLVYDKLPEATVFRSRERSWSVAAERRVE RVRRERHISLRDVPLAVVVFDFTMTSWIDTTGVLALGELRADIRLHCGKEVQFRMVGM NRGVRSRFARAKWPLSDFDDEHYLDVDVVYPTLERAIVDRERLGSVLEAVVSEKVMD PFICI_01085 MKLAVFSAKPYDKLYLTTEHAAEIDAAAGIEVIYHDFPLNLETA ELAKGAEAVCIFVNDVADAPVIEALAEHGVKAILLRCAGFNNVDLDAAEDHNMFVANV PSYSPEAVAEFAVALVQTLNRNTHRAYNRVREGNFNLDGLLGRTLHGKTVGLIGTGRI GVAFAKIMNGFGCKVIGFDPFQSDEFKKIGEYMDLDTLLPQSDIISLHCPLMDNTKHI INEDTLSKMKTGAMIVNTSRGGLINTKAVISALKNKQLGGLALDVYEGEGALFYNDHS GHIIEDDELMRLTTFHNVIVCGHQAFFTKEALQEIGTSTLKNFADFSYNRPCKNSLLQ NKSELLRRKSIPIRI PFICI_01086 MGPFRHGIRQRSVITGGEYKNTLQSDGVMIRRCAGPSGLVAAKT FLHDVAPGTFHVTVYDVQTRIGGLWPLSKNDTAGLVHPLMIANQSKHTVQFSDFAWDD GAPQMPKAWQVGQYLERYSKRYGGADIRLGHKVVRTELQNGGQWQVETESEQGAQTSV FDYLLVTTGFFGKPIWPDYVPQSGPLSVIHSSKYRDLTSLLGKEETQGSKILIVGGQM SGIEIAGTIASHLSSATNSPGEKTIANPEKYTIHHVAQRPAWVFPLFTSAKPDSRAPP FLPCDLPSYNQAMRPQPLANNSGHISVDAAKKSNGVFESVLGTDQSDFSPEIKIGAEI REEQPFLAMSSHYADFVRSGLIKVSQGRVSAFDGSTAAVVPSGENITDVAAVVLATGF DPAPSLGFLQPEVLETISFSPSTPTLPAALAFHGTHHQAYPSLGFVGFYRSPYWGVME MQARFMAHMWSTPAPSESFKEALAADNSIERTLSLRTDPRCSQFPMGDYVFLMAEFAK ALEIPIVPARETPALANGKTMDIITPARYVSHGASDAALEEVEKNLVSTQETAIAGLT KAKFVAHAVFRSLLGEWKLDRALKSKLPSHPSGRFVGTAKFLLRDGTTDGRTVAEGQD LGMEYLYVEDGDFIADNGMKFRATRRYVWRYDEAKDVLSVWFARTDDNTRADYLFHNL EFLVPNGDENEEAKDWQAEASHLCIEDLYDVHYDFNFKAVNLKDWKLAYSVKGPKKDY TIAGKYSRV PFICI_01087 MSDPEKLSVEAASHNSYDPHQIRTNSDPFPGLPPDPDAHLSQEE KDAIDRKLLRRLDWKLIPWLCVLYLLAFLDRTNIGNAKLAGLVPALGLTTQSYNSTLT IFFISYSVFEPLTNILLKRLRPSIFLPIIMILWGCAMLGMGFVYNWSGLMAARWFLGL AEAGLFPGINYYLSCWYKRSEFGVRAAIFFSAAAISGSFGGLLAAAIEQMDGVGGKPG WAWIFILEGLLTVVVAVISFWLVHDFPDEAKFLSEEDRVRIIRRLRLDQQASAKHEDF KLTYLWAALKDWKMWLGMAIYMGCDMPLYAFSLFLPSIVQELGWNKSVVQSQLMSVPP YVAAAILTIVIGFVADRTRQRGLCNIVVSLFGITGFCMLIGSSSSAVKYAGTFLGALG IYPCISNTITWMANNTEGVYKRGVVLGFVIGWGNLNGVVSSNIYFAAPQYYQGHGVVL AYMSIFLLGGSLLMTTLLRIENRKRRQGKRDYRVEGKSQEEIDALGDKRPDFLYTL PFICI_01088 MAPIASALMGAAKRQEGNLGLTQDQVQMIVAFERAGACISIVGV LLIFLAFTIFKRLRTVPNHFIVFASFANLGASLACLIGYNGILAGNNSALCQAQAFMF ELFMQSDPWWSLAMAVNVYSVFFFAANPKSFLRFWWGYFIVCYGIPLIPSLVLLLVEG KASARMYGNATIWCWIDQHWSSLRIWTYYLPIWVCILLSSSIYIAVGVYVFKKRNQLR NLSLSDPSKERSGRRDSAEKRLNANAAVMGSISAETTHTTTVHVASPRSATHRATINW FAGPPTDSSSRDGNDAEQAQPTYHTMTEITAQPTPKIAWHLRVAGAYRGWCGKFAHMD PVKLAYLRTSFIFAISVLVTWTPSSINRVHDLVTRSSASFGLNLASGIVLPLQGVWNA VIFFSTSWKPLKEECRFLLNRLRGLPRGLQEAAAVRNERERGLELESRRHRKDRDDTA SEVTGSTMRVMRDGSMASL PFICI_01089 MSAESTEPHPPREAPAPTASEKKELTAEDVFEPHILAKTDPEVV KIVLRGVNAGVPPTASVPVEERRAHPEKYRAPWARATDDWPRVADQNFASDDGTASIP VKVYHPDPEVWGEGPYGVHLNFHGGGFVFGDLEGESTICDNMRKDAGVVVIDVNYRHC PEVTWGKAIEDAWSAVKWVRNSAESLRIKPESLSIGGISAGGHISLVLQHILRDEGIP LRICLPTVPGTTKALFYNFYTDSPHASFHEFFRGPILPWATIKYFGDYCFPRDQREER VALVPDWWADPLNCARDWTRLGETYIRTAETDPLRDEGEAYALKLAAAGNKVGLKRYQ GVPHVFMFWSGLAQKEEWEKESAAILRVAHGTAKVVE PFICI_01090 MATPNCWRCLARPSQRLLRPATITVPSTNASFSTSTAQLAKEDS GVSRHIRSGKRLVLGSKKKKIRDPGKPVAPGERKAFRKRIQLSNDNALEVTGLAPLSA ENIVDQKAVGTMVGLPDQLIDQLRTVEAFKSTQNWGLFRSPHMLIRQETVDFVKNITD KLGKKETVRTVVTGERSSGKSMLGLQTLAAGFLNKYVVINIPEGQELTTAATEYQEIP RSEQFSQPVYLLKLMQAMQESNKELLQSLHVQLDHIHLPFNTSRSTTLAALATATKEH DVAWPVFQALWQELLLPGRPPIMLNIDGLEHIMRVSDYRNPAYKLIHSHDLALVRTIT EALGGKTKFVNGAAIVGITTRGNYAKSPSVEKAIQQAVAAQAGERIPARDPFFSKYDD RVFEALKGVSVFDVKGVSKAEARALMEYWAASGVLRMRVDERSVSERWTLAGNGVVGE IERASLYAVRL PFICI_01091 MAPAPKLEVQVTKPTPYTFDLGLLLANDPNPLPPTDPSVTLEER LAQNARDGAQSLINQLLTTLPLQSTQAGVLLDLPAPTTALPREKPLPAAKEPTKWEKF AAKKGIKPKTREQRRMKGKQFNENTGEWEKTWGYKGKPKEGVVPDDWVVEVDENGEAK SAKSKGGANKKRRIKA PFICI_01092 MGRKEAPQPLALADSHTHAHIRSGPSTGSNGSATLSAPTSSTPN SSALGIKGAQSPRGTAAAGETNLQVISPAPSKSPRSPRSPFSKFNTSKKPETRLVHTS HGTSPSQLQSKFSSSQGSDSPRFDYKAGPVAESYDQEYYHYPQSAHANLSDSRPNQPN PRDRTPSASPVPPSGGIDAGRRTPTLLRDGAGNFQIAGPQQPVSRKTGREEEKHTRSA SRFFNFKSKTPQHRRGQTKDSFRNRDPSPNPRANDTNSSSEGMSRGPESQFNSDRGPP RTARQADQPTGPYSIQRPMASQRSHSDLSLTGDNESSSSSKKGKPKPFTLLTRTKSMK EREGPSPREAASDIRIQEPERTYAAPRHQPLKTAQTDKEALHDRSHRHVMDSSERNHS ADRATHRDRANKDLRPDRDNQNRSHPSSFKESAGSTFLGNLKSSGSRAADIFSNRFFG NKSNRSASNTEREPVVDDEHYQLKVINLPLVEQARKTRISKKLEDARDKTEFWMPAFP WRAIDYLNYKGSDVEGLYRVPGSGPQIKKWQRKFDEEYDVDLFAQEDLYDINIIGSML KAWLRELPDELFPKEAQERISRECAGAETVPQLLIDELSNLQPYNYYLLFAITCHLSL LLAHSEKNRMDFRNLCICFQPCLKIDAFCFRFLVCDWRECWKGCKNEAKFIEEEYALM HQPPPAALTCGNGQTSSNSQSNAGYVGPDDDDDASVARGDQAYHDEEHYDSSPGSTHV ALQVQGPDDQHNGKPRKKSTGGNGGANPAGLTVISHDPHGRSNELRPLSPIKPLSPLN F PFICI_01093 MSKLDQLPEELQIATAAHVKYIQSLDTKKDEYEYWLTEHLRMNG LYWGLTALHLLRHPDALPRDETIDFVLSCQHESGGFGAAPGHDAHMLSTVSAVQILAL VDGLDELDKRGKGRITTGKFMADLQNKETGTFAGDEWGEEDTRFLYGALNALSLLGLQ HLVDTDKAVDHIVACSNFDGGYGLTPGAESHSGQIFTCLAALTIAGRMDTVEKDKLGR WLSERQKPNGGLNGRPEKDEDVCYSWWVLSSLAMIGKTHWIDRDALIKFILRCQDMEL GGISDRPGNMVDVWHTVFGLTGLSLLGYPGLDTIDPVYCLPKSTVERTLGSHK PFICI_01094 MSAPEYHLDHAQGDDAERGLSLASETTKRPSDAQNRRKTNLKYS NEGIELVPQPSDDPRDPLNWPMSKKVAIFGIMCFGTFIGTATAVANVLAIPAQAEDFG ITPEQSSYSISAVLGGIVVGPVLLVAAVRVFGVMSCCFWSEIAVVATSIWSSLSTGPG SFNSFCASRAIAGLFCPTTQVFSAGVIAQVFFLHQRGRAFAIYSTIYMVSSVAGPTFS AFIVQYHSWPICFWWTVAANGLSAILFFILGEETGWDRVNNRPPSSSPIPRTWIGRRC ALFFPGTRVAAPGKMANIKMSYTVFAKVFVSPICVLAGVYNLINYGWFVMAGVQIPII LVTPRNMGGFGFSSNATGYFYFSAWIGSLIGVGYGILINDRLPLWIKTRRRGIWHPEY RLHTAWFPGLIVEPIGLGLFGAAVFYHLHYMVLAVAEGMIVFGATACISPAVNYVIEV FKSHPQEVGTALNVYRVAFTVAIQFFYAPWTLRVGINWVWGIASFCTLFSCVLIAILV CKGKQLRKCSLLPDEAAEEEEAQQRVLESAYDPIVATKNEYD PFICI_01095 MDPNSIDIAVVGAHLSGFPLNKDLTSRGAILLQTTSTSASYRLF VLSNSFPRKPGLCRALNHEDSGAIEVEIWRLPKSEVGSFIETVPSPLGIGTIELKDGT WVKGFICEPYAMQGATEITESGGWRAYTDSLDTPTI PFICI_01096 MPLLDAQESHPPEPICNIVTPVGMLGYGFNEQQTAAALSRLVPS GTPTAIILDSGSTDSGPEKLALGIMTCPPAAYARDLTKLVRLVIQFRVPLMFSSAGGD GSDTHVQEIMAVIKEIMSADEYTDVQLKTIAIFAGIDKTLVKERLRNGQISGCGRYVP QLTEEDIEESSNIVAQLGPEPFVDAMIENPDFDIIVGGRAYDPSPYVAYAAFNSQAQL HNASRDEKQKIYGAFTHLGKIMECGGQCAKPKSHGAVGTLYSTGEFDISPLDPAAACT TLSVAAHTLYEKTRPDILHGPGGYLDLSRSKYMQMSDDRTVRVLGSSFHFSEDDDLPY TVKLEAALVVGYRTMFMGSIRDPILISQIDQLIARIKEYVGIQHVDTSERWKVDFHVH GRNTDEIFLVGEALADTQALATSIASTARIATMHGPYKNQKATSGNFAFGIGGKLEVE AGPCAEFSVYHLMELQPGEERLHPTPRTSSAPAIDSAGERLMIKHRVEVVGRGKRLSA TQPSSSPKKQAVNLSANNATKVPRPASVSNQVSSTPAKLGDLASVLRSKNAGPYEITF DVIFESEDIYARVKSSGMLSQESVAAAFGLQVDQIIWCGFFDVALAFKFTIPRIRGGR AVAAGGFMENDVHGSQQYLPLFNMELLV PFICI_01097 MNEAGIELQESAKLLNNDSIPELVSEADRKMIRRVKWKTDLIIL PLLVSIHFLAQMGRSDLANAKVAGLDEDFNLDASKYSLVASILLVGYLLFQLPAMLLM RKVGPPVEFACAMIVWGVVTVCTIKATNYVHLMIIRTLVGASEAFIQGAVLYLSFWYP YNELATRGAILYSSVALAGTINGLLAYLIEMKLDGVNGWTAWQWIFFIEGIIPIAWAF VILFLLPNTPETVKLFFTPQEKKVIIARSRAAHNTGESKIIPKLIVKVLTQPQFWFVV LMDAGVHFCTTSLSNFIPDIIKGLGYESIQAQLMTVIVYCSALVGILAAARVADKLQK RGLIIIICTAVAAIGYVLLLTLTNDAARLAATCVVAAGAYPISVLSLVWMATNNVGYT FRASAAGMVNIFSQLIAISANFAFSDPPYYRMGLGISLVMISISGIMAGLQIGYLSLM NKVKRAEQHGELAAWRRQLTIDEIGNAHPDFFFSF PFICI_01098 MALLQARNYVDYEAQQNAFEDFLTHFKTSPQESITHAIGQISID EDDLSDEYDFMDEDDNAHDQRRRQKAAKRQPKHKYAELMQQLANRELDEVLIDLDDIV TWESESDEARTLKLVDSIEGNTKHYVELISRAIDNKMPPPATGVSFKDDVLDVIMERR QARNREVAQLAESTNNPDMLESTFPAQLTRRYTLVFKPRTSTQEKPVKALAVRQVRGE HLGHLITIRGIATRVSDVKPIVQVGAYTCDRCGCEIFQPVNDKSYAPLTTCPSKECKE NQSKGQLFQSSRASKFLPFQEVKVQEMAEQVPIGQIPRTLTIMCYGSTVRQVNPGDIV DISGIFLPTPYTGFKAMRAGLLTDTYLEAHHIAQHKKAYEAMVIDDRLLRRIQTFSQS GAVYEMLAKSIAPEIFGHLDVKKALLLLLVGGVTKEMGDGMRIRGDINICLMGDPGVA KSQLLKYISKVAPRGVYTSGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLADNGICCI DEFDKMDDNDRTAIHEVMEQQTISISKAGISTTLNARTSILAAANPIYGRYNPRISPV ENINLPAALLSRFDVLFLLLDTPTRESDAQLAKHVAYVHMHSKHPDLYANNPTSAAAN SNGEVPNVVFTPHEVRAYVAKARTYRPTVPETVTEYLTKTYVRLRKAQKTAESKGQNF AHTTPRTLLGVVRLAQALARLRFADSVDQDDVDEALRLIEASKESLAAHEGPRRRGRD VNTRILELVKGFLESGACRPDDVDDDDEEAAIELSLRKVRERVLAKGFTEDQWLTALD EYASIDVWQTAGNGTRLVFINAGGNDDDDE PFICI_01099 MASRKNAQSTAAEISLVHLKNCLVNLPSSLVSLLLNINTPVQDV VVELSYRALAPSGSSQSNGTQSQRSIFVGWTGMPSKRKLASLVGRDGISASRTGGRDQ EVASVEIDASLAGTLGLQDGQKVMAIIHVDPPLAHTVNIEPLTPEDWEIIELHATFLE LHMLSQIRALPNPLYSPGQGQAPSPHPLTLHLSPTSTANVKVLSLEPAPTADTPFVKI APNAEVIVAPKTRAKPSKTSRESRSVGGTSRRSGKSSASTARRRSQREEKKVALFLRG VDRYLCDEWFDEDVDTSDLTVWVDRDLLLSKDFRGVNYVSVNVVKPAGLQPPIDPQKQ QQEAAANAEAVKSSEKVIANLRPWDDLPDSQTITLSSNLCATLNCSGMVGGIIKIEPA SQPITRSTVQSLKIYPYQTASSRSSEGLRFGGESKSEKEEAAKTITRIYSGAKSQGFL SGPLTDGMVLPLSKDLEAPQGWEGGVLKFDPPIISTGSKEPTSWCLGSDKSFPLDVQP PITKPSGSDELEQTGGDLATDSILVGIDTLLTEVKSHLSHMSSILLSGALGSGKTSVA KSVGEDLRKDYLFHTTYFPCRRLVNDESRISTIKETLNRVFMRASWGARLGGKAIVIL DDLDKLCPAETELQVGNDNGRSRQISEIIVSIVRQYCTRESNVVLLATAQGKDSLNTV IIGGHVVREIVDLKAPDKHGRRRVMETVVNQYTVSPSSLDKQDSDHSRPTTADGSARS ADGEAWMDGGSQPSRRGSAANTASDGFLLDPELDFLDIAGETDGYMPGDLILLVARAR NEALIRSVSENPSNKSMDIVQLSRVDFDNALKGFTPASLRNVTLQSSTTTFSSIGGLT ETRRILLETLQYPTKYAPIFAQCPLRLRSGLLLYGFPGCGKTLLASAVAGECGLNFIS VKGPEILNKYIGASEKSVRDLFERASAAKPCVLFFDEFDSIAPKRGHDSTGVTDRVVN QLLTQMDGAEGLSGVYVLAATSRPDLIDPALLRPGRLDKSLLCDFPDIDERIDILQAL ASKVKMSQEVLGSQDALRDLGLRTEGFTGADIQALISNAQLEAIHDVLSDVDLQPSSK SRPSAATKVNPAAQSRNFVQFRYGAEEAMADEKERAAKGYNRSSELAENAAIAAKLQD IKLAKRKAKYMQKGAANLTNGHNAGKGNKSDGAGEVIIGWKHIIKALEGTRASISTQE RNRLERIYHEFVVGRSGEMRDGQGSMEIGGRSSLM PFICI_01100 MAGLAVPWSQTKGKAEEDARKMQLAVQEECTKSGKPAPDYELRE LIGKGSFGRVYKATGAKDGKVVAVKIIDVDESDTMNPKLADTYSEFMKEVTALQVLRE GGAKNINHVLEALPVGRSMWMVTEYCAGGSVATLMKPTAPGGLQEKWIIPILREVAIA IFWVHRQGIIHRDIKCANVLVTEEGGVQLCDFGVAGMMESKFDKRSTFIGTPHWMAPE LFDMNASYGTEVDIWAFGSMVYEIASGLPPNAVAQVGLSQLGGFLREHLPRLEGPQYS DDLKSLVAFCLVESPKHRPTIVQVKNHPYIKGTEDRYPSSSLASLVRAYKLWEDRGGS RKSLFNPLIGAQGPSDVDLASTSLADEWNFSTTLAFDERIHENPDGVTDFEAVRDVYG SQVDFPGGGFNEETQKAKPKGRRRPPPQALSAMRAPLEKVFDPNTISNYNENSQAYYG HMPMPPPPPPPPASDLPLRNDNMHSTLRESLIDLDASLDGGDLSQFASMDTIRAGPGH SSNLGPGPGPGPRASMDNNWSFSGATDPSYNRAPFSDPAELNNGRPGPDWQWPTVIPP ASASAEITNFTFDGEDTTRPPLIHQQTEPAGLPSQGYDLQVPRSTNDRMSVSSLIDLD EGLVDSGMPEYTRPSTANSDVPSMTGSEMGGGANPFELERHPSIYAPASFTQREPSIY VSDASQFASLTDASEPDPSTMQNTIRHQPSVSDASQSYVDSDNSDYTTSNHRINRRAN GSGANNGLYPVAPPAANIMEGTASTHDVAEEMRRLVASLSDHLTIIGQQVGDLEPRRS SRVSAGRISEEDIGNPAD PFICI_01101 MEELLTPVGQVKRQTEDLLTISEPAKVTRASKSDFKGASPEEAL ETLKHQPSYDELISVLGYLRQGSQGNHPFDIHQPSPEAAQIIHILVTEIVPNYWEVIK ESTGKEHKNPDLRLMLDCLLSVTGINAAITFIKALTQQAKNDPRGVKQSNAIVILCSL MDLASHLLEPKDRLQTVWQNLSPSMKNSGRARAIRQEFIFLMAGGRIVSLSAEAEVLL REAEASKQSFWTADSKAYVQWLGANLKTWIQSEQTDDSIKLCAELLSKSLKLGHAALS TFPRLLDCMPQLDQRRFLTTILKLLASRLPTSSDKSTEDYPMIWAAAGVLKSVIGTSQ VRKGYLIAWLTDASGAGLGDDCGIRRAAVAALANDKEAITTVLERSLNQFGDQLYIKH SPILQQEAHAQVLLLSAGYVHRISPIKLTILLRSGSYLNAISRRIDASQHRAKFLGMI VGEALSGLVDGSDKKLDFHSDETHTEEATWYKSLINIADEIGPVSPLQTQTSPEKPST STKRAASKPKARSIPSAPKTGFIIEEIEDEDEDDEDLVPYAKPGSDAEDSDEDPTLIN RDKPKAPVYIRDLILYLRDGESYDRQKLALATAPVLIRRKASYGTEVSSHAEELASLL IGISNKFELDDFDNLRLQGMIAIVVAQPQIMGRWFAKTFFDGDYSLSQRASILIVLGL SGREIAGYEASDYASAASFPSKQLPAKMEKHYVLAPPSDRYSSSPSNLKALPPNALDS ISQSLSQTFLAPLAAEAADAATGPDALKLSTFTSRLQDPTKVKSKAISKKPGIRSIPN TTAQVIATSFFFPLTSRFLAALHSPSASLRGVLFQPYLLSVYLKTLALLLHAAGPSTL ALPQMTSEYWDLLLGVRAQCVGEAGVTHAVLFGLMALLDVNEGDMRGLCQQHGREVVE TVEWVSGVFDRTRGGDQGGDGEENQVKMMAAGILIRLREAVEKYRALLMGDMIGMA PFICI_01102 MLKTMDEGSSSSYSGAGASGSTGSSCSTTTSHLPPANTTTASSS SLERSFAAMSVDTGSKAREAARWKYLNHIRKTKGPFNQDDEIPEDMPDPLETYKILVI GAGGLGCEMLKNLAMSGFKNIHVIDMDTIDISNLNRQFLFRKSDVGKFKASVAAEFVM KRVKGVNITPHNCKIQDFDESFYSQFQIVICGLDSIEARRWINATLVGMVDDDDPESI KPLIDGGTEGFKGQTRVVLPTVGPCIECQLDLYAPRAAVPLCTLATIPRQPEHCIEWA HIIAWEQEKPFPSLDKDDPEHITWLYDKALARAKEFNIPGVTYSLTQGTVKNIIPAIA STNAIIAGSCCLEALKLATNTAPPLGFEQNFMSYDGTEGIYTNTFQYEKKEDCPVCGN LAKPLKVDPKWKLQELLDSLATNAAAQLKKPSIRAEEKSLYMQFPPQLEELTRPNLEK SLAEDLGLVHGSEIAITDTAFPNLVFRFILQFS PFICI_01103 MGLRQTLKRLSTVRDRSRGNAPIVDGDADARAPINSPNQTLEED SGDESADRRFSDILEVSLDAKLPSAAERTGPLPRKPTGKRKPGHTTKLRHNHTVRRAR SVEPKPQDYLYFGEGGSSAGVARSSLHQSQPPRAASSGAVEVKSSNTQSTGRDASGFL NTGMSNHQMAGGYQALTYGGSLQYDYAGVQAPGVDGL PFICI_01104 MAVLSRIASCGARRLQLAMPSVRSSARTFSSTAFRRANEGPGVD RTTLMPVDSTFSHPADPYGMQRLQNQDGTVEASREGSIEGVAARKVRHYTVNFGPQHP AAHGVLRLILELSGEEIVRADPHVGLLHRGTEKLIEYKTYVQALPYFDRLDYVSMMTN EQCFSLAVEKLLNVEIPERAKYIRTMFGEITRILNHLMSVLSHAMDVGALTPFLWGFE EREKLMEFYERVSGARLHAAYVRPGGVHQDIPVGLLDDIYQWATQFGDRIDETEEMLT DNRIWINRLQGVGVVSAADALNLSFTGVMLRGSGVPWDIRKSQPYDAYDKVEFDVPVG INGDCYDRYLCRMEEFRQSLRIIHQCLNDMPAGPVRVEDYKVTPPPRAAMKENMEALI HHFLLYTKGYAVPPGETYSVIEAPKGEMGVYLVSDGSERPYRCHIRAPGFAHLGGFDH ISRGHLLADAVAVIGTMDLVFGEVDR PFICI_01105 MCFVEFIGYVCGHRSQPVLRPCPLTTNHASNPVCPNPAERPQLL DANCPACARILHGRWVEIVTIEHQYMHERGACGCGVQFPADKFPPLMTTASQSSPIMA MTPSVSQESSGESVRPNTSSSSSSAVSSTEHHQHRRSGDSTPNNNSDAQHQMDHKNQK KNGKYKQSGQYTTTQQRRQKKKKADKRQQHQNRSKGSRGRQNDETTTEPDRNSEQNST LADHDKPPALSWNPSVLNGIDESIPSHELPRWQHRIQSQYGAEWLAEHQALHASGQCK CPVQFQSYKPMCALTEDDVAAAEAEHGDPFATNWRNGTNKKPTPKQKKQKKMFNDIVQ PRNIPEYSDLLVWQMNHGVPKSQQVHERRTMKFCGFANLAQAEHWWYNRREPQSEQQQ NEQIYAYSGSYSIAGPKPQRTYPIMAYSSPQTQAAHEEIKARTPSRKAKAYRGLDATA PPFVSMSEADNKNQTTKYTQDHGLPLAGLPIGVGPEGLDEYSHSAEWEMCNSARPKRI RTQSCPPSANQ PFICI_01106 MSSSDQISRTYPKTGLRAITPASNWADDDKSHPGFVVHGTDDNP GKKAYYIHLEAKPGKEELVAEFLRDINRGVLQEPGTGPWFGLRYSQTTFAIFEAFSSS QHRTDHDNGPGGRNFLRVDLLKDMLAYPAQIHRLDVLHGKGVFGQDLLPLPEP PFICI_01107 MATEIQTPFGHSLPPEGAHTITFHVPKWESAIKFREGDMSLVAR LKSIYPRFMPFGPSAKLTQEIGARINIPQGRGLVGFLSPQVWHTNRRHAVSEFRKEKA VKAEELKYHVVEIDGIRLYLVEFPMQKVMGAIFMWQHGGLGFSTRLGEHLVSKMDSLE YLGEFPDGANPPPPTYLPESESHELLRKRISGLLSRSTVQEYPKQVQSDDIYLYQSGM AAILRCHEAIVKSRPDPIAVFGAVFHSTWHLFEESPGGLKHYGKADENDLDEFEKYLE QGGKCSYAFTEFPSNPILVSTDLIRLRKLADKYGFWIVVDDTLSSFCNIDVLSVADVV MSSLTKSFSGYADLIAGSLALNPNLASYNTLKNTFREDYHNEFFEADAKQLFKNSDDY LARSAILNRNAATLSAYFQGLAEDAGTPVAKVCYPPYAPGSRNLLPFLRKPSPEFPKV GYGCLFSVEFRTEAETIAFYDNLAFHNGPHLGAHLTLAMPYNAMIYGKDNPEYHASYG MSPNQIRFSVGLEDEPYLLDVCKRAIAAVKESGDLDGKKLTETAMEQADGKAAVDPLG GQPAASAS PFICI_01108 MLIVEDVRNKTKTWISTRNGKTPRNWRASPGTIVMKFGEQLRSS IISEYQWYYIDYDALKQRLKKATAHSAAESSNATPDWDNDDEVEFVRLLDDQLEKVYR KTQVKTSEIQRRIMWEQKEVDALVKRMAERGPNEAGPSEEEFIAREEFLSDIIADVHD LAKFVQVNYTGFLKIIKKHDKVTGQHLKPIWGVMMSQKAFFKEDYDRDVVKLSRMYDI VRTRGNPVKGDSSAGGSQGSFVRQTTKYWVHKENITELKLIILKHLPVLVFNPKKEFE AADAAITSIYYDNPETWELYEGRLKKTEGAEAIRLRWYGGMQTDQIFVERKTHREDWT GESSVKARFSIKEKNVNAYMRGDLLPRAIFEKARKEGKKSEKQIAEDEKLAQEVQWSV LKKGYKPVCRSFYNRTAFQLPADARVRISLDTELTMVREDNLDGAKRSGDNWRRMDIG IDYPFSQLPPSDIERFPYAVLEVKLQTQHGQEPPEWVRQLIGSHLVEAVPKFSKFIHG TATLFPDRIHLLPYWFPQMDVDIRKPAVQDFGIRRPGQSPASGTTSDEEDEDDLDSDD EEEHPRNGQGDQSNGRTNFNGGQPRNLTDLEDQTVGEVLANADYDLYDSDDESDSEDA LEEARRVGGWTYYSKVAQKYSKAAGNGALSVMKALVPHPRGSAIGPSNRQRMFFGGQE LQTKKFKAPKGKKIYVPVRVEPKVYFAAERTFLGWLEFSIYIGTIAVTLLNFGVKPTK TSFIVSGIFTLLAIMSLCYSVGIYLYRSSAIRERRVVKYYDRWGPTTLCVALFIAVAL NFVFEGKERRFW PFICI_01109 MADTKDSLEARIPSTCPSSISQILTHAWNHDLASLKPLLDVPGR ASVQEPTTGETPLHAAIRAAGNSSSPGKDHDLAAAKAVLEELFFSGAIWNDVDSNNET PGDVAARLGQAELYQMCVEAGVRAELLFGLLEGYEALEDDDDEDEDMEEADVEENGDA IAEDGDEAPELVSTKKTFETDGEQAQEEQEETEIVDSNPDRAFAPPKPVTADDQVTSD EYLRSNLTYTDGKLVDSSLNGVMMAWETEIMKASVEALLPGSPAGKKILNIGFGMGII DTMFAEKRPSKHHIIEAHTEVMTYIKGPDCKFGQAWEASGPSEGAFKVHEGRWQDVVT KLMESGETYDAIYFDTFGEDYSQLRNFFTESVPGLLEEDGRFGFFNGLGADRQVCYDV YTKVVEMHLSDAGMDVEWQEMDVDMKALGEDGKGDWEGVKRRYWTLNTYRLPVCTFMG PFICI_01110 MNPTWVMAFPDGYETGTYLALDMGGTNLRVCEITLTDNKSEFDI IQSKYRMPEELKTGESEELWEYIADCLQQFIDTHHGELPPDAEKIPLGFTFSYPATQN YIDEGILQRWTKGFDIDGVEGNNVVPMLEAALESRKVPIKVSALINDTTGTLIASAYT DPKMVIGCIFGTGCNAAYMETCGAIPKLAHMNLPPDCPMAINCEWGAFDNEHKVLPRT PYDIIIDKESPRPGQQAFEKMIAGLYLGEIFRLVLVDLHDNKDVHIFEGQDIKKLRRP YSLDASFLSAIEDDPFENLQETADLFSDKLSIATTRPELELIRRTAELIGTRAARLSA CGVAAICKKKNFSSCHVGADGSVFNKYPHFKARGAQALKEILDWPAKKSLREEDPIEI LAAEDGSGVGAALIAALTLKRVNAGNLAGILHPEHFK PFICI_01111 MVVACAVCVAHCHTNNTVTGSMADVPKDLLQEIKKLEQQFTVDT TKLKQITDHFVSELEKGTRNPSRVY PFICI_01112 MKSVAALAVGLLSLLSSTTAITNVAGPSVSKVTLPSTFKPSQVF KNANLVHVISLEKNFAKESINVVIENVSEDPQTEYFLPFTSDQMERIGGIEVKDRKDS TLTGFGIDAVEFDPLSDTQFYRIQLPKAIEPKAQQTLGISFYFLKAYTPLPAAIEQSD KQYLVYPFSAYAHSAYPTLKQKTEVKFSSGDIPDYTKIAGSGDIKEFPEKQGSKLIYG PFPEVPAGAVSPVLVRFEFTKPVTHVSLLERDVEVSHWGGNVAFEERYTLFNRGANLS TLFNRVKWAQSQYFNPQSYALREMKFSLPGGSKDAYFTDVIGNVSTSRFRSNRREASL EIKPRYPIFGGWKYPFTIGWNLDSKNFLKKKSGDGYLLKVPFLEGPKQAEGVEYEQIL LRVLLPEGAENVKYFTSIPESSIIESTVDRQNTYLDTIGRTVLTLKARNIPDEFRDRE LYISYDYSFIASLRKPFVIFGSVISVFVGTWLLSKVEVKFSTK PFICI_01113 MANPKACVRSRFSSLLLDADAPVQSSFDLDAEQRSIRFATSPGA HAIETIDVLKQSQQSTSSESNATDTSAGSRRYLGHAPQNTKTSKVSYPYLPASWLFRN RALSIRSKGSKNDGGSSRRPFSFGSLRGNMQPELSRKLYKVIKSSNNLITAHDAAAKE RNTIANQLSEWGEQTQDEAVSDISDKVGVILSELGVQEDNYAQNLEESRGVLKAIRNT EKSVQPSRDNKAKIADEIQKLKMKEPQSTRLVVLEQELVRAEAENLVAEAQLSNITRQ KIKQAYDIEFAAVIERAERQIILAKHGRRLLSLLDDTPMTPGDAQREYQSGSEARQIL NDAEDDLRNWEPHPNDEPFHDAPDAAAADYTTEPSTAPLSDSTVSAGEAQPTTKATPS ASVAA PFICI_01114 MEVVLSQGRRLPKSPQRLCRACYRRLGPQWPAPRRLYSSQSQPD IYDVVCVGGGPAGLSLLTALRSNPVTAGLRVALIEAQDLSKTRSWKLPPDRFSNRCSS LTPKSARFLDQIGTWDHLQRDRVQPYHEMQVWDGVTDARIEFDWNDPATQAGTIAYMT ENLNLTSGLLKTLDSIGGAEVFDGAKVENITLGQDTEELDLSQWPIVHLSGGKQLAAR LLVGADGANSPVRTFAQIESRGWDYQRHGVVATLELQGEGWGGDATKIAYQRFLPTGP VAMLPLPGRYSTLVWSTTPSNAALLKSLPPKEFIALVNAAFRLSTVDLEFMHTINEGH EDELMWRLQHTPVNTQVIPQTVVGVQEGTVASFPLKMRHADTYIGERVALVGDAAHTI HPLAGQGLNQGQGDVESLVNAIEYSVLHGADLGTRMSLEVYNQERYAANHVLLGVCDK LHKLYSVEHGPLVPLRSLGLSAVNSMKPLKDFFMGQAAGKGMKLF PFICI_01115 MARKPESEERKTVGINKETVTNTVSTDFPDNYPDADESWDKDWF AENLRIEFHQNDQFEAQFSLIGVDASIANAFRRILISEIPTLAIETVFVNNNTGVIQD EVLAHRLGLIPFTGGKEGIRNFMTWWHKPAEGEDTYSTTYDHNTIQLRLQVKCEHNPD AAPGETDSRKLYHNAHIYAKDIVFEPVGKQEKYFSGDDVIRPTNPDILIAKLRPGQVI DLEMHMHKGVGSDHSKFSPVATASYRLMPVIKILQPILGDDAKKFQECFMPGTIKLEK VTKKTAKEDPQYAGHEGETMAVVDNAMYDTVSREVLRHEEFEGKVKLGRKRDHFIYSI ESTGQWDSDELFLESVRTMKKKVQKLQTQVENMVYTYSG PFICI_01116 MQPLDINDQDVYLDHAFRYTILDLSDSTTNTRFWVDFLPRSLSH IEAIRFALVALAAAHVDFITRKTDGCSSLAATKHERSAILYYNLAIRHLQPLLSKATP ENTEAGLACCILFICLENLRGRYAEALKHLQSGVDLLVSMLVSGSERYCLTTRRGRVP VALYKAISSQRQYRQTLGHVFVLFCRLSLETHILTDNEVTSLTTVSQANPDLSRAELE SLAPITTLDAARDELHSFEISHDIFYQQTYSNIRSRPSYTNATPTQQPECFLTRFSEN DKTAYQHLYKQFWQWSIRFDRYLVERHAHPRSSQDLSKISKIRLLQKTWIAILDEEPW RFSGQSAPILGVLDEFLPEVESIIKLRGPSSRRLFTFGADVIPYITLAGCLTEDLGLL RRIIAALKLLDRREGFWDSNEIAEIFEASILARAEHGANITGSRGILQMASDLLALDT RYLSPTNSISLLAKNTES PFICI_01117 MSTVTSTSAHASATGACSNLYDTPVDDAVCAMPNSGNYTALMFS CCKEADVVSYYDGCGLYCLASGQDVQSLSDCLYDEGAAWEDVFCRGNETATATGAATA GVLPASASASILSSGQATSTATPEDGTSTNGTDSKKSAAAVVQPRGRSSATALVASLL MSTVFASMLY PFICI_01118 MSSNIIKLDPWGDLTLRVGPDDATQDFNVCPKTLARISPVFERM LYGNFAEAKANASATGSEWIVRLPEDEPNTMGRFLSIVHGEYDEAMRDLSLDQIYNLT VVTHYYDATRVLRPWAEAWITYMTNLVEDVNTPILKILWVAWELGASKLFQKTSRYIL LEFDATEFHDAISNRSTQTPPDILAHPFRYQNQPFIVPVLADSYLRASTDMNPERRED DPPESYALHPLHQHQTNAVSEPATSWTDTDHINHVHSSDDAHDVHQDLLSDPHPGSPY DETDPFDLQRQHSPISLTGAESFLDGHQNLPDNDPMPYDHHDDPLDTRKVSPADRNQG GLGRRGTRKIKLVQGIQGSVLSANYPVPSAIKNALQPRYRDLESGSEEFSKIRYTAAT CDPNDFTLLNGYTLRQHIYNRHTELLIAITYYNEDKVLFARTLHGVMQNIRDIVNLKK STFWTRGSAAWEKIVVCLVFDGVDKADPGVLDLLATVGVYQDDVRKHDVNGKDTTAHI FEFTTQLSVTPDQQLCRPNSDPDDASNLPPAQLLLCLKQKNSKKINSHRWLFNAFGRI LNPEVVILIDAGTKPGPGCLLSLWEAFFNDKNLGGACGEIHAMLGKKNSKLLNPLVAI QNFEYKISNILDKPLESSFGYVTVLPGAFSAYRFRAIMGRPLEQYFHGDHTLTTGKKS IHNMNIFKKNMFLAEDRILCFELVVKEKQNWHLSYVKAAKGETDVPEGPAEFLSQRRR WLNGSFAASLYSLIHFSRMYKSGHSLLRMAMFHVQLIYNIANVVFSWFSLSSYWLTTT VIMDLVGTPVAASHYHGWPFGDTATPIFNHVIQYIYFSFILIQFVVALGNRPKGSQMT YLVSFVVFGFIQLYIIILSFYLVARALSTPLGDQIDVSSGAAFFQSMFGGTGVAGVIL LALLTIYGLNYVASFLYLDPWHMFHSFPQYIILASTYINILMVYAFNNWHDVSWGTKG SNDTDKMPSAHGIKDTESGAEVVEEDELQQVDIDEKFQDTVLRALAPVVTEEKREEKE VDDTYKAFRTRLVVSWMLSNMLLVWVVTSDDFAFLGVSEASKTRTPAYFRFLLYATAF LSIVRFLGFLWFLGRTGIMCCYARR PFICI_01119 MSAKTQYPRPDFTRPNLNWKSLNGKSWSLLFDDQDIGLSEGWHI NGPPERVTLTGAASGGSTAAEDAQRLEAFPELKEKYWANTGATENVKKPINVPFAFQC PASGWGDNAAHEVLWYETLLSDPRSPTEAAGGSHRVLVRFGAVDYDARVWVNGHDVGG HLGGYGPFDVDVTDALRSGESNKLVLRVRDSPSDLEQLRGKQYWAPTPESIFYTPTSG IWQNVWIEAVPRTRLGAGSEGTVLRSDDIESGVLKASIAVLGRRAAGKYTIELEGSIG GVSVGSVKGELPKDKDYIKLNLGLKLSADKLKAVSADVTGAAPLDNARAWKDGLALWS PDYPSLYDLKLRLYDSAGKILDEVETYTGMRNINWKTGDGTLRLNGYPLFQILNLDQG YWPETGLTPPSGESLKLDVELAKKMGFNGCRKHQKVEDPIFLYWADKLGYLVWGEIAN AYEFSAEYIKRFDQEWTEAVRRDINHPSIITWTPVNESWAYPNLRDDVDQRNHIKSLY WLTKSLDPTRPINDNCGWEHVQTDLSTYHDYHDAPDLAKRCLTAETRFNEELHGGRHM FVQPITGDGGTAHQEGAPIICSEFGGVNIAPRSDSEKGERDWGYTTASDPADLLKRIE ALCTAVVQGGFTSGFVWTQLTDIEQEVNGLYAFDRTEKISPAEVKKVFNKAAQVYYDA VAKA PFICI_01120 MSSPSQRRSQSGTPRRSTRSSQAAQSSPANGNAANGNTPRNTRS SQLASSPLFYQSSSPANGNGDVSSPLRQNTESQSQNTRANGSAAPSSPLRHMTHSQTT REESQNDGDRTPRASGNGLIGDSSPIRYEASSSPGRSLHPQSDLRSESSALFVGSSAT PRARRNRRGDINSEIFSGGARSGSRVILDDSGRVVREIHSDAQTFSNVDPNTDEANML GGQDNATIWGTTVSIDDTYAAFKDFLKNFQKKYRMWADGTSQAETDADPDAKSKPYME ALNNMLVLGTRILYVNIEDFKLYPPTRKMWHQIQHYPQEIVPLMDQSVHDVMIELAQA EMSRQRSQSNGAPASQPSGAPSSDMHFPSSERSEEPTSTPKAQQGPSLEEKVMDQTYM TRPYGLDEVTNLRDLNPSDMDKLICVKGLVIRTTPVIPDMRQAYFRCNVCNHSLLVGL DRGKIREPTACPRPLCDSKNSMQIIHNRCEFEDKQVIKLQETPDAVPAGQTPHSVSVC VYNELVDFCKAGDRIQLTGIYRVSSVRVNPRMRTVKSVFKTFVDVVHVAKVDSKRLGA DVSTLDDEVNDEENQIEEARKITPEEEEKIKETAARRDIYELLSRSLAPSIFEMEDVK KGILLQMFGGTNKTFQKGGSPKYRGDINVLLCGDPSTSKSQLLKYVHKIAPRGVYTSG KGSSATGLTAYVSRDPETRQLVLESGALVLSDGGVCCIDEFDKMSDATRSVLHEVMEQ QTVSVAKAGIITTLNARTSILASANPIGSRYNPDLPVPQNIDLPPTLLSRFDLVYLIL DRQDDNTDKKLARHLLSMYLEDKPESAATTEEILDVEFLTAYISYAREACHPTISQEA AQALVDNYVKMRKMGQDVRAAEKRITATTRQLESMIRLAEAHAKMRLSQTVTRGDVEE ANRLIQSALKTSATDSQGRIDMSLLNEGVSSADRKRKEELKTAVISLLDEMTSGGQNA RWSEISRRLAEGASVAVEPADFAEVMRALEMEGLIMVSGEGARRSVRRVTGLA PFICI_01121 MAPGMSLFSVNAILILSADDGSRIFANYYSAPHQTPGASEKSPY ADVKSQKTFEKGLLEKTAKQTGDIILYDNRIVLYKMESDVMMYVVGSVNENEVLLYNV ILALRDSLHLLFKQSVDKRTIIENYDLVSLAIDEIVDSGIILETDPVVISQRVSKAPT QDVNLSRIDLSEQGVNNLAQLGKAKLTDWLRQGL PFICI_01122 MSQDLPAVTTRVLKVSGENLGKFADGEHVGSWELASEDNGSLKV LKEAAEYLRSKDTPVGFPTETVYGLGADATRSASVKGIYSAKRRPQDNPLIVHVSDLD MLRRLLAPEMNGSANTQQTDVIPEIYKPLISRFWPGPLTILLPNSEPSKLAPEVTAGL KTFGARMPSSPLALSLIKLANRPLAAPSANASTRPSATTASDVLEDMDGRIELILDGG SCQVGVESTVVDGLCSPPVVLRPGGVTLDEIRSCQGWEDVQIAYKDHAETNGKGEAPR APGMKYKHYSPKARVILYEAFVADGAKGISQEDLAKIEALATSAHQAGQASTNIGVIR TEKWTSGAGLRCGSLQAKGKSQDGVVELDVQESELLNKEGAVVGKLFDLSIGQDAKSV AQGLFQALRELDRRKPDLIFVEGIPDKNDIAAAVMNRLRKAAAEIRS PFICI_01123 MSATNLPNGDSAAHRSDGLAKVNSNSNSGSGPSVHDASSLEDVR ASLAALHTREAAITSRLKNLLSFQADLSRELSRLDILRANLGSQVIATRSISNGMLSS AADTAGRLSNRVKELDLEKDRVQQTLQVVEQVAELKACVHGVVGSMGAPQDWEAAAGY IARASKVPEAIIRGGFAASIVPSVEVPDAPWVTLENAKESLCGLFLREFEKAAKEGDG TKVTRFFKLFPLIGRGDTGLDVYGRYVCQGVAGTARQTLKEVPGGQGRKDGFFYANAL TKLFEHIAQIVEGHGGLVERHYGAGQMVKVIERLQMEADVQGGIILDSWSDERGVDRR LTDVKSYPFSFLVQTFVPSSKGLAGTPRMNSPALGGGNNRTSEDEGVDMKEVDELLRE IAVMLGRWALYSRFLAGKCRNPAMAEDTELSLPDLLTNSNLGKKVSAKLSTPYSVMST FFFRRSVEKAFQLDESPSGLSLSQSKPIDGNPPYIISAVDDVMYIVSAVIERCIATSQ RDVVTSVIPTVSRVLSADFIGVIQRRMQHDCYPQAAIQGAPPPEDKIVAFIVLINSLD VAIEYLDRIITSRLGISTDSGSTAGSNPLAASFPFEHDADAIVSSLKGLNSSISLKAS ELLNEGVAHLFSNVMDKRLKLVTQETFRDADYSLTEDELAEIARQNDEDEEDMLDHVQ RQFERRWLALVKPIERIMAPRPFATLMNRMAKELATILERRVWRYAGKANAYGAIRME RDFTGIISIVAKGNYSVRDLFNRVSQILMVVNIEDDEWEELMAEGDDGIEWVLSEDER RKARNLVKG PFICI_01124 MASNQYALLDDAEENELHHQRLLPLETRPFLRLTKRLLAPGSLT NPNIFKLPTPPPDNAAEEKAEDAAPPKPEKLAADLRELREDVLFDFQAFDVVIDRLQF LATQNAAERERYAHDRGRILDTMESVRQSNAALRAQLDEARATLAQRKKFDELADKIT GNRMLRPREEQRANIDKLTEECEELQRESETYGGTWRERKEQFDKLVDEGVNLRKMIR DEQEEVERREGMDEDAGEDDGAAADTPAKGSQSGNATPAPRSEGGATPLRPGSSSGRT PAPEDGSNAAGDSLKPKPEPSGTFSMGASQAPTPRADSVAGTPAADSQAAKDEPEDGE DIEMGDSAQAGDTPMAEGNTEDTRDAPTPRITVDAPAGNDDKMDTT PFICI_01125 MPAPSPLKIATQAVSRLVTEEKYYQKELSSQNARIEKLDADIKN GSDTDSNAEFMLKQEQKAMEETKAVFGPLKQRIFEATQRLEEQIATAEGAQDANQDEL TKAKEVLASAPKEEA PFICI_01126 MGSEKEKTATAVAALQSKDHKALLDTIDKLRSKGISQYVDLPQI VVCGDQSSGKSSVLQAVSNMSFPMKDNLCTRFATELILRHVTGVIETCKITIQPGSDR TDEEKSRLREFQKTDSTKEIDIGRLIESAKEIMRLDDHSKRFSSDVLRIEISGPTQPN LTIVDLPGLFRAGNKDQTADDAEIVNRIVQSYMTNSLSIILAVVSAKSDFALQEVTQL ARKINVHGVRTIGLITKPDTLDVGSDSERAYHELAQNRDVHFRLGWHVLRNRDYKSRD STNGERDQAEDLFFSQGVWAAVARKNKGVTALRSRLSEVLTSHILEELPALLHSVETE LKECSFDLEKLGTSRNTISEQRNYLLQSSYHFATIIKDSITGTYNNEFFGSAFEPEGG MKRFRAVLQSTLAEFAEAMRVDGHAKQVVDRHREGGSREILRADYLKQVQSLMRASRG CELPGTFNPAIIGALFQQQSKPWQGILSRYSEKILDAASCTIDAVLSHVVDFDTRSKL WQELIAPELDQLKDTLNKKMSEILASHTSGHPITYNHYLIENVQRAQNQRVREKMRAR LQRYSDANGILQQGLTVDSLINILVERDTEADMEIFASSRATDIMLAYYKVALKRVID DFGDLVIEACLVSRLPDLFSPGRVSTLNDSQIECIAGESVEITEDRAAFSAKKNALEE CLSEIRRLVKLHQHHQRQLQRQSLWEKDTGRNTKASRKSMGSLKSKSETVSSKLAGRD PLQSPSVKSSPAVNPTTSTASLFPGNKQSSLTTSIDAVSSLTTTLFGDRTSSTQNKPA FGASTTGTTGGGLFGGGGTTTSFGSGNAFNAPANTALGAPVGDAPGTATTAFSPTLEK ESIAGLAQNSYQSILFQEPYKKWSAEELRLADYAQNRRFGSGFGPTGQQITFFGAGSF GTNPPPSASAANTHPANSQSCSTPNGSGGWTGRGQGRNP PFICI_01127 METNYPEITDDSDNHSSAAWSYADSSPEPNSFVVGPDLLPYPAN PMSVPAYVFPSELISAVRRSQQTYRGAVENTSATPWMNFMSQGAWNEANPPIGHVPRY STESRGIQSWYTTQAPPAYNIYSQNPINNAISLPGRNMGPWNVQPSPGANSLAFAASS SVPISSVQSPFHSSEASWLREVDGAFDRNPDGSSIHETGSIYLAENERYYHRYNEGSY WFPNDAEEQDRLDFQHAMFRIMTGDKLALAPVKAPSNVMDVGTGTGIWAIEFAKENPD SQVYGMDLSAIQPAEHGAPNCSFEVDDAEEEWAGYPMFDYVHLRFVNVCFYNPKAVMD HAFRNLNPGGWIEYQDYDPEFKQANPHYKGMALIRWYQMAIRGALMKGRNILIARQYK QMMQEIGFVDVHEENIQVPVGKWMDDQRMKTVGQYMHRNVLDGLRGPYWKMMRAAGLS PQEIDAFIAEVRSELSDEKNNSYCRMIIIWGRKP PFICI_01128 MASQAVSMPSLGSKSDPKPVANLETSKPKPCCVCKDEKSKRDEC MLFSKAADPAADCKSTIDQYKSCMAGFGFQL PFICI_01129 MRAAVAYQTVRSAYLRSARPNVTAVRLVPASNLGLRASSSFSSL QRESSEGKNVIITGSSRGIGKSIALRLAADGYNVCINDVAANAKGGEEVAQEIRSRLG RRACFAVADVTKRAEVVDMIQTCVKELGPLHTMIANAGIAQVKALLDLTEEDFERMFA VNVFGVQNCYAEAAKQMIRQGNCTPEQPGKIIGAASIVAFKPFALLSHYSASKWAVRG LTQAYASELSEHHITVNAYAPGIVGTAMWEVIDAELAKKYDVPKGSVINKFVTELTAL GRVSVPEDVAKHVSFLASSDSNFVTGQTQVVDGGIIFT PFICI_01130 MFALRNLLVVGLASISFLGVQAAPTTSSKCVETNLIQNPSFEAA RFSPWINFHGATAGSSGDVKAQESKRYFIANLVPVDNFISVRQPVTDLTIGQSYSLKF WYGLGTHQQLHQDVCSLSVTLNGEVVGDAIDLVPATAGHFQMAERIFTADTTIKTVRF EFNCGGSIGEVDALLDNVSLAARCS PFICI_01131 MDSHNSNGVLAASPPIRGRPRQESLGSLYEVLADLDENQLHYLI QEMNHTGHQNVKVSQAKAAFESQSPTESLQDIRASMLPPGSPPPEPGLQRRLSKSQQG KLRIQTAFQRAPSLRQRQVPENRVVAPESNSRTSRDFTPETPKRQSFQSPAEARLSLS RSPTSPVFSGFESRGPVAGSIQLPKRDTPRETTQLDAPRDTKRDSSGSSISSLAPTIG RKTSAYKRIPRPDFDLPPGVTVTDLLRLLESEFLSSADPLDAPSPMYLPSPSSAISRG HSPSPLLLSASTSSATMPFSTSPTFPGGHHLLRRPSSRLDMALDAERNASGFEEIGLG MLEPRATPSMASSVGPMPISSSAPVTPFTRGGAGGFMAETPPPQPQSASPMVLEGIFD VLENR PFICI_01132 MKTSAILPILAAGLATANPIDLEERQSCPGVYVFGARETTAAAG YGTSKGLVDQVLAAYSGSQSEAIVYPACGGQSSCGGVSYDSSATQGTAAVVSAVTSLN SRCPSTKIVLIGYSQGGQIMDNALCGGAGSTLSGNALAAVKAAIFMGDPHYVYGLSYD VGTCRAGGFAARPSGYTCSPGSPSIIQSYCDSQDPYCCNGSDANHHQQYVTIYGSQAL SFIKSKLG PFICI_01133 MPGRIIDTYEQVPVTKENLDWAELITLDLSLYDQPGGKEELVKQ LEHAVRHVGFFYVKNFNISQEEVDRQFALGREFYALPLEEKLKYHSQSDLESGEYNGY RPAGHRKIANGVKDNIQVYNIPKFDGFHKRQQPPVLGDNVREIEAFSRKCHDEVVVKL LRLFALLLELPDEDQLVRDHQYDVKGEDHLRYMHYAARNLEDNQKVADLYVPGHTDLG TLTLLFRQPVAALQILNSDGNWKWVKPQDGTITINTCDALTALTGGYIKSSVHRVHAP PADQAHVDRLGVLYFARPNNHVVLDPIANSPVLDRLGLKTNALTELGQHLTTEQWVKV RQTQQQRPTKAATISTEGKYTYDQKDLEILPGLHAKIHN PFICI_01134 MISHKDQTNISNAYTSGLKEEFQLYGNELNYFNVCYYTAYVIFQ VPFLLLISRPKLARWLLPCLEVVWGVITFAQSRATNVTQLYVARFFIGALEAPVFAGT HFILGSWYSGPELFKRAGAWFVCNPLGSMISGYLQAAAYTNLSGVGGMPGWRWLFIID GIITIPVALSGFLLFPGIPDSPKAFFFSDGEISLAKKRLERAKVTRAGKLDLQVFKRS LSRWHIWLFVFCYICMIISSYPSQYMNLWLKAEGYSVVKINQLPTVINAITILASWLG TTLASIYPSWVIYSIASGAVIFSTICMTIWSIPTGLKFAAWYLFGFSGCLSPILYSTV NTIVKNDAEERALILGSMMTFGYSFNIWVPLLLFPTAGANGAPRWRVGWPVTLVFYFL LWAGFITAVILYKKRLSRESAQEEVGSATDAQSTSETGEVVRDGKVVPELAVSIAQSK V PFICI_01135 MATTSKQRLALAICDFLTTSVEDGTLTADDKDSIDVAINCIAES FKVDPNDRAAVTEAVGTQNLLKIYGVYEKLQSASKPSASPSIPTAADTSSSSTTTAPS EDDNKKAESLKGDGNRAMAAKDYPKAIDLYSQALALHPGNAIFLSNRAAAHSAARDHE SARADAEAAVAVDPKYTKAWSRLGLARFALGDAKGSMEAYQQGIEHEGNGGSDAMKKG FETAKKRVEELEGEGASSPRGGAGGAPDFSSLASMLGGGGGAGGAGGGMPDLAGIMNN PMFAQMAQNLMSNPEMMNNILQNPRLRQMAEQFGGGGGGAGGGGGMPDMASLMNDPSI AEMARNFMGGNGGGAGNGAGR PFICI_01136 MSTLITPPAPSGCLSSSQISQLLSHIQLPPHLHGAAPSLDLLQS LHTHMLATCPYENLSIHYNPKHRVDLDPQTLFRKIVTDARGRGGYCMELAILYNHLLR GLGFEAYTAGARTRPRIDGVPGGQYPGWCHIVNIVTLPDGDRYAVDVAFGGDGPTAPL PLTDGFVHRNLGTQEVRLIRDWAPSQAHRAEEAKMWVYQYRNGQNREWNSYYGFTEIE FMAADWNVVNYWTSTCPDSHQTRTVLVVRFLARQKEGSKQGELEVYGKRMLVNGTVKE NLGGKTQTLADYGTEAERLEALKIHFKITLSLEEAKSIKGWVTDLDLAAS PFICI_01137 MSPSPPDWLLISLHYAFPTAVFVYFSIATSVAVCTLQTSSTSPK VKHTRPKVIVYLLLLFVLLYLGQLLLKVIQTFLGSAWPAQDTVVGILSCILVFGIEQS ALGDGTDVVWYPYIGSWLIAAVSEPVIAVLSILDQRKQSQTSGTPLPHVTLSFEMMIV SVRYISLLAVIFIYFIGRYKPKQESKDEETSPLLPKPGPENGTLDADDSGYGTSDNSE NNTDATNSPTDPESPWERRQRLAKEQMEKRLQSEGSWIAYAKGFLIFLPYIWPFHNRR LQVYAGLVGVCLIAGNALNVLIPRQMGIILDALNGTSDRNPWIEVIVFAALRLAASEA GINLIRSMLWLPVEYYGEEAIQVAAYNHVMNLSADFHDSKSSSDLIVAISHGTALTRM LESICFEAIPMLIDLVVAFIYLSVKFGPYEGFITIMTGVAFIQAAAHLIARFKEKRRK MVKTFFEEHYIKQAGIQGWHTVSAFNQIPYEEDRYKKAISSEMSAMKGLYAGYLIGHA FQYLILLCGLIAGAFLAVYQVTHGQSTAGDFVMLLTYWGQLTAPLRFFSNLGRSISQD LVGAERLLGVMLEKPTIVDKPDAAPLKLDGARVEFHDVGFSYDKKKDILKGVNLTVPP GKTAAFVGATGAGKSTILRLLDRFYEVSDGSILIDGQDIRDVQLASLRESIGIVPQAP ILFDDTIMNNIRYARLNASDDEVFEACKAAAIHDHLMSFSEGYQTRVGERGVKISGGE LQRIAIARAILKRPDLVLLDEATSSVDTETEQKIQEGLKALCEARTTFIVAHRLSTVM NADIIFVVSGGGIVEQGTHEELLEKHGKYSELWSKQIFVKPKESQDIEDTSDDDTSVT ERGEDSSDDRLTKKSSSRSEKSNSANETEKDAVSVSSEEASTSSTDRKKAMQNTKLVT TPAKTPNGHKKEGSRLNPGAPEFTPRSTAVDGNCVTGNTEELGTPTNASKEDIQPGRS DWADDVEAHTLPTDITSEHGSILNNENKKPGNESPTAAMAVTAYRHFEPQSSNRSASD PVPATTPAGKSYPSISTNSTTREAESVSSNVLQNKANDAGRPTQRGRGRGGRYRGSRN HGTSKRNKTNRSTSGPSKTDK PFICI_01138 MSAPASPLDTGATSGLDAAAAPSPQIHDHRDAPTAATTETATTT TATTTSATTTSSHGEDDDDTHRCFICLVDEPEAELPSDWVTPCQCSLEGHQACLLTWI ADLEAQGKTVKCPVCKSKIDVIDRWDPAVQLSDTLTRHLTSLSPMVLLSFGVGGIIMS SALYGMQALETFAGPQAAVRYIFVEPETEGYLDVVLKRLKNALPSLGPNDTATAQQIL SRENIPVDGGVTVDWLHFFSLTLVAPALVLNRMPLGETIMIPSSLMYAIFLSDHSTDL LTWPPSGQKVLAAFPVARAFYFHFHRAVSKALDRRLAAATANPLGQQGDVAQLNPEPQ VEHEEEGREHFIDVNLNLNLGGGEEDEGDQGAAANQPQGNGNAVPVRPNAGTGGLSGL LNYLAGALLWPTVSYGAGSLLRLALPASWVTKPASGPATGILQERWGRSLVGGCLFVV LKDAFFLYVKWRTTLNRPYRRIRNVDRRIR PFICI_01139 MIKINYILAALGIACYGAYASSHHEPKPAAARREQHPTRISRIR RSSSNIDLFSETSSFTIVNQHSSAVKVMMTRGCDGRPGGAGWSPFPLEITSDGIEYHI FPPSSFGRAGGSCSNPEQSVHLDLGEIQSDDSLDFVVPVGWHGKVSFAGAEYEMTGMN ETLLEGSFGFQSNGYGYENLKLDFDVSFVDGFTLPLTCSCGGPVLAGCSWDLLEMSEC P PFICI_01140 MKILILLAATASCRVLDLLNNLGTYVIDASPKTNRDAAPDILTE TELSVRHPEPEPVIVAHQNALRDDESGWMFKCTIPTAATVADCEVVLSQVIALRPDSH IVVGEIGCKRWDWGNCRASICASGQNYVSINAMVASHSMGRVLMTNCLMRGTNGIVAN CGDFGGACENFQITLGHINDTLHHPAPTHSNALPAHSAPHVR PFICI_01141 MRLLQCDDTGRFTLTPDLASDHGLPYAILSHTWGPDEVIFTDIT GTQIDWQQKSGFDKIKFCAEQARRDGLQYFWVDTCCIDKSDSIELQTAINSMFRWYRD AKRCYVYLADVSVPSISGAEQSTLQWEAAFRTSRWYTRGWTLQELLAPERVDFFSKEG ARLGDKRSLEQEIHDITKIPIPALRRAVPFDQFNVDERMKWAESRRTTHEEDLAYCLL GIFGVFMPLNYGEGRNNAFIRLKKEIGDNPERQEWLQKLYVCPYQERKDRNPPRVEST CKWFTDHSLFQKWQMSSTAQLLWVSADPGCGKSVLAKYLIDDVLASTILRTTCFFFFK DDYEDQRSSTTAMCSLLHQIFHHHPIAFSTEVLEKFKEKGSALLQSFSDLWDILISAT SGHKREFIFIFDALDECETSGQIQLINAISEFSSRATTRSPVLKFLLLSRPYIDIARR FLHLNHDLPTIHLAGENEEEATQISHEIDMVIRSRVAVMGRTLGLDQEKQAVLVGELV RVPNRTYLWTHLIFDEIQSSILLTPNRIRSIVRNIPRSVSEAYDKMLSKSRDIRLARK LLHIVVTASRPLTLKEMSLALAMRPHHQSITDADPVPEGQFRDDLRQLCGLFLIVVDS RIYLLHQTAREFLVLPSTRSLSPLQSTTALQWEFSFHPQKSHRILAEICVQRLSFADL NGFPLGGVMDLDDYLATRTLSEYAVRHWANHFGKTKWEDDYQTVEKVILYCHPDSPGS AWCSIYPRITRVDAPYDFTPLLLASYFGLSSVLERLSKKAFKYINFRDEDYGRSAISW AAMNGHDAIVERLLDRSLAQRLLGRRACLNTKDHYGNTPLALACRNGHELVVQHLLKA SAHINTENLSRETPLYMACGRGYNTIVQQLLQAGADIDIRNRWEETPLGRACGRGYDT IVKQLLQAGADIDIRNQWGETPLGRACERGYDRVVQQLLQAGADVNMKHWNEDMPENS SGWDWRLHRTPLEIAEGRGHTTIVQQLLQAGADVSTRDE PFICI_01142 MSYNQYSGYGHPPPGGPPPGQYGYGQQPPPPPGQYGYGQPPPPP QGHYGAPPPHQGYHGAPPPHQPPPGQYGGYGQPPPPQHGGQYPPQNQPYGGHSPQPPY QQQGYGAPPAGPPQNYAAPAPAWGGPPTPASLGYGPPQIINWDANPDAHALRKAMKGF GTDNKVLIRTLANKDPLQIDAIKDVYYRNFNKSLEKDIISETSGYFETGLVAIVQGPL LHDCHLLYNAMDGPGTKEKVLNDVLLGRSNADLQAIKRKYQQTFGRALENDVRGDLSM KTERHFLIVLGANRAEDSAPVVPQDIDRDVMDLYRAGEGKVGTDEMIFCSILSTRNDN QIRAINHAYEQKFRKRLADVIRKEFSGHMEEALLFQLNHGVDKFMHAAALLEDAMAGF GTKDQLLVSRVVRYHWDRHAMANINGAFQQRYKTSLAKRIKGETSGNYEDLMVACVGA PFICI_01143 MTLERSFYAHSYEAQGLLSPLGPGLLHLAHNYTLDDSPGTQTAP SRHSGIDGRNSSIVQASLSGALLLLYVLRFLLPKAPQWCSRFAQEDAWLSAPHSKRWS LPSLALLLLSIGGLVLAVFSASATPRRVWTWLDLVPWAVSILFTVIERPRKTPKALLT VYLIIAFGLIYTLYATYAELRQLNVLNIAELCISIGAVIVILSMPMRNPALSTDGIAG PHDKATNELRSPEDRLTLWQFMTVSWMTPLIKIASKKTLNDDDVWLLPFEFQHSRLHM LFRNLQGSLIWRLILANGLDLMITTSLGILEMVANLSNPVLLQRLIASIETAEGYRTE SVFYAISLLLVRLIAAQSAVFTIWYSRRAYERSRGELITTIYAKTLTRKSQGFQPPEK DSQHENGNGLNGDEAKEGRFTRFLIWLKLRKGRQQKTTAAKPPASMGKILNLMRNDCY EVAQRFWDCPALITKPFQFAFSLYLVWKFLGWSCFVGLAWVVAIQLLGALSVKQFIAR EKLRRVATDNRLQTTSQFVEAIRHLRWYDWQGKWLDKIMVARNHELHVRVITGLWAAL LGTINISAGAMFPVVTFFAYTYLAGNALTVDVAFPALLLFNMLETSLKELPGLVMMVI NASIAIGRLESFMAEPDKDEGFSNEESTDIEFRDTSFSWPGTNNIVLKDINVNFTTGL TVILGPVGSGKSALLQGILGELDRQSGDCTLPSEMVGYCAQSPWLQHMSIRDNILFSS PYDPERYKRVIDTCALTPDLANFEHGDLSFIGENGIGLSGGQKARVALARAVYSQSRI LLLDDPLAPLDHNTAESIVQKLLRGSLVEGRTVILVTHRVDLVTHLADQVVEIHDGRA RILDPEEIPQDLLASAKAAEENGQDSHDTQASDEEQGAAIRDKFIEEEYRKSGGVVLS VYWTYIKAGRLRYWAILVIIFTLFRVARILSGWFLKAFAEGYNHESASLLHQAAFTAS TVDGSFADHLFDRLPSPSENVGPWLLLYLGIALLMTVLFGITELSLLLIMYIAAKSLF VKVITRVSNATFRFYDVTPIGRLMNRLTSDIGMLDGGIISPLQSMAFFLLTWLSALVI IAFVTPVFFFFAILMTASFVYIFLKFLPASQSLRRLEMVSLSPLMSNFGILLDGLATI RAFKAQPNFQDRNIVVTDAFQQKDHFYWSLQSWLMYRFDSLSAFSTFILTMIALHNGL SSGLTAFVLTTAAQFVDATHMLCKVYGQLQMDFVSVERVIELLELEEEPVGDIDPPAS WPAYGDDIKFEDVTLKYAPHLEPSLSDVTFTIPGGATCACLGRTGSGKSTLALALLAT LRPEKGSIKVGGLDISQVNVHTWRQRVSFVAQDPVLFPGTLRQNLDPLEEHTDAECTT VLHRILGPEWSLASHVDTGGKNLSQGERQLVGIGRAVLRRSPVVILDEATASIDRATA LAIQDVLRDELKESTVITIAHRLEAVKDADWFVRLDAGRVVECGPAKDIQR PFICI_01144 MDRQAEIAKKRAKLQELKRARELRQQESKSGSSGDLHAPTPGKE RRDIESIISSLVGEGRPLSTGTSSPIHRGSRPTSVLSAGELSNENSDVASQTPGQVAA PSQPQVLSTVSLQTVYEYPPSPVKEIIFHSAGAQTTEEWTSPTSRRSRAYSDIPDEDD IPDLQTTPTKRRSRKERETQEEIRERLRKEIEEDMQREAQELRDLHGGKFGGSVATSN FPARTLNEEELQAATGSDEFMDFVERTTKIMERALDEEYDILTDYTLQAQNIDDEEEQ GGNSAGKGRRRIKETHQFFDERWSKKRMVTSIDFHPNPKFSEILLASYTKNPAAPHDP DGVVLVWNPHLHSRPEFVFHAQSDILTAKFSPFHPNLMIGGTYSGQVLIWDNRAKSAP VQKTPLTGSGHSHPVYSVEIVGTQNANNVISVSTDGQLCSWSVDMLSQPQEQLTLTNP HPSKFDDVAPTTMAFPSADPTYFLVGSEEGVIYPCHRYDRAGAKAGVDSRLAYRGHTG PVMSVDFHQPRGPVDLGDLVLSSSLDWSVKLWKIRAPSGPTTLVTESGGISVTPLLDF VREDVVYDAAWSPTHPGVFSLVDGAGSLEIWDLSVETEIPVAKISPTPRKEGRQLLSK SLNKVAWEKHEGKRLATGGIDAAVTVFEVGPDLGGKDTAKTEEWVNVKKLVARLEASN AAAPA PFICI_01145 MSASGVNVLRYSALAFGVFFGFTHQRTITATQNAAHAKKEYEHQ QQLIEQARAKYAEQKNPKSLSAQKSPLDQDPMSPSFDLEAYLNAVAAKNP PFICI_01146 MAFQQGQDLALIQTERLGFLSLAGEAKLQLTEPWTQAAASNASL ISIANRKGLVAAAGPDNITIATTEAVRKAFEAPKVGDNDARPFAPQLQIRLTTRISHL AFTADENYLIISAELGGGLAVYDVQALLGGTAESAFQIPTNGEALRALVPNPQAAKGE LVAVVTQKGNLLMANMNEKAFVTGPNGQILANQVSCVAWSTKGKQIVAGLGDGTIQQM TPEGEVKSQIPRPPSVEANYFVSFLSWLENDLFLAIYVSTGLDPPQSIYQLISRQGQT FTCQTLTDPVDPFGSDKVPHHTAVRLKDFPPNLQDLLIFSSSASPDVGLLTRAKAPLS DDNVTNAFTTTELLDDSKRATMPMNDSMETPIPIGTALDLSGKEPVYKPIPTDELEQS PGPLPGYWVLNEEGVLSVWWVIYTESIREGTTYPGLAAVEGNVSQPTQDQAPAIQMTK PNPFGASNGAAFGAPGGTSTTAFGSSSALGTKQSPWATQSTSTGGSAFGSNAFGGAGA AGGAQFGQTAFGKPAFGQTSTPSFGQSQALGAKSSPWATAASSGSGGSAFGSGGFASA SKTPSAFGSTTAPSATGGFASFANQGGFSALGSNDSSSGTSIFASSKSNADQSTTTST SSPFGAPASKPATAPSQPFGSTPFKLMSSFKPDPKANEESAMDDSNEGNSMFGSGFAS AIGEPTKPTTSNIFGGQPSSGGLFSTTPTSTPAPSKFFSQTPATTSDGGGLFSLPAKS SSSLFGSMTPGTPKIKDEDSTPRRLQDIPDAPLPPDTISKASYPFGDSSSSSEFSAAD SPANQKTPTDAPSPSNIPLSSTKLAEDSPPDSPAAEDAPLPPDPTTNQKLYKVDIPPL PDMTASKPKPAPADDAPLPPDPTTNKDIYNVKFAPIPGAEKPAEKKVGSVFDNVTSSQ KSSSLFGNAGKSSQSNSIFSNSAGPAPSTSIFGSASKSGPLSSSVFDVGQKNVPKPIS SGFLFPTDLPPVSSSSDEEAEEDDEDDEGEVDEDEDDEQAEDEEDDEVDQGDESDGAS EGSGVDVAQDLSPQSTTKDRTTPAITPGSSFDGGLGGPFSTISKPEPERRSLFGEFGK NIPNLPQPNPLSPRSPSPVRNAFASRAAGDQSRSITAPGMASQILGTSQRAGSRGPPI VSKEKPLEDPRVEQRAKAKARKEAEETQLLVDEDDEIRQQQLNAPIVPTLELDEFIAH TGPLPLADDNIPAQVEAVYRDINAMIDTLGLNARSIENFIEGHARAFRHEHRDKHDLA NPDDWTIGELEDLRAIVSEDLANELSDARIIDVEEKIAECQNLQREFKRDMAKWNEIQ KMINAKLDPEQALLNRSLPLTAEQAARQNDLRRDFARLTKTLAEAEESLTMLKAKISS ANAANGKTGSVPTIDAVVRTISKMTSMVEKRSGDVDVLENQMRKLRFATGSPAPGLGA STRSREGTPTPQRFGSSLLFSPDRSFRESTPTRNSVMRHSMSASVASIGNGMFAVRTP PRKKLSGFGEFEKKAVKERKAKRAGVLGKLKSSVEKKGAQVWALENIE PFICI_01147 MPGFDFSNHSRNAALHARGVPLPKATSTGTTIVGCRFASEKDGE GVVIAADTRATSGPIVADKNCEKLHYIAPQIWCAGAGTAADTEFTTAIISSNLELHSL STGRKPRVVTCMTMLKQHLFKYQGHVGAYLVVAGVDPTGAHLFTVHAHGSTDKLPYVT MGSGSLAAMAMFETQWKPELNKEEAMELCSQAIQAGIFNDLGSGSNVDVAVITKDKTT LHRGFVKPNERSQKLKNYKFNRGTTAVLNEKIIKKDEIGKYVSVQEVPVESEKMDVDS PFICI_01148 MAPTISEIPASAIVTTDKCFIRPIEMSDAPAMAAAANHADISHF MRNKFPSPYTLDDAKSFINFSSQRQPRVNYCICLNDGTYAGGIGLIPSDPTDTECRTW ELGYWLAKEQWGKGITTAAVKGFCKWTFDTFPELHRIEANVYETNNGSMKVLERVGFL REGVRRKAYYKHGQYWDVTMFGLLKDDL PFICI_01149 MPPKSRFTRLDAFTKTVEDARIRTTSGGVVTIVSLLVVLWLAWG EWADYRRIVIHPELIVDKGRGERMEIHMNITFPKIPCELLTLDVMDVSGEQQHGVMHG ISKVRLQPSSQGGAVIDVKALALHAEDEAATHLDPEYCGNCYGAASPNNAAKPGCCQT CDEVREAYAQASWAFGRGEGVEQCQREHYAEKLDEQRQEGCRIEGGLRVNKVVGNFHF APGRSFSNGNMHVHDLKNYWESPVKHSFEHIVHQLRFGPQLPEDIAQKSSKNSPWTNH HLNPLDDTKQTTDDPNFNFMYFVKIVPTSYLPLGWKASSGQGPDESAWIGSYGQQYDG SLETHQYSVTSHKRSLSGGDDSADGHKERLHARGGIPGVFFSYDISPMKVINREERSK TFTGFLTGLCAVLGGTLTVAAAVDRGLFEGTSRIKKLRSKDL PFICI_01150 MASPSRPNFIDLRSTSSTSVATNASRPLRSPRLHVAGEAPPALS PLDAFAAQSRLLQKQLEESQRNGRRMSRLPPLTTESPLVVQGRSEYFRALSYDSTEGN DGIPLDENSVGMTTSVEEHADRPVSMHPRMSRIPPTPDQNIPLPNPFERGRQLGRLDE HEAKGIFGVRREQSPAEFDDLDVPPDQKPADHDAHLNEPSSANQSVSIPPSPEKLTRQ ISHESGLAPPRSMFPKRSSSIMSQPVEMPNDDNLSASFHSQHSQSAPRKMSASSTLGP VASPITGTNRPYARSPSISSVASLPRPSFNFSRPLSRSGTPGLETPQRQASSDSQPSF MLMDDTAHTPISMHSETFADDESVRGAPSYIHTKYYLPRGKALQRNSLIFNPNDVSQF AWEQPVVGPSNVQPFAKGQAPPSPPSRPTSSSSHNLEAPTSGDALLARPSLERSKLSN EMSISGEPSPRPSEDAPRGRQPADQSRGRTSMSTSDSASTIKPAKSVAPTAAETSAEE HLAKGIKCHENGDVKESTYHLRFAAKGGNPTGMLLYALACRHGWGMRPNQKEGVEWLR KAADCASLEIAEDEDQVKHNKNVDPAERKTRKAQFALSIYELGVSHMNGWGIEQDKAL AVRCFEIAGNWGDVDALAEAGFCYAQGIGCKKDLKKSAKLYRAAEAKGMSMPGNSWIH KPKYADDAVDKKQRARSKSRSRGIFRRRDTGT PFICI_01151 MQTNGLVASSPIKVGTPSSCLTFLFFQANQQYRSERHHSSFFLR VSFAFDSINNVDGFIDLFTLLFVVSTSRSFLVFITAASHPRVIRAIYAAIMKLLNTIA ASLVAFGQRAAAQGFLGNCTWRGANLTGSWLGMYCLDDNLAIFENKWTWHDLNLCVAN NNGELYPYADGSYQGSCRDCGLEATSHLWMNLTCNCFDMGGNLKPSKYNLNQILYNHN GSLGCFDHLGNKSACGPMCDEGYRQPAYTTPLPSNAKRTDDAVSMPTHLDFSA PFICI_01152 MLARVNKRGSLRVSLIFTFIVFALIWLNPNWTDRSIHRVLHVGL DTDLTTADAKQGLCKQHGWQPFEGSTRRVYDLLMVNTELDWLEIRLNTTYDHVDYFVI VESPKTFTNLDKPLVIKDNLDKFAAFRDKIIYHELEIPPGFHSDRENPAWDWEDLQRN AMYDQVLPRLTDARAPRHKDVIIVADVDEIIRPETITLLKTCDFPRRLNLRSQFFYYS FQYLHMGQQWAHPQATFYQGQDTILPVNLRNGDGGFAPLIAFETADLWDAGWHCSSCF STIEELLTKMSSFSHQWMNHEFFRNRDWIADHVREGKDVWARETEHYERIENNHDIPK ILLDQRDRFRYLLDRDGPSAGFQDYP PFICI_01153 MAQQELDPNQAYEENGARSASTWLGHRGNAAFDLRSDTQTTPTL EMLRAIEVTTLDDDVYAEDQTTIDLERHVAALAGKEAGLFVLSGTMGNQLALRSLLTQ PPHSVLCDHRSHIIKYEAGGVASLSGAMVSPIVPANGLYLTLEDVEQHAVLDDDVHSC PTRVISLENTLGGVVTPLAEVRRVAEFARAHGIKMHLDGARLWEAAASGAGGLDEYAS CFDTVNLCFSKGLGAPIGSVLVGPAGVVKHARWMRKAIGGGLRQSGVVTAAARVAVDR TFGKGPNGQGGLLQGSHATAKRVEKIWTDLGGKLQYPVDTNMLWLDLSSIGATSSRFQ QLGAEQGLKLSGPRIITHYQVGEDAIQRLTKVFEQMAAEQKSEPTVNGTASDSNGGLY KM PFICI_01154 MAIPELPKLPVPHNELVSYIAKNPDTPIETLVGPYRKYEASLRQ VYAQDRHNAVLDDPYMNVLPLFTKDTPDIKTRARNLAAESTEEKERYIMTLPDDKRRA DGSPAVVESLKEFRHNFNVFCESSLVDLDWNNVVAAGSSAVNCLLPVPEGYKKSKKTL RKYYHEKFAPASDIDLFLYGLNEEEAIEKIKQIEASVRDAILSEVTVVRTKNAITICS QYPTRHIQIVLRVYKNVSEILTGFDIDCSGAAYDGKQVYCTPRALASYITQINPIDLS RRSPSYENRLSKYSHRNFEVYWPELDRSRVDPTIFERSFGRTLGLARLLILERLPTTN AREHYLKKRREERGRPDPGYRHQYRLFGNIKDAHEDEVADWVDEEEVSNYHTFTVPYG AKFNAKKIEKLCYTRDLLLNAEWNQPKEREVYLHRHPAFFGRVQDVVQDCCGSCPKPE TAEEVEIAEKESEIYVSGKVTFRIDDPGRQQIGSFNPLTDDDWTEMAYVGNTARLCQA IVDEDLEHVEDWLAQEGADPNQRDYTGRTPLQLAVTSSSPAIVQTLVDRGARLIARLA DGRTALHLAAARGNVEIVKILLTKSATNEEEEEDKQDQRHKVREAETKTALEGQDSKS KKIESDPDKTDDEDDESDGEMLDDENSDNDVHSVTTGSFVKIDKNEDAAKAEEAMPLD DDASDPDFYKIDVVAWDSHCSALHYAIVGGHVDVVKLLSQEFAADILNPVKFGDASQS HETRAAILTLVLALALPMDKAIEMAKTLLALGASSSQADVDGVTAFHRYVQHGDSKLV ETLWENDKLGLKTAINHIAVRGAFWRMNATSPLMTAIEKNNPVMVLRLLEAGANPQVD FESWLKGAKFSFEKNLAEFGFEGNQKKFKSSTEQPLILAIHSMEPAMALDLLERGADP NVITKQGQQVLEDKWSRTHHKGCAALDEVRRCLEILGEYKDEPTRYFFHTTVYGGGHR FQNKYTEAPPGPQGTEEFLQKFTAGTYQHWLVKHDIDHKFNLYNHQVELFNKARQEHN DDDIKAKKETINKMISQLQQVEEALVAKGALTFAQQFPDIPPPVKQPRGDSNGKDRAY EYKYTIHGASDVTEIRREAYIELFEAAWTGDLEKIKSLTLSAWGTDKSEPPLKVAVLD LGWNSPFSIAFLRGHLDTAKAILEIVQAQWSPPEEKTKRYKMTRDEDENGSDEEADDD GDDNPRIYEEIVDDQFTIENIGQVSMKVKSHELPSSVLNKSCPLFIMRGDQIEDEIGN NTPLSFALKHNDKERFNFLLDTDVYFRTHAPAQTEEDESSRFYSLSEADFKLAVSLGR TDMLSDVIARFGAGIPLEHLVKKSGVEMKTKPKYYQGLTVYGKKRSDWANAGRNVIVK ATGSQVPPLLTAAVEGSLASVEWFLSDTPTRQYLEFGKSKVAKEDPRLKHLKQSPGGF DRAITKWLGLQNDMVIHAAVLGPLGHETNRLIKYLARVYPSSLEAKSESGYTPLFLAC LMGRVEFAKTLVDAGADQSVKDKDYNNIIHAMLANKPKLGKLESLLSLLDPELRAHLF LQRTHLTHGGDTPLHSWIKDAKEVKTTRSHPWEEDHHFESKESEEHVEITKLLLKLSG GDDLGILNGSGDTVLHSAVAYQLPKHAQVILDHNPNLLYRENSVGRTPAEIAYDQVIS MKVKPCPDISINFDRYNVNNSYVDRLPKIYKILSEPAIPTRKEQVWNLVQKYQADHPG KRRLVSLNEANDVARRLGENYSWQRYYSKTKTSNQDNSNQDNEHEEDEEKEEEKESDF VSIQYSRKQSTAWSDEMPKKD PFICI_01155 MLPVAVALPGWVYGLYINGSVIAPCDSPLYCQGDILEQIELARP FSDSKTFVDLPTIRPLDEVIAAFNNLTKPISNNTELNDFLSTYFGEAGSELTSVPTDQ LTTDPVFLNKTSDIVIRQYTEKVIDIWPDLTRQYVGAANCTGCVDSFITLNRTFVVAG GRFREPYYWDSYWILQGLYRTGGSFTQIAKNIIENFLDLVETIGFVPNGARLYYLNRS QPPLLTQMVKTYVEYTNDTSILERALPLLIKEYDFWVNNRSVEITAGETTYTLNRYDV ENTQPRPESYREDYVSANNQSYYATSGIIYPEVKALNDSQKADLYANLATGAESGWDY SSRWLANPSDAADDVYFPLRSLNTRNIVSVDLNSILYANEIQIAEYLEASGNDTGAKA YRELADARSKAMYALMWNDTYNSYFDYNLTSASQNLYVPSDTDTTAAQKADAPDGYQV FFHLKNNPLAVTIVYQRVADLLAEKAGAIAATNYQTGQQWDQPNVWPPLMYILINGLL NTPATFGEDDPYYIQTQDLALALAQRYLDSTFCTWRATGGSTDDEPQLQGFNSSDVGI MFEKYGDNSTNAVGGGGEYEVVEGFGWTNGVLIWAVDTFNSKLTRPDCGNITAANNNE KRNMPPSAVELHASDARWVKKFGRRSGNV PFICI_01156 MASNPFDDLAVKYVLQDDEAAHGQAAQAAVDQIEQAAGASPTAL RAAIGQWVASINRWLVPQADDEDDIISRGKALGFLASTLDRLNPSILRVDQVKLLLAF FCSLFSSDHRAGIDAASKALSSLASMSSFRAIMGNDIIIGITKLGSDDFKRQTPATRL TIYQLVRGLLQNEAVVKDLASQHESSSTFMTALIDLCRNERDPQNLIVWFQILSLFLQ NFEPADEVTDEVFKTFSAYFPITLRASAAPSGITVDDLKQTLRSCFSAHQRAARLAIP FLLNKLDQGEAVTVSVKVDILHTLEACLAHYENVQQSVVPYTDQVWSSLKYEVRNGEL VDSIEATLKTLATLARRLQGEELQTFLGGVWIDVSDDISNETYTRSAGRLLAAISGAS RESFSLSSKQTIPHITATLKNTKSPSHQAELLGVLNALLQVRAVLTEAEGSSELQDDL FGDALFDDVFTRLWSTWTQSQYSPDRPTIIAKLIDGMSYMVMQKSSNGTKERLCSDAT CNRAFNWLGTPSIIYPLEGRNFLLGQDDKEYEQIIVGATTALSRLSHLYPSGFQQLLE RFLSALGRYAENHSPAGRDLSTIKDVTTRLSIMAFKSEELKQVLVGNTLSLVTALLQG LHTLASASPKYGQAFIEAIHIAIIRPLSTISDSLLKSKQQAPGLGVAESQDWLEQLNT QVQGLPDVNKGNLGDLTKISEDVAKETNDLGLKYQLLLRFSMSLVIQLYRYSLDVRLQ HDAENNISIDVKPALLKDADIYLHRLGQFAADVIRYLSEIDQIQLRLGHEAILLFTEP QDADDQETKIARAAGRSDGTWALCRDTHRTAPLVLGIIQSLRPVVLEDLYIHSVVSDL CRRLCTVEPTVIPPDCRAALDAMLLVLSNKLGKVELGLHLDLHSASSAAMHEGFRSAV ENNVEARGQKVLLMFLSTLHYLAGDVANYSSNQQRNLLLLELARRAPTWPRVGRQFCR YFEILLGPKDYLTKKSYATIKPLRGQWLYNQIVKPYLAECFPRPAAPGRTGIDDIAAT NRSVFVFSLLQHLDYSVWRNEASAILLIVIRALQTFGISKDINTVLEILSKLMEADAD LVKEHLRPLITSTLAVYEMARNVYDATGFISDDNVQFSKREAAMCRKLCLAFIGRLPK AFGGTVRHNLLPERQAVLRGLSRACGDPVREVREVAIVARRDWDGLS PFICI_01157 MASHDMPKTCKVILAETIAKKFLQEVQDTLKTVQPGAASQPTLV AFLANDDPAAIKYAEWSKKTCEENGFNFELRQVDKDKLEEEIMTANEDDKVDGILVYY PIFPGNPAHDRYIQETVSLAKDVEGLCHKHIYNMYHNIRFLDPPQNMKKSILPCTPLA VVKILEHLQIYNPILAYGNRLFGKTITVINRSEVNGRPLAALLANDGATVYSVDLTGV QVFTRGQGIKAPRHQVQDKEGWGLEQCIPISDVIIGGVPTEKYKVPTELIRDGAVCIN FSSFKNFDGPAIKEKASIYVPSVGKVTIAVLLRNLVRLIANRPSTKPDGSADESLAKA KSEAFVDG PFICI_01158 MASQEKITVHNLADLKNTSDDAIPNYLNSLKFKQIHTLTDTRLA LGYSAFAIAGACFAWDYKFGFESTKYYTAAAVAIYALLNAVLTYWIAYVEKGVVYQGV SPSGEKISISTSTKKNVPEYNVNISITSKNGKTETIEIKRSFTEWFDSAGHFIAPPFQ TLFATSVPLIAKADPKRIEAAKPAQPSQSNFTDMDPELLDALAAGSTGAEPEASGKKS KRRKA PFICI_01159 MAQLAPQTIQRDPQLFYWILFPITVVMILTGVLRHYATVLMASA PKKLEQPALKEQRSLVHGIAVRSNFHALTGPSFKARREALTTAYESGAYLKDPERRGQ PPANPMTDPGAMDGMMGMMKNNMAMIIPNTLIMSWINAFFSGFVILKLPFPITIKFKS MLQAGVATKEMDPRWMSSISWYFLCYFGLQFVFNLLLGNEAAASQMAQQMQGMGPQAP NMFGPGTDPDKQFQTEAENLAVIDHYSVLDGVEDRLLQGIKSK PFICI_01160 MFILTKIADLVQIAPAQFEKHSRVAIENNINAKYANRVIQKIGL CICMYDLLWASEGLIGHGTGLVNVNVEFRLIVFRPFKGETLLGKISSATPDGLNIRTD FFEEIFVSYKELPEGCEFDHNEQSWVWVVDDQRMYYDKNEMVRLQVVDEEWHDQVPDS TSEEAVEKAKKISPYSVRGTMMKEGLGCCLWWE PFICI_01161 MSGQYPYGAPPPPPPSAAPASSYPYGQQSYSSAPRGGSAGAGTG GRGRGYAQGPSRVEYGSVYGSYPQQDYSSHNAASYSQQPSSGYWSGSPAAHSSSPLPQ SNYHPNYASPSNYPPQSPATYPPAAYHASSQRATYTPTYNSPTPEYPAQQWGDSNSYG SYANRGGRGGYPGDRGGHRSDSAPSALRVGYEQGGSHAPQASNGYNQQYPPSHHSAAG YAAPYPSYPPPVPAYPGPAPPASGYSHNPSHRGRGRDGFSGHRGRGGHHNDRNDKFRH KGPRPQHHENHNAQKSDAASAKKKKRKTNTLGLTPGEADDSDKEIDEEKRIVETLGDD LPDIGDLAVWLSERRARFPTKARVKAKQEAEAAKRAEAGKAQKPAPKNEDEERAELLR RELAEVERKLEKRKRETNDEGDEMRAPKEESESSDDEPPETQTTKKTASYLPPPPITR ADPTAHCKYYSTGGICGKKGKCRFVHDPEMRERALQEQAANGGRITLKQRLLRNEKEI EDLAIIQSIVSLRGNGKMPPASKPAAKAPGSSSRMTRSTSPAIKEACHTETENSPGQT ILPPPDSDSAAVEAFEPKVKLSKLQNLYASASLGYSGNTIQQKLDQLAEPATSVANAS LNADLHLRYYTLTRLINARK PFICI_01162 MPQNEYMERWRKLHGRRLDHEERTRKKEARAGHQASKDAQELRG LRAKLHQQARRKEKIQMKKQIKAHEERNVKSAAEQDHSTPLPQYLLDRSNPTSAKALS SAIKNRRNDKAARFAVPLPKVKGISEEEMFKVINTGKKTAKKGWKRMVTKPTFVGPDF TRRPVKYERFIRPMGLRYKKANVTHPELGVTVQLPIISVKKNPQNPLYTQLGVLTKGT VIEVNVSELGLVTASGKVAWGRYAQVTNNPENDGCLNAVLLV PFICI_01163 MSRSLLRSVLELRTPLTRLPPSFLLPIQSQQRSLSSTSRRHDQI QSQSTTPNPVASDAISKPPTEPEHPFVAESGDLQPQKGHSTVPEPLYPKQPQTTSPLS PEAAQSISQLLPLLRAQPGHYITAHIWGRPYLVQPGDRIRLPFKMPGVLPGDVLRLDR ASTLGSRDYTLQGGPYIDERLFECRATVTGTETEPLRVKVKTKRRQRRNKTVKSKHQY TILRITDLKLKGPEAIGL PFICI_01164 MAAASPTPLGNSHISGPTRKPSLRSGLRRTSSRSQLDRAQSNAA AHASAKSQFAANHADSSDDEIPVPMKLSALTKALLNDDGVAEPAAQAGHVPGRVRTRA QAHRPSSPVQSVLEQDKPVKRRSILNNSTSSNAEERRQTRASSAQVASSRQASPVRSQ ASENSPAQKQRKRVVRLSTTTPGISTSFGAVQPSKRRSTSLSTSQRGARPPSRESLVD EKLDISELNGINTPQAQGIRSVRIAVGSSGGRSRLSGSSGNSFRRLDSAQPQSENEAA EEPVTASHQRVGLGQGSTSRPRTRDEDNQAIQGSMRVKRVGKVTGSFLRGPARRGLRR QSDDDGGNDADGDGLQMSQYEADGQAPQDIIDLEPENYASSYYVPDRLASGSPVSAKN SSRYKRETSAAYEEPRLLSHQSSPVREQEEIQIPHYRASAPRPEVPSRHDQENDPPST FRRSKGLGNSILEKEAQLPPRPLSVDLGPAKIPSPERKPLAAVNQNEPRRIAPPPPPK MSILEAATSTAGASTTQQAAKKRNYMKVNKKYYTRIDTLGRGGSGKVYRVAADNGKMF ALKRVSIEGADESTVRGFKGEIDLLGKLGGCERVINLFDYEMNEEKQVLSLLMEMGEL DLNTLLRTRLSPDTARFDPVFVRFYWQEMLECLAAVHAYDVVHSDLKPANFVLVQGRL KLIDFGIANAIQTDETVNVHRETQIGTPNYMSPESLLDSHTTGPDGRRINTATNRGPK LMKLGKPSDVWSLGCILYQMVYGTPPFGHIQNQMARCHAIIDWDYAITFKDRGIGDAP VPPSLLRTMKRCLNRDQHMRPTCEELLSLSDPFLYPVETPHGQLPVSEELLGRIIHSV VAKCRERMPDDGELLSAWPKAYWSSVAKAVGRDLR PFICI_01165 MKHLAAYLLLTLGGNTSPSAADVKEVLESVGIEADSERLDKLLS ELEGKDINELIAEGSSKLASVPSGGAGGAAAGGAAAAGGAAEEAKEEEKEEEKEESDD DMGFGLFD PFICI_01166 MPIGDLLAEISGEKPAAVPVSAVKTATVLKRKADGDTTSNGLPN KIVKQSHATSRDSLSRKPSPPPARSSTVAKPAATNGQRTSTTTSTIASRDKPYTGTAT LARANRKPEAINTLPARKDGAAGPARPQGSATTLSAPRVASAKPSPTTPTSAGPSKVP KKGSFAEIMARGAKAQQIAPKAGLIQHKAIGQTVTSKKDSKWKLGKSNAEAKPNVLPS REKSVQNVRNGSSKDSRPTTKGSGRPSPAGDDLADKKIKKAATATTGYTGTARPAPKK ASDSKSGKPASSRPRTGGGLLAMPRTGRRERYEEEDSDMDDFIDDDEGEDDEIPRGYR YADDYDSDSDMEAGADDIYAEEQRALRQAREDDAKEEALLEKLRREKEARKKRSGY PFICI_01167 MATKEPSRTRSVLITGCSDGGIGAALAQCFASHPNLHVYASARS IAKMSELSTIPNITLLALDVTSPESIKIAVTTLQKATGGSLDILVNNAGCGYTMPYLD SDIEVAKALFEVNVWGPMRVTQAVQHMLVQARGTVVTVGSTAESLGLAYQSNVSLLIL QFCEYVADQVGIIGVYCGSKAANRTMSETLRVELEPLGVKCLHVTTSFVKTSWFDNVP TFKLPNESYYQPIEKDIKSAAQDHGFQMMPAAEFNKQLLVVDWTNLIERA PFICI_01168 MPPRKKAAATAAPAAAPASNGRATRSRARTAATPADNNDNDAAS DAHNDPEPAKAKPARGKKRSTATDGVDSQPTQKKAKASAAPTQPAPSDAEPAVDKSSP RLEPEEVENAQIAKPGIKIPLDEFVPSELLQFEVYIDDDGVIYDASLNQTNAGQNNNK FYRIQLLRSVAGSYKTWTRWGRVGDRGQSGVLGNGSLPDALENFNKKFKDKSGLAWSD RTAPPKPKKYTFVERSYAEDSDDDEETKTKSENGVEAPESRLAKPVQELMELIFNQQY FANVMSSMNYDVKKLPLGKLSKTTLTRGYQALKDLAALLNDPTLATSQYDTTFASATE HLSNSYFSLIPHIFGRRALPVIRDQAMLKQEIELLESLSDMKDADAILKQDKTDIERL NVLDRQFQGLGMEEMSPLKHTSAEFRELKNYLMETRGATHNANYQIDQIFRIERSGEK DRFAASPFAGPPRDRRLLWHGSCATNFGGILSQGLRIAPPEAPATGYMFGKGIYLADM SSKSANYCRSYVTNGHALLLLCEAELGDPMQHLTNASYNAGEDAAAKGMSSTWGQGTT GPSAWKDAECVNPDLKGVKIPDVSVKPGQTDVPNAYLLYNEYIAYNVAQVRLRYLFRI RM PFICI_01169 MSRLRTSRAGHWADKLAVDNEDGLTTAQLMLTNYDLKPVEPERR QWGAWNFVGFWIADSFNINTWMISSSMIVAGLSWWQSWLCVWIGYAIAACFICATGRI GATYHIGFPVVNRASFGIWGSLWPVFNRAAMACVWYGVQSWIGGRCVYLMIRSIWLSW DRERIPNTFAEDSGATTADYVSFFLFWLCSLPAIWFPVHKIRHLFTVKAYFVPCAGIA FFIWAIVRAGGIGPIVHQPSSLTGSDLGWEIVKGIMSSIANFATLIVNDPDFTRFATK PKDAFWSQFFTIPTGFAVTSFIGIIVSSSSVVIYPGTEPIWDPLDLLENFINDGGSAQ RFGVFVIATAFALAQLGTNIAANSVSAGTDMTALLPRFLNIRRGGYVCAVVGLAMCPY TLLTTSNQFTTYLSAYSVFLSSIAGVMISDYYFVRKGFLDIKELYDARKTGPYYYTYG VHWRAYAAYISGILINVVGFAGAIGRDVPAGATYIYNLNFFCGFIISSGMYWGLCKIW PVPATSDKWMEVGDEITDISLAYDGRSGQEFDEEAVMGTKYGEDHKTA PFICI_01170 MEASTTTDHDIPGILRMPLELLQLVTRHLTTPDYGHLRQTCKHL DAALYGGFTKEFFRKKQFMLSPFSLQALIDISNSRLANGLQHVIIGTERPLETDRYVS SLGFGAHSPLQRNSFVRHLEDHLALLSCGYDIQMMSEAFAKLANLEIVEIRDFASSRP RDGTTWKSYGFATFQTETGLTVSRRALVHHPNVDQDYSTRLFQNILRALGKANVRPKR LEVNTRHSTSGLVDRAFKVQKFDESQVIPVLAGLEAIHLDFSHSELTTLVASQEGPGD LPCALFHLRKFLSLLHSLRTLRLNFQAMNSDTETHLFLDWFQSPLPLDMPTSPIQHDP GSLPQAPPPVLLEKLEQLEIGKVAVRLDTLVGIFEKYKKTLKNVQLHRVGIKDPNPLE SKTNQWAIFFRRMAQLDLNINSLTMSHLYQSRQGFPTSLALEFKDTKPSHTRKWRGHD LRGALKDFVQHAQVDWPPEPQSDDDDDGSENSMEDSMDEYDDVDDT PFICI_01171 MARSNTLLLSLTAAALATTAAGRQCQNLTIPVTASARNGVFGIE APQTNIDVTNFMLDLSQVGNNLTEKLLTGYATVSGTCNVAATYCEPDSGPGKALQVLV HGIGFDRSYWDFPADNYNYSYVDQALAAGYSTFAYDRPGIGQSQHGDPVNEIQSFLEL AVLIELTSQLRAGQVESVATYDKTVHVGHSFGSIQTYGLAAQRPELTDAIALTGFSQN GSYNAEFALGGNFVQANSIPALGDYPDGYFASGSETGVQINFFGPGDFDPAILKAAYS TGQPVTVGELLTLAGPASVLNTYPGPVQIVTGERDIPFCGGNCSVTTPSVPEQAGQYF PNATYFDALVVPGAGHGLNLEYTWPVTYKTILDFFDEHL PFICI_01172 MTVPVEHPVATPPRPASPVYGFGTLAVHAGAPHDPSTGAVIEPI SLSTTFAQRDVGQPIGLYEYSRSSNPNRDSFEQAVAALEHAKHALAFASGSAATATIL QSLASGAHVISVSDVYGGTHRYFTQVAKAHGCQVTFTPQIEVDIADHITDNTKLIWIE SPSNPTLRLVDIRAVVTAAHARGVLVVVDNTFLSPYVQNPLDHGADIVVHSVTKYING HSDVVMGVVAVNSDDLRARLAFLQNAGGAVPSAFDSWLAHRGIKTLHLRVRQSSINAL TIATALEASPHVIAVNYPGLDSHPQRAIALKQHREGLGGGMLSFRIKGGRTAAERFCK LTKIFTLAESLGAVESLVELPSAMTHAGIPKDQREAVGVFDDLVRISTGVEDSRDLVL DIQQALVKAVLGDEITNGQSNGANGHGAH PFICI_01173 MPHQLETQVLAKRLKGQKLVIPDLKPIFSHWPSLANENYGRMKD VVDRKLPHILPSAKHQQAVKDADPALLAARWWPTSTWEAFQVMTDLTIWFGIWDDYVE RLEDPDEAEEFRCATKTFVAQTFGLSNQASKPAPLNPLIRNFGEIAEKIRGAYDIEQR EELLRHFDQYIDATRVETEFEKSESVPSLERYWEVRTLTSGMGTLLGMSEFALGVKLP MSVVTSRAYETLWLSTIVINSIVNDLISLKKEMRAGSVLSSVAIMFHASNDLDLAVQL SVDHIQQLVEVYDSTAMMLLEDVVEDVETRQALSKVIDLFRMVNTGNLEWSLGAKRYG VSDFIQEDGSIEVTL PFICI_01174 MIVTRLPPEILITILEYCVANHYNKKNDLLELRTVCRLFDEILK PYGLHTLQVDCTRLMRSPQLSADPSALARAGPHCRALYLDMMLIRDEGEVEYLGKLFK KIPSMESFVQGLYDQYCLNEESFTEADYRDHLGTMFEQAMNVEAVRLNLPFQLISKHV HASTMLLGNTFEALARRPEDSAPLKTLVMENLTDIGIVRLWRNPQDIKNIMDSFASLE HLLMSVRRHEGERYHTIGFQQRLWEMIGKATMLKSLCLISLNMDELPFQQVKRTSQRD CTLSDWNFRSIPTIRKPPKSVLPYLASLELRRVDIQPCGFLSLFKCFGSSLQELILNH VYLKTIYNSHEPEDLDKNLWIGYPNVHPPTNHRWIAMTIRELNIKLRVCRVTNIGYDQ YHVGLRPNVWPVYDLHDPNGRGRSLDQRFVEVAMGMKQFKDSQSVDTEYWPEEVDCTW AYADTERPSRIRRRDWDAVAYLSDVNNNNTSCWQKTIDGYFPNFNQHTVDELHRFADT ACEGMEEVSRRNNTEIDIEAMVEEMLDGQEGDEAALQPLFDNSDD PFICI_01175 MTEPIPYINGKTGEIIGTVYTEGITRVSRKKLRHFLSHGEDLDI RYNKRLQRLTFDDSEKHVTAYFDDGSQHSGNMVIGCDGSRSKVREYIVGSEAAKQQDL GITMINFPSSHYIREQAIRNRDHHPILKLSFHPELPGTTLLAALDTSSPDPSDWKFQN YTSWWGSPSAHELRDPKVRLDFYRDHMSKFCEPFRSAALGGVVSDMTNGSEAAGEAGE TVESEVVLPIYAGQQWSPLTPRTWDNHNGRATLAGDAAHSMLPDRGQGLNNALADADY VVSALRSVVVDKERTLGEAISAYEDEMRPRGGKEVELSFEQALRSKDVKSMAKDAPIF RVGHARQ PFICI_01176 MKGEILHLHLGQAGIQLGNSAWELYLLEHGLGADGRPVTQEGKE QVDGGSYETFFTETSGGKYVPRSIFVDLDPSPVDEIRTGDYKSLFHPELLISGKEDAA NNYARGHYTIGKEMVDNVVDRIRRVADNCSALQGFLIFHSFGGGTGSGFGALLLERLS TEYGKKCKLEFAVYPAPRVSTAVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICKRN LDIPRPNYEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPYPRIHYPLISYAP VVSANKSSHESFKVHDLTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDVVPRDCNSAI AALKAKASFNLVEWCPTGFKLGINYQKPMAVPTTSPSDGGLASVDRSVSMLSNTTAIA EAWSRLDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAADSYADE EEEAEY PFICI_01177 MAKGKSSGLAASKANIDKSLDDLFASSSGPVKAPASSRYSELLP LKEHKPLVQASTEAAAGSDDEDEEDDEELSELDEELDDDEDGPSDGPSDAEEEEDSAS AQDDSEDEAVQPEPTKKDRKRKRKDDFEDLEDKYLQKLAEDDEHEEKRRKGDAESSEK VPSKKSEAGADGDDDEEQDDTPIVHESLLKKAGEEEHADVELDKANRTLFLGNVSAEA INSSKAKKELMTHLASPLADLDASSGPHKIESIRFRSVATAGGGMPKRAAFITKSLME TTTKSANAYAVYSTPLAARTALKALNGTVVLDRHLRADSVAHPAQIAHRRCVFVGNLG FVDDETVLTTDADGETKTKKRTKVPADFEEGLWRIFGQHAGKVESVRLPRDPKTRVGK GFAYVQFYDANDVEGALLLDGKKFPPMLPRILRVSRAKDPKKTALAVERTNKAKLEAA KGSDGAAGKPKSTKYKHKATPEQKSLAGRASRLFGRAGAAREAQRLKGGEKKPRHRDS KAGGDGVDLSTIKTPEQIVFEGRRASSKDGKPKDLKFGKVKGKKRPPLQAKKRGARRA AEWKKSGGRN PFICI_01178 MATAETAPPLTIQPPAIEPLSDDDGSSPLSDLGGSQNDLELPDD SPRPVREEPSDDEGNDTEAETERLYPTPHNPTRHTDALGGGPTFERTPTKLRQGTRVD DDEDEPLSELQGSFTSSPPRAHSSGPPSAEKLQSPTLDILAEAAAAQFSDARKRKRSS QPPDNNEEDQPSRKRSASPFAAGQADQDGDVAMADDEEPSTNTNSGDEAVLQSATNGD IDEQGDEADAEAQIEEESRAQRQSRAGSKKRKSARAESDEPMEEAADGTTAEEDGQHT GDDEQAEADIDEEAEAAQRTEEELERKKAAFDMLTNIEKQFATFRERLYEERLDQLNR EEAMLKADNPTHPDYLAMMGCINDRRDEKLRIAENEFALNIDTLGRWAVARRAQIHSQ YYQDVRETRERILGELGQYWYAIQHERRKHANNVHDFGLRFPQTQPQRVRDAMAYNKE VAILSGIAKYEGMPAAPDMRGASAQEFEDDFEAMTRGRAPVAQHHEPARSVYSDIRGI PPFGQGLSAAAEQFIEQNAWANPRHPSNAHLLQRQHSQHEPRRRSPAAEASSSRRHSH QRPPFSSSTISNASNYTPYNANAATSNHVNRAPTTSPETTRAASLLEQTSVRSMKAAA AAAAAAEAEQGRAVKREAAPPVGGF PFICI_01179 MPSGYGNSSYYNKNAHSPALIRARRPYLLKNALVGSGIAAFAIG VYVYTIKAIGQDEFTDVKVPDVPQQPANKS PFICI_01180 MATDSRLSVPDQIRQLADARKLVLGDVSYYGQVIQATLPIFGPT AHVELRRWGAEFLAEAFATPAVPLSQKETLSLLILDTLKALVEDPNQDVLVLRSVIQT AASVYPLAMRWIISNAYDTNTWKTITAIKARVLGLWESAPLTLRICCIKFAQRVILAQ TPSINPEPRRGDPLDISLNMVPRDHSVLNVNAMEAEATGLLDRMLSVLQESSDVLTVD ATLNTLSILIRMRPMTSNKILNAIMSFNPLKLATTPMTPKTKVIIRSLEKTTRMLCMH LSRRDTKGVLAQRMQPYLDRLSQARAELLDGASRKRALTEMDGTEAKRQRTSPALPDL EITPLSPGSHSLADVFTFTKNEGLRNFDVALVPAPVAAKVSINTLARIDPNLLERAIN GVRDRLVELHKAAEKPLNPETTALDVEDDDDYEPDYYAAEDTEQILNKLDSEPSVQRG ELQKPVVLGTLALPTFKLPPPPPLEPEQAVKVGQGTVTRVFGVMSTLDDPSTKKTKSG INRLAASSFDRESWITIITRLATRASAGLDDIHVKDEEKSLATSGISLSNSIRESLYV YVLEDFRKRIDIAVSWLSEEWYNDQIQQKAASEDGRDVPAHYDKWALRLIEGFIPYLH AQDKVLTRFLSEIPGLNRDILGRVKTLCRDPSTVNLALTSLLYMVMMRPPVREIALDA VQDIWLEYDEARAMAGKYLTKWRPGFIEQQKQLQAANGA PFICI_01181 MANVEARYNPFSKSPKSLKGSKHPSTIRTVPSFEDPSDTSSSDP HSARNSGFSSSVNSNTSGASIYSQNQTQFQTQNGTTSRLSKAPTSYPSKTQLGATRSS ASPMASSDATLRPADNATDGDEQPVDGSGQWDGGAVGKAALGKTGRVINKLVSDNEAL RRDLKIERIKSEEAKQAAKLMEDKMERMISEYESRLLEANVTKTLLSRKERQVESLQQ AVELEKKRTADAQDRERTWKDEMEKSRRDANVQVDEAKTNAAMMEGRYNAIASHWKEQ GNEVKKATTTLRSEIAALVEERRRDDDKINTLRDLCDQQDGNIKDLRRQNEEIGNQFE AYKRTQEEALKSIKENARNREEEQLRTIEETKQTLGQLKWALQVKANVRGAG PFICI_01182 MDDHDFRPRQKVVNSAMVKYYPEWTNESTTSEYRAVTGPGYDSF ESFGDVEFLVGCNWILRPAGLRQFFEQYLKEDQEITWDVANACFRIHCQRDNQAEIMT RISMIMDDILKGGELPSPVDDAENEMVRHPSQSASLSAAQELRDYQEFSFPKEILLFP VRSTWKLPGGRAEDGPSLYQLLAGNDPAKLMTDRTKSTVIQSGDGLTLYFGAHSVDEI SKAKQKMDNILRYHGMRYRYGMTQNVIYTEDNSSGLVELRYLAQTHLVHVRSIILDPT RFQLQQAYGKIFEKGCFVRSLRVQGEFLVPDAMQPSPVISRELVERSFEYFKDHRVTE KRQTWLDLAQATDNLIDTIVEPVQQPDHQTSPGVYEWTDLVSQFGSMSLATSSEVSVP TPVTGATEPQEKASEDEQVDLLTSSPLKNKQNVDGVLIPTRTYRQQEQIPRQPVAIRQ DLSTDRPRRDATLSRSKTKSPQATTGDVVVAARDRIIDSIPKMLEPLRLYQGSVILKA EIGRIWFTNVNWQHIGIPGLKQHSRARDVASMEAALESHCSARDLYFNNIVTTQGGDA NHIANLPGPGGNGRMWVPGSGRTFYEFWCTTKNLSGSKTYFVLEIDAIDFTHEIRKAD PGETNIYVHCAKRDFDFRVVIEATPAIKESYEPFAQEVVASLQVGHLSGGNDLPKLSL MYFRQWGIEIKSVRFRQVAIYEHHEGTTKLHITHVHCMKKTTVQKDDIACLIHAYPDL GNPDDGYFPNWFEAYVTSNEIVDAFTENNELEFAQEASWAPDKFKDSGALNDLLDHTT STLKQMDGVGYWVSNGQDDMRYGRPPHTKQQASQQREASEPGRYW PFICI_01183 MPPPPPPPPPPPGLGGPPPPPPPPPGGLPKAPSGAGRGALLGDI QKGRSLKKAVTNDRSAPVVGKASGGGGPPVGGAPPVPGLAPPVPGGNRLRSNSDQGSR DTGAAAASIDAAPQLGGLFAGGMPKLKKRGGVDTGADRGSSYMSDSEATTRSSSAPRP PTFGAPKPPAGAAPAIPGRAVPTPPGLPSHPSIASLRKTPSDVPRPHSSASMKAPPPP PIGKKPAPPPVGRKNLGAAPPVPGAPAPPPPPPPAASAPTPPPPPPSSAPALPPPGPP RPPPAPARSQPPPPPPSSPPSNGLAQNAALQAAIRASSGRASPTPAPPAPPPPPPAAA PAPPSSAPPPPAPPPGAPVSRPRASSNLRSTMLDPSSYTLSNNGSSHSPSPTRSNTTA GLSSPRAVGGGGGGRIFIDDNRWRFTDDSQLPKPRPFQGGPRKYRAGRGSSVPLDLSA L PFICI_01184 MRRPAWAPKQAPVCLICDALTVRGPSRAKATFTTRSVSNARPAL DRRPRCSSIQPVAVANTSRPRWLASRINSRTSAEGKQDGGQAAVKPPPVPEAAGREIT SLTNMLAYIDNNKAKVLNHRGVPSEADISAALQACQIVADYIMDDSVQPQISHMISET DSAASNLLSLDPSGRKKTTSRPATFEEAGTSPRNTLVSNQLMQMIDRISEAAYVTLAH PTVLITPSLLEQYVKVQATLGRPETLPRVFQLYASKPRPQEVSGSIKYSKQNPNQASK AIDTDVADMALDTAIEAKNLDAAVGIIENTYTTTAFIRSKVLRKSTLPLATFAATPLA AYAVARNFSIFQDAMDTAQATNVAFAGILAYVGFTATIGVVAATTANDQMKRVTWAPG VPLRSRWVREEERAALDRIACAWGFAEPWRQGEEEGPEWDVLREYIGQKGMVLDRTEL MEGME PFICI_01185 MVPAEVDEAKCRHYAQFMYRLDRIHFDALYWSLFFVVIGILFVA SWIYQSVMKYADNPNQDKAEFRRKLKIAMVYTTILFLVAGVAVVMEVFSLLALQFCDG EDLMSLYWSTWTMLQLGAEIAILGVDLALYHALFDIKHPKWALALGTPVLVVAGFGHV IPILFRKAARKLKRKHEETRMSRRNSKDLMTEKTNTSSAASIKPEVEERSRANSTANG LMGQALAPPLITFEIDVGGNDDVIRKWPSFLRLENGKALIQASIRQDSFDLEAQRPGP SGRTR PFICI_01186 MAQKCVHKGCGKTYTDPDEVCIYHPGPPVFHEGQKGWKCCKPRV LTFDEFLAIPPCTEGKHSTTDLPPDIEKKTGDAAPAEATSLSAKLAEIPTDVPTRAPL APQPAPTAPPPPPESEDDEPSLEIPDGKTCRRKGCNATYKKGQARSDQENCVHHPGAP IFHEGSKGYTCCKRRVLEFDEFMRIEGCKTSPRHLFVGSGEDKKKQGGTNTEELLETV RNDFYQTPSTVIASFFLKKIDSPKATIKFETQTLVLDLPTTDATPKRYKADVPLYGKI DTEKSTFKIFGTKLEVNLYKADGASWPVLRSDDRLTGEILQVGRAGKV PFICI_01187 MRITNRLLQHACRITLFTRETCGLCTQAKSVLSDVWDRRPFEYK EIDIIKSQQIPRWRDLYEFDVPVIHINKASAPEEQHQLASKAVKLMHRFTVEEVEAKM DQVEKL PFICI_01188 MLQGCTFKNALLKGEGSSMGLWQTLPGANISRILARSGVDWVMV DMEHGNIDDAAMHEAVPAIASCGVSPLVRLPDMQGWMIKRALDAGAHGILIPLLRTPE EAKKIVAAAKFPPQGQRGLGSPFAMERFNPIPTMTEYLQHANESLLTMVQIETQEALD SVDEIAAVPGVDLLFVGPFDLGNNIGHPILDGVVKPELEQAIERILTAAHKAGKKAAF FASNAAQAKQYADKGFDMISAALDATILQSSVALSLAEARGQEKPNTGGKY PFICI_01189 MDSFIATFGEFSSTLHGVIVSSILLPGALAALVAGILADRYGRT RMIAIGSTVFGIGAALETASPRLAMFIVGRLVKGAGEGIFLSTVYVHVCEISPARKRG FISAVPQLCITLGLVMGFFISYGTATLPGSISWRLPIAIQAFLAFANAALCSLVPPSP RWLQAKGRPDEARTIIAQLGLDDAEQQQLMALSASGLEHSPDATFWENIKTTVSEFRE AFSSPFRGRTIFGCFLMAMQQFSGIDGVLYYAPSLLQQAGISSSRASFLASGVSALLI MAVTFPATLMADRWGRKTSTLVGGSLIFAVMLLMGSLYAAGEVHADGGAGRWVVIVSI YLFAVVFSTTWAIGIRSYMIESLPRKTRSSAASLGQGSNWLANYIVALTTPVFIASSS YGVYYFFAFCSLFTTVICMFLMQETQGQSLEVIEQKYAERQSRTTGRWRLNPAGFKMR RIAGTQD PFICI_01190 MPPRRSHKKSRAGCRRCKSRKIKCDEVHPRCGNCVKHGVACDFE HPELAEHLAIPDTPAATTSATSPSFCGSPAVNRAPPTPVIPAQTMAAAAEAPLKLYRH VDPPNLSNATKNNRMMELRLLHHYTTITCKTLIIADPMTEAIFRDTVPGLAFGGANFL ADAILAVSALHLRSTQPQDQALVRASHAYMASSLSEYSEHLSKGINAANAEALFLTAT LIAFQSTASRIFMREDGGDTKDRSGGYTLPISWFHSFQGVKTIVATSWQWLRNSGIVV PIIQAQPALDLNMNSGGATFFGSLLDGMEEELTKMDPDPEVQNKTRQGYQHAVAVLNW SHKIPLTGAPIVFLATVSRRYMEVLQERRPRALAILASFFGLLKSLDSIWWLKGIARR EVMGILSLFDPDDQEWWSRLQWPVRMAVYDGDVIPPEIWGADWSNGMSLLQQSNGTNG GFISHIEILTQITNAMQNMSHLPMDAITEATEATWQTAEAQLAAQELMSQLQSPPRSD AQPDFTQLDTANGLPMD PFICI_01191 MTDGVWEAYNARIEELREREYPMLKDEIYLDHAGTTLYAKSLIE KFSADMVSNLYGNPHSGSASSQASTSRIDDVRLRLLQFFNADPAEFDLVFVANATAGI KLVVEALRAGPDGFTYVYHQSSHTSLVGVREETRTSLCFDDVAVENWIAGTHVPDELK GSTSPILFAYPAQSNMDGSRMPLDWSRRLRKRGCECKSARYTLLDAAAYVATSQLDLS DSDCAPDFTVLSLYKIFGFPDLGALIVRRQAVPVFRHRKYFGGGTVDMVVCRKEKWHA SKVSSLHEALEDGTLPTHSIIALDAALETHQRLFGKMESIALHTSVLAHRLHNQLAAL RHGNGAPVCAIYSPSSKDGRKLPGTGPVVAFNLRNSYGAWISLAEFEKLATLKKFHIR TGGLCNPGGISTALGLEPWEMKRNFSSGFRCGNENDIICGKPTGIVRVSLGAMSTVAD VDKFVLFISEFYLDQHVSELPVSSRALESGSEYNLQVRDIMVYPIKSCAGFRVPRGVL WDMRPEGLAWDREWCLVHRGTGRALSQKQHPRMALLQPLLDFDKGVLQVSYRGLLPDG KPPSSIAIPLSADPSVFESPQVTEHLPSRVCGEAITAQKYGCPDINGFFSSILGVSCA LARFPPGGQGKSMRHAKAHLQKHQNVSSKSSRYPLPSGMPGVTTPPDSDTETEQRRIL LSNESPILAINLSSLAVLNREVMARGGKPVSADVFRANIVIGPPVDDSRQPSAEDETL AYSEDHWSTLRIGQQDFQMLGSCRRCHMVCIDQDTAIKSEEPFVTLTKTRRFDGKVFF GTHMCHIPATTEASTVEAQRPTIQIGDTVMIDV PFICI_01192 MARSTTLGKRTRSSTIFEPDLGLKQVKRQTRSLRINDENEDPKS VTIAVDRTRESSTAELTEESLSATPASDEDDDADLGPRLRRKRSTLGNSNQSTAHRDS SSSPTKANFKPITPSTPRFRDALAKVPVTPRHRVTFVGKVSKRSTPQTPLTPTAVQTV YHKARQLFSRSADPGQLIGRDDERKQLREFLGRCSEVHSSGGLYVSGPPGTGKSAMVN EMTEEAAKASTVKKAYINCMSIKSSKDLYATLIDQLCKDGEIAEADAASSLQKLFLPK KKTSDVYLVVLDEIDHILTLDLESLYSVFEWSLQKTSRLVLVGIANALDLTDRFLPRL KSRNLKPELLPFLPYSAIQIKAIITTRLKSLVPEGAPLPFIHPAAIELCSRKVSSQTG DLRKAFEICRRAIDLVEMETKQKHEAEVKEQILLATPSRKVLGENNNLSSPGRGNPDK NVSAALATSLKSLTIETAPRVSIGHLNKITAAAFSNGTNQRLKTLNLQQKAALCSLVA LEKRNKNSKTSVLSTPSKTQTSAPTIKTLYDTYCKLCTEDSMLHPLSSSEFREVMGSL ETMSLITAVDGKTGSFSAAQTPSKRGKRGGFGMSVGLVDEKRVASSVGEKEMEQAIEG VGSGILESILSGEALD PFICI_01193 MGTSDRTTDLSLEDGPTKKSFLEFLSLPLRSRTRNRNLAEFYVK VKDAHRKYSAGEHVKGSVTLSLTKPIRITHLTVCLHGYVRVFKNAAQMNAGNAAILPS GGSNSFRYFGNGHAQLFQDEQVLCGEGRLEARKWEFEFDLLFPPEGLPSSIDFERGTI AYIITATLTRPTSIAPTTTAETRVSLVEKVDVGLIPQPRERKVTMHAMHKRQRRKRAP VTNTTPSRSATDLHEPASDLDSTRALENSNESSVNHDDPNRSRPDDHHLPRSPIQSDI QSEISAGSAASHNSNSARGADGSGGSNGSRVSAGIAEDREITANVELLKGGCMPGDLL PVRIRVDHNRRMKSLHGIIVTFYRQGRVDYAPPASLFTNISKEDARKLEREEFYPKSK TGLGGLSLSSAGSCSVFRKDLSQSVAPLIIDPMTLSANITTSVRVPEDVFPSIKGVPG GLIGFRYHVEVVVDLGGKLAGQSQGTPQQPNRLGSVSVSGNPAPGTASYDRQPGNWNG SIVDTDHLRREKGVISVSFEVVVGNVDTTRTRSKAPVRPTLTLQPPSDAGHPAETNEM PYNWQGSYSEGSNYNEQGPLHPSQVQPQTWASSSKSAEAPGYSPRDHGSPAPNYVPPP QLSDESGLTEKERVRLAEQRLLPSQPSAPASEPVAGPSQPSAPADVTPSAPPLAPVDS AVEVGEGPSAPSFADISTDAARADPVDDKQELERRRLLTEASAPPEIPEDYEESGPSA PPPGTAGSSNGHEPSAPILSEQDLYGSHDPFESIAGPSTTRGSNGHDAEHLPKYER PFICI_01194 MLQPRLFSRSAHGALASSKCSSAAFLGRSYATVQTTIEPANTSV PSPTAKPGGQGRQSSAILRTYTPRTGGLRHLKRPINDHLWKGRPFLPLTFPKKGMGKG GRNHHGKITVRHRGGGAKRRIRTIDFARWNAGPQLVERIEYDPNRSSHIALLSDQANG KKSYIIAPDGTRAGDIVQSYRSGIPQDLLDSMGGVVDPGILAARTAHRGNCLPLHLIP VGTPIFNVGSHPKGGAVFCRSAGTFAIVEAKDEETSADGVKVVTGKYVTVRLQSGEVR KCSKDACATVGVASNIHHQYRQLGKAGRSRWLNIRPTVRGVAMNKVDHPHGGGRGKSK SNRHPVSPWGRTPAKGGFKTRPKSNINKWVVVPRVRNHGKRRSKNS PFICI_01195 MPPGRPATRRLVTNENDENSSTRMTRAKAAALDVDVNAPPTKPT LQSKKPATTTAANGRRRAALGDVTNAGKVEVTEGKKAATAKTGLVSKAAQPTGVQKPR ATTTRTALASKEAAKKTEVKRAGSGSGAIGASSTKRKVATTTVKQDKIAEEGEPVRKK VHTLVESEKVVEVANDENAKVDSVTEKVSQPEPAPQEKHKYPPGVKDLDSEDLDDPLM AAEYANEIFEYLRDLECESVPNPEYMAHQDDLEWKTRGILIDWLIEVHTRFHLLPETL FLAINIIDRFLSEKVVQLDRLQLVGITAMFIASKYEEVLSPHVANFVHVADDGFSEQE ILSAERFVLSTLDFNLSYPNPMNFLRRISKADNYDIQSRTIGKYLMEISLVDHRFMAY RSSHIAAAAMYLSRMILDRGPWDETLSYYAGYTEEEIEPVFLLMVDYLARPVIHEAFY KKYASKKFLKASLLTRQWAKRCAPELGITDTKLSLDELEP PFICI_01196 MPSGNREGDTISPAYWYLVLGTGPPPTRSSFLRMASERQAVHRA GIVAKEARREATVKAKDTRKEAIAKAKAGNPVPYLMGTMKKKLGLGKKKSKKNSQTAR GDKSLGRIQPMEGEIQDTPGFEDDAASDIARASDSEVTPNDTDRFGDDAAEAKDSDTP NRDDDGTNLEAAQSLENCHNIKARGSPTSDQEAAVGASEPAETQNSHQTDAGVHCLDL SKT PFICI_01197 MAYHKSPSPVDKMIVSPGRRAVDFCKETWRRVTKSPKSEERPLH ISTPIFFKHTEHGVAKKESPDPAPTRPDDVTDDHAKVVNVKDTGDGDEKENEAECGDL AMMPLAVTLSSTVSSLISEPAPVATPLPASQVSESSPAILDKDWRRFEDTPVKSSPSY YLGKYARGSDSQGTIVNRPRSTSAATTNLSILESSTERDFGDPEPSWQHTSRPRSQPR PKDSGSSFSTYQATPPVQFPRQAKQKNFGFLYHREVRSSGHKGEAASVASSSQVSLAT PTPAVRTAHYHYQHQPSRAAAARPHSAVIQRHVSDSVIGTEDAGDWMTIADTVGDMSG LQGGGGEDGGDAADSINGHASDKWSAVSVRGQSSTMDNIIFPESRGRNANDDEIKVKT RLENNVVTPVKQRRKVSFADQLPAGTTTTAAAAATGTTTAAAATEPFQSRVVIRRGVD AQNQERPETLQVNRSTRTHPRYSGSFDVDVARRRISTPIGPAVLMDS PFICI_01198 MQTSRFNSIRSRFSPSGLRTSFRRASSASSRSTSSRNSFASNGS SPAETINSVLSRQPSFMEMEAERRSFGSELSVLEPRPVVYWGGLEERMGSF PFICI_01199 MSRPPNSPPHTQQNPSSPITQSIPLQDLSRPPGDYNMSRHGEGE GAAGSASSRNTQRLSPSAAANLPGLSTYWDQPQGFSSHRRDIAESTSSPTSPIDPSAL QFALPPQIHAPPPLSRPSSSTSNPYTPSPYYDEPTNQEYFASDDTPLASAAQPISGAA LATPGTEAPSRTSFQTISDIDDGRESRPRDTKMLGFDLGPDRHRSYGQSLSPMDRRAS RSPGTALHRAGTIVRAMSQRVVNISGEGDTMEQHQQRRARSRSPSVDSRAPREPSHLS ILDTSYPSQVYPPEKNGDSRFTFVQEAPELQGPRVPMANPLKGRSLGIFGPDNPIRVK LCDFLVNPWMEPFILMLIVLQAILLAVESAPNVFEPGNERPERWAGTKTDWTMLILFS IFSAELIIRIIVSGFILNATEYSTIDRKKGIRAAVADQYKAVFGPQRQKSVRHAKEEN YGPSTFARSFTVMHGQRLPETFEEAQRMQLARRAFLRHGFNRLDFTAVISFWITFVLS ITGIEMQYHVFIFRMMSCLRIVRLLALTNGTAIILRSLKKAAPLLIRVSFLILFFWIL FAIIGIQSFKSSLSRQCVWLDPTDPTNITAAYTNDLQFCGGYLNESTGAEMPWVYSET LTGTLENLHFNTASAKGYLCPKGSLCLQSTGPFNNTVNFDNIAHSLELVFVIMSANTW SDLMYYTTNSDYLPAALFFGAGIMIMMLWMTNLLIAVITSSFQVIREESKSSAFTGET QEPAAPVQLEQNQRRQVSALQKIYDKTRLLWIILIIVDLLVQSFRSAENPRATLILVT DVIVTMLLDVEIIIRIAANWRGFHRSYRNLFDLFLAIATTVILLPPIRNAEQVYPWLT VFQILRIYRVVLAVPITRQLILLVLGNAAGIANLLLFVFLITFIMAILAAQLFRGEIP MYEDGELNEISFYNIYNSFLGMYQILSSENWTTILYNVTSYTTHLHTSWYGAVFLMGW FILAFFIIVNMFIAVIQENFDVSEDEKRLEQVKSFLQRKELGNNTSSLALSTIFTFGK NRKKKDPLDYGPATMEMLLKDAVVREFLDDSMDPLQQSSGGSQSPQQGNANGTVRPGA WSSIWSRVRSTFTSKEPNPFYETIKFDTTNDNLDPRAMARQAVSLTAARRKAQREYLT RHPTYNNALYIFTPKNPLRRLCQKLVGPGRGQERFDGVEPNKIAWYIFSAFIYAAIVA MVVLACVTTPLYQKEYFANNDFHITNWFVWVDMGFAILFSVEAAIKVIADGLWFTPNA YFRSSWGIIDAVVLITLWINVITLLANDGAVSRAVGAFKALRALRLLNVSDSARQTFH SLIIVAGWKLLGAAFVSMSLLIPFAIYALNLFNGKMVACNDGDIYNLTNCFGEYEAAP FSDDWPMLAPRVAENPFYSFDDFGSSLFILFTIVSQEGWVDVSFSAQSITGIGLQPSD NAAPGNGFFFVVFNILATVFVLTLFISVFMRNYTELTGVAFLTTEQRSWLELRKLLRQ ISPSKSSYDDSEKKWKKWCHKRAIEKRGKWYTAVTCVLVLHLILLMMEYYPEPTWWTT TRDIIFLIFTLIYIANIVIRVIGLGWTRFRKSSWDVFSLVAVTGAFATSALLVSKEQN TIWVQLHKFFLVAIVLLLIPRNDALDQLFKTAAASLTTIVNLLATWLVFFLVFAIAFT QTFSLTRFGGNEEANVNFRTVPKALILLFRMSCGEGWNELMEDFAMIEPPFCVENNEF TDSDCGSAIWARGLFIAWNLVSMYIFVNLFISLIYESFAYVYQRSSGLGNIDRHEIRR FKEAWRSVDPAGTGFISKDAFPKLLAELSGVFEMRIYNAEDSIRQILEDVRSEDPGAR HGSIASQSALSGIDVKKLNARLNKIDVIAVRERRRRFNIFFEEVMVSADPDKGISFTT VLMILAHYNIISDSKSLRLEEFLRRRARLQRVEEEVRRRVVLGFFDTLYWVRRFKKHL DKKRAGRMTAVPQLDVPDIFVEDDDGRHRTPQRATVAVTPRARAATTSSEFLAAADAV RPAHRSWASSTDISNIDAQYQHPLSLPRTPPSMPGHRADTSAFSFELQEPGTSSAANS RRGSSVSPAQVRELLDDSVWVESIRRSATIRKSVRKTDWNQY PFICI_01200 MPSTRKRTLRDVESDVVSSTPETPKEPPKEISLLQRIRNTWQFA NLFQWIYLFGKVVKIDESIDIDTLEAECLKHNSPVLAGIGLALLKSVSSHRGLTPDLF DEYTRRQYVARRPAQNPFGTDETPASFHDFDVFTKLAVLQQLTQWVMLHPERIRDKMD EQKLSDQTDWRIEPYGWDSEDREYYLLDDDRLYRRTDAPPPTPAWKPKKNSKKAKAAA RAAKRRRVSRSAAADAEDIDDGPASDTEEPQSLQDDGFGGAAWECIAVSLDDVRAFLA TIRKTRDENEKILRDRLEEGLVPILEKQEESRKRKALAREKELLSLEKMAHAKRSSRL AGKVERQKQEEEDRIEQEKRRREEAAARKEEQLRLKREKERDNRLMSRENRLKEREVR RLKHEEELAQLSEDSKNVDSDSGRLSGRRLEAEIARNKRALEELDDEEEDWIFDCVCG VYGQVDDGTHSIACERCNVWQHSKCAGISEEEAEREDFHFICSVCKRKELNPPKHTVI KLKLKGPGDAPSSPPQATTATGSEQQRPVSRSAVVVEIPSKSLVKPDLSPSSSSTKAS TQALRGPASQHSSPPAAPRFTIPVKPTSQTPILPPPSPFYNLDGSNPFSSPHPTLSPP QQSPNKSRAYSTINPSSPPAAAASSPGTHRILPPKGIFHISPSANGAASKKNTAAAGS SHTKVTLPTMPATSASPKKPTTQDANNKQAGMSPPPSSLSNSRRLSSSSATPSLLSTP QLKHRQPELASDIKTPTLPPTQNGLSPLKRSPPQAQQQQSTGGARSSPAPPILPPVAL SPTPTKQIMTPPVKPAEPMRPNSQDSNGAPGRL PFICI_01201 MADQDPESLAMDLHELDKLAEFNAQDSYQQYNSPQYGSPAPAMS PYSYPDPAQMVSTYGFLPVHSWYPEFWGTHTQFSPFDYQISAISNYSKPSNNIVNQHN VYTSTPNTTPSSPHPSLGSRTTRSGRRIDSDPLGPHPAGVQKTSAPKTKPRPRPKPKK KKKRDERTAPPTVLEPLTVLLAHLDPNKDADIEAYVNRSVEQRLSEVGDARGGKGNKI KRPMNAFMLYRKGWQNRIKEMQSNENHQGVSKVAGDGWALEPDEVRNQYNTWSEIERD MHAQAFPDYKFQPKKTDKPETKPDVGSDGEETDLEDNWSGIPTPGKRGVYLNSEDPDA EYLPPGRVSSRTYGYQQQMPPSRAMSQSPYQQSPYQQHHSPPPGVLNQSHFLYHNPNK PLPNAYPSAGNGHYHAQRIEIQQHKPSHVHPGYTIPPQPYQTENVYYHRTEAPSHHHM THSPQQYQQMSPPGPPYQHRTTFSNPTDLYEFQAQAQAQAQMSRSRHQTPASGYHQPT YALPFEDVTNHGLPLPSVTPPVMTGNDGPNNFNTALGEMGEIGELGDFGDHFQIAPGE DPAYELNFPTIGDGLDVLDPQLLNHGAWTAESLPIGALDRPDDFLDPEPEKKETVATG EEAPPVATPLTPIHDAAPSSTPVSLTTTQETPVQPQTPDQHTPSA PFICI_01202 MERAVERLAELQAKLATLQEAVGQLKQHITRLAEFDYLQSGDLD DTATNELSTEIIQLIQEQEDDLGLLQEEILGLRPLKALKHDKERLEDAAERLGEELKS CRPLLRKAQLQAQDNLKQAQKRERELLWASFSQPRSSKTSGRSSPADGLAAAATSNHH HHHRPRARAKTARSEMSKDDQTVASSSDVTAALRRTHDMMAGELARSDFARRTLQEST AALAQLGESYSSLDVMLASSRDLLGTLLTSQKSDTWYLQTSFYMLAATNCWLVFRRLL YGPLWWLVWLPLRLLFRGTVAVTSLAGRGGGQEDIIAAVPLEGKPVEASMNNEGIHTV QVGQPQAAAPEVEMVTDPGNDESMVDEIGRMIDEAREGKAGVVMDEELVHEAVDAQEF AQEAEPVQQEAERVRVEL PFICI_01203 MITRFITEVNTKFNPFSAASRSTRLFLANLPPNCRANGGMKLTT SLLPRASTESPLLHIKFKDGKEMKLDGEKLGIKGIVEEVDRHSRMLQKQADLTEG PFICI_01204 MASEYSVRKVGAPNTLEHRVYIEKDGVPVSAFHDVPLYADQEKG ILNMVVEIPRWSNAKLEISKEELLNPIKQDIKKGKLRFVRNCFPHKGYIWNYGAFPQT WEDPNATHPETKAKGDNDPLDVCEIGELVGYTGQIKQVKVLGVMALLDEGETDWKVIV VDVNDPLANKLHDVEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFTGECKNKAYA MDVIRECAEAWDRLITGKTQPGGVSTSNVTVGSSPSRVDASQLPPIPANEELAPAKID SSIDKWFFISGAAA PFICI_01205 MTTELKKVPIPRRGVDYRGKIVLAPMVRSGELPSRLLALHYGAD LVWGPETVDKAMIGTTRKVHQETNTIMWTRKPSQGAKQPREDLKDNIIYQVHPEKEGR NLIFQMGTANPELAVEAARMVAADVAGIDVNAGCPKPFSVHCGMGAALLKTPDLLCSI LEALVKNIASEYEIGISVKIRILETPAETEALVRRLVDTGITGLTVHCRTTPMRPREA AIREQLRMVADICHEAGVACVMNGDVESRDHGLKLMEEYGTDGAMIATCAEKNSSCFR SGADGGPAPWKEVVEHYVRYCMEVQNKFGNTKYLLCQIIPGKQREYKMISACKSYTKV CEMLGFENLVDLARDVDERLGIDPAGGPKNKSQAQKQTNKSAMAAGGQKADFRPNAKK PLSERGVTAQQTETNPAPAVQLAEGVAMPA PFICI_01206 MSDLQKALGKSRLSAGVSPDAFDEREEQDSYIGDLPEMPIEDDS SSASSASSTGTIIPSPSRNLFARPEGVPRGRTLAQIPWTTYFERELFLKPSDADASSS SPTIHAYLTSPVGKGPLFVMHHGAGSSGLSFAVISSEIRKRLPAAGVLSHDARGHGQT VVPPAAAADELDLSLTTLSNDLLAVILETKAAMHWQELPPMILVGHSLGGAVVTELAK SGALGNSLLGYAVLDVVEGSAIDALQSMQTYLSTRPTGFATVEAGIEWHIRSRTVRNA ISARTSVPALLSHSEKEGDPRPWKWRTDLAKTQPFWENWFVGLSKKFLSARGGKLLLL AGTDRLDTELTIGQMQGKYNLQVFPEAGHFIHEDLPEKTAISLVDFHKRNDRSALVLP PKVSDLLKQGKRV PFICI_01207 MDIDDILREVDPTENAIPDETRDLQMLTRAWVAERSAPELLSWP TDGLFERVNERIKSQIERIEEMTGDMDPKTNFALIVLQTELERFKFLVRSYLRARVAK IDRHPLHYLSSASLRARLSPTELAYATRHQALLHNHYLSSFLGSFPPNLQNLNDTSGN ITMIDAPDLEGAVFLRLLRDAIVEGRGTDADGRMDGKAGDIMILRWSDAKPLVDEAKA ELV PFICI_01208 MGLEDDGSSVEDAPSYGQYQLQIYAQGIFGGVKPAITTDPNKLR EQAQKAMSPEAFNYIAGGAGEGATVDANRLAFRQWKIVPRMLRPTVPRDLKVELFGRT YQTPILQAPVGVQSVYHADREIGTASACAELGVPYIMSTALSSTIQEVASALGGGPGF DGGPPPWFQLYWPVDDEITGSILQTAQAAGFEVLVVTLDTATMSWRPADLDRTFLPFI EGIGNAVGFADPVFRRKFAEQQAAENENDGTTATPEDNVAEASRYWIREAFSGDSHPW RDLALLRRHWGNKPIVLKGIQDPRDAVLALQAGMDGIVVSNHGGRQCDGAAASLDMLP EIVDAVGDRMTVLFDSGIRTGADVFKALSLGAKAVLFGRPVMYGLGLGGKDGARHVLA SILADLDQTLGLAGVKSVSELNKTNLRRVSYPGDIKSSL PFICI_01209 MCCQKAGETCDYSIRLNWDGRRGKRQEPGTIDFSQDVLSPTVPA PAKGFKLVHQFPAPNNTSTRKLEPLVSPGSSVKPESNFTTPSADASSVTYGSPSDQFQ VPNKRIKLDPGSVPSDTSEKKPYSSPSSTVDVGTPVFRVPASTTSSTGSPLTPAASIY SDEGPSRHVPRSEPSPDLRRMSVHSLLSGPGGRLQDDASSYQHSSPGDLLPSHQNGPK FYGIDRGLPDLDLGKNDDDNAIGTGYTPLSQRDRIETPEGEFETDLFSTEFSFGFEAT DIKGEAKAYYDKPVEIYIPQYLEPLPSSLTKNPMNLLYFHHFIHHTAKVLVPYDDPQA NPFRTTLPLMAVRNQNLLALILAYSASHRARLLRHPEPSLRIAMWVDDIFPALREALS DPNQNFSDANVATAIMLASLEIVSPKVFGYSIPWQKHLGLARELIVARPGGLHYETNF RKDHVCSFLWSWAAYLDVMGSLTGGPKDSSTAWMFDYELEDKHDGYDEIECIMGFTTR CVYLLAKIADLARNCDNERIGPDNTIRDDWEPSKDVLETALKIESDIKSSMNQPITPC KHILAGGNLDKWDRAELAATNEAFHWAGLIHLYRRILGRPSDDDKVQEAVSKIISCFS RIRRGGSAEACSLFPIFTAGCDARDEAQRAFVIERLGTGENQVNSAVLKVHKARRIME KVWETGQPWETMLSTEFIG PFICI_01210 MASTQDAEDVKSNKRNHAEFAEQNDSDDSSSDDDMGPQLPSAAA PKKKRRVLPYEKLYISALPKAPRYSKSLMHKEQLAFVTVTPLTEFLITSSVDGVVKFW KKVAQGIEFVKEFKAHVGEIRSVSVSQDGRSFATAGADKSVKIFDVFTFDLLAMLTLD YTPKAICWVHKRGASLPILAVSDDEKPLIYIYDGRGENQTPIHTIKGLHKSVVSVMAF NDAHDCVISADEGGMLEYWSPSSNYEKPDNVFQYKSSTNLFDFKKAKSAPVSLNMSPS GHQFVTYSFPDRKIRVFEFASAKLYRTYDESLQVVEEMQQAGTAKQKLEPVEFGRRRA AELEIESPALRDKVNVIFDESGNFILYGSILGVKVLNTFTNHIVKVYGKDENMRPVNL ALYQGQPQKKGLTTVAMAASANPLLQEAESRDPILITTAVGKVRFYMFTDDAEVSKSD RDVQNEKPTMLGAKKTEQKKQAETGTSAVIHTTYGDIHIRLFPDAAPKAVENFVTHSK RGYYNNTIFHRVIRKFMIQCGDPLGDGTGGESIWGREFEDEFSTLKHDKPYTVSMANA GPNTNGSQFFITTEKTPWLDNKHTIFGRAIQGLDVIHKIENVRTYKEKPEEDIKILNI DIA PFICI_01211 MGNVCCGKADSEAFQSPGRVLGTAPPQPERASVPKSRTVGGPPR TLGGSGQDTSGGSGSGSGNSSAADNARQKAAEAAEARAKASQKTSGKLSEQLQAQKKQ TRQDTLKEASRNELRARDADEAAQARNYD PFICI_01212 MARLRDSRSPSPAGSSRRYRRDDDRRRDRDRDRDRRRRSRTPPR PRDEYRRRDRSLDRSDDYHRDGRDRRRSRDRYNDGGRDRDRDWDRDKGRRDRDDRRKR DDSRDRARSRREGTTDSHRSGPTDSRARPAAEVCPPFRSKHANIHTSRSQSTDTKSKP NAPPAAAQSDADKKAERLAKLAAWKKKQELEKEKAKEATPGATRKLLAEMDQKANGSS AATPSVASPTSAVASPAASTSFPSPATPYSGKFDPKEIAKKSARVHESSAAPKLGSIG VQLPPSKPAAPVSGLPKASALPKAKTSGFGFHKQNADTDKIPQKRKLILDEEEASDRK LAKLPSLPLADVDDTPYEVQDDDEEDADNFAGDEEEEAAAARAAQERREERMAQENAQ QNSTMDIDESKAEVDATTVSADAGGQAMEVDEEEEVDALDAFMNDLESKPAPASKPAK LNGASQKKVEPEPEAFFSGDDDYDYAKNEDEPGAFLEKVNKARKKKDIPTVDYSKLDM APLRKNFWVEPAELSEMTEAELTDLRLELDGIKVSGKDVPKPVQKWSQCALSRRTLDI IDSLGFDKPTPIQMQAFPAIMSGRDIIGIAKTGSGKTLAFLLPMFRHIKDQEPLREND GPIGLIMTPTRELATQIHRDCKPFLKSMGLRAVCAYGGAPIKDHIAELKRGAEIVVCT PGRMIDLLAANQGRVTNLKRVTYVVLDEADRMFDMGFEPQVMKILNNMRPDRQNILFS ATMPRIMDALAKKILKDPVEITVGGKSVVAPEITQIVEVREEDKKFIRLLELLGELYD KDEDARSLIFVERQEKADKLLKELLQKGYPCMSIHGGKDQVDRDSTISDFKAGVVPIM IATSVAARGLDVKQLKLVVNYDAPNHLEDYVHRAGRTGRAGNKGTAVTFVTGEQENSA YCIAKALEQSGQPVPEPITVMQKAFQEKLKAGKARDSSGFGGKGLDKLDQEREAARLR ERKSHRTEGDEEEENEEEKAKEDKKADKALSAIQAAASAVSSRDAAPAKQPDLEPKFT IHKREDPPPNSGNNPLDKVSSARDAINARLGKSGQLRPGQPIDNKGPDAGAFHATLEI NDFPQKARWAVTNRTNVAKILEATGVSITTKGTFYPAGKEVPPGGEAKLYILVEGDTE VVVSTAMTELTRLLKQGTMAAADAESRQPMGRYNVI PFICI_01213 MFTNLGRAAVQRLPLRTIATSSVVSRLVSRAAPNQRGLAVLVSD LSRSGALRSFATKTETTEPAKKTTKKTVKKTTKKKPTKKTTKAAAKPKKAKKVEKKKP ATRKVKKPLSPEKEEQLKIRELKMASLYYAESKAKVTKVKLPSTAYLLWISEKLKGNS RDALATQEAFTKVTNEWKTVSGSELAGLKRTAEANKLQNEANHKAWVESYTVEEIQRA NRARQMLKRKFNYPAKRPVHLLKDDRRPLGVRSPFTYYVKARWASGDFENQTASSGIK TQSEEWKNLSAAEKAPYEELSRADRARRAREQSVLSE PFICI_01214 MSYTQDVEILIHIAAPSRTSDDARYRSLAASYINFQSEKRTHLS ELTSTPLPLSSEKIEIPNASVEHSFGDIILPSISFQASFQSVLDNANSQGRFQQPQLQ APRSLFHESQESALNPSSWHTPPSIVQDSAPENDATIALLTSPGRVLEHYLQHFAPPS QNSQTIIQKGDTQVVNEAASVISNHNDPGHERRGQPIIPCTPVSRRQTPHKPQSQEVD DEVIENTVIVFEPTTPQATRADSEPPPSKRPRRDKSDASPGALLRTASDIGLHNAPGS STRTVTFLPRHGFGHDSLILTAPEPPVGHDTIAPDDLVTPGLAKLASDLKISKRYRPE FSTRDLRPFERGYWKIDCTDWPQDLKTEAWVFLANYIGTGVAGWGISCHRDEKFTSLR LYCWGLLAAHIYFVAYLASRRRVCFSATTWIDACGDTVIKMAKKEGVWTRY PFICI_01215 MEEPEYSRKSAASENRRNLAQSSNEKIVSWLSPRSDHFPTPRGF HFLSAPILPSSPSTVSADESNSPSTSSNPWNRSSTCTDVTEFDDIYDTSGDEDKIMPV RKASLKRQHSTRRASSRRSSTQSLASQRALPKLTIPESEDQGTESWSARPNLRKMLTP VPPTPPSRVEMSPAVIYFLERQQGLDIPTVSAPPSLDGSMSSEQMAALSAPPTPVMGA GESVPEADWAGVRLQPGALATLRALSGGSDVAEVEYQQEPVIEISQAPIQEMSQQTTR LFSSQRPALRLSTGSQRSVTDLARLEIPSPSNFFSGLSPRTRHTWHMPTITPDDVAPP TSTTAEQFYRCPWVEQSVPPLPILPSMPVLPSILADYASPASVREHIIEAHGTVSDGM PTARPVILEQIVDAKGIQSDDLPTARRIPEISVPSTTPAAQAEPSSPKEDIVATEIVT AYDPEYVRKQQEEALSNLDRTELWLMAQKAYLKGVNPESDTEAESKLEAVFAETPQDD ISIIKSPVVETSPIGAPTKKTVRFSEIVIKNDIPRSLPSMLCRQESAYYRAFQDYIIR SRSHDAFVHRLPRLEALQAQRVSLREAHRNQLLGKFQLSVVPQSAKKRMSTNVARGDD VIVDDPEKLKLEKEAEALRQMSTANWHVQAMKTLNGGRLFSAPLAKRLARLSRMAGPS KRARILDLGGQATCEWAWHCAIMYPNTKVYTVTTKAIRQLSNSNIRGPSNHRQVAVDR LAKLPFPDDHFDLVSARELHSILKFIGENGEDEWESCLAECMRVLKPGGYLEFNLLDS DIVNAGPLGLAKSVEFGFALKTLGYDPNPTKMFLNRLSRAGFEETRRAWMCLPMGQKQ PVNKNIVRDSLGNEVKLELEAMVQGSTEDAASIAGVAGSWAWEKWLLRCETEKVASEG RLLDTAVIREAGMCLDGVHDVIEEGRRCGAGFRVLSGFARKPLVHKELVPITLVQ PFICI_01216 MFSRINPKAPGWANLASTSLRSTTLPYHAPAAARLASSSSKPGE TVGGTKTDHTKEFKKPSRNQKSGEQHTQQPGDTVAKGGSRGAGTSHKASSGAKTAGHQ GGSSDQQGGQHVGPMGSG PFICI_01217 MSSSSTPEAWPSQHQCGSVTLDMDHFIEAPYDSDSIEQVSIYDQ HRLRLAERRKPDRLVPREKGTKAGRSELEKLHYGSP PFICI_01218 MGFTDILTDAGLTVLNNWLQTRSYIVAAPAYAPTQADVATFKAL QSAPDAAKYPHAARWYKHIATFEDEFATLSGDAAKPYTAYGPEVAEVTLNPAKAPAAD EDDDAEGLFDSDSEEEDAEAVKAREERLKAYREKKAAKPKTIAKSIVTLDVKPWDDET DMAALEESVRGIEKDGLVWGGSKLVAVGFGIKKLQINLVVEDEKISLDELQEEISEFE DYVQSSDIVAMQKL PFICI_01219 MTNNDKLEPITISDVREIAKKRLPRQVWDYYTTGADEERTVRRN EAAFQELLIRPRALRNVSNIDTATTIFGKRYEIPIAIAPSAYQKLAGGNGEIDVARAT SELGTNVILSSNATTTLEDVAQALSGRRPEDPAPWFQLYFLKSRDLTAGLVQRAERAG YEALVLTVDTPILGNRLHERREPLQLPPGVAMANAPARRAGAISKAGLLLRAKTAAEH RELAKEHADSLVDSSLDWAEVIPWLRSQTKMKILLKGILTAEDAERSLDAGVDGIIVS NHGGRQLDGVSSTLEALPEIVDVVRGKIPVILDGGISRGSDVFKALALGADLCLIGRT ALWGLAWDGQKGVEGVLHILERELARTMALAGTPSLVDISRNMLGRAKRDGFGIAKL PFICI_01220 MLSRRQLLTSSRRAADASLQSIARRSALPSTFSRAAVLHSTKLL TQATLPTTPIRSYANGRPHPPGGTHRMNLGGEPEKPALEEYGIDLTAKAKDGKLDPVI GRDAEIQRTIQVLSRRTKNNPVLIGNAGTGKTAVLEGLALRIVRGDVPESIKNKRVIS LDLGSLIAGAKFRGDFEERLKKVLNEVQKSNGEVILFIDELHTLLGLGKAEGSIDASN LLKPALSRGELQCCGATTLAEYRLIEKDVALARRFQPILVNEPTVEDTISILRGIKEK YEVHHGVRITDGALVAAATYSNRYITDRFLPDKAIDLMDEAASSLRLQQESKPEDIMR LDQKIMTIQIELESLRQEKDIASRERREKLEADLKQLQEEEKTLTERWEKEKGEIDAL KKIQEDLDKARVELDQAQREGNFARASELRFGVIPKLEEQLPKEGEEPTPAEGAEGTL IHDSVTADDIANVVSRITGIPVTKLTSGHIEKLIHMEDALRQSVRGQDEALKAVADAV RMQRAGLSGDNRPLASFFFLGPTGVGKTELCKKLANFLFSTEQAVVRFDMSEFQEKHT ISRLIGAPSGYVGYDDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGYLT DAQGHKVDFRNTIIVLTSNLGADILVGSNSSVPYQEAEDGSISPEVKKAVMDVVAANY PPEFLNRIDSFIVFKRLAIEALRDIVDIRIKELQSRLDDRRITLHVDGKVRDWLAERG YDPKFGARPLNRLITTEIGNGLADKIIRGEIKRGDKASVKIKEDNSGLEVFTEETA PFICI_01221 MAAYRAPALRGLLGRSLPGLRSSAAPQRQQRRWAQVHDVRFLAT TQASRNIAEKYKDKLDRKAKEEGHTDVSSLKAAYADKIIEQRTKNKISVPGLDALLAD EEAPARPPVGETQQTSESAAPGAGSHPNPPKQPAAARPASTKSGIKPLSEILDMDKAR ELPVKELGAVWRLRHASSASSLCAVIEAPTYQALEQTARAHPNFVLPVPREGAGAEIH YLQWVFDRESRTATALFTQLAEYKARGEWAQPHTSVTHYYDEGVAARQGVVLMAGNVV DGRGANIQDAQWLVMLMQRFYGPEALAGDGAKRKLLEDFARGANGGFTVEKLLEESEK LG PFICI_01222 MVISSPHTDVFIPNTDIWSLLFEKKEAGRKIDNNKELFIDGDTK RSYTYGQLKDATVAFGKGLKSQWGFKKGDVLGIFSPNNIDYGAVCWGALWAGGVCSTA NPTYTVKELAFQLKDSNVKGVVTQVPMLPVLLEAAKQIGLPEDRILLMGDERDNAGKF KHFTDLQDTSLLFSHSRTRIDPQKDLSFLVYSSGTTGLPKGVMLKHSNIVANVIQSTT VEARSGLYPTGGLDGQGDKQLAVLPFFHVYGLVNILHAAVHDGYQAVVLAKFELEKFC KLVQEYGITFAYIPPPIVLGLAKHPIVDKYDLSTIKWLNSGAAPLTHELINGVWERLT IPVKQGYGLSEVSPVALLQTVLEWAKYKGSVGKLIPNMTCRVVDLEGNDVKQGEEGEI WLKGPNVFSGYLNRPELAKDTFSADGWFKTGDIGYMDDKGNFFVTDRLKELIKYKGFQ VPPAELEGVLLGHTDITDSCVIPVYDQERATEIPRAYVVVRPGIERTDEKAKEIVDWI SSKVAPHKQLRGGVRFVDEVPKNASGKLLRRVLKEQAKAEEKAKGPKL PFICI_01223 MAAAASNDAKPSGVAHAQAAIKFTKQAEKLSHRTIADDEHPAST AVEAQFVTPHDPVIVTADGGRLPAVPLEEAWKLNVLKDDLHEQSPKDAIGEGRRLSRD QGGKQNGTEKMTVDLDESAGLDSRHIHRQTEGSISRTMPPSHTNPLFPPLPLYGPASL LRNLQCLTFRVTSFFLSTAFLGVIVLGSLFTSIVPALSRTWKRLLLKNPDAERPFYKK EMQRRKTREDIEKSWRRRKSKERASVDREDPVSQDGYIPTEGGPDPVICDVGYYARRV GLDMEEFQVETEDGFIIDLWHIYDPKEYKRLDDKDRASRGPELFTGESRDRPDKSERK RKFPVLLMHGLLQSSGAYCVNDDDSLAFYLCKSGYDVWLGNNRCGFKPKHVLLEYNDP RMWCWNIRQMGVFDLPALTSRVLYETGFEKIGLICHSQGTTQTFVALAKEQRPELGEK LTVFCALAPATHAGPLIGKMYFKFMRVITPSMFRLMFGIHAFIPLMMVAHSIVPADIY GWLGYKVFSFLFNWSDTRWDRALKNRMFQFAPVYVSAESMRWWLGRECFAKHKCILQT KEEWKAEEREDMATDGPLGDPTDRPMSRRDSIIGSRPSSSHHQQHSKRKSKGSTAWYS EQAPPFALWVAGDDDLVDGRKLLRRFERGREPHVDIVHSKVIPEYEHLDVIWAMDAVD QVFGEIREVLWKTCNARDQCRVPKGCETVSAWQPQSRGEPADDSGQSSSESPS PFICI_01224 MAATAVASRRRRRRRQDKPSIPARLVLDDHVKGDVGILSDDLFA DLFPHLIHQESDEIKPNQTAELHHVAIAPWTPDANILRTSWTIVPVLKSTALAHSTLQ FSPFSLALQNFAATLKQIAPSKLSSQSRSGIEIHVIDVAALELDTIFVSLESDLARRL EQGEGTFFREHPASKEKANARSESPAEQLANGLRAALGTLKVVHAGDQFPLPLPPHPV THIPPPPGKVMLCEPVAQGILGPRTKIILTRGHSSSKADRGSANSSQVLNGTTQEDGD DTANDQFYSAAEERYKTDVPTEATETATETETEVSEIEEHEDELSDDSMDDMISLQAP QLPPTTASGLSTMQPGTPMTLGRGRKTNGINTPGSVFSSFTATTARPDRPKGRLFKAH GLMSPIPAGLLHPKPGTDDDAEARIYVDITSLTRIGCFSGDWVRLEASKEPPSNGAGL FGLGSFGQPDTDPVWRPAKVFGLPEGYSQRPMTRIPSAKHHNDGRRFSFFESQVPKPS GPGAYLSPMLLANLENAPYVRLSPLKRHAYPPKPGQPKITGSSFPPYARDVTLQGIRT PLSSERALQTVIVGGLKTHFSRCTRVVKTGDLIGVPIDSQLGKALQEAPGAGDGSNVD DLLALTNSNSSSGKTTKPDGVAWFKVGHIQRSRTENADDGDEEDVWGGVVCVDIASTQ ISQSGATTSLVPGTKESNWPYYLGVEEAPKTGPQSFLSSAQETAKFISPLRRRMCELM AAATSKRAIHLKMPPVAILLVSTQRNIGKSTLATNACSDIGIHTFTIDAYDIVNEGGG GGSDVKTEGFLKARADRAMSCGPDCCALLIRHLEALTADRMVTAMKEILNEARVLIAT TTEVDKVPDGVRGLFTHELEMNAPDEGEREGILRTIVERQGVSLAPEVDLSGVALKTA ALVAGDLLDVVERAIVARDARLEALSRRASNGDSVITIRDIQVAGGPSARSLTKADFE IAVDAARKNFADAIGAPKIPNVTWDDVGGLNNVKEAVMETIQLPLERPELFAKGMKKR SGILFYGPPGTGKTLLAKAIATEYSLNFFSVKGPELLNMYIGESEANVRRVFQRARDA RPCVVFFDELDSVAPKRGNQGDSGGVMDRIVSQLLAELDGMSGGDDGGGGVFVIGATN RPDLLDQALLRPGRFDKMLYLGVSDTHDKQLTIMEALTRKFTLHPSVSLSSVAQQLPF TYTGADFYALCSDAMLKAVTRQATAVDKKIKELNTNLPPGKPPISTANYFDHHAQPED IAVMVTEQDFLDANRELIPSVSAGELQHYERVRATFEGGRDKKETAAAINDAATIEGP KASSGKGKGKAVAASSGKGKGKAVAGESDQDSDDEGNAYGTYSAGGSINGKGKGKGKA VMRFEQGTGSDDDGLY PFICI_01225 MNVQDLTQTLVQSFHALANEVQNLNDRQVVLEHKLRYAHEQFQY LADKYAPAAPEISETLAHLQLPPEPDNPSLVNTNSVPLPRRKSADSKHQIALIIREGR RVASSLGNYSKSSYSSRDLDTLSRSTMTTAASTVLEQDFTVQGKKSDLKCPFSQSVES PEATPAQQPASLPHDSNDPICAAMYEEARSADRSAHPSAQDSASKCPIRYLDQHTPEE IAHYLETHKHELPRSHEVCLRRYQKDEIHLRKLDAKYGNMANMVEGLGRIHQPMLPEQ DTRPQSDVERGSNERVETWAQAVSATGADELDQSVMNDEGLAENEERLSHFDRPLKEV RLGESPSRPWGIPVPDFDPPMAADESHERPHSPPPAPVQVPLTPDANKETPSRRPGKC PFDHTKMTMNGTTPVAPHDRDDPKQPDIPSPNQGFTPATATRHPTALPGQPTFLNASN LAPPKPGAPQMLFTGPVFIGYPIEQAIQFMQHFQGQQ PFICI_01226 MSWTTLARLISKSRPHLVPGKLLVTELWFEVPLDYSRPTGKQIK LFGRTVSKYERPIIEPSPEDQAKAAQKPLLVYLQGGPGFGNPQPQDSTLSSHMLDRGY ELLLLDYRGTGFSSTVSAETLKHIGGPQEQADYLKHFRADNIVRDCESVRKYLTKEYP PEKQKWSTFGQSFGGMTTLTYLSLQPDGLRECFITGGLVALDKNAEETYQSTFGKVIE RNKIYYEKFPADVADVKEIAAKIRELGGNEGIRLPAGGRLTVPLFLTLGINFGKYYGL DIVHNHVVRMKGDIDQFGFFTRATLNDIQQAMGWDDAPIYSVLHEPIWCYRPGIASNW AAERVGKSLENFQWLQKDWAGPQSLKDKEPLFFSGEMVYPFFFDTSDELNQLKETAEI LAKFDEWPALYDEAQLRKNTVPVYAAIYEDMYVFPEDSRKTASIIKGCKTWETSVHFH GALRSHAADVFRELLRLRDDTIN PFICI_01227 MNFSSVGEAVPALAGLGYFPPDDTRSIYSGGQNFTHCCLRAANS SLTIENHQLAFSNDSYFESSVSIEDILNSVEDGIFPCGAQYNGNLNGSPNIIVPYSWC ISQCNGWEISHPSKLNQWVGPLVQFLLPSLAFCLNIPRTRKLAIPEFVFQAHPRNVIG FTTYWLRLLMAGILMLIDTMVWLSMCFAFAGPMLLSGVYEFVLDRKILEFLSPPAKVH DRPQMPVKLRAQLLLAVVVGNLRINSSSLEAQRESIPVRQDSYGLYSAKRTQTTDTAH STTTDNTWTRVMMMLHGIDGSQMSLQPEVGEISLPTKLKSILMAQESFGSTMGAPILF FVGGFIYTVLDIDNQLGDNDQAHALAFGTWWMTIPYLAIVSCAMLSSNSPSALQGIVY DGGDRAAREKHELSFWDQLKDKIKSLPLGNVIGGHFGGYSLIEHTYEGHFQTVTMWNR GPNKRRWVYEAIREYSKDRQISGDEDAITPDDVRKGLRMSFTDKRNIIIGTLFLLLTP SILAFLVSYNTPRKSLACRTLTYMVYGITQLCEMLLWIWEVYLKVQYGSQWSDTKTLA KAINWWAQAFVAFFAILAAVGGTFMQLLGVYHSCLCRIPVEYWLKPNDPGAWINMSDN TAESIVAAQDYWTVIGTVAVVVLSVVCALCWWHQRRLRKVFREEADRLEESKEFELPL GRSPSDVAYSPPTSPMTQRT PFICI_01228 MAAKVIVFGSLGGKLESAFAKLATLHAKNNFSFAIVAGNLFDSS EESESIAARLLNGEIQVPLTTYFTVGTTPLPPKVIERIEKDEDICENLHYLGKRSVNK TSDGIRIVTLGGALDSSIVGGQSKEQHLPFHTASDAAALRGANKTDILLTSTWPAGVW TNSRVVLAPEHQAAVASSQETADLCNAIKPRYHFSFSPDDFFWEREPFFYAESEESGE RAVTRFISVATFGNAAKAKAMYAFSLQAGDNKSLPPPGSTLSPFVPPRTAKRQDPDGQ SGPSHRHGNDRHGRRGRQRHEHRPPPGPQDCFFCLSNPSIETHLICSIGEHSYITTAK GPLPGPDTFSTSGISFTGHQIIIPLAHEPTLRAMPSEGLLVYSEMSRFKEALQAMVAK QSNHKLGALTWEISRSRNIHAHWQFVPVPVDFLQKGLVEAAFKVEAENLKYPPLKERN LGSGMDEEGDFLRLWIWYDDSDAAIHGKELVMSLDDSFRFDLQFPRKVLAKLLELENR LDWKASTLSVEDETSDADRFKEAFKPWDFSLA PFICI_01229 MPATSSGRIVKSRKGKTSTPSQKNHRWESFTTKISKLHSLDPLR KVRRHDLDAEDLDATTSYFKNGLEKWADLDVSKGFILFKREVSPLCESLAQLIHFESK IMDLLDKYISAQEKESLESLLDLLTAFAHDLGTRFEKHYPRALDLIIQIAGRRHDAAV IEWTFACLAFLFKYLSRLLVADIRPTYDAVSSLLGKARNPQYIARFAAEAMSFLIKKA AAPSHREKSLPLIIEHARLDLEKTRGERQYELYYHGIMTMFAEAIKGQGNGVHTTAPA IVKALITSVPIAESYSEDTETWAAVVCGTLVSSVHHTTVDTFAPIIEAIFDSVVQEPG LRNVLYLRLLGTISGVRKGTRISDWSSLLDCQNQCLSALMQDKSGLESLEASAVWDHI IVNIALVWQYASFDAVAPHIAGLTKALTQQPLKSWFIPFCSYLSELDASKFQSLFLSH FQKFVASHWAEDANEDMLYVILPKMVQGNAIPTQKDSKAFTLPQSWQDEIIKTFGRLE ASPFPEAGSKVGNDDTEEWRSKRLPRYAAILRLLEYVNVDTKTIEFVSDRLSTKLKLA LRPSDSLETEEARFIVSRGFSSYLRMSQAIGRFDQSLGPLLRAAAPRYSRLTGYLEAM LAYEAQSTSSSSPTSHGDGSVSPEKGLSPLLKSLIRNLSSQSSELRLASLRLLGNMES APDQLDALSIMLQTEQTSLDLQNARAISVHLRKLGAIYSQIDETAWLRQAVPAFLFGM LTVKLMPVWETAVESLKQVSQTQSAEEVIWDLAFEWIEAPSKRWDGSSREVATGGVRR GATDFECLNLDQLREKADATKHASIEASDILLQDFEESQEQAEERPANARTQALKVLS AAPNLAEKRSRKLVPIFLSWASSSDDHGDASEDEPVPTEGQFWSLTDRKGLLGVFAKF GNPKVLYQSQQVYDALLKQLANGDIEVQKTALKAIVAWKQEGVKPYQENLEFLLDEAR FKDELTTLFQGDRQIQAKHRAEAMPVLLRLLYGRTISKKGTSSGRGGLQATRLAVLRN LSVEDMGGFLDIALGELRGVQVIDKNGKLLSEVVNRELLTVRKQVGFLNMILSMINEL GTSVADYTSTILPAVLYCLISACHRLGRASGEEEQTEEEGHTSLLRNVRSIALKCVIA LLRNAPTFNWAPYSDVLVKEVVAPRLENLPNENTEGVSGTLQLLSTFSLIPKTALFLG IDTRIIPKLTDLLSIMKAKDEVKIFALSIVRNLISLAQAPAAESEFNELIKEELLDPN LDVILGKISGLLRSQERSENEPGKELGRDLLAASVETVVELSPLVQQSGNTTELIDIS VYLLNQPPRRVHPKVKGGVLTILENFLQLVDASETSGLRNRIYVTVSSLYNFFKDRPS REALSRVLAVFISKDTEVRDEVADLCSKLNSYVEGRIDEANYDTRLAAFNTITKSSFT AREWEPLLQNMLFYLKHDEEYGVLSSNSVDGICRFVDAATSSTGREKDTFFDMLQNMV LPAVFSGAREASETVRRETVRMLGYMVSHLPSWAPVSDLTGLDPPADENDVDPSFFSH ILSSAASRQMRALQFLSKVNHHTELGSRNLAHFFIPLLEHFIWGREDGEDDHGVGAQA TTTIADLAISLEWPQFRAILGRYVSYIESKPDHQKQLVRILGKLIESLSIAATEKYGD LASPDTTMKDSSGKKHRLASTMPALDKFSDDVVNKVLPPLMKYIHHKDETTVSSRVPV GVIIVRLLKILPPEYLEQKLPGVLTDICHILRSKAWESREMARDTLAKMTSLLGPTAF GFVLDELRGALTKGYQLHVLSYTMHTILVQVIPEFQPGDLDYCLDKIVAVIMDDIFGA VGQEKDAEEYISKMKEVKSSKSQDSMELIARTASISHLVELVRPLQALLMEKLDLKMV RKIDDLLNRIANGLLGNAAAESRDTLIFCYEVIQKVYESKKPNVEVKLDPRLRRYLVQ KGAKKSGERGTTNKYTHKLVRFAFDILRSIFKKHDSLRNAGNITGFVPIFGDAVVSGE EEVKIAVFKLLTVIAKVPFKTDAFAKLYKVVAKDAIKSISISTTTSTDLAQTALKLVS VILRDRRDIPIREAAVDMLLGKLKDDLTEPLYRHVTFNFLRAVLDRKVETAAVYDTLD YVGSVMITNDDKDTRDLARGAFFQFLRDYPQKKNRWAKQLSFVVANLKYEREGGRLSV MEVVHLLLMKSAQDFVQEVSTTCFIPLFFVLANDDSEKCRLAAGELLKEIFRKADKDS TAKFLTLLRNWLAQDGNQTVLRLSIQTFGYYFEAREPVSKDLKDLGLVSSKIVEILDD YATYANDWELINTIVETVAILMDKHEAVILANEKLWHAVGKPLASQHPTVKLSSIKLL NKYLSDFYEHTEQSEEAEALVGSQGLRLEKDAVEALVMLAVNIISPPTIARWRRRLSK QGAEDMPEIESNTETPDVDENLAGEVVQTLARLGKFLDPKPSASDNASLKVGDDEGDE ESELSDTDEEKSKAASITLHTLFNFLSDILVIETAARASALIPKVAAMQLLHLYVATL PSTTHIAPSLNILLTPLHHLTDPSIPIPYSTDRSYKPRVEELQDKARELMETLQRKIG TELYTRELLEVREAVKRRREGRARKRKIEAVAQPERYGKFKKGKLDKKIKRRKERAGE ERERRHGGRY PFICI_01230 MATKRITERIFGSILRAPRAPSPFLSAPLIRPYPRLPRTLQPGQ LVRRYAHQIPKPGRPSPPAGKDGNNSETKTRKQLEPHYELTFTCVPCGSRSSHTISKQ GYHKGSVLITCPSCRNRHIISDHLNIFGDRNITVEDLMRERGQLVKRGTLGVDGDIEF WEDGTQTQRGAGNGGNNKAEGAVSSEASMEADEASKAREARDPSTSAATSSATTTTKP SLSGSGTRPRLDGGHSAADTPSTKRQFHKSRESRVWESRPSSFGEHELAELRASLRDE IEAPKTPTRSRKQNGEKTEGFTMTKYFAQSRNPEARVRLGSVGRKFPVQQRKPDSTPA SVPEAGSPTETGPRTRTIYAWPEGSREAVEVLVDIDTGKVLSQMPDRVRRIQRQPGAT LHFRKVTYAPPDSTQGSVAELAKATEVSSPQRPLPEETYERIARGVESAKELPPQKVS LEEFNLFEAETEKAI PFICI_01231 MITGRGGAMRGSGAGRIRPPRRLNAAVKDSTTATDDFEFNWQKL KSAIQDIHLKNTGALTFEQLYRHGYKIVILKLADRLYDNVKALEADWFTQHVSPTIFE HVTANLINVTLGAGIGLSVIERRSMGEKFLKVIRESWEEHNTAMNMIADILMYLDRAL NTSNRPTIFAATIGLYRDQILRNGPRSAEDGTVVCTISAVLNATIIDHINMEREGDAI DKSLLRSCTGMLEALHQTDEEIEDEKLYLTDFEPEFLQKSRDFYKAECEKLLQEADAS AWLRCAQRRLEEEEARCATTISPLTKDRIAQVLDDELIGKHLREFMNLESSGFKIMVD NDRLDDLAILYRMVTRVDSKRDALRDALAERVSALGYEIETKLKNTDFSVAQSAEEAA PEGDDKAGAREKPKAKAPSASAQQTAAALRWVADVLALKDRFDGLLKQCFADDLILQT ALAKSYTDVINMFDRSAEFLSLFIDDNLKRGARERTEAEIDDNLQKATELLRFIRDRD KFELYYQKHLAKRLLQQKAGASDKEDAMLARMRLEMGNQFTHKFDGMFKDMKISKTET DKFVAHVKENRKPGETSVELSINVLGGNNWPMEIMGRQADGQGRADIIYPREIRDTQE AFFKFYCRHHEGRQLSWIGAAGTADIRCVFPRVPGKAPALNKERTYDLSVSTYGMVVL LLFNDLPEDQWLTFNEIQCETNIPQNDLINVLTSLSVIKATRVLRREPVSNRAVKSTD KFSFNNEFHSKLVKIKVASVNISSKVENEEERKQTDDKTLEQRRYVIDCAIVRIMKSR KEMLHSDLMTEVIRILARQFQPNVKLIKERVEDLIGREYLERAAIQGDEQQAYHYVA PFICI_01232 MHISSHHGRKRSSTSSSSSSSSSSSSSSNSSSSSSSDSDQGSPG HRPLLSHDFQEEVAQRVVQEVGNHLIHRLESSGGSNHAAANASPSSPRPNGNDLGTTE GDGAGPGPGPGPEDHKSSHAYQVLDRLKKQHSSVAAYIASHKRIVEVIPGGGQQEDGS DNHEHPDNHTETSPLLRHHRHSADASPPEDQDAEQEHENCGGWWTWCCGCCLGRRKT PFICI_01233 MFSQIVMSSLLATLATARPSPRAAASCPVVLSGRVPASAQLTDF DSSATSLFNPDYVRASAIPWSQILLFPNVTNSRFDGADFKSVEVTISEKSIFQTQNGF RRAGLQLANDTNTQGPGTTGVRTLHWSVKQDPTRTLNLTHEYLNVWHERADYNGNQFN FETGTLIDNSSLDKNTFKITNRNSEVIWSTPIDQSAWQNFAVTLDYDNNTLQVYYSLG TEPLTAVTQALANDNSGYGQFQIGILKKPTGTSDVVNSGYQESPISEGQIYGGIFLED SANGCITL PFICI_01234 MPSSVRSTCSSSTDRRRLSQSLNRVAVLGHLSGIDAAALPPYRT DSPMTVMQDRLRSLYGAPSIESLNSVDAVVTQMARPHRGPGTDDAPPPLTGPESGRRG QACRRNSLALSESAPSQRSTGSRTTTTSRSRARKETLSRQNTDQQQQPTVVSRPPLSH PELMVPSHVRARSEAAVRMGSTARKRQSMAMKGGQGRQSLAVAQPDWVAQTFQGSRFA PNKSSSSTTGQPQWQPEPSSARPERRSVSYNYNSSSSRRSSTATIVPESCAPVVPTTM SIVQNARRRSRASQSFVSGDEDDIHSVYSARSAATTSYAPRNFSRPMEQKQQKQYIVR EQGVDFEMLNPRSSARTLTESSARASSFRALSVVIPPSQSSASSFSVRSVTRSTTPPP PFEITPSPARTTSSSSRESTASSEACTRTSTMSSATTVSSRSPSPAHDTYNPLHEKSA VSACVAQSSNGYQHRHDNTVRQHPSSLEPRDVKTLRGPRLSIVPPVTNTSFATRAAVD GTHHHHERAPTRMNRTLPHAHPDPLSYHPVELASARREKQPESSREQQRPRETMLLTD YYRGAVHAESPPTFDNSLQRSDSLFLGPKASSRIMPEQHEFFPSWTQHQQAQSKIKSA NGVGIQPYRKLEGLGPVHAAPPPPRADDRRMLPSQKRVVSPPSHSLQRVAMTNAQVVR GPPRAGMMSAAVRPTSSRSLRKTTAIDFTKVRAGSDN PFICI_01235 MRSYHLAGLAAGLFAVKTSAQCTTDLAIDDFSQWANNTNSLGQR TSDDGTMTSISGDQGSVIFTPGNDSYLYENLGCQAAATNGLNALSFYVLGPVGGEINV EIQTSASCSESNYTSYYYTITDLTGSDQTIVIPLASFTGANLDAIRGFVWYGFSSTNT SWELGTVELILPSSTTLDKKDAATTSTASIATTSAASSTGTCSNLLVDDWESQSRLTF LYYNAMLEPSSDDGTMKSIIVSDDNHVTITPNSSDSYFFTVASCVNAKNVYGGISLPI TAPQGTTLGVQLGSPDSCGNDTDSASIYVESTDLGWAFDGTEQLYSIPFAKFQGLDTT KVQTILFAGLSNAVTFGPMAFYCGDTPSRYVLPATTTPVSPTATVPAPAGTASALVID NFSTEDANTMGFWHGADEGMSLTWSGKSLTIKSDDADYAFYSQVSESCSDLTSYSGSY LHIAYSGSNKFSVALQQHNAQCNEEIAPYPETWDSLEAARYASASDIYIPMSHFNGNQ SRAIGIALLGFYSTDSTVLSKMEIVPSIPADFTIPSKLPSGNYVFACTRPNSFAFAID DGDPKLAQQVMQIVKEEDIKVTFFTVGAPLDDSSTNLTNVYREMMAAGHQIALHSFTH PKMEGLPSYEAIDWEYNNDFAAVAEAFDGLHTPYFRPPFGTEGARMRQRLAVALDTET PYIVGWSVDVEDWLWAESNTPEKQLDAFKRDLAKGGNLVVMHYLYSSTVGYLQEFIQL AKATGKQLMRVDQCMEDPNAPPL PFICI_01236 MAQSPKSPRDSLSSVSTTSFVFDQIDEREKLAAQRNLSDFNDDD PLSHGDDDDETGAFLPSAGRINGKGRPMDRKLKRILIALAVVFAAAWGIGLFSFISSK SYQNTSEVEHDPSATGYRGSGKKISLDLVQSGFFSPTSHGISWIEGANGEDGLLLEQG AMKKDYLVVEDVRSLNAEHAAANGEVLAKQTLMRNGWFDYKDRQISPQQVWPSPDLKK VLIATDVKKNWRWSFTAVYWVFDVASQTAEPLDPLNPDLRVQLAQWSPQSNAVAYTSN NNLYVRRIEGSNVVQVTKDGGPEYFYGIPDWVYEEEVFGGNSATWWSEDGAYLTFLRT NETLVPEYPVQYFIERPSGTNPPPGEESYPEVRQIKYPKAGSPNPFVDLLFYDMSRGD MFAVDIAGGFAPDDLLITEVVWARDKVLVKETNRVSDVMRVVLVDVIARTGKTVRTDD VGQIDGGWFEISQDTQYIPADPSNGRPEDGYIDTVVYGNGDHLAYFTPLDNPEPIMLT SGKWEVDGGPQAVDLKNNLVYFRATKESSIQRHIYSVKLDGTDIKSITDTSKEGYYSA SFSSKAGYVLLSYQGPSIPWQKVLSTPGNQVSYSHLIEENKDLAARAQKYELPLLEYG TIHVDGFDFNYVERRPPHFNPNKRYPILFQQYQGPGSQSVSKAFKVDFQSYIAAGLGY VVVTVDGRGTGFIGREARVVVRKNLGRWESHDQIAAGKIWAAKPYIDANRVAIWGWSY GGFNTLKTLEQDAGQTFKYGMAVAPVTDWRFYDSIYTERYMLTPQENAAGYDDTAISN ATALSGNVRFLIMHGVADDNVHMQNTLTLLDKLDLAGVENYDLHMFPDSNHGIYFHNA NQIVYDKLVNWLINAFNGEWLKIADPKPIVEARKRELEEARKRMSISVN PFICI_01237 MSFSQVSLALTILVSTVGTYIACSPPNPNPQGGPESESSPSHDL LSTLNLTKRHTNKFTIGPMCILAVHALLLAFYYPDLPPALLGHGDANGLNRDLITWSP ATAIPLALILCVGIPLRLVSYASLGRNFTFALARPDRLTTTGIHAHLQHPSYTGVIVL VVCNLALLARADGVACCWFPPAWHAALRSPGAGEPTAVVLGLSLLAGVVWTRVRQEEQ MLKAQFGAEWERWHAQTSRFIPGVF PFICI_01238 MFFKSFLCSLLLASGAVAAPSASTPSLSKRCTNSADDRTCWGDY DISTNYYDEVPDTGVTREYWWDIVNTTAAPDGVERFVLSVNGSIPGPTIIADWGDTVV VHLTNSMENNGTSIHFHGIRQNMTIQNDGVSSVTQCPIPPGGTYTYTWRATQYGSSWY HSHFAVQAWDGIFGGILINGPATANYDEDLGNLFLNDWYHLDAATMAIRAEHGPPKLN NGLINGTNVYDDGGSRFETTFEAGKRYRLRLVNAAADTVFRFAIDNHTLEVIAADFVP IVPYTTDVLAITMGQRYDVIVTANAASGDYWMRAAIQDTCSNNENSDNVRGIIRYDSS STAEPTSTAWEGANPNGTCYDEDMSNLVPYVAINPSDADVTEDFDVQVIRGSSNTFLW EMGPHTFINLWEYPSLQQINEGNDTWTEQQQVYSVPDADQWVYFIIQEPSSGVPHPMH LHGHDFWILGQGTGTYDTSTVSLNMVNPPRRDVVQLPGNGFVVIAFKTDNPGIWTVHC HIAWHLAEGLAIQILERESEIPALIDTDLLDSTCAAWDAYVSADNIVQTDSGI PFICI_01239 MLFKGTWCLFPLLVASVNAAPHEKRSIQTGKRVLAYGENITGFA VYADTDGFAVIADPENDNSELFEASWTLDTDGALAWNVSFSVPHNASANVTEDTASFY ILPDADFAQSGFTVNGSTPDGAETEGFVLYGHDIMFSSGDSLQSKFWAQNTSSGYWNL VWNTEGSSKSDSVPVMLMTDDDDDDSSSS PFICI_01240 MTRHEVGKDLTPSESKRRRRASKMKSRKLERDKGKEVEFHFKAG SSAPHTTGHHKLENPREKAKRTRVSSEAPTDKDEHLVEPSSKKAKTSDVEDLTPRMRT ASLKGSEATSLTRIKEELDVYRDTCFVASMRIPGVFMIEILDLTTRKG PFICI_01241 MCQSWSYCNPKKSRKAPSLYEFQAGLDTVSETVPLAKSHKNYGT KSGKSLKKAEHNKKSHNESTKSLQPSTKKIKTHDPKGPEPLLLNPTLKDAKAYLDARR STLPRFFFRGFHAGSGGGIPGLNNKKGITPHGFLRGQTPTTMYAIKNVHHMIHYHLSG VVGNSHFSSWSADLHTALGYSGAHGGKRYGRHIAVLDTRLLEPHVEVYHTEDLRDAGL SNQAYSYEWLIYGPITGVAYRCASVDAMENIGLPIIYTITARPAKLMTTEAAVVTAKK VGELFRHDQDKSPDVILAVAAAVLGLHFRRLGQRGLEPGVIDSAARLWAHELRTLKKP KLPTIGTGILANPLTPTRNLPGLELMVDLLIALEQRAIQAGAQSTPVVIDLTNEVDDD EYINFLMHGAVGNEAKSDSGYIDLTKEEDDGVHKVTKKFHGLRGG PFICI_01242 MSKYRHTDDDADDFDMNDDGEDLFDAWEDEMVIQKTKEVKEYFS SNPNYVYEKSLGNGANGIAWLCSRAGGAGPQTQKFVMKRAMDEEADAGLETEIQTLEL LTGSPHNLQMVTLADLLGSKDFPSGPTLITEYLPNGTLGRLLERLNKRGSTVPNRLLW RVFLCLIKACIALAHPPQWPGNDKLPPEVWPAGTDPTAHICHSDLHIENVVFGELDGS EHDLVPILKVIDFGCADRYDLKDEQQKKEYESLKIDHTNVFSMGCCKTFAGALFEKGS SQITNPKLDSDLAVLVARCLSDEVATQPNLRNMAIGTATAIRVRTEAHYVGRPEETDE SIRQLLKAVMFDAETKEVKPATEEAKPETEEAKPESEEVNPASDTVMADSEQGDGLGK SK PFICI_01243 MYEFSVLAMLLAGLQQVLGATAAATTLSQRDTDAPAPAQPKLIS TWDIQSSAKTGQDVAALSIACVDVSSWYHIDASRCTLMGCLIDAGIYNDSNLFFSDNL VNFDRSQFSVPWVYRYEFSSSPSDGQHYFLENNGITSKADIYLNGQQIANSTSQVGAY AGHTYDITSYLSQDNALAIQVYPTDYNYDFALGFVDWNPYPPDNGTGVWRDVFIKQTG PVALSPLRVTTDFQLPAGDNPATVTLLSTVQNYEDCEVTFQAESIVFGNGTDQILQTQ SFAYTVGPLASLDINLTHVVEEPAIWWPKVWGEQPLYSAKLSLWVDNATSDAVEKTFG IRKVTSGLNQFNDTSFSINGNAFQVLGGGYSSDMFLRWDSAKFTTQVEYMLDLGLNTV RLEGKNEHPELYDIADRMGLMVLAGWECCDKWEAWSYNEDLAVKDEWSVADYSTANAS MRHEISMLQNHPSILGYLIGSDYWPDDRATAIYLQAFKELNWQNPIIASASLRGYPGE LGPSGMKMAGPYDWVPPNYWYDVSDTEDHLGAAFGFGSELGAGVGTPELGSLEKFLTQ EDLNDLWKQPDKGLYHMSTNVSSFYNRKIYSDALWARLGEPTCLDDYLIKTQIMDYEA TRAQFEGYSSYRDAERPATGMIYWMVNNAWPSLHWNLFDYYLRPAGSYFGAKMGSRVE HVAYDYVQSSVYLINHSLDRRGSRSVAVDAIDLNGNSIYSTSLTMATQPYTSKSVLVV PEISSNTTVMFLRLVLTNEENAAVSRNVYWLTSTVDTLDWENSDWYYTPVTNYTDFTA LDALQPASLTVSTTECDNGYGAIVVLENLSDVPAFFVNLNLVDAAGKDILPVTWTDNY VTLWPREKLEVQVNSPSASAKSIVVKGKNVEQLQISVL PFICI_01244 MDQTPMEPEAPSFPRFSDLPAELRIAIWRFALPAPRNIIVLVYA FPGLKLAPLNRTAVGVPALPQTSSEARRVFRESGYVLAFRDEDNSADPGVWFHPGRDT LERTLWGPGENWGLR PFICI_01245 MAMNDQHSMSHDELAAMFSRNMTLQQLQQQSVEQQQPIQSMETK AEPEPEPIVYASVHYTHSAHIAQAQEPEVERERPSSEPPQSRQPSAEDILKHYGVNPA MLSAAQMDLFRTAEDAQKERLIELWHICPPNNAQENPTSTNVQQEEMLAQLRYERKLQ EEADQQNLMSMDGTPLTPVQTGQGEWTGTISYMEPYMMSGYEELARREYEETARRQYE EEMNSTKNVYSHFGSAVGGPNYRQATDPAYNTTNDWDRQRQAMENQYGAFQMGGHMDF PFICI_01246 MRFSTLSVGLLALVTPLVSAWSKEDHEIFRLRDEIMTHEGANIT FYDFVGVTPSASQDEIKRAYRKKSKTLHPDKVKAQLNSRTAKSNKKSKPGVTVTKPPT QSEIKAAIKAAGERQTRLGVIANILTGAERARYDHFMAHGFPTWKGTGYYYSRYRPGL GTVLIGVFLAGGGGFHYLALYMGWKRQREFIERYIKFARHAAWGDNLGIPGVEAGATA QAPTPEPAADDEQGPMMPTNRKQRREQERMAKKENAKEGKRSGRPRGVKNASGSATPV PQTQPSNGAGPTGAKKRVVAENGKILVVDSLGDVYLEQEDEDGNVQEFLLDPNEVPVP TIRDTALVRLPLWAFGRIFGKKDTEEDVIEEQEIVEELVDDDSDIPQRTPSSTDSNDD FELLDATSQSIQDLAKVSGSKPQASGKAKKRSKKR PFICI_01247 MALETLVHTAEQRFVNHLLQSTFLSLVIAFVTYVVLNEFSRSKA RVPGLGGPKGLPLIGNLWDIRTNAAEKYEEWAKVYGDVYQVQMGNVPVVVVNSAAATK TLWISHSQALSSRPTTYTFHKIASSTAGLTIGTSPYDDSLKRKKKGVAVALNRPAIQS YVPYFDMESKSFIEDLFTYGQAGKVPIDPLPLIQRLSLSLAMTINWGVRVPSHEDRLF KEIVEVEEELNRFRSTTGNLQDYIPLLRLNPINKTSAKAREMRDRRDHYLRTLNNELS EKVAMGSNKPCIQANVIKFKEETLSDVELISISLSVLGGGFETVSNTVQYSMAFLAQH PEIQDKAYQAIQEFQGSDNPLCDASDDQKCAYVNGLAKEALRYFTVVPLNLPRQSTRD IDYNGVRIPEGTTFYMNAMACNWDHELWDDPEVFRPERWIEKPDATVFTFGLGYRMCA GHLLASRELYLVFMRLLSSFRLESHGTVDTNPRTGMKNPRDLIMAPERYRIFCVPRSE GRLRQALADHKVDEICPAVDIPF PFICI_01248 MAGTAPPNYYKVLEISETATTQQIRDAYKKAALKTHPDRVPADS PDRAARTRKFQLVNDAYYTLSDASRRREYDSQRKTYGFSHRRPDTTSGATYSDPFEDE FDEEIPTGGAAGAGGMPGGFPSWAWNYFTGQSNTANTQQAREETQNQQFGDVFEEMLR EEGMAEQDNRKNGTFWGMAGGVSGGVLGFIIANFPGLMAGAVAGNRLGAVRDARGKSV YQVFQELPQAERATLLTQLAAKIFSHSVGV PFICI_01249 MGFFDGWDGASVVSGKSSRKHHHHKSSSSHKHKRSRSRSRSRHR HSKSTNNLGDFFGFDQHSSHYNKHNASRGSFFNLGNSSSRSFFGLGRSSSYYKRSPRS NFMQRMYKQLKRLLRDLIYYAKKHPMKVFMLVIMPLITGGALTALLARFGLRLPPGLE RMLGIAARTAGGGSAGLVGEAVRMASGLGGSGSVHVERGRDGHMAWERRTAYEDDGWG DGFVKGVSKMFW PFICI_01250 MARIQIPLDALTSRLNIGDRFDGFRNTSLSSRFSNLRPVGEFLD FKRLSKPANFSEMQSRVNYNLGQFSSNYMVVFFVLSIYALVTSPLLLFDIVLLFAGLW ILGRLNGQDLVIGTFRATSSQLYTGLFVTVAILGLIAPTFSTVLWLLGASGVVIIGHA ALMDKPIDEAFSGEAWGRPSRRERRNWTPQEGVHEYWVSGQRVVEEVDSDDTDTQGAD LARSGNRRFASDPLRFTGVDLGRDGRQRRVYAHSEGDESTEEDTEASSDQDSEVDDPA TLELDNALVQSALSRIRRAQEKGKQDVKLSKEELRALERRRKRLEQEAKARQRQTSGG SDKRRRKEPRIAVPLSQFDAPPSHNTRSSAARSDDTLPRHPSPATANSNQGRAPPMGL FPPSNGPRTRPRSATSSSHRQSGNSSPFEYNYVNTPPTQRQVSGSSTRSSSSHLPYPD DVEWRPASSSSRESRDVRDPFAYQTSGPRAPPIPSGAAAARQFWMGSQGAYGHGPRGP VPVATRGTPGSETSSGGDGDTTSDDLGNGAHITRSRTRTPDAAIVVEEASPSPERERQ KSKRSSPTKRKPVGGSGGGRRRKKN PFICI_01251 MSSPTPVNGESNSHPGDQHNVPGYQAHASFASLKERIQLHYNVA SDYYYSLWGQHIHHGYWKSPNETKEQAQVNLIEYLLELSALPTSSYVLDVGCGIGGTS RYLARERACNVTGLTISGKQVEMAKRLSLAETSSTEHTDPSGATIRLPPGSVRFVELD AETMLQYFKTTGDKPSDGFNCVWISEALSHFPNKPLFFESSFALLASGKSSRLVIADW FKAPNLSQEQDKADIKPIEDGMLLPPMCTADEYVKMAEKAGFRVFKGPVDISKNVAKT WDISWSLVASPSLWAFALSWGRDGLAFLQAFRAMRRGFANGTFRYALIVLEKP PFICI_01252 MSKTVEIQSPEQFSEVLSKSRIVVADFYANWCGPCKSIAPFYEQ LSQQLSRPNIATFTKINTEGDRAKEIAKAYAVTSLPTFIIFRDGNKVEQVKGADPNRL KSILEKLANEIETAGEAGSSSSSSSGNGGMTWLGADLPRGYSDISDIIDIRGLELLNA DSNEFSVRTLFNKPKPSSLEKSSSENKDWVESDTDEQLLLFTPFNSAVKLHTIQITSL PPQAGEDDDEDEIPMRPKTIKLFTNRPHNLGFDEADDIQPTQEIEIGEDDWNEKGTAS ISLRFVRFQNITSLVLFVVDGDGDGEKVRLDRVRLIGESGEKREMGKLEKIGDEPGE PFICI_01253 MIGSPQNFPQFSKLPLELRDQIWGYALPEPRVYEVLDSPCSAQT QSTPSSRLMFADVRNEPPPAIARVCRDARHAVLRRYRPLVFASTVKHIDLSRDILLLD SYLQVKRLLKVVRLLSQVEAVRTKACRIALGTSWGFYTGLHLRLFHKTVQTKRNMSKF MEHISKFRRLKTIILVVYQRSAFNLRLKDPDRQPLPWRHYDWYEPYHFNFNINFNFDN YFLRRPYQSRLVRYEPEVEKADKPEPTSMCQSKQQQQAIDPHPRGYQVHDLKDTFENW IKKLSDEGTLGDFEPPSLETATLTWIYTGVGY PFICI_01254 MANFYLAGLLACLTQVAAHGYVKNIVINGVSFQGYDPTVFPYQS NPPIVIGWTTSQTDLGFVDPGSFGAPDIICHRSAKPAGGHVRVAAGDSIALTWNTWPD SHHGPIIDYLAPCNGPCESVDKTTLRFFKIDGAGKADPAATASPGYWADDVMMADGLT WLVKIPASVKPGNYVLRHEIIALHSANQANGAQAYPQCFNLEVVGSGTDAPTGVLGTD LYKPTDPGILYNLYTTPQPSYTVPGPALIAGASSSAAQSSSAITASGTATVGYTSTTS STASSSSSSTKPTTSSSSTSTSTSSSSNGATATTSRSTSTSVPVTTTGSSSRTSTTTT PTQTSSSSSSSSSSVSSTAAMPSSSLVPLYQQCGGRNWGGGTQCAPTHVACQYVNDYY SQCTLVAG PFICI_01255 MRSTLFAGVLSLLLSIGAEAVEEGAVSYEGYKVFRIKTHGKTSV IREQLAPLNLDEWSHEVDHVDVAVSPEQLLAFQQLGLETHVMHANLGDSITTESSLTA KWKRQDNTSAWFDTYHDYADHIDYFAQLQATYPNNSEAITSGYSVENRSIFGLHFWGA DGPGKPAVLYHGTVHAREWIAAPVVEYITTQLLEGFESGDEDVQALLNKYDFYVLPFV NPDGFVYSQTTNRLWRKNRQPPPPGANATCYGRDINRNWEFAWDANPNGASTNPCSET YKGLEPSDTPENQGLDKFVRQLRDSVGIKLFIDWHSYGQYILSPYGYRDDLYAPELGK WEQASSLVSEAIRDSSARRTTFTFGPSGATLYTTTGAAPDHVYSIGGADFSYTIELPD TGDYGFVLPPEQIRPTAEELWAGQQVLLSILDEDLSG PFICI_01256 MASSAISPNELFGVKGLVVVITGGGSGIGLMIAQSLEANGAIVY ILGRRQDTLDTAAKTAQHGQLHGIQCDVSKKQDLESAASQIESKHGYVNVVIANSGIL GPGLTGLPENPSLAEFRDGLWNQDYDAFNQTFAVNTTGVFFTVAAFLDLLDEGNKRCN LKQRSQVIATSSVGAYMRTSPLSSFAYDGSKAAVVHMMKIFTTRFAPYGIRANTIVPG WYPSEMTTEVIEHQDKVGWAKDFVPEERAGDQEDLAGAVLFLVSRAGAYINGNVLVTD GGRLGVLPGTY PFICI_01257 MKAQQFNPVTRKIELNEIPIPQPQPHEVLVKIKCASLCHSDVML FAPNDALQMTKDAKPITMGHEATGIVAGVGSDVTAFNEGDPVGFICAEQSCFECHPCK NVHNLWCEKGQVKMAGFSLDGYFQEYATVDARNMMKLPDGLSPDDAAPLFCAGVTAYN SINDLKLEAGKWVAIIGCGGLGHLGIQYAKAMGYRVIGLDVAEGALEEATHSGAEHVF NSLEDKDYVQKIQEITKGGVDAAVNFTASGKAYAGMPAIIRPTGILMAVGIPQEPISV NIFDIAMWRYQFRGSNNGTCYTMVDAINFSAKHGIKPSIEFYELEQLPEMVERMEAHK ARGRMAVKFD PFICI_01258 MWLKVVGPVLITVLIRASALQYPIQWGADLVLESPDSHAASSTN KEQFLDKLVAEMRIEDLALQLHLMFAGDIIGPEPDNGLWNATMSFSPESPIGMMHDWY PMNATDYNSLQRANLDRSRLKIPLMQTGECLHGVGSFKQSMFPQSIGLSASFDADLVY RVGRAIGTEARSIGVHACFSPVLDLGLDPRWGRLQEAWGEDKILTSKMGVAYSAGLSK NNSLGEPDAVVPIMKHFAAHGSPQSGHNAAPFMGHGNRQVLQDLMTPFKAAIDLGGVR GIMMAYNEFDDIPASIHPKLYKTLEDWGYDGFVMADDTGMMQLETVHRVAESPLDAMK QWFNAGGMLQFYDYPLDIYTNAIKQLVIDGSVHLSRLQSHVRSILSVKWDLGLFKDPY LPPDIDPRTIAERNDQLVLEAAQKSIVLLENRDATLPLKLKENGVTKIALIGPFSDTL NHGGYSGTWGQVPAGAAKTLREGLLSYARDSSQQVEITSSWGVDSWEYHAQYAIPGYL LSSGGVSGGLWATYFATTDFQDVRAERLEVPAQDWGLYPPTGLPSTNFSAVWEGILTS PVDAEVDGWLGVAVGPNTTVRLFVDGEMILMHGINDLSSDGTILSNIMDYAYVRANAT IPPPGAAPFTFQKGATYHIRIEYQAFNLHKKIANVVSINHQVQLFWNLVDRNQNGVGQ AVQLASDSDLIILAVGAAWNSDGENGDRATLGLSPHQDVLAREIFRLGKPVVLVLQGG RPFAIPEYYDQSSAVLSTFFPGQSGGQAIADVLFGSVNPGGRLPVTVPKHVGQLPVYY NYKSLARKIAYLDVDSEPAYPFGYGLSYTKFEVTEFAASGENFSNGQLINFSAKVKNT GSLAGSHVLQVYLLGRISSIVQPTQQLVAFDRIYLDAGEEKTVSLVVDADRYLRILNR WDQWELEKGLYTFALLEHGGGTANTTASVTLQCV PFICI_01259 MEDSIDPRLRGTDEQSSAVAHDAQTGHHNAVAPQPPSIASPTTT STVGPSPASIGPPTGHSYGATPATPATASHPPYTADGETPDGAAAGHDGTPGDPNDPK RPRACEACRGLKVKCEPEPTDPDGPCKRCKKANRSCIITQPTRKRQKKTDSRVAELEK KIDALTASLQASRGAPASQPSSASDQTNFASSHQQQHVVANLPREWHQPQAPSREPQP QYSPEQSSNVPFLPPVVMAGQKRKHTDTPEGSAEELKVWPAPPPKRNEYTTDVIDRGI MTMQQANECFARYTDHMTKHLPAVVFPAGTTAAEVRKNKPILFLAVMAAASSEIPEIQ RQLVKELVQIFADKIVVYGEKSLELVQALQVGVIWYHPPEHFEELKFYQLVHMGAVMA IDIGLGRKKSSSKQKMIPYTWKDHPLRKHPLPEPTTIESRRTWMACYFLASNVAMALH RPNLIRWAPFMTECMDILESSPEAAPTDKYLCHLVWTHRLAEEVGIQFSMDDPNIFVN IAEPKVQYALRGFERDLERYSDSIPKTEKQASLLLSFNVLSLYMHEIALYVEKPDDIR PPYNADALRDPVPGLNESLTAAHIGALSSCLTAIDGIFETFLSMDVHSIRCLPVFNFV RVAYAVVVLIKMYFSASMPNSELGKVINKDHMKVEEYLEKLLAKFGEVAAADRSRPAA KFIVVLAMLRGWFQKQGKGEPGAPSLPPQQMSGNELTPKGETGTPVPTPQPPQQQPQP QANEYNPANTPLQLLSEIATGNRGDQVSTASSSNLASTQAFPNTWMANNTIQPTPPFV FDHNNVGVALPYLNNSFSADFDYSNLGEGFEQAMGLTFGGFMDPDMSMQGMEYIFDGN SNTMGGQSAFTYQ PFICI_01260 MSNDRDSSPPSGPRERRGSVTSAAFSSLFQRSNSISTGSNGNQM SSSAAARDPRRRLSVTTLGLSGGGPAPASATGAFGGIRRGSISTNNSDSIDENAIEDD ENSTRTAPTTPFVRRMSFGANAMRSMRAPGGSPPGSTGRPDPARRSSGGSSKPPITST ATMAAGRRVSAAQASTALPQRSPSDILSATRADQGFNWSEQLRSRAESSVSGIRPSFS MASGGGSPPRPGNGFHDRAKSVSDMPAPPQQAAAVKPKQPEPRKPDAMQERILKGDFY MD PFICI_01261 MVSHFPGVAGASSRAALLGGACLVTAAAAAALWPLVRTATGGRE NLPVTSPRRKVLDSLSEEQITSLPYPPDILPGGRDVATPYGSIRVFEWGPEDGDKMLF LHGISTPTISQGDLAHEMVAKGYRVMLFDLFGRGYSDAPADVPYDARLYTTQILLVLA SSSLAWSCFHLVGYSLGGGLAVSFARYFPHRLKSLTLVAGGGLVRRYHVGWQSWFLYN SGLLPESLVRRLVKRRIRPSAEPPKAAGGADLVAAESHSQGGQHRRTGGNSDANGGPG FDSASISRRRPHVSVSSVVAWQVDHHPGFVTAFLSTIRNAPIYEAYEDWKALGPILAE RRQVDTSIKPSGLSNGQVLLVLGKTDPVVVPSETIEDAERILGKEGVHSVVLEAGHEL PISLSQEVGDCIDTFVRRQIP PFICI_01262 MSCILAPVRSLFSFKGGSSSSSSSHNTKDRSQDLVARILKAHDV LIGLDDLSPGEVTNKTLGDLVALCCESHDSATVKKVLANRRIAKILPALRRISSESEC CLETYWSERIVSASRDPEDALRLLQSFPYYQNYVELARLELCALYAVEPLLPRRIAFV GSGPLPLTSMCLLRCLHHGSNSDEEDAQDNDNANNNCHRTLVDAFGARKKKDEDGGSS SAGDVDDDDDDDDDDLSNVEKLVPSVLNVDSNPAALAASEALCEKLGVWSRGMTFQNS DAKLASGLGGFDVVFLAALVGVSPREKEDIIISVARRMKSGALMVVRSAHGLRTVLYP EVDLSGNRLRDVLQVETITHPYGNVVNSVIIARVK PFICI_01263 MAGSSGTTRADATNGPLRTSSLDSAVSNISSKGSQDAAAGTTDI AGLIKTAGSPEAVIQYLLKEKHSQSQQNAQLWRLVDKQRAMILGLNKDLERALKDKEK YRKKMKELMTAHEGPPKIDTQQTPAAIPRVEVGAFSDAAEVPTSPSNLDSDSVKNSPI DMTMAPYPITPPAEQMSNAPASAVGDLLDPSHSMPIASEHALDKFDHEEEDRVASEAT RAAQAAEDARNLPMPSALPPTRSLPSIPPSGPPPSGSPPKPPVSPKELTPLLENISQF PPPSAPPPRKPPPAPLQLRKETKVSAIAPVEEDDSDSDYDSLLDVDELPLPPEKRGRR RTREEDDFEREKLAQLEAERRSLSKKSKQGSQKGTPKTAEFEPPQNPVPASPRSAGSQ PTLLRDHVPASLAGVLSGTAQASPSAPLMSPGLPASPRPVNMKSMTSPPLSPRGVPMS AGPLSPRAPRQPIALPPNTPLSSPPANEPSGLTSPKPLNISKNSGVPGHQSPTGSRES GSERSQVYKGFITEEHPDLLLPPNALPSIDVKVASSRMKPSRASLISLTQLEEDPVFT LAIFSRAQGVELWRVEKDIASLAKLDQKLKQCPAFTARTPDRSLFSGHAPAKLDARRV ALNQYLDELLNTPLDMTTALELCKYLSLNTLPPNADETGSPVESGSEASSQKTSIGGR PLKSGYLTKRGKNFGGWKARFFVLDGPLLKYFETPGGAHLGTIKLQNAQIGKQSQHNS DGSPAAPANSGELENQYRHAFLVLEPKKKDSSSHVKHVLCAESDHERDQWVETLLRWI DYKDPEDEDSKREKDRQGSSNASHASGANSKKKMQGQAAKGHNARSASQDGLIGISYE GTKQGDAPDGAPPRRRNTDTPDSQQAVPSSYTISAPRDPQVISDTAMWGAKAALTPQG PTLEEKKARKRSFFGFGPKTRSSTDDQDSLFSSSSQSAQGNEYRGPTRQVFGAPLAEA VRFNGPTDINVPLPAVVYRCIQYLDAKNAVFEEGIFRLSGSNVVIKALRERFNVESDI NLLTDETYYDIHAVASLLKLYLRELPTTILTRDLHLQFLAVTEMSGQKEKIAALADLV QYLPAANGTLLRYLISFLIKIINNADVNKMTVRNVGIVFSPTLNIPAPVFALLLQNYE GVFGIAPEEYELLSPIPSPDGDARLPEPQMRRPSSRTDATASPQRQRLVEQSQQHHRL SPTPPPATMSSGGRIPSGPSRQMGYEPQYMTQQSNGSNVSLRPPYDTGYAPTGNYDTN STHLTPNAQPGYDRPHYGASYEQDYSDYDQSHTTRAKRRESSMFMMNLNPQGSKSRLR E PFICI_01264 MSQLALQGDGQSRDRRSESPSVATSHLCSSPTQAQQTQHQIQDS PTIGRAAVSFLDISDDNDSVTTILTPPPPPLTITITGAK PFICI_01265 MTEPIAIPAKRRDSQHHESLHSPHTQEINAIKDHVDLYGWSSAA KKLYGHHAELQAYEKRELAEVSHGKTAGVQLADAWAAYPDNGWRPPMFQRPRQPEVPL RGCLKVKKEGEAKEAVDEKEPLEHEKNGQIVHRKVRTDAPGHDATPGTTKAKAPKLKN QKAATEQTPEKSVTKAELEADECAIASDSEDNIEPPPPPRRARGAAPTAQTSTRQQTG QHRAPAPPRQAPPQANQLAAAAPQLPPGFNEAVLLRRQEAAARRSRKELEDMTAYARR VTRIPVYLSPRHDDLSN PFICI_01266 MYSVVDEAPRRERHHKYKKRLYLEPPTNGSVTTRTRITTIVPPP RPPPVVIRPELPRPITPPPAEPPVIVCPPPPPPPPEPIIIAPRPLPEPIVEEEDTIEV IAVDVDPSVKSHKSHKSHRSHKSSRSSRSRSHSHSREREREVYIEREKLVPVRVPVPY PVRVEPDYETFRYVDAPRRFEPRPRSHDREIVIEDRHRRQYLRD PFICI_01267 MRYHVSVIALLGALASRSAADTLDDPSSPYRTCSPRVATADTPF LVKGINYTRYYDDLGGGYSYPDSRWNSVAVWLAIVSNRVCPDDETGCNVSGPVCKLID CMPLSQDASRAINGGRTIVTDYVLTVPGGAGPDGAYYDLASSLYDRHDGSKDLTKSSW RTINYADNSSGLQYDNGWRGFNMTGMQLPEGTNKDGFYPYEVSDSNVWPGWDLHDVPC QSFVCARKCINDAWSGTAVDFDAAEACINQCEGVDDVVNYCTDVGGEALDIVPEDLGF DSQRELDAYVPDGCVVYASEAFPAQYASYSASVASASSAALASSTSASAAGATASSGA ISGRREAAQAGVVLGVVPLVVKVIFAV PFICI_01268 MGITNNGGTDDRTLVITLSTVLSVVGVIIIAITVYLCLRRRRKR SKMFNRGITPIDDDEIATWKIRRAADEKSGTRFTSRNSTTNYTRRPSRNAVIQYQSQT RPSTDTVPQSPISYSRKQSFEVPQAPPAAVLAVAPNARTGLTDETVPGDQPFVAIPKR QHSKLSKAPPVSISSQHRPRTSRGSRSSSIRSLGDAWYNENMAVTPRTSSDHAPTRVP ARVYSNSAAPPRRSLGENRPFVSTNDNEPLTTVLSPPPLHRTEIGRAIG PFICI_01269 MSSQHNRNPHDNRGGEAANNDDQHHPPVPRASTHGPQLPPSFGA TYPTLYQHAPPIENSPANYPTAHQYAPMQHPPQPHFPNPHNSAPAPAPAPAPVPAPVP APAPIPRYTNYKRELFCWLANGAQGLGYNSYDWTRNSFASRLAREIASLERMDLTETQ SQRLAGF PFICI_01270 MASQRSERGSSRDTGTDTVPGTDIVPGTGTDTVAGTGGDNNPPV ETPTYLIPRNQLLKWLSVGTRIRHNDAHELKTLTLNIRDANIETLFRWKLSRHDLSRL RTVLRGQDIESWRTSQLSEWLFLNTHPIFKLVLSQFCGRLLAQQLLLENRLFISFLWT QHPSKGEAILDATGAMRSLNMQLLALRQHTSIPLPWSSEDLQNHLTGGSMETLNDLFA SMVRLSGPKQLFVIIDRACTTSGMDKVLRKLYDLLLQLRNMDTPVILKVLVTKSHNDL LESNFWRDGVRRVNLE PFICI_01271 MAFSVRAVLATLCALPLALGSYATSSNGGKCSASRYEAQPRAFI CTDMSNEPDDQMSLVRLLTYSNEINITAIAAVTSVWKNDTIDKETIGTVLGAYGGVVD NLNANLLDDAAAYPTGDYLLDRLVTGWPVYGLAAFDEDGISTAAQKLIEEADESSAES PLWVALWGGANVLAEALRNVSATRDAEAVDTFVSSLRVYSISDQDNAGRWIRYNYPKL SFVVSLHGFSEYTEATWNGISGELFRHFDKGGPDTSLVTNDWLQTHIRIGELGQHYPN FSFIMEGDTPSFFPLIQNGLGDIEHPEWGSWGGRYIPADASGLSAVYADASDFVIGAN GDTFYSGFASIWRWRQAYQHDFAMRMGWTTGGFEENNHAPVAVINGSCGPTALQLDYT FGDSIVLDASESWDPDGDDLTFEWLHYREVVQRLEGEISPVSENVTFTPSETQNGLVS ITPNDNITMHIILQVQDDRPMNVTTYRRIILNPVA PFICI_01272 MPTLKRLRINTSLRRPLLQEHESNDSSVAHSHSLPIKKTHTHPQ PPPRAQATDGEDGSVYFIGTATTVIEWSGMRILTDPNFLHAGDHVHLGPGVTAQRRTN PAVELAELPPVDLVLLSHYHEDHFDREVERRLSRDFPIVTTPHARRCLTDGKDRGAGD EGGPFREVHELDFWESLLVHVDGGAAAAVATATGHEGVVGAVEEWKKKPVVKVTGMPG KHVPPGPLSAANDLLSAVPPTNGWMLELGYQQGDAEKEGAAVKMGYRTYISGDTLFVD ELKDIPRWLKDERIDLMLVHLGGTTIPGPSMPLLMVTMDAAQGLQLMRLVNPDLTIPI HYDDYSVFLSPLSDFKDKVSHDGWDDRVVYLDRGEIFRFHAKA PFICI_01273 MEEFRSMAQEICFRSSFPRSPREYVCYSLTEESMSESHEEDLAV FFSSVDQAPTLRLGLIPTDEHRRPCISGAALRSLWAPDVAGFDDGALWLLHHKYDGYH HFPGDGEIETFFFGYSRQAIIWTYNRRTLSTRAMLVARLPNLSPSRLAAEISERAQSL LLLVLERHARHVSSPNFMGYTFAVSVCFLYDHYDNQHELAQIQEIEAATGFQWLGTPL REGFSIDQITKWLQISGQVLIAYSGRKRSLGAIESLLDHLNSELDDEYLSPCETALRN HAMDSRHHLSEAIPQLRRRIHAYDKYMDYMTFRVERLSSVVNTNPVIQTWT PFICI_01274 MTATSTPSRPMGIILVHGGFHLPSCFDKPKARLEAAGFSPVLAV RHPSVGRDPKVTVEDDARNIQAEMEPYLDQGIEFLAVSHSYGGAPLTIAAKGYSVAER AAQHKTGGIRAVVYLTSNVVPKAGASALSPLPPLDIVEMGEGLMWANEKAKAAFYGPD MAGDEADRCMAVLLPQSMESLLGGVSVGVDELTVSAYYIICEKDQTIPVRTQEEMIAT IPTLKRVLRNPGGHTAFITEMGKFVEQIIEIADEVEKDFKA PFICI_01275 MCSNQDNVLVSLATEADVPSIVDVIFSVFGPDTAENRARNTRAL RADIHENSGLRIVKAELPRGKVVGFSMFFLPNSNTAKAQATTPTPLRPPVPSDETSES VLDRYFWVEGGDCQARAAAIDRYGRQEIQRHVQGRVCANVQNMCVLPECQGQGIGHAI MAWGCREFDEQRVDAYLEASVAGERLYRKFGFEIIGKTDKDFGDGVKMFHYHMWRDAR ISA PFICI_01276 MRWSSYAAVLGLTSSRALAGCPYAARQQSSSESASINPHVAAAD KSGIFYMNRIAPGASQLYIANADGSDATPLMSNQTWTMDYRPSWSPDGNWIVFTSERR GDGQSDIYRIKVDGTGLETLVATDSFEDSGALSPDGTKLAYVSTQGNLTANIWVKDLS TGEAQNLTDTEATRPSKDSPQGHYRPTWSPDGEWIAFSSDRNTEWTGHGEGTGWEHTQ TLSIYVVRPDGSDLRQVVSEDGYSLGTPTWSLDGSRILFNRVSREDTYASHGTAYQYG EVSGQILSVDVASGTDVVNHTSGDYLKLFQHYIGNSSNIGYVIKGGESEGINYTEPDA THTAFNLTYLRDPSWSPDGSKVVYEVVSWDQRAGGRELFSWDSEWDYRFMDVFPMLNN ATGRIALTQKQLGNSSVVTSSTGYTDLVTAFDVNDVWETDNATEASYLSQGLGGAFQP TFSPDGSEIAVGMGTWFFDRNAETGTIYRANASGDGFVDLTETPGELNAGFPSWSPDG TRIVYRLWNRTSAAPLGLRMLNLTSGETSVLTEGWDNTPGWSPDGELIVFTRQVNWTW EYGARWYDDRFDIMTIRPDGTDLKQLTDSPANDAHAVWSYDGRIMWSTGMYGFRDESV LFDNTFQPYGQIMVMNADGSDKRLVTDSLWEDSMPMYVPNEYL PFICI_01277 MSESAVNLPPPSLNIVNLAIADLAIYAVLFFPTCYLTWMHGKNG MVCWPIFVSYFGLRFASDAYLIVHRADPLLPNTVAIMTNAGSLACLSLTIIGLLYEVN ITLPYPRRWTEKIILGVTHLCNTAGIALATYGGSPSATGEGGVASQLLNRIGNCLMLG VMATMCWWIWPTWRRTSALHRKHPMFKPCRALIVAAAVAIPFQCLRLIYNTTYAFDHL AILDPITGTFAVRLILQFIPQIGVAVATVVGGWFGIPPKDKSNPGEEMACLEQLPSSS DRVLV PFICI_01278 MSSENKITDSDLSAAINQDDPEKGKSNSDDAPSEAADHEGGLIV YWEHPESEDPENPLNWSDKRKWAMIGILSFLSLLVPLASSMLAPGVPQVLEEFDITND QLATFVVSVFVLGFALGPIVIAPLSEVYGRNLVYHVCNATFTVFTIACALATNMGMLI AFRFLAGFSGVAVLTIGSGSIVDMMPANRRGRAMALWTGGPILGPVVGPVCGGFLVED LGWRWVFWVIAIASGVTTLASIFILRESYAPLILERKAARLRKETGNPGYQSKLKSAE PPKKVFARSIVRPMRLLFTSPLVTILSTYVAIIYGLMYILFTTFTFVFEQYYGFSARS AGLVFIGGGIGNILGQFLVGFLSDRLIEAEKRKGNQPEPEIRLSLWITVPSALTLSAG LLIYGWGAQFHVHWIVPVFGTGIMGFGLIGIFMSILTYLVDVYQEHAASVTAANAVLR SVLGAVLPLIGLQMYNALGLGWGNTLLGLICFVLAPVTWILAIFGTRIRQHPKFVNKI PFICI_01279 MDFTQTAYQRLADKWPVVLVAVIALVAAGVVPHLLNALQISKIP IIGSELGSLDKRRQAYIHSARKLYNDGYHKFKDGIFQITTPRDTTVVVLSPKYLPELK KLPDHTVSMDGAVNETIEAKYTKIQSTVPIIPHTIKADLTPALTRLNALIANECRECL DINLPKCPDWTSINVHHKLLRIVAMVSGRMFIGPELSRTEEYLDAAINYTMEVMTAQR AVVRMNPWLRPFFASRLPEIKKLERRKKEAYDFLMPMIEARKQSFQDSKDDGPDDMLQ WLIRNSPKFTDVHSQNLAEVQLGLSFAAIHTTVLVATNVFYSAAASPEFIPELREEIE GALAQNNGVFTSQALQAMTKLDSFLKETLRVYPATMASFQRKVLRTFTLSDGRVIPAG VTIEVPAVAISSDPEVFSNPEEFDPLRFHKMRQHAKETSNESGALNQFVSVSANSLNF GYGRHACPGRFFAANEIKMIFGQFIMKYDLKLPDGETKRYPNMEFAHMSIPDPKKEIM MRERR PFICI_01280 MLPPDCFPYFSRLVPNESQNPEGTTWVTDLELMHHYSTVACFTL PRGSQVGHIWQTEFVRLALNDEPLMHQILAIAAFHLAYCHPNNSRQFHMLASHHQSEA AQGLRKKLMQHVTPENAHACFAASSLMIIGAFAAFCLENDSGDLPRPSLQDLLDIFGL NRGMDVVLRTWEQYIHHGPFADLFKNLNNTKPMFYLEAVCKKLSDLGSKLRGDEVDDL TPLVDREISNFTQVMEESIRDAPVPELRSVMAWPIAMKPDFFVALGEKKPLALTILAY YCSILHEAQSSAWYLQGWGMAVMNDIVSTLPADKLEHILWPKSTMGQSEQNAFGSGIQ PGGPLSL PFICI_01281 MFGPILMLALLLPGKALARWYRLQGHHNYGYHLLSPPLPPVSSS ESTVIATSITSITSSVETSSLNPTSSTSLTISNEQTTTTASSVTLTSAVSTTSATIVP VAEPTFYIRDASQQHQLNILDDSHLGIIYYEDVPGPGGNLTLYTLDKQHRLKYVSPGF EETGWVGVTTRGETDFTFLDPYSGDIGPIFTACQCLINPPTAHLSCKCPEGFIDSTFI PGSQPTVYAPWQAFPVDGSSTVASASLPRPTFVIRDATQAHELSLFYDNPLDSPDLPA GNPYVGVRGRQPLGPDSALFTLNEQGDIIFATPGSPLYGQYAYLDGAYVTFFDRNSPQ ASSSSECIATIAPLSWELTSSCTIGGSPQGCWPWELCTNWYVYLVDPSDVSVIGGA PFICI_01282 MKALLGFISTLVYDIAAQGTNSSTGSMTLVPFKLDSFPLGSIRA SGWLHDQLRLSADGLSGHLFDFYRYVAQSEWLGGTFEYSELHESAPYWFNAIVPLAWG LNDTRLQDQARQFLDYTLEHQAKDGWLGPETTRQTRGIWARSLLFFGLIQYAEADVSQ QDRIVTAMHKFVTLTHSMLQNNFTGLIQQKSLEDDFDPFGYGLSRTHELPISLMWLYE HHPGNQSAIILETIDLMFQGGRVVGRDWTTFFVNGVFPRNTTYKASGFTHGVNIAEGL RYPSVLYRYTKNESLVQQTLDAVAMVVKYHTALSGTLIADEHLGGLSPQRGSELCMAV ESMFSYAWLYRLHAVSDFADRAERAAFNALPAAVSPDWWSHQYVTQTNQPWSRNLTSA NPFFDVVSYGNTFGLEPNFPCCTVNHGQGYPKYVSSSYGRLGSTGVAHILLGPTSLKT TLGGSSAVQVDCHTNYPFSSSLEYTVTSEVAFDFYVRIPEWTAMNSSFAMQNGHKSPL KPEADGLHHFRLEQGATKLSVFLDMSINIVMRNGSAGVYRGPLLYAAAADYNETAFQP LNWTDRSALPTAQVDPRSRDHALIPTSPWMYAIDPSTVAMESGGDLRGPLSNPIFSKH AILPTLAVDAYRFDWPIDHDTAAIPPIKPTVDVSSRTRLKLIPYGAAKLHIAQFPVVD LAANTLIS PFICI_01283 MRKSGCCTFGLVGICLAQQKNFSVDRNAPPGNLSTLPNGTLFEA WRPRAHILPPFGQIGDPCGHYVDTKDGFLHFGFLHDGNGAAGVTTDDLVHYKDVNPQG RQFIFPGGVNDPVAVFDGTVINQGVNGEPTFLYTSISYLPIQWTIAYTRGSETMSLAV SVDGGRNFTKLDQGPVISDPPFAVNVTGFRDPFALQNAQLDELLGSPARTWYLVIAGG VHDSGPSQFLYRQNDTEFQFWEELGQWWHEPANSTWGEGLWAGRWGFNFEASTIFGLD ESGFNENGTIFTTVGAEWSLDPIVPQVSDFRDSLWAAGTVELSDGDIRFNPSFAGVLD WGASAYASHGQHLPAWSKASQKSGAPDRYITTIWLTGDFYDTTDPASNQQNWTGTLLL PRELSVGRVENVVNNELVKEIGSWKSQGYSDLVEVMTLKQVVVREVMAAISNCSSSTE PSRSFNVSTREAFQQSPKTKLFVLKASLSFPSSARGTGLKAGFQILASDVEATSIYYQ FSNESIIIDRTNTSAASALNPGIISQTEAGRLRLFDRKSGDQQKIEVLDLMIVVDNSV VEVHANDRFVVSTWARSWYSNSTELRFFYDGQETVEFENITIFDGLYDAYPDRA PFICI_01284 MLCENCQQSALSDDSSAFRVETDEFGHSSAVTDEDSIIPTDYFL LDHFPELPKLRESGHGGCEFCSFIRESILSSDNVSRLQDPYGVRITSLSEEEVTLRVR YLWNYTIADTDIKRHFLQVIVKFVNIDIGFGIFCRIRAAEKGDPVAQWLRLDDSSTQA FTGAKTIGWIKERIHECTKHNHELPSPEFIPSLLLDVRGKSPKLTDHDTLISSRKTLG QLKVQTPRYTALSYCWGTAEDAKVQLKTTKSSFSKRQAGIEPHEITPVLQDAIIITKQ LSIPYLWIDCLCIFQDDITDWDRQCAEMDKIYGHAEITIVAASSTSCREGFIQRRVPR IKLPFYSTLNRILHGSMWIELGFAGENLNLPLSDIYLDAAASRLATRGWTVQERLLST RQIVFGKFNAHFICPWGYQSNGGPLTVGKWLNSIHSARTTSDVQELFRAWSRVLIEYR DIGEDSFTHATDLLPSLSGMAADFAKRLKDDYFAGHWGNDLIMGLMWSSDSGFKISKS VHLGRVCAPLQYVVPSWSQLFHSGLTNPPDANSLLQLFYPAVECIEARTSISGSNVFG TIKNGELRVRGHTLSPQATSVIQFKSAEPLFPPRSGQGNEWRFRFERSDGESLFCDVR LDFSLPIIEIHEEVKLWKWILLGTCKLGPFGKSRRLEKTGEDMHPFGLLVHSCRRSEW CRIGVFVPAWGSFQGSQLSLQTFQMASTLEDITII PFICI_01285 MDSPTPSVTPSSPTSSVASTPTPLNHEPESFPDYSIWTPDRFAA FPGLGLYHEPKKELKWWKYGFRLKDPQRPLKLQQIWVCEACLLVKKKTAFVASGSRSI EKHLKENHRVAEKGKNAPTSTPSSQRTLDSMVDFSQPQQQALHGQLAIRFNKALNNLL ILDWLCCSNLPFRIVDNSRWRRQQLYNNPILNEQDLPHSKTIVRLLLAEYKRAVPQIK SFLRTARSQIHLTFDGWTSRKFVSFIGVHAHFVDKDFRKWTILLGLPALIKRHTGSDV ADEVLAVIRFFEIEDLIGYCTLDNESKNKTTMAKIGEELGFDGVDRQISCAPHALQLA VRALLYGDGCKRLPLDQVLETWLQEEFADERQENDALERAFGAVAVNLEEEEQEEEEE NDNNLNQQDLTSEDGNGNDSSDTGSFSDGSESDVEDEAGVSEAEVEALTSAFDYLTPN QITTKTMTKYHKNGPFGKLHNHGNCFHKSSQLVGALRQIQRDLDPQATVKEWIQNNAT RWQSDEAMAARALELKQVINRLHQNLTDHWEGAGSKQGDKPPILEFRLGPQDWRVVEA IQKILQPFKVASKKLQGNGPAGALDQYFPQMEYLLLHLEDCAAGNCYSERIDPENPAG DPIPENVKLFANLSNQQRRFLKAYIKVGLWKLQHYYDKLVNLAYAAAVVFNPNMKMAG LQGIFDAEPQRQQQSWRDHYLGQLRTRWTENYKTRPSSSSDAPTPANQQPVLTMLQRR KRFYQADAASEVPDSQGTQDTQQTAKRRKGKKATPIRLEDTPDDELEQYLAAPINDLS AYFDDPVGWWRDVCARRFPTLSYMAVDYLSIPSAQAETERQFNSVGEMVSAKRTHLAR HVIGASQSIRSWSKVGVYKPELPLDLLDKLPNTALDYDTTRELVDWARRR PFICI_01286 MSTSVAQMRMPERFIGTHPYYMDNDILTSINVTPKYTFVDLHID HGKHVVTTSHGGCVKLWATYPLTDENRRLYAKECAQNDIFIRLHDKLERGVFLITTDG VAIKLPPGCLHATLTLRGGVVPGFEYTTASCLGVSAAVWDLHSAAFKMGRDGDIPLFE ALIMALRSDHQDIRTEAFQTLCPRWKTLGKCKCTELSKLKKLCGLSCHHCGKAWKVHA PFICI_01287 MPKGGTVKWPSICPYCAARVEKVGKNNWGRHIQRHTQKAKQELE LEGLMEEVLDILQGPLAPLTAAFRSAIRRSEGLTTLPAPEAARSRQDLYQNAPAIYPA SECWAKKPAYDDQLNELVHEFDTR PFICI_01288 MAIKPTNRYNMDETGILEGSGSNGLVLGSSEARTIRKKQPGSRA WTTLIECISASGNKLPPLVIYKGKTVQQQWFPLDLTPFGSWRFTATENGWTSDPTALE WLEKVFIPSTQPGDPQEARLPILDGHGSHETTEFKSLGTLIDQWDGSTVVGKRNFLVC YGKARRAALTKKNIISGWKWTGLWPQAGEGYKGYLDNQGNGKWAADISAVAWSTPRKR DELRDQFSVFSKLDIDTPTQRLLFRKVQKGFDRKAYELAVAHRKIEVLQAQVDASRAR KRRKVKISPNSKFADIEAIRRTQIEVGEVDDDSDESSDLGSPSDREECIVVASEPR PFICI_01289 MPPKTPFSSGSDTDQSGPTDSEEFPLTQSLRELHQRDVSAGYGS RALGVTWNNLTVKALSAEAAVNENVFSQFNLAARLRSRCQKLPTRTILHKSNGCVKPG EMLLVLGRPGSGCTTLLNILSNRRAGYTEILGDVHFGSMTSAEAQKYRANIVMNTEEE IFFPSLTVKQTMDFATRLKVPANLPEGTDAKTYASEMEDFLLQALGVSHTINTKVGNE YVRGVSGGERKRVSVMECLASRASVFCWDNSTRGLDATNAVAWAKAIRAMTDTYGLAT VVTLYQAGNDIFNLFDKVLVLDLGRQIYYGPAQQARAFMEDLGFVCPRGANIADFLTA VTVPTERRIRPTFEQSFPRDAEAFEQRYQQSPIHKSMMLEQGYAQSEEAQLRTAEFCA SAALERHSESSPTTADLLSQVLVAILRNYQIIAGDKATFAMRQGSTLVQALIAGSLYY NAPDNSSGLFLKAGALNWSVLYHSLTAMSEVIDSFAGRPVHLKHRGLAFNSPLSICIA QIFVDLPLNLLQITLWSLPVYFLVGLDSSASHFFTYWITLFITTLCCNSLFRLVGSCS QTFDGAAKYAGYIISIMAMYTGYQIPKTEMHPWFGWMYWLNPLAYAFESLMANEFHLK HIDCVSGNLIPSGEAYTNSEFQSCAGIKGAQQGFSYLTGDEYLKSLSYDHSHVWRNFG ILCGLNVFYSIVTVAATINWRDNGSSANHTLIPRELVNHHQALSDDVEARSVDIKEKV SALPGDAGLVDNPIAGGQLERTTSKFTWNNLCYTIDTPAGPKVLLDQVEGWVKPGTLT ALMGSSGAGKTTLLDVLAQRKVDGHISGSILIDGHPTALSFQRSTGYCEQLDVHEPYT TVREALEFSALLRQPHTISSEDKLAYVNVVIDLLELHDIADTLIGTPGNGPGLSIEQR KRVTIGVELVSKPKLLMFLDEPTSGLDGQAAYNIVRFLRRLADAGQAVLVTIHQPSAQ LFTQFDRLLLLARGGKTVYFGDIGDNAETVTGYFAKHGAPCPHDANPAEHMIDVVSGH FSDVDWNNIWLKSSEHDRVVEELQVMTQPLPISTELNTDDQEFATPLLFQIRVVLRRM NIALYRNTPYINNKVALHIGLALFNGFTYWQVGDTVQDIQLRLFTVFVWMFVASGVVN QLQPLFIQRRDIYDAREKKSRIYSWKALVTALVLSEAPYLLFCGVLYFLCWYYVVGFP AESRTAGATCFVVIIYGFLYTGIGQFIAAYAPNAVFAALLNPLIVGTMISFCGILVPY GQIVAFWKYWLYYLNPVTYLVGSVLTFTIYDVTVKCSASEFAIFDPPDNATCGQYLAP YLESAGSNLINPESTGLRSWMDSCKHRFVVYLEFLRFGLRYGEAEDKSLKEIGYVIDG RKFTVVSLGIGKWLLGTSALHGPAGATAFFCLV PFICI_01290 MAEASVEHPSNHNSPSCQAYVDKQRDPHELRHLRNDVEGVTFAA TADTTENLFRDELGLLPDLPSHRVETLESALSLVTKFAQTSQRTHNEPHKPDLRVDVA VPDQVPAEVFFLLLNCDREHRNLCHWPDHISVDTLERMCKVLGQGTASLRTETEYKVC VFSKAFAYINRWLRICAPGPMTATFQRSLDLYAAACLKHLKQLDLISPPNLATLQALL CGAGLVSLLGKTSQAWSLTALASRLAINLHYHTLTQETLNRPGFTEVRHFVYWCYYMD KTLSTILIRPSSLPNLRVDPTSLVSASKETSLSSKIEIMVKLAQIQDLALPLVTKTSG ATSIEVSALVDRLEEKLYGIRENIDQLSPSWSSTPALQVELDGIYFMYYSVFTTLLRL HPASLHDPRKRGTCLQYARNALLSMGQLRSYIESPTNTGTDYVSWSIILYPLTPFFIV FCNVVATSNQEDVALLRAVTDVMGLINENCSTGRCLHNLFSQFVDLCSRIDSNHDKDP YQDNSIRNDENRGLDVRMQSSKDATTSFQNLSGVGLSFRAPYVTYDTPAENSLEEGTL YDRSIWDDSLMLQLFNTQPSIDWFEPTVHTAVN PFICI_01291 MASAIKKSGLRQRKSQRQILTCTECARRKQRCSKNVPCTSCVDR GIADACCREESGPARGLPGSSLEPRLTTAETTQFPRVVPGAAIPTETDPRNQVQSASL HHNTRQSSAASLDGSSEHFTSLSASEANVGSADGQAAASDRADGSGIMNETVVTLEFL THGRQNILNSNSNYELSDDLSDENEYQPSSEGPIAWDTILSPEEVRSLLLFHQENLAW MHNVVHMPSFISEFEMSLTRPANACWRALYYAILSTTFYHASEAQLAELGIECTETLQ AGRVLHDKSMQCLYEGNFTSKHNIFSAQAICVLLQISHNIDQSDMISVLVAAAIKICQ CLNMHRLGPDREDLTLQMTLDAASRYLIKREVKKRVWWFLIRQDWLQIPFQNTYLVHA SQFNSPMPINCFDEPELMIRDGKVVSQPDDVYTQNSYSSPINHIAVIIWKHQDRMCQV GHPSKSPDNILKLYDQVIWADQGLKRVLRELPDFLNPLSTHSNDLLPPLIKQQAHVGL LSMAHKVLSVHRHFQLESFRDRRFAFTQLSCLSIAEQSILNVKSWTDSPSANITRRMW TVITHLTTCCVILIFASIFRDENALLYDGDKMRCLAEAGRRCIGLAISASSIARRSAR MLDALLDLDKKVRCSSDIRYFNVEDIIRRVTSDTEGQSAIVTELTSYALPWDIASWDN MFGGDESCMTFS PFICI_01292 MNGAPGSDHHIPFPIAIRSSYGFYLGYSCVVSRGVLSIVWFAVN CWVGSFAVTETIAALWPEYKLIENQLPTNARITSLQMTSYLVFFLIQLPFFFIPMTRL RSVSVLFSISMVVWICAKAGDLQGIFNQPASVSGATRTWLWLSTFSATTNSWLSSAIN MSDFARFSKTRYAPYSQIPTIPIIKTVYAVLGIATVGASRVLYGADIWSPVEILPLWT GSGGRFLAFLCGCLWILAQISCNISANAVPFGHDLMNVFPMWINVRRGTILCLLVGSW AVVPWMLVNSAAEFLQFMNGYGCVVCGIVSIMISDYWLVRRRKLDIPALYDPHARYRY FGGFNWRAATTQLCFMALSLPGLINQISPSATIPDGLLHLYELNWFINTLGPLLLYWM LWKFWPARETLVSKTISAVIIPSMGEDVGEGMGSSVGMRGKSEKQETTSHI PFICI_01293 MGSIPTIDFQAWFGQDEDAKAQLIEQLRSACETHGFFALINHGI PQSLLDSMLEQSADFFSLPIEKKEQYNKDLGGYNRGYERLRAQNFEKRTDGDLKEGYY LGIDLPESHPAVVSKKFNLGPNKYPDAVSDPTKFKEIIDSYTDSAIKLAENLVRALCR TLDITDDWVSGFVDVPIAVLRLLHYPPQAPDASELERGIGAHTDFGAVTILLQDMIGG LQVWDRADNRWADVTPQRGALVVNLGNLMMRWTNDRYISNLHRVINKSGKERYSIPFF FSGNPDFLVECFSKCLGQNAVAKYPSVTVGEWISGRYADTYGTSKEKAVGELSVAEAN AGIV PFICI_01294 MTPQVQDIRLRRESRFLQIGEYKIFVEIDGSGPYILMTHGLGSN TNAFQPLTDIFSKQYTVVRIDWPGHGHSSLHRNGIKFSVPDLVYIIQMVVQKLHIPSV VLVGHSAGGVASMIAAAEYPEMVRGLAVLGAGRTRANQPASKSFTLSLARQARDVGTA TTVDDMVAYNIPSRDSPLARALLRTVTASTSAEGYAQMCEALCADSHIDPDYSKIICP TCIIGGAADPISPVSVTDELVGLIATSGRAPKRFVLETGHMMIFEDINETANAIRTLL NDVTF PFICI_01295 MNYHKEFPIIDISKFETDFEHLSEAIYHASKEWGFFILSGHDIK TVDEIFDLSKKFFDLPLEVKAEKTVNDKAVGYDGKAKTTFAASEGMTFGCTPGAILET DHLPIWWDLPKRTAIEAFKADCYKLSIDIMSCFAIQLGLPRDYFQKSHDHKDPGNNLK LIKYPKMKMSEGQTVPRLSEHTDWGSITFVFTNQGGLEIRDPNNEWCEVPIVPGGIVV NIGDALSLWTDQALKSTMHRITWQNLPVDKDRYSIAYFTNPNFDATLSTPGCTVSDSA SDIITYREYYKVRQWLTYGGLEDKLGQRALADIDPKAIEAVRSLNVANSGLLQSHIRP TDTPITI PFICI_01296 MEFIKFLAYGIKSAKSTRSAQPNERRKKRGPSIQSVVQYYDVFQ NLLLDRGTPVDPKVSKHIRKYILHNLRVELDCPFNVRPRRWPKIVHFIHLGQQLFVQD YIKFPSAATRVYFWAWFSCNVASGSRIGELVESSARGQNCDRGLRFKDARLIVIRKED GQPGLAFEFERDAKGMTLTPNERPRHAIYEAPEGQMLLLNPILPILAIILSRGALRDY QTIQDIFQIPAPPKGQDITLTWRDEVLSQPVFPRIREGSGLTDKMETGNKFGEYLRAL GFRAGFPEPLTIHDFRAEYLQLLDKNYSTSQRQRSAGQRSMGVYAQRYQAKNSGADGF GLLFFNKAVDGINENLRGSGIRFEPNLIQKLSAAMELQIHTEHQSDIRNLEARMLSLI HTKASKVERNKVWDEMKKLYTNGLRDMQEDGRTKDNEPLRLFFDRARHMMPDRHNLAE NILKEFPLRSSEGITALDFPGSFVQG PFICI_01297 MSPKKVLFVLTNIAKIPGTEKEIGWWLAEVAHPFHVINGKAEIV FASPRGGLAPVDPVSVQYSPDEVSQDFLNNHKALFEQTEPLEKFLGKAAEFDAIYYPG GHGPMFDVATDPVSIQLIGEFFALDKTISAVCHGPAAFVNVILPNGKHFLEGKKLTGL SNAEEDSFQMSQYMPFPLETKLNEASGGLYTVKDQDAESATVVVGNDGKFITGQNPKS GKVVGEAILKAIGVN PFICI_01298 MGDLRRVQIVGDGNVRIALLSIKDLGAYTAELLGQPRTLNRQVY IYTEVLTMTEIWNTMAVVSKERPITEYLSIQQLRLITESYYQRAFGTGQADRTTDERK FLTARAIHMWCVEGFNSPEAADFLGVLDFWDLFPDYRKPNYDVWKPMSLKRYFEEIID PSFIRSLLSGPGPGDDNSPPRDRQREGQSFTISSVRTIASTEDIIVLD PFICI_01299 MATVTYVTDDYEYMRISELTAILHGLSLSPSGNKTELINRLREH DNASAQSGQPSQSALPPIKTTIMIWGAGKVAESVVDGLRSLGQNNIV PFICI_01300 MSSDPEQPNIVLILADNLGWGELGCYGGGILRGAATPRIDAFAK EGLLLHNFNVESDCVPTRSALMTGRHPIRTGCLQSVPAGMPQGLTPWELTLAEVLKTC GYSTAHHGKWHLGDIPGRYPSDRGFDEWYGIPRTTDETQFTSALGYTPDVAELPYIMK GKAGESSENVCIYDLKERRNIDATLVDCSKDWLSRQVEAKTPFFLYHPLVHLHFPTLP HKDFEGKTGNGEFADSMAEMDHRVGQLLDHIDSLGIRENTVVIFASDNGPEFRPPYRG TAGPWTGTYHTAMEGSLRVPFIMRWPGKVPENETSNQIVHVTDVFTTIVNIAGGTIPD DRPIDGLDQTAFLQDPANTKSPREGFLFYIKNDLRAVKWRDWKLHYIWEPKVNEGQGK LESPYLFNTTRDPKEETDILAFNTWVLQPISKMRAAFMRSLKTDPAPPDPLKEDF PFICI_01301 MEPAQEVESPKHHKEGGLVEGMEVSEDVGYGHQVTRDWSAAEEK KVVRKLDCILMPLLVAGFFALQLDRSNISNALTSTFREDLHMTTTEASGGNQLQIAGI IIAEIPANLLLQKFGASTWLTAQCVLWGLVGVFQAFITNKSSFYATRFLLGIFEAGYI PGCQLLLSLFYKREELALRTAIFYFGNYFSAGTGSLIASGVLQMAGAHGLSGWQWLFL IDGSCTIVMAIVFFMLLPASPLQTLPLCRIQQLNLFSESERRLMHNRIILNDPEKKTS FKNIKIRDVMMALCNFQLWGHFAINLLSLTPKGGLALYSPTIIKALNFSTTHANALSS ISNYGVCVLSFIVSWVSDRQKQRGVWCIIACAWSMIFAGVLFGLPINADHWTTFAIFT LLNCGNGISQSLNDAWLNSNAVTHLDRSIGLAMAVIGSNLGGLAGQQLFQDNDAPRYS HAWVAILCIYSASILMTLLQMGYYAWLNRKIARKEAETPSEANVAGQEPELRQRKFEL PFICI_01302 MKDFVALRSFVQPYFARFEDAEGNAFLGGPDNEEQYHYSAAFRQ VLAEHFWRLCRAFYALRQSHTRQFHLAGSKKEAKKNQGQYVRVVQKSIDSLHRRWD PFICI_01303 MQSQLSNQISCKRCQKRKIRCSRTSPCINCSLASATCEYRDDRS RRLPISRDYVASLESRVAKLETFLAQLKKAPREQRDSLIDSINFLDDSADYSANAGQK SHQMGAAVHDPAKAVLRQEDEGSLTYHGPTSIYNGALASSSDNNAQATSMIPSPLVHP LDSGEGITNQCIGLFFHWQYSQFMFIDREAFIMDYQRRSFDSQFCSSALINAVCSIGA LMSTDPDIRQRASHYSQQAINMVMSHGLVTPHTTSVQTLLCCAFYEIGAGNLSKGWLF SGMAFRMGHDLGFQRDPETWTDRTATEQAVVREYRRRIYWGCYVSDKFFSLMLGRPSM MHESDADVKPSEPQRQDPIFNDWAEGHGLVFLKDIIPIAPRLAEVFNKQVEISQIIRE GLSAVHAPGQRLSQDWKSIALNEIDSKLARFRENLPPAMRLKQWSSLLEPVQPHLAAL HMLWHSSRISLFYPFLNLSALTDGAENTTPIAADGPEKACLESVERMIAILKRFKAQH SLQNAPLIFVHGVIAAVNTIITLTRRGHNQQGNLHLPDLDLVLQEMSSTWVIAVHALS RLRKLLSAMEEEVVPNTVSQRQETLSGFDLELPTDISDFGGDSQIAQILDWDYLFAMP SDGFSNNNVNF PFICI_01304 MASLLRPAAAILFLAGCALASSELVTPVKRDGCSTTRQAMPWSS LTSDEQQSYIDATLCLMSTPASSDIGNASTRWDELQYNHIYQTGWIHDVGQFLPWHRY FIAVHANMLRDACDYNGPLPYWDEISDSSLDDLADADVFQDDALGGTGSGDDSCITTG PFANITLTMQSDGNTGSYCISRDLQVSSLSGSTQASVDSCEDLDTYDDAWDCYYSTPP RRRPRCRRRPHAERFPEPPETPIFFLHHTFLDALWWKWQSANLSSRLTEMGGQNQVDE SECEFTSSQCPGDDILNYDGDPGNTTTLNHTLWMYDLYPNRTVADVMNINSTDVCIEY TYPDGEDYKLKERSFMEKLFGKH PFICI_01305 MHFTQAVVTGLAVLSSSALAHPGHDFKAELNERRDFLKTVKRAD ISHCAEKLKARGVNKRNAARRHATLQDARAKTSLVRRNATTVDAINHNQTGNGYTAAT DASVLFEGFSSCLLTPEVTQGPYYVGGEFIRPNIIDGQDGVPTILDYQVVDADTCEVV PNVYLEIWHCNSTGVYSGVKVSGNGNDADASNLNNTFFRGIQKTNADGVAQFTSLFPG HYAMRATHIHLMVHTNATVFANGTLGNNNIYSSHIGQAFFDQDLITEVEALSPYNTNT ASITDNVDDDLFVQETDSEGIDPVHEYIYIGDDVSDGLFAWLAFGINATASDAITAAA WYYANGGQTNADYDYLAEEGTTGVTSPTATAS PFICI_01306 MAAKSDRAPVPDPAEQDAFFPSKYSLSQYVSPKTDFDGADYPNA YTGNKWKVLLIATQERYLEMAGGEFFSTGNHPVEMLLPMIHLDAAGFDIDIATISGDS VKFEVWAFPKEDEAVKRIYEKYKNKIRKPLKLEEVWGNGFTTDTPYLGVFIPGGHGVL NDVPASPTVGKILRWALDNQRYYISLCHGPASMLAADVGNGPGSKSIFDNYEIVVFPD SLDTGANIEMGYIPGKMPWLVADRLRERGVKVLNSDITGKVHRDRCVLTGDSPLASNN LGKLAATTLLNAV PFICI_01307 MHSILMLGMPLLAALAEPLKEARAYDQGFCHAHSPIISAFRTEA GATAYCSKYLRYGTKIVYV PFICI_01308 MSNEPSINTGASVQLCTNCEKIALKDDCPAFQVVHDEHGKPHHV FTAPDTWMETDFQGEDKFPDLPGLLESSLQGCTFCAFFKEILSSDQALAVFNRDIHPA PLDSPIPIRYQVSFAWNCTNTNEDQYLVLQASFPSENVNLDLFCTIQALDECRELASW LSLSAPTHDSYANQETVEWMRSRLDHCCENHSCGADLLEREYLPDRLLDVQKDIPKLV LRDDITVSCQKTPNYLALSYCWGTNEDASHQPKLTKDTLQQFQTGIDEQHLSVVHRDA VFVAKALSIPYLWIDSLCILQDDDDRIDWQRQCEQMDKIYGCAHVTLLAAASGSCRQS FLRRSHGVQTIIPFRSAIQSTQNGLLHIELRKVTTVPTDNGKIQTLFDDIFFSRLSRR GWAYQERILSRRKILFGEWNFHYMCPTANQSLKGSERMGPYERSPGQIKQQLSKGYNY GEWVEIFELASMFGGQSFTYPEDILPALSGLARLVQNDAHDVYLAGHWAQDLFRSLAW RRPLLTHTPKEVHFRRTFGPGPYTVPSWSILYKVFGDLDNPYQTGPSDVKSEMESCVG QVALVGTDPFGAIKNAHLEIRSRCFQNRIDQSIAIKQILYATWKNRWSVVIDWDSRRF YCGICLDYHLRVADINQNPKMWRWVLLGSVQIQTILNTQTTSTDNDGEPERYPYGLLL LQVPDRREWYRAGVFEPNVAGFKKELELAGDPELELMTLGVFKEMSEIETITVI PFICI_01309 MCAHLGSQCGPVLERRYATFITTSDIDELACAGLTILRIPTTYA AWVDVPGSQLYSGNQQAFLKNIATYAINKYSMHIVVDIYSLPGGVNGMTFGEADGHYG WFNNATALDYSFQAVDAAISFIQNSGFPQSFTLEPINEPVDNPDITALGTPAALSEEG AAWVLQYIQGVLSRVTAVNENIPTMFQGSFKGEPYWSPDFTASENLVFDVHNYYFAGR PTDSDTVSTDICSDAKASAGDGKFPVWIGEWSIQTASNNLFVNRAKNLNTGLYAFAKY DHGSAYWTAKFSGTAAVVGQGTQEDYWNYETFIELGIFNAVSGAQYCL PFICI_01310 MIADVANPENSIVLNNNWSIPIPSYQGNYGVHYAVQAVRATSAL QTQTLALYPDHPSMVGSGLDSSTSLLLTFSGKPPVLETGFWNICAYNAELDLIANPLD RYGIGSRVFNMTYANGENVYGPNASAGDGVFQILVQDKAYPPPTNWTGNWLPVEDGFS LSFRIYGPSEVLKDGSYVWPNVKRIPILSV PFICI_01311 MPPRKIDTSRRSDVSMARFALVEGDATPTAEPSPISATAADSTT VPPASTTAKTAVAETPDIEMERASEAAPHSDKKDKDATAIEDLNLPKSIITRLAKGVL PPNTQIQANAILAMTKAATVFINHLANAANERTQNSNKKTIMPPDVFDALDDIEYPEF KELVQQEFKKFNEIQSSKRNTYRRKVAAAKKGVPYVETNGPLREPRVPEATGPPGTTF IEDPAAAAGIDTSRSIKKQRMSAAAATTATGGGGGQPGCADDSAMAGADADTTQEYQD AESESDHVDEDDEDEPGDDVDEEEDDEEEEGAQDDDEMQDALEEREEQNGEDEALDNG EDSDS PFICI_01312 MTSLKRPTASDNGNLNLSSKVYVRSTKSGKVQKIVREVYLRQDI PCSSQVCSKCRAPANAAGQVSPPVLSDKPAGTKAFPNGHYLVPDTNALLNAMDLFEQS SAFYDVIVLQTVLEELRNRSLPLYNRLISLTKSEDKRFYVFFNEFRLETYVAREQDES VNDRNDRAVRKAVEWYNTHLSHIKSKRVPTVVMLTDDRGNIEKAKKENVPATTLRNYV SGLEDGERLLDMIAESQERGAARDKKAADFLYAEYFSMSKMMTGVKNGMLHQGIFNVS PYNYLEGSIRVPAFPKALLILGRENINRAVDGDVVVVEVLPKEQWKEPSTKIIEEEAV TKNENADGDEGEDLVTEKERKALQEEVKKTHLASTEGRAQPTAKVVGIIKRNWRQYVG HIDQTSVSSSAKQGRKQETVFLIPMDKKIPKIKIRTRQVGELLGKRVLVTIDSWDRES RHPVGHFVRSLGELETKAAETEALLLEYDVQYRPFPKTVLDCLPKEGHDWRVPTSTDD PGWRGREDLRGLLICSIDPIGCQDIDDALHARALPNGNFEVGVHIADVSHFVKPSNAM DHEASIRGTTVYLVDKRIDMLPMLLGTDLCSLKPYVERFAFSVLWELNPSADIVNVRF TKSVIKSREAFSYEQAQLRIDDASQQDDLTNSMRALLMLSKKLKQKRMDAGALSLSSP EVKVQMESETSDPIDVKTKELLDTNSLVEEFMLLANISVAGKIYDAFPQTAILRRHAA PPKTNFDELANQLKVKRNLELRVDSSRALADSLDGCTDTNEPFFNNLIRIMATRCMMS AEYFCAGTQAYPEFRHYGLASEIYTHFTSPIRRYADLVAHRQLAAAIDYEPMHPAVRS RGKLEAVCKNINVRHRNAQLAGRASIAYYVGQALKGRVAEEEGFVMKIFSNGFVVLVP KFGIESLIRLRDLAEPEPDSVYDAETYTLKLTGSRDLTVDLFQKVIVRISDAKDEATG KRGAKMELVRTV PFICI_01313 MPSMGHQQTLPPQTPQSQSVPLPVQAAYTPAQPQTSPPPKTTPT KTTLKALPTVRDHTTDQLGPGGDEYLPRETDEAGEKKVMANGQLTGSREYRCRTFLVP NRGDKLFMLATECARVLGYRDSYLLFNKNRSLFKIIANQVEKDDLVAQEILPFSYRSR QIAIVTARSMFRQFGSRVIVNGRRVRDDYWEGKARKQGFTEADLAGEKRPGASKAREE AAAAAAANNPPPLVGGPHGEIVYANTPGQFGAPPQPQLVQPGMIGAPTGSPMRMPMIT APSTDLNDRRPDYNFMKGGPRQEISGPAYQDRTQPTPLAEIHAQAHHAAEFNRSINQG REVRNEYMQNMWRRPHEQPSAPSVGGDTTIAATTRPGQSPHAATASLQQPSMVPNQSP QMLMTAAPYSQSIHAQQPISQAPMRGMPQAPAQKQTRPPSLSGPSGNLAQGAQTYNYN QNAMWNHPQTPQTPQHYSGYTTQPQQSPHPQQSPAPQLRHPSGGTPQMQQGAMQYPGM PGMSSYGTPSQGMYPPEQTPRQFMPQNPGGSPAVTQGWSNQQTPAGGNWWANQPQ PFICI_01314 MCVYKRVLYELCNHSRYSAKVERKCDEQKAFDKGEGAEVCKKVS SHPLATLKVAGQCPRCDGSQKTVTQKLQKAKDMISQSKKTLASTDARCRAILEDVGID MSVSGSSSDDNDDVEKLKGEMNELDGKEGSGKDNAAAEEFLKKRKESDSAKLYM PFICI_01315 MSIFLDFDGTITVRDTIGDLAKAALRIQSDRGVDLDKEWDEVVQ SYIQDYENHVEEYHIKEEDRQQAAAEVEFLRAMKTVELKSLDRINACAIFKGISEEDL REAGRAAVADGTVQIRPGFKKFVHKRIREGWRIWVISVNWSTAFIEGVLDCPDEIHVI ANRISGDDGTVLGPEMLGSIGGRDTCPEDPQNLTNSSDKLDVMKGVLHRDGVDKAPSF YFGDSITDLECLLHAMYGVVIADGQGEKSKLLQTLRRIGKAVPRTRESESLIHGLTWA EDFEEVDNFVSFGVGGG PFICI_01316 MAAVKSEPGVKLEPGIKPDPGMEMDTDDLKPSPGGFVDDELYED AGDLEFYDATQPQDPQGNAFLAHLPKYLYDQWAQLGDDEELVVGKVRTWTELDKTGQQ VQKFAILADPSNPAHQNVPKEYTLEMRDPNLLNTFMFTEQDLPGFKNKYPGGPNNDIP AHLRPRPPQPKENGKTEGGGGGGGGGGRNRRREPYYRKAIPKKTVLAARFRREVNAQP VMTPETKHILAMRASDALKPKATTSIMSGTRNPVGIIHAGTAMGNAKMSGFVRTVDPK ANNKKAKKEEKAARMEQSALLDAIFACYTDTKYDYWSMKAFKNRLKQPEAWLRENLEQ VAVLHKTGRFANYWELKPEYQKRKPNAETAAEVADFDDEDDEDDNVEMEDVV PFICI_01317 MPAKVAEPPLKRKRSDGAAKPKKRAKPESSSGSDSEDESPEADI LLLENAILESKKNYNNISKLLELASAQGDEETAVLATVSLCRVFIRLLAAGNLTRNPG QSEKDIVVIQWLKGRLADYKQVVLTALRNEATASTALTLAMRILKAEGQYLSSKEEYN FPREFLKDIVSALVKEASEDVRQEFCEKFLGEFCDVRFYTFKALKTILAEESAGITSD TLFGNAFDILSFFEDVPDSAEDLGEFYTAPPKKKNHPVSSLNQQKKQGQEAWVALLRT GPNRDQRKQVLEIMTQSIAPWFTQPELLADFLTDSYNEGGSISLLALSGVFFLIQHRN LDYPSFYRKLYSLLDSGILHSKHRSRFLRLLDTFLASTHLPAVLVASFIKRLSRLCLN APPAAVVATVPWIYNLFKKHPLCTFMMHRESRSEEEKQMIKTNGLDDPFNANEEDPME TRAIDSCVWELVQLQSHYHPNVATIAKIISEQFTKQTYNTEDFLDHSYSSLLDAELLK QIKKPPVVEFMIPKRVFLPHDAASGVEDNLLTKLWNFE PFICI_01318 MVSTYQMPAYFRPNPLSVDTSQAQKYFEEEDSSLLDDSLLDHNG SLDSGLEMSPPMAGSRRDSFAVSSTLFSPKTEDWQSVDMQSVPSNNPFLEPQSNNPFM RMDQSQAAAYAPQGQWNMNHGSGTCTPLQQYDGLATEYDGGAPVFQQAMPTQTPFTNP TGQVPLFQNVGPNGHSIPAQPMKGWMGQDPKNHQSMAKRMQPDSPPIRSHNELRRGDG IRKKNARFDIPAERNLNNIDQLISQSNDDQEIKELKQQKRLLRNRQAALDSRQRKKLH TERLEDEKKQYTAVISEQEDMITELNMKLEHLMREKEFFQNRAECLMMEKEELIGNHT METGELRKKVSVLSEHVKSLETANMPSNVGYSNAFNEMDMTMDPSSWENMGFMGEYQP MEQDVKQEVQIMSTKKTEISLPSDGDKHSTQGGLLFMLFLVGAFVMSNRSATPSIPRV SEDVREASATLLENIFKDAGVAQAPGAIMSAQAPQPSGASWAMATPTPMHGVNMNGIA PSVLGDLSDSLTRPTQEQTKEQLFSMTPAQYNGVTSQDFLQNVPPPSTSQGRRNLAQS LAAMRNNDKQSAAEVYTRSLLWDQIPRDVVRNFAKMVAEANAHKEHQSGNEAMT PFICI_01319 MGLRDMLKKKDRVDHEYSPDQHAAVDRLAGPEFTFIRSDTHTQE VIYPPPSPGVRDDPDQYLSAKDSSSAGASKTRRSLDVFKPRSRGSSLSSSKSSEFAAA KKEGNVTRRLSHRLHLSRALSTSEYVPENLPTIKIGDGDGDGDADGAPDKDAREREWE KRATILAQRNEQTLNRSASISPSPGREQTDPFDMQAVSRSLQAHSTSDGVVSSKALDD DIQEAIRLHEEGQLQQSTAIFGRLADPHGDNNPLSQVLYGLALRHGWGCEPDVAKAVS YLSIAAANAAEVENLALKAGLKKGGAAKGELVLAMFELANCFRQGWGVPKDPVAAKNY YETAANLGDSDAMNEVAWCYLEGFGCKKDKFLAAKYYRLAEKAGNKTLGNTW PFICI_01320 MLPYQDNTIIGTATPTITNEFNSLTDIGWYGSAYRLTTCSTQIF FGKVYEEFRVKWVLLMAVGILEVGSIVSAAASSSAAFIIGRAIAGCGASGILTGVLIA ISTSVPPRWRPICNSTIGGIETIAMVVAPVIGGTLTTRISWRWCFWINLPLGGFTMIV IMALFRNPAHQKVSEDSFLSKIKKLHVSHLIIFTASIVCLLLALEWGGVSLAWTDIKV IALLVIFGSTFGVFVALEMLQKGRGTIPRSVLFDRTAGLCLLYAFCAAAAFNVTDYFL PIWFQAIKGATAEQSGQMLLPSIVGLSIAAISSGFILSYVAYYTPLMLCGSVCMIIGF SFLTDFTPDTGHAAWIGWQVMFSVGLGLAFPQSWSATQAALKPNDIPAGMAAVGFSIS IGGALFISISQNIFANLLQKGLSSVPGLDVDGIISRGATSFLEIVPAPKRDQVLGIYN HAITMTFWASVAVACLGLVAALCMEWKSIKPVNEIIDGEEERI PFICI_01321 MTTTESLSRVLSVKPQKIVTPHRGQMLPNGDEIFQLSDTGGQML SLYIWLLDIFELPDSVDRDKIISNLNKGLERALGDHPELMGTMHFDNVTKRIIIKKPE EATAALHIKDATKATDEKGKLPSYAWYHEHDYPVHRFEVAKLIPAEAASLPMVVAEDL DTPGPVVAAFQATFIEGGVIIGTAISHQVSDGLGVDAFSTTWAAYSKEATTGQSANLE PEILPHSLFTAANKPTSEEWESLRGKFPTMKYNLKPFPPPPADFVPPVVTTRVFHFPK SKLAKLKAECSIGLPVDGIDFISSYDAVAALWWRVQLRARQPWLKFDDQASTSAIHAV NMRTRVEKPISSRYIGCSVAIPRSNPLTVGQVLEGSRETTLPVLARTIRSVTKQVTPE YIQKQMAWGAGSPDQRWTELDMPWVHGLDCMGIGWHDMKPYTMHDYGFGLPTGFRWPQ MAFESFFFMMPSRVGKKGARPDEGMEVTFSAEESCYPRIEADEELLAYCEQRGLGS PFICI_01322 MTTAEEQELLAQINRLEGRISRHKAQHATPTSSYPRSTYRSSPY HRGTHRGSRGHAPTYRNRTLVLNGQNGQSGQARSDSPAIPNASGSWVSRTDRHLQLIN SSVFEKDAQARASAIEETQRQKRLQKEQVEKNRFLNYVQKNGNSMPPLPSNSTPTASK YEITVEGIRFQITKQGSKLVRAPDDKNPPSATPRITTIGGVKFYRTKNGNLVRHGIAK AQRYVPRSGESALLTTTSRLAGGIKKVNIPCKTFSWTGSCIKGPNCRFVHDPSKVAIC RDWLFKNDCPNGDACDLVHENSEQRTPLCLHFANGKCHNDSCPYVHAEHSQTDPVCRD FGFHGYCERGAKCPDRHVFECPDFSNTGVCKSKGCKLLHRERASVLRNKVISSNDEEM ADLSSDEDEGAAPDDIDSDEVEEFIGNDDSDELDLSKDYIGF PFICI_01323 MASRLARTAAGAARLRPALSQRSVPAIASISAQRHASSNVPEKA DPKTKAQSIIDALPGSSLISKSAILSSAAGLSAYAISNEYYVVNEESVVAFCLLSVWG ALIKFGGPMYAEWADAQNKKIKDILNAARTDHTQAVKERIGNVEQMGGVVETTKALFA VSKETAELEAKAYELEQSTALAAEAKAVLDSWVRYEGQVKQRQQKELAESIIAKVTKE LENPKVLQQILQQSVADVERIVSSKAQ PFICI_01324 MSGPPRIVLYAELLSNIRQVSVGCSLSSACATTTEASLSPDGQQ LTVRHEGEECAVQLPGKVSAPTKLPMQTRDSRNLSWRLPLAGAAPMRFIPPSQLDSQT VAWSASELPVGGIIKCRDCQAMVIGEGTINAWKDLPSENWAEMMEFWHCHKPDNADHD HLHGQTESEEHLAGRGYGANSRIAGKAGTGFVDLSSLLFLEKDCANLTPTETNTASKE SSSSTKHGSPVTCSKCSSEIGAIHVETSSVTLYKWQVTISSPESKVDLQPQPTLANCV ASMLASTLSRSGCSKSIILPIGASSSSLSQSGESLLHIWLFNGNISFTSSVVESKKPI PAVKVLYRFVTKAEADRLSDSITSDVQEITLPIPAVRGLRHLLERSNQWLPSGDRLFR EWTVGLLEKWNA PFICI_01325 MASFLSYIPVVNLFVGGNATQWIDIPPVETHNVETDPEKRPRTL KHLLRANHVNHSIIYHDLQYDNHMPHVLSSAYLLGANDKQLHKIYDVAAGELEAWKPS PQEITMVDWRDFLGDRRYQRAYVDFFEDALAMRHNYEWKRVVEEFMFEGDEPLVNGLI GGLGHPLIHLGYAFEMDNQVVAMEALGLAATEYNFLHKYLDDPAYTKASSFKSTSPLE LFHRIANDSRFDGIFKEPTLGNLDVLFEKHEDLVLEYWNAWSLEDPVKQFQDSQEAAV AFLVASVAPGTHSYNFFICHVLTTSHAVRILLPIIPKKFHINLVREWWLLAIAVYVSE LRPKIDRDWVPGDLGGKGWSHVVDRALNGPHSTDAHFVKAVRAMKEAARTWGDVHDRY LASAVRFVDDFQGWTF PFICI_01326 MAPPAKRRKRNVVQSDDEGEHKPKQNTLANYLFSSPDRPSNSAP DAARPLTESPSPVARKAPRPAATDGQAPKPAVKNLQLRSNAQNRAPRKSTSVSPEKTK ARSIRAKLEEKGKTADLKTLFSRQAERAPVASPTKIDDILSDPISDDDGVQDHKASMA SHVAKNAQKRFKESAAPVGGGPLATSQKFLRPPKPTRTVVHDNDQRPWSERFGPVSLE ELAVHKRKVTDVRKWLEDVIAGRLRQRLLILKGAAGTGKTTTVKLLAKDMRCELLEWR NPTSVGGLGFQSASAQFEEFLGRSGKFSQLDLDTESPNLTTAVNKTPGFEENDRKIIL IEEFPNTFTQSSTALQSFRNTVLSYLASNTPSLASFTQPSSREPVTPVVMVISETLLT TTSALADSFTVHRLLGPEITRHPGTAVIEFNSIAPTLLAAALEVIIQKEARKSGRKRT PGPTVLKRLGEIGDIRSAVSSLEFLCLKGDSDTDWGSKITFTKPKRSIKSNVALTKGE EESLELISQREASLGIFHAVGKVMYNKREETPLVGNTDEGRAERLPAFMAHYSRPKRS EVSVDNLIDETGTDTHTFISALHENYPLSCAPTGPDDLSSSVDYMNDCLDYLSDSDLL CPSSDIFFGGRGFGSGGFGGRDSASHVLRQDEITFQVATRGLLLSLPHPVKRIGTSTH RGGGDAHKMFYPTSLKLWREKEELGSVVDLWATKLMRGEEEAGMHDVMNGASAFRKPK SDDSGSWLDKQRPQRPNALRKDTARAQEPAAPPLLSLGSSARNELLLERLPYMAHIVR RKRSAANNYRLKELEKVVSFSGIGGGGDDEAIAEGGDGDEVNGAASGEAWATDKPAED GSPRKKTLGIRQKTKESVVAELPVQSLVISDDDIEDD PFICI_01327 MPSPLSSHSNNNNNNKIQHHVHSLPSQPHSDPNPTVLQQTTTNN TTTPSNSSSNNPRQYSLAPPPSTPHLNNNKKTRAATQLVTLVLPTGNSGSSNVDFSAP SSASSATTSFQQQDRKLPLPADGVAGTSGHRTEDIEMDQMAPVSGHRRRRSTLTSSVG AAAPMGPSHSRGRSIGQSFRKSMDPGADGKISEESAFRPAGEPSKVEPSDDERSFSDE DLHSDEETGLTKKDRKRRRAKKKRNTRLDNRIARQHITDEERKEADQNVARNIAINCV LIGLWYIFSLSISLYNKWMFDANNLNFAFPLFTTSAHMLVQFSLASLVLYFIPSLRPG AGRNSDMGQSRHDSEPKQPLMTNLFYLTRIGPCGAATGLDIGLGNASLKFITLTFYTM CKSSSLAFVLLFAFMFRLETPTWKLVAIIATMTLGVVMMVAGEVEFKLNGFVLVISAA FFSGFRWGLTQILLLRNPATSNPFSSIFYLAPVMFCTLLIIAIPVEGLPQLIEGLKAL SEAWGPVRAPLILIFPGTIAFLMTASEFALLKRSSVVTLSIAGIFKEVVTISAAALVF NDKLTPINIFGLFVTIAAIGCYNWIKFTKIRNEAQVEAHNKHGYQQAESGSSSDGGSE SDEDTGLLAHSEAEDERNMITVDGDIYPDRTAPADEGRPPRTSEHASRA PFICI_01328 MAANEEPKEGSKESSFVHLSDAPTTLQMKDGKAGDKTGSETKQN GNKTSAQKKSSKKNNNKKKQSEDQPASKVQDGLSFAEALKEDDNEPKTKDEKTSDSQV EPQSVNKSPEEKPEEKINGQQTQQTAGHITSTSNGNGIKKQQRRDVSEDRSDDSYPEL DEMTINEIHTHQPPRQHEPYAKIRWAPFNVPAERRLQTLAVLMHCLCIGAFVSVFFAL CANPFAWPLLLVYLMHLLTSTAATDGSLRYRSEWFRSSYIWDLFAGYFPARLHKTHDL PPTRKYIFGYHPHGIISHGAWAAFATEALGFAEKFPGITNSLLTLDSNFRIPFYRDYV LSMGVRSVSKDSIVNILTRGGKNNEGMGRAVTIVIGGARESLEAQPGQMRLILKERKG FIKLAVRTGADLVPVLAFGENEIYDQLRPKEHPLVHKIQMFVLKVWKFTLPFLHGRGI FNYDVGLMPYRHPLNIVVGAPIKVQQYKRIDDQEIDRLHELYVEELMKLWNRYKDEFL PDRKEELEIL PFICI_01329 MSAPWCSSIRASCAPHSLARRVLRQAAPSAFLLRPRFLQRRTLL TLAIESSCDDTCVAILDKPDLTVHSRRRPHHQHGDDGNDSAPVPAAAHLLFNKKVTSD NRAFGGVEPLTAVLSHTSSLAPLVREALQALPEVPAVEIGDYRVWKKMLWVDGVVRRK PDFVSVTRGPGMASNLSVGLNTAKGLAVAWDVPLLGVNHMQAHALTPRLVSALERGAE ELERRQQQQSGTTAPKRPRQREQQEIKEPAFPFLSLLVSGGHTLLVHSRSLTDHRILA QTRNIAIGDMIDKCARIILPASHLAELDDVMYGPALERFAFPDVKSPEDYGYTPPAKR MDEIQIFDSGRGWALTPPIPTSAAMVYDFSGFNGEVERAVRENPDMSLEDRRYLAKHA MRIAFEHLVSRLLFALKDRSQFGDIKTIVAAGGVASNKYLRHIMKSMLEVRGHGHLVI NAPPPALCTDNAAMIAWTGMEMYEAGWRSELNILAARKWPIDPEAQGGGILGEPGWVN VNKV PFICI_01330 MDTLVARYSRPAAFTRETYAEDHQDELHDDTTPSLSLKFAMPPV AQPSAWLRAATDDRANPQCPIKIAHGTTTLAFRFQGGIIVATDSRATAGNWIASQTVK KVIEINDKMLGTMAGGAADCQYWLAWLGMQCRLHELRHKRRISVAAASKILANLVYQY KGMGLSMGTMCAGVTKEEGPALYYIDSDGTRLAGNLFCVGSGQTFAYGVLDAEYRYDL TDEEALALGSRSILAATHRDAYSGGFINLYHVKESGWVKHGFNDTNPIFWNTKLESGE FSNVTSDFVGDRV PFICI_01331 MSSNTSRVGVIGAQPSTTLEGNGLARQDPGIDTLSDETKNSNVK NQIHHDHEDKDIEAAAATKHEHVEELLKTTTLDTVHHDEAIRVLDAYAGDRTWTEQEE KKLVRKIDRQLLSIVVTTYGLQYYDKAMLAQAALFGLIQDLDLGIGMRYSFSSSIFYL GFICGAYPAIAMAQRYPIERVMFGIVLLWGICLTTTAGCTTYQGLYAQRFFLGLLESG VSPAWMLVVGGWYKKQEQAFRMGAWYCATGYVSIVAPLINYGLGHISGSLSPWRYMYI VAGLITVVWSFVILFLLPPDPTRAKRLSERATVARMRTNNSGVRNTHFKKEQFVELLA DVKFWLAFAMAFLLLVVNGPISTFTPIIISDLGFSGLESLLLVMPAGAVIGTIELMVP FVAMKFANLRCYLVAITASFSLLACLLLWQLPISAIGGRLFAVYILASYGGGYAVLMS LQIANTAGYTKRSLGSSGIFVGYCLGNFLGPLVFKANEAPHYTTGWITTVATTASAIA LALVYRLVCAWENRRRDKTGEMEAFEHAYEDDLTDMKNPQFRYTL PFICI_01332 MATATSTDMSDMSMTMTSAMSTSTSSMDMDMSSSSLMTSSEMAM VFFQAVTTPLFASAWTPTGNGSYAGTCIFLIVLAALHRVLHAVKSIVFDARWHGRQRL MSEDEASGKERADTPARQLQSEWNSHPFRVATETARALTEVVVGGIGYLL PFICI_01333 MWLDQPVTLHGSREDECELTAEQSDWVYALGTIYFFVATIAVCT FGFWALRLAPKSLRAKKPWQKLISSFRFLAYRHSNSRALYSYLPSLGVGILLAIGAVF FFAMTLGPQPYYWPNTRTLTYAGSPPIATRAGWMALACLPFVVILPTKANTIASFTGV SHDRLIVFHNWVGWAMFVLALIHTFPFIIYHKWKGDLDMQWTMSIFYWSGTAALICQA YLQFMSISSIRNRYYEVFKVTHFAAAILFILFLFFHCDYTLSSWDYFIAAGVLYTLSW LYSQTRTYFEHGISCKATFEMVSDVCMKITISIDAKWTTAQHVFLRFITLNTHSLTAH PFTICSIPTPDKVPGKTKMVFYVQPRGGTTGRLAKIAANQPGYTVPVLIDGPYGGVQN RPLTEYNRSIVVTCGSGAALSLGTAMDVIVKSIPSSREKSDHRMHIVIATRDRSLVQW FEDALLAFMEETRTSWPQDQLEISIYQTGFIDSTRTSSDEEKGDSNKVTSIAQGKLPI TVYSGRPNISALVREATLESNSTVGILACGPAGVLQEVQSEAAAAQLRILKSEAGARE VYLHSELFS PFICI_01334 MSARHDIAIKPAELLGILHIPIRGLEAAAKTKVFYTRKRMDKNG RMVEQRCEAGVEVDRTPCSVQVQFEVPLDHRTTRHHDFEHLNIVLTSTFVFGGLETGS IKTVEELQSQLKQRENITVFLCGGPGDYNPAERNPLFNVEYLKNDGCLIFLDYRGTGS SHEIRDRSKPARHVEEVQLQQRYINLLRPEPWVRKADKKPPRNETEVRAMANLLSLFR QDSIVYDLESIRQCLFGPGSTRKWNIFGQSYGGWVSLTYLSQYPRSLASSTITAGLAP ITASPDEAYSKLFQVVKERNDAYYRQYPDDIHRVKRIVEYLLDYETNRNPGVGLEIQN GRLTARRFLCIGRGLGARDRFSRLHDLIERMYEDLEESGDLDRRTLDLYCSSEDSWGF DRRPLYAVLHEAMYCRGAASNWSAQRVARSMPEFSWAGVGREISELLLGSQCKQESDE YKIYFSGEMVYPFMFEDYDALVPLAGVAEALARHVWVDPYNDEALHHNQVPVYALSYE SDMHVNTELAKATAGVIGKNADKDARVVPIRHKILTKIPGNGRDFQHASLRSDVEAVL RELKDLIKGSE PFICI_01335 MPYPPTSGAANSSSLPPRPPPSKVSGFKPAFSAASTHTPSPVSG SPYTPPAYSTAPSYSNPPSYAGAPGASTAPYGGGRGQPYANYPQQGAVNYNRGYQQSG YQQGPYGSAAPADSSSYAGAPQIRNPFPAPGQPQQLQQQQQQHQQNSDYDPDMAAQIA QWQSAYVKDPRDTAGAVARPGAPTTTYSANPTTVTAPAVPVEGEKKKTVVRQGGGKKW TDDSLLEWDPAHLRLFVGNLAGETTDESLHKAFSRWKSLQKARVVRDKVTSKSKGFGF VSFSDPDDFFQAAKEMNGKYIQSHPVVVRKSTTEIKPHTVKDKRHGGKWNNKNNNRNR SGNGSGGREGSFEPSLGPASGGVVKPGQKTKNGLKLLG PFICI_01336 MADHGFTVCAYNRTVSKVDRFLDNEAKGKSIVGAHSNEEFISKL KKPRRVMLLVQAGKAVDDWIETLLPLLEKGDIIIDGGNSHFPDSNRRTQYLAGKGLRF VGSGVSGGEEGARYGPSIMPGGDEAAWPYIQDIFQSISAKSDNEACCEWVGDEGAGHY VKMVHNGIEYGDMQLICEAYDIMKRGLGLSNKEIGDIFAQWNKGVLDSFLIEITRDIM YFNDDDGTPLVEKILDQAGQKGTGKWTAVNALDLGQPVTLIAESVLARCLSALKEERT KASTILEYRGRVSKFEGDKAQFLEDLEQALYASKIISYAQGFMLMQDAAKEYGWKLNK PSIALMWRGGCIIRSVFLKDITAAYRNNPDLENLLFDDFFNKAIHKAQPGWRDVISKA ALLGIPTPCFSTALSWFDGYRTKDLPANLLQAQRDYFGAHTFQIKPEFASDKYPVGAY THVNWTGRGGNVSASTYQA PFICI_01337 MATMSDPSHGIHRDLLPHVHLLSTFRYTQKPRIEVQEVTQMLMN APSVARDKAPFFWTYLDKPDNGTTMLTWQPLQLMGTNFASDGYIWPPSEQYFTQDMGN GIILEMWFHKAGYAYGEPMASHSRRRFRLCPSNAMNPNAPQIDPSLWIVHYGPIDNNE RIPVNMLPRDPRLQTIMEARNYLQRSGQIQRKDFMLSDRVNWPQITWPRERQPMYPAN RPVPQAMAYPPHPQAGAPPSKRARTGQAGNQAPVGLTPDDDDEDYSRGDVFDHLTPRE ISLHRYQQNHEWMEEILSSPYRMGQIGFANLGLGLKGGLGALTNGIFEAQGIDALSKP SQSAGSHLDKDQAAEFRKRVSEHIESTNDEIAKMKADHEKRLAKFKKNSVLTAAEKEL RTAVDDVAPGPLSLDRPEENEEDAPRWTSKHSKKVEEIVSQVEAQLGRRAEVIYDLKR IQDGGYQEPAPEPIPEPVPEPSAGGDSEDVDMGGTAGGLLDQMQTGGASSTSTPGNAN NFPTPQGFSAAQSGSGTPANANVPTTAPAATAPATEAPEAPKQEQDVDMGGTDIEPSA AAAVEHSSGADDWVVVPKGGVSPGGTAPPPPPPAAAAVAAAPPTTATPTAPSNDSPAP KPPSRPASKQASASGTPAAGSVGYDANDFGSLGDLDSAGDALASFSDTPADMGGDFME DSAFGDAFHGVEAEGDDNSGNTPAGDANL PFICI_01338 MKSTCFSIVLATIGARLTLANNYPRQNNGTEGLRRLPGAQGVAV DGSNGAASPGLKPIPGSAVQVESLQPLIPLSSTGLVNTGAAGQSISVTLTSAEGAGAA TLISSVVLTSASVSSSRL PFICI_01339 MKQSEVVSAAPKGFFTIPNAAAGATSLSSAVENSATSVLTAPSA TTTASAQGFQPIALSSSASLATSALESSVSSALTSALASVLTSDLSQTLATSELLSNN ATAALTPLGSAGGAATATATATAVNSPLQPLPGSSVAATASLQPLNGAEGSASTTTAA GLEPLSNAAADATSTSLKPLGGTEAATSLQPLSSITGSAQSAATTFFQSGRAGASGTV TATNGFNSAVASSVLTAKAATNVMLPWMLSVVLAGITACLLP PFICI_01340 MTDLTIRNLTATPLELKVVEHFGGEPRNGNFKSRITGIFNSTST SPNGDGDPKERRDVSIPIPCFETRKTDQVKAPGKDELLRLTFESEGHRYQVDIPSFSR RSTVLKKLDDGPREYTAVFVVAAGCLAIFSSANLASWMRELRDDWPLAALSIPGTHNS PTCHVALPSVRCQAVNVREQLDNGVRFFDIRVQTNPDNSDLILVHSAFPISLTGSKYF QDMLNDIYAFLDANRSETLVMSIKREGTGRGTDQQLSKYLRERYCSDGNKWFTEPRLP HLGEARGKIVLFRRYGNDASLDQEHGGRGFGLDASDWPDNCANELSGGPHIARVQDFY EITQGHNISKKVDLARAHLERAGEPICPPAADRPEYTPFFINFLSASNFFNANCWPEK IAAKVNPAIIEYLCTAHAEQGKGPNNLGIGDGCTGIVVTDWVGQAGDWDLIRCIVGWN ARLQLKQ PFICI_01341 MNLLQLFASSHLMWLVRSATYASICPRTPLQAQLSDFSGRDAFP LNLGAAYKPTIGGGQQPLDWKWQGPHTCIGKYCVWSSETSSGDGLSIITTSANSMLVQ NMTTSMGSTFTSPALRTVQIANKGVGMIAVEPIRRGERILAAEPVVLVHRSLIDELTD ASQHDLLEMAMKHLPEAKRRQFMAQAGEFGGHRIKDIMFTNSFQINLGGHDGQHFGNF PVVSRFNHDCRPNVAFYIDGNLTHHTHAIRDIEPGEELTISYLDSFRARDVRQQRAHA SWGFACTCSQCSLSKDEADISDARLYSIYKEENLLADLGNSQVTPTMIEHLIELYEQE RLDFKIADVYTLAALNYNILGMAEMAQKYAQLSIEQGVMEHGPEAPDIEAMRLLLANP RGHWTYNRRV PFICI_01342 MAEENQWILDALDGQSPYLPTWFPNAQQRRQRNCTRKDQANISS IVNRRPPRTNGSTLLNGTVAALYPILQPILVRVGTMLSDSPDFVFVLSLVAIFFAVLQ TLLWVHRIMMFWTRLLGRLLLWSLVAAVLAMAWQRGPEAVIRDAVVFVSKAAGYAALV KDIWLAEYNKYDAQTKNSGRKVGAGRRGGK PFICI_01343 MSKFGVMVMGPAGAGKSTFCASLITHLNLNRRSCFYVNLDPAAE SFEHQPDLDIKDLISLEDVMEEMGLGPNGGLIYCFEFLMENLDFLTEGLDSLTEEYLI IFDMPGQIELYTHVPILPALARFLTKTGNLDIRLCAAYLLEATFVVDRAKFFSGTLSA MSAMIMLEVPHINILSKMDLVKDQVRKKDLKRFVTPDPLLLDDDPAEVARRKAEGGTV DDDAMADPQDRDAVMKGASFRRLNRAVAGLIENFSMVSYLKLNVQDEDSVGAILSYID DCIQFHEAQEPKELKDEQYEDQELDF PFICI_01344 MPATNGTSNGDAFAIHNEGAFLFTSESVGEGHPDKIADQVSDSI LDACLAEDPLSKVACETATKTGMVMVFGEITTKASLDYQKVVRAAIKDIGYDDSAKGF DYKTCNLLVAIEQQSPDIAQGLHYDQALEALGAGDQGLMFGYATDETPEMHPLSHLLA HKLNKAMSDARRDGSLPWLRPDTKTQVTMEYKHDGGAVVPLRVHTIVVSAQHSEDITT EQLRKEITEKICKKVIPAQYLDEKTVYHIQPSGLFIIGGPQGDAGLTGRKIIVDTYGG WGAHGGGAFSGKDFSKVDRSAAYLARWIAKSLVNAKLCRRALVQLSYAIGIAEPCSVY VDSYGTSEKSAQELVDIVRANFDMRPGVIVKQLDLAKPIYYQTAKFGHFGTNQSFTWE QPKELKF PFICI_01345 MLLPSALSCDSSQRPNTRLSSPLFSSPPASPPINAYSGINSIMT SCRALHSMLAPAPVIISGTDEDNIPRRAAQLPTPPLAHATIPSPMKLRLRARKPDTSP EMMGRKKIVKRAPPPRGPNKRRRSDDDDMGRDDDIDSDLDIHESDSADEGSHSGPRTP KRARIAPEIVPLGLVRSDFHNLHKANTDSEQTQSDEEVEVEADGERWSTEEDRMLVEL ILEKLKLSKNDWQDCARSLGKDRSSIGRRWKSLMVNGDVGLKSRSRRGKIHGTWR PFICI_01346 MDMKNELVVNDDALMEDEAVNDKDDVAAEGQEKPLRGNATRPSS EILVIIVITMAGCIWV PFICI_01347 MSSGEQFKSGFLPNPTDQSFPGKEQQLPVEAVYDQLPDFKGGFQ DYKGAGKLQGKKALITGGDSGIGRATAILFALEGADSVIAYLPDEQKDAEDTKRLVER KGGKCHLFSTDLTGQKNCEQLISEALHAMGGIDILFNNAAYQMMKDDISQISEEQWLH TFNTNIHPMFYLSKYALPHMKPGSTIINNASINAYIGRPDLLDYTSTKGAIVSFTRGL SNQFVSKGIRVNAVAPGPVWTPLIPATMTEEAQKQFTSPMGRPAQPSEIASCVVFLAS ADSSVISGQTIHCNGGTVVNG PFICI_01348 MAQNIGFDVNPGGTRLVIGQIVFLVLAWIVCLLRLYVKAFMQKR VLSDDWFMFASLAVYTAYGAIAIHGVAAGGTGKHTEELTPSGIEVALRAWYFCEVLYA PLSALIRTSIAIFILRLATKPWQKWTIWINITVIWLISIVYFFLMALQCLPSNYFWQG PIAVPEVRGSCMDHNVVPIATIVHSIVSAVSDWTLGLLPIAMLWKVNINRRTKISIAV LLGMGLVAGIALFIRIPYVKRIAISADFLFDTVSLAAWSVIEPSLGIMAGSIAAIRPL FKTWGFGLSRSRRSGIGSGKRVVNKWRASRSLRKLPQPGNSGIGSGQASGPRGHLASG STTSEQALKNYEAISSRRHTLEAYEMADRDVEFGLGSEDQRPRPGSWTPNL PFICI_01349 MKPVVGSMQAWSCVVISAFAILILSVIGSLFRSGHHEFLGSNDD PDPKVAGEIASTIFIAVVVYAGFLIFCGFQGMLHVRESRRGAIAL PFICI_01350 MRTFITALLAFWVGVTLAQNLTTGALGNATVVTNNPVGQSFVGT LPKDAFFTAGSLDGNVQGSITVKTGANGVGVDYVVSFSNLPKEGGPFPYHIHQSAVPS NGNCTATLAHLDPFVRGEDPVCNAEFPQTCQVGDLSGKYGKITSDPFEATFHDEFGSL NIGSNASIQDRSFVVHFANKTRITCANFAVSGYGNGTTNMTTSIAPTYSATGTGGVLP TTASTAAGTSGSASPTTTPIISGAAIIDSGLASAAMVAIAVLFTLF PFICI_01351 MEDGYNPEVEALRQTEYPHMNNGIYLDHSGATIYAKSTVEQFTQ KMISNLYGNPHSENLPAQCSGTVVDEVRAKTLRFLGADPKHFDLVFVANATAAIKLVA ESFRDLAEKSRDGRFWYGYHKDSHTSLVGVREYTHGRHHFFESDGEVEEWLRSPPPSS SRFAVRREADRRLGLFAYPGQSNMSGKRLPVSWAGSIRRARHLKNTYTLFDAAALAMT SPMEYVFRDPDNAPDFTCLSLYKIFGFPDIGALVIRRGSGHILALRKYFGGGNTMLGQ SWHKSKGLDASAYKLHEGLEDGTLPFHSILALGEAIDVHERLFHSMAKISLHTTCLAH YLFDALSRLQHWNGVPVCRIYSDDVSAFGDPSRQGSVIAFNVVDADEEYIPYSDVEAR ANNAGIYIRSGGVCNPGGIFAALGYEPWMTERALSAGHHCGSQGIDIINRLPTGIVRV SLGAMSTRQDVDTFLDFMQKTFVEQESENGLWADSPRSTVCSAMCSSVHAVVAAAAEG AAVDKDLTGNAGYSTMHHKSFPDVRELPRPRPLFWTTPLPVS PFICI_01352 MTDMMSYQYPPPPQNGGDLDLPHSGYLSNYQTSAASSLEVRNQQ VPAVHLTQPVLPAQGSTMSLAQRRDLNKALKLRRPETTPNVRTQGTNESAHGQFGSAG EKKRNKLGYHRTSVACTHCRRRKIRCIPYVNDPQGRCQNCIRLKKECTFHSVGQDIPP SNTQRPGVRTGPGPKIASASTSPVATTGHQSAISPNQPYPQITSVSSHMGPPIKPESF SRDAKLSTSTPTTRNFQYSHNANSWVSTDTGANPAKTPDMNASWRSYTQDSPVTPGFS SYTTHPHTTSPWPTGAMGTPVSNDSTSRHDEIAWSPYPTPGRSLSYGGGENSQNYAPV PSHVAAAGSAPNSVYDRRSSMAAAASDMYPPGAAGGIATTIPNVESSIPGTALDPSGS LSAGAVPTQAGYGAPWQAQPYTYSKTGDGYEGWYSTSGQTPTQVPSNTDHSPQSVYYA SR PFICI_01353 MFHAHPEDHLHLRMHRARSIVLTSDELVEIRAAQRTFEGAYMRT ALSQFSFALIILKIFTSEFYAIGALFSLYGAGIMLVAIYRRYQGNQQFFTMTDDVTGQ VQKKFRTSGNSVLMLTAISLCAYVALLVLTWRLEA PFICI_01354 MSSAYSPYATVSPASLHDHKDDRELGPHYNDTGYTQNNHIAPTD HQQLLSPYSSHMRSNSASPSNTSLTPADSTTSLYVVASEFGESDWSNDDPFFGANFDI DRGTPSFLEEQSPFNSESQTSWKAPPTSDPSYGQPDAPSPQGPDSIASNTSVPHLTPD TNAEGWSNAESPALAAMSTTSPRVTVSVWGKENEQPLHAMERPLTSEASSPHQFRSLH EDALSVETLHGSAPSVSRDQQGGWLADSETGQRGLAPENRSAEETTSVNQLAATREVD AKSQLVESWVSNTADQAQPPIAENTTGQTATEDDNIPMGHWTVNTHKEDQVYYNGDFT NDQRGDGPSNGSGRIGLADLDLLPARNWENSPMVQPISDINSRRNQPETSAAAMAKFE RMCHDNDSFVSYAATWGTRRRSMPSVVDTEGVISGNFFKKLALKGDGTSSRRPSLFKE ISTLVRRPSQSVLKRKGTNGEEPISEDSSSSNRRESRDSLVPPARSGSWGIRSRQTPS LNTALVGMAAGAASIGSSTAHTRNGSMSATNTVPPRSPSFLQAKIPIMRPRSRTEAPK PPPYPNNLVGMLMKNGGPPVAQLAKSQMPHDADEDDEDDEAFEDLDIKTDFSKSEDIT PTLDGFRQHILKLNPFLATSNQYLVDRIAHQMVVRYKNLQNQKIKHLRAAHSGHCNSG AFCVQREGMVRPLESKSGVRDTDPVSAQADSSDGDANPHEGTINTETFPTGIPMPPTS ILPAEFECRICFSFRKFIKPSDWTKHVHEDVQPFTCTWDHCREPKMFKRKADWVRHEN EGHRHLEWWTCDVDECKHTCYRRDNFLQHLVREHKFAEPKVKTKAAVKKSGQTDLTWQ KVEECHAETPKQPQEESCSFCGKTFPSWKKLTVHLAKHMEQISLPVLRLVSTKDLNQD TIISPVQDPPPRSFPAPTTPVKIEEPVFTSQRPHAVSNPIDYNPHGNLGFQAMAQQNN LSHFYNQGQANPQFGGMGQAASSGLMMPQGPAGYPQTQYSVMPVTTGGQFGNAYVAMQ NQAEPFPAFNNDALGLQYTNGQMPYDNMAPTVMPADQSSYHGSVSPYSRSPHPGNNGF YMQ PFICI_01355 MPRSGWTTWRAAALASMFAVGALGDQILTTSSFTNCNASSDIVV NNVDISYNQDQKSVTFDVSGTSNKVQNVTATLKVTAYGQDVYTNSFNPCDAANYVEQL CPVPAGTFSAKGDQAIPSEYANKIPAIAFSVPDIAAMAQMSLTSLDDGTEVGCVQSEV TNGKTASVAAVSYIMAGVAGVALVAGGVSAVGAAMSGAGAGAGGAAAAPSPSFGEVIG VFQGFATNAMLSVNYPKVYKSFAKNFAFSTGVIPWASMERSIDSFRNVTGGNLTEANF DYLQNATLVYSDGSTGTADSTLFRRALDEFALSMRALETSVNATSTSNETSTSTESSF RQAVSGIAGYYEELAVPKANTYMTVLLIVAILIAVIIVGILLVKVILEAWALFGSFPK SWTGFRKHYWQSIARTVTHLILLLYGIWVLISLYQFTEGDSWAAKVLAGVTLAIFTAT LSFFAYKIWSTARKLKNAEGDFSGLYENKSLWMKYSLFYDSYKKDYWWIFIPVIVYLF AKGASTTLLDGRGMAQTVTSLVIEALMLGLLLWSRPFERKSGNVVNIMIQVVRVLSVA CILIFVEEFAIAETTQTVAGVALIAVQAALAGILAILIIYNAIVVCVKANPHRKRRKE MEKMQRDMDTLTPLDARNSLLLDPIRKDEPGATTFSLGDHVHESKQPLTRGQSPERYM GAEPANPYSLSSYNRPLTSGQPYAADQSHEQLLGSAAPMGREPTVPNVGGYGGYRRPG GY PFICI_01356 MRRCLVAPLGFVRRYSQASSGQQPHTTPLEYFSLIVKGKKTDPA IVTEELRKFVKTRTQSGHWKQAWIAGSGKSRSALVLLASKDMAKCLESHEFMSTFLET VAPAEESHRSATIDVLAGLTDGLQPNELGARQQSGLSVLYGPESILPRIWEQNGDADG RDVDRAASISVSAAYPDQPFNSHITIPMANTIFQNGRRSTLFATRYERSASSGRFEAT LMQDKAHQDIEVVTDGGPRFTPQLPLIPLTPPREIVAGLGNIVRQVLVDGRPQPASKE LEDLIPRFLAQRQKSHAVGVWAVVVPPHVVKRDNAFASIQPYSEHYENFESEKKMVEM NWECLSRIGKHGLRIHKILSGGGGWGVKQGLLSLDPETSYSAPEQEGIDDFIRAFEAQ YEMKLDSNKALADQDQTTTTTSSSSSDIVSPGSYLIFCAQADVAGEDLDGVSTSESRG WNFGVAERHEDAWDRQVEEVVEEDAGPAVSAVPEAFTAMSAEGVYLNLGTPGEAKEHA AISTFPYTTKIDVPHAIISH PFICI_01357 MVYDRAGRSEGLAYVTYEEHQDAKEAVREFDGANAKGQPIRLTI LPSGPRRNPFDSAQMPGRSLADRITQPSDRSRSYSPDMDRGIDRYVPSGGRRSRSPIP RRRGQGGRRPGQRREEGGQTQRDGGERKGRGGRPKKTQEELDAEMADYFGPGGAANDA PAAAPATEAPAGGDDVDMIE PFICI_01358 MSSKVEQLLSSAESQDIFKSPDLVKVTHKKPYGEVSPTRPELSQ AGRTVLITGGNSGIGYAAALAFGQAGASRVIITGRRAEATTEAAAMLSSQVSSDGNNN TQFIGVTNDIADPAAIESLWNDLEARSISVDVLVLNAARFSEAQGLLERGIEAVWGDY NVNVRAQLHMAEKFYKQRAVATTGKTQKFLVMVSSAAIHLWAANDPYPSYGLTKNAGS LAMQLIAREADPEQMQIVSYHPGAVFTDAAKRAGAKEDTLDYTDVNLSGQFAVWAASK QANFLHGRYVWAEWDVNELKNLRERLEKDDNLLRIGVHGFE PFICI_01359 MHTPWRRACTACTKAKRQCTKQTPACRRCIQRGADCHYPPPRRP APLLLPQSLSSSALVADATDTGELLAASLLDEDISFSCNLPDLDSTIPNLVSDDLGRG QQTLVDITPARKPESSVLPWFLHPSSWKRERLLEQHEEMLASSQQLYVDTIRNWLFDW VSGSSPAPVLSSSSSTSTDSPATNPLIHPELYRREMPRIIQDAYTAITTYQYRTPANT ETALRILEARTDQLIQEQAVREAMGDPPRGTLEHLARVHALLAYQVLGLFDGNVRARA RADGLVDTLFAWCDAMWTSAKADQENKIKKGRCCGCRHDFASSSSSSSSSSPPGLCCH RHDHPDQDQDQEAHGNLDDDYDDNSLWHTFIHVESVRRALLTAQIVQNIYLMQKTGWS SCAGGVVLTMRRGVWSARSAYAWKKRLALAAPPPSLATTAATMNTRDPLLGLLTTRLD DALEGAGPGDVDDFGLALLGVHFGEERLERWMDEKGAMDSSLSDPSRWL PFICI_01360 MADIESLTILGAVTNPCSLPYYSSLSSLIATDPLTQYLCTEKFD ISVPTTTTTIGFGATTTVTIITTATTTSTPVSTSTDRESETVTETADPTTSTVTTYSS ITSTETDFAVETDSTTTTLVENTAVSVCASTASTAAAATEKKRRDHTRGGCDGDKKAS RSRPVAGLKPTPYVQDGSGSDSGFPSGPSYGSDGGSDAHGGQLPAYAGGSGGGHGFED SYNGKASHQGSYDESSGGDRGGSGYDGHESGQGASHNGNNGDYDLGGSNSNYKGNGGN EPVQASPYYGDSWPETTLDPFYTDSGGLSPYEPWYSTETTAFTNPTETSVPTFGSTTP TSTPTGTSKSTDEPTLDSIICSCIATTVTSTVTSTGLTSTETDTDTAIITAPTSTTTT TQTVKSTTTETPTTTQTLTSSTIVASTTITQFTSTTTSTAITTSTNTGTVTVSQGIYT SPAPGGDGCGCTYEVTCGQGYEGPETSDLVTSDYQDCIDYCNKSIYCESFAFDLVSGT CYLYIGDGGFGGTTTVSFPYAVQGTSVACSDPTSPDCNS PFICI_01361 MSPGSHNGDTNGVVGHVRFISLEYDGNDSDASALQLVTELRPEW KEPGSKIEFVRFTEGITNTLLKAVNKKEGLSKEEVDNDAVLLRAYGNGTQVLIDRQRE TENHELLMQYGLAPALLARFKNGMMYRYIRGKATLPEDLRKPSIYLAVAKRLAEWHAR VPCLAQVPVHANGNGTHGATNGNGVTKHFVAEEVAPGKPPPNVWTVMQKWLLALPTET EAQRERQNKLQEELRRMITDLSQRPGLGKNGLVFAHCDLLSGNVIVVPKGATNKHDTV ETVNFIDYEYATPSPAAFDLANHFAEWGGFDCDFSVLPTRAQRLEFIKAYIHAYFELL PADVKPAEVDEEKEVAKLFEEVDVFRGLPGFYWGIWSLIQAQISQIDFDYANYAEIRF GEYWAWRDEVTGSRASEGREMPLRERRWAQPE PFICI_01362 MAADLSSRETRHDRRGSRGLDNSVASVFDPALDHTIRQLFEQQA DIQAKLAALLPAKYVPNSHLERNMLRLKLMALEIYAQNQNLSTTAPILSEVEEARTLQ YKCECIESLLIDRGVDIHDPKLLETLKSYVKDDAPLGFGAWIDKNISHHDPVFRSWRM RESLPLISRNQASYKCWDDRCVNYIYGFSSPDDRDRHLREHRSPVRGDSGISVGSVVP MAFQDPVPVRSFVSDQLHKSPPVALPRLTVPATLPPLAAVNQPRDRRESLAGFGLVHE LPIQQRGSVDSEVDPLLPPLKRSRVGYGRLESIGELRLPRESGPCLRCKVQAKPCDSQ DNCHFCLEPPMSPNEDFWHVLGCQRTSLAALADHMLPELLSPRQVQTPITSPMARRRT MNDYLERTYIIGPAMAQVAKTHLDFNDGFWWTEDLATLPTANPTAAAFAKDPIDGSPP VLKVLAASWNVEGVAFQFWNIFKLSGYLSRNREAERQDFPVLYRAKLLLREILFYDLQ QPEPSIRTDVNVSNAQLLPDDMDYDSRNRLLYNCMTQFLQSFESSTLRRVPSDPKSWL ATFFSLCIFSIIRTILADLVSTSSRNDAASSLTGQPFSNGALAMHSVYKVLVSVFAWS SPMMLDELPQDIDGSDHSLLISTNDTLRRELWGTWGINSTRDFLLSLGSGYLADNSGF NGFFRQRTPVYRTVSYQPVIVPGQTAEALMKPTPEWQSIEAWGPRNEIELAPTVFGVE SARRHTVGESPAFTRAISRGLASPTKLRTSYQRPPLRRVFCNKCNEYPEGFRGEHELR RHNDAKHAALVKRWVCTEPQNHSAGALQPAVPLVKCKACVTQKRYGAYYNAAAHLRRA HFNPHRGGKASGDWPPMTILKDWMREVRQSVDVNENNDDSSGDEDNDIRMIEEYAMPR QPSFSEAPRLAPAPLPQGPGQLIAPSIGSSIAPSSLESTMHSSPITPKIEDNRNRCPH PDCGRVFKDLAAHMLTHQEERPEKCPIETCEYHIKGFARKYDKNRHALTHYKGTMVCP FCPGPGTAYEKAFNRADVFKRHLTAVHNVEQTPPNSRKMIMTGGGSSRAGDARCSICQ ARFSTAQEFYEHLDDCVLNVIVVPTTPKPSRESASVSPHKTESKDTSPPPTTSEEQSE IIVKTTAEYNADEVKDIAASASELAERGGNEDKMELDSDQGAE PFICI_01363 MASETVTSAPAIDWKDFDDKHVFDETHTKAVAGECENFVLEFGP NNARVVRDLKQDHFKAMLGGHQRDAKYPIRWINIWETSRSKDIVEVIGDKYAFSKRLK SLMKNDKYEQEVTKQGRKKKSAASGNKNPSTLNLEGPVVQGKQDASPLPQGEEIELFL LLKNTVNYFSIDHTEKGTYYSTNFLPPKHWLWLVLCDDHTVITLNESPDLEAAPKELD AGRWKQDQLRNMRQNSLDVLIQQSTHGMSLYENLPLSQNSIRQAWSDALQRSGKAELG RVNSDFAPIESNPTSTPEDDATSSLFYYLFEDYSAAGPLKEAGRILEDLSPKVLGSAE RKSRVKSRDIIKPLHLLSKDLRTLKHLFENYMIVIGKIITATRRPGSAPSLDHLGTSI LINSESNLSESMLMGADPQRKVFLTASALQRFDRLRDRLKGVMLNTIEGHFEEINALQ GTYFNLTQQKDSTATARLTRSATLLAKLSVFFLPVGFITAFFSIQIEDMYIQWTASTY WITFGITIGVSFLSLTFFGRMLMFFSDVLDEWSDAFSGRIRSVWGGGNDDDDDE PFICI_01364 MPSQEVMSNPAAAAAAAADITVISTSASVEQSNYADGAHLLYQF TGVYTRAEVRRQGLGQALSKVAAEYAHTDARRRGGRCRLALDVYTTNTAAIAFYQKCG FVVGGPRPVDEDTDDARPEVVMYYRDTASGIEKTGNDAG PFICI_01365 MHFSTVALVSIAAVAVSAQQYVPHHAAMAERSEIFIRANHKGSK SNDTAATATESGAATATETGSSGKGGGKNHGGSKNNSTGEATGGSDNNSGSNSGSGKN STTGGTSGSGSGSSNGGKNSGSNKGNSTSGGTGSGSASSSAARAGASSTSTSTPVVQG AAARSEILLSNSGGLVTIAGVGIAFALFM PFICI_01366 MYSRSTSGPSQIHRRFAGSSDRVIASSPPIPRRGPTSASSTSAS RTQGYSVSSRTRTSKAASPSGSSSAPRSAIYSPQLPPHPLRQRLSQRPAPPRGASTTP GSSVVDGDDDADRVLEGDHLDADALGEIIMAIDMNKTGNLGCAYYIAAEEKLLLLEDV PMAGPEIVDTLLLNAEPTTILTPSRASETLLCILRKGAQGVERSDGGGANFGSYVLRS LTTSEFKYESAKEKLLGLYIESREEQGMIFASVVDEQADDQHDQHGSMLGSLMRLGTS INLESQLSIGCAGAVLSDLNRRRTAEYLPGDLDATIAFRIKSIEMFKLFDSMFVSADT LASLQILRSEFHPNSQMRGPDGSSSGAKESLSVYGLFHYLACTPQGKSKLRQIFLRPS IDLDFIKSRQLAISFLLRSDNSDLIKRLSKELRKIKNMRTTIAFLQKGVDLPGKKASM ASNVWLTIQRFAHNALNIQSTLAEMQGSAMVEVVTKVLRTIQSRPLHHIGELITRVVD FEQSRERKRTAVKHGVDANLDELKRSYHGMEHFLTEVNIKLRADIPEWAQNYVQGCVF YPQLGFLTVVAVNPENGLPRYEGQNAQDIWKCIFTDDNVVYCKNPRMNEMDHHFGDAY CMIIDREIEILHQLSAQVLDHESAILAASDIIGELDSLLALAVGSRKYNWTAPMMTNE NVLHIEGGRHPLQELVVPSFIANDCHMTGGSERLDEEVAQRESMVSQGVPSTLILTGP NHSGKSVYLKQVALIVYLAHIGCYVPAEKAIIGITDRILTRIATRESVSRNESAFAID LRQAAFAINFASRRSLILVDEFGKGTNTVDGAGLMAALLHHFSALGSERPKLLAATHF HEIFEAGCLRESVELAFAHMEVHVDFDTPVEEDQVTYLFNLKAGRSVSSFGSRCAAMN GIDQAIVDRAEAIMLLLVRGEDLEAACSKLSEEEEYKLQKAEDIARAFLEQDIEVPSR KGKRREGHYRNILRGILAASEESSMLSFA PFICI_01367 MTLISKTTTTFGLILLAHACYSAHEHSALQAHRAASLASLTASQ AGILTSLPIDIAIETVVATFVVVFGLVLNTAPLRPIRWRVWAGQIEREGEQAFKNANG EVAKDFVGNPFKLLESRPGFVNIRKQREEFAEWVKTQGQ PFICI_01368 MSSSSTSKEKTLQNDDEHTKTLQELEHALAAWKEYVFEAEYNIY FGAFDQHARLRGEGAKITPVVEAQAKLSGPFKNLDEQSNEWEEEVKRRIAHEEWEENG RKVSWKSKGVDGCDQFLDKYCPPTR PFICI_01369 MPSATGQNWEKYQKNFADDEVEEKKITPLTDEDIQVLKTYGAAP YGSALKNLEKQIKEKQQSVDEKIGVKESDTGLAPPHLWDTAADRQRMAEEQPLQVARC TKIIADEKDESKSKYVINVKQIAKFVVQLGERVSPTDIEEGMRVGVDRNKYQIMLPLP PKIDASVTMMTVEEKPDVTYGDVGGCKEQVEKLREVVEMPLLSPERFVNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAIGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDLEGRANILRIHAKSMSVERDIRWELISRLCPNATGAELR SVCTEAGMFAIRARRKVATEKDFLSAVDKVIKGNLKFNSTATYMQYN PFICI_01370 MASAAPAAGSSSHSNATFRDKEKPIAVRSSNIVAARAVADAIRT SLGPRGMDKMIRNGRGETIITNDGNTMLKSMSVMHPTAKMLVNLSGAQDVEAGDGTTS VVVICGALLGAADRLLGKGIHPSVISESFQRAAAASVQILHDMSQPVSLSDNATLLQA ANTSLSSKIVSQYSGLLGSMAVNAVTKTIDIKNADNVDLKNIRIIKKVGGTIEDSELV DGVVLNQPVIKSAGGPTKIEKARIGLIQFQLSPPKPDMENTIAVNDYRQMDKIVKEER LYLLNLVKKIKKAKCNVLLIQKSILRDAVNDLSLHFLAKLGIMAVKDIERDEVEFICK STGCKPIADIDSFTEDKLGSADLIEESQANGARMVKILGTKSAGKTISVVCRGANSLI LDEAERSLHDALCVIRCLVKKKALIAGGGAPEIEIAAQLSKQARALTGTEAICWKAFA DALEIIPTTLAENAGLNSIKVVTELRHRHEMGEKSAGVSIKSGGVNNNIAKENVLQPL LVSTSAIELAAETVKMILRIDDIALTR PFICI_01371 MPSATFAELPTLSPGKKRSRNENEELQMPIFAHAGNEAHAQDKL GRLAFHNISNKRSASSFAGEVQRKILPLPSSKRARVSDDESEDHAHYGSYKDDIQRNN HAVLSNYSTPPISPQLRPQGSYQQVPVRQTLDPCHICHRKPTRKSDLDSFADCHGCGQ RTCYVCIRQCQGWLPNAGGDNDSRQRRHTIFREEQQQHQHQQPEEDPLSRSFTMHDVD DGEREQWTTASLYHANNSNNISRRDPSHDHEVGWKGHASVICSRCCIERGSEGDVMCL GCLAGVEGA PFICI_01372 MSPKDIIGAATTALFQDAPDAVVTALSKAPIGVDVPAPTEVLST ILATTTTSLAAASSAAMSSSAALVAGSENGPSGGDEGSGECRLLGSFALLVQLALGGL ALLSLVYKRWRERPQRPLKIWAFDVSKQVVGSVLVHVANVFMSMLTSGRFSIKVEPMS VQTAARLLRRDDDAYVPNPCSFYLLNLAIDTTLGIPVLIILLRVFTGLVSYTSFGKPA ESIQSGNYGNPPKTWWWVKQSMIYFCGLFGMKICVLILFLMMPWIARVGDWALGWTDG NEKLQIVFVMMLFPLIMNAMQYYIIDSFIKKKELEHERLPQEDDDRSFDNTLADDDET SDSEDGARHSTSKPYKGMKRRDSKTAAEEYDPDHDHEVVWSNSSNSEQGGKTGKVLPK ELLPRE PFICI_01373 MSRAGKLAPEVNRALFVKHLSYTVTPEDLFELFGKFGPIRQVRQ GIATNTKGTAFVVYEDVMDAKQACDKLNGFNFQGRYLVVLYHQPEKMVKSKEDLEARK ENLVQLKHKHARRTSADPSISSTSTYTSSKASPKSRPLSTPIPVKKKEVKLAVATKVV TTTAMTPRKGKPDEVSEQARRTVLSFVRGFLPQKTSNKMVMT PFICI_01374 MPHVGVAMQRSLAEPRDFADALRNLLARSIVAGTSKRDITSSIA DAKTAFSSWDNCMQASYCKWPVIAVIIIGGLIVFSIVWCIVRCACCGLSCCCECCYCL KCCGSCCGMCDSPKKKKYLDDPYIPPHHDQGYRTEPTMTAARAVPASTFDHSYDKPIA KPEPPMYAEFETGKKGGGDELPAMPSWEGSSSKKVALEDAVELEQLKKPEIAQNAPLM APGAMSNPASPNPASPMHGNSPYGAPAMNGSGYMTPARSATYPYGADPNSQQQGYNQG NFSNGGYGQMNGSNAAQGYDLGYGNTDYGNQGYGNQAYNNNNPSYGNQGYDNQEYGTQ AHDNPGYGAQGAYDTQGYGAQGYGAGAGAGTAAAAATAAAGPMAQGRRTPHQDYGNYR RGTADQVYPQSRTPRAYEDYGRSVTPRIGTPRSNTPGNGYRQPPRHQSPAPQTMPYGN IERTQSPALQQDAYGLDRSNTPNSYGRRNPPAPVRQYSAASSQPVRHPEPERSYTEPS YGQTSSAYQQEPASPTSPITNSGGFDFTSGYSRPQNSGTSTPVQQPAGGGKAYPGYRA YKPQQ PFICI_01375 MAITKYTEGRSRPGINFASEPNSQGGSSSNNAASGGSGSSLGSG GGSSSSGGSSGNAQGSSSNTSGSSSGQSLESLMASIKSKMNSSNSDSKGSKAARAFTS NPETDDWRPRNYKRIERDLKKLGMGYK PFICI_01376 MTTTTVRTTRAITLIIYNDYNDHNGFDDHCNHDDDNSHQYHGDH HYHGNYHNHGDYHNGQNNQDSHID PFICI_01377 MIAFLATAVHCVGVGIFAHPGEDIELVNHKAAVHKATLMVAHAA ISRCDDAPAALLLKQNAIFRRYGLTDALQYENSLGRFSRKQSLVETNDVFGCSTATPA LVDPCGW PFICI_01378 MPDQNASHESPGRIVVVIAGKNNEHANISQEYCVLGEYQVTDLW LENFPDSGSVQASKAVASWMVRLEAEFNKSSWAEHCSPPPKW PFICI_01379 MASWALIEPPLQRHLQILEDGTKALLREALSVGVVKSSSQTSPL RLLKRHSTLCLSGSITTSRTSSHTTAEPTALAVAAAWEGAFDDAMDEWMQEQVYIEAD EASPPPRRRWLRPRMLLLSFPARLTWLKPLSCSFLPSRMTIRLSFETLFAACLGAFRS SPSLPYPLSNSCFSSVGHKAMEEWQNS PFICI_01380 MAPTDSTSLLSARLYYNDGYYHHSNWYYYGRWIFAAVVIVAVVF LFFIWACINSRRRRRRGLKPMYGTGWMANGQQNYQNNPNGYYQNAPPAYGAPQGQSYP MQNQYTGNTFNPNDGYYGQHEGVQQPKDAHAPVHNNNTAATGDYAPPPGPPPPGNKPT Y PFICI_01381 MAPAATKTTWDHEYNTLRREKLFREPPKDHSAYPLLQLAVNPHI ESFDAIFEPDGLLQRGLADIGTRVLLDGDDRTSTAGRNRLSIRYKSVQLLKSQVPPSN KFAKKREILPAECRERHATYRGKLSATFEYRINGGDPQEFTREIGNLPIMVKSKFCHL RNNTPAQLVANKEESEELGGYFIVNGNEKIIRLLLMNRRNFPLAIDRPSFANRGPTYT SKGIILRSVRPDETSQTNTLHYLNDGNITFRFSWRKSEFLVPAMMILKALVETNDREI FEGLVGSPDSKGIQNTFLTDRVELLLRTYKAYGIYTKAQTRAYLGEKFRPVLGVSETL SNYEVGTEFLRKIVLVHLGNVHVTEEQDSDKFRLILLMIRKLYSLVAGDCAVDNPDAV QNQEILLGGFLYGQILKERLDELISGGLRLSLREYWRRFPGRTFTSQEFQNDFPARIF TKMSENIGNLLEYFLSTGNLSSPSGLDLQQVSGFTVVAEKLNFLRFISHFRMVHRGSF FAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLAHKCKIMTSSVDASAIPALAA ELGVVDTSSAATSESVVVMLNGKIIGWCKPAESRRIADTFRHWKVEGSHGVPLHLEVG FVPASNGGTYPGIFMSSEPARMVRPTKYLPLEQEDFVGPLEQPYMSIACTESEVVSGE STHVEFDPTNILSILANMTPFSDFNQSPRNMYQCQMGKQTMGTPGTSLRYRTDNKMYR LQTGQTPIVRSPLHNAYGFDNFPNGTNAVVAVISYTGYDMDDAMILNKSAHERGFGHG TIYKTKKITLKEENRTKSAKHVTKLFGHAPNTLQKGWERTQLDEDGLPFVGRCIQEGD ILCAWHTVSADYSGNLVNRDGITHYEKYKETEVAFIEEVRVIGSETGNEPMQTISIKL RIPRSPVIGDKFSSRHGQKGVASQKWPAVDMPFSESGIQPDVIINPHAFPSRMTIGMF VESLAGKAGALHGLAQDSTPFRFDEQNTAGDYFGHQLKMAGYNYHGNEPLYSGITGEE LAADIYIGVVYYQRLRHMVNDKYQVRTTGPVVPTTGQPIKGRKRGGGIRVGEMERDAL LAHGTAFLLQDRLMNCSDYSRSWICRKCGSFLSMQPTVSPYVGKKKTVSSVRCRNCAT RIDELEDADLSKVAGEIWEDGQGNQWVGGDQTTLVAVPGALKYLDVELAAMGIKLKYR VDPKDAARKGPLVQTTWDALEAAGKKAQPALEAAA PFICI_01382 MLVLWRHLFLLLGLFSCPLLAANEPRGYDYGPTAQETIGKRDIS QNDSQNALVQPIAYNGSIPLRPELRELQDYHEQWNLYILALSWMQWLNQSDPASWYAI AGIHGAPFADYGGVKALPGNKANGYCTHVSILFPPWHRAYLALFEQTLFTIVQTIAAW YPDEQRETFQRAADTFRIPYWDWAMKPSNGSSVFPSILWGSSKIEVDGPNGKQNISNP LFSYVFNPLNPGIFEEYPFMYWNETKRRPNPLQSPNATSDNEWVSQAFSKNLPTIQQR LYALFATSGNYSEWSNEAWIPDSSNSSFDSIESLHDTVHLTCGGNFGHMAIIAYSSFD PCFFLHHANIDRLFAMWQVVYNESWLEPTQAILPTRTIRTGDNQTSLSDLTPFYRNET QFWNSDDVRDHKVFGYSYADVVSGNRSDVIAAINRLYTDFTPATLSPTKHNVHGAQAN FGRRFQERSSEDLVTTDGVYREWIANIHVNKFALGASFTVHLFLGHAPKYFGKFEMSN GTIGTLGIFAGGHHTHAGLGPLQVGGTIPLTSVLAELVISGNLPSMHPVDVGPFLKQS LGFLVLHQNGSYMDPNAINGLHISIVSSQVTAPETKTELADWGKVDTRLDLY PFICI_01383 MVVVDGIDLDDYYVGKTPYNGTEADGGDPTTENLNIWYESGDIA WMITATALVLLMIPGVGFFYSGLARRKSALSLIWLSVMATAVTSFQWFFWGYSLTFSH TAGPFLGDLANFGFKNVLARPSVGSTRIPDLLFAVYQGMFSAITVALATGAVAERGRM LPCVIFMFIWATIVYDPIACWTWNPSGWSNKMGGLDFAGGTPVHIASGCAALAYSMML GRRRGHGTHELNYRPHNVTHIVIGTVFMWVGWFGFNAGSALAANLRAVIAATVTNLAA CVGGVTWCLLDYRLERKWSAVGFCSGVIAGLVAITPGSGFVPVWASVLYGILGASCAN YATKLKFLVGVDDALDIFAVHAVGGLVGNVCTALFASRSVAALDGSTVIAGGWLDRHW VQLGYQLADSVAGGLYSFVLTTLILFLINMIPGLRLRAHEEAEILGIDDAEIGEFAYD YVELTREVLNDDVVVGGGANDVPGTSLYSRDHTASPFDPREKNSIPLTDARAYAGNTN RSALVR PFICI_01384 MDPDLDDKTALFSRLQDLEQIDETDDQILEAEEANHRAKCKAFA HSSPRKPTPRTRSTVGGTSTPRLEAPRRTASDSAAVQRTPRATPRADVIIIEDTPEPE GNPTKRPQLASLLNVTFNEEIISVPDSVQASSSQPSRKVSRPQLRRTNRSSPSAEDTP SVTMGKRKRPTKLNMAPEAQQWFKGSSFFYFPNNDTNAVRERRITKAREHGAEWVRTL REATHLIVDADLTHKDLEKAFSANELADKIVVNDVYPMECISYRTFLKPDREKFKVQG LSSTLNSSVDPPQSSETSERSLQPKAPRSRARTGHLDPAATPPRSDASTQKSTQLSSG NVISDSQLGSQKATADVDHENSVSQEEMPLDVSQAGAEGKADEDELAQIIHFAKANPD ANVDAESEMEDDRRPSSADISTAPSIEQTAVDNGRESSDDEPQRKNPRKTKAKRLKNE NWQDKFACMKGGTKDAKPDNPNADTIAMLQSMCDHYTRNNEHWRVTAYRRAISALRQQ STKILTSSQAKDIAGIGDRLADKIEEIVTTGRLRRLEEAANEPNHEARELFLKVYDVG LQTAEKWIAQGYRTLDDLLERANLSRNQRIGVEHFDDLNTRIPRAEVEALGDHVRRVA RELDSRVELLIGGSYRRGSDSSGDIDFIVTKKGTTASADLGPFLDELVGRLMRQGFLT AGLATSRSDTGNKWHGCCVLPKEEFPGDRTQYKPIWRRIDFLLVPETEFGAALLYFTG NDLFNRSMRLLGDKKGMCLNQRGLFRGVIRGPGRVKYTDGELVEGRDEKKIFEALGVQ WREPHQRWC PFICI_01385 MFTGLVEEIGEVTELNPQDATGGTSLTIALPASSSLLSDAILGD SIAVNGVCLTATTLSPEADPRPSFTVGIAPETLRLTDLGELKAGSRVNLERAVRADTR MGGHFVQGHVDSIATITRRAPDGNAVTLRFQPKNRDIMRYVVYKGFIALDGTSLTITA VNDAEAWWEVMLISYTQEKVVLAQKKEGDSVNVEVDVLAKYAEKSMAGYLQGDQGALK PIVEKIVQDLVAQAMGAHSA PFICI_01386 MPAPSDEDCVNPLYLSWVKEWFDLAQRRNAKSVTAYRNAYNSLR ACPLVFTHPCQLETLKGFGRTMCDRLTQKLQEHCNANGLPMPSKKRPRRRGADSAALD GDDAGVSEDEESQPRPAKKARKVKAYVPQYRSGPYGILLGLAEHNASGMTGLSKDELI AAAQPHCDSSYTTADQANKFYTAWNSYRTLVNKELIVEKKNRARKFYVLTDAGLEVAR NIKRAADPSAPSPEPDDRSGPSERREPPRQAIQKIRPISVDDDDDDNDALFVESDVEV PASAQTSAPRPKTAPSEFADVVKDENGSSDPSAIPSFRPIRLQPGSFTIQLMIDQREV RSKTDRDYIQEELYKQGVKPIVKPLPLGDAMWIAKCHDPNFLTRTGAEGDEVALDWIA ERKRLDDLMSSIKDGRFHEQKFRLKNVGLKNVIYIIEEFNVNEQNRSHYELAVQSAIA TTQVTSGFFVKQTQKLDDTIRYLVRMTNKLKKLYEEKPLFIIPTDIITRKNYLPLLQS LREKDSSTNHYISYQAFSSLASKSEMMTLRDVYLRFLMCIRGVTGEKALAIQKKWQTP RDFIEAFEDLGSGEVGRKKKNDMVFNELGNQPGGKKMTKALSAKIAGIWADIPSQA PFICI_01387 MDRNAIIEASKKHDIVPGTYKYGTAGFRMAADLLEGVTFRVGLL SALRSRKLNGQAIGVMVTASHNPAKDNGVKIVDPMGDMLEQEWETYATDLVNCKTHDE LADTYAKLAQQFKLDLAAPGKVIAGRDTRPSGITLVQALADACAATNTAYVDYKVLTT PQLHYLTRCVNTEGLPTSYGEVSEVGYYKKLNSAFERAMKNKRISGSVTVDCANGVGG PKLNELLKYIDSSKTGFDCKVVNDDVLKPEILNLDCGADFVKTRQRAPPKPQAQPGDR WCSLDGDADRLIYYWVDPDTSSFFMFDGDRIATLAASFIADLARTAGVEEELRIGIVQ TAYANGASTKYVEQHLQLPVVCTPTGVKHLHHAACAFDIGVYFEANGHGTVVFSSDAM QTFAKKQPQSPAQKDALETLQALGELINQTVGDAISDMLMVEVILAHKGWGLKDWAMT YTDLPNRLVRVEVGDKDLFQTTDAERKLSQPAGAQDEIDQCVKKYTNARSFARASGTE NACRVYAEAATRSEADDLANKVAVIVKHYGGGI PFICI_01388 MATGANMDLDKAESSLKGMAHSEQHYFNSYNHHGIHEEMLKDEV RTRSYMNAIVQNKHLFKDKVVLDVGCGTAILSMFAAKAGAKHVIGVDMSTIIFKAKEI VAKNGLSDKITLIQGKMEEIELPFPKVDIIISEWMGYFLLYESMLDTVLYARDRYLNK DGLIFPDKATIFACGIEDGEYKDEKIGFWDNVYGFDYTPLKETALAEPLVDTVEVKAA VTEPVAILSLDLYTCTVADLAFNVPFKLPCRRDDFVHALVAWFDIDFTACHKPVRFST GPHTKYTHWKQTVFYFKDVLTVTQGEEVECELDVRPNDKNRRDLDIKVKYRLETQDPT RQAQGECEYKMC PFICI_01389 MSRLWTRITPWLAVFLVVCLLANTAEASLGDRLPEFRECVEVCK RENCDPKNSPTHIPLLHRVLLWTCPQECDYTCQHIITSRRIERNEPVTQFHGKWPFVR VLGMQEPFSVLFSLGNMVAHQNGLAKLRSSIPANYPLRSYYCLFAYMGIATWIFSSIF HVRDFPLTEQLDYFAAGAGVMYGMYYTPILVFRLDKPTPRRRSVLRLWTVLCCTLYAC HVMYLKLWKWDYTYNMAANVVVGLTQNALWTYFSWRKYRQTRRTWAVWPGCVVAWLMM VMSLELLDFPPLWGALDAHSLWHLGTIFPTVVWYNFLVKDAQDDIAKSTKLKDKEID PFICI_01390 MSSNPRRSRASRFASEDSDGLSLNTINCTLKKGATFHSSPTTFQ KPSLPRRSQTNLDDVIIDESRRRAAQTIGNVDSILAGSIKSSWSDLSDESTPFPRNLL DHMVGTSCHEGKDATMSNSGRSRFNPRRRSPREHASDSGLGTSIASSKQEGKEAKSSG TVAAITRSAAIAGQDDGVLPLSDNAQQCIEQRILNPLLSRQSFKDFHSIVRDCPKRMR EKQIVCLRDLEKTLLTYLAPVSQLLKDNGIWGNTYRALCLKGKAKTADLYLDFCTASI LCIQATVEYLSPREQTQPHDRPYSPGYFTDLVASIEMYAEQLRKSRERQAAGEELDEM DVHPTDELKLSGGFADTGRPAELVRITKSGKAISIATGLPVEDADEDSKAPIRFKRSM SEQLEDEEEIMRSMARRKKNATPEELAPKKCKEPGCNKEFKRPCDLTKHEKTHSRPFK CSHKDCKYYNFGWPTQKEMERHENDKHSNAPVMFKCHFEPCPYKSKRESNCKQHMEKA HGWSYVRTKTNGKGGKAGSSAHPTPMITSLPTPSSGQSNSAMSPPENSFPTMDSYQDM ILNYASSDQFNNDDIFAFDAPELTMDLSPVDNNTPSTDGHLTAFSGLSPDLSEQLNDF HDINTDLSDIYYGTIPAPTAAIYDKTVLPMDNFNFYPSPVEAVPQALNVPHISPAGHG NTMLYTPASMAESMVDEGFVEYDVNDQMSGQTMHNQMPDDFVLFDPKRADFAQGGQFF VDMDTTPSAAAGFTQPSSQDYSMDFSPDMDWMNTESGGGYN PFICI_01391 MGSQVRPARHQPAAAAQYRPQQPMSMGPPVSSRRARKPEPITVA HHQTYRPVIVQKAPHYIPEAQSAQFYDASVPRNYPRRDSNGVSEFGSEDGDSPSFRNY KVSPREVSPLTQQNYSGYQSWPAGR PFICI_01392 MDLLDKIITVDLLDRVELIFTHDLVPIIAISVATYAFACWRDLQ STKKTAVANRVRRPGKSWRVQEQVLRGRANHDQNKNTKGAVHIGGEPLRQVSVLHDRA MHLFGEVGKEWKDDTKTEEIAPQSVTGPTAATPSVESEEKEDIVEDELGNDSSGEEVP KDPQLGEHSPSDRELQDDQDQPETIEEEGGEGRELAIDTELGLFQSARPRVSAYIDKG REHLRKAGSWFGLPEPHSPLNVLRKASRLEWALFMGLKDVTKSGVMSSADTIAERVKP SPQADLGRRAAFAHLDSLDWEEVLRANAYRMDEKEYYSLEDSIMAFLGYQGPTKFPQR PVTKQRTWPTPRERFAEGKRRRSSLMSTLTEEDIDDDILLDDLEETPAAIWEEHISVV KKRNESNQETWLSRDEKYKLRSRRLGLLRMVQEERVQEAQGELGKEKGETLALLTQAD NIARLARKHVNFLNHAYGKALERESMSDDNIRYWENFGTGHEMDHFPEELRKRILRDD LDRKRRFRST PFICI_01393 MAAFPYSTTLDNDYSHLYEPLIEQQQQIRLFFLDIHDNCQENEP ISGTLVPLGLDDAKTRFIAVSYVWGELDISQAILINGRPFPISTNLLDFLQSHRRRCR DMPDLRMLPLWIDCICIDQADVEERNSQVALMKSIYTNAGMVISWFGASFHLCDWTFE VLRNFKEQIDKTQHSDVSKVSDVRWLDLFPQACKQDPSSDGLLKSVVWDSIRELCDHP YWSNIWIFHSRELSQQVMGLQSILRIWDAREVKDSQGWALLNVLRDHDASDPRDKIYA SLGVTGLQIIPDYSKNVKDVYVEAAATLMKDRFEMMLMLSGEVDTELLSSEPLDLPSW VPDWSHSTPGLYCLKHPYAASRGAPTEPGPVIDGYSLNCSGVVCDEIIAVEPILTPED CLDFCCRYMTSRTTDKYPSGIPHLRALFQLLLSDWETMLNCRAEPESMIFQVVACGLL HIFLSFEIKYREKMGRAQIDNPLYTLGLASKESDLSTTFWNKIVGTRAESFNCIITGP REQQPANMNAIGAQYSATVLTGLAAAIKDRKIFHTKNGYVGIGLESADVGDQVCVAFG CNLPIILRPEDSYYKHIGPSYVVGLMEGEAMTDVRNGKRHSQRFEIR PFICI_01394 MSDLTTIIAKDIVPPVGPYSHAVKTPTAIYCSGQIHLDPQGTLI EGTIAEKTALCFKNLEAVLKEAGSSIQKIVKVNIFLADMADFAEMNKEYEKWMVHKPA RSCVAVKTLPKNATVEIEAIALP PFICI_01395 MVTTAVSQGNLRQRNPGSKKKSGSPGPDDVQYDSLIKSNKSVTG AGSELDYKIALAVITVLAFISRFWGISHPNEVVFDEVHFGKFASYYLERTYFFDVHPP FGKLLFAFMGWLVGYDGHFHFENIGDSYISNKVPYVAFRAMPAILGSLTVSVTYLIMW ESGYSLPACVVAAGLVLLDNAHIGQTRLILLDATLILTMACSLLFYIKFYKQRHDPFS RKWWKWLILTGFALSCDISTKYVGLFAFVTIGSAVVIDLWDLLDVNRSGGALSVPDFL KHFAARAFGLIIMPFIFYLFWFQVHFAILTRSGPGDDFMTPEFQETLSDNVMFANSIN IEYYDTITIKHKETKAYLHSHAGNYPLRYDDGRVSSQGQQVTGYPFEDANNHWQILPM DGSEELGRVVKNHDLVRLRHVGTDTILLSHDVASPYYPTNQEFTTALVADALGDRKED TLFEVRIENGKNGQEFKSVASHFKFIHNPSKVAMWTHEKPLPDWGHRQQEINGNKQIT PSSNVWLVEDIPSIPAGHQRRDKPVKEVKRLPFLQKWFELQRSMFWHNNQLTSSHPYA SQPYQWPFLLRGVSFWTQNDTRQQIYFLGNPLGWWIASSLLAVYVGIIGADQISLRRG VDALDRRTRTRLYNSTGFFFLAWATHFFPFFVMGRQLFLHHYLPAHLASTLIVGSLVE FVFNADPASEEASYYAIKAGKKQPSAKRFVTARERFAGTSMVPAWIATGVILTIAAAS WYFFLPLTYGYPGLSVEQVVSRKWLGYDLHFAK PFICI_01396 MNAFRTNVFRRTMSTAASLKNARKVIAIGRNYADHIAELNSARP KQPFFFLKPSTSILLQGEGPVIRPKGVELSYEIELALIMGKDVKDIEESEEAAIFDAI ESYALSIDMTARNAQWEAKKKGLPWSICKGFDTFLPISGPIPKSKIPNPHNIEISLSV NNEIKQQDNTNLFLFQIPRVVSEISKVMKIEKGDIIITGTPKGVGPVVPGDVMRGVIK VDGKEIEESKIEFPVEESTSTYVYAET PFICI_01397 MEALQLAQMLADLNDLQAAQDQGAAKALVAANKNLERAITAKDV PTPTSQLQSKGAGTPVVDSRTATPAGRFDKLGRRIFSPPMSRTNSFTPSTSSMPGTPR KEEVSNTPRRDTCVPETHADKAYQIDGDIDRASTLLSLYEIRAKLKEQDNSSLLKARE KINALAAKQAQLAKQHQQVQQNFQTQQQQPKLPTSQPKDMRN PFICI_01398 MAATVDQKLLRATKFPPEFNTKVDMQKVNLQVMKKWIAGKLAEI LGTEDDVVTELCFNLIEGSRHPDIKSMQIQLTGFLEKETAPFCKEMWNLFLSAQSSPQ GVPQELLEAKKLELIQEKLAAEKAAEEARVRRDQQERRNREMGDIRDRERRDRGFRGG RGDNWQEGLDAAGIDHPRVRAHLRDGVRTAAIVIDMFRRAAGPPTVVDPAHDLPQLIR ITLEAAHAPAPVPVAMLVTVAADLVDPVALHVAEALRGDRPLAAGARRPQRDAGNRVL LADRRRPGGEVVSAAANPDHHRRHARVHAVQNLDVGRGKSSLIAAHQKTDMVASDGQA AQVAAEAGAGAEAEMDHPLGGDNIQIPLMHVTDQLMFRILKTEKIDPPDTTR PFICI_01399 MADTAASAKSNGSNGAGSNGGSGPGSGGGGANDVFDRKKEMSEY EAEKKIIKDAIARRAKIMSELSTLEGKIADLENRYLESTPTGNILTGFDNYTKGLTGA AAQRRKAGTAEQNRVFSRSSVSYNVLNQEANTPASGTSTPGAPTPISTSFNNKDKGPS EAPTPTSATEKKTAVSKKKKEKAAPEDSETDSRADSKKVRTQFGANGRK PFICI_01400 MVMMATKFTPEVLLSAPRRSAAVPNESGTLALYSVSAYSFENHS RSGAINVLDIETGHSTTLYTDQAYSEPTWISDSEFLLVKSGDKGSSHLLVADATKHGS EPREIKVISGSISNLKTKKLDHDTVALVVSAPTTPAGKLYNPADEPKSYTSAKVYTEL FVRHWDSYVTKNKSSLWYGALKRGNKGVWSFAGAGLTNALKGTRLESPVPPFGGAADF DVSKEGLAFVASDPELNPALWTKTDLYYVPLRTFTEDKPPAPQQVKTGHLQGYSASPV FSHSGKSLAFKRMRHRQYESDKTRLLLIPDIKDLSNVQEFYQTEDGEGSWDQRPDGIV WSHDDKELFVTAEDKGKNLLYRLPASPLEAKELPKALTNTGSTGDFFNLSHKSGKLLA TSTSLVDSSAYSIVDPSAEESASVSLLSSASKSGKSFGLHQSQVSSIWFPGAGDYKVH ALVMKPSHFDPSKKYPLAFLIHGGPQGAWNESWSTRWNPAIFAEQGYVVVAPNPTGST GYGQDFTDAIATQWGGRPYQDLEKGFEYIESNLSYVDTDRAVALGASYGGYMINWIQG QPLGRKFKALVCHDGVFSTMNDWATEELFFTIHDMGGTLWENRAGYERWDPAAYTGNW ATPQLIIHSELDYRLPVTEGLAAFNVLQTRGVDSRILIFPDENHWVLKPENSLVWHKE VLGWINKYVGLDTTGLAGDAENLGV PFICI_01401 MGSTKKDKELEQHARAALVQKWLPNDRDDKDAYHAKKLTILNIM AINNTLAIEDTKKDIHGVRTQSSDISTMMEDLKKQYEAMERAQKSNQERMDQLGKLLE ENQRSTEDARRENQSLGERVRDLVGQSAQVENRVSTLLGNESERINALKALITGLENK LEKQAQRDEFKSEQFTRLDERVKLIQELQLLATSAPGRSREAMNQTENNPENIPQSQA DPNQAQSNDVHDPRTHNARESSADGLESDMRMDSEHLDEATIFAACGKYMNSVRWFNR RHTQKPPSGEQKHRFVRKFLEAQTKRVAHYLQSLIIAHFPNIRLKSSDGYSQKRVYGR NRIHLRINVNHITWEDVKAACNDGFDALVMARAQMADDREQENMRRRWTRQDEQSSLA LEQQDDEHTVDSNLQNNRRHDATEGQSIKRKLSQNVQNRQWTGEASEL PFICI_01402 MSYSWVGAPAVFNGTNDETGGDSVTENLNQWYQSGDQAFIIVAS CMVLIMIPGIAFLYSGLSRRKSALSLIWVSMMSFSVIVFQWYFWGYSLAFSSTATNGF IGDLHHFGLMNTLAVPSPGSPLIPELLYSFYQMQFCAVTAALVVGATAERGRVLPAMV FTFFWATIVYCPVACWVWNVNGWAYNYGVLDYAGGGPVEIGSGMSALAYSWVLGRRHE KMMLNFRPHNVSLITLGTILLWFGWLGFNGGSAFGANLRATMACWNSCLTAMFAAMTW CLLDFRLAKKWSMVGWCSGVISGLVAATPASGFIPPWASIVLGVVTGICCNFGTKIKF LVKIDDSLDVFAEHGIGGIVGLIFNALFGADYIIGLDGVNNGVINGGWINHNWKQLYI QIAYIVACCAYTFVLSAILAKIIDLIPGLHLRASEEAELLGMDDDQLGEFAYDYVEVR RDFLAWTPAKEEPSGDGTRIVPQHGIEEHQNLANTNGHVLESENEKFGASARDPARAK AAEQAAEKDVERTSSADGRQ PFICI_01403 MAGQDKLGGVPALQVSKCHLETGRKRPPSRRLSLTGYLASFKYR LRRDERIPYEESPHAAQEADSLDEGVQNEDDLGGGPADWEWFDDMMGFATGPFDEQSD KQSDEFDEQHGEQSEQPLDVAGHHDMEDASTDGFGDDAPASSSPSDGESDNQQIIFLI DSDDESHDDDELQSIMSDDELEDDIPDIGMGIGNDFVPIPNNSQAPNVPPIAEWRLNL TALSQKYNLYFAAYSDKIHVSRPRSCSTHDLPSKPDLVLKPARSAAARYIIGTINPGK PHEVNHLIVGDLGLEEILLMAHDDGDVTGYYTKEIENEIAAIETSQKLSGYVVKTKPF FQENVGKSAWGLSIHMKSRLIAVGSNLHAVTVFAPALSLESPEPDVLAGSFWQSIKKT LDGSAVEFPETLRACFPTATGRVLKQRTCNWKITLDTSPAGSNIPNLTFSNDKDGNAD KVVAVDIEGNLWLMNIWSFDVPFQKIPSLHRRSRRTDAGQRGPPRGWGVLVLPASSFL TSNNCYGTLGLPSEEVRRAQHPSIGSWLDISKAITYIPQNALYYPRSGGARRPTPAEI KERNENLSRNMKEMNRKIDLDWWFQQYSPGQALDTERMATSSTLDDGSSILRLYETGI ELRPHEKDKMGIMMQYAMNQVFPTPTNSVAFQFDQQNRLANYHFIPELSLVVAGSMSG RVALVTLTCDQYGQRGFKVELILPLKKDEEDQLRPLCPLYGVAVGPLPVGDRSEAHTP SMSRRYRIMMQYYDHNILSYEFSRGMESDVLTII PFICI_01404 MELCEKAIQAVVHPTAAFQTDDDANVQPSTACQPDLWDTSLLNP KNRIDSLALPERPLWRIDGCTALGMQYYAVPLFFRDVPPLRVDVFIYESQPSNLRQQL ELNVAFHTKDATRLSHLAITKYIVRILETWTRTKFPHLDACTDYYKNAPFGTRLVIEN LSTNIHNIEVQVARNHDLERRLLSLPNLEALWGSNFDFPPIVDFHELQVIRVLHDSVC LVQYQGQQFIFKALIGGNKYLYHELKTLCSLEPHPHVIAKPIHLVKKACNFGGKKAII GFTTFYHCNGSLRDLLPQLRIHGELDRPQQFKWSIQLTEALENLRVTTGTYYPDLRLD NIVLSAAMDLVMVDFEQRGVWCEFAAPEVNSLEYLRIIAMDDHVDMRFPTPDQENYHQ KYQEILRRLVPDYDKLESDRYANPPDGYNIAWIALTPTEQEAAEVYMLGRVLWCIFEG VSGPQKAAVWQSYPYESDLEFPDYDRTPLELRDLIDRCTSGRRQTLGSSIARQGGQLI LRGVPLSQQGPKDVQDFARLFWKKELKVAEDYLAMRDEEMDKGKWTGNYYNRPTLKQV LDELEKYQEILL PFICI_01405 MSEQEKPQRGYPHSARHQHSSGDSDDDTSMSFGIGRSRISSCFA SICPPCSTSDPPPAYSGVPSTPYGYEARSALYQDAVTSAPSQSWSGTQPPASAFAYDD SPNAPYFPDFPVLQPTRTQPSTRHEEMTYNLSPPERKYLGRPDVQRIETHVTNLQSRG GYDQSSYTSPNVDSRGQMPGVGFLPADGDEAQVGHQSNLDIVSSHQRAPPSKRGPFKN SAERERTAETRKIGSCIRCRMQRIRCEINPDDKIGTCLTCSRVTSTKTYRLPCLRYKI TDVRLFKPGNVKGHEWTRRWTEGIADDISNWASPEVRMLCVTEGYGTRPVRLRVREFI PQEGDKLERSWVYQGTKKSVRIPAFAIVNLDEAKTIYTEHIANELKECCRNVLSDKHQ LLRGTYGFALNLMLDPSTDPKEKDLLSKAFRLWMAIRMTTKSTLIVGDERLGMDANIM DDTSPIKGKIPLPPVMGAQIELILIGQLQTKWRREMLDQLQTMTQQNNHSTWLTIYLT TFILLHNVSLLCQHDHAYAVKHGMKDSNGKQASNPSPSTLHKNTHRANILLAYWHYCN KGIYPFSGECSEAELSSLAKLDVDKIKFVHQTRNFVEKTKEHWQRIRRGNEYENDMFF ISQLFEEKWIPEAPLA PFICI_01406 MDHTGPTLAHSTAGDLKVPSHPCCQPGAKGSLSSLPAGFPPVLN HALAWTGGDLKHQQDFVTQLSEDDLLELRSALVAFKALELDGDSINSENFPLPTLGAK LVDVRQAIYDGRGFSVIRGINPSDFTVEDLTMIWLGVQAHVADQRGCQDHRGNMLVHI VADNSSKDKIGHHRHSTSSITFHTEEAGDIASWLTRSTAASGGRCVIASAYHIYNVLA AHRPDVIRTLAKSDWPFAFPHFQCRPVIFQQDSRLIMNFGRTPLLGNATHPRPEHLPQ TNDRQKEALDLIEAIAKATQLEIKTQPGDMHFINNLAVLHRREGFTNGQVKQEKRHLV RMRLRSSELGWTIPPELERDWHDAFEKDLAKTWHLEPMPGDAFPLRKYTN PFICI_01407 MYFSKLAVILSVATSALAATTGTEAAQKQKRALTFQTYNDFQIS SGTAGNALAEAQAAFPVSSDLASVSDSDLAIIKAARETAEAAETDAFNDAVDAASGDA ATALQNGKIKNKVLKLYLETTALQIEQAQGDDNQDKIDAEQKKLDTNVALDEKAAGED STAVSFTDDVQPDN PFICI_01408 MIVSCFGEPPKRKTKKSTKPKQTPSRKPSKLTVKYSFTSDPDRP MDLPQYTMMPDGTWHSNKYPSYVGTQAEWTAAQRLQQHQDTLNSTLAQIKKTEESMAK LHGSVGDLKKAQAEQAKAHDAHAQKQNECLAEVQKVYNHLDSAAKQRNERKKMQEWQR QLVREYDEGREAERQTITNAAQIRKEEETAATLRAVKKEWEAEKQEILKRRQQQQHEE EEEARRQAAESHAKELRALREEIKTEREVALEQQQQLNAQRKADEEALERALQRRRRI EEEDRQRQDRVRSEAEDRYERLTERVLRQRHPEREASTTTATSAGSMFDRERRPYWAG PVASSRRGRPVQYVEYYPYEYMPWVEETVEEEEEFPSYYVPSPGHKGRRRTTLRGSGR RAYHAWDY PFICI_01409 MHAPSFLVLAAGVLSASAQSYVTSTTSITAYVTITQCNPTNTAC PLYSASSTSSSTPVTSSSAVPTTESSTFSYPTFNTTSAYYPTAGYSNATSSAASSTKA HSSTVATSVTSVKATTATSATSSSTSAAATNGAVSSTVKSGLLMGAVGAVVALLA PFICI_01410 MRGKAITLEILPTYHTGVMPAQQPCSSQDDSSVVFNNYSHIITA SIRPQTSMSSTDGGEFKNHAQTWDLVLSNMLAAARCAHWHRHKCEKTAEIGGLCEECS KGQCGFSEMDTSLPVFGAAETHLALSVVGRKSSWCAWHGQR PFICI_01411 MALVPQETYQVLHCKHNETAIEDEPEITAWVKRTKINPVHPFVV WAKGDTSELTQLYQDLPKETTHGYPSSGISFGRAMISPSIDITTAGGENLPRYLWRAT HDGQPYGGLRSRGQNGAPPPYFAAQFILHCNWKSRDPSPFMSWTSEKGSAYFVAASYQ ARGYNNVKVTRVDTQGEGWDRERQKIWRVASLVNALKYPGLAGRDVLMHEYLIENSVP EEAIESFNWLALVKKSLEAQDKAAFVRSRWNAHCKAVDAKKKEKQKEKDDEIDAYAKE YNLSRDEATEWYEEDARERRSSCVKTFRRRYKEHKRLLQRRS PFICI_01412 MVSDETYELCLPILQDSGLEEEDKTDRLEDLLKQETKLEGSSLE NAVLDVLWRHRDGGGAAASPPPIRQTILRRPSPASWRGSATPLSGSPRLGVSPLAPPG FVPTNLSRTKSSTVSPFSSPRPSPRLAFAAPVIPNSPNLNAYEFANDTSPAPEIYGDF QTENVDWLVSDDALSITSSVGVSSGLNAAVPEFVSTQQTDMTPYDMLRSILGQSKTDD EIEAALAVHGYDLSATIAAIMESQAQDSGNVAHLAPEAKSILIGKSMTPDNRPNTPSG QQKPGIICKFYLSTGQCLRSDCRFSHDLSNHICKYWVMGNCLAGETCIFSHDPAHLIN RLTVDETGTPPSKNLSVTDFNSAFPSLRPATPELNPFAPSFSYSPAGMTPPPGLRPMN SYNGNEGSRSRSRPGSRQQAKDGMAAPSIDDNDAFPSLGSASAKTNKKHHGKRGGHGH SHKDNYTPSSLADIVKMSPSPSPAPGPARQDKKIGRNGSAANMKNGENSAAALAIPTP KHIPWLETGDRANKAYLKARQEAIKHGGLRNKFLQSAAQAWNRNDARAAKALSLRGQS ENELMRKAHREAARELYEDRNRQTANSQVTTSEIYVDLHGLHPEEAVEYLERVLLDNS KEARPVYAITGTGHHSKNGKDKVGKAIRNFLNEWRYAYREFSVPGDRNNMGGILGVDA RSYDKSLVREGSSSSKDQDEVKEEVDILSQGVEIGDGKVRLLVRDPPKGPSGNRNR PFICI_01413 MLLSQDSGLSNGGHVSTRHAHIAVSNIEAVVESSTESIPVHPLG VKPLGNQYFSSGPLARHALGLLGSLPDEMILQLLEHLQQDSLKSLGSTCRFLYAFCQL DELWKPLFLEAVEGSNLPKWKGTWRNTLLQIPREVVTRIDCNNVFSDVLHRPFACANI VLKNHVSRIPEANQIARLDKLSYEEFADSWSGKPFILTDCVPSWPVYKEWTIEKLNKA YANVEFRAEAVDWPFASYHEYMVNSRDESPLYLFDRKFAEKMNIKVGRDEGCAYWPPE CFGEDVFKLLGRERPAHRWLIIGPERSGSTFHKDPNATSAWNGVIQGSKYWLMFPPSV PEPPGVFVSEDSSEVTSPLSIAEYLLTFHAEARREAGCLEGICGAGELLYVPSGWWHL VVNLEPGIALTQNFVTNSKKHLAHVLSFLKDKPDQVTGFSRDVDSPYELFVERLREQE PSLLEEAFEEMERKNSGKKRRWEEAVGAKEETESGGGGFSFGFGGDDLEEDEIP PFICI_01414 MADKGLEDIEQSQIESNYDETVDSFDEMNLRSELLRGVYAYGFE RPSAIQQRAIMPVIKGHDVIAQAQSGTGKTATFSISVLQKIDPSLKACQALILAPTRE LAQQIQKVVIALGDFMNIECHACIGGTSVRDDMKALQDGPQVVVGTPGRVQDMIQRRF LKTDSMKMFVLDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTKFM RDPVRILVKKDELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKVD WLTDKLTARDFTVSAMHGDMDQAQRDLIMKEFRSGSSRVLIATDLLARGIDVQQVSLV INYDLPANRENYIHRIGRGGRFGRKGVSINFVTADDVRMMREIEQFYSTQIEEMPMNV ADLI PFICI_01415 MATNSGRGNPIGATEGPSKVGEGAPPPVSKDVKDAVTAGQAGDT GLHAAGQDATTAPAETKVKSAKELERERKKAEKNAKFAEKQKAKAEAQAQQAASKTKK EKAPKPVEEPLPEYVEDTPVGEKKRLKSLDDPQFKAYNPVAVESAWYEWWEKEGFFKP QFKADGTVKDEGHFTIVIPPPNVTGALHMGHALGNSLQDTLIRWNRMHGKTTLWLPGC DHAGIATQSVVEKRLKKMEGKTRHDLGRKDFIDLVWKWKDEYHAKINKAQRKMGGSTD WSREAFTMDENLSAAVAETFVKLHEEGIIYRANRLVNWSTELTTALSNLEVINKELPG RTLIEVPGYKSKIEFGVIVHFKYPIEGTDETIEVATTRVETMLGDTGIAVHPDDERYK HLVGKNAVHPFIPGRLMPIVADNYVEKDFGTGAVKITPAHDPNDFALGQRHNLKFINI LTDNGLMNENAGPYQGQKRFDVRYSIQDALKEKGLYVDKKDNAMTVPICERSGDVIEP LLKPQWWMRMKELAEPAIEVVRNGRIKIKPETAERSYFRWMENINDWCLSRQLWWGHQ CPVYFAQIEGEKNDEANGELWFSGRTEEEARAKAEKKLAGKKFTLKRDEDVLDTWFSS GLWPFSTLGWPNKTPDLANLYPTSVLETGWDILFFWIARMIMLGLKMVGDIPFKEVYC HSLVRDSEGRKMSKSLGNVIDPLDVIRGIPLDGLNKKLLEGNLKDSEVEKATKYQKTA FPDGIPDCGADALRFCMVNYTTGGGDINFDIKVMHAYRRFCNKLYQATKYVLGKLDQD YTPPSSGKLTGKESLAEQWILHKMNQAVKEVNQAIPDREFNTATTTIYTYWYNHLCDV FIENSKAIIQDGAEEEKRSAVNTLYTALDNGLKLMHPFMPFLTEELWQRLPRRQGDET QSIMIAKYPVYEAELDNPAAAAAYELVLGSSKGIRSLMAEYALKDEAKVFVQTYDETA HKTAVDQVQSIKSLSGKGVTSIEVLPSSDARPAGCVAYPVSSAVTVFLHVKGRVDIDN EIEKANKKLQKTKTAIQKQEKLLNDAAYQQKASETLQEQDRKKLADLQSEAHGFEGTI KQFEGLKLE PFICI_01416 MELRGVLILAAAVLPAVVIAAPLEAAQHVIDLQTSSSVSEQETA RASLPRKLHGRFLHITGASHPFPHSRNAMVQAKAGYACHRGKGPAGYYGAETSDCDTP HSLVNATFNWIDEHLKDNIDFIIWTGDSARHDSDDKYDRSEKDVVKSNRMIADKFVTT LSDSSGLTIPIIPTFGNNDILPHNIMVGGPSKWLKRYTDIWKSFIPESQRHTFELGGW FYTEVIPNKLAVFSLNTLYFFNRNAGVDDCIHPSEPGFKQMEWLRIQLQFLRNRGMKA ILMGHVPPARTAGKENWDETCWQKYTLWLQQYRDVVVGSLYGHMNIDHFFLQDTRDIN LNYFKTSSAEYEAHVEKKQHGNEPKVHAAGHVNQEGFYIRSGADYLADLRKDWSKLPK AAIHTLSAEDFEVEGKKKKDKKKKQKKPKKHPLGSEWAERYQLSLVSPSIVPNYFPTL RVFEYNITGMEDSPTWRDVIRDSKPGHGEQMAIESEDVDFEIEKKKHKKKKGKKGKPE KDPYLIVPEPPSATALPGPAYSPQPLTLMGYTQYFANLTYLNNDAHDFLKNEAPAELH SQSTGDELQLDQDSEDPAFSHERWNEGVHKGKKPGHKPKPRPFQFDVEYSTFDDEIYK LKDLTVGNMLKLAYRIGQVGKKSKKSKALINGFSDNELDEIEKSELIREPEDGDDEDQ ELDDSEDENDEDADSDDDNDDETDGDSDDESDLESESKDKKKKKGKGKKGKKGKKGKK KPKSKNKVWLHFLRHAFVSTVSEKDLKKV PFICI_01417 MTIHDWNFADPALTSKGEAQCKQLALDIQNKYAFPKEETLIVVS PLRRTLQSYHHGLAWLAEEGVRVELRAEWQETTANPCDIGSEISVISKEWPHLDFSQL DPVYPAKTGLYDASQESLLRRARVARQWLFHRPEKYIIVMTHSGFIRRVVPDCAKYAN AEYRTYDFATDEDENDESRPFRLIELEAVHQSLSTQQPSIK PFICI_01418 MSLSRILGGRVRKGSEKSGSSPSRRAPSSSPRKKSANNNSAHQG QDDDYFGDEKLDDLGLVHALATDLHLRDTTQAIQYLRAHMFSPIPAKGAGMNSTRIAD TLNFRKHLPPLVTVSHVQILLSSPSAVEREVAELVRAGFIRKIEVVKRGEIGETLILS SDLEKMLDDAQHLSETTQVLFKAYLKENPMARKVQRAELPSLAVNELFRAGFLTAHHT DTSSLSHMMNLYSRPEDKASLVSIENVSKQATGSLGAVGGVGAVHMVGGSGGGARLPA NTTEMQLAVPGNGTFLKLVAAALEHLVSLLSKSRYREAPEDVLRERWNGGVAKDEAAY MAKKSRGEFAGILPGQTRKWKQFRGLAFEWILQEAVGSGLVEIFETGSVGRGIRVI PFICI_01419 MAAPGGLPKPSRPSTGGPPTSALPALPVAKTRKGPSGLASPTKT PSTPRSGLRAPSSALLPPTSPGLATALPTPKSLALPHGKTLRKSVSINSFPQPPKGDS RIASLPPSPRPGSTLSASNSIRKSRTPTGTPKLGALSQGTPSLLNGGGDKAILSAASV RNSDGLISVASPPQSRSSSAQDSYSTSATTFDDPQAEATASSAVSEKRVSKQPDGKGN VVVSVRVRPNAGSHENGGVEGEWLVDGRRSLVSYRGKDGGDHFYDNVFATHDDNSRVY DHTAKRLVRRVMEGYHGTVFAYGMTGTGKTFSMQGTASSPGVIPLAITDIFSYIRETP SREFLLRVSYLEIYNEKIHDLLSMPTGGGVGGAAQEEIKLREDSKRGVYATPLKEEIV QSPTQLLRVIARGDQARRTASTQFNSRSSRSHAVVQIVVESRERQPGNSSDSKRSGMV PGGVRVSTLSLIDLAGSEKAAESKERRQEGSHINKSLLTLGTVISKLSEAKDNKEAKH HLPYRDSKLTRLLQGALSGNSLVSILCTIQIGAAGSSASANSHTLETLNTLKFASRAK NSIVSHAKRAEEALGAGGDGGARVLLERYRMEIVELRRQLDTQAKNNTSPSTDDDEGK SKEEKAKDEEEERAREKEADARHEEQMLEMQLARTALKERIDHLNKLILSSKSTGVNS SGTYSSLGVHHRYSQISLAPSNRTSVAFSISGRSQTERTLSMTSSSSTIGRRSNTQRY SSSGTEPPTADEDDSLGEYGDGVASLSAQNQALQADLADKNRYIATLEKRLLQARRAS SSRASFGHASSGKAIMVGEDHSVSTAMREKDAEIAELRARLEDKDRMLAALRSAARSR DAAEGLDSSKAEARETRSSLLYEHAASPPPAEALPDPPNLRGKSISPVRGRNGKKNHD EMSRILDEMLQEKVDSGHVVRGARGSVRLASESQKPGTLGQSPTDIDGLRESPLIQES REVSLEA PFICI_01420 MPPRLDVPSGTHTKMMVSPPRLNLRRAASYTQVSNEKLPLSATS SSFNFNHLLFSPPPSPGLPVLVTRPRKPSNAPRPSRVFRGLGWIVTILIFLYVSRVVV RKNVKLPLVGGWEKTGDFEMVGQYDLPEFPTPIAVTDRRGRSKWTVSIPHTYNFPLTT KEYAEICAKCHEVAAHVRELQGDSHPSQRAQLDYYYQDPHFVDVYEAEDRGLLPVVTA EAQQSSSVNQGGHFVGSDGLEMAGKPVCKTSMTFVLESPEAGLGPTLMMLWIAYGLAQ KEDRAFFIDDSRWAYGDFTGIFQTPPVPDCKPPPRHEMLPCPHHARHLVVSVATARET FGKSFDYQYEQLERNDIKREKPTYELARAGYEALFRLNDEDQTFVNLRIKDLREKTIV PTGNNNDGSLIGVHIRQGDQHPFESEYSATYMPFDRYTEAIQQILEDAHNGSMPDGAE DTVAKQYSQIVLASDNPEVYDAEEFSGSIRAQERIRLASIAHPPPPNQDPHVMHKFQE ETFGWEGGFFASMFWNLGRSTRNNAGSNTVVLSSEATRLRSLIGRSYMLDLAILAQGT DTVVCTVSSAACRLAAVMMGWEKAMEDGNWVNIDGNYLWTGVSL PFICI_01421 MAEPPAAATTEVPAKTETTAPAPAAAEATPAAPAPAKSAAPAKK SYSTDPALYIYTSLTAGSSHIVTATSRLETILKANRVPFKALDIAVDEKARMLWGRRA GKDESGRVRKLPGLVQMGMVLGDLFEIEEWNEYGELKQHVKIYYDEFTIPAKGAKQVP NKLKKPVYGNSVAPSKVAPAGGVATAATSSGPPKAIPAAPPLPEKKAGGAPANTAGAN KAAGAATPAAPTMSIAEQAAQKAEQKAQKAKQARLESLRAKVHGAKEAREKEAAAAAA AAKDGTGEDALTVSTSPSAEAPAGAAALQSPTSGAWKSSGAPQEDSLRNVLQSPTSGT WKAGDTIVDLPVREHRGSSIVEASKEEIAAIEKAGAIPEAAVESSGEEDETKK PFICI_01422 MPADYKSAARNLSMPISPGSEPSTPSPGSTSPSSFPSWARRDQA QRQTSSPHQQQQQQQSSNSRARRLSRPYSRSSQQTDGSSHLPLGARLLRTSTTLMNRG IRLVERLSPLQRVLAAVAGLVMLVLTVLFLVYSHRIFGALKPVAEGWRDLRGGWIIVW LMTFATAFPPVIGYSSTITVAGFVFGFPGGWPIVASATVAGSAVAFLTSRTIFSGYVD RLVGADRRFVALGQVLRHDGLLVLAGIRFCPLPYSLSNGFLATIPNINPLRFAAATAL ATPKLLVHVFIGSRLAQLAEDDDMSTGDKVINYLSMLVGGLLGMGIGLFVYRRTMARA EELAREEAGEDGPLAGDVDYEDLEEGVLQNHGGSPRGESDAAALMDDDDISLWETDAM DDGYRDDEQDDTNNGIRK PFICI_01423 MAGPNHRELPDIFTYNGWSIKYETWVGESPQPTLSKTWVVFVHG TPWSSVVFRPVAGALYATGRFNIALYDLPGYGQSQSFSSTSESRKPDTSARAQGNALA ALLKHLGLDGKDGHGRPHIVAHDIAGVISLRAHLLHGCDYRSLCLLDTNCVLPWGDKL YNLVRSDPHVFEELPAGVFAGCLRAIIKSARFQDQGLGPEWVDALAQPWLMGSTNTSA ASDLGDLDYSPQKNFVRQIQQADDSHTAELLDSNLYPHVRCDVKIIWGEEDEWIPFEK MEKLAEMLGDRLRAFVTIPDAGHLVMLDQPSRVTLEIGRWLEQQS PFICI_01424 MSSDLGLERNSSFLTEVWTLYAIGMTILVLRLVVRLVSVGWRGL RGDDVFAAIVMIMYTCDAATVHLVYLLGSNVEAATYQLTHTLTEAEIAQFELGSRLQL TAWYSYTALLWCLKGTMLCFFQRMTIGLWQARLVKYLMYACVVSYLAVFFTVTFGCFP TYKNWQVTPDPGLKCTFRMQNFLVTVVLNVLTDAAILCIPLPLLWQLQVPLKKKIVVG LLICSGFFVIAAAIIRVVLTLGANPSGTNINRWGVRETIVGIIAVNIPILRPLFRKTF WSTGQIASSGQGKSTTGGRTHTQTYPTGLGPYEMASSVNEGSMGRKDRGSFGGSEEFI IDKGDSNNLKHTANDVVVQTTYHVRSEEVASENGNAWKNQGATSKATAYRGGDAV PFICI_01425 MGLFEDLAGPLAQRASELSTASQVGAAAAAFLFLSVVWHITKQV LFKNPNEPPMVFSWFPVVGSTVTYGMDPPRFFKENREKYGDIFTFILLGKKTTVYVGT QGNDFILNGKLKDVNAEDIYSVLTTPVFGKDVVYDCPNAKLMEQKKFMKVALTTQAFQ SYVPIIADEVASYFKKSSDFKGKSGTVNIPPKMAQLTIFTASHSLQGKEIRDKFDESL ADLYHDLDMGFSAVNFMLHWAPLPWNNKRDYAQRTIAKIYMDTMKERRETGKTDGQDM MSHLMRSQYKTGVTPPDHEIAHMMIALLMAGQHSSSSTSSWIMLYLAARPDIMEDLYR EQVENLGADLPPLKYEDLAKLPLNQNIIKETLRLNAPIHSIMRAVKQPMPVPGTKYVI PTDHVLLAAAGVSATDPQYFPEPDLWEPHRWDKDHPLSPRISQTQGDEEETIDYGYGL VSKGSQSPYLPFGAGRHRCIGEHFANVQLQTIVAMVVREFKFRNTDGSNTVIGTDYQS LFSRPLEPANIYWEKRNP PFICI_01426 MSSPPLVRLSGIPLSRLPPPDASPDVLTPFIHDILREALPFLIS ASSSPSGPDRKLWKHKGDKTSPDSTAKVEAWERTVHVEGGKAGASSGEEAGSGGGGGA KKEKETWALRRSVHEDRAEKGTASWAEFEVCFRHQHAEAEKAFTPNVQGTHEALVWDC SGVPAFFEGGGGDDKGTTIAWANFGLKVEEMRHKIGRPVLKDRTFPVLQLTAGAVATA TATTTTTTGVGGENHQPQQDFIVVSIPVPDFGSSENSKLAKESGAQVALYVSVERIRK LAASSSPPQHDGGNNNGGSIEWIMATASDAGGVLPQFVQNVAMPGVVWKDVPLFLGWI AKERQGGRDVANDGVTGDNSRKKTNGK PFICI_01427 MKRKAEAQTASRSPSKKKSKPSLSDDEVAARFRKGLLNQDILDS YTKQYADSEPYKHCVINELINDKLLRSVREEIKENVSFTPKETDIYKIHQSGDLANLD GLGDEALQKLPSLLSLRDAMYSKKFRDYVAHITGCGPLSGRKTDMAINVYTPGCFLLC HDDVIGSRRVSYILYLTDPDVPWKPEWGGALRLFPVQNREGKDGKVAKTPEADVSKII PPAWNQLSFFAVQPGESFHDVEEVYHAETKKQLKKEGGRIRMAISGWFHIPQIGEEGY IKGAEEENAKNSSLMQLQGNPDQYDEPKWSPVKVEKPQASSPEDFDEADLEFLLKYMA PTYLTPDTLEQVQEHFDEDSSITLPDLLNKKFGQRLRDYVAEQDGKALPESSAEIEKG AWKVAKPPHKQRYLFTQSQKEPKKGRKAEKDDSPLRELLDVFLPSPQFRRWLQMATSS VIESHDIIARRFRKGKDYTLATGHEGKPRLELNLGITPTSGWGDDAEEEEEEEEEEEK PKTKGKGKDKGKGKAKEEPAEEPEEVGGHEVYMAGDDDTAEDAAIYKSSGDEDNILFF QAASWNKLTIVLRDSGTLKFVKYVSRKAPGDRWDICGTFDIEEQDDEDEAEAVDENAK LLAEDTEEEWNGFSSSADSDSD PFICI_01428 MASFDINNVQSSFPALQQDQVFFDNAGGSQTLGTVIDSIYKYLT TNNVQLGASYRVGKLSTEKYNDGFAAAAKFINAKEDEIVFGSATTQLYRNLSYSLQFQ EGDEIVISSIDHEANIAPWVDLASREKLTLKWWTPAKSNNPKLLPEDLDALLSPRTRL VTCTHTSNILGTITDVQAVAKTVHDKVPGALVCVDGVAYAPHRPLDVAALGVDFYCFS WYKVYGPHISMLYASRKAQDSGAMRSLGHFFKKGETLEEKLGLAGGSYELLQSVPAVL AYLGPGTGSATWDGVRAHEAELQQTLLEYLNARNDVTVLGETSADPAVRVPTVSFVVK GWNSQELVEKVEKDGNYAFRWGSFYSNRLAHELLGLESDGVVRISMVHYNTVEEVKGI IKAIDRVISAC PFICI_01429 MAPKAIIAPSILSADFAQLGADCAKTMDQGADWLHVDIMDGHFV PNLTFGPPVVAKIRKHVDKPTNKYGRGTFDCHMMIAEPKKWVKEFKNVGCDLYCFHYE AAFSSDAESPEGESDRKTNPKELIKYIHDQGLLAGIALKPATGVEVLTEILESPDEKE RPDMVLIMTVEPGFGGQKFMASELPKVQELRKRYPELNIEVDGGLGPATIGQAADAGA NVIVAGSAVFGAKDPSEVIALLRDTVNAKSAQL PFICI_01430 MASLADLIAALPAEDGWGPPTTTETTLNGVPYAPFSKGDKLGRM ADWTADSKSERGGRQQYQRNYRDQQIYGAGSAPVFAAPIAEDESSFSIVSREPTKTRY GRGAVFTRGGRGQRGGVRTDTRGGRAQPTTAGRRGGAQSYGYDSRGGRQSAPRGGRRF GWKDYDKPQRNRDASINVKPDWKLIEEIDFNRLAKLNLDTDEGEDMDSYGFLNYYDRS YDKTTVKSGEKRLTAIDRATYNVTTSSDPVIQELAEKDEATIFATDSILSMLMCAPRS IYPWDIVIVRQGNKIFMDKRENAALDMVTVNENAADAPHEGADGTKDGINSPAALAEE ATYINHNFANQVVVEGDSKVEMPHANPFYNAAEESEPPASKAYKYRRFDLSLSEEEPM YLIVRTELDAVQKNAISGDDQHLTIKALNEFDSKAQGSGGALDWRTKLVSQRGAVVAT EMKNNSVKLARWTVQSILSKADVMKVGFVSRANPKSNDKHVILGVIGWKPRDFANQMN LSLSNGWGIVRTIADMCLKREEGKFVLVKDPNKSILRLYEVPAGSFDDDDEEPVIVEA NDEE PFICI_01431 MDHMPWLVERASEAGGDPCGHALMASCPPEPFSELNDEIPFGYN HAQPEQNRSGQRTWELSKADLATLLDLSKKLDLDGEITPVMAWGVILQHPRFAELRLE DVHKLSDELKGKVRCYGFGAVLEEFEVRDALNAVFSTKPELNVAF PFICI_01432 MDSQDKGALGSLNLGFLKTLTEKKTTRDGLTPKRRGPKPDSKPA LTRRQELNRQAQRTHRERKELYIKALEDEVLRLKEVFSNVTQDKDKLAEENRQLKLLL AQNGSIGNLDDMTSTPSLGYNTSSPSVSGTSGSYAPASSATTYTPPMTSQSSNAPPAT ATSQMMPTQQHGVPQQSQHSRSLSQQQRVDYEQAGIDFVLSYENPDHSTKTYTSSPPH PFICI_01433 MSTIWNNLRGALIVAPWVAWLGAADIALSCLLPFKLIAPNIVYN LSSAIAYSVWKWIQFIFERINGATITISGDKLPRGESAIVIANHVTWADFYMIQALAL RADMLGRCRWFAKIQLRVVPFLGWGLWAMGMPMVSRKWMRDQRELDRVFDGIVGRKWP TWLISFSEATRYTPEKYEETRAWCKENDRPLPKHLLYPRTKGFITTVRHLRKAPHVKA VYDLTIAYQHRNEFHKAPDMWETLKLPAITNGHGYKFHVHARRFPLEELPHTDEELAK WLEQRWIEKGEWLDQKKMDWSASK PFICI_01434 MEQPQDPERLAPPTVSSLRAQAAGSAMERTMTENIREEREDLRE AAEQTLNVIVDLNLDETIRWVSPSWVDVIGSKTEDVQGKPITDVIVSDKAAFGDAIIS MKKDDSRSHRIRFTVCTGPFSKLRPIETIQDAGSESDNEPTTLDLEAQGIMIYDRTDG SESHTMWMIRPWIPPREIQIDLPPLIVDSLGSGAEVLASYLTQLAEAGNDDPASHPPP LPVLCRICERQIPPWWFEKHTDLCLQEHRAEMDVQMAQENITEHRHAIVKVLDALEAR KSRPLTGEMLTSPMAEYKGLSIGPTSSSSSPGTASPSAGSRDRSTGFGHARSRSFAVR RPQARIVELLLDLLDTAAEISPPAIKETSTGEFRTQSPQSESRISQVLQWHSPGSNTL EQEHGLALLCDDTEKVAKEKVEAVFRYRRILEYSERIRIEFAVIVQDCIDEALRKAAR IAAGRLSDSTEDEEEQQLEANTSESIMGTAPEDAVFPGSFDGPSSLAMALQNVKLGDT PERRRPSSAIGSARSSSPRECPTPRSHRGALSISGQTRESRRESIMFESDAGDSDGSV RSSSVTSRQAPRTESPISEFGGVRRATSSRRHQRRSLILPGASSPHRQESPNRVAQPS SPLRIHKPRNLLYSNDAVVSPESSPMLPYSDFSSPIAVPHHRRQSSVAFPEFTNRPPP SPRMHAVNAPQMARAVPPSIKDFEIIKPISKGAFGSVYLSKKKTTQEYFAIKVLKKAD MVAKNQITNVKAERAIMMWQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLIKVL GGLPEEWVKKYLAEVILGVEHLHSRGIIHRDLKPDNLLIDQKGHLKLTDFGLSRMGLI GRQKRALNSINSEQTPDLLKSGPFVRSTSMVSSRSTSLDLHGGNNSPGGTPQMTPSDG GAGLPQPSYFSLSAIHQEPRRISGQRSDSGGSETLTHMINNFSLGELPPSLIKQSQSS VRSPRDDMSEAESAASPDFPALQQVSTHNSDAHRNTPPQASMMPPAMALFDPEDTNRR FVGTPDYLAPETIRGERQDETSDWWSVGCILFEFLYGIPPFHASEAELVFENILARKI MWPDDNEISISDEAKDLINKLLCVETQQRLGANRGDQFASGGEEIRSHPFFEGISWDS LLKDEAQFIPQPENPEDTEYFDARGATLQAFTEEIEDQSTPPSASTPDYPERPHDALS RVRSQVHINQIKRGLMPLHIPPHIRDLKTRRLSEPVASDDFGNFAFKNLTVLDKANKD LVQQLKAQAAAAQSRSGVSPGGISNVTSPSPAVEGISPVLSTPVQRTLSNAKASQRPA SPSAGNHTNSSPNRASQPSSPLLVSFIAGQSAESRRKPSSASSSLSQQSSASLQPGSF FDAPRGPPSLQKSVTSAAASPIKGRGAPPPLALSPQKTIGTPKQNTGSSTRSRSLTVG SQDGSPVAPDLLSHRNRRSQVFDMSPSSSDNEGEKANALLRVQRRRQSSRRLSAINLD SPTFRSLDVLICEDHPVSRMVMEKLLEKLRCRTISAANGSDAVRYAMSEIKFDIIFTE FKLPEVNGTDLARMVRELKNVNAHTPIVAITAYLKELQAPHYFDSLIEKPISSSKLTE VLSNLCQWKPPSPTQNNLSPLAQPIPLAPSSLRHEGARLEDSPTSSSSMFAHRLGSSS FRGSSREDSISSSVFGDSESVTTDEVPVVISRKATGDWDELGISQEEVLLGAEGSPQL PAHMMKQQSAPAQIEQPRVPIPRRSLEKLKSRRENLERHRGEGSDSADDEDEDLGASR ERTIRAKRPSSKLGIEMMRANSHDSVAVTSESTSEPITQVVTPSKDGAISPFSRAASP LKAAQSTPEASPAQCQDKGSDPDETPRPTGGTMKELEADEPTPRPIVKTS PFICI_01435 MDPNNWNTQEHALQQANQDDFQQYLDMGEMSNLGDGMQFDFPGF SAATGAAMIHSHSAHDGLDTQMGGTETPHATGTNIGLPHQMPPLTSSTNMPTIPSHMM PPQHTSARDTISDLDAQIHFLQRQKLEQQQRQLEEQQQRFAEQQAAFFAQQQGIVPPT PQSLEMQAATQFYTQGDQTPHHSQGMFDRYRLKEQQDMAFTPLVSPAVTPLDAHFPVE TQFTVPGAYFSPLTSPALHAQNEAHIAFGPSQGSTNTTNSPQEMDLENSGSAPSLPDS SKKPRRSNVSKTRKASIRQSPIVKAQRRKTASTPIMNAQILSELAESTADRAAKSTPA SGTSTEDSENASVSPEALSDMPPPPIPQPRSARQSPHIQAQQNVIHPIPSSLNGMASP ATPATLFRISPKGKSADATNPGQMASEHIENFELPESASFPKPQLPQLNTQASSSSPP EIETAKVSALQPLPSPIFPKPSARLAPSSGSPQILPKGAGTPNPRKTPMLAPRGSKKR GSVSSVAVSPALLPRISPNIKPLLPGTPGLSAEDTASRLLASKSNYQNIIEGNKVPGV SYPSELSTNLTSKRTSHKIAEQGRRNRINSALQEIATLLPKKMVSDIGNDEGDSPEAE KLEKKDGKSANTPNSKASTVEMAIIYIKQLQQEVADANKRAEEAEKKLTADETATAS PFICI_01436 MSDYDGMDYLQPDFDARSLTIPRLRSALVAHNINYPANAKKAQL VEIFEEQLRPQAKKILDQRAKAKRSSRGISDAESSQSSNPFNEPEELAPPPPPPSARA RRSASPRKASTRIKSEEPDHALLPPPRSPTKRTSRASSKQPQTSDSDVTPDYGNSLRT PKVRQAQPVFKHEQSDEGFFRRESGAFSTENPFQSGSSPAVEQTPMSRRTTTGYGYDT ARSASGRSPRKQVDGFGYSDADDSNMSRSLEVSANTLLRGKTPDLPPQPTVDFGEEFT PAEQLELEAEENLGSQSAVVPTSQTRRAKSGGAGTSLGVLLTTVLAVYAGWFRQEKIA VGYCDVGRAAGTIPNELPVPEWAQSVLGDEVTIPQSVIDTLEPTCEPCPAHAYCYGDF SVRCEQDYILKPHPFSLGGLIPLPPTCEADGEKVRRVQAVADRAVEELRERTAKYECG ELTNDEGIKADSPAIEEQELKQVISEKKSKKMSNQEFDDLWGAAIGEIKAREEIKVET QQENRETDSSAVPVTYLLSTSLARIPLTCAAKRSIRLGLARYRLQIGSVILSLLLAQY GRSRYRSNRAANAQVPALVDLVLERLANQKQISDEEDDPWLFLPNLRDDVLRSVHKLS QREKIWQRVRAVIEQNSNVRTSQREGRSGEVGRAWEWIGPSVGDSARRRRSSRVSWGP DLKSEDDSEMEKSYLHQKWEEGGGRPIY PFICI_01437 MSLPQPNSTLTGACSVIYDNVLYAYSADAFQSLELEEGAEWKTL DSGESVQGGVCVGSTPSDSSQAGLYIVGGTSSDSAYMGLQKFTYSTGKWESITFTPQI SDLQNRKWHSATYLNASDKILVYAGATDDIKYISTQTFTISASSPYQVLSYESDGAPP AIAPILLPWSDTDAVMIAGESTNTKVMLFNPDQHWYDSGASLASPIVQDTSVVKGMLV TGDDGSKHLYTFDATTSPNTVNRTVLWDGSGAAVASSVPIQGSASTSSRRALDASDWP TYNSTLASDTTRSDYSLASDSSGMAVLSGGNDDDVLSIFDGTTNSWQNATALLVGASV HSESEPQSSSSSASSTATASSTAVAAATQSATTEAAASSSSNLPPTSILGIALGVIFG CAIVLIALLFLMKRKRQKQAHADGGQDNGANVMPEKQDYFAQDIPQSKFVPGHAQQDS QSSFSSMAILMGRAQKPGLARKGSKDSTRSDASSILNRQFKATISRPSPIPEPEFDDS DSLPTRNGKSGAVGVAETQVRPRAPPIATKDDGTRRSSGWNRYWSGGTTLNMLGFGNG SSRRETQASEGSRYSDMHRMTQDSATVPPLHVEEGRPSFSQVHTESPTVSHYSDHLKN MQTASLERPVSEVSSSGYSSGIPPSIHEQWDPATINNKSWGHDRAPSSAYSQSIFPSG PRTSAGINQAPSGVSRQPQLTMAHTSDMSWLNLGENARHSQSQ PFICI_01438 MAPSGPRSQRNARGATTLNKTTGRPSGIKKRGAGGPTKTDRDGD LDMDTSAGGSGSGRGARQSKNNKPDPPAGPRRSTRSTPAGGRGPKPTTRAADMVKKII EGGSGNLSSRIAAGIDTSTRHTRSSRPINGANITTLRIGGLKDSKAASNEGGGLRELI IFVERKASVVGKLARSVRVKKSSIRGDFVYITASKDDAEEILKLNNFDFAGARLTIEE VTEETAAPLSDKSAQIKEQLKSVLAVRYNQEAKLLDLSHLSQDPTLQQMEMFTQATPE KLFKAFMVIMDDTFKTAQAKRDGVISISLKGNNIDDAAQIMSLADTFPDLINLDLSGN QFKDSKALRKWSNRLRKLETLLLNENPVATDQSSAAEFMKWWPRLQNLSNIQVRSKEE VEAAAQGPKVFPIPQFGSDFRDVNRIGEAFITEFFGLYDTDRQSLAAKYYDDQSVFSF SVMVAQAHIPGSSLTWTDYIKLSRNHVKITTQHARYQRLFVGTGLVQNAWSKFPPTRH PALATDFTKYIIDCHPMTGLADPTGQSPGGVDGMIITMHGEFEDQEQSTQKTARRSFS RTFILGPGLPGRHDIRVVSDLLQLRAYAPLPAQTGASDVPTATITAPAVDAATQQEQM TLELCKQTGMTPEYSKMCLETAGWNFDQALLTFNEKRASLPADAFAQRLM PFICI_01439 MDSDEFDDDILDEDLIIAASQAPVLNQSAPSRIANSVLPHAQRA RLRQTGNSFTPRQGRNRISHPDVIDLDELPSDAFSSSPGGGALSAQSVSRNARPTPKV GGPAPVYRQMTLFNTTAPPPQNGTAGSSQRPSNTRQFRVDLPEEKPTHHEIDNDAMKT WVYPTNLGAIRDYQYTIVKEGLFNNTLVALPTGLGKTFIAATIMLNYYRWTKKAKIVF VAPTKPLASQQVDACFNIAGIPRSQTTLLTGEVNKGLRAEEWESKRVFFMTPQTLQND LSSGAADPKSIALLVVDEAHRATGNYAYVKVVEFIRRFSSSFRILALTATPGSNVEAV QEVIDSLGISRVEIRTEDSLDIRQYVHQRQEDIEILEPSEEMIFCREHFTKALKPLVD KLNQQNIYSARDPMTMTVFGLMKSRKDWAARVGPNVNQFVKFSIQAIFTVLQSLAHAI KLLNFHGIKPFYDNLVRFRDEVEDKGAKGPKYKRQVIDSPEFQDMMSKISTWNRKDDF VSHPKLTYLSDTILNHFMDAGEGRENGGTSNTRIIVFSEFRDSAEEIVRVLNRHKPMI RAAVFVGQADTKQSEGMKQSEQIERIKKFKEGSFNVLVATSIGEEGLDIGQVDLIVCY DASASPIRMLQRMGRTGRKRAGRVVLLLMQGKEEDNYAKSKDAYDKMQQMICDGSRFN FRHELSTRIVPRDIRPEVDKRHVDIPIENTQNQSLPEPKKRAVRKKAPPKKFHMPDGV ETGFTSVASMLGKRSAPTTKAQPQQQRTPKTFELAAIPSEDSVLLTNKQAEELHRIYQ NLPSSKDLVQVVASVDLTAKPLAQRSLHKTVLVQHGKHTERCVRLFRNMSNIKTSTEY NKMPPLSDESHYAEILVPSDISDSETESTSLAHPPKRQKTATTVGPKHKEVTSCSRSK PSNPNETMRSKSIHRNVFMGELSDSEREDLVEITPPPPQKRPAIKKAAPRKAPMKKPP PNRAPKRQSACVYDESADEGDDCRRTSDLDLTDDSDNGSDMEGFVVGDDVATSSAPPT SSMRRLSTSPTTPSASFMAGFVSARSRQGHGRSRQVASDSDEDDLPSMKQIASRHKSQ TVAVSDTDGTDNDIVQRAARGPRRRVIVDDEDDSESA PFICI_01440 MPTTNRGDGLHSQDINMTTGVNSTSASAARSGNHQQRQQNDMHP QTHADNDSPPHTAVESWVEVSSHPSSSSVSSIGDEIVTTGLRVGSNAYTRRRRLHPSS YNHILAQQQQRQLATRAAANTSSQEEYEESETDSDHLLSSSTENITSVPSLNPTPLRS AYPMQTDTSDEEEDDDDENATALGSRRPSESFRPQPNAFSHPPSHLQHRHSTSSAIPQ HHPRPSFGQRSSTRIERRSQNFMSSNYQADNDEALRSSLTTLLSCAAAARGRKQDDEK RASERTAPVGGQPVNLRFVPESELMEPAPRPTASGRVLRSEPTPSPQATTRSTSPEKV KRSATPTPPNKSPRATKKKKMAAGTSSSSVEETLISPTLLTWVVGAGVVVLVSVVGFG AGYVIGREVGRQETLDGLAAANASSVVTEGGSCGREAIRSGTGTLKRFRWGSGMGRSV VA PFICI_01441 MFAGDAPRAALSQMSLATVVHPSREDGGGDQNAAAATPRALDRK NLSIRERLRLWESENPTEAQSMLSDYAHTGEVSNSLTRPQNDSMHQVDVAPPLFDGDE LSDLRSDSTNLRPGDLVEMSSQGSRRPLMAVCLGRFNGYEHYYTSGGQWFTGLGIQSL FVVHSFVQPAELEPIIKEIPLDEISLDTVNALRSVGHDPSRKAGAKLLKKMVDFTQEA EGIYQAHAGKLDASSAFIGDPVKHRYLTLHEIADRLLPYSIKREGKIPAVALYAVHRA LLQDDIFFRPLKQAGHRNSYLFEISPLSEVRTIQKIQNLVRIYLETSNDKRKNVPNTF GGFVSTVQKAIDNSRKSRKPTTHGVIGPSGASINPLPEWSALDLEILHFIQLWSGYQK FPSGSSLQAIGSMILRAVDRYPDAKLEASAGWTLLQEVGYITPWDIQSRYKLRFPDIE VKRSGGFDRPYHGDMSNELTPDKFSSDRKTCSTMYAIDAETTTDIDDAISLERTLNPD QAWIHVHIADPASSIASDTAIANFAELVPETIYLQGHFSRMLPNDISVDRFSLAAGRP CMTFSALVDTKGSILEHKITPGTVGDIIYMTGEEVNEALGEMRVDPTATDQELSIGPK AVAVKANRTMTRPKDLTAEQKEELTLLSHFGKALHHGRLSKGAVPYFQARPSPKVSLD GVTLNESKDGFFGTTGDPSIHISFSKRAGTDIVEHTMRLACEVAARWCNERGIPVPYR TQPRAMQNAEMIRQFTQDNLMPLIESGQRPDDAMWRNLRGLLGGDELTTKPGPHFMMG VDMYTKATSPLRRFGDLIVHWQIQAALLEEKKLGKSLIGNEDDSFLPFRRDRLDRMLP MLRMRERQAKLLSNGSGSDQWILQALVRAWKFGEAELPETFSFKVSHVEGRQSLMGRL DWFDRTAFLRPEALNDLVMMADVRINDVFEVKLKDVNVHSGVILVEAIELVERGTTSA PPSSVLEPNTTPEVTV PFICI_01442 MSFKKFAMVALAGAALAMDSMGGMPDSGSGMESSAAVAPTVAET TEAAPMTTEVAQGTVDTTPFTNSSVIITNDASARTGGDASSSAAVATTAEGTAASPID PMSTADAASMDATASADDMTTMSTSVMSMDSMPMGTGGAYPNASSTGSIPISGSDAGS GVSIVLIIASTMLGGLFVL PFICI_01443 MKATFAVAAAAAMAGGVSASTIHNRHAHEGFHAQLEKKAYAAAP IDNSTCGCTTIYSTYYGEATLHNPPPASTSSAASSSSVAPSSTKPLTTAAPTTSSTPI VVPTPVAQTCPTPGVYTFPATTVTLTETTTVCAASTTSVPAGTHTLGGVTTIVETATT VTCPYATVSTSGSVTTSVIETTTYVCPSAGTYTIAPTTTTVTAPTTVVVPVVSTYCPG TYTQPEVVTTIVDTSTVIYCPFDVPATTTAAATTSAAVPVYTTASVVPTTVASSTSTG SSSTATGLTGNGVAPWAITYTPYDSDGSCKSSSAVAEDIAAIAAFGVTAVRVYSTDCD TLPNVGGACADHGLKMILGIYIDAAQCTSNNPTVDEQISSIKEWAQWDLVDLIVVANE AIFNGYCTASELADLINECKSEFSGYTGPYTTTDTVGIWQESTTTDALCDVVDIVGAQ AHAYFNSETAAADAGTFVRGQLDIVNAVCPGKEARVLETGWPSAGTCNGVACAGVVEQ AAAIASLLLEVSQESVFFSLTDDKWKDGNTDCQCEQHWGCSSALGLTGLSL PFICI_01444 MRQNTAPASSSATFPHPLQPVGGSQTSLASADAGSSGHIPSAGA AHTTLMSQHAPATWSASGSSQLTATHHQQEQQQQQQPASLDDHDMSDVDVEEGGAALT ATFSHESDLHPSAADLWGNPISPWSDPPLIDGPFWHMDSDATAIAGPDDWQPAASEEG SAAEPMSSSPSPSLLPLDQPHGNGTFAQLPGSVPHIALLDPLLQMPPQVLAILDQQQQ ALQITPLQQPDLDDDLGEPHAPPPMSNPNHQTLGPDNFSLVDFLRTWAQLGRARDGHP IPNYEGISALANEKDVHRVSYHDLKGDEYDFQGVNWAKMGIARTRARERRRTSYKNYV NKAGSDKWHPGLPDQFAIPRDNYFRFHSMDLRRDPLLLHFQLRNILACASRTRAFFPT SRAIAELDPTTGRARKAMDFGKDSDVQISTIAATNDVLVAGSFLGDYRYRNLNSEDRT YAEGKLTDHISGITNHVQIHSARRSSAPLASFTSNDYGFRTVDLTTNKLIFERMYDDY LNCSAISTDQRLRVIVGDHTNVLIADAETGDILQELSGHRDFGFACDWAPDGVTVATG FQDKSVRIWDARRWTNNHDGSGAPVAVLRMDMSGARSLRFSPLGSGKRLLVAAEEADV INIFDALHFNTQQKIEMFGEIGGTAFADDGRTLLALNTDPSRGGVVQFDKCDGGAEDA FDTSEWYAGYPKHLQYRREYPWDWARNTAAILERPDSLETMTERRRKAATAYMDLEPF PFICI_01445 MKILSFDILVVIFSAVLSTAHHEVYLNVTAVTHINGESALQCWQ LPGRFRASLEAGAANGSYALLGDKAANAILTVIPAGSDGGFHHAEPQWVYFITGLAHI TLPLSSDQAWINGGKYGLVWIGDRANVSRLGHRIFFAEQSVTLSLLSEDDREPTHTVV RQGACLPQDVLGLATLGEKCPDYVACE PFICI_01446 MSLPERPGASSRTSYEQRTSYRHSSTRRTRPADVETGGGYYAVS RQTHSRAHSGNSAETIQNPNGTTENLPLSPSADQEGFGADSQGLSRKRSLIKPERNKI GKDHPNYHYRKHAANMEVLPSSTGNDPILEDFEGTTDHSGGMRTAEVESQDSPPRRQR SGDEKHRPRATAQRRKSSGGKLSKSKSTKGARKQKSEEVIRPPSIWNVYCAIVTFWCP DFILGCCGFRAKAQKRAWREKMGLISIILLIMAFVGFLTFGFTQVVCATTSVRLRINE VDSGYMIFHGVAYNLVDSGHPVAEGIPLSENGSLPNVLYDLPEKYGGQDGSFLFQNVN GKCKGLITRTNDSDIPTNSNDDLGWYFPCKAFNQDGSSSPNTSTEYYVGYACHTSETA RDTFYLDLDGTADVFFQWDDIKNSSRNLMVYSGNVLDLDLLYWFDDTQVDIPDRFKEL RDRTTRANAAVHGRDITRAFQSSGDKEIAECFEEIIKVGSVDTETVGCIASKVVLYVS LILILSVVLVRFVLALVFQWFISPKYAAVKTSQSSSKKKRNQQIEDWSEDIYRAPPRM AGDIGSTVAASSDRTSKRTSTFLPTTSRFSAVYGVDKPTRRPQPTTMASQASTGPLLH PNSMYKQTNDSRASFLNSADPYSSRTSPLDGYGPAGFIHDAVVPQPPSDYQPFGFPLI HSICLVTAYSEGELGLRTTLDSIACTDYPNSHKVILVICDGLIKGQGETMTTPDIVLG MMKDHIIPPDEVEAFSYVAVASGAKRHNMAKIYAGFYDFGEKSAVSREKQQRVPMMLV SKCGTPDEAKKAKPGNRGKRDSQIILMSFLQKVMFDERMTELEFEMFNGLWKVTGISP DYYEAVLMVDADTKVFPDSLTHMLSAMVKDPDIMGLCGETKIANKRDSWVTAIQVFEY FISHHLAKSFESVFGGVTCLPGCFCMYRIKSPKGAQNYWVPILANPDIVEHYSDNVVD TLHRKNLLLLGEDRYLTTLMLRTFPKRKQVFVPQAVCKTTVPDQFMVLLSQRRRWINS TIHNLMELVLVKDLCGTFCFSMQFVVFVELIGTLVLPAAIAFTIYVVVISIVHSPPQI IPLVLLGLILGLPAVLIVCTAHSWSYVVWMAIYLCSLPIWNFVLPTYSFWKFDDFSWG DTRKTAGEKTKKAGLEYEGEFDSSKITMKRWAEFERERRLRDNFWQSKENLAGGAYST TPDMSYRHPYDDHYYDDA PFICI_01447 MATVTSSPPPAGFSAPEQKPQPESDVSSHRYRWSDATEDSIIKP LPEPPESPKIKAGPVSPVRMSFYPENWAQPINASIEGRQTLTVASDDYTDRELPKSPD APPPPPHSPGYALPDVAPLAERRLAQQSVGKPLPSTFAATLPDRTGQGGRPGIKLVND TSDASDKENVESHGVRDHHQSRLSQESDSTVSTQPQDKASTEASAETNASSFSQDAPG SKNSAGSASPEESVFEGQQSFQQLQYHHDQGSTPRTSGTPLAPESRPLSTLDAAESAA IINRHLTPNSFQRRSSMPRPGSSYSVYSSPGPHGRSVSSQARRSPETRPLSYAELLSV PYPQPAPAPLNIDNTHLRNNVGNNASLLSAQKTLEMYRQNVRKTNDFSIQYSFAVFLI STAQEQGFSPEEPTQKKKVSRSPAREGENEDQWAPGSSPAELVREAKIILTRLANGGY PFAQYYLADGYASGLFNKGKEDYNAAFPLFVLAAKHGHAESGFRAGLCYEFGWGCRKD PAKAVQYLRTAASRGHPGAMTRLGKACLSGDLGEPRYREGVKWLKRATEASDAMYNAA PYQLGLLYETGYGDDIFKDESYSAELFTQAAELGHPEASFRMGDAYEHGKLNCPRDPA LSVHFYTGAAERGHAGAMMGLCAWYMVGADPILEKDEEEAYEWARRAADQGLVKAQYA VGYFTEMGIGCRRDVLEANVWYVKAADAGDERAKQRLAAIRAAVSGGTPMDVAPPRNA KMKKAPPKEGKDDKECVVM PFICI_01448 MADRVLATKQQGLDTDALSPRDVNVQIPSRPVVDPAKIKATLAA KTVSKDKDHPPPPPPIIYEPPSNDRRDGASYQVGKMLGKGGFAVCYEGVLQGTRRRYA LKIVKSKMQPKMEQKFQTELQIHSKMKHQNLVQFLRAFSMEKCTYLILELCPNGSLMD MVKRRKGLTEPEVRFYSVQIAGAIKYMHAKGIIHRDLKMGNIFLDRHMNAKIGDFGLA ALLVTGRDMQTIRRTTLCGTPNYIAPEILEKGKKGHDHMVDIWSLGIIMFAMFTSKPP FQSSTTDEIYRRARNRDYEWPSTEGTNRYISQEFKEIVATMLVEADQRPEPDIIVQHD FFISGHVPLPAEITTKLRDYPPESDAFYQIDQSTAQIARNMRHLQKLCQECEVGPWND TQKVYTSTWREVALEEKAGLTPIIPLAEGIVYRPFEDVSREQALDQVSLLSTSRTNSE DDLVSTQAGQTAPRGLLREPPQSFAAQQRAQGRPKPATLSRAQTLADMESLPTASGTI RSRTKRELVRSNTEDNILAMPAESAPEVISLPIRPLVPAGRLQPTQSKKMPAEKAKET APARSAASVPAPAVSSGPVEASMETLFGPSEPQRSVADTKPDIVLDRLRRLQAELERA LNARTMAIVSKATAKTPSTPHIVVKWVDYSNKFGLGYILNDGSVGCVLKSLPGKARGG KTVKLPPSCIVVRDAESHCQRRHDPGYPDRHQIVPMTQPIQFFENNGEAGLSAVNVPP RQFKISVDEDGTPGKVPVGKDIYDYRKREHLILWKKFANYMMAYGRDQEAPTDDTGHI QIPTSTSSTVAPSDVVTFYQRIGDVGCWVFADGHLQFNFPDHTKIVLDPTGTWCHFWH LPQDAAIKLAQTGELDEMALDERAVLSYPLQTLLNFATKPAVRPTRTTQSSQARKRPD ISPMLQGIPAANDFRRKVDFIKNVIKEWVANEGIGNSDMSRGKRMMWTGYRETVVAGP SKHVWVTIGARWGDERFSAMVDPKNPHELGDDVEERKKAAAA PFICI_01449 MVALPLFKLASLFVRHVSKYGANRIKKQAHEHPAFRAWAASGGQ VIHQLNMKMSVALLRDPEAEQRAKEKAEAPTVKTEDQQKKDDEFKAKHGVTAEEARRN AKRPANILTVWRRKFRPLPEPKAVDLFADVIGDAFILSVAVALVIYEWYKSSTKPDHN AERIKELDAKLESLEQERENERKRQESRILTLEMALRNYKDPKTKQPLLPPAPTSMIT PPKSPPAS PFICI_01450 MGRLIKNHWARLITLTAGVYQIAAAIQGFLWPKIFFDFLTKTLD PAIKPFPILQIINLVMGLWMIAWEYPLVFVAGTGIHRSLEARLAALPLFALAAILTYQ GTNAGLYYLIAMVIYFWAYSEGEMICAKPWTLPQRGSRGKA PFICI_01451 MVKGEASQVKVHYKGKEDDFLIFIDDVSDYKKWQGDKSVPMSHF ISAFKIFVTHKQGTQGQYDGASKATLENEFGTSVDEDVIKQILEKGSLQETEFPGRQG NKNEAQQGNIVSGQNSR PFICI_01452 MALVINSLPPRPASRSSSFRIRRKSSWAALLQERTISRANRTDP ELPYHDDGLVSISIKEPWKNLDTFAQLGLTLDPEEDDHVSQVLDLQTPSKAIDHPKTQ QTGASSDSKPFHRWMKTLQRRSRHKLIQSSAGDLESFPSLVDDSSAYSCHRRSSSDSS FGYVAGVRSATISIDGSALTRSRQNTAQSSYYARTDRSARASVSIGRRSEDSVRSERS VADPAITERLLQRRRILEELIGTEESYIGDVKFLMNVYVTILASVPTQHPGLRSSINR NLTEIVELHEEILGDLHRAVPDSEYTQIDQAHVTPKPAAKAARHQRWRSLDSVPEDES GTAWLHATAGMTADPDVAADVARIFRTRMHRFFVYEEYGAKYEMMIRDVASAPRAMPQ WDTYQKGLEALAASLGSVNHQLDGSRKSLTIGDLLVKPIQRICKYPLLFAELLKYTPV CDCPSAHSEIESVLARLREATSEINRATDDPSVKSSMETTWLLQDRLVFPNQSFDPSL KATVRSLGQIQLCGALHICWQTRGGAEGRYMVCLLYKECLCLATASRSDQLYTIQACI GLNTIKIEEADNGRGLQCHTAPFSWKLVFEIDHQLYEVIMTACTPREENEWRSRLACA SEAQEAGEPLFCSSLSLNIKSLGTVFGKPGSVARRLSIHRATTVGPKSPLCQVILKNT TTVRETPSSTSDTPNINRSQSLLTTTTRIPILAPPRGDRARIEAQLSDVWSRKILPFP GITPRSRSEHLVRASASTMMRKLSVASITSSFAKRSGSSASVSTMRASEDELSPTKEY AESITKRSCDVSSVTLSLDQDQSDIQRLPVIRDATERTSGCSASNSSDEGIVMSVTIR RHGSQSLDEASEFQRSGDIKEEALAQDSPTGALPTDSISRRPPIQRPPATEPEARPAP TKKKENISKANQTKRPVQETASPAKLTKRSKATGVERSGVAGAFRSFFR PFICI_01453 MDNIHAPTVPSGPSSRPITNGNAKNLSFAELSQKKDDMEAELKA LSGVLDSHGVDMDTPLTRDGFPRADIDVAQIRTTRSRIIHLRNDYKDLMGAIEKHLHE HFASLEDTDDLPTGRTGAGMLGDSIAPTLDEPFARVNSVVPASPAYTAGLKAGDEIRN FGYVNRANHDGLKKVAECVQGNENQNILVKISRPGEATRRQELQLMLTPRQNWGGRGM LGCHILPI PFICI_01454 MSAQQSVATFKLVLVGDGGTGKTTFVKRHLTGEFEKKYMATLGV EVHPLGFSTNVGQITFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPN WHRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPF LWLARKLAGNPQLEFVAAPALAPPTAQVDQELLKQYENEMIAASAMPLPGEEEDDDL PFICI_01455 MDDSFARPIKDVLAEFGVNQTDGLTDSQVIDLRTKHGKNAIPEE PPTPLWELILEQFKDQLVLILLGSAAVSFVLALFEEEGGWSAFVDPAVILTILILNAV VGVSQESSAEKAIAALQEYSANEAKVIRNNGHATKVKAEELVPGDIVSVSVGDRIPAD CRLVSIDSNSFSVDQAILTGESESISKDPNAVVKDDKAVLQDQINILFSGTTVVTGRA KAVVVLTGSNTAIGDIHESITAQISEPTPLKQKLNDFGDSLAKVITVICILVWLINIP HFADPSHGNWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAAKNAVVRSL PSVETLGSCSVICSDKTGTLTTNQMSVNKLVFIAENHTDLEEIDVEGSTFAPKGDIKR NGKVLSNLTESSSTIRQINEVAALCNDARLAYDARSGNFSNVGEPTEGALRVLAEKIG PCAPASANPEDCLHHASAVYEKQYPRLATFEFSRDRKSMSVLVQDGQKQKLLVKGAPE SIIERCTHTLVGTAGKRVPMNKPLYDVLMKEVVEYGNRGLRVIALASLDDVGSNPLLK TAKSTAQYAEIEQNLTLLGLVGMLDPPRPEVAGAIKKCKDAGIRVIVITGDNRNTAES ICRSIGVFGANEDLTGKSFTGREFDNLSPGQQLEAAKHASLFSRVEPSHKSKLVDLLQ SLNEVVAMTGDGVNDAPALKKADIGVAMGSGTDVSKLAADMVLTDDNFATIEVAIEEG RSIYNNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPATALS FNPPDHDIMKRSPRKRDEALIGGWLFFRYLVIGTYVGVATVAGYAWWFMLYSEGPQIS FSHLANFHRCSSNFPEIGCQMFGNEMAKSASTVSLSILVVIEMFNAMNALSSSESLLT LPLWKNMMLVYAIALSMALHFALLYTPFLQTLFSILPLNWTEWKAVLAISAPVIFIDE ALKAIERQFFLQTTPAQVTKAKKEA PFICI_01456 MVGKDCVAIACDLRLGLQALTVSNNFPKIFQYGDVFLGLTGLAT DVSTVSDLFRYKVNMYRLREERNIAPTTFANLVSSSLYERRFGPYFVSPVVAGLEPKT GKPFICGFDSIGCIDFAKDFIVSGTATDQLFGMCEGLWEPNLEPEDLFETISQALLNA VDRDALSGWGAHVYIIEKDKVTKRLLKGRQD PFICI_01457 MATTVKVVSVDMRQASIKVTPSTYLTDVLEQACKKFNLSPDKYL LKHKEKELDLSNTFRNAGLIAGAKLELITKSKTPGALNIALQLPEPEARAFGAPRATA RLPSDTTVWKVLRHFESTVCAGRVNITARGIPQTGVSSGSGQLYYESPALQIESRSLT SFVDFQKTMSQLGYNKGNVLIRLSFQTSERTFVDATNDISQYFEEEASVQQEREAAKA TALEAETQVTRNNAQEAASEVPQIVPEAVTPAHEDATAQAPAITAEPSVPEPMEVDTA SDPLAPTAIFSAPTNSTPAAAHTTESDEVYVPGIVHAQLHQQRLKTAGMNKRLLSDRE LEEKAAAEQAKIAAVKSVDVRVRFPDQTLGQWKITPEWTGHTLYKAIRDVMAHKDAQF HLVVPGRPVVTIKDEDGPSAQLVKGYGFRQNTLLNLVWDGSATANVRNGPFLKAEQQK KAVEVVVPEVPQGEEEDEVAAPTTAKPVPQRKDGNGDGKKGVPKWLKLGKK PFICI_01458 MRYSTLVVGLLAEIASSAFVAPVELAASACTLPSEFTIKSFTTF SEQTNGTYDSISFHFTDAGTGIDTSCEQNSTSVSTSPNGGTARYYCDDENVQFIYQTT GIVGLTMIEKACPDSDSGAKYEASGNAQFNLTCTSAYGGQTCTTNVTTTEEFSAINPI SS PFICI_01459 MVSTFSTLLTILLVVCQAGLILASPSPSWPQQPLDAQDSRPHHK SISNHFFTALERLSRLVDIAYCVGTTGLSRPFECASRCKDFPTLQLVTTWNTGVLMSD SCGYIAVDHGAKLGSKQNTELYGNDKQGAIIVAFRGTYSITNTVVDLSTIPQEYVPYP SPEDGGDSPPKEPEHRCHNCTVHMGFLSSWTVAREAVLPTLKPLVAQYPNYPIYLIGH SLGGAVAALAALEMKVILGWHNITVTTFGEPRVGNGGFVRYLDTVFELKDNATEPEDW TYRRLTHVDDPVPLLPLHDWGYRSHAGEIFISKPDLAPVPEDIWPCVGDYDPECAAGS EGETELSRIARDELLALKEEDGEMRAEKRWGFPTRFKLWQLFFAHRDYFWRLGLCVPG GDPFDWGRGRYPDGKQTEL PFICI_01460 MVTYMKEVAHLDGELTVDERNLLSVAYKNVVGTRRASWRIISSI EQKEESKGSDKHVSTIRDYRNKIELELEKVCQDVLDVLDESLIPKAQSGESKVFYHKM KGDYHRYLAEFASGEKRKTAATAAHEAYKSATDVAQTELTPTHPIRLGLALNFSVFYY EILNSPDRACHLAKQAFDDAIAELDSLSEESYRDSTLIMQLLRDNLTLWTSSDNAEGE GAAAEAPKEEEKPAEEEKKAEEAPAAAPES PFICI_01461 MAMVTASPPQVGLLPAATRTGGSHMMISNTKEGGGGTTKSNKPP TAAAPIKPSDQHSRDHQMTAVARQLLGEAKRSRIDRWRAEIDLSEVVCSCSEHPESVQ MGMAAAATAAATNITIATKKKQQQQQQQQRHCGCCGRRTSYEDQVLEQQRQRQQQQQS STSSGHGWEKGRWSIRRRKGEAVGGVGAEISSSSAGSPGGGGVGGPTSFFKKFFSSSS ASPSAPSPQQGGGGGGTGRGKMGHGNGATATATVTTATQMYRQDEADDEASGSLRDGD LPSDGEGAKNGKPRLRLDDAAARMRRAQKLLNTQKKG PFICI_01462 MDQYPRAAERDQPATEKSSRLLIREYPHRAIAIVSSSHALIFRY SPTSNDAVNDGTTRSRNDPEALVSKCMVEFSHRTDELLKDFRPLTPQPIFGTLGLISI GPDVFISVITQASRVASVRPGETVERIANVDFYCLNSAEYDNVFTSNPWDNDYSDSST YGQQLNRREGEMEYPYQELQKLLSNGSFYFSTDFDLTNRLQDRPVDSDTFDIDNFDDS FLWNSFMISPLVQFRSRLLVHEREALDASRILTSAIRGFCSTLNIPQAAAPMSATRSG LPSLLTVISRLSCKRAGTRFNSRGIDDDGNVANFVETETIYWSPSGVLFSYAQVRGSV PVFWEQAAGLIPGQQKIAVTRSAEGTQPAFDKHFEELEQSYGAVHIVNLLSASKPGEV ELTQQYHYGVQHCNLSYQGEKRSQDHALLRVTDYDFHAETKSIGYEAAKDIRRYIEHS ADGFAYFLAEEMDDSAEQTPERRTGNRMVVVLQQEGVFRTNCLDCLDRTNLIQTIISQ LAVESFLSHRADYAASDFWVRHSTLWADNGDALSRIYAGTGALKTSFTRHGKMSLAGA FADARKSATRLYINNFADKARQNTIDMLLGRLVGQSPVHLFDPIMDYISGELSKRSSE YSSTESINMWVGTFNLNGKTNGIHEDLSPWLHPAILGKAMQPEIVAVGFQEIVELSAQ QIMNSDPTRKQEWEKAIKRCLNDRAHETGGDKYVLLRSGQLVGAALCIFVKASVLSKI KNVEGSVKKTGMSGIAGNKGAVAIRMEYANTQLCFVTAHLAAGFANYEERNKDYATIH HGVRFQRNRGIDDHDTVIWMGDFNYRIGLSHEIATDLVQKKDLGKLYENDQLNLQMVA GLAFPFYSEARITFMPTYKFDIGTDRYDTSEKARIPAWTDRILRKGTNIRQLSYDSAP LKFSDHRPVYATFQCMVSIIDENLRENISHGLYQRRKAELGQGGSVLNIDESDEEDLI GYDAIEPGLPPASSDRQRWWLEHGKMAQSSVSPPKSTNGSSTVILNPNRTSNPFTPTD EPDWVSVPRASSRLGSFSSMSSSPYEHINHSTLLSTSASSTTPRKLPPPLEAAKVGRL NLMDEAPAGQNYRRDDTPPPPPPRRQTGQTGTSAAQAMPKPIPTNSRKPVPAPPPARR PSVTSQASGTSAKSKAPPPVAKKPAHLASLSPATSPSLQSDHEFDDARFQPNLPRRAS TNIQSLTSRLEQNSSGSLTGGMRQTSPPPAQPRRVGTMPSTQTGASMGFRHFSGGVSL PGLGERKPALPTRPIQQQQQQQQQQIPQRTQPMPPPKPARKPVVDLLADDEGGEMNGW ETLRPS PFICI_01463 MSDFSQYGGDAEENAEIKKLTAEVEVDTDSFEAWEKLIRACEAQ EGGLNRNSSPQALSTLRDVYDRFLLKFPLLFGYWKKYADLEFNISGPESAEMIYERGC ASITNCVDLWASYCSFKMETTHTPHLVRELFERAANCVGLDFLAHPFWDKYIEYEERQ EAHDKIFAILQRVIHIPMHQYARYFERFRQLAHSRPLAELVPADELARLRAEVEAEGA AYGGMPKQELEIERDIRAKIDTFYYDIFRQTQEETTKRWTYESEIKRPYFHVTELENP QMANWRKYLDFEESEGDFARITFLYERCLVTCALYDEFWFRYAQWMGSQPGKEEEVRH IYMRAATIFVPISRPGIRLQFAYFEESQGRVDIARDIHEAVLMQLPDCVEAIISWANL QRRQSGLDAAIEIYKAQIDSPNIDIFTKAVLVTQWAFLLWKIKGSVDEARIVFAKNVQ WYADSRHFWKKWLEFELEQPTNAELEAQHSERIQRVFDDLRVKSRISAAAKKSLYLTY MAYLQERGGKDAMKQFLALDREIYGPTSTSVLSKPALKENGSMRAPLDDATRLKAEST YPMYYEFIAEADADAPGTASFN PFICI_01464 MSAANVSRQFARAALRTGARNTFATSSRQAFRQNGRRFYSSEAP QKSSSSALLYVAGAAVVGGAGFYLFNSSSSASVKTFVPTQADYQKVYNEIAGRLEEKD DYDDGSYGPVLVRLAWHASGTYDKETGTGGSNGATMRFAPESDHGANAGLKHARDFLE PVKEKFPWITYSDLWTLAGVAAIQEMQGPTIPWRPGRADGDVAACTPDGRLPDAAQGT KHLRDIFYRMGFNDQEIVALAGAHALGRCHTDRSGFDGPWTFSPTVLTNDYYTLLLSE KWNVRKWDGPKQFQDKGKTLMMLPADVALIEDKAMKPYVQKYAKDNDAFFKDFSSVIV KLFELGVPFKEGTESFTLKPTNA PFICI_01465 MTYFPGAEYRSHTSCMTEEQKYQGALYKPKKQKSGQQASSAPPA AEPKKMSQHAYVEDIAEEYEAYQDYEIHESDDDNKSAADLPPEAPTPPPAVDNSVNVF DFLVAATPNASNLNLPSHNEETQLVRFEKEANNFVDPTGYMVDDEDMVPVPTQYETPA PKSKKSKDGEKKDRKRKRLHIETNSPLAIDNGPSDEMMIDAPPPELHSGLTGGLKGLM RPSQFPPSPDYSGGDGGEHSPASPIKKSKQHKSSKTSRTESLGSNLKALMSGTSKASK VSKKRKHSSERKEKKTKKKHSKTDSEKAPKLIEYRPQGGDGNSGNDQMVVFKPRSELF LSMCTKDEKSARGYSVNKALKRFHRERLSSESSLGKVLEEKELWRSLRMRRNDRGEIV LFSI PFICI_01466 MAPPTSSSSNPSGSYLEQTVSLLGSVSAYMRLPALVSTGAAAVL TSLLYFKQKSLIYPAHMPPNARTDIPRPPEYGIKDFEELTIPTHDGEKLSAFYIRARK GSRNSNCTVLMFHGNAGNIGHRLPIARMLINYIGCNVFMLEYRGYGLSTGDPDEKGLM IDAQTALEYLRDRAETSQHKIVVYGQSLGGAVSIKLVAKNQNAGDIVGLVLENTFLSM RSLIPSVIPPAKYLTLLCHQVWPSETVIPTITEVPILFLSGQQDEIVPPPHMRKLYEL ATTPTKIWKPLPAGDHNSSVLEEGYFEAISDFVSNVGGDEIPDKRRR PFICI_01467 MGVRGSHCSQPCLKVRKSCDHVDTDQCHAPSACNEDKPCQAKTF VTCECQHRKQEVRCQASKSNPWPERAPLKCDDECLRLQRNAKLAAALNIDPATHTDDH VPYSETTLKFFRDNAKWAQTYEREFRVFASDPLEKRLRFKPMKTHLRAFLHSLAEDFG LDSESQDPEPHRHVAIFKTPRFVSAPTKTLGQCVKIRAAESQAQQAAGPNIIVPTEPF NALILSSPQFGLTIEELESSLKADLATQPSISFTTSFLPSDEIVLRGTGAWTPQALEA SVTALKPLVAQTVSRLGFAKVVALCHVDTSLNVLRREADHATKNAGGWNTVAGRSAAR PATVAPPAPAPARGKFVALLKPKKKKQAEEDPVEDDWEAAAERLEVEE PFICI_01468 MAGAVRRFAVICCHVESMNVRALVIPASVAAVRCWFRPSATVAR SSRRYFVNAVAIRKHHSIMDSLGILRLHRTPKQKDWASHGSLAVLSATMSAGDCSTVS SIDANRSAMLRMNKSLTAHTLPTW PFICI_01469 MAEQQPSTAAAGGGGERGGRHNRGRSGRSRGGRGGGRGGRSRGG AQNTSTGQQNPPSEASPAPGATQPPRAEGNTPGQAHSGRGPRRTRNARRGGANSGHRT TFGAQRAFGGQLTTESQREEGEQGTNASLDVGANDFVPGQSTHEVRNTPGDSVANGKT QRERRDSKSTAPDLPTRIHEDITYGQYECVICTNEVLSNSKIWSCTICWTVVHMSCVK KWYTNQTKKPEQPGAEALKGWRCPGCNSAMTDEPSIYHYVLQAKVNLPSSVWLYDLPC WALPAMRDDGTKYLVLLRQTGFNKTL PFICI_01470 MLAFLIFSISLVSALSVPNTLPQGWSYSGCYTDVGRTINADYTA SKQMTAQSCISYCSSKGYPFAGTEYYNECYCGTKLTTGAAKVADTDCNTACSGDNTQP CGGGNRLSLFNNSNIQGPQPNTGIQNWTHIGCYTEGQNGRALGYKANIAVDQVSGANC TAACKTAGFILAGTEYSGECYCGNTIANNATLAIDGCVMLCNANKTEVCGGANRLNIY DFNMTYPVTLSSSSSGSSSPLTSTATSSALSSTLTTATAASTTSSTSLVAAFSSSQTQ NTETVPQISSQTQNTGSIPQASSQTQNTGSLPQTSATVAGTLSTDSSSTSTSDSTAVP STETPSSVDTLSIATTISTVISSSQSPSGASSSSTATSASVVVNQALATTASTSAASA TPTAPSQPKTISNYNLYGCQTEATNARALSAFSYVNDTMTLESCQAFCSAKGSTYFGT EYGRECYCGESFGSGSVAAPASDCTQLCAGNKFEYCGNGNRLSVYVKNGTVIVSSLSS AGGSTTSTGIASGTNTPAAATGFPKGWTSQGCWQDGPNGRIMPTYQDPDNKALTPQSC AQTCFSKGYNISGTEYYSQCFCSNAIYNGGKASADQTKCNTPCSGDSKSMCGGAGYLS IVSNGTPPTFQPPVPQTSGLNNSWTYQGCFPDNLNNKRTLPWQLLFPGTLTPSQCLYQ CSQFGYMAAGLEYGQECYCGDPVDLANAGATKQPETDCNLACAGNASAICGGGSRLST YFWTGKQPLWQFSYPTGNNAGIYSNLVGGVVTPLMTMQSITGKVTFLEKGGTGAANST GAYELDLTYGDRWKAWREMHVKTDIFCSAGLILPDKAARQLTIGGWSLDSTYGVRLYW PDGSPGVNGTNDWEEDVNNLRLQNGRWYPSAMGMANGSILVIGGEEGSNGAAVPTLEI LPATGGKPLTMDWLARTDPNNLYPFAAVLPGGGIFVGYWNEALIMNENTFATTKQLPN MPGAVNDPKGGRTYPLEGTAVLLPQYAPYTDPLGILMCGGSTPGAGLALDNCVSIQPE VPNASWTLERMPSKRVMTCMAPLPDGTYLIANGARQGVAGFGLATDPNLNAVLYDPRK PVGARMSVMANTTVARLYHSEAITLLDGRVLITGSDPQDGVHPEEMRVEVFTPPYLLS GLPRPSFTVASKDWAYGAVVSFALGAAARNGAIRVSLLGAVSSTHGNSMGARTLFPAV SCTGTSCTVTAPPGAHVAPPGWYQMFVLDGDVPAVGIYVRIGGDPAKIGNWPPGNFKR PGV PFICI_01471 MPSSWSALRSLVSRDSSAEELLSLLNDPFKQELGDGAIWSALGS SVGITFGMAILFSFVRPYNTVVYAPKLKHSDEKHAPPPIGKGIFAWVKPLWSTNEKDV IAHAGLDAAVFLRFTRMCRNIFLVLAVLGCAIIIPVNWTKNSGKSEQKWFKRITPLEV WGSNNWVQVVFAYLAVFVICGFLWWNYKKVVVLRRGYFDSEEYQYSLHARTLMLNDIP KNLASDEGIARMIDEIIPQSSFARTAIARNVKVLPELIAQHDRTVRKLEVVLAKYLKD PHNLPAARPLCKPSKKDPSFSTYPKGQKVDAIEYLTQRIRDLEVEIKEVRSTLDKRNT LPYGFASYDDIAEAHCIAHALRKRKPKGTSVALAPRPNDIIWDNMPMSPSARSWNRIW NNIWVAVLTIIWIVPNAMIAIFLVNLNNLGLVWKDFQTTLAADTTFWSIVQGILSPAV TSLVFLVLPIIFRRLSIRAGDRTKTGRERHVLAKLYSFFVFNNLVVFSIFSTIWSFVT AVIADTQKDPDVWKAILKVDPATTLFIALCNISPFWVTYLLQRQLGVATDLAQLWKLT WSFFQRKMGSPTPRELIELTAPPPFEYAMYYNYFLYYSTIALCYGGLQPLVLPAAALY FAVDVCLRKYLLLYIFVTKTESGGMFWRVLFNRFIFATVLSTLVVFLTCWVRGEGTHT QAFAVIPLPFLVIAFKIYCSRAFDNKIHYYATRNVVRNPEHGNPKDPLRSDRLATRFG HPALYKPLITPMVHSKAQNVLPSIYRGRISDGREAYSGDTMSTSGYSDAYILNPMDKS GKAAKSSMPGFELVPEGRLDFEYYKNRPEFASEHGGGEIYGRHADLIRPGTPGSMWND SEPPSRPGSPGLPPVPRTASPGPGRTYSPLAGNASPKATLPEPGRSRSPLYAMDDESG LNLVNNAAAMPMTTPHREPSMEHPALRAPGGAGMLGGGPRGYGNLPQEEDMTPEHDPM AYDYFRSTRTRRS PFICI_01472 MKSTFTSLLALAAAATALPSQNGKRAACSSAVSLDASTNVWSSY TLHPNSFYRAEVEAAAANISNSTLAAKALKVADVGSFLWLDTIANIDKLTTELANGVP CENIFGLVIYDLPGRDCAAKASNGELAAGDISTYKTQYIDPIVTILKANPNTAFALII EPDSLPNLVTNADLATCQASASGYREGVAYALAQLNLDNVVMYIDAGHGGWLGWDANL TPGAEELASAYTSAGSPSQVRGFSTNVAGWNSWDAEPGEFSGTSDAQYNKAQNEEKYV TLFGAALSTAGMPNHAIVDTGRNGVIGLRDAWGDWCNVNGAGFGVRPTASTGLELADA FVWGKPGGESDGTSDTSATRYDSFCGLADAFKPSPEAGTWNQAYFEMLLENAVPSF PFICI_01473 MSPTVRAPDASRQISEAKEEVVLSSPDRRPLDHHDNGSSPNGQS RKRSHDAYAMEDLLKPSIIVRPHPSKLSTKPRSLQPLMLLPREHLSLSCLDLAAPCGD FEHAHQYESTIKILDLESRLGSRPVVLIARMETNKTAYVLERQDGGLYSLCQLGNWVD LEKLSAHATVAYAKLLKSRSIPVGTSRPEASTTPQLHHENEKRRLAMEAIQSLVKRPS RSLSVSLPPQSLNVIHESALPKAEPAAQTAASSGGLQSLADTGVPEQSNNPPTSSAPD DGPAVQTAQSIFENIRLQYFEALYHSMGSLAYFAKGPLSRARAAFRPDSDSNLDMNEL IDFLKSLILTTIQIDKKYRETIPGILTQMKTHIPDSEDEQGSKTKKRKSKKMKLGKDI LYPNEDDNVRRWWDARKPQQRDDDSSPQEVPQETKLQISRLRSRETQLQMILILEILA LEPMRVAANEDSQLHSLATEASFSDSFKDSIPKKRNKHNFPILLDVHADRLSIWQSTS LDEIKVMDESQSGGPNAAQNPGSHTDPLKDFCIEIIVPFFSARLPEHCDSLNRKLGGP LMPSPPKPKPKRAEDIAKPKAKPGTVTKRPTTTKTTRTLDRVLSKESERNRRSMSRGP GSMIALMRSATTPVPMLKRESSDTVSLASLPARRDSQDMSASRSQASGSSAAASRRPN PEEKAKKQAEIDAELKAAISSLRKPNREVVVGKAMAEAEERKASTSLSQLRKSRKPTE HGGIHNIVKATPAGPRFRNALARDDWSQTTSAKLHESVEVDQLVSSSSRRIPSSTTKK RNNDQVLVEASSPALPPPRAPADLIDATPLKRPTIKRSFLSAPEPDEGLVLASSPIAS RKYPPAPSSYLKHRDSGIGMPSSPGDRLAETPVKRPRLGQTGSLEGFVTVTPAKSRGV ASDVAVTSAPKLQLDKPSTTRTMSLYERLGWDDDFDDLI PFICI_01474 MSSEASPRAVRFSPSEVELLDDKLIHPRPLAIATDSDLSNSSGD YTPDQNDLAELERQDELGNLARYADGGLSGSMASLAPNSVANSANRNRDAVAVANTNG TPARLASRPLPLARTPSSTYAPQRGPAAQTVSINTRSGSRPRRSDDPERQFRNQEPAY LRMIRHQEQPTSGYFGTEPYTPSLDYTDDESEGETPSSEGIFDDRYNEETIMFYNPDE NDVQPTEDDVKDPDNRERLEWHGMLAAVLTGDVVRQEKKRLIGSSEKDGGLTAHKAEL WIGLRSKICGRSLAVQRRMVEEARSNLDRVIDEITRFEVQGESEAGKPPIEQIRDVVK KIEKCESLYPSSQTLAASHKNATLPSFSEACNAVLSWYNTNEMINTELEILKKWVGND DLDFQMKKQRSPSTNGLSDETSFLDRLMKEDGLKSLHDDDGDEHERQKTAAKTKSLIR RSMLTAISTTIAKAKETLIANSEAFKKRHLPPYIEEILTLISFPSRLIEEIIKVRLAY ARKMKETTQQNMILQDQMIGQFEILLRLAIRIKQDCLTVLQPQPGWQLPPCIDDSFDQ VVLEALRYYFKMLNWKLSRNKNTFKEAELLFQEWDFANMVGRNLQGGDNEVAEQFSTL TFKALSRLSQTFERELQRKPREAADVMSKRYKQVLESVRVRQRMLQRFSRMLSDHYEN ASDMSIAFASNELQHFYERLSVSGHFLVDEVDGIQIIASPTLVGRDDDVQSIIRTCFH GSLQEPDPTDPYILICRPETPLHWFGNRSTQPLNIDSTDLKLGQVRLVADGSQYRLAN ARKAFLDTIDMHLDLIVEQRSNLHKVNTRLMEIRKVAFKLSNTFMDSVEIIRRQTKGM NCTDLIQTCFIFATEFGQRSLISMDSNRRQMNNMKLTKLALDWVSFICDDCAVSDRKS FRWAVPALEFAMGMTRGRHILGLDPSEYARLRAKVSGCMRLLISHFDILGAKSNQAAQ TEKERIEALVGQFKRLDKNRMLDDDEAFKYIQEQRLERISDIDEVQRQTIAERQGLGR VLEVSNEVDRSLAFLSSSATNVTMRWQQGHFVGGGTFGNVYAAMNLDTGHLMAVKEIR LQDPKLVPQIATQIKDEMGVLEVLDHPNVVSYYGIEVHRDRVYIFMEFCSGGSLANLL EHGRIEDEQVTMVYALQLLEGLAYLHESGIAHRDIKPENILLDHNGVIKYVDFGAAKV IARQGRTLVKTAATTPNRSMTGTPMYMSPEVIKGESPGKAGSVDVWSLGCVILEMVTG RRPWSNLDNEWAIMYNIAQGNPPQLPGSDQLSPQGIDFLQKCFIRNPKNRASAVELLQ HEWIMSIRSQVIEPATPSDSSGSAQNTPHPNSASSRAAAEGFA PFICI_01475 MAGSITKPKKPKSKRTTVRLRHKIEKASSSKQRKARKEAKKNPQ WKSKLKKDPGIPNLFPYKEKILEEIEEGRRRKQEEAQKRREMAKAAKTGTVNANESNN EAAMDGAEAGDFEGFDDDAMDEDDGFDDSNPMAALIASARKAAEKYDRELQSGSEMDD DDDEDDDDEDDFYAGSGEAVGPATSRRAYDKVFKQVIEQADVVLYVLDARDPEGTRSK EVERQVMAAASGGKRLMLILNKVDLIPPPVLKDWLTHLRRYFPTIPLRASGSAPNAQT FNHKSLTVQSTASALLKSLKSYAASQQLKRAVSVGVIGYPNVGKSSVINALLSRLGGK GAACPAGAEAGVTTSIRSVKMDSKLTLLDSPGVVFPSVTEGESRKKKQDPIEAHANLV LLNAVPPKDIGDARPAVNLLLKRLSSSPDLLQKLMDVYDLPPLMTTGGDATTDFLVQV ARKRGRLSKGGIPNVESAATTVVTDWRDGRIQGWVDAPVLPVSVNAASAAAAAATSSA DAPAPDQKQIVTEWAKEFKLDGLWGDDEAEDAEMEQ PFICI_01476 MASFTAPPETVSFQGLLFDMDGTIIDSTAAVEKHWHTVGTEIGV DPEVILQTSHGRRSIDILKILHPEKANWEYVCQMEGLMPKLYGPDAVEIPGARALLEQ LIPAGAPWAIVTSGTVPLVTGWLDVLKLPHPEHLVTAESVQNGKPDPTCYQMGRSKLQ LEESANVLVFEDSPAGIKSGKDAGCKVIGLVTSHTLEQVIAAQPDWIVKDLSSVKMLE VKNGVVTLEITNALTPTNS PFICI_01477 MDIPYCGPSGFPVFGFGPGQGSAMHYQDGQYTVYPSFPNLQYMY AAQQQPNDYPTDRNALGDGKAESKPRLSKDEVERLEKIFQENPKPSSSVKAQLAEELG LERPRINNWFQNRRAKAKQERKQEEYEARRAAENGDSESRSPITETSSGVAELFDQED HERAKPSSAPFPNLDATTNSTPSVDNEDDGEDDVASHSEQFGSPYAAHSTTSHLESAA GELQSPLSVSLPPSENVGFSYPHVNQAFGAAEAMQNYASFSLQDGQQAKDLSLNHGVE NVEHGLPSPEENIVDTQYQSNQYLELVGPSYPPSALPYYQSHPIMEDEVKQDHETEEQ DGFAGTFHSEHVGMSLPQDGSTIGNSFKAPPPPANIASRRNIPRPAALTVATPRSRSY NLGPKTGMDNYRRADPTSPATAMRRIASAGGNMAGRIQKQATGPRSPLFLNRNTEAYL QFRSPVFNAAFTGATPPTPMTPAIMSQGRREPAVSSTCSDDDSFMLGHGMDSLKTPPE TPGVMGVLGTAFNHHAFNTGMDFAAEQPLLTPGLPSEMPDLSMRHMPSYVEMGDASLP TTPLYPNMMSSMAQDPNSLVAAVSGNAQFDWDANESINSSRSSPGQPRSRQIQFTPNM TPQDYNTVP PFICI_01478 MVQDKHGGGEEEEEKKQETEVDKKAHVVQAKLPYDSGPKVVFSA HRSQPLVSAAATASICCRVSCSLALLWIFFKMVLLPLLAACSLYVGSAVSVPVADVYD TLEAPVVTVKNGTYSGIYSDEYDQDFFLGMPYAQQAVRFAVPESLNTTWDDTREATAY PPHCIGYGSDDIGYALSEDCLYLNVVRPAGLNNTSDLPVAVWIHGGGLYMGGSADRRY NLSFIVENSVNQGTPIVAVSLNYRLAAFGFLSGKEALDAGVTNIGFRDQRLALHWVNE NIAAFGGSPDKVTIFGESSGAESVSAQVLAYNGRDDGLFRGAIGESGFGGILTRYSGG LNRTDAQQETFDNLVKNTSCASTVGTPEAITCLRAAPFDEINTALNVTGVGPWPPALD YDFIADFPTNQLESGNFVQVPILIGANTDEGSAFGAGRGPTGPVNTDSDFAYAVNNML TPDVVNTTGKSAEEIVHEISLLYPNIQSIGIPSLESWPEVITNATEGVESIGVQSRRI NALAGDTSFHYARRRANIAWSDAGIKSYSYRFDVTVNGISESISATHFQEVAFVFYNL NGDGYATNPFGNTTQAYKDLALTMSSAWVNFFVDLDPNGAAVSPAWPAYNTSAGGGVG QNIVWSVRGDGSYIEWDDYRAEGMKYLADNALELFGN PFICI_01479 MPRMAMPPTPVSSTEMKSQDGLHKLSALQMSFELPPSAIGTNGS SRPSSSEAKSDASAVSPLTPYPVQASETVKSRRRSSAAQKESFALPPPPTRSRKIIQM KPRPQEEPEEEPAPVKTPAKDTKANASGSKKKQPSATSAAGRKIARKTAHSLIERRRR SKMNEEFAVLKGLIPACTGEMHKLAILQASIEYVRYLEDCVKQLKEQRDPHSSAPTPT EFVLPPSAGQDSYEADEQQADDGSGDVEMAGSEAASPTYTDYTSRSQRPSESPALLAQ DARNRHGSYSSVSTDHRHYSYSASSAISPNFGPQSWSYARTAPSTSNSTLTSPALQPQ RDLDQEATAALLMLNNDRRGMTNPSPARGMSVKDLLSS PFICI_01480 MSGKDRPLQNDSPAKMEHEQTQKFDYFPMLPKELQLLIWEHYET VNLRVRHMFCGMDEGFWDESCYYDFNQTSFPELVWSSGRNIRHPRSLLGFVDLAVARR YEIMLPNWTYWAPFHGSPSPDFDHEFAQPPAGFCDDNSWSRVPTWMNFKVDSFCFIPR LIIPFYNSARRRHSTPFPPPPLGLILFHDFDKIENGNKKTPWFWSIQNLELFITSIGT GFYGNEEHALAIHPALKSVKLLVAAEDLTCTHEGDGKGTFSGDRVLNDFLKGKRTLSD DLSTVLSQWNTSPHNVCDCGLPRKFLRELLELRDNIYRIVKDRVASVQIIAAGGDDHI HWTQPEALAELHPASDHATQ PFICI_01481 MFCHCRHSRATAAIQNFIRPPVLWTLVVVTLSVSGALVGFSISK TMSLNEQVSTANPNDADKCPDPNASAHCTSNPKFVGLLSSFFLQILSSYCHLVPILDD HMRRKEIDVHHYIFYFSIATSMLTAMLAPILFCNKGKGLELSIVMNFLANIFDVVTAT QLAGGIMKLNR PFICI_01482 MAAQADMQVDLGVDDLFGDDAMNLQTRPSKRLLQRINELRTRGA CQSLAWSKTGIIATVAPDGRSIELRYLRAHPKDASWGLSEPTTFVPEGSLLGGPIVHL AWSPTQTSDLAIIDAVGRVLIVNFSANVNRPTTQRKWDDDPTDDLNAVVGTFWLNLLP THRNQANPLHAPAYKEGNSNSYTFDTSLQVSNAPYHPNPTRSALVYVTTNGLLKMFWS QNNNKIQETSFELDNITSSNDLVTHAAIAPDTKAKCMWVAFATSSKQLHLAQCQLSWG LSQETMKGAMAAGQSLNPSFAGKHVAITSWLPGNTTEPPSESLANQLSLLEFVPGTFD QTTKSWLWPVILAVRSFVPTADTPYNQEAQSIIDRWEVTSDQPQTLHPAFENLGSRRN SVGAAPAPAFRLKKLEPVVVNKIAVGISLVRLNTAICVTYSDGTVEYRDRTTLNPLWT EVNLDRINSIHEAGFTQNGEQSCLQAAVSATLASVVQLCEDNTVKWHTVAYTLTDLAS MNDSQYQAVVAALTISIGQAAASNGNIDDVLAVARQFLGKTRFPCDLISEMVRMMRVP IDYSEEPHHDTLVRNQLLQLCLSVLNHLGWNGEFQERSFRSKMAMFALNLRNIVILIT IANNAPGHMKNTTPLDEPEVVDALAGCCKWSIDLLCWIVDSLFCLLNDQKFQDHFTTP AKYSEMTPYLLAKKNIALHMILSSSIRGLLSAVCRRIQHLQFISHKTINYYETMDTNT TNPPPAALQVAYQKMLRYTSNPLVNVSKFDELLTTIGTDIKQQYTQQFAQFSHRAMEA AKKTQPNPPKSIGDDAIKRAQLHCEMTMLLGNAPPLPLLTVLKKFFQTDLPQFRAFCQ PSELFFANYNLLEVDDDEVVLAARRERGARVDLFKRVEIFRGKKLTNSSGEEVAVDWR RCSRCASVMEDVIPFVMAKPGIAFVLSQQRFCACGGKLAILKKNELVG PFICI_01483 MDSSSDLSDPPPSPPSDPPTSPLSELSKSPSLSPTSRYVSPPST LPSGSASPMKMSEPVVKDSICVNTEGARPAKRRKIEKKPRTTEYLDLRAGYDHNEDDE DLVNRLTSTLRQKKKIVIIAGAGISVSAGIPDFRSSKGLFKTLKTEHKLKASGQQLFD ASVYKHNDSTETFHAMVRALSDQTKQAKPSAFHHMIASIAEEGRLLRLYTQNVDGIDT SMPPLATTVPLAQVGEEKGSWPKTVQLHGGLDKMSCSKCSELYDFDGSVFNGPEPPPC PGCTEIDNVRTSHAGKRSHGVGRLRPRMVLYNEHNPDQDAITKVIKADTKTRPDAVVV VGTSMKIPGVRKLVQDLCYYTRLRRDGFTAWINLDQEPSGVDLKDCWDLVVRGKCDDV ATLVGLPHWDESPALGHDVKVDDKKFEQVKETLRTTELEVQIPRSPELSIASVKEESR ATTPAEAQSQMLEKIRGMPTPTASPKMRTALPQISQKPLAKTKQSQLLFSNAASKSTP APAGKETKKRSRKPAQTKKAEPKPKPTIKNAFKATKSQVAASAKGPAKRGLDSDQSLK AMDDAQTNWKSNFPFSLRPSATSESKGERERIRFESTTGQLQTSLRETETQRGPTTPK QEGSSSETETISPPSKPRGLSAMID PFICI_01484 MSSSPEPEAANGYSRLVVRDDGGANGDAHGESDMSEVDESITAR PHTSASADYDQSADEHENTFEEEDQEAIASSESDNDNPTDDADFDMEESAAASHSDGA QDERSASNSSRQSNKRKATNDEEDYIKANPELYGLRRSSRPSKQSKVVDSDDEDSDSD VVPVSRRSTKRRRVEQISQQSSKRATPVRQTPADDSDSDTYGGARAKAFTKKARRQAQ APTAAVYTEKRWSSRNAAKKVQAGMYEESDVDDEDDEVTPAYWGEDLADDSPYIEKVV GHRVRDGGDLAYDATKEDFEFNIKWEGKSHLHNTWDSWDTLRGYRGVRKLENYYRKAV EYEIDLKFAGDDIPPETREQYLLDKEREQDALSDYTKVERVVAERNGDEGKEYFVKWK GLTYECCTWELASTIRDDAQDKIDQFLDRQARTWTSDKYETNLNTRTKFLKLEKQPDF IKGGELRNFQLTGLNFLCLNWCRGNNVILADEMGLGKTVQSVAFLSWLRNERQQEGPF LVVAPLSVIPAWCDTFNLWSPDLNYVVYLGNSEARATIREHELLINGNPKKTKFNVLV TSYEYILSDADFLRTLKWQALAVDEAHRLKNKESKLYAELVSFGAPSRLLITGTPIQN NLAELASLLDFLNPGKVVIDEDLETLQSADAQEKLQDLHAAIAPFILRRTKETVESDL PPKTEKIIRVELSDVQLEYYKNILTRNYAALNEGNTGQKQSLLNIVMELKKVSNHPYM FPGAEERVLAGSERREDQIKGLITSSGKMMLLDQLLTKLRKDNHRVLIFSQMVKVLDI LGDYLRLRGFQFQRLDGTVAAGPRRLAINHFNAEDSQDFCFLLSTRAGGLGINLMTAD TVIIFDSDWNPQADLQAMARAHRIGQKKPVMVYRLVSKETIEEEVLERARNKLLLEYL AIQAGVTDEGKAFREEFKQRGLRVDEAKSADDIQWILKMRSQKMFEQSGNQERLEQLD IDSILENAEVTKTQVSDKINLSTGGIDWDNFMQYTDVKVDDLALDWDQIIPADELAAI KADEDKKKNDEYLQRALEESAPRRAALKGAQANGDSERAERAAKKREREQLKQERLEQ QRALRTNPKRPLNEKEMRNLIRAFMRYGYFDDRPDELLRDARLSDRDRDFIRGILEDL VATAQEAKDKNNDDLRQQEQQTRKKLTKKDVKAVMFDFGEIKKINAETILERPPQLRL LRRIIREQPDFRNFRLPEATKAAHYSCEWGAKEDGMLMIGLDRYGFGAWTNIRDDKEL EMADKFFLEEHRVEKKAAREQAEAKGAKAPGAVHLVRRSEYLLSVLTAIHSDDVEAKR VVENHHRNNKKSAHALANGHRSKNATSASPVPRKKESRDRDRDLIRTNSRDHGRSREV DGDSRDSSRLIPKRKPSGGLDESARRKHPRVETEKEDSPEARRRQQELEEEDDKKNAH IIEALMQPIWESVQRVESCTRAKIPIAKARAKVLKAEITAIGNFIEGLPQTPELNIDE IKPKLWRFISKRIPPSQPDKDKGEASSSDKDKSMTGPRLSQLYLQLKTAEADAQKEKA KSSKSSSSGTANGTSRGEVSRPRH PFICI_01485 MNGTNGHLGQSWQEHKTADGRPYYYNTLTKVTQWTKPEDMMTAA ERALANQPWKEYTAEGGRKYWYNTESKQSSWEMPEAYKRALGHDSGPPTPAAPGPGYM TPTYDQPREREVLPEARQLTYGNDPRVQSFVPASNEPEYATAEEAEAAFTKLLRRSGV QSDWTWDQAMRATIKDPQYRAIKDPKDRKAAFEHYCQDVIIQDKERAKERMTKLRADF ATMLKSHPEIKHYTRWKTARPIIERETIFRSTDNDDERRQLFEDYIHELKKAHVETQA SLRKSAMDGLIELLPQLKLEPYTRWSEAQGLLKSTAPFQNDGKYKTLSDFDILTAFQN HVKSLERNFNEARQNQKNKKRRIERKHRDDFVALLQELRRGGHIKAGAKWKQVLPLIE NDERYQLACGQSGSAPIDLFWDVVEEEERALRGTRNDILDVMDDNRFEFTPKTTFEEF LSAVNNDRRTANITREISMLIFHRLQEKKSKRSEDDKQSERQQRRAFDDLRSYIKHME PPILPDDTWEKVRPRLVRTPEFQAVTSEESRRGAFEKVLRRVREREEEDRDRQKRRDR SLDRGTYRDKERGDRSHRSDRARPSRHSRSPEPDAYEADRRKAIAEREKNYRKSSMAE SLLSDRRTSDSHRDRDTREGRDRDRERERDRDRERDRDRDRERDRDRDRERERDRDRD RDYRSRRDDYARERRDREEERERLYRRRVERGPVDELPYGDERPSSSRRRREEDEEPD RRDSRDSKRLKRERTPRERSPPREIRKPKTPPPPAVVADEGIHSGSEEGEIEED PFICI_01486 MPQSSSSSGWEFIDNSTLTYFIPLATDFNVEQVFRPNGESNTTA LDSIEYRESLFFDESVDVYLVLKTPAVEDKVLRSSLRRLIVSLEAKIVNSGSTDRDSA RTSEIVYSGTVEETQEPIIISENDNDDEEGYSFAIWKLSVFLSRPRIRLQSPSAVFVA SAAFRPAELSLSSQLNDGYLPSGVASGLNLLESLGSDPAMNGIEPRLSALRVSRVAPL TPQSRDLSKPIKSSPKQLALRVAPAVHSRIRFARPNTTPPSPTIIAMLEIDFTPFFDC EMSLTSIKMSVADGRVEDLSSQPEMSLPMSCVAHDHITFLYRIVPEEMETLAKNPTRD LNIAIEMTALEQPGVCTPKLTMAWTTNVDFTLPVNPGFGSALQPIQRSHRPSQLSINS MMSLGSPAIARPDSLPSLEAATNKPDANLPDLGITVTFTGPPPTEKIYPGQVFSWSVL VVNRSPPTSHATARKLALVVIPKRRRNETRVNRAPSISPAAAATTPQPQSKAPHADRE VADAVLDDHIIHSIQRSSVVDSTEVVSLSADVRVGPLAPGSCHVVELRFMAVKTGILG IEAVRVVDLNSGEHVDIREVPSIIVDSPEAQSVASKDLELETSSERLVTAEA PFICI_01487 MADLATQSANPVANKPASTIGGNAPPTPPETQEVKSANPTSTLP ASADEPAKTNETSSVPVPASTSETEPSKGLGESETAVPVNGATTAPGKLSSKSLRFII LYGWELAINASAQVSFTLRTMHRSLVTPQCAQVMETNKTAGAGTDSVKAAEAEADQLA AQPEKPSDNLTSSAPINAKPSAAAASTNEELSNEPPKPVSLEEVPDPEGPTTKLKAAE DVVSPEPTKEPAATAVSEEKKDAEVTDAQPTVTTGPSQPEAVPAVSELVAEKPKSGQK RKAEEPAAAAVNGDEAAEQQAPAAKKQKESPIKRVADKVSNTIKKVGRPKKEKKEPAP VGKTARKTRSQGKADE PFICI_01488 MAGEFEKHPFLLPVQDVAKQFDTDMERGLTSAQVAQLQEKFPPN ELDVGGAIPWYTIFLRQLLNAMILVLFFAMGLSFGVQDYVEGGVLAAVIFLNVSVGFY QEYGAEKKMEALRALSSPSAHVLRDGKTQVIPNSEVVPGDIVLLKMGDTIPADLRLFE VMNLTSDEQSLTGESVPVEKIEQHLESEELGIGDRINIAYGTTVVRKGRGRGIVIATG MQTEVGKIAASTTKKNRKAGRSMNYKKYGKRQPVVGLTKRIWDFVGKFLGLTEGTPLQ IKLSKLAYILFGCALVLAVIVFGVNKFNVPKEVIIYAISTGIAIIPESLVAVLTITMV VATTVMRKANVVVRDLSALEALGGVTNICSDKTGTLTQGAMIVKKVWIPRKKVYTVHD SKDPSNPTIGRVTWAEADENKPGADPEKRDFDQERSATALKFDVPDEKANFRSTKKNE AEASMTAELEAFLLSAALCNLATVRQETEANADERKWKTTGEPTEIALQVFAHRFNKG KKTLEGEGGWKQVAEFPFDSSIKRMSVIYNHPDIDHSMVFTKGAVERVLDLCTSAGVG DYAEEMTDSYKELILKQMDDFASQGQRVLAIASRPWHGHYDEKSSSEGHDEDDALRKS VESGLTLVGLAGIYDPPRRETTPAIAECSTAGIKVHMLTGDHPATATAIAKEVGILPH NMGIFPADVADSVIQKASDFDKLSDAEIDALPELPLVLARCAPDTKTRMIEALRRRNA FMAMTGDGVNDAPSLSRADVGIAMGSGSDVAKSAAKIVLTDDKFNSIVAAIREGRRMF DNIQKFILHLLTSNVGEVILLICGLAFRDSTGYSVFPISPLEILWINMLTSSFPAFGL GREQASPMVMRKPPHDKKRGVFTNQILVDMIVYGLLMGILTLMSFVVVVYGANGGNLG HDCNGAFSDACIPVFRARATVFAELTWLILISAWEFKDLRRSMFKLNPDSDSKFPFFK DIYGNKFLFWAVVIGGVSVFPVVYIPVVNTNVFKHTAISWEWAIVVAALFLFVAGVEL WKMTKRVFGLLEDKAVVKGAFSQGETEGRRFTHTMSFSSLKSWRSFGKKNTSDSRINN VSRSVSKSRM PFICI_01489 MPCRSNHTARKQHSLPAAYYRGGTSRAVMFKADDLPADREAWRA PFLGVIGSPDAQSGRQLDGMGGGISSLSKVCVVGRSQLTNDTADVDYTFVSLGVRDDH VDYSSNCGNMSAAVGPFAVDAGLVEVPVTAVPCDVTVRIHNTNTGKIIHAVFPVVEGE AAAHGDLAIDGVAGTAAPVRLEFVRPAGSRTGKLLPSGTATDLIGGVATTCIDVANPA CFVLASDLGVPGDLTPQQIDDHPTLLATLDKIRRQAGVLMGLAPSPEEVPGSVPKITM VSPAEEGLTARALSVGQPHKAIPVTVALAAAAAAKLPGSTVYQCVQHGGDGLVINHAS GTIKVDAKYDQDGDLEVATVFRTARRLMEGKIFWK PFICI_01490 MLSQTRGLYITSMISASLIEIAGTVRKDGDHMLLAVAIPYLLWF ILFILFVWSSTYEIAKESEFLMFMFVLSTHPSSGHN PFICI_01491 MVLAEVDAVPGAEIVPSSLSSSASPHGFSLDSPMIGTVDGLSDY SLVETQDMTKSILTTTTNINPDMVTFATPLSAFLSLEPTLLYCPSPWPDDLQASPKRQ FLWNYFLHSLQMNALCLDLEDMPDFQDPFIATIPQMALRNATLREAALCFSAFQYTAL HGHWDLNRTMGAAWRKAYEGLRAQLAIRQAADGWSFLSMISACCLLYWCAADQGEDCL RLATKLAMEFLQQQQVEPTIPRTYQDVILTGFRWTMIATLCSLKPPSRLLNDKMIDAV EMGHHEVDANHSPAFANWISHPLYAFSPRLVNPLLRMGHLADMQLLRHKDKIHGSNDS TTDQFEHELLAVEETLLRAREADLMATIAPGCFTNPSSVASLNEAMHAASFILFYTRF RGLPFTAPVIRRQVRTVVSEISKIHEDSRVSYAIVFPLFIAACEAVDQEDRRIIENRL RVPRGLFVDRGNTTAALRHIWEIRDLQPSLLWPDWVSKGMNILVSAH PFICI_01492 MSRSNQALHPLDPLSIEEIATASGLLREHAAGQPLKFNCVTLRE PTRTEYNAWKANQAVRPDRRAYAIVLKGGSAEVATAVVNISKRKVEEWKDTDDVAPTL TLDDLDHLEINARKDPRVIEACREVGITDMSKIYVDAWAIGFDHRWGFERRLQQGLVY YRNSASDNQYAHPLDFSLVADTETAEILSVDIRRVNGERTKVPLIEHNYLPEYIGANY AVDVLRPIDITQPNGVSFQVRGNVLSWAGYEMHIGFNYREGIVLSDVSMYDHYQKRQR KLFNRISVAEMVVPYGNPDPPHHKKHAFDVGEYGTGLMSNSLELGCDCKGAIHYMDGI VATAKGEAAVIKNAICIHEEDNGILYKHTDFRDGNVISARDRRLIISQIITAANYDYA FYHIFTLDGTYKMEMKLTGMLNTYGLHPSEQAAPFGVEVAPGITAHNHQHIFSLRIDP AIDGPNNSVVQNDALASKEPVGSPENYYGNGFSCQKTPLKTPAEAAVDYNHDTSRTWD IINPNILNPSTKKPIGYKILNNNCPKLLASPDSVVSQRAAFARKALWVVPYQDYELYP AGEFVCQSTGQEGHQHNPTIVDWVARGGSIENKDIVCYMQFGLTHFARTEDFPIMPAE PVSITLRASSFFEKNPALWVPASSLNKDNTSRIAFTQSDSACCQREKQSNHPMPRL PFICI_01493 MAQIIEFRACHGGDYCRVHLTGTNQDAKYRRLSTQTTFWSQMLA EMDVSYSDLTNAQAVQLVGLAVGCILVIPCSQKYGRRSTYIFTTAALAGATWWAAYMK TTAEVLVTSVLFGLAGAPNEAVAQMTITDLFFLHQRATANSIYLFAIMIGSFLTPMVA GYQASISGWRESYIALAISLSILTLIFIPLLEETKYIPVIEDATTATERHDQSHPTDL PTKTSQQHSNANHEDNNAPNADRAVRTQQPTSWRQRMRLFTTTDEPLIKTIYYPIYTI CLPHVIFTAVQFASCVCWLVVVSSMISIIFSAPPYEFDSAALGYMFTGPFIGAIFGSI YGGPLLDWAVIRFAKRNHGIFEPEMRLYLFPFQTVCLTAGLIMFGITADEGMHWIYPS IGCALFGFGMGATGDICFTMILDAYPELVPEIFVLITFIRNSISIPGPFSITPWLATM SVSNMFILSGFISLFLAVFCIPYIICGKRWRAATAPLYRRLCSEVAGNRR PFICI_01494 MAKVLRNGRFFLPQSGRQQNAAFADCIVIDQNTGKILQVGSAED ASIAAAIRAGADQRDMQGRVVVPGFVDAHMHLLMTGEAMSKLDIGACTSLADIQATIR AYAAANPSLPRLLCRNWWQSSTNGEALAVQLDDVDPRPIYIDANDLHSVWCNTAALEE LRLEKLPDPPGGRIHRYDDGKPSGLLSEGAVISLVWPFLNKSHSLESKLAYLDTAFEA YISAGYTSVGELAMDESMWQLLSQYEARKGSLPLFVTAYWLITPQESLADALKQVDRA IELFTKPGHDQQDGGRRRIGGIKIICDGVVDSCTAALREPYSHDNSNVEPMWTVESLA VVLKHADAAGLQCALHAIGDEAIKTALDALELVGNPSGRHRIEHLELCSPEDAQRLGP LGVIASIQPVHSDPAGLTAWPKLIGAGRCRHIFPYASFANFGAILAMGSDCPTAPHNP LANMYVATNRRSARKPELEDKVAPEFALKLADAVTAATMGAAHACFTDGRTGRLEAGY MADLAVVDMDWDPQMLLKATVVETWSRGQRIFGKS PFICI_01495 MGCFTLLTLAAAASASVIELPVHIQNTYASVEFQVGTPGKTTRL QFDTGSSTAWMVGTGCTDTSCPNSSGYDRIEYNTSASSTSVDLGSFARIPYIDGDAVT GEAIQDVFVDEAGTLEWNQTFLSVNQSSWRFTTADGLLGLGFSSIAENSTSSLVETLL WDNQLDETRFSLFYGTDLSDAGKDGVLTIGGSHEDTYVDGEVAYVPLRQENPYQLWRT ALRSVNVLATREANSTVTVHNGQLPTTNDPAGTWPKSNTTWSMYGAGTAVFDTGAGRI SVPDEIIDAVYFNLGWNVTKLMNGEERMECQHLNASWAISFTLGEGDEADDKTFTIRG DEFTRPGDQCMPPVDNSGGNGFALIGAAFLRRHYSVFDFGATEVADYQPKIGFGRLKE EYDYMYAST PFICI_01496 MDTPRLHLRKIAASDLADFHDIWSNLEATKWSTCGPKKTLAESQ SWLDGVLPEKNTTGDNYAVFVRGDEQKKMIGIMGVFSFNPVAELGYTFHPAAWGKGYA TEALRAFMNIYWDSRPTVDVVEAKTDVENKGSIRVLTKCGFENVALLKDNVTLPALGL RSSYLFRIARPSERADGA PFICI_01497 MTAPYELIYYAGAPGRGEHVRLILEEAGTPYQDTQSLPFEKSRE TVVTWLSGGGHGNPPYFAPPLFKHGDLVISQTPNILLYLGPKLGLAGDRENDLYRVNA LVLTALDGLSNEVHDTHHPISTMLYYEDQREESKRRSKEFIQNRLPLILSYWQKVLDA SSQERGEGPWLLGKTFTYADLVLFQCLDGTNYAFPKAMRQARDSGKFDKVFGLWEAVR ARPNVSAYLSSDRRQKYTEWGVYRHYENNDFTAE PFICI_01498 MAAPSLSFPVNPDDFESDDRISFSKLDNKHIAVQDDGTEFEFDT QLKRWIPALTEEEIEAQQRMYGGTPEDQAEASDNNNNKRKRKEEAAAARKKQRAPPQP RQNTAVYVTGLPPDATVDEVHDVFSRKCGVVAEEIDSGRPRIKMYTDAAGKFKGDALV VFFKPQSVEMAIMLLDDTHFRYSASGLPSGKMRVQAADSSYKKTQYETTEGNSGGAQN GGNGASATNSANGSGAATPNTNARDRREREQEKQKIIKRTQKLDAKLADWDDDEPYGA QLETNVRKDKVVVLRHMFTLKELEEDPAALLDIKDDIREECEKLGVVTSVTLYDLEVD GIVSVKFQTPQAAEACVELMDGRAFDGRVVRATFANGREKFRKSGKDEGEEAV PFICI_01499 MSITLDGPAIFTLATSLVLSVHFFEPSFITLIITVVPLLLYVQN DFKNYLNLGPGGTPATFHGYLTINWFRLWALRDPFTPPLPDPTSHPSAGVLKKSPLPH RRGPRPTVAGIAPQRQVDQQGSEECYMALRKVLESHGNKHKDDIGLGTSCFEKHGLGL FARHPVNNTCMGEICHVHSSDHSMHMNLHPDDVREVLAKGWGQRHPLAWKGRWFRMPV PRQFVMVYAPRTMDEVRIVCRIIEAAGWWVMAKEQMIDTLDTNASSF PFICI_01500 MGVLSLNPPRSRDGRHSKLPVYENLGKERDSNDSYDESDYEDYS LESSPNSRHTAAATPMLKTPFSLSRRPGRPIGSRLPNKVIRYLCIGLVSSILLFMFTL VRASQNENRMIELGGVYKPPPKPALWESYDFLTRYYGGIRNLVPIQKNIPEYPRPEDE LPLSKLAYVNFTEDPSLHRRSLPESKEFINHPKSIFQTAPEKLNECFLDADNKLRVPP IRYYEGRPNGFPEAIVGSYDALGFNEEICYERYGRYGPYGLGYSQRVGGLGIGEHGER EGSEQTWSKVPAVDWRNLDWADAQRRCYQSNAARYKALPERTPSPHGFFIDDKSANAT LLTRDMLSEAAAAEDQARSSTDSTEVATKLSRTAVVIRVWDEYFFREEDLMNLRSLIT ELSLASGGRYDVHLLVQVRNDAAHPIWADAATYEQRIRDVIPQEFQGLVTFWTETQML SLYQGIYDLFTRGPDLPVHGVYRGLAMAMQYFAYQHPEYDYFWQWEMDIRYTGHYYDF FTKVENWAKQQPRKGLWERNARFYLPSVHGDWSEFKQKARYQAEDGTVGADNVWEGVN GKDKQGRPANRGDKIIWGPERPIEENDWFEYENDPKPETTYQKDKDVWGVGEEADYIC FNPLFDPEGTTWGLADDITGYNTTREKPPRRAQIITASRMSRRLLLTMHRMTAFKKQF AFPEMWPATVALQHGYKAVFAPHPLYVDREWPTAVLAQTLNNGKNGATGGSRTSVYGD REHNLRGLTWFYDSGFAPNLYRRWLGLKVNNDGGEEFELVEDKTKNASTVNQMRGGEG RMCLPPMLLHPIKGVELPVEEIHEEAEIPESDPAA PFICI_01501 MQLFSSVALLLSAGLFQAVLGHNIQLPAHGRECFHEQLRRDDKM TVTFQVGDREFGSAGNLDIDFWISTPNGQYETFQKSISNGDYSFDAKQDGKYTYCFGN EHWGANSKEVSFNVHGIVYVAEHEADKDPLETEVRHLSDLLTSVKDEQSYIVLRERTH RNTAESTNSRVKWWNLFVIGMVIGESVFQVWWLRRFFEVKRVV PFICI_01502 MGLSISKLFDKLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRIVE AREELQRMLNEDELRDAILLVFANKQDLPNAMNAAEITDKLGLHSLRQRAWYIQSTCA TSGDGLYEGLEWLATTLRKAGHS PFICI_01503 MKLARALPRGRKAVSALSAPRSPGLVLSSRPISTTAPPAINSSR SPALSARPRQQQQQLAVLINAGAGRQIQKRQYASAPESGESLSKTPLYDLHLAHGAKM VPFGGFHMPVQYSSLSVAASHHFTRSHASLFDVGHMVQHRFSGPGATDFLQKVTPASL QTLPLHQSTLSTLLWPGTGGIVDDTIVTRLGEDLFYVVTNAACRDKDLGYLREQLSKW EKAGGKKVEHEVLDGWGLIALQGPLSEELLSEILLVPEEARLRELYFGQSRFVMLRVR DGELSKPVLVSRGGYTGEDGFEISIHPDDTVAVTEALLASAGPDRLQLAGLGARDSLR LEAGMCLYGHDLDDTTTPVEAGLSWVIGKDRRSPGTFHGSEVIVPQLTPKSKGGSGVE RRRVGLVVEGAPAREGAEIVDADGNKIGVVTSGCPSPTLGKNIAMGYIKDGLHKSGTE VKVKVRGRERNAVVSKMPFVPSKYWKVRSPWEPALENTKASRHGRKEN PFICI_01504 MATPTGNQGWAQLRQQARSLESQTDTLFHTYSQFSQVSNIPSKP TPEERETEAKLEELLDKRETVVGQLGRLLDSESALTSSALKQNNLSLLREKLAEHRRD LRRIKSSIAEQRQRANLLANVRSDIDAYRANNANPEAAEADYMLDERRRVDESHNMID GVLSQAYATQESFALQRETLSSINRRITLAASQVPGLNTIIGKISARKRRDGIIMGSF IAFCFLIFWWFL PFICI_01505 MSHLQSTSKVKANALWSKSKEDLLKQLGELKTDLGQLRIQKITS SGAKLNRIHDIRKSIARVLTVINLKQRSQLRLFYKNKKYLPLDLRAKQTRAIRRRLSP AEANAKLDKTKKRNVHFPQRKYAVKVR PFICI_01506 MDDHDHFTDLSHTLPLLAASDLPGEVFHRPMHLFHNHESSCTDF VFDGHEPSAWNLPLQLNTPAAPTIAPLTLGVPHTSHDDHCMDACPGSYDSEGLPRGAY PPPTANRPSVCPGTYPTTEMQSVQPTQDYQQPPAYYPLMMGARNYNYGSTGIRSVPEA HNNNGHQFSNSPMNGPVVDTTVQCSNLNDCVSQGCGSGSCSELCCTDPGCQNTVCHGE DCANQGDQCHDNQCFTSTAPTEDLYSFNHFNHDEWNLDGDPGHGLLHHDPNCNHTHTE HAAAITLEHLKNPVAVPQYSRGYSVASAFNDGDVDMTAADTPALTADTVNASPMSHRP SLSLPDAAHQAPGKDLTCQWLVGDVHNKHVCGQVFSSTEELHAHLGTDHVGNMNSKTR YLCCWAGCSRKDDQVFASRNKLRRHISTHTAFKPFKCEVCQESFSAQQALDQHVRIHT GERPYQCDFEGCEKSFKQKSALTMHKRTHTGEKPLRCDVCGKKFGESSNLSKHRKLHD RQYIFKCSTCPRAFVRLDQLRRHEKRHERDQKKSVEAHQPIDFSTLEYDRKAEALQVA ESEFADMLQSLES PFICI_01507 MASQDEATQPATQNALDPRRLGQQNSGFSNEDIADIVCMLYPRT ENASREVAGLADILSEHVAVRYAADAIKTDPRREDDPREFGRAPGIGNYAIVLRLSAK AKSPSLGFTFGRNEGRCDICFHSDPFRRLSNIHFRIFINTHGVLMLEDTSTNGTIVDD VMLKKKRDGRDGQPNQTKRTLESGTNIKILMHENAHDMEFMVRMPHREGALEARYLQN LQAYFQKQGIEFDLDRTIGPGPNGGPVNLFPPPVRNEKTARNGSAAQPATNLFEYQHP REFQSNEKYAKVGVIGKGAFATVYKVTSKFTGLPYAAKELDKRKFMKNGVLDQKVENE MKIMQKVSHENIVQYIEHFDWGLHQFIIIMEYVPHGDLGKLVLDGDIFSEKDAKIITR QLTDALGYLHDNKITHRDVKPDNILIGSRNPYVVKLTDFGLSKMIDTEQTFLKTFCGT LLYCAPEVYSEYGTYDELGRRVRHRDSRPVNRERYDHAIDVWSLGGVLFYAMTGLPPF PVRNGTSYTELLHHIMTQPLDVTPLIEQGVSDPGISFLQRMIDRRPETRATIAELQDH PWLAISDAESADEISDDGLEQGASQLSLYDRERAQHESFGDAGLSALLEEDPEPNNSG MELGEDKENYTFGQPPPERLWGEVNNSAIGSSGAIGESQLNVSLPVDSFETEILDQEI HDSFESDDLSTPRQKRKSQNTYRLVLSSNSTVDESAYSINQGIGSQSLGGASSILENL NMKSLARTTPGLKSEMSDLNTSKRKPSYDNSDEFDGVASHMKPSFKRLKSGGDSLSDE EEDEYTLLAKVPSAMANESIRLIDRPLDKRTFWDAADKETWHLNYPEMTHSQYSAFRT AAEARREEFGAGKTPLWDVAMQWFSPTNNQFETDALAHESRPFLRRDDRPLSQSKEWD LPPTAPPPGSEEDGAISLADTIPPDSQIPLPFLVTSPSKTVVARLTSSPGSLLTGISL SITDPVASWGRASENTMVHPNAQESKVPKYALRLVLWREGYTGSSNEFRPWERGRSNS VARASSPGPDTYAFYISTKATNGLRINNVPLLSYEPKNPRSPNRDWVKVYNGDTIVFW GLDNVNNQAKLTFECFWGGSAASRPEDELPICTPESTARKLDSTWFRGERTLLHERTK AEANREWHHRNANMKLELERSKAFEVKRVEAARVIALRESRQNSPMSAPPVMSRMVPQ FRQGSPPVLPTQH PFICI_01508 MSSSKSKRAGSGRSSVISASVSSSPTSSSTSVNTTNDRDSPSST VAESPSTNEEQQQQQQQLHSQKQPTVPPADLASSPSETPAQQPESDGAASQPPAKTET ATTTNNTKPRMASAGPVLAPPRPEVLRKKSKLHRLSSFFPSLISYSSSDSNTLRKSQP HSKQKEKSSSSSTLADWEAQLKSRFDSPSTDKLALDSSLTLNGKAGHQSQSSLDVTEM GRLQKQTQAPPPLPTSPAPPLPPKTPELPITPSDPGFNFTETQPSPKLTKARRRSSSL HNSQTSPPKQNNLAPRALSPPKEPRGRSASAQPPSTKLEPGASNRQVSATASLDSRPT SSNGSQSPTRDMEKRGRLRKSWFGGGRSRSNSQDLRGSPGGAWTLGPTKADYNTSFLE KGERVPELWNESGNVLVYLHPKASGKGPSFKVMSFVTDYSLFFQELIEAEVLSSSDSG GRPRGQSFSGRGSLSVGDAERYADTPPSPPLSDSNGEAKLYLSSVLVGQLQPGNGPQP DLERLISLRNMFALLTGQPLVGNKSQPTVFPVLMRVANHFAEYDFTSVDGSTFGDSVD MSFGFFMESMAIADVRHSREKTLEALLLGERMKSWELYNEAFSHAVGKYTTLRELNSP LWDQLSEHTRQRLDRGHFELLNRQNNINNRLENFEFPALFSGLANSTTQYKNVKFGRW KSSFGRMRSFVLGYYKSSFGSWPPKARSKKNPFTESGLNRQVLKILYSDLCALYDLIV DRTSLTPRSLDSDKMEEDENDPMSALRRILTEYDMSSPPVLPPIPYDTPRLPDFKSVK ENYYELPAKEQKRLDSNYQPHEFLLILSKSYDFETLRLKLPFLEQFTDFEEKEAKGKP ISEIADQRIGYFLFLYVVIQSLPMLVVDAPGLKFTEGVEYFLCQPPKGQPPWLGDAAE PRKRWYEVSGGAGYVELSEDTIEFSIEGIYHRSHCWVAAKQWEAGISGGFVAEPDGYN DLPPLEAPQSVFADNDPSLQMSPRSMDRSESPTGMAPGLALRNSSPGAGLAAPGRPGY RSSVALGLEPVAMPGEQRRASVRTSRVFTGREGSIGPRPTSVMMGRSRSSSNMHGMAM GSPGFASPDSGTSSRQDSHNGGSTFDDILKDMDSKPKKKKSFF PFICI_01509 MDVPIAAATLGIAGAVCWSVQLIPQIIINYRKHDTTGLQPVMML LWATAGIPLGAYNIVQDFHIALKIQPQILTALSLTTWLQCQYYGSRWSWFKCLVLVTP LALVLAGVELGFVFALYAAKGRHLQWPMTLMAVLSASLLSAGVLRHYWDIYKERTVRG ISFIFVAIDALGDLTSLVSIFFQPDLDVLGMVIYGSELVLWLGILGCGGYFNLRPWLG QKIAAVRVRHGPEVHSTRVIDEDNDDSPESTRQSLSSRSSSTVFQTASSARADHAGGS TPSLRLRTVPSLQDT PFICI_01510 MQMDHSRETPQFVEGIKLVIQEVQKPRPRFLQNPGAADFYLPHS PFHSSPEVSEPGDESLFDDFFDWTLWREDQVINAPSRSKSDSPSMPGLTSGTTPPPSE KDGATSPPREKEDYSQYRSQLKEAKKTDDGYTFPQQRELRPKNNSAYHLHISVNNAHS AGASINGYNSESTLLSPPSSPRSSSDGSQLNNPYLARGKRNGPLKNGDEVAEVRAVGA CVCCRVRKVKCDEKDVCGKCTEKAPKYKCGSGSILGRHICFRNPFAESQLLFPQIAKT DTGRFPSLIASRPAPGDKVGTLNVYFRPAMNDSIPQNPLRLHVVMKASSLVPGQEFDA SNCNLHIDHQPNYVDLRQWAQQHMVNIGSTDFQSTLDNFVLEYTHTPIRAPGKHVALP KCDLLNKIHEMRCLYKIWSQKEFVYQYESGGPMHVLGKLLQTGLRNLAKFELRRLEQD VFALLEKSLVNPKQKDALQDDDQMAVWAAVLQLILMYYDLFGKTVSVNTMPPNHAYYR SAHQLRNISKKLFSALVVICEASFGKKELAFKVDSRQGEHQCRVKERMNAVLKQVEAR RDEYIDSLDPKLNQLNVLLSVLRPKTTKKGHSAKRQKTSR PFICI_01511 MASGTDIITLDNFQARMPKWEPQLASHLYALVDMGSNGIRFSVS DLSPPHARLLKCLYRERAAISLFDALNSSSSSSSGGALLFPAETISQVSNTLARFKSI ALGYGVPPENISIFATEAMRKAENAGTMLDAIFKASGLGVQILAPEVETLFGAMGARS SFSEVNGLFLDLGGGSVQMSYMNSAMEGYETLASQTGKSLPFGAARLIKILGGDANVQ ATAKNELESGLEVAFSKLKQQFAELRTLCESPNLGGIDVYLCGGGFRGYGSMLMHNDP IQPYPIPAIGSYTVSGQFFKETTAMRLVNEEYEGKIYGMSTRRRQQFPAITTVVDALI TAVPNIRTVTFCAGGNREGALLMKLPRNIRETKPLTLLHQPDDETKDDVMSSVITTIL SAAPTGFDFSKITTVFTLGLGRLFVGKIWSHLGEPSEANAAYELHRTVTRDPSTPGLT HLARAVLGLTTCARWGSTLGPIDQQLHIGLRKLAAHDSPEANFWADYFGVVASVLTTV CPAEPKAIDELDKLIRFSAKIVDGKKKPQIELTIFVADQIADLLERNEIGEAFKSVAK HKKEPWTVGRKVKATVVRMS PFICI_01512 MISSTRVSSLLKILVAFVIFIWSLWYFEFATPDYRKVVGHFKSE KADHLDHYLQHEVGAPFDGEAIAALCANRTWTKGLIFHCDAVPGGIGEVRNAHLNCIR FAMEAGAELILPEVVKRNEKDISITIPPSKGTPRSIPLDYYYDRQHLNQTLSRFCPQM TLIQSIDELYNTPMGNPVTISVANVGMDMVNGSMMKSPETWREKYQQFVDTKSDAKIR TWPFRVILSVDTYVWPTAYDSPGFVSSFGRILRFREDARILSASAVSAMQQHALKPQE GQPPEYQGMQGFVGVHLRTEKDIWNTEFPPYEEQAAYYLDFITKSPYRLAYLATGDTT GNVTAFKEKARGMNVTVFTKNDLLDLQERDYLASLSWDQQALIDYEVLLRADLTAGIS ASSFTWNVALRRAAAAGYVGGEPPASNVAEHVRWWDGTSLIVGNDDKNMDMQMGIWP PFICI_01513 MPRTPEPANAGGDEELLSYPRPRLRLRKRNASSQLRAASHHEFV TDATAVTAVTADTPLPSIETSDSATAFATPDEHMVDYLPAIHLQHGDNDDNSLRDPCP ISTRIGVSSVSRPQTPTVDLAPSYKASAKFPNWSIDSSWSDSDLESSPEYESSRPSTA FSTQTSSSLFSHFSQPSEDGDCISPDVDKGYFNFADWHGNDNNGLSSSRAPRKAPWTR AMSSHLWSTYMLYLSDSTVTPVNMGKSCIPPNGVCARVAREAKRSWKGSKQMLDSNKS GSSTPTAESARPYIEWPHTCAATRAHLRELCKLRAAPAASNTAQSPAPFTKAAHRRWN RRSTPLRSPSVFSAQEMGMSLALSTSETMHPEGPMARLTRSVRESQNTSQPSLPRTHG LKTADQVESDPFVDSSSQASRMRLGSPFAKSYGPSSSSTLTEGIEPRRQSLTVGPPNF LKSPARLTRSGTQKRRSNKNSGKPRKRRPSLASVVWDPPAKTTDSSPNTSAGTQFSST DSRENDKLFVPRTSTTGIFPPSTTSSLHEPAVPASIPARLGSPFSFPHASHSFPNRFT QPIHFNLGALRKPFATVHQTREPSPETSPTRAGLSSRLAYLDQRLKDLRNRTSRQKSQ SPSI PFICI_01514 MLKAKLACKRASALAVKTTRKSEDSENVRVFVGEESREFNFSKK ELASTSPYFATRLDASGLNYLQRDAQLSTLWLEDLCPDMFELFAYWADQRHRSSSHTG EGSSSFFAGFELFIDEAQLQDCCEELHWDLVNLHLFAARLGIDALQDAAMDAIQDMYL CCDWDITPQLVSRAYNLDHEQDQDQDNDQEEEEEEQDDEAAMYSYRLRKWIVAMVAWT LNGSGGCATDAEQERVRSLFARAPALRQDYDAHMDKSSRSRVRLQFKNPQLRLPSNSL RNDERQFGFRQCSFHTHRSSVGQGRCPHSLAARKEAAAAAHRRVPSLSFLDSDVEEEE LESDAELASSPLNNRRSIVGHLELPLGSITDKELVASPRTMIFDLYLDID PFICI_01515 MTKSPLENIPAAGTPYFTPAQDPPAGTALHPESAPTLFQPLKIR GMTLQNRFVVSPMCQYSAEDGHHTDWHFAHLSQFVLRGNALTIVEASAVMANGRITPE DSGIWKDSQMGPLRRIVTFAHAQGQKMGIQLAHAGRKASTVAPWIAPRQGGSSATATK ELGGWPDNVWAPTPGKFSDGFPEPREMTKEDIAAVVQAFGDAAKRAVDAGFDVIEIHG AHGYLINAFMSPLSNKRTDEYGGSFENRTRFLFEIIKVIRSVIPESMPLFLRISATEW MEYAGEPSWTLQDSIKLAKLLPGVGVDLLDVSSGGNNAHQKIEINPYYQVNLAGSIRD AVKKDGQQTLLIGAVGLITDAEMARSIVQEQDLDAQAQRDRRPSCGTIEVEQEDTGGQ KTQADLVLLARQLLREPEFPLRVAHHLGVEVAWPNQYHRAGWRKSQRV PFICI_01516 MCMKVNCSTCNKATWYGCGAHIPSVLDNISEDQWCTCDPKVEMN GKKYPPKAK PFICI_01517 MPRVRLLVHKPAVRVSYDTVRDLVPRLWDEVEGGDEEAVAGGGD APPSDNGGKSPRQRGYDLALHIGMAGPPVRYSIERRGHRDGYRHRDVDGKYLDDEKRR EREGDDWIWHGVPDELLTDLDIEDIYKRWVRRSPDGGESGPELRISEDPGHYLCDFIY FTSLAHLYKQHRTRNVLFLHVPASGTAESVQTGTELATQLLRAMVESEVERRSKADGA PGSTP PFICI_01518 MQLQETRVLAQGHERLGQRQAVVRDQGADKAHAGAHQEARRARA RQEAEGRGEGDA PFICI_01519 MVTTEEDEEQENHNRSKTKSDLAEDFMLGWETDNDLERLHLGLL AANGKHDDKCEDLRRMSLLRGVEVWDMMVSCARSRRDSLANPSSMEGQDVLTQMGKLM QLGTPEEKAAALDLQKVDPLAQGKVAHQGNQKSHQQQGQQQQQQQKKRKRNKQKKSQQ NQQQQQQQQQQQQQKQGQKRTAESQEKPSPPAAATANAQGGVTPDPSINAKTDGDAEG VETVAHRAAKAAEKKAEKKKNRSLKRKLKRESAKIMPQTPESVAPVLQEASVWYTSNG NKTLEAITAQISEHEEHVDEKNEGHLKSQPLVSGQESTSGEMCLSNQIQQEPEINEQE QEPLNIELPMLDMEDEPAAEAPASEGQEVEEADESVGQTTNSPEKTEPQTSDQELPDG KQADQELVNEIENEPVDESMNETPSDGTPGNEDGAHENEEEAHENEEGAHEQVNETPA KGTSAARTTRLDGKIPQSAAPARIYELRSSTRTPLRTPGSAGTIRQRDRAATDPTQGR RQSLRLAAKTPSKVPLSESTPVRVDSSIIERAEGRRQSLRVASQTPSQERISTSKYFA TPNASPLKPKSPRPPRGTVSALPFPTLSAPRFGLIQEELASDPFRLLIAITFLVRTPG RISVPVFYELMEKYPTPHALLVAGPDEIASMIKHLGLSVVRTAQIQKYARIWIKSPPS RETRYGVKNYPVPGDGSDVRAGEQLPAESEDPRASAWEIGHMTQGPYAIDSWRIFCRD VLLGRAEDWKGKGREPEFQPEWMRVMPADKELRAYLRWMWMQEGWDWDPNTGDKKPLS EELRDAVDEGRVQWDNTGSLQIVEVGDEIVVAG PFICI_01520 MLARSCLRSTRILSGARNGASSFAKRSASTSSSSASAETPTRLN FAAAASTAVAIGSVAWYYHLYGPTAHAMTPAEEGLHPTQYPWVHEQWTKTFDHQALRR GFQVYQEVCAACHSLSRVPYRTLVGTILTVDEAKELAEQNEYDTEPNDEGEIEKRPGK LSDYIPAPYKNDEAARAANNGALPPDLSLIVKGRHGGCNYIFSLLTGYPEEPPAGAQV QSGLNFNPYFPGTGIAMARVLYDGLVEYEDETPASTSQMAKDVTEFLNWAAEPEMDDR KKMGVKVLTVTAVLTALSIWVKRYKWSPIKSRKTVYDPPKAGRNMRL PFICI_01521 MSFFIENKNAGNKADSEDWRIRGYNPLCAPDLLQHEVPQTETSK KTVLESREEVAAVVNGTDPKNRLLVIIGPCSIHDPASALEYCDMLLKEKEKYKDELLI VMRAYLEKPRTTVGWKGLINDPDIDNSFRINKGLRLSRQLFVDLTDKGMPIASEMLDT ISPQYLADLLSAGAVGARTTESQLHRELASGLSFPVGFKNGTDGTLGVAIDAIGAVRH PHHFLSVTKPGIVAVVGTVGNDDCFVILRGGTKGTNYDAKSVAEAKAALAKNGVKERL MVDCSHGNSLKNHKNQPKVAAELADQISKGENAIMGVMIESNINEGNQKVPAEGKSGL KYGVSITDACISWQDTEDVLKNLADAVKQRQKLANGQQ PFICI_01522 MTKVSILLLYRRLFYVGETRKVEDGKPFVIAFWFATFFTCVYPF IMWIVMACACRPMSFYWRQYTGATDGKCIQVLTFYLAFGVVNMINDIFVLVVPIPRIT RLQMNKRKKASVAGIMLLGSFVCVASIVRIYYLVQLDSGAVDVSWILGPAFGWSSLEP SVAIISACLPTYAPLFRSLKNRTGKSSNSHGYNSRTGPAHSQTPNFMQGQSHFRIEDD EVELTDKNHFRSTQRSHSSSVSRPSTDDHGITVKTQIQVSSTGK PFICI_01523 MSTTNVSTLDPTILAVFGSPPDGTDLSEEQYVGYDIVSCVVLGL AAAAVALRFWVRMTNRASLALDDFTILIALMFTGALVATTVIGKPT PFICI_01524 MAVIDKAPVLLGPEQTGPKGWIQSMICLELADNYDADEISSILK KAWATFTARTPMVGVEAVPLGGDLKPAGQLKLQPYGAGEVEDFVVKDHRADETLPTFA QIKAQGFPNSAAGHAKFCLRGANGPWPIFGVDRLATNFMQANLIKGGLLINHLCFHAF GDGTSMWKLVQIFAEDVRRAQGLAVEQPVEIPTADRAKLLKATGKNVCANFAQEHQEY IHLPFTPDALPDGLTKAKHHAHVFRFTPEAIQKLKAECSPANVRVLKSLVAQDQLPKF VSTNDVLTALLWRTAQRAEQADHAAYDGTETPSVVMVALDARRRTHVPVHPHTLGNIV GYSPAILPLSKVLNSSEATLADLACLIRIGVNKCGSTYYDEVAHYIENMDDVNRLAGT AFLDMPGKNVLQSNWSEFDYYGIEWGPAFGDRIKAVRFPAGGVCAGFQIIMPTHPDSP AGTVDVLTDVSDEAWPRLLRDETWNTYAKNPTTVAYE PFICI_01525 MATTTDLQQPFKVIIAGGSVAGLTLANMLERAGIDFEVLEKREV APQLGQSILVLPCTNLIHAQLGLKKPTEQGAIPIGVRDHWDDKGKLFCSSDELIQLAR VQKQPVYFIDRKIYLQNIYDGLSPASKSKVRAQEGIESYTEHENGVTVRTDKGNIVEG SMLVGADGVHSAVRHLMAQAIQETDPKRAAILTTGFRTRYRILTCTSNNYFANDPKRQ FLKDGMTNNTYYPEHGVGGFTVSGVEGRIFWAIYINNGDEKAYPSAKYGQADIDEAMK KWGHLHLNPDYTFNDLYDSLLGANMLSMEEGVLPTKWHSGNRTVLMGDSVHKATANLG MGGNLCVDDACRLTNELNTLLQHNPQPSTADLVKVFDTYDRSQRARADFVCNASAVFA GFETMSKWYAKLVKLVFPLVPSSLKMRVFSIFDSGAPVLDFLPLPKEAATATA PFICI_01526 MTAAVHPLQRIRAPSRSLSLILHAAGITSFLLSFRFLNEWETPL SNSYGGNYQFLTIIGLALSLATFSVGLAADLTLSPPLFRLKNGLAVVAAPLEILITVL YWGLCAIDKSLVFPPEFQLDVLPDVGFHAAPGVLLAVDLLLFSPPWAIQRGSALSLSL VFALGYWAWVEVCFGRNGWYPYPIFALLSTWQRAALFSSSALVMAASTMGLKWLYGKL NGVEDPEREIVAKKTA PFICI_01527 MLSRSRLVAISSLLFTYLITTYHGSSSISKSISVFAAIALLEGF VLGVWSIILYPNFFSPLRHLPQPKDGNSWFMGQFKIIRELPSGYPHRKWIREVPNEGI IRYLGAFNQERLFINGDKALAEVLVHRNYDFNKPPELKTFLGRILGVGVLVAEGDEHK RQRRNLLPAFAFRHIKDLYPVFWRIGRSGVQAMTESILADAKNKNISTDAEEALANRE NTAVIEVGNWASRATLDIIGVAGMGRDFNSIRDPNNEINERYRTVFQPSKQAQILNLL SLFLPAFVIKNLPVKRNNDIESAAKIIKSVCRDLVVEKKEKLARKELTDVDILSVAIE SGGFSDEDLVNQLMTFLAAGHETTAAAMTWAVYMLSRYPEMQKRLREEVREHLPSADV DQDVDSLQIDRLPYLNAVCNEVLRYYPPVPLTVRQTVRDTSILGHHIPKGVRVILPPA AINLDKEQWGPDAGEFNPDRWIPKDETDKSAASGGATSNYAYMTFLHGPRSCIGSSFA KAEFACLLAQWVGRFEFELYNKEEFDESKVLIKGGITARPAKGMYVYAKVVDGW PFICI_01528 MASPLAKIGILSIGDMGMGIAKLLIAHGFSVATCGRGRSNDTIE RAKSAQVDVLASDVELTDACSVILSVVPPRDATATAERIIDALVGTTARDPPLYFADL NAVAPSTARSIAALIEKSRAPVRFVDGGIIGAPPSIKKEEAAANSEAAAPQWNKASIP MSGPHKLSDIPGYGQDLVSALNARHISDDIGAASGLKMCFASMTKGFTSIAIQSFTTA ARLGVLDELRGEMAPATLQRAEKGVVGMAPKAYRWVREMEEIALTFEEDGGFAQDSFR GAAKVYKAVAEDSELGQEKIGKRKRGTTVEDMAAAMIEGMDKRKKKNV PFICI_01529 MDGELYPEVRRNRGFLGFDSSALPARDLQDRRQLRYELDLNSWN LRIWGVAASGFLTDSYNLFSTNVILASISFVYFPHDRYPGLIINLFTLLGSVCGQLLF GYLADRYGRTKLYGIELVLVIVSTIGVATSSFGYNNLSFLALFVWWRFVMGIGIGAEY PLSAVITSEWASTQSRATMLGSVFLMQPVGQALAQLVGLWVLLGRDKEYGLQAMECGI NSKHDEECKKVVDGIWRIVIGSGAVPALLAIIFRFFLYDCGLYQLEVKHKPGIALRDT RRIYVTSETSGGVVLGSPGANRVRSPEAKPVQFSRDDLYNYFIRDKNWYYLLGTAMSW FILDVGFYGFSLDNRGTLADIWATSQHKPLDSKLSCWNSTLPDGNSTVPSWKTFPNWA TDQTMPCNNIYDVLLEQAKQYLLTVSISSIAGSICFIYFANRLPRRQWLTVSFITLAV LFVITGCVFYGVAYTHGAPATVTLVALSHFAFNFGANTLTFVIPAEIFPTCYRCTCHG ISAAAGKLGSILALAVVYGINNKYKSTNRQGLIFILFGSVLALGAVYSWAYLPNVQRP VLIDGEKQVLATKDLEELGEGRGKASLEGETITVKEKVHELRRRHREKRTQPQSQISQ VGNGV PFICI_01530 MAAAAASSSSSSSRSYASSSSSYHTQLPAYARSLAAAPPSNPDY TPNSAVSDLTEYSTPQEQLRPLDLGPPGFNAIITLFEGTSDEKTVYLGPWEVVGTESR RVLWQCSYQLERLEHFLPTSSPLETFPHTLHSRHRQFSDPCALEQHVTFRELHRVRYT NEDGVVIHDQPIHVKYEFTTLDSALTFQGDLRKKDLMDSFDVDVVWTDTQGRTDTFGN VRGIGTVQRLKLWCDRYNQSHSLTVFANHHHTSHNQRRHRGGGSGRGDGVYREFQVDQ FEGEVRNRDERHRTLRLEVRGSSRRGSGDSSGGAGGVGRRMSLASAFRSSRRGSTASS SSSTSSLAQSGAGDGMRYLGIQFSRSEDYRRFLDTWLIAHSSDSEYHGIAHPVDVFEL PSPQIAASTSYELSSQSLWQAELPLMPSLPPPAEVPEEEDDGPTP PFICI_01531 MKIILSINAGSSSVKISAYTAEQRGKAPAQIAEAQLSGLTSPPA KLKYSRGSEVVLKDEKVADAETVKTQDDAFRVLLRTLVEDPKLGEIGKAEDVAIACHR VVHGGSYAAAQLITEDTYHRLEALTDLAPLHNAPALEIIKSCVKALPSAVNVACFDSQ FHASLPEHVRTYPIDPEIARKNQLRKYGFHGISYQFITNSVAEFLGKPTNELNIIALH LGSGASACAIRGGKSWDTSMGLTPLAGLPGATRSGSVDPSLVFHYASDVGKLSPSSTK ELHISRAEEILNKESGWRALTGTTDFGTIVAAATERQEPRSRLALDLFADRVCGYVGS YYVSLAGRVDALVFAGGIGEKSDVLRRRVVDQVACLGFALDEDRNALPVEDVVQDIGR EGAKHRTLVCQTDEQFEMARSCAADQELWK PFICI_01532 MQARDAAGRSVSLLNDDTYSRPLTYRAASHYHTTGDASPLPHTP ELFRSNSYDSNSSYPDPLSPMTPQVYDFSQSQYDNIYPADKSATLKRPSYIDAASRSN SFMDEESSNSSVPERTAKRYPCRYRDSHGCEKTFTTSGHASRHSKIHTAEKAVQCTYV GCHKKFTRADNMKQHLETHYKDKSRSSSKSSSKSSLSSSSKRGSVSARSTGSSVSSHG SQDVAMWDADHYSVTSECSWDMRGLTHPLMTRPGATRTQSSGLDALAVAAIACQEGGA PFICI_01533 MSTLADELLQDFEDSGSEQGDEQNDIGLEGDSTHAQTNGDSKHD DDDDEMVLDGDEEPPEEDEEMGGLSGVAIDPMDDEDEAKAKVEKMQLGSVKDIRRVTT VMDRLEPLLKDIAHYQSQPFNASTINIGNIEDNPEYKILTASNTLSTQLDGEIMKVHK FIRDHYSARFPELETLIQNPIEYAKVVAILGNGPMDAGNIRALQDSSDNVIGQSLKTV LEKASLMTVTLEATRSQGQPISPEELDRVVKACQMIVSMDKAKKTLTEYVQSRMNVFA PNLTAIIGSLTAAQLINTAGGLTTLSRTPSCNLAAWGSKKQANAAFATNVQNRQQGYL YHSPIIRGIPNDLKKKAMKAVAAKVVLAARADTGHTYPDGAYGEELRGQCLERLDKMT APPPNKGQRALPAPDDKPSRKRGGRLARNAKAAVAMTELRKAQNRMVFGKEEKETDYG VGDGTVGMGMIGQAQEGRIRATQIDNKTRAKLSQKNKGWGAIDPIGGSASSLRTAPQS NIDLRGRGIRTSGVGTTIGGGAAGTASSLAFTPVQGIELVDPKVQAELKRKRAAEDDR YFKSGAFTQVGGSNGQPPSKKVDVGAGKMLPPPLPGKR PFICI_01534 MESSRGPPRVKNKAAAPIQISAEQLLREAQDRQETTLKAPTQRF ADLEELHEFQGRKRKEFEDYVRRNRINMNNWMRYAQWELEQKEYRRARSIFERALDVD ATSTILWVRYVEAEMKNRNIAHARNLLDRAVSILPRVDKLWYKYVYMEEMLGNIPGTR QVFERWMEWEPDEAAWSAYIKLEKRYGEFQRARDIFQRFTIVHPEPRNWIKWAKFEEE FGTSDLVREVYASAIEALGDEFTDEKLLIAWARFEAKLKEYERARAIYKHALDRLPRS KSMNLHKAYTTFEKQYGDKEGVEDVVLSKRRRLYEDQITQNPKNYDIWFDYARLEETS GDVDRVRDVYERAVAQIPPTQEKRHWRRYIYLWVFYALWEEMEANDVDRARQIYKLCL DLIPHKKFTFAKVWLLKAQFEIRQGQLTTARKTLGQAIGMCPKDKLFRGYVELEMKLY EFVRCRTLYEKHIEWNPTNCQTWIKFAELEQSLDDLERARAIYELAIAQDTLDMPELL WKAYIDFEEGEGEYEKTRELYERLLDKTDHVKVWISFAHFELNIPDANEGGGADGETE ETTKSEEAKDRARKVFLRAHKRMKDQDLKEERVSLLNSWLSFERTYGSADDIEKVQKQ MPRRTRRRRRLEDDTFEEYIDYVFPADDEQKQDLSNMLAMAQAWKQQGGAAS PFICI_01535 MSRYDAYDPPVRETRYYREERRAPEPRYEEYSSRTQLVPRPTRE DSDLSVEEVRRDFPPPGYAGSRDVRRARSAEPGYYEENYYHGRGDGRSVRDDPRDQRS RKAGSVYYEEEERTRKRVLNQQEKIIAAVVGGVLAAGAKEVWDRREAGREYSGDVHRN LAASAALAAGGAFAGYQGADLYNKHAGKEDKKSTYIMHKGRDGRVAEYYSDDEDDPRN KKSNKSFLESALSAAGLGGAIKALTGHDDRRSEGTRSRRGSPDSTRSHRNDTANRMQK AAKASLVAGAAEAFRVSREPGGWQGEKMKRIITAAAGAATIDAAHNPDHDSKRHLVES VIGGLVGNRVLNGSRRDIEEDKRTGRSRSRSRARSDGGGGGTGLAALATAGLGALGAK KLLDKGRDDSRSRSRSRRGSRDSYYDRDGSPRRQRSRSRSVVDSARRSLAKIGLGNGP DDRDDYEGSRASRRRRGFSPDDRYDDEYESRGAYMRGGRGDENDDRSSRGARRRSRST GRRSSSVSSSDLGDSDEDEKRAKKMKGKQLITAGLATVATIHAAHNVYSSMEKRNARH KAVKQGRLDPMEAKKLKSKALLQDAASIGIAAMGIKGAISEMKEAREITHECKEWEQE KAKRHQKRIERLQRGNSDYYGRSRSDNWSSSAPTRSNRYYEDGPRYADGNPYSAALPA PPIGGYDRR PFICI_01536 MSRPARVTYVHPDKFKAVFPTLKPTVYNDPNTARTPGNLRTIAW NPLGTWVATGCTDKTLRVWNVDKPNVRQSTELKGHTAAIEKVGFNPVKELELCSVSSD GTVKFWDVRTRNIINEVKGLGEAFTLAWAPDGESLVVGNKADMLFVLSPTQSTPLASH QQSVQTNNISFCWSGKRIYATTSDGYTRILQYPSLEPAFLYDYKEPKDAEMKLRGHTS ACTTVEIHPMNRFLATGGNDSLISLWDTEEWNCQRTITKMVGPIKSISFSFDGHCIVG GSDEGNAMEFTNCETGEHLFTYKTASPCSLVAWAPNRYALAYCELGTLRIISAGSK PFICI_01537 MYWPVATPRIYATTSQASSRPKVVLSHDGTEPSNSAAGDNASLL SQDSALYKPAEDSSSEATPALLTPTTPGLFSPRTPGVNAVDHEFPLHANAQSSQENLE RPTLPSGEPIISLKVSRNGHMFATVTASSMTVWQTKPTVALAVVVRSKASLRSYGTNV ALLLRPDSAIFVVQTSSGYLITYSLATDAESRVYKPRFSDHMNIQRRRQSHFGGPGNS SPDQIFLGPGEGSGVRDISVRFRRVIKVDAGIEHVVALDDELVVATRKPAAVQCIRWT PDSSGSQTSTELVNRMGWLEKKVIITEMTHDRPMNLSTWITNDGKAYAIQRTSATQGP SQDDETGPKRLFKGHCFHTPQDEHSHAIKAVINARFSLIAVGCADGSVHVYSVRDYAG NIPASHIHKIPVSRQTSGSITTITYSPDGYCLFAGYENGWATWSVFGKSLSTSFAAIP AITETNKEDWLSGVAEASWIGGACELLLIGHQSESLWLLEMARSAVTGCYSPPNLFRT VLQTSSSVMVYRGYDLPDLTSISAEPFLWHTARIPSNYLLNQWPIRCTVISSDGRYVA VAGRRGLAHYSINSGRWKTFANDDAENEFSVRGGMCWYQHILVAAVEANRSYELRLYS RESALDTGTAIFTQQLPAPIVLITPSGDDSLLVYTYENLLYHFVFAPVGNTIRPIQVG QIAFHGIVRSPARVRGLSWILPENQLLDGDPSQDVAVASVLFLVDGKLVLLQPSRNSD GHLKYDMRVISQNVEFYISMRDQSSVYMLNSSSGHGSPETEDTSMRNSLWLFEGHDLK AWPDIQDVLHAATDSTKELPPTVVTPLDFYPLSILLSKAIVLGVEPDLVQRRDINFSF FRFSIRTHLFFPEVLRFYLTVNNSLAALKLAQSYQDLEYFAHGLEILLHHVLDEEVDS APTPEAALLPRVLSLLSSFKQYLDIVVQCTRKTEVRSWRTLFAYLPPPGELFEESLQR GSLKTAGGYLLILHTFEELATASEQSVRLFSRAIHEEDWDLCKELARFLAAMDESGET LREAMQLVNLRVEQGKDELPGLMARLEVPSRRMNGGRNSDSGSETQSLSDGSSFGTAS PA PFICI_01538 MMDDFASESDSDYTSYWRDWFISSRGNEYFCEIDEDYLTDRFNL TGLNTEVQYYQYALDLITDVFDLDCDDDMRETIEKSARHLYGLIHARYIVTTRGLAKM LDKYKKADFGKCPRVFCHSHPLLPMGQTDVPNQKPAKLYCARCEDIYNPKSSRHATID GAYFGTSFHNIMFQVYPALVPPKSAERYIPRVYGFRVHAPAALIRWQNARRTDMLRRL RKMEVESGFKGENGEDLDDLDEDDDDEEDEEAAALLHEGAGNVNLDRDNDVVIAGDAH HAG PFICI_01539 MDPTSTQFQSPPGLLVHGLPSGPAPNPQPDHQNPHLALHHNISV SGPPPHHFSLPTLPSHGEISLGVLDAANPSVFHQHAHPHSHTHSLPELPHLPPNPTVP ILRPTPHPHSLEAPPSVTANPPPPPPPPHSAPPSHGPPGNRPPSFGITPPAPLPPTIT QLQQDDEFNSSASSGTPLVVEPAESRPHGQLVNKIVVDPPDLEAWRNKFFNVDEMILL TNDEFETYFPHIDNVYSHRSTQKYKRKPFVSHYWDCRMKGRPPGTPKSNDPLKKKRKR SARERDLCDVKIKITEYFPGATLQLDDGVGSYSTPQQFFDSNGMPIQPGANGEKFWTI QRVNGNGGNGKGDGVAGPHKHTLEKSDEIKKSTVQRYINAQKKESKKTVKPAQKRASG AALVTARKHAKDHELKLYAACFCPFSQRVWIALEVKQMQYQYCETDPYKRTTQLLEAN PRGTVPAIREGDWACGESSIILEYLEDHDKRVPLFPTDPRLKANCRLWIDHINSTIVL SYLALLKATDPSQQSEHQTRLSNEILELVQAADERGPYFLGADLCLVDIHFAPFVLRL SRILADLRGWRQPAANTRWEQWVQAIESNSHIQATTSNNDLYLETADLSVTGQQHSHL L PFICI_01540 MTTYIPYLTIPREVFENAAASILLPIALGTGVGFSTRPSKTQDT YRLMKQPPLRPPPQVFGPVWTLLYGLMGYAAHRAVTAGLNPLTSSVAGVQTAKHAATL YSVQLGLNLAWMPLFFGIRKPAVALADIAALVGINVYLARLFFSLDETAGWLYVPYLA WLGFATYLNAGVGIVNNWRITDEDLLKGKNK PFICI_01541 MAAQVFGTSTSVLPTIAMPTKEKSQAKESVEDILYGSVAGIMGK YIEYPFDTVKVRLQSQPDHLPLRYTGPLDCFKQSIKQDGVLGLYRGISAPLVGAALEN SSLFFFERLGRETIYKTGYCPRDQDLPLPALWFTGAFSGAFTSFVLTPVELVKCKIQV PATVSTDGMILKPPTVASVIKDVFKHEGLAGFWHGQMGTFLRESGGCGAWFGFKETTS KLFRTWNERSLTSQAEKDALRAEPLPLWQQAVAGATAGMSYNFLFFPADTIKSRMQTS AVGGTAVKKTFMEEGKALWKQHGLRGMYRGCGITVLRSAPSSAFIFMIYDGLKGYLPL PFICI_01542 MAKDKKKGAAAEAKKAKKAEKKQKQEKKGEKKSKAKAAKDEGSD VEDVDLDNILEEYKKQQEQFLKVTEVVAEVPPKPRSSSTFMASPSNANQLLLFGGENY NGAIATFYNDLSIYYTDRDEWHTVTSPNAPLPRSGHAWCRGGNQSNSVFLFGGEFSSP KQGTFYHYNDFWRLEPHSREWTRIEAKGKTPPARSGHRMTYYKNYIILFGGFQDTSNQ TKYLSDLWLYDTQNFVWHSPVLPPAQLKPDARSSFTFLPHENGAVLYGGYSKVKATVS ANKSAKGASQGQRNVLKPMVHQDCFFLRITQPPADAPANAPPTVRWERRKKPANIPTP ARVGATMAYHKGRGILFGGVHDVDQSEEDMESEFFNQLFAWNVERNRFFPLALRKPRN QKKQVEQRVGRRGRAQANEEELLKQLAALQAGVSLEDADDMDIGLKNEEPEEPENPVR EMPVSLEFPHPRFNAQLAVQDDMLWIYGGTFEKDDREFTFDDLYAVNLDKLDGCKEVF NREVENWIGSDDEDDEDEDEYEDDDEEDDEEEDGEPVPVEEQIRSPSARKKKVDEASN ADTASTAATEEESEADTAATSVDDGLPHPRPFESRREFFTRTSNEWQEILMTSLRWKN IQPETLTIKEIKTKAFEMSEEKWWDCREEITALEDEQEAAGIGEVVSLADKAETGGGA GRRR PFICI_01543 MPLGIQRLNARKLQPNPHINFIKPLRGPDEAVAQDFLERIAAQC VPVMREHHISVMSLEEFEPNREFVGRNFNAGEVIQLVLKSPSTGRWLPFNYVQMVMMH ELAHCKQMNHSRAFWAVRNKYAEQMRHLWTNKYTGEGLWGPGHLLSTGQFEFNTVLAD EVLPEHTCGGTYRSRRPKRKAKDQLSYQQRKERRILKKFGANGVALGEDEVVKSELEG GKKTQGKPRVAKSKRGRDLRAAAALARFDQQKKAEEEETKQQVKAEDETDSDDDFDVA SEGDFDEPDALDINGKKLLDNNGQALIKVCEDENPEDGDAQNELRELQSSMIVAQSNP SRQRTAIKQENETDLSLQDLPSLEKPTKPGGRELQAASSKQDPVNKKDGTEKTARSIS QSILRLQTPKEGPALTATTEVTNNESSVESVRCPMCSTENGPISLTCSVCSHVLDMRK DPKAWACTSVSCQGSQYRNAGDNGVCGVCGSRKATC PFICI_01544 MATLAAAQPVWPHYSVEQEHFEVLKMRSSMPLNPSAVYDVSCGD SGQRIVFHDQNVAQLSICGGIAGSVTRCQGSPPTTIGHSGSAKFSLTPAHPGAAINIS KDRWEQCVRAARSICPTGSMSGTCSGGATSGDVKFTLNHS PFICI_01545 MAMSQKNQDKKTVLVSTTLPQVDDDALLSAVRRGGKATFETERL RVRPLRASDLAALHAVRSQPEAMTSSHSGRPDASLAQTEAKLKRLGPPYRDSHVYFGI FLRQQRPILSEGSKVDDEDGEEGKDAEGELIGDGGVHMFAGTDSGWPELGYKFKREHW RRGYATEFVTGFVRFWWALPRSCRRIEVDECSVLKSPASFGINSHDGIKTSWGVSGST SQLGKERAGDIDDQRTAAVAEGGGNADGLTVVTERLCAWTTSTNEASQRILEKVGFER FEGLDNGMVNWRALMPPG PFICI_01546 MSADKFGNDIPDSESESGDSVDEQQIDDGAEDIQEKPVKSAMKK NTIIAAPDATRPELPPQTDPKDLDVKSLTPLTPEIIARQATINIGTIGHVAHGKSTVV KAISGVQTVRFKNELIRNITIKLGYANAKIYKCDNPECPRPTCYRSYKSDKEVDPACE RDGCSGTYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETVPQPQTSEHL AAIEIMKLDKIIILQNKVDLMREEAAQQHYQSILKFVRGTPAAKSPIIPISAQLKFNI DAINEAIVNTIPVPPRDFTQDPHMMVIRSFDVNKPGAEIEELKGGVAGGSILHGVLKL GDEIEIRPGIVTRDEKGNLQCKPISSRIVSLNSELNELKYAVPGGLIGVGTRIDPTLC RADRLVGFVLGLKGRLPAIYSEIEVNFYLLKRLLGVRTADGKQAKVAKLAKNEVLMVN IGSTSTGAKVSAIKQDAAKLTLTSPACTNLGEKIALSRRIEKHWRLIGWATINA PFICI_01547 MGPSDDRRRPAALDLTPTRSSSNGSSSTTSSLKPPRTPRFAEAT AVHSPIDGRNPFSERSEIAQAQPADVGFGYIGNGASGRESVNVPMTPASPLKSAMRVP GTPARKIENPLSPTFREEEILEKREKDTDKEQANDLKIKTRVRLAKFALRGVNFSCSL IVLSMLSSSFAIFNATKALPAQNGLPAWASNTNSWPQKVVLAASCVSLAICVLVFVGY CRGGHHRAEKVGVYYTLFAVGWFIFSMVMWVVAAGVLQYSKSNSNNQDMWGWACVQNH RSELFQDKVDYALVCRLQNWALICIIIEIVIEVISITLYSVVFYRYYTKRRLTKSMDM RDRARSDLYLAQLRSQSAPNTPGFGPKSPAFSQYAMSPRFPPSAYKSLDDISEAPTFT PAGPVVEPQSTFASASPSSPAKPFSLQAPPKKARGATPKQGYLTTPDSPNAPEPNFTS SQHAPVAPGEVQYAAVPIPGAYADAAVKSPPASQFTFR PFICI_01548 MAASMQRLLWRQASRASFSSVCSSSSIAGRVQARASFASSALSR ATTAAPRTPTKIATTAARRAYSTEPPKGSNNSIKFWPFVVIIALGTGGYAMIVNRRAG MFSAFETSPISSSEDQGG PFICI_01549 MPASEKNAQLPAPSKSTPTLSGDDVTVVFVLGGPGAGKGTQCAN LVKDYGFTHLSAGDLLRAEQERPGSQFGDLIKDYIKNGLIVPMEVTVQLLENAMVADL DKSGKGDIKGRKGKFLIDGFPRKLDQAHKFEESVCPAKMVLFYDCSENEMEKRLLIRG QTSGRSDDNAESIRKRFRTFVETSMPVVDYYQTQNKVVKINAERDPEVVHKDTQKQVE AQLGKNF PFICI_01550 MDANFQPQLVFVDGSFNELAQEMADYVNVGAEVKPLIEKDQQDE VLKKIIIASTALNSVPEREFSAAYNLLVYLVLQSKNPNMFLSRVCDNLSKPITTSPQN GPGLALGALTNIFNMTKPDDQTRYHVFLAIVKFTINNGTFEQLKKYLPKLESWVQQWD IDEEDERKLYELISQAASQAGDDKTSYEYILKAVRTFDDEDNKTEEAQKLTLRAVKSA LLSSTHFDFSDLLAISAVSALQESQPTWYDLLMIFAEKDLEDYKQFDEEHAGFLEKEN LDADKLNRKMRLLTFASLAAAESKSREIPYAKISEALSIPEEDIELWTIDVIRAGLVE GKLSQRQKVFLVHRAWYRVFGEKQWRELSDRVDGWASTLKDVAQALRREQANVEAARK REQEEIERKLAGTGLEDNKPQRGGRRGNAGDNRPPPKPRTDDDD PFICI_01551 MAAAAPPPSSRIDTPSPAVISPHINGVVLEGPAARANATANGGH GGASPAAMQPPRPPPLPHTQPALMRPGMVSPANTMSTPASHTFPESPQQQGPSLSPAF RDRTPSTHNGKIAIVEPPSQANSHRSSGGGEGGGASSGFPSPTREPQRVNPKFHEDLS RLTHAIQQSVPEAVRRVTRENWEKTLLGTDFHQAFVMNATIHQASGAAAKRAIKDFGG KMVASAKHEIIEHFTVKDLDEVADAILAKVSDGFLDKALEKRLATIDARSLINALARA ERLGYENNDSMDETGAQGQVPNQQAQPQPNLTHLGPANPLAAHPVNQTHAPDLQCHLC WRKFKAVSAYDYHVKKKICTKEPPSSSTGFEFSCEHCGAGFITNVGQQYVSVTTKSPQ LALTDKKHHANKVCGDHGMAAATPKPSPHVVTYNSNNKNIPAVTPVPVPMPQLNSSQP VPTRPNFSQPGPPPTHHQQHALSTPISQGQKRTYTPQSQGTPGPPSSTVELHLGDPYA HLTPQDRANLEEELRQAEELHAPRFKEAEAIEDPVVRAAKLDSVKNVFSTRQSLIRKR YGVRLRVRRSKAVLEAEKSRMAALADTPSAKRQRTDFVHAGTPLSNGTGQAMSLTPPA SILPPLQPPALQPPRAATEPTSKVNIPETNNNTLGVDSSESIAATADSKPAAILPPTR SLSSMKRSGYRISTHTSRHSTSATCTPDPMELETRPNAASTDLGKSVSHSPMPQRGKS AAEPVLLDDDSSSTDTDSESDSDEDIPAQITSGPRAVDGSKAG PFICI_01552 MWDRFRPLKDGLSASQSDRKNKLNVQQEWQTPRAPSPYGYSIKI QGGPAPPRPPRDFEHSSPLQTSAPAVQAVRQNPPPRTGLEPYRNSEYGRPVSSIYSQP SPAAATFAAEQLRGDVYSAPHEISPPSSPDDVAPRNGTHSYGGGDVSPIEDTENRLYI MNNPPAEPSANQSRSNIPQMRRERRKNSVGAMFQSREMNSPERQKQYRPHGKDVRWDP RTGEPTVGEKGRPSQIDPHNYVQRLATANAPTGAPQRTGQQPVNPFGVRLKPAQQRTR PGLPAEQTPRSNPKAEAAPRPEWRGGSGRTATVDPVADDRSVAPLHIPRRSSKRTPRD PGVLSPVSPGGSETTSPPPTRTAPERLDDNRSKAMNVRITAPSSQQEKSRVDASSYPS PPLSDDQSAPAKVSQAPRSQQQASTSTQPQQKQTLLQANEKAIRRKPAGGSSHNPHLS VSSSIYSQVDGHPPAPPPVDDRFQLPSRSTGNTSTTPASTVNPQSSPVGAPPLSTPTR QASESPKPIPGDSVLDRKRPVVKGYEESVRSLPSPEPIKINMGSPYYTTAPEPKPTGA RPLPFSNNSALSVNTIASGDKDLPMVPPELSARDRVTFLNAQVEALGNRRININHAIK QMTELMPTDNVLASDAVIRKREQEKRKVETLRAELADVQREEYELGLKLHRAYKRLDR DAEYEPTTLWVRRVTG PFICI_01553 MPRHDKIETYSYTRWAYPSALDHQDVFRGSFREALGFVQGHDGD EDPGWMTCSIWRDRHVHSCDVCVKKGIYVITSDSLIDAASKDVVHFDEPTMTIESVAV TATAAIATEATSTKSGQTKSSRTKSSRTQKSHTKSSRSKEIRSKDHNAKASRSKTSRP KPSIQTAKPEIATSSLPAIPEEPVPLMPLWEMMAANYAAAGGDLSTLRYIGFRGLKDD RFRCSIWHEYEAQLGRGTAIGANKIQTMPGADGWDRLFGVNPYLQAVEGLIAKVNDGL SVGRKRTITIITMLPARAWTPNGFYDMIIELGAEGEYEDFVLYRGRREDNDVEHDDDQ VVYKGRPAK PFICI_01554 MGITPRVPTTNGQINGAPKTAKKTPVDIEELLARKKAAAEAASK PKFIPKAERERLAAEKAKKEEEEKQKQKQKEAASRNDSWSNGTSTQTNGRSQNDSRQD RRAGDRYYRDGPAVPTGPKAMRQNQSQNHHHDDRRKGGNKDKDGKKRPAPEEAEAVLI RERYMGPETNVSTFSALKKRKRTTEKKFNFEWDASEDTSQDHNPIYSDRVAPTFFGRG RLGGFGDDMTEENTRRYAQSLIERDPENGAARAQEMLEMMQRAKERANRNALGKHWSE KKLEEMKERDWRIFKEDFGIATKGGYIPNPMRSWQESGLPRLLLDIIAQVGYDEPSPI QRAAIPIALQARDLIGVAVTGSGKTAAFLLPLLVYISELPPLTEINKNDGPYALILAP TRELVQQIETEARKFSTPLGFTVVSLVGGHALEEQAFALRNGAEIIVATPGRLVDCIE RRLLVLSQCCYVIMDEADRMIDMGFEEPVNKILDALPVTNEKPDTDEAENAQLMSRHL GGKDRYRQTMMYTATMPPIVERIAKKYLRRPATVAIGNAGEAVDTVEQRVEFVSGEDR RKKRLREILLDGGFKAPIIVFVNIKRNCDAIAKEISRMGLSVATLHGSKTQDQREQAL NSVRAGNTEVLVATDLAGRGIDVPDVSLVVNFNMATSIEAYTHRIGRTGRAGKSGVAI TFLGSEDADVMYDLKQMLSKSSISRVPEELRRHEAAQQKPSRVRKTVNENSGPTGFDN KSSGWN PFICI_01555 MAAAATNRYIELAKALHPRLQRFLAKYPPTQILPASTRTNNEAI NSGSIPNPFLPHKHPATGKWQEPEYSLRRQAELVKLAREAGVEELLPFTNKGTEERIR KRVEEGLRVKGTGVGQKVKGHLHERMLATKMEKRRTAVLGMPRLVREWRKTGTQKWSK FPR PFICI_01556 MAPSSSSQEPSHFEVLSLTPNSLDGQDSSTQAKTVKQAYRRALL KHHPDKNQQAKAQDSPADPAETKKKNGDEQFTVDQITEAFTVLSDTRQRREYVRSLQT ESRTSTTGGGGGANFSSSSRQKQDYTYQQTFNFKHSQQQPAQQQQARPHSSSGVETVD LDDVKWDGKRQVYHHTCGRCGTDRGYCFRELDLDEVGEDGELMVQCTGCSLWLRVLFD EAEDDEDDEERQQGGAGKDVKSRSGSQGEELRHTTSGSSSGKSGGWSWKFNFGISIGG GASASASAGRK PFICI_01557 MGHEDAVYLAKLAEQAERYEEMVENMKIVASEDRDLTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNSSQVGLIKEYRQKIEAELARICDDILEV LDQHLIPSAKSGESKVFYHKMKGDYHRYLAEFAIGDRRKESADKSLEAYKAATEVAQT ELPPTHPIRLGLALNFSVFYYEILNAPDQACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEAPAESGAAAAQEPEKAAEAPAEETKAAE PFICI_01558 MYRQALAKAPLRSVAAASSRRAFSVSSRVMAAGDTGSPPKVGGQ GDAFQRREKANEDYAIRQREKEKLLELKKKLAEQQQHLKTLSDHM PFICI_01559 MSFTFGTPPASSSAGQANSTTTSTAPASGGLFGSSSTPTAAPTT TSLFGQATGTPTFSFGNANKTSTTPATGGGGLFGNTASKPAEQKPLFGGMTPAAPASN TPATAAPAANSGGGLFGGGSGTQPASAGGLFGGASTQPASTGGMFGSKPAAGAASTTP AAGAASTTTNAGGLFGGKAPETATSSSQPGGLFGSAASKPGTTTSLFGGANASTPAAP STTTATSSAPSLFGAANPSSTAPAATPAKPMFGALNSTTPAGAPPMDAQKKDEAKPGG AAGLFGGLAPKATATSGSLFGAKPATTESSTPAASAAPTSLFAQPAASSADAAKTATT TTAAATPSLFGNAASGSSSTPTTGAPAGGLFGNKTATAPATSEAAKPAASLFGAAPSA NAAAPAATTATEKPSMFGAAKPATAPATTASSSTPIAATATATATGAATPAASTLGGV KPATTASALGASTAGPTSQLARLKNKTMDEIITRWATDLSKYQKEFKEQAAQVAAWDR LLVENGAKIQELYLNTFEAEKASRDVERVLAGLESQQDELELHLDNYEREVDQLFSRD IGHPDSLTGPDQEREKTYKLAEKLTDRLDEMGRDLSKMIKEINDISGSVSKSNKPDDP LTQIVRVLNSHLTQLQWIDTNAAALQAKVNAAQKAGAGMGSQYGGSEQDAAESFYRSY MGGRR PFICI_01560 MSDSGRRSASLEAPEREGIKDPGAHELTSDSDDHFSDAQSAPED AAAVATSPVPKTRVEKVDDEPSYGEVPGTEAYEKRSGDAVPDEIAVIPDEETSSESQP ANDSTAPGGQPIPKTVVDEAPDEEGAKTHHFHEDLHKADAAPDYVRKADGTGEANTLP ADLETTGEATDEAPESPALKSPTKHRRKSSATRSMVDADEPGGNDDDDADEPDDDGFG DDFDDFEEGDEDADFEDFGDGFQEAEAETTAPPPVAAPPPVATPSFVCITVYFASKSV KTNHIVPQPILDLDGLDSEDILSATEPYLDAIFPPDLADLPVLPSPPKENPIFFNPRS ASLWSQLAAPPPLQPPDWIRSRIRRLFLVSLGVPVDLDEILPASKQKKLVLPSLHRVT SNGSLRTSSESRSVSRLRKTGANDSTVSLDSQGKEKPRSSSKKRKGPGPEPALDLVAA KQLCTTTDEALGGMTDEELKGHVKRLEEMEGLAKELLEYWQKRTDEKIGDREAFEGVI ENLVKHARKTRK PFICI_01561 MCRLAGGEIEHTGGANPDPTQGRELLPTNVIPKHYDVTLEPDFD KFVFKGTVLVDFDVKEETKSISLHTLEIDIHSAHVKEGDKLVTSSSAITYDESKQVSK IDLKDVIPAGGKITLEVKYTGQLNDQMAGFYRSTYKKPDGTEGILATTQMEANDCRRA FPCFDEPLHKANFTVTLIADKHLTCLSNMDVASESEIASEFTGATKKAVKFNPTPLMS TYLVAFIIGELNYIETKKFRVPIRVYAPPSSDIEHGRFSLDLAARTLEYYEKIFGADF PLPKMDMVAIPDFAAGAMENWGLITYRVVDLLYDEKTSGAAMKERVAEVVQHELAHQW FGNLVTMDWWEGLWLNEGFATLMSWLSCNYFYPEWKVWENYVTDNLQSALGLDSLRSS HPIEVPVKRASEVDQIFDAISYSKGSCVLRMISTYLGEETFLEGVRRYIKKHAYGNTQ TEDLWAALEDASGKPVKDIMSIWTKNVGYPVVQVTENNDKSIHVKQNRFLRTGDVKPE EDKVLYPVFLGLRTKDGVDEGLTLSEREGNFEVKDLDFFKLNANHTSIYRTSYTPERL EKLGQSAKEGLLSVEDRAGMIADAGALAVSGYQKTSGVLNLLKGFDTEDSFVVWSEII ARNSTVQSAWVFEDKSVKDGLEAFVRDLVSERAHKQGWIFSDKDGHVEQQFKAMVFGA AGIAGDKQIIAAAKDMFAKYAAGDKSAIHPNIRGSVFGMALKYGGKEEYEAILNIFRS SNNSDERNTALRVLGRAKEPELIQRTLDLILSSEVKSQDIYLPASGLRSHAEGIEALF KWMTEKWPEISKRLSGNANILGSMVTICTSSFTKQEQLDQVEAFFKDIDTKLFAQPLA QSKDAIRSKIAWVKRDGDDVASWVKSNGY PFICI_01562 MANTRKPTASLLPLLPRIFFLYLEPVIIPCGMVMAYSTRLPALA AGPPYDVAVLSGPGLSATYLLCMLVYGLLILLSSPPNPALLKRHIALLTLADVVHWLV ILSTLSEAEAAATGQDPAGLWSHLHPSKWYHDENARMLMLGPLLMFCVKIATLTGLFG RIGDA PFICI_01563 MAGLLRRHEHEPPIGELPVPHGPAFGNEYEEYPQDTHVPPATDY EKDIFKHITQPDDSYTSEGVYWADLPFWKRWSFVNSVDKAEAKDELSTIWSMMKKDPL SPIGWYWRHAILPGAGLGLEGYVLFSIGNLEPLFKSVWPQCWGSSPTECSHNWVSSIT YLEVIGIMVGQVGVGIIGDWVGRRWGLIQDAFIMFLGLCILTGSWGVTLNGWIICYAW ALFFYGFGVGGEYPITATSSMENAVSAGKLSTRDDRLHRGRKVTMAFMMQGWGQFVNQ VILIVLMFIFNRGYGNPPYSETATQWVFRLSFAFPALGTLWLVYYRTWKMPSAGKKLD QAKKKANVTGYDVKSMKLTFKNFGGRIFATALGWFCNDVFFYGNKLFQGQFINIVSNN PSSVMTTWTWNLVNCVVSLCGYYAASLLIDNKMYGRKMMQQVGFFMCFIMFVIPAFKY EYYTSSAGVHSFQAMYFLSSFFNQFGPNAVTFLVAGEVFPTQIRASAHGFSACIGKSG ALLASVLYNYIDNQTKFYVVPWFGLAGMLVTWIWLPDTTGLDLKEQERRWQYILNDRS DEYHGIAIHPQHLSMWERWLGVGKPYHPDTDLKAKIRDIKSDWEEKQAAKEDPEQAHM VDEDEDYTDEIHSYFRGETPPANRGLKNFNKEKGEGKPEPPTKTIPDSHEISEKTADS GASSTS PFICI_01564 MPLRQSPRKRTTIATTKPQVKTEEPAPSTRRGTATTSAKRTTTK RKVEVVASEEDEDEEEEEEQDNKKAKVKTETKAADQKKPAPAAKKRKTTAASSAGSKK SKAEDMPPLAPRTAVASLKKAMYVGAHVSAAGGVQNAVSNATHIGANAFALFLKSQRK WDNPPMAPEAISGFHHACKHGSSNRIKEEKSEEGSGEAAWDAAKHCVPHGSYLVNLAA AERAKADQAYTAFLDDLKRCEQLGIRLYNFHPGNTNGEPRPAALARIAAQLNKAHAAT KDVITLLENMAGQGNVVGTTWEDLRDIIALVDDKSRVGVCIDTCHAFAAGYDLRTPEV FAETVAAFDAIVGRSYLRAFHVNDSKAPFDSHRDLHANIGTGFLGLRAFHSLVNTDGF AGLPMVLETPIDRKGPDGKSVEDKQVWADEIKLLESLIGMDPESDEFKALDKRLQKEG EAERKKIQDQVDRKADKDAKKGTKGKKTIDKYFGGKTKKKAEEDSESE PFICI_01565 MAPSDDPVNFDVIEGQKENIQSLPGGRSAKKLAQLYSPTPLQQI PTPTDIKDANEHTRAKYEAEITTLADSDDPLDVFDRYVKWTFDAYPSAQATPQSQLHT LLERATKSFIGSTQYKNDPRYLKLWLHYIHFFSDTPRETFIFLSRHGIGEGLALFYEE YAAWLEGAGRWSQAEEVYKLGIEREARPTARLLRKFNEFEQRLAAQPDAGDAPSSPAL PAMRPALAAKVDPFASARAADPQAPRTTAGVGGSTSKPSKSKLAIFSDADAAPPAPSP MGSGSKGWDTIGSLADRKKENKMEPKPWAGETLKAGGKKSSAPKMAIFRDASLARIAE SHIVIAPSKHQVIINPANGRRERVYINLEAIYPTPEEPGTELSFEEIWASKRGWLDCS WEEYETEDNDFENEQESIVENAQTNALNQRLATKLVVHHDVVELDENGALKQQQKPSK PKKKKMMEVNETQIIKAKLDSPPKPKMRKNKSSEPTMTLHTRAATDEIYDLFNAPLQP ADGEDQSEDDDEYVSDGDYTSGGESTCTTRQIATSEAGDDDEADDVNMEDRDGEDTVS DLLGPDDDDHTQERRNLSTPTDDDDDDDPRTMTRFVPIPPEDYVPNSRPYRDASEVAN NRLPFMTPITERTESSLGFTTAAIAKYATTPASHNILEEDEEDEEDDEDFGPLSSPLR EILGGNRSPVKIAQPKLHRPAGKHSAPSVAKGPLIAELQCNPVDEAIRQEILRVMHPP LASYSGFFDHRDARCNRGGEIRRFSKACAKANKPGNSDRNSISNPIVLEFPEVKSTYT LKRELGAGAYAPVYLVENSCPGQDHDDEAAPVEMGKGAFATSQRSAQEALKMEVPPTA WEFHMMRLAATRLGPQHRATASISAAHELHLYQDEGFLFLQYHPYGTLLDVVNFFREQ PSGIMDEPLAMFFTIELLRTVEAMHSKQILHGDLKADNCLLRLDALSSEHNLSSQWQA DGSGGWDARGVVLIDFGRGIDMRAFDPDVQFIADWKTTTTDCAEMREGRPWTWQIDYH GLAGIIHCLLFGRYIETVRCDQGGLGTTAGRRYKIRESLKRYWQTDLWAGCFDLLLNP GSYVEHEDGARMPVNKSLRSVREQMEAWLTANCEKGLGLKALMVRVEGFASARSRK PFICI_01566 MAIKKIHARSVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EACELRDGDKSKWAGKGVTKAVENVNSVIAPAIIKEDIDVKDQSKVDKFLIDLDGTPN KTKLGANAILGVSLAIAKAGAAEKGVPLYAHISDLAGTKKPYVLPVPFMNVLNGGSHA GGRLAFQEFMIVPSAAPTFTEAMRQGAEVYQTLKSLAKKKYGQSAGNVGDEGGVAPDI QTADEALELITDAIEKAGYTGQIKIAMDVASSEFYKVEEKKYDLDFKNPESDPTKWLT YEQLADQYKQLASKYPIVSIEDPFAEDDWEAWSYFYKTSDFQIVGDDLTVTNPIRIKR AIEQKAANSLLLKVNQIGTLTESIQAAKDSYAGGWGVMVSHRSGETEDVTIADIVVGI RAGQIKTGAPARSERLAKLNQILRIEEELGDNAVYAGDNFRTAVNL PFICI_01567 MPPKTSKPKTPRQTKLNFGAATIGSDSKSSTKKAVKSNGNILNF FKKIEVEEQLFMEQGPAYTNALSIVESKTKVKEEDDIDELFEEAAEEDGDSPSRFNED PGSSKRRKLSHNGVNGNGELHNGHLEEEREVVKIETLPEPTKSKPSKKSRKGPFLDDS DDEDNVPMPSSPSRQDTKGEPPDDVVLNGATKADLPPVRPELLRDESCSVRPLTPGLQ QEDSTYEDFKTIEEMDDGFDEEFDNAEEGEEFRYMRYMREQARLEAEEAGLAPDDELD DPAPNDGMVESCPICNASLFGITPDQATTHVNACLDGNPMPVPAPAPAHLKKQPSEDI PNTVDAPEISKRFAKAAVPRPGQSNPISLGGGESSSTAKTGSAFSKLMSGHAEDSAWA SAAAAENASRGKPAYERTCPFYKIMPGFFICVDAFRYGAVKGCNAYFLSHFHSDHYVG LTRNWSHGPIYCSKVTGSLVKSQLGTAARWVKEIEFEETVEIPGTEGVTVTMLPANHC PGSSLFLFQKPMGKGPSARKQRILHCGDFRACPAQVAHPLLKPDTIDSISGKAKQQKI DICYLDTTYLNPRYSFPPQEDVINACAEFCKTISGDPNAVDDAYDALKREKGTESVSK FFTKEPSKDESEESTTNSPRQKHRLLIICGTYSIGKERICKAIAQALNTKIFASPSKI RLCKQLGDPELSALMTSDPREGQVHMQMLMEIRAETLQEYLNTYKPHFSRIIGFRPSG WNFRNPSSTAKSVNANLSPSSVPTTQILHGPAWRTRFGPRDFVAQRGSTREAMCFGVP YSEHSSFRELALFTMSLRIEKVVPTVNVGSEQSRKRMKAWLDRWMSERRRGGVVGLLL DEENEPAQDAHGMEKAAVGKKGKEVALWEGKDGRGGGVYW PFICI_01568 MMDYAQYQQPPQSAHSQGHIQSGYTNSNTGNTITSPTSHSIHQH GVQTSPILASSHQPASANQGHNMYSTQYSVPQQGMHYGVPGIQAAAMAATAAAAGGTP YGNYMSSDPSLTQSSPRLGTGVNAKKDAHGPRSPQQMNQMPARRLSQVTSPGVPNASM LNHGRPSAVPPPMPAAQSLPQPQSPEMPAGAEESPLYVNAKQFHRILKRRVARQRLEE ALRLTSKGRKPYLHESRHNHAMRRPRGPGGRFLTADEVAEIEKNKGSDEKEEDSSSPP AKTGTKRKSESGSTASSKKARLEETPDDDDDEDAEGDN PFICI_01569 MASTQKLVRVGLIGCGEIAQVVHIPTLGFLSDYFRITYLCDASA SALDHCKSRVMGGVTPSTTTTPAELCASADVDVVLVASSDAHHAEHAVLALQHHKHVF LEKPAALNVRDLHRIRDAEAGSRGKVMVGYMRRYAAAFQKAVELIGGMDKILYARVRD IIGPNSTFVDQSGTFPKKFTDFSQQDSRDLSDKTAETVTTGLAECGASDSESNRRLWR LLGGLGSHDLSAMREALGMPKSVRGACSAGLFWNAIFEYEGFHVAYESGIDNVPRFDA HIEVYSQEKTVRIQYDTPYVKGLPIYVKVAENDGGVYKETQFRITYEDAYTQEFREMY EWYTTGKPVKTTIEDAENDSKIFGMIMKAAQR PFICI_01570 MTEPSPSSHVRSVTASPNLQLPGTTPPTARKRARSEGNGNGNFD QRQQPQPERKKRAPVACRMCKNRKIKCSNDRPKCLSCVRLQCDCLYPEDANRSLGEEP LPRILGALEEILARLPDLTRSVPFPSALYNAPHPEARLAIPTGSGSSHETELSNSTAE ESFLSLPNDVSRNTSLEEVLRWPVFSSNATTATPQLSGLLMADEESGVGDSSDAYRKN AWHVLDNTRILLRVNQFLANVNIKNPILDRHTLLKDAMLLTETGLSWDARSCLVLIAC ALGAISTAFEPGPATTDGILRSRSDFDSQSRVEAESYYQSAQRRLGLLTRGLRASQCH LLSGIYCMYTLRPIEAWHSFYQASTLCLVHLKLRGSSHCGGNLAEFSDAQKSLEQRLF WSCLKSECEMLLELPLPQSSLYTIESPGMFPSPPPQETIEAAIKGPELDGRPPTRSPA LNSLYPSHHPPSPSTPRDLVSLHHQSWFYYLTEISLLRLNNRIIRAFYSADSTTWTRM NVIDMCNEAHACERQLQQWVESLPHSIRFDETDPNPGEHSELQHMTWRRYVKIKRLLY RPFLYRLAHADHQDGVLHQLVQPYAEKCVLACVQPATYIGLRHRHHGTWFGCRESALS ALILLAAQSTGVLTAMGLEAQSEQFIHLYIAHLKFWQEESVDIKVISEIIQRMCQESL SQSVSSG PFICI_01571 MSPTQLRWGIVGTGWIASMFVTDLLAPREDGPAEHIITVVGSSS NVEKGQQFVNKVWKDSSAPRPKVFASYQEVYDSPDVDVVYIATPHSLHDQNCLDAIKA GKHVLNEKPFTINSKQAEQVIAAAKAKGVYLMEGMWTRFFPLVIELQKKIQAGAIGRV RRCIVEIGLTLDFDSLPKESRLKDPALGAGALLDIGIYPLTYASVILGNGALGTNHPK VAVTPSLTIEDGVDGSGVVILQYNKGTAEEATAITMSSLHNPNPPDFGRIEGTKGTIT VYTDKGPSCPSGFRIKDSEGKVEDYPFAQPTGTIGFIYEADAVALDIAAGRTENAIMP LDETMRMMRLMDGVRKECGLVYPQDKE PFICI_01572 MPKLSIKEKNAKIVGAALAEVIPQSETWWFRQPHLARLNLLLLV PLLSSSVAGYDGSLMNGLQALPQWNSDFGYPTGYTLGLVNAIMPIAMFLALPILPMIS DRFGRKVPILIGGAGVIVASLIQLFSVNYGMFVFSRAILGFCGSFMIQPSALLIAELA YPTHRGKYTAAFFTMYYFGGIIASWITFAAQDLHGSLAWKVPTIFQAVLPLVQVCCIW FVPQSPRWLVANDRVQDASVLLSRIHDPTPESQLVARELSEMVESIHMEKEAALTTWR SLVATPGNRKRVAVAICVGLFAQWNGVAVVSYYLSKVLTSVGITSTFDQTLINGMLQI FNFGAALIGAFLVDRLGRRLLFLWSTAGMLVTYIVWTACSAVNAETGNSAAGIMVVVC MFFYYFHYDIAYTPLLIAYPTEIFPYSLRAKGLALEFYFNSGGLVIGQFVNPIGMENI GWHYYIVFCVLLLIMLIVVYLLFPETKGHSLEEIAFIFDGPQAETSLAMDKRVEAETV EHKEHV PFICI_01573 MVFSSTVESTVRPTTESTTEVTIKATIKATIEPTVVPTTEISTT VSSESSVTESTPSETTATSSTTSPTCSPIVVNGDFESGDEPWLRTGTVDIRDNSQGFY STPYGDSFA PFICI_01574 MAAVMAGVEAIAILSDTTSSLLKSTSRRRGCGRLPSSSASELVS VNGSFTTFVITLPESSPTTATTIPETTAETTTSTSLYTAGTTASSTESSAEVSSTFFA IMSSTDSTTIEPTLAFSPTLIGTESTIGASTTAVSTFSVTEPALRLLQ PFICI_01575 MNDPSMTAASQQFDGDWTSNQVSRQTSGRNLRKSCDRCHQQKLR CVRSNTSLEPCTRCQRVGVECVYSARSSKQANKNKSRHQNGAQTEPVTPVMSHQHSNS GSYEPEDLQLGSFFPSALDTTNVWSLNDLGLATHTHRGPVFSSKSGIDESVIAPPDVC KSGSDSSSRFTSICQTLETLFKTVTNPVREVFNAFDSLVRAMALEWGRGRTPPRDAHT TFDKYIDSKQASMAAQCYMLCIRLFVSLSEKLLNNLLASPMPVQPATFGLNTPDSTQF SGGGGLGTLDMNFSLGNDNVMDNFGLDDLSVSPTDSYEQAVDSAVNVLRVGTRLIGRM EQMLGIPPDMAVGTLSSAEQPSLEHQRKKRSLPARLVATTWEYETSIGNKCAITYFKR YRAAILGLAHGHM PFICI_01576 MISVLIVGCGLSGLASALALAQAGHHVTVFERNAELQELGAGIQ LSPNATRLLQNWGVLDEVLKYADRPVSGTFRSSQGEIRSYSPPVSDQRLVSQAPYIMI HRADLLRALLSGKDKHGIEIKLGSEVAEIDFNKPSLRLATGEVYEADMILAADGERSR CRGSMLGRPDPPYSPGDVVYRVSVPTNGITEKHRAWELTRQSSVNFWMGPGGHVVSYP IKHDMLNMVLIYHEGPGTKVMYGPQRADLEEFRSKIHDWDPILHEYIDVEGSVCTKWT LFQIHEPTQWCHDNGRFALIGDAAHAILPCLAQGAAQAFEDAGVLGGIFSQVVEPEQI PDALRVFEQVRKPRASEVRRRTLDQKAMFALRDKHEQDLRDAKLGAGEDWKLFQWLWE YNAAEDGSKAWQQFLEARNGI PFICI_01577 MFLIESSYSPYWGIVLIFGGFLAALVQFLKPKDLKSSGGSKKWS MPPGPAGIPVLGNLWEMMQARRDPNAFTNWLASMIPYGDMVTLHMGSQTWVLLNTDRA VSEVIAKRGRITNERPHMPIASDLVSNGKRTVIRQEEEWREGRRVMHHLLSGSNLKVY AGMQELESIDMLRKYLREPKQWYAHNFRYATSVLYRLVMGYPLNKTTKELDDYQRVTI EFVASINRSYIDFFPAFSKLPHALQPWREFWTKMGAFHRQVFHDWWTPVKAAVKQGAA PPSFVRDVLLHPDLRYAGDDEEAMYLATSVMAAGGDNTRMTINTFIMAMLSHPDAQKK ARQEVDRVCTEGDSLRLPRMSDLTEMPYIAAMIKEALRWRPTVPIVPPHQLTEDVEID GHLMPAGTVFLINSIGLSKEFDHANDFLPERWIDGSESRTSANFWGFGGGRRICVGWK VAEQALFIAFARLIYCFEFTSNGPIDDTILNHQVVGEPFPVKATVRSAEHAALIESEA AKYETSFSTFGAK PFICI_01578 MPPVSAEPMPDTGHTITTSEGLLSPIDSHLLPVLANFTGCPEDP YSNFIYPTAESLLRPAFLTPLVCSDLDQLYFDRVHVFAPFLHKVRYFSWSKEVDKPKA RLGLQYAMWTLTACFSSQFHMIQHSLYTSTRRLLDSIEIESHDNSVMGLEQAQAWLLL SIYEMISEQFRRAMISAGRAFRLVHLMRLFEVDRQLPLGFQGDWVDKESLRRTFWVAY IIDRFTSIADGLPMTFDEKEIGTLLPAPESHFMSGQPIDMCYPSDIIGGIDAIKPLMG VEIGPFAESAIMATVCGRVVNLRRQLMNEYGGQSLPPSFYRQHQSVNSILSNRLQIIS RQVDSSQEHPNPILIFLALSAYMSIFLLCETIEAKQMSPETPESAFDSSEQRSLDSTD KLGRLITLLPQLNDFEVHPFTPIPLVLSYKFCISHAGMEESYAGLLPLITSALQGLTH VNGLARYFLKILDD PFICI_01579 MGVVVDYKKPNHGIFRVLPDAWVPYAELMRLDRQAGFWAFYWHY LIGLGFAVNIKPFSNDLDLGSIAFLAAYMGLWTVIFRGITCTWNDNLDQDFDRQVARC RVRPIPRGAVSTLQAHFFTAALSAAGACLLFPYGESTLYHAGVDSVLLFIYPLLKRYT HYPQVELGFGLSYAIFLVAASIGKDPLQPLLDSSLDFSSRVSKVIQSPLAQSAACLYV AGILWTVIFDTIYAHQDYLDDLKAGVKGLAVLLGRKGTKPACYIMGAVQIYCLVLAGQ LAEFGEVYYAISCGGTALTLAWMIWVTDLEDGASCAWAFGRGSAYPGAAISVGLLAEF CARKYVF PFICI_01580 MVTIEDFGRVGAVGNVAARSIKDESVFRSTENDYEIPIRKSILV NDLYPTREPVADPGDIMEVKLSQENESGVAVTKGTLFRLVDFAPAAATSLQAANNVDL AVVVKGSFKIILESGEERMINCGDAAVQRANVRRWINMSNNGQDSGQILFIVQGIQDN HVDGPLIARAHGKIGQTHVKVSDHKPVVYARNDDSFDWRHDYRRYDDKFDSEF PFICI_01581 MSSYVITGASRGLGFAFLKEFSSNPNNTVIGLARNASALKEKVA SELPGRSNIHVFAADLTNYEDFKTAAEQTSAVTGGAVDYLVANAASVSSNEEYNPLGD LPVLTAAESLRNSVEVNVIGNMYFISAFLPLILKGKVKKIISLSTGMADIDLINGYDI EISAMYAASKAALNVIIAKYNVQYKKQGVLFLSYSPGVVDTGNFDASTLTPEQLQRLQ TMFGGFAKYAPNFAGPVPTEVAVKQAVEVWENASLEKGDGGAFISHLGNRQWL PFICI_01582 MSSPSSRFTDLVLSQKYDASRADEVRSITGADLTIADVVAVSRH LASVELSSSSIEAIEVCSKIIPKKISQGEVIYGVNTGFGGSADARSNDVELVQQSLIN HLTCGIVADGKSQQAPKTNGTNGHTNGHTNGHKQTSPKTSLPLNDPFAVTCMPESWAR ASMLIRLNSLAGGASGIRVDIAESLKSLLNKDVVPTIPVRGSISASGDLSSLAWICAL MQGKTSATAFTGPRDVEGGRKVTRADVALAEADIAPISLHAKEGLAIVNGTAVSAAVA ALAAHESVSLAALAQVLTAMSVEALRGSDESFEPFIARIRPHPGQVDSARNIKAFLSG SQLLNRHDSRSKATLRQDRYSLRTSSQWIGPVLEDFCLAYDQLTIELNSVTDNPLIDV ETERVFHGGNFQARAVTSAVEKLRQGLQSIGRMLFTQCTEMINPATSWGLPPNLCSDD PNESFLFKGLDVVIAALTSELGFLANPVGSHVQTAEMGNQALNSLALVSARYTLEAVD VLTQITSAHLLAVCQALDLRTIEIEGRKAEAPNALPHIGYASQRIYRFIRNELNIPFL DESQLNSKEAGKENGVTPSMGLFNTRVYESIRSGRLHTVVSDIFEGVGKD PFICI_01583 MLSDTVTLLDKVKKGTVTKPIKNIGKLEGILRRISICIGIGIDV GFCVGVGVGVGTGIDCPLIRIAID PFICI_01584 MRSALGWLFMVALPIEAHLHNRANEWQAPQSGDSRSPCPMLNSL ANHGFLPRNGLNVDAPTLRNALQSNSFDPDALSDVITQALSTSTTGNSSTFNLADTVK HNVIEHDGSLSRDDYAHAQNDLHFDAEIWSQVVSHFTSDTISIETAAKARLDRIANAQ STNSEYSQPNGDTASLAETAFYLMLLGDRVDGNPPTAWVKIFFEQERLPFAEGFVNKD PVMTLDEIETMMSKISAVQ PFICI_01585 MFKHPMPTCQLCGIGFETGRIRTPAEPRGAGWHSRGPASLGDLR GFLQGDGDFGSPTLSHMADGRDRLCPPSAGCMVDKRWKKGTELLDAPGLVDNFFDREV EPGPQGDYYDAYVSESSEEDDPYEYDSNYESDSESSVTYQSDADTPSVLANTKSSASP IRSDSPATVHSQALIPVRDKVMVNYEYEDFNYEHISGGPNCQWRVDRRLVFNGHFISA DEMRGCNTVQCFVAKSDNWVPEPDDQKFEISGRFFLSGLGYQWARQGNWRASVCPPRH DIEDVKAINNFHMSEGPGVPCLPFHPTCLEVFKRVSTQRSGAIDYEGLFAWWFIASGE VYDNFPRDPAAIRARSYQDKPGDEFVVANPCFMTKLASIVASSDRSDEPSFGHNTTVF RAARKAAGDMFASLPRELLLIILDPLGSKDIANLRLASRSFHQLPQSVFRTLTLRELP WLWEAWSSMEYSKWAYHKPSELLPTYERREIRDEFLSRAETVLAEEARAVQDPEPYHA AISALREENAKEEEALKNYPESPAPLQLHEKTDWYHLRCELARNHSTLLGLRNRRRIW KDCEEILNQIDGHRADAERYRQEVEDGAHPDDFLMSDDEEDGSDDDEEW PFICI_01586 MAMNLPLRWNDGGKQRRAAQIPMESWKLHRSTIEELYKNETLAD LVETMKTNHSFDATERQYTHRLRQWGIYKREKQKEQDIDFVLESASGLGQEKCDESIP ISCDKKSESLKRPNSRQNTGSRSSQYSLDSTPASKRLKTLSEEHSDSVSSSSNEENFL EPSYITSYEESRSIVSSIASHEVMLAEGSSQNVSGSQNISSSQSSSITENEEESKPAG EIESSARRHSQFEDPWSARRLRSYVAQLTGRQPMSLALEQLNRLRHGYSVQYSNLAFC LDLAQNLEGFDTLSDSFYLPSQAEEGLKVVADYLFVVDQFEAASNIYSVLLVAEESET HSRTRSHTEVLLLTPRVVAAFRSARTVPQCQLVQDVLLKRIKSNENRDVSISELFIVH SFLADCFRKQGQFSDRLNHLVQSWTIAHRLNEEGDSRLAPDHSLLLYLYYKSAFPELP SSNFLNVADLTDIPSRPQRLGAYGATSIGYDDFMAFCALVKNLGQEEEAIRRDNGAAD RRILRCVRDCVVWCGSIERGTRKETSLSKRFENVLDAWKGDTTVSSDQPYGGTVPEIE FGISTAENLHVCDQISSCIGDMKGLSAISYQKLVDLHFEFLFKRVSTNLGRQHSQVIS NSSSRLSIASAIAPSIGSSNASFRNFRDVSHSMKKRVTGAPSTRSRESSSNMSHLSKM SHVTDLFGASSIHEQDETGTAILHIKQVTWLEPNAEECRGL PFICI_01587 MARITDLPIEVMACVLSELDDIRFLSATLLTRKHFYNSAAENSK VARNILTRQITSNLLPYSIAVFESSRPPQTHTNASIEELINLLCHEPEQLVARMRTMH LRDLVHLGHVHDIMHTMSTDFMRQAWSLLGQDHLILSPVESFRVKRAFYRFELLCNLC HRHPSTIESEIEKRYYPTEQLLRKHSPWENEQLGCIYNFIEEKFSFATFQVLREDFGF GAILFSSIRDRINEYCRRRWECKGLLFTHALINETSNDAKKMKLKSTILSPEIRIYYH LRQAHGDGASNKLLYEYSPEERRTFASRFDDHDTDIGPYEAWYNAHMHQSNIMWVLAV SKIGRRLRSYVFWDLKRINQNNLLKEFESAPKSRYVEDGFKEWRHSLGERSTIWEKRG SVTGGKLTLAQ PFICI_01588 MMAAEGTLSSDGSCKSFDSGANGFARAEGITAIYIKRLSDAIRD GNPIRSIIRASGSNSDGSRAGLMQPQARTQEALIRQVYKRARLDPGDTAFVECHGTGT ATGDPIETRAIDNVFGEHGVYIGSVKPNIGHTEGSAGLASLIKAVLALENAIIPPNIK FQVRNPKMPGADRLLIPVAPTPFPPRKQHRISVNSFGIGGSNSHVILDSYAPATNGMP NATKTNPASFRDTELPSLMPFSAYTEHSLRRQIELYTAHIRQNPTQVHDIAYTRSMRR ENLPHRAFAIVESKGRTLKISNLLKTSNQPWGPKVVMVFSGQGSQWAGMGRELLFSSS DFRADISAMDTVLKVLPKPPHWSLSEVLSDHADIEKFNSAELSQPLCTALQIAIVHHL RRVGVLPAIVVGHSSGEIAAAYAAGHISLQMAIRAAFYRGLVTREADRKGAMAAVSLG SQETSRYLAEGVVIACENSPSSSTISGDRALIEQSLASIRKDRPNVFTRLLKVDMAYH SSHMAILGDMYLHHLEAERPSCNDTFFDQGAIFISSVTGSQASAQDVSSPNYWVRNLV QRVRFSTAIQMAQTIISDHVLLEVGPHATLGGPLRQIGQPQGAFKYVATQKRGEDCDQ AMLEAFGQLYQYGVPVDFRPLFQKGKTLPGLPTYPWDHTGPSFWYENRISRASRLRQY PRHCLLGLKTLDSSDSQPVWRNIMDLDDLPWLTDHKVGGNIVFPFAGYVSLASEAIRQ VKRLSLTSGLSLRYVVAKKALVLKQSQSVEIITSLRPGRLTDTTNSDWFHFQIQSHDG ENWTEHCVGEITTVFTPHIERANDFHVLPRQVSKQTFYSAMSRTGLSYGPEFALLQDI TASATDHVAQAHIVDTGNHSNNAFILHPAELDSCLQLVFVAASQGLCRNINRLYVPTM VENLEIYGPRSDFMNVQSTWNKKDHGSCQIDCVGSSGESIFSLKGVQLAPLEDSTELN QVSHRHGLARLEWFPAFDFSEALQMLQPPPLNREHLAIEHQLTLLCIMEELEKVRNIT ASNAHLSKLQEWMSDEMSKALETGTFPLIRDTKWLTELDETARRCLIDEHVTFLSTGP HAPFAQACKRVCEHGPSIFSGVTDTIDVLTRDNLLTEVYNVNSYNYGQFVSSFSKSRP NLRILEVGAGTGGTTELVLRELVGDATDMPSFSLYTFTDVSAGFFAKAKERFSHVPNM EYRTLDASKPPLEQGFENAQNSYDLVIAANVIHATPFIGETLANVRSLLKNDGILLLT EPLPTLKTVSYIFGHFSGWWLGEADGRFGGPLVGIERWDRELKSSGFSGAETVSFGAE EPYRQIMTIVARAESAQATINGRSRGNVTLLCEKATSNIAVALKTQLNDRGWNVDTHE LSKGPPTPSQVSISCVNLEEASLNGKLYDQSKFELLREVMNSLAEKTLWLMPTVQTEA SENYHAAQFLGFSRSLRSELGLQIYTLEISEIDSLTQPQLVMDVFEKILEEVDAGALA PDMEYASRDSQILISRFRHVTLEDEIRRLSSESTAHGDSAELRIGKKGFMNTLYWQRK ELMPYVPDGHVEVEIRAVGLNAFDIFTGKGIIHSHIETEDLRFGCEAAGIIRRIGSGV TGFQSGDRVMLFSDGGSFATHAVIPADLALKIPDSMAYEQAATIPVCFGTVLYSLIHV ARIEKGQTVLIHSACGGVGLAAIQVCQMLGAEIFLTVGSKKKVQYLMENFAVPPERIF HSRDATFVSGIMRETHDAGVDVVLNSLTGELLHESWKCVAEFGCMIDLSRRDADGLGQ LNMMPFGHNRSYHGVEAIQFTRRPALFRRFCHEFLRHAEQGTLRPIQPLTVVAAHLIE RAFRTLDDSAHIGKIAVTMTPETTLSAAPYVRCIKFDPKGTYLLTGGVGGLGRAISTW MVDRGARHLTFLSRSSGISESSNMLFKELELMGCSVVSVAGSADKEEDVEVAMAQSTL PIKGVLHLAMVLRDISLMDMTWSQWREVISPKVTGAWNLHASLLQHKQTPDFFFLASS IISVLDASGQANYVAANAVNDAFCKFRHSLGLPACVLNICPIIDAGFVSENESAAQSL QAQGLHGVHESEFLDCLELILLQGQKPAGEAMEGISSASRSNQHQIVMGLHVGQDLDN SRSIWRRDRRMGTYHNMPKQASTAANNKQDALRSFLASLDKSNAAEQLRNKATIQFFA TEIGKKIFEYRLRPDEEVDTKSTVARMGLDSLLSVELARWFKSAFGVRMGVLEIVGGG TLEQLATMTAGKICKQYTQTV PFICI_01589 MAQKATAYGRRLVPQVLDELAETDPKRVYAAIPKSADVKDGFQD VTVADLSRCVDFMASWLDEKFGRSESFETITYIGLSDLRGPTTLLGSIKVGYKLLVPS PRNPPSVTLHLMERTGSTKVLYAAELAPLIKPLQDLAPSFVFDAVPSFQTMLDSHPAR YPYEKSFEQARDEPIVVLHSSGSTGLPKPITITHGSIGAHDNDHNLPVPDGRERSDST VFTLDGDDRRLYVILPFFHVHHALLNNLTLVLGPAHVAPDAGLLTQIAQQQKLRGIMV VPAILEQLLHDPKGLDLLKSFEFVACAGAPLPGPVGDQVAIAVKMFIFIGSTETFPLP ELKKGPEDWQYHEFNPNLKHEMRPYGDDTFELVILADETTKDSCPVYHNIPGESPFFT KDLFTRHPTKPDLFKYYGRRDDILVFANGEKVNPIPLEQHVQGDRLLKGVLLVGNKRT QSALLVEPRDALDEAGRKKLIESLRPRIEEANKLVPGQGRVGKGMVFCAVSDKPFART GKGTIVRKLTETAYEQEIEHLYSTSSLEQNFVSVDLSGTNVTKTVYERYKIIGFLRQI FASSFAAALSFGEDEDFFAHGLDSIQTLEITGNLKHNLAKLTSSSIDWISPRTIFQNS TLVALSDVLLDFLNNGTVPETNSETARALAVSETVARHVEKLPQRPTSAIDPKTVQGS SVAIIGSTGYVGSYLLSTLMKNPEVSRVYCLNRNDDAQVRQEKSLSGFGLDYEALSSK VTFLKVELGAPRLGLGQDQWELIANTIDSIVYNSWRLDFEIGIRSFEPFLQATRDLVE LSTSSNNRIRIIFVSSTSSVENMALTSVVPEKPVADPLAAMNTGYGQSKLAAEQILVA ANRKTGTPVSVVRIGQVGGSSKPDATTWADQPWISAIVQTSKTLGCFPSPVAPIDWVP VDIVATILQSIALRAAVDEPQVYNIASEPQSWSLLVDLIRHSTDVKEVVPLPEWVDRL RKISNSGSPDVTRLPALRLLGFYELLGSGTDSLQLATEHTQAVSGVELKSLEKELLES WLKSWGV PFICI_01590 MDFDNTPAMEAPPGFESNLVNPDSRATTRVVVSSVALAIVAIFV PIRVFVRYKIGYFNSEDWVLLFATAAFIVLESMLMIVSLYGGDGTHLWDISLSRLFDT LYYENIAEIMYCTTMFPIKYVMLHQVKSIFFAHDRRSPFHRIIVVLIWANLLLYVALG LAFIFGCTPREKIWHPMIEGRCISVVTCMSAGGALNIASDASVLIIPLFGISKLQLPL KKKLLASSVFAIGVFATAAAIVRFYYGLQLLTTEDTTWVFMDIGNWTTIEFMMGFIVA GAPYVPRLLENRFSQKSPSQVTPAIVKTTFYSSKVMNRTDGQDAGWSALREQDDMPFD GTTQAYSMSNKSSNRSSDRSELV PFICI_01591 MDRALVHLPPAPPNPARIALASAAASEILEPAKNAVAEDLFYRY NAIDKAKGAIRLLILKGGSGNDIKCELVHTTIDRNIIPYEAVSYTWGPEFAAESINVC GRRLPVTFHLSRILRDLRHADEDRVLWVDAVCINQQDATEKGLQVAQMKDIFRSAKCV LLCICRSTDYTDLLMDSLAKLESNLCDTQSYDSQDLNTSWRDVQKAIASQHVEPLSRH SEASLERQLKALASLQRQGLEYILNSPWFLRVWILQEVANGSSASVYCGRKYVSAATF AVSPRLLNAEIPLGQDGIVAEVLSIMPGPLRRDPFQELYPLLQRFRGSHATVECDRIF ALFGICQDVHIDTRLLPDYQKSEKDVIRDTISYICRVEVANSPFDSISDFLEKLDHLD NLVLSELLFSTDHINASSLLRHRKGFVTATQELLDLASTNTMIGEGVMALLLQQHNAD TVLSGWEAWDSLRFAAKNGHDALAEFMVERITDIDSLNDSLSVMKTRLFLAAENGETT LVNLLLAKGANIEGNGHYEGWNHRCASPITGAARFGHTTVVQILIDNGADTNSVGLNG VTPLLNATTGGHEDIVSLLLNKGVNLLATGHIGKHTPLQIAAKTGQEAIVRLLLAHGA PADGRSDNTLSRSNEAPLMIAARYCRPSILRMLFEAGAYATQSDLYDMMDAAANYFRR SDFSSLGCDRIKRHDQEVVVQILLEKGAKPNYTLIHRLSSTPGTIAQLLVKHIAINGE SPYTIECHGRVQQRCSTTTPLIYATRAGSTHMVKLLIENGANLETGNSEGRTPLSLAA GKGDRDIVEILLDAGADAEAVDDNGQTPLSRARSTSVYGFLVERCARRKGHMLVDQKV CSGHKA PFICI_01592 MIKDEKVQQSLIDSVKELLVTARKHSVPIIDCLIDTSIDPPPTS KICAKSLILGVIATSGAVISTAMQAIDEGFVVTVVRDAIWDPDEQVNRILLYVLLPGS GYVVSTADAVGFMQNTYKF PFICI_01593 MSLCNICHRSTQEWLIPRLINIRLNQSNVPEPLYWWHQPSWESL SQAASQGCPLCCLIVAEGNRPQRPDRYGTLRIHSREVQDEDATRLRWQVSGGGWLDIF SKEKQLNLSLRIAFDDESRARAPEILRDVFINRKVSTAPNDDRNMANIQHWIETCRAN HPVCSAWANRNPNGKHLPTRVIDVSTGNDDTIRLCITQDNDRSDYIALSYCWGQASNQ LLTTSDNLQEHLQRIQLSSMSRTHVDAVKIARRLGIRYIWIDALCIVQGDREDWAREA ALMHRVYSRAALTIGSLSASTVDDPLLTNRSDRHHVAVPIQWPFTTGPYASDEPYRLY MLPYFAYSDDSLSNTPLSSRAWTFQERTLSARFLHFGPELTHWECRKSSMNEDQAVES SHSPYGLAFFNTVYEGQELTKVRLLDGWATAIAEYTKRRLTVSGDKLPALAGVARVYG EQQKLGGYIAGLWEEQFPKHLLWQADHSGGIEHRRVEPYRAPTWSWVSIDGPVVNPAI QRAFSGMQMLDDNGYETRHTYRLADISATVDRDDADDYGIVNSGHIKARGVLLQLDCK KRSMAPTGDVSSPGIELRMRACRVGDRSLDIGGLSLGSCVLDVQTDQMPFTVWIFPIL LCTVITLEDRHQLCEVLVLKDVSSTHQTSFTGKVFERIGKGRVRHFLDQRSVEDFTII PFICI_01594 MPQRTKVAIVGASGDTGSSVVQGLLSQPDQFDLVAFARVESLEK DEYARLRERGASVLPIDLKGPITAVVELLKDFDVVISCMTLLSYEDERNLILAASIAK VGRYVPSFFGPCCPPRGVMGAREKKEDLLDLIKLHYLPYTIIDVGWWYQLSLPELPSG RLTVQAEISNTEIIGDGNTSMALIDNRDIGKFVARIIVDPRTKNKHVFCYGEVMCQNE IFDILENLSGERISRHQLPAETLTKQIGEALAELAKNPTDPQNMLVVGMGQYRQLLGV RGDNTPQKARYLNYLDGKEMYPDVRITPLKEYIEDALAGKATVPRSRR PFICI_01595 MFSGTPTSGPSTPEPQSRTTPTNYDNMIARTKRSQLPSLHSEPY WYSKITESSVASALDSFAIASRYHYYIMDNDNVLSGPVLAPKSLAHVVLRTSNFKPMI AFYKAFLGAHATFENDFLSFLTYDEEHHRLAIGYVPGTSEKVPTSAGLEHIAFQFGSI HDLLTAYQQRLARGISPIWCVNHGPTTSIYYQDPDGNQLETQVDNFDTVEAATAMMSS PEYAENPYGVDFDPEDVIRRLKNGESEASIKMRANIGPRSIESIPSPPPRDVRDNYDI LMN PFICI_01596 MAEWKKETDFTTIDALHKDLSNPPSRFHDQSLKSTEDEILNFYH GFGNFLNHVSHTDAAAGKQFFELQDYSIFDLMGTVSRPNLEPHYDHITPYLGKTNQQF KEVEIVAVTKDFGYIMAVQRVDGTAADGSPYDFSFRSTSLVRKIDGTWKFVHEHYSFP VDMATKIADFTGKQGATESVEFKKKGEKDGQ PFICI_01597 MFVPNTTSRPPVDMAMPQTEQLYFTIATQLPFIIFFSMGIRQLA VKSDPKPLLFGIGGCLASAFEPVVDVLGFCYFPRAGNWIAFETFGRPIPIFVPATYGW FVGGMGYWFWSAFQNPNTTAKDVPVLWFKAFIINLILEYPPLYFGIYTYYGYQPLVVG GFPLWFPAINATTPMVAGTILNLITPHLQGWQNLAIITTTATSYGIGNAAFGAPVWMA LSIDKGYHVTYPAVAISALLLCMGLWIMSLQFTIHDGIKLDTGGSRQTKRY PFICI_01598 MGGVDWIWVNSGSVAKELMDKRGSKYSSRPRMPMAFEATSNGNR EIFMPYNEHWRTLRKLSHTALNSSVSATYKPVQDFESKQVLYEFLHAENSSAFYDINR RYSASVIMTVTYGHRVKDWNDPYIKKIYEVLEHFTLMSEPGKWLVDAFPPLASLPSFL VQDWWRIGREWFTYDREIYLQFYRDLVRQIHDGSAPDCFIRDFYQGDLEKSGISEEQA AYAAGGLVEAGSESTSTVINAWILVCQIYPNVVAAAQEELDRVVGSDRMPTFSDEDNL PYIRAMVKETLRFWPITKPGMSHASTEDDWYNGYFIPKGSVVMLNWWAIHYDDNRWEN PDIFDPTRFLSDPHTGAEAVNLSDGNLRDHFAYGAGRRICSGMHLAQNSLFINMARTL WAFNIKRARDENGVEIEPLMVTEPGFLNVPARFGAVLEPRSKRHAEIVERDWMEAKMK GVALDRKTKRTRS PFICI_01599 MAFPNSYSDIDSNSKLPNDTVLIIGAGPVGLMTASVLAFYKVKS VILERSSEPTRWPKMDLTNARSMELLQKIGLADGLRGRGVPSSIPYNVLMSTGLSQDA AFAQWNHLSVDEYRERIRTQNDGTQPLEPYQRVSQHIFEAWMRDLCQENPLIDVRNEH KLESISETEIGVTAEVVTTKNGESYTIQAGYSVACDGASSKSRKSLDIGLEGGPLSFL LVHFESNDLERLHKQGRFWHLFVFNQGAFGGAVISQDEQNTFTVHYPLSSNADEPSIS SEDAVYSVLGGAHGPFHVKIDKILVRSTYVPSTAVAKAFSGPQTRCFLAGDAAHQNIP TGGYGMNTGLGDAFDIAWKLAAVVNGWGGQGLLKSYEQERRPVAIRNVERAAFHMSAH LTAVGLLGSDVLEVNSSTERGVEMKNTLQNHYHTFDGENTDLGIEMGYRYESQICVTD NTESQPEWDPHCYLPTTWPGSRAPHVYLNSGESIFDLFGPEFSLVEFKNDKDGESDSV AVVKAAKRLGMPLTHVVLIGEETAASVWQRKFVLVRPDGHVAWRGKSVKDERQADLIL RTVVGHDD PFICI_01600 MSWKFPIESKIIVVTGGGSGIGLSYALLAQSKGAKAVIVADITL TEDAKLAIKDHTAIEFVHCDVTKWPDLQNLINVSINKFGDVPDVFVASAGVFEPPYSN FWEDPEPLESNGYKHIDINVNHPIKLTRLAIRAFLGRNKQGVVAIVGSIAGYSKQYAG PIYSATKHAVVGFTRSLGSAQELQGVKVVCICPGIVTTPIWTTGTPGSGERFGVDSSV AITSEEVANAISGAVESADYPGGTILEVSQAGRRIIPEWNIAPPGLIDGKMGKGTTVP PEAIAKALGPILARTAAEKGQPN PFICI_01601 MKLGGQNLFLLNDPVVVKDLIEKRSSNYSCRPDLYIRSFGDNLN IAFRDNDDVWRRQRKMYHIRLNVKSADRYVPYQEFDSLQLIKDLAIRPESWVQHVQRY TASISTALLYGWRTPETNTGYVKDLLEVFTPQGS PFICI_01602 MDVTSEAANFQLVDFYPFLRPIYRFLPYWLLPSVERLHNLQKLE DRVFMQLLNRAKERIESGNACPSFIGDMLQDKDADRLDDRQIAHNAAHGFGAAMDTSA NTILGFIKAMVLFSEAQAKAQREIDAIIGDSRIPEWKDRPVLPYVRGVVEETLRWAPS PLSAAVPHSVKEDDVYNGMTIPKGSMVMMNVWTLNHERVMETRDFDPMRQTGEETLIE NNAINQDSEKRLHFTFGAGRRVCPGFHVAERNLFLAISRILWGFTITPAKNPDGSSVP INRDAVTPGLIVRPEDFECEIKPRSAARQQMILDLWAKSEESLDEDGNFTSAFVHSVF GSGK PFICI_01603 MLQEQSLANLAITIIGAGFGGLATGIELALRGANVNIFESYPDT KKQGDVIQIPANATRLMSKWGNMLQEITNLSACPDTMTISDQNGKILLNQQLHTSFDG YPNLYSHRGSVQQQMLEYATSLGVEIHFGTPVTEISESDDAATVIAGGKAWKSDGIIA ADGVRSQSRCHILGLDDKAKKSGFAVYRSWFSLDLLGQDPLTAAITTSEKDLFKIWIA KDTHAILTTNKAANAATCFVTHKDLSDISEDWHVKGKVDDMLACVQGWDEQLRHIIQK ITPDCLIDHKLLWRDPVPKWASDGGRICIVGDAAHPHLATSGTGAAQAIEDGACIATL LQKTCHKGDIPFAFRAYQRLRYERTSLTQRMGWETRHVWHQTDWEAVAANPSMLKFPQ PEWLLGSDASEYAEKNFEAVKAHLEKGSAFTSTNVPSGHAHKDWTIDEMLSHEGKFVS TEFYQTRE PFICI_01604 MCLDLIRIADRRFPEIVTTSHTGTAQRCRTATVALTPQLDIDHN EECIFPEKRSRTRKRKEREQEMESRLLQMERLLHAATQGTLTNLSFMGAPSANSPATA FSSSSRNQWPQNDDRRTSCDVVADLADYVARNASPMEQDPLESLLTSSPTDIPVIFSD PTPIDPTLEHQNNASRPTTVGASDPHTLSCPNALQLPASPPISATTNDNSFPSAVTDA YPSCLQWEDTRPAESDMQLNPNADSSHQSKRRATQKSPQTTDNDETTCPSYLSICTFP AVEWVSQQSGMSDFLVSVRQLSTAINREKRLDKLIDPVRAPDPDLDTALRWTNAYFDH CLDPIFEYVNRSDFEARLRNHFTHGEGPSRNNGWYAMRNTIYASGCRYVICEARSPEA FSESRTQSWKYLENALSVHTELLYGPTSLDSIQALLLMAFHAEALGTPALEFTLLSNA TRLAQSRGLHLRTPSGTCVPSEDDSTRQALWWSLYSYEKHLAYRSGIPSSIDDDYVNC PLPSSAGSSSPIFAEFLAKTVAQAQISSTVSKTLNSAKAQTEPAEKTLFNVEELSKRL GLWKESLSPVYQTQAPFKFSNLAPGMQIYHVLFLHFCYHVLVIAINGTFCYPWVRPDL HTNHSPAIREQIQKSTTAVAESSRQIILAVQRLEITSTLPVWLTFYFPLVGLINLFVC IIKDPLAPTAASDLLLLDLVVGHFGYLEWMSSSELNLAFPREVASYARDLVNKAKHKT PSSQQASCTKPNGQSQEEQNLDMVSIDALIGLEDWCTFLPPMQQTSSTLDDGFKATEA DKVV PFICI_01605 MANKFQRLIRFEDTEGRVLYGEAPSSEELAGQEVPVYSGHDPWN LEATETVAKVAKVLCPLLRVPIIYGIGLNYKTHILEAGFPTPKHPTVFTKPPDALNGP FEDVRVHPDCTNMDYEGELAVIIGEDCKNTTSSENALNHVLGYAVANDVSSRYWQLPE ISGQQHGYAKSFDGFAPLGPVIVSPTVLSDVDHLTLVTKVNGEERQRARLDVLLFSVG DLIVHLSRGTTLRAGTVILTGTPGGVAAFMKPPAWLRDGHTVEVSISNIGTIKNRMIF EDARQ PFICI_01606 MSNYTRRQETSYDEASPLLPGHATSQKAGEYKHDGTASAMSVFG LGFTIMWIQALIRWFASSTEFVPAPILGPDVIEPWRLVCLRIFEAISVAVLFAHIWFC LLVPAFPYLGHFRPSDEPGRFTLDGRHVVGGLIALCADGFLNSHQYIFMWNSNSINRG VWAKFLPFHNHESSSRYAESLLWGPPMYVYFCAGFSILGTAMAKRIRKRLPQLTNAGL FTIMWGIEFMLDFVIENLAIRITHGYGYAKTYRPLTLFPEKVYQFPIYESVFVASLGC IFTAMRMKALESGRSPVEAGYENWPPRLQTPIRTFAVIGFCAASVLVLYHLPLNWLGV IGDCHADMPSYMRPGPTE PFICI_01607 MLTAIPEKVEKNEVRQAESIEMSRQTMQSLAHPRERAMQVQEKL EETQEQLRQLQGDEKETQDQLKHLEAEQKETREQILGIKAVLEHQSPQPSHAEVVRIP LTTNF PFICI_01608 MSVSDSWSNHQEIEFRWVTSCPTNLLKHLQIDAKRHALDGRLDE ITNMIGSYLWMIEDQFKVAIQARTTEHDWKPNLSAFTQMVWTMFYTAARFCSTLQRDR LVVELMLLHGAGDMTWFGDDNQKNVASIGKHVLWTDMPFFAEDMIYFWNLEFATMSRS QRRNVHYFLATAAGGNIISAKLCAVGILVLREAFETTRDMGDDNAEDVEDSNRKIQDL TVLDLLGCAELWMLKAWDPIRRLSSIGFCDYPQEVGRLGPLAREAGVPDNGGFTKERL DFWSARFHGIIKLRHPERKIRTSTERWPDSFDSFV PFICI_01609 MSSSATPGEHHAGTHAVIPNQKNEAILIGMRDGVTGQFRVVPRE QAVVSVFDSNFLIGDGIWEGIRAKRGKVQFASAHLNRLFQAAKAMHMDLGLSKSQLLG LIHDTLDANAMGDEEHVHMRLVVSRGLKSTPYQSPSVNIGLPLIVIIPEVKATDPATH SRGLRLGTTWVRRGSPDVKDEQWNHISKATDVQACVHAGVMGVDEALMLDQRGFVKTC NSVNFFIVRGSEVWTATKDNQMQGVTRQKTIDVCRAHGIVVRELDFALTEVYGADEAF CTGTFPSQIHVVQIDGRVIGDGQRGPVTEKIAQLYAEMVKADVGRSREEIVAEVEAPR DLSFLNGI PFICI_01610 MRHGEECNITDCVAYSYRTVEHLLGRIQDLERRLAAVSSTSASE AVNRTDRSTSVAQSQDKALSKEAEEIGILAIGGPSPYSEGAYVGSASGSTFARIFFKQ LSLTSDQFNGASLGRDAPNLSRDLTSRQASLPSQSIAKHLLTQYIARVHVWWPFLAVP FLRNVFNQIYQDPQQSSDYEKFLVFAVLALASREAAAGGVRTMDLNSPSSYYQTCLNF FSGFRERASARKLPSIHATLLLGLWLLDAPNGLAELWHLSRHAMSSAIEAGLHRHNTD WGLGDDEMEIRNRTWWSVYNLERQVATMTGRVLSIRDHAIETPLPMLRKSLDSIAGDD SATIGRSEHESQIIEPFRRMIELRKLSGRILESVYVRRNEGRGNHRDVQTDSRLNDSV NTSLQQICAQADAIALELSAWDERLSSSFLSPLSPMTELRIESCLLQLLLYRPSPVFM IPSSNMTTACGRAARTAIAEWNALQRQRERDGTGGAVCRSVRQLHGVLTAGLAALYCD WRTARLSTDPTRLLSGHLWLESDTRTCLDLIERGIVYVGEASFGRYRDMFRAARLRVY LNAPSSARHNPSSAGLSGMELETGAPGIDDGLPLLGGEIDSYINQVSDLFDGGTFELD EALNDWYATLMQGTWTPVGAEDAGC PFICI_01611 MSAAQLDDKIGSGEESVVDHTAQNDNDQVINRELTPARARRLLL KTDLVVMPLAVLSMTLAFLDKNALGYAAVLGIKTDAHLRGQQYSWLSSIFYFGYLAME FPNLWLMTKLPIGKYVGSCLTIWGALICVMAACHNFASLAAVRFLLGVFEAAILPCMM LLNSMWYRREEQPLRTAFWYNTFAGVFGGILSYAIGKIDGPLSTWRYVFLIYGAVTVF CGGLFVLAMPDSPSTAWFFTAKEKELAAVRLASNQTGIDSKKAFKLSQIWEAARDPKC HCIWICALGYAVANAGITNFNPLIISGYGFSSTKTTLMATPQAAVAMVSQAILTAITF FVPNLRCIFWVMSSLIGLSGALMVHLLDIETQRDASLAGVYLMGFYNVPWVFMLSLSS SNTAGATKKSFMGISVAVVYAVGNIIGPQFFLDEQSPTYPLGIGAMLCAFAVMAAAGV AYYVLCVLENRRRNMTHGLPDASQVTDLDAGIDDVTDGENPVFRYTY PFICI_01612 MRFSTFSTLATLAAFTAAHPGHDLSADIAQRAAFKKVSTRSNLS HCSSQLEARGIEKRNLARRSKAVQQMRKRDLESVLATDHNATSSGYTKNTPESTIFAS ENSCVLTPEETDGPYYVTGEYVRKNIVEYQTGVPITLDYQVINVDTCEPVSDVYVEIW HCNATGVYSGVDSPQGGLDSTWLRGIQLTDDDGVAQFDSVFPGHYTGRATHIHVLVHH NATIYRNGTLGHDATATHIGQSFFDQDLISTVESTSPYTLNEQTLTENSADDIMASEA ATEGVDPVMQYTLLGDNISQGIFAWLSFGVNVTSSSSAGAAAIYYASGGVTNPDGPAA MGP PFICI_01613 MGGMFNVPHDDFQPPQLVRYTTGQHFDVHADWFDVPQERRLDST GNGSTWNRQASFFAILQDDCTGGETWFPHLKPPGLVNSPSAAISQSRIWREHEDGGLA FRSVRGGALFWVNLFANGTGDDRTRHAGLPVLSGMKTGMNLWPRVYYS PFICI_01614 MHLTKLVTPLAVLATIAQAQGSHDIEEEIKVRRGFLSTQKRTSL SHCSEKLKARGLDERNINRRAAGVHEARVKRQITKRSEDDVLATDHNKTSSAYSENTA AATLFADYQSCLLTPQVTQGPYYVADEYVRREISEDLEGVPIVMDFQVVDINTCEPMP NTYLEIWHANATGVYAGVEVEGNGSGESDPGNLNKTWGRGIQETNADGVAQFESIFPG HYTGRAIHIHTVVHKNATLYPNSTLGAETITSHIGQVFFDQDLISLVENTYPYTTNEQ DLTLNADDSIMATETATDGVDPIMEYVMLGDSIEDGIFAWVSFGINSTYSKVVDPVVY LTEDGGVDNPNWDDADGRP PFICI_01615 MHLKELLFIALMGKSAIAHPGADHAAEALQRKAALARTRSLASC NSALEARGIPQAAARRRHALLSQMQKRVILERDLDTALNTTHHSNLTGLTADTDPSVL FTGNGSCALVPETTQGPYYVTGEFIRSNVTEDQVGVPLYLDVQFIDTNTCEPVEGVAV DYWHANATGSYSGISSQAGLNTTWLRGIQVTDVDGVVGFQSIVPGHYTGCTHHIHLLA HSPGNWSLLENGTITGGHSTPHIGQVFFDQDLLDEVETLVPYTSNTQDWTKNDEDNIV VQEAEATGVDPMVNYVLLGDSVSDGILAWISVGIDPSAVYEVDSAVQHTADGGVEDPC FLMINLTPDDPDLPPLPASCTAAITATATATASA PFICI_01616 MKSIFALATLGAVVAADTCESPVQRKNFTSLSNEEKLAWLDAEV CLTTHDAVFGLYDGATTLWDEQQYLHLTMSNYIHGVGQFLPWHRYYMTLHEKLLQTYC NYTGGMPYWDEQVDADNITLSSVWDSVYGIGGDGNSSDNQIVTDGPFANMELHVGAWS FNTVIPKTATYHLNRSISVTTFQVASQEGVDACYALESYEEAWQCYGQSPHSAGHAGT GGTMQDPVLSPGDPVFFLHHTNLDRLWWQWQSANLTARLTDMGGQNVPTDEFNAANNW DAPGANFTDYSGDPGNVTTLSHILSMYEMIPNVTIADIMDIGGDAICAQYV PFICI_01617 MTTKSTVLIVGGTGAQGAVITKILSETNKYSILVFTRSTTSVHA RELAALPNVELVLSKTDAGYDLDAFYETAKRADAVFVNTDGFALGEMAETFWGIRLFE TSARAGVKHLIYSGLDSNGKASGYDPELYVGHYEGKARVQEWIKAQGTDSPMAWTILR SGPYAESLHEHLRPRFTPDGTAVFALPIGDEGEIPFVGLEDFGRYVDWALSHRDEANG QDIGLAVEFASLSRLAAAYTAVTGKPATWKSIPAKDWNNVAWAKLPNQGATKVGYMSI SNPNALNLSYDQNFTHWFNLYKSRILKRDFDLLDRILPGRDSSIEGWMRRVGYTGEPK TVLRSTQNPGRAD PFICI_01618 MARLGLSTVAAIFAQAVTSVTATGSEQCSSLTACGISFGEAVSI VNTTSIPASGLNISGTVNTIPFCRVFAEVSYANNHSVGFEVWLPEGTDYNGRFLADIG NGGMAGIVDEAALMLNVNKGFAVAGGDSGHLASENNDGEGSPGVYIPYLHDKDEVSAW IHNSIALFTPPARKVIEYVYGSAPTYSYYLGCSTGGAQGFSLAQFHPELFDGIVAGCP GNWYSHLALSFLWNTIPSTSLGFLPQETLDYIKSAVVSACDEQDGVLDGLIEDPLSCK FDIASLSCAVNESVQCLTTEQLASALHIYGGPHDSRDNSSLYPGFSFGSESEWMYQED MLADAFTIPILQNLVFDNLTYNASTFNWGSDVDVLDARAGTLIDAINPNLSNFSTRGG KMIVTQGWTDPFNAATWPIQHREQMAAATQGDIDDWFELYMIPGKFPPINKIHPRA PFICI_01619 MQLLWPAVAFLASLPLPAAAKCHRANPSLITSGAPGLAIDGQTP TKTSSLVSSPSVSTHATSSIVSPSSVSGYATSSLALAAASASPSISGSSFSGSRSSGS LSPSESSPISSSLISISSQDEATPTSQSGSTTSLTVNSDTLASSGSSSSSDSASSSLS LSSSFSETSSGTESSATGTQTYSSAVSSGSASTTESSDQATVTTSSTSITVSDTSSGA TETSSQAVSTSSMLASSTEFSESTASSDSATITDSSTGATTTVFPTDAVGTTSEFDGP ITLEAAPTVTLMPAPPYGLDQGSLDVVTPADTNALWYTSPANESAEITATIMRLNLTY LYSSIALDQSIYIESVICSGSTITITFNETEIFSYIKPIWQSYSQILFITAATSCSAD GQNVFFLTGGSPVCQEAMSTCTATGSFEELADVYSEMGADWGAIDVEDSTATTTTTSS SACSSPPASEISGLPAASCGSDFDSLLDSKLGFYSGDDSDIDSVMALIAPGTQTKLSP RGFWSSLKKAVSSVKAAVKSVAKTVATAVVKVAAKVVTSAAKYVVSAAKSIAKTVVTI AKTVYNVVKFLVTGDYNQTFNMNMNVAPPDSLLVKSPWDDQLGFKFYHFAVDPKDSGY SLWDNAIDLITDELGANAKAEPGVDYWCINCGIKGNIQATGTLKANLGGIKNAELKIN GNLYAGLFVGVDAFATIKKKNTKTLFKRGLPALTIPKIFVLGPSVSLGVSAEARIELI GRALAGASLNWEKINGTINFLDKTKTVTSGFTPVLNGTLQTTKKSKIILSLGLPVAIE FGLDLLDGTWKKEASLTETPSLQAVLDYEETVKISGTTENGGCGSVDTTPSTKCYGVY WNITAVNDVELNLFDVVDYNLFHWESKVIAQGCVGTWADNSSSIDTCSSDNSTSSTSS VATITATTIQTSPMATTAAQITTSSTVLKANLTTSTAVTSTTVTSTTVTSTTVTSTTV TSTTVTSTTAACTSSQGMKWAYYNASAVSSVEAVSTMAPLATGLAQNGIGNFYANEGS TLTYIEGSSTSLSSIRFILDYVGYIYATTSGTYTFYAWGVDDEFYMWWGSQAYSGWNS TNYNIDQKLYAGYKTATITLTKGTYLPLRILYRQDTGPGYFNINLYDPNGNDLLSIED TSAGKQAIFTNTCDGQAPAFQSWGSES PFICI_01620 MKAAYVFALSIGGVSCFPKLAPIIQTHVERRFFDSHLQLRDDGD EATTSTDATTDETLDSSGKESATATTSTTSASDASATDSATTDTSINVTIMDTTGTLQ LNPGVDGNLFISLPEDAASITNLVPGNSEFVAYTDSLTVMGDNSETSRLLYYYPDEMS KLSVSRFRLGAWGSIPKGAQLASFVPFNDGNGNEVLVALDTSGNYFWPIVCALDGQLN KVFIAQDPEEGPEILMTNGDLRYILTGGDVTDCGPLALTASGLSGSYS PFICI_01621 MDPLTALGLAANVFACVSFTSDLIKGAIEISTSPNGCSADVSKL DSVYNDLRRLCTGLEVSVKQRNLGKDDQDYVVQLAVAIEDLSEICKKDCNDLLQLLLK FKTKTGLNGKWSSFRVALRTVWGKKTIDELEQRLSKTQHTLTLHICILAHYSCRSQSN QLEDLQRQSIRLQSNQADTLKCISKKLDDVEAGIKSRKDEDIFTAQDVDCLKERLQSL SLSGHDVAREQSILQSLTFDSRPIRHEQIPKAHAETFKWALKKDPNHSGSMIGEWLAK GTGILWIAGKPGSGKSTLMKFIADSRTTSQLVSQWAQPSRAFIASHYFWIAGTPKQKS HQGLLQSLLYDIFRQCPYLIQETCSHRRVNEHLDDPWSLSELHETLKSVSCRDSIDPK FCFFVDGMDEFDGDHEAQTNLCRTLKELATLENVKLVLSSRPWNIFEEQFGSLFPKLY VQDLTHDDIQAYAQSSLQEHPRWPVISGIDSQRQFLISEITKRSEGVFLWVRLVMKLL KQGLTNRDRLSDLYRRLQSFPTELESFFKAILESVEPFYHSHMSTILQVALQAGNESL GFLGYHFHIQEYEDLNYALNLPIRLMDQENIQQIKSDMTWHLDSRTRGLLEVHSPSGT VNFLHRTVRDFLRTREMHDFLVAKVNDRLKFNPVLSLLKAYVALIKVSCIPSRIERVT FAKYTTSDSHDKESQQISLRHLWNAMSVVETLELDAIPDPGCRSLLDELDRSL PFICI_01622 MVYILENRTIDFKAEDLCHEGITAWTQLLEFKSLECHDMRENTK RILTFLLGEGILLPLLKAGADPNVKIYCPSSPPMTYYRIHRPMAVVYIELCFEFLDQP LVKQQLYLDVLEEFLRLSTISTVKAVCVDFRATRSGNAGVSPRHLPFLSRVNDLLSLH LDKFGAPGPKLLQDKWPQQAVPANPHTSINSIKGEKKRKKRENESDDVRCEGTRKR PFICI_01623 MKSAQVFLTLAAALLQPFVAAGTVKRQISSVVSGTPPGFAASVT GGGNATPVYPTTIDALKSYLTSSEPQVIVISGAFNFIGSEGTTTYEACNIYDCTPENG GQALLNTLGGCGSTATYSVAVDTASNGINVQSDKTLVGKNGATLEGKGLRFVNVENII IQNVAITNLNPKYVWGGDAITLSGTKNIWIDHVTTSLLGRQHYSFGPDSNQGIAISNS FISGETTQSATCDGRSYWGLELVGSDDTITFLRNRVDWTSGRGPALSGTTLFHAINSI FSNTGDHLIEGGLDNGMGLYEGNYFWAVPTPVASGWGGHLFTVPSGTEANCAQYLGRN CAVNNVSNSGAFSYTDTSFLSRFSGSSIPAVVAASEIMSAVVGTAGNTL PFICI_01624 MATLYPHAGQPLPQWPLKITINTLLSIYNVVFKTLLAFVVASCI GQLQWTWFSSRRSLHDVVLYNQAAQGAWGSARLLLSHHIENPLAALGCIILILSVALD PFTQQLARPKDCEVIEPSQARLPRANVFSDTSEDDTFGQDIDDTMKRGILGSNNGLWV NCPTGNCTFSETFGTVGYCSYCEDSSDQLTFNTSCSATDGLVSNETASEIIPATPDDC PTNTTFNLISSLPEEWYLESYYRNPPGPVSLNVTYSLGADWFYGRNGVEVAAMDIMYN DTENTHPEQMMVQVIAGKTTSSDEHRDLATGKKKTDCSSINPPDNWRCGQTGAATCSL SPCVRLYNATVSNGQLTERLVDQSGSVFWGSTDGNSGLAILDTECVTNQEKTDLQNQG VVFNSTGRWLPLNARPEWTSLSDSFTTAMNLTERLFHRQCLYYMGTGFVKSMAPFVIG SYFTGTLAGVGGKGGGIDSFAISQFKGDYIIDGLYNSGRVDMASIEGAMANISESLTQ YLRTHGDGNYSADALGQVRHYATCIEVQWEWLALPGLLALLTLVLLLFVIITADVSRQ PIWKGSPLVWILRGYNEERVDGGRWNNETVNTLVEMEDRSKKTIVSIT PFICI_01625 MEIPSKFCDICQYIKLEDYLYTDEHHSTVRLGRFQDIAKRTQCP LCCLAIKAFNVHARTFWKTDVYPVEVCYLGRYHEQSNKRGLQLWFDSTTDTYPEGISG YTTTVAEIVPLFQIQALAHTAGKAGKSHHARLLGEMADIALIRDWMRQCALSHGSKCN PPKPHPTELPGLRLLLVDVLGMKLVESAWESRYMALSYVWGWTKSLRCTKANINDLRQ DGSLQELRDEMPRAISDAICLTKAVGERYLWVDALCIIQDDDDSKRVYISRMDQIYSN ACVTLVTLTPKHAESALPGITHPRNLVQTPVEINDSYLVQRLPHLSDVVQDSSWNSRA WTFQEGILSRRCLYFAEHQVFWQCRTSYQSEDHPDNHEIDSPTLEGGWMAHTFGQETG DDPSAQFRLYRSLTKSYCHRYLTFPSDALNAFSGILSSLTHMLDWKFACALPESLFDF ALLWKPQLQKSRARWSSDERRESSCTLPTWCWTAWKNQIWWDPWRLDSFAGQDVTVKT EVGSFWIRDSSGIRQIRRIQGPDQDTEMGSLISIEQMMPPYSICFEAKTISLEAYAIS EPRLQQSAVWRNGEAGGGLSPFYRRTVAGSIWIYDIAGQHCGTIHKYDIDSEMKLHNN SHRHDLVMLSRSTQAQVTAATIQDYKHRLPPEYPSDREYYEEIFDTRHYCYKNDWAIN IMLVRWEDGLAQRVSVGQIHVDAWNESIHKSIMITLV PFICI_01626 MRIFAFVSGLFSLVALIAALLCLLAGQRLGFMEDADILTITVSA AGKAQLLNGTTSGETSVVGSQLEGLTAQTGVNDWFALHVMNYCKGSNANSTSAASESC SDTVPFFSFDTISIIDSELQQGVNLSTRGVDVGMSISASGWPSEISDISGKVMTAYKV MFFMLCMGIAASGVEAIASLLVMAMDARPYGHGALVSLINLVLSLIAFLGLGLASAII TGTMFTFVNTINSQGSDIGLSATKGMKFLCMTWLATILMCLAALAWVLDFFAERRNKR RLGDYAARTTELESYKDRERALKMEERLMKKRMALDRKISEAQRYKNHEGEMGVSLKP LPVETSSSVAAREVGDNVHAPTSKKSLEDIDRPEPLHNRPRVVQVEFV PFICI_01627 MKSIVPIFCVIAPSLLGTASASTNSTLDALVLASTDNIQAILTE RSSWNVSKTCTAENVAVRKEWSTLTSLEKLDYIDAVKCLMNTKPITPLSAAPGVRSRF DDFGALHVNKTSIIHWTSYFFTWHRYYTWLYESALRDECGYNGTQPYWDWSSTDTIAK HPLFDGTATSISGNGAPANHTSIIYLPTPDIINSTLPSGSGGGCLTSGPFANFTVTLG PHGAPLNDGLQLNERCLTRDFRDWYLQEYLSYDNVTTAIVQPDLQSYSNVMGSTYGGL HDGGHQSIGGLQDDLWASSQDPYFFFHHAQIDRIWSLWQGLGQDIRTKQVSDTITIRN LPPSANGTLETVVDMGFLAEAKTIGELSSTIDGPFCYVYA PFICI_01628 MGDTRAPQFQGVLIAFLVACTISIAARVYTRAFIVRKFAVEDWL AVATYLFYIAFTTCALVSISYGLCSHVVDVPLEQRPMAIMWRWIAITFYILVSGMTKL VVGIFLTRVCTRQRWHNITLWIIMGVIGVYSLFYAILNINSCHPIEHEWLRYGVEPTD KTHCNNKLLGTIPTYIAAFLNVLVDWILAIVPATVLWNLKMERKLKITTYVVLAIGSI ASIASIVRIPYAPAFLISPDYLYEFTDLGIWSTVEIGAGLSASCLATLKPLFRKIRTG QASSHPMDASAKSGSLEYHKERNNSIPRAYRPDLPGHYTMVSITSEGKFSRLDDLDAI VVRKEISATDLV PFICI_01629 MATEQSALELKQQGAIEAAGNPDSSVTSEDAQKKMVEESRNAGV TAYSFDPDASPEEKRRQIRANRPDDLNLHRKHQAVAVATDADDGGVKADYLPAASKAT AVDVDSEEGGHSAENQEDKEALAAKVGWTSQFGWPHESVLEGESLLDHQTWVEGKIPD KFYGDWYHNAGVIIFACLASWLVAVLGGGLAWVFIVMAICSTYYRTSLRRVRRNFRDD ITREMGLKRLDSDTESLEWINAFMLKFWPIYQPVLAETIINAVDQVLSSATPSFLDSL KLKTFTLGSKPPRMEHVKTYPKEEDDTVLMDWRFSFTPNDTADMTARQVKNKVNPKVV LEIRVGKAMISKGLDVIVEDMSFSGIMRLKMKLQIPFPHIEKVEMCFTERPVIDYVCK PLGGDTFGFDINFIPGLEGFILEQIHGNLAPMMYHPNVFPIEVAKMLAGTPVDQAIGV VAVTLHGAQGLKNPDNFSGNPDPYVSLTLNRRSEIARTKTIKDTPNPKWNEVHYVIIT SFNDSLDLMVYDYNEIRKDKELGVASFKLEHIEELPVHENQRLEVIGNGKARGIVSAD IRFFPVLEPKKLEDGSLEPPPESNTGILRYTVEQAKDLDGTKSLVGLLNPYAELLLNR KVIHTTKKLKRTNNPIWDNGSKEMLITDRKKAELGLAIKDDRELAGDQLIGAHNIKLD DLLEMSAQGKDWFLLSGAKSGRVKVTAQWKPVALSGVLAGSGGYQTPIGVMRLHFKKA RELRNFETMGKSDPYVRVVVSGIEKGRTVVHRNTLSPEWDEIIYVPIHSPRDRLTLDV MDAEKMGKDRSLGLIELSASDYVVQDESGEYMVHDKKTLHEDGLRIHGKGVPKGVLCY TTAFYPCLNVADPEDEEEEEEEAAAAPVVKQSLDIPRSAEAGKITPLERTTTNTSQLE PATPVTPTMPKSPTTPSTAGRKSRDQPLQVPKVHLNPEELLKHESGFLIFKLMEGQMP KHGTHLEVYVDDMVHPSYVSGTAKSKSFKFDEIGDCFIRELEFSRLTLKVREKREKPG EERDEDHTIARLAGNTLDTLKQCLNNPTILKLKDEENRETFIKVSLKYIPVKMHLDPS ESINNMGNLRVDVLDATDLPAADSNGKSDPYAKFEFNGQDVFKTKTVKKTLNPTWNEY FEMPVPSRTAAKFNVKVYDYDFADKPDFLGGASINLEHLDPFKAKEVKLLLDGKSGSI RLRLLFRPDYVTRSRLGTGTFSGTFGGPGRIVTGVAGAPIKGGAAVAGVVGHGVGKGA SFIKRGFTGRKKDDENGSALTPTSSDIPTIVENGGGSPALGPGIGLRRTSGLGFDGGA PPRTPPSSSEGPGHHRVVSAGGMSVASLAPGGAGAGTATFQIISASGFPPSADVFVLI RQLTPRERTVGKTKHHESPSGQIEFNETFKHACTADTQFRVEVKGHHTFGSDDDFGEA LFFVSDQGSAEKEISVGSGTVVIKSSFAEAASSLTADSPKSTSGMRRSFLSKKESRPS REGTPS PFICI_01630 MVAKATRYAAAGPSSIKHKALNTAVKYAPFGDHDDVANTLRKGC WRARDVLNFTVQAFYKRNEDDRLSDTQEAARTQFIKDYRTQWVQFDGRELRDDPVEPT YNDILDLYRQHLDKYYFFSTLSDYRLAVHACNTNSGDDCLPIESWQERRLIIPTSNRK TVFDLHEVLAVLLHEMTHLYLQLTVCKCSDCNEGMLLGVGAADDQHGPIFQMLHRLIL SDLRSWHDQLAKFDDDDCPEKSVSQYSLECHDAACEGSTKSWKYRYNNKAHKKHWISL VEESDVVSVRVRKVLRDSHKALEAKLLKADEKKDKSAKREDSAQGSNKYKDSDVESDV ASDDYRYGGDSSTSGNKGKGKAKPESSKTTKGRKKRVVWKKQLEEYEEDTSASSNDEG EGSADDDDKGGSSKSSSNTN PFICI_01631 MGSQFAAPSGWKQLDVAVIGGGIGGLSTAIALRRSGHKVTIYER ADFAGEKGASVSCAANGTRWLEEWGVDVQKGDPVHLKKLISRDWYTGEPYSVYDLEDY HVRWGYVYWMFHRQYMHAMLKDTALQEEGEGIPAKMIVNHKCKDIDLEKGTVEFDNGV TVTHDLIVGADGIGSAVRGIVGIHPEKRPAPSSCLHANVMTEDAVRLGLTDYSADNAL EYWGGQEGKFDKIVLSPCNGGKLLSYYCFFPREKGDYTSQAWGAEDLPVEDLLAPYPE LDKRVRDHLAIGIEVQPWRLWVHDPYPFMNKKRVAILGDAAHPMMPHQSQGACMAIED AAALGIVFSKKYFNGDVEAALQVYSDVRLPRASKVQAAAAKAAYNINERIGFSSNTDV EGYNVEDESAKLTIEEMNGYDMYRDVEEKIAKRDGAQVNPKFITGLPVGLKLSNGVTI GQ PFICI_01632 MPRPKVRPEDRRRSVRACDTCKHLKKRCDSLLPCTPCTKKGISE SCEYSEKTRRRLERPYSPPQQRSVHKRESRAGSASSHAPSSSAPQANHEPTVFEDTRD NTRANTRENTPAPFVGQKPVMLFSSTGEKVFVGNTAALSFHQFLRKILKRFAGPSSFT EGQQSRRMLEIDIPDSEASAFHDDLTEEDKRALIQCFLDASCGLLDLFTQPDIERLIS LARHAQENGLDQIRGTHREDLASFYAMIAIGAQCRGRDLQERLNAARYFSKARQLSFE SMLQDPTTNMARAFLLMAFFMFGACRRNTAFMFIGVASKAAVVLGLHVSGQYKYLSPE ERDMRLRTGKSIRALDLVCSSILGRPGSTLSLRADDVYASDLSPESTGHRAVALSATY EASTVLESIVHKAAQDSNMDAGTAENFLQMLKEWSGALPPILRQRRRSDSMQLDPSYR ESSIGNIHVACTYYFGVILTTRHFLIQHTMPLLRKPPMQGATGDKSSFRDHGKVAEMA HVCIDAAVYMAQMCAEAADGGVLLGNMCILKAWIFAAGLVLGFCLLSGDQTNTHAGEA FDGSRRVLAWLGQLSPQAQQYHEILTSFSDAITTYKAKLAREKERSKVPLVEKILSLE PVGGPQDQPGSMTSMLDGVDATLSLPEAPDSETTPTSWSDILGTQSLLDFPVPPGEDE VMLNLFWDGYAMNFGAPVPHQ PFICI_01633 MSIEVYHLHLSQSERIVWLLEELDIPYNLHVFKRNPTTALSPDD LKAVTPYGTAPYFRDTSVTPEVTLSESSAIAQYILAKYPASSSGSRMIREPSDPDFAQ YLQWFHYANGSLQASVSRQMTIVLAGLGNSDVAKIFMARFASQLKIIEAHLGENKWFA GAEPSAADVMSMFSLSTMRGFSPYNLGPYPNILRWMKDVSERPAYKRALEKGDFGMAP MIDPITRRFTEFPSFKKALESVEV PFICI_01634 MTAPEVSHGRGGAGNINPDDTKYVDGEIVRAGTPGSQGAGAYST GRGGEGNIGDRGVVPTARTDEDIVPDAALRPVEDDTAHTGRGGAGNVHLGPEHKKKRV MDGAQHEEASAQHGSVADKLKHKVLGVFKK PFICI_01635 MKTAALLLLSPLLALAAPAVELAERQSTTSIDQLIKAKGKLYYG TCTDQNRLSTGKSAAVIQADFGQVTPENSMKWDSTEPSQGNFNFAGGDYLVNWATTNN KTIRGHTLCWHSQLPSWVSSINDKTKLTSVLQNHVTTLVTRYKGKIRAWDVVNEIFNE DGSLRSSVFSNVLGEDFVRIAFEAARKADPDAILYINDYNLDSASYAKLTNGMVAHVK KWLAAGWPIDGIGSQAHLSAGQGSNAAAALKALAATGVKEVALTEVDIQTAPSNDYSA VTKACLDTPTCVGITVWGVRDPDSWRASTNPLLFDSNYSPKAAYTAVVNALQ PFICI_01636 MVPRNDDHLRRPPLNHLLSIQSTVSKHSYRSHTSSFEADDEFSS TDDSYSGTDSMTPRASIDEDGRSSGAYPGEDTRPTSSKELQGFYAYGFAAEVYVICAI SSFIPILLESLARENGVLLSDRQTPCGDSSARKGKASDGQCIVEILGIEINTASFAMY TFSISVLLQAILVVSISCAADHGNYRKRLLLTFGWMGGICVMLYIFISKQVYVLGALL AIISNTSFGASFVLLNSFLPLLVRHHPRVQYAEGILHDETSSESQNEDYSADEGRPLD EGDAVQLPTDDNNSEFHQLTRTSTKSELTSLELQLSTEISSKGIGIGYGAALFVQCVS IMILVAMKSSTWSQRVVLLFIGSWWTLFTIPAAMWLRPRPGPPLPDLQTKGRWTWLSY TLYAWKNLFRTIKLARRLVDIVLFLAGWFLLSDAVATTSSTAILFAKTTLGMKPWALG LINVISTTTGVIGAFSWSFISRRLKLQPHKTILACIALFEVIPLYGLLGYLPFVQNWG VIGLQQPWEMYPLAAVYGLVLGGLSSYCRSLYGELIPAGSEAAFYALYAITDKGSSVF GPAIVGAIIDASGDIRPAFWFLAALVGLPAPLIYFVNVERGKQEGEKLAAVIKGYKSQ ADLSTEDAHTADERRGMLAEYERDENDR PFICI_01637 MASARKRARPEGDKEVEADCTFTIEYPNPGSKDNKAKKRRRTDS ASPAPAPKVPFQPSPFAPHGRFLKDPSNNMDRHYRVSPAKEWTDMTRYNSFVLNGVKY FAEGFIFVANRSTIDQPRNPGQIVKNMDKSQEEWVARILEIRAKDEHHVYARVYWMYW PDELPERSRYNGKHVKGRQPYHGLHELIASNHMDIINVVSVTAPATVQQWDEGNEDEV QPALYWRQALDVRTMELSSLVERCICKQPENPDKLLINCSNKKCGVWLHADCLAHKTL LDTWQRLGPDKPHIGSAAAIKQETGADEPKRLLSPDEIGAASTAQASIDVKMEKENPT NDLPSSSTIRSKQKSDIITIPTASKKHRGRSKNLTNSETGEPFGFTAVVFEDQESAPV IEITDLRNIVGGESSWKESLKCLACGEDIQ PFICI_01638 MSSSHRIEFDLKEGLLSSQNVLTLLITFAVVYLIRESYRSNFSR VKGVPEVPGALPFIGHLHLLGGRSGRNDATVFTAWCQRLNSPIVQCRLGDQRTVIVSD FAAIKDLWVGQSQSMIHRPAQPGFVEKLGVDLTGSPMTEQIRKCRAAAMRALGKMSWP KYWHLVEPSSVKLVRDVYEKGKNGAVALDTYAWLRMISFDLALSLTYGARFGEVDNDF MINFIKAINDISAVRSSTQNYRHFVPLLRVVPESNSETVEAERRRSKHRDVLMQKFHD RVASGETVDCIVASLGADRLTPEEIDGTCLSLLQAAPDTVASGVYQSLAWLASPEGRA TQQKAYDAILEAYGGDRDQAWQMCFREEKVPLIQSINKETLRQFTFTPYATPRRTNRE IRYGNVVFPEGITFIMNAQEANHDQAHFGDDAWEYRADRFVGNDNPLPHLTFGAGVRI CPAVAISNRLICAILTRIILAFDFCEPEEGDGRKPNIDPIHFSDVYNQLVAHPRFYDA KFTARDEKWLTKVLAAK PFICI_01639 MAPPKRIQLCFLETACTGGYMASGQWKRPDDVSCTKDTLKYYTD LAKLADRGKISAIFFADWYAGFDVYGGSLDACLRSGHQVAHLDPLPIVAAMAAVTESV ALAVTMSTSYANPYVLARQFSTLDHLTGGRCAWNIVTSWSKSAANALGFDDVVQHDER YEVADEYMDVVYKLWESSWAPDSIVWDKTNGLAFDASKIQKIEHKGKHFQVSGRNQVH PSPQRTPVLFQAGTSKSGTAFASKHAEAIFLNTASVAQAKDVVARLRAQAAANGRDPA SVKFFPCIVPTIGRTEAEARAKYEAARAHADPVAGLAQFSGYTGIDFSQFPLDEPLDL SDSKQDLAIQSVFKSFAASGEAWTPRSLGMQMALGGLHPCPVGSVEQVADVFETWIRE ADVDGFNVASITNPSSWEDVVDLLRPELVRRGLMWDDYDVPGGTFRENLLGQKTLRND HYGSNFKWQSEKVKSNGTNGAHSHDEKEAGISTSS PFICI_01640 MSATESLFTPLKIANGRIELKHRIIMAPMTRNRGVPLAAGTPEA PNRTWLADDLVALYYGQRASPGGLLITEGVPPSIEASGMPNVPGLFDQSQVPGWKKVV EAVHAKGGYIYAQLWHAGRATIPQFSGAGPFSSSATPWDTDEKFPFRTPFTKEKIAYQ DHPPIAMTHEQIKKTIGDFVSAAKLAIEIGFDGIEINGGNGNLLDQFLHSNINTRTDE YGGSPEKRSRFVLELTAALAEAIGASNVGIRLEPTGLYQHTRGAERVETWSYLCQQLA DTYSAEKLSYAHFIEPRFDRIDSEAEKDGFYKSWSLPEVSNEPFKKILANKGIPVISC GGWDEKNAGEALQKGYDGVVFARCFTSNPDLPERIQKGLPLSEYDRSRFYGSWDGIRE NGYTNYPTYEEGLEKAKEQEEKVPS PFICI_01641 MSDSPGVKVNVPEWASAVPQDEEPRPGKRSRVALACQRCKTRKQ KCNGANPCSKCQALNVACEYVVPQKPMPFGKNQYIKSLERRVAELETALTKLGVSDVN NDHWNSLRSSPAGQLAEAAGESTTNTPDTENDDQELEWQDGVDNVVSVLRSLSMDANG TGYIGGSSHVTMGKLFGFLGTHGASARNTRRATGITRPANHSENEINTQPIEFVDMHQ DVPDRLFTGYLKHIATRFPVVYSPWIRDLHDKRLLLTNIFERTILHLVYASAGRFLET TGEHGAFFPKRHYASALTTLGLVLDHDDIRSVSTLMLMAIYCLRNPVGPGAWTYARMA MLVAINLGLHRKTAAMRRPSIDTELRKRVFWAAYAFDRQISIPLGRPFAISDRDIDVP LPLDIDEAITEEELANIPELSAQITAPITSTSLSSFIQIVRIRRMESDIQQSIYRVDE TPETSDHTVDAFLKRLDHWKSLIPLDARRMKDREAVPFDGYDVYMVYFFKCKRLLLYP QISKTPVNPRFLKECATACAGVCGAYKRLHQAMSVGYSIMALQTVFMAGLTLVYCIWI SPDEIFDITTSNGIHDCSIVLFVVAERVPAAKKYRNAFEVIRQRVIDQVSDGSSRQPR QTMSGLVAELAPSIQSWEANQPFGVDHDSFEQFSQIISEMSGGVMQSMDPASEPFDSN TGDFGFDMLSTQMTVPHASDPAVSPGTMSPTETFEAGLSSLVGQNGLDPVFNEDLSPW FSATAGDSAAN PFICI_01642 MEDYTAIRVYLQPIFLDRHGVLLCVLVQLISMYVVGSISGFALP WLSPRVKSLPDRRQKQLAIAVPVILLKAIVMLLIVDVLLSSPLFCDHSSTCNGGPALD YRFQTLYFVAISYIFEILQRPSSAELVAHHLYLQGLPCYYWFYLQHQPTMHIDLALRF FELMVLLGPGATDITSDITFLLYYTAPRSRTGLGFTKAMSWIATVMRAVQWIALTSYG YLRYNEAVFLLSSTEKVVFAISVALWVWTEVDEILKIRGMVDKFQKSLDKKTL PFICI_01643 MATATVHPPHQGMPNLPSQPMGETSPRSSNTSASNEKIDIAATA TTPASRKWFFKHHDNAFTVDRSASPKRRKTFWKSFKYLRDLTPQQVNDFMASYVIYNL DWNNEAEMIQALGLDYQKKVGDCLRAYYGVINHLCALGDVEKMYIPPLMDKQASVLDN QLLNEEAIAREIGLKAGDKVLDLGCGRGRVAAHMAKYSGAIVTGLNIDPNQIAQAREF NASLGLQNEFVEHDQNDLPLPFADASFDAFYEIQALSLCKDPKKLFKEIFRVLKPGSK FLLMDWVSLPAYDPTNEEHVELMRRVKPLIGAVGTPTPDSFEAALKDAGFKVTTSDIP SLDGKQAALIDKVDIYFRTVRQMILGAVAVKLLPEHFKTLINRLCLDGQAFVKMDAMR LCTTVYRVVAEKPAA PFICI_01644 MENDADLVSPRYGVAKLASVFEERMNSPTEEVTKIDAPRRRASS LYSPKTIGPLKDGSSTRPSPPPLSPGPPQLPPHVRPGYKPPSLTSPAIKPSPLAKIVP GYADIGMQTRVPENTSIAIQTIVPEGTNTGTWPSTAEALGTDMSTQTQVPENKNTPTQ MEVAEDRSTSTQTDLFESPAIATQTGRPETGYMGTQTIVPESLNTGMQTSPFEPTEVA TQTEPFSPSLKTIFALGQSALEKLKRPSWPIYEKVKNNKEGTVVDDADLDDTSTLVEE ELPVQLFGTGEFSDPILRALQLQDSVDASRVDSKIAIKERRDTGLALSRATQRWLRQH EPGQRQWPSKDMDSPCAVCHATREDAARSVLDRNLGFCSSLNLKYNDSEQYAWSLGHR YVVVEKQYWRLRSDQLPAEVWASRLLRKHTKVPTPAVAAAWKEGHVAITITERARGRP LAELWESYSGTRRQSVAKQIARCVRQWRRITSPAMSALDGGPCLWVDDAGQDERTIFY SDAAYRDFVRGKLVSRGWDKLMAQMTVDLMPTCQPFVFTHGNLTLDNIFVHEGRVVAI TGLGRAAYLPSWAESLATHNVYGQAEREWKEILFRYIGSEGVKTYYDIYEELLGETDN TITKKKTEAIQQKLRVLLAEKTARETAGLEHKNVETSKKEGAEVESLEQTTKGKGTEG SDNADKETTRKDKRRSKRPANIVIMANAGTQTEQGPRDKIIVGLTESDRLSKKPIPKP RRTLAFHGLPFSPQTVKNRSRASVFHPAGDTLASQVYPGGFHILEKKDEIGEYCAIRA IFDSLVAQLPERKGAMSFHDLKLQWDEVKQTAGFEEKQTRKHHFVERLGKTLGRWAMN RPDPMDLCLGCILIDGREWIDGGDDGVPWSDAVIWITTVNAGGKLFFNNADDFRGLRP SRRKLSDPSLSPTFQASPTVLNHVDNSNDGIGEDHKVDKGTGSSEVGNSQDGHRANTD DSASWNHVRNVVFQILEV PFICI_01645 MAPPNESGFIKRAIGAMFPRDSSDNGNKQSSTSIEGILRDPANQ GKKRKRAEDAGAMVLNMTKETPVPAPKLPINSGPSNSSSHSAPAFGLFNNSPIKSGSA VQPEKSSIQPAKWETAFEPSFLHRRQSDPTRFQSHHHAMEATSNHMYSSEEYEEMDED SDEDENDSHENDSLMGDLNDEDDNQATTDLESTNPYAETSNGEDNLEVVSDISSITHS RHVLRADGGRPYNTWILDNGRSILTYGALLPSEYQLYDDPSTPWICPIRSCRLLFARL EGLGGHFNSKHRAVELNDNRDGTFSEIRSYSGEGRTPAIVTTKGPASSHEPPMPDPTL PQPKNGKKVQVQKDNSSKIIKNSLRREKRSKQVVGLNSLAKPSTVDHSGKSANSRALT TWNTLVWPHLQNTPSSPIPSTGYVPYLLPLSVQRSLVFNHKAPVYFERKTQDISALII QITGVDAPEPCTKCKEGRGPFSGCVVISPDAPLQVRKHVTSCANCFYKGNQSRCSGLV EWRKESDPNQSEGQKTVTPAEEISSDSGIEAPTPRRSKRTGKDVDTDSRTNSANQNES DSTSEMSEWEIAPGRGRNESGPETENFIYSTAYMTHGTEVRINSQTRAYNLSIKPGTT HQFSISHSHTRICFNTSGKVRVKDSEKEYGLGRGGMFVIKPGTSIAVKNRRYNDATLN IVTIQRDDSE PFICI_01646 MPSSDATGAALFLGEDGTSLEVLRNVAKPQPDEGELLVEVHYSG INPADLAHAQLGITSVVLGYDFMGKVVQAGPDSKYAVGDFVAGYTPTGIGRPMKYGAH QPYLAAPEDTVFRVPENLPHAHAATLPVVVTVAADALYNILGLPFPGETPSPNFKPAP LLIWGASTGAGIAIIQLARASGVETIFVTASPKRHGLLKSLGASECFDYADPDVVSKI QAAADQGTEGPILYAVDAIGAPGASAMVADASSDKAKMASVREHKDPRFTLPFSSKSR DMAIELPGIGRIMMPAQPQQQAQLWRGLLWAVENYGSRFSMPSVDIFEGTAEDALEKV KIVAAGGNFGKIVLKHPLQ PFICI_01647 MQRASRAVFIALFSVALAALQVNLDYATYQGYYDSTYDLNIWKS VRYAAPPVGNLRWQAPRPPPTNRSAVIPATEQPPICPQTGGYALPAVYGFTSGYGDED CLYLNVHASPNASSLPVMVWIHGGGYSKFGAVYDPSVWLNTNDNGFVFVEIQYRLGAF GYLSSPDIKNNGVLNAGLLDQRFALDWVQKYITKFGGDPTRVTIGGESSGAGSVMFHA LAYGGNDAKVFNNIVAASPYTPPVYNYNDPVPTGNYQAFVDLVGCGRNAASAADGAST FACLVAADTLVLQNASGTVSTTRGYFGSFAFQPVVDGSYIQTRPSQQLLTGKVNGKRI LVGNNANEGVPLTNPDVDTFSEYNDFIAKTYPRFTPADVISLNAFYQTAFAQQGDNGT RFDTLGDSGPTALTVSEFATGLQQAVLDIGAESVYDCPAQWLAEAFTPGALQAWKYQY SVTPAYHGHDLTAYFAVGATVPNLDFRYAFQKILGNFIVFNSPLISRQNATAGYANAT APPGAYGNIGWPEYGLINPTMINLNTTGGQLTEVVVTPNLTFYQRTGPGIVNRFRLVN SRTWEGGRGFRCDFWRSVSARVPY PFICI_01648 MAQEYDPEYLAESRVTLVTVFYSIPIVLMILSTTLRLWAKLRTE PKRLAFDDYLMIWATGISVSFCIIGLIYGPPQGLGRHIEAITSEDLKTFMMGDYIFSH LYDVALASTKLSVLALYYRVFPVQAFRIVVILTAVFVVLWMITMEVVLGFECRPIQAW WLAAEGQCLNLVAFAYFTNITNLVSDMWIFAMPLPIILKLQTNTNKRLTLCFLFSVGL GVCSISAARLRFVFANASTDITWDAVPMGILSAWEPCGGILCANLPMVYGMLNQSIRM SRIRHSTAHTDASQSIAGSSRGRASKGSFSQGWIHLKDSFSSHDELGLKRPERSYIWK ISTGTSRSSTQ PFICI_01649 MKTFAAISALFLSAASAAPLEQRQATEFDITNFSANTIPHGTGA FMSYDIEIPGVLSTTCSYSDQTSVGHLPDITPYRPCDDASVTWQWRQIQAGPTGPGPY LLVILYTDPATSAVVDGSKEWPSTDFPVEDQGSSVAQFYRGEPNFVITV PFICI_01650 MTSQGTGGDNDPLPGASSRWSDASEDKTQTTDPKGTPFDFKFTP STVNSNTSPNQQDGGFVNIFGPKVGNNLPKQHAPTGGDFTFSFSKLDLSSTLNGQGKA TTTKSGEPLSSVLKPNNPQQPTFSFAFDKQPTKSTGAASTPRPNPFAKLKDWKPPGPM DEELEDSLGRKIPKMSAKQVAPKEAKVDQPPSNRTNARVTRSSHFIDEAMEMDARGSR RAPTDSDTATSDSSDSDDESDDEDYVDAASTTPQEESRVVESLRYKSDLLKRPVNEDA LNEIRYSPLFTEPVWKYAKSLTAGSRDPAFTQYALLGANSSIASDEQDDNAGIFYNVT APSSIFICGNQGSGKSHTLSSLLENCLIPSSKLGSLPRPLTGIVFHYDTFFSDLSGAP CEAAHLSSDPRVKVRILCAPTNVRMMKNVYGRLPNVTVEELRLKEQDLNTKRMLDLMA VGAGTVPLYIHVIQRVLRDLRIEQQATGGAFNYKKFKQIIDQEDLTNQQRVPLDQRLE TLESFMVKKPTKHSQAASRGTDWTPKKGQLTIVDLSCPCVTAEMACSLFNICLSLFLE QKSPSIGRVAALDEAHKYMSDSPEAQTLTNSLLSTIRLQRHLALRVIISTQEPSISPK LLDLCSITIVHRFQSPDWLSVLKGHLAGLSTTSQVLIRDEQARKDSHKDGAAGGISFE GAKGIAIAPQNPALEMMSKIVKLRTGEALIFAPSAFVGLEKSGTDQEGAVTVTPRRLD HEVLRVRIRARITADGGRSIMAA PFICI_01651 MADEKPIAEVEQGLPTDSKHQDDHPHKKAVTSTILASSAEERAL LFKQDMRIIPLSAAIYFLCNLDRSNIGNARILNSTYKNDMQTELHMTPVQFNIALMIF LIGYFAFEVPSNIMLKKLRPSRWIAFLMLSWGAITIGLGGTHTFSQVTGVRFLLGAFE AGLFPGLVYYLTFWYKSNERSVRVAFILASATLAGAFGGAIAYGIGHINQAGGLSGWR WLFIIEGIPSCLSAVAVYFILPDFPETASWLSQEERDLATRRLLVEGSKGDGHSITWA DAKATLTDWRLYGHYLIYFAVSLPFSSLSLFTPSITAGLGYKDLQAQLMTVPPWAVAY VVQVLVAWSADHFNARGLHCAGAAFAGAIGFLASAAAPPDAYRGRYACLIIGTSGAFS SIPPMLGWLTSNMWDTAATGLAVALNVSIGAGIGQIPGVWIYKSDEASRGYPTGHGVN CAMLFVVAIGAVLLRIYYGRKNKQIIRHANGEEEPRLYKL PFICI_01652 MFNTIFLSSFVVLLIAVGRVLGSTSLVDLCKDNVDAVKLALAGT LPANASIENLSYIKQGDTYGEGWHDLMYPIQPTDLPESCAVTVYVQSSDVSWYRYGLF LPVNWNQRFLAVGNGGFGGGINWLDMGSFMKYGFAVVSTDTGHNSTTGDGRWAFWAPE RLTDWGWRALNGSIGAAKQLTERYYGTQIAYSYYNGCSTGGRQGLKQIEIDPDTFDGL VIGAPGWDSKSLNPWITRVGIYNLPETAPYHIPWRLFSPMADLVMEQCDELDGVKDGI ISLPDACVPDYSKMLCSVPGIDQSACLTDAQAQVPAKVYGDYLGSDGELLYPGLSPGC EGQWQAVLSFARTSTFGNHYIRFFLLGNWFWNYTDWDDNIFSLAATFDPGQATADNYD LSTFRDLGHKIVMYHGLSDGLIPPRGSDWYYQQVNNATSGNPPITDWFRYFQVPSMHH CWSTQTSANGPWNFGGEFQSTHLGSDQWSVPGFRDKRHDILMALMDWVENGNPVDSVI ATTWNEPLDPTSGLKSQRPLCPYPQVAVYDGVGDVDQAASWECGARPSPEVVAKRKIT SIGASSSSGLKSTTSWLEKKWNIPEMIRRGLGFWR PFICI_01653 MVDGIWTSATAVHVLSTDDGLAEFDEAFVDPAKRALLRDIKFEV VLPEISIKRLKKVQSNAEAAANDAAFTRAISDFLGRLARWEARDDGASLSLTITAESP THKKRKELVENGTLTNSHNSFGPSIWEIRNMDRMLRFEAGNPPQLPEVPCITKLCCVE YLCPRSLHPGVQVAISNACVAASVVEWDLCLPGRRTMPARVRERAALAQALRDAKLAN VTELMLTLNDNDPMNEAFELDSLLEQHDDLDSLSLGVRHIAQLPMLRKLELRGTWILS PVAFGPHPELPDVHCSLLEEIVVDVSMCTPDGRYLMMGDPEDAGEDDGYYESGEEPPP APFDSDDSDTSDWAPEFAWGKEEGDIPVVMFRTTPNDETFVPIWKSFARAARCSMPKL RNMTINFGTGASHAPSEAQYYTAGAPATYFDSYPSCTEFSREHAREPRWYFTSHEDFD KSWRPPQGLRDELEGPDEDGRKRHVYWWVKMERIEI PFICI_01654 MGPSASEKGAPEPTILGIKRKKFWLIFGPLLAVLVIGLAVGLGV GLGTSHSTSTSTAASSSTATATSSTATATATVSAITCPSSNGSYYVTSDDTKFLVLCN LDYNQGGGTEDISNPVTNTVEDCATTCANNGTCAGAGWGEYYGTTYCWMKSYLGTSQS APNWYFLIKQ PFICI_01655 MGADADAKVSSASAVSNTSKNSKPELAVKSRDVPVLIVGGGPSG LLMAYMLSKLGVKSLLIEKYPQRLAAPKAHALSPRSFEICRQFGLDTAALRKLGSPRE DAFWVNFLTNLSGERIGVLPYERMDPEVLNDTPEMIHNVPQPDFEQFVYDALLKDPNV EMHKGIAFVSCQQHDDIVTSELQVRETGQRFTVQSRHVIGCDGAKSQVRKHLGVECEG EDGYETMMTIHFSADMRPVVKEQVGMLHWITDPACSGFIIAYDLSGTQVLISNFDSKK HPVESWTEELSRTVVAAAIGTDIPFDVLSYRPWILSRKVANQYSVGNVFLAGDAAHAF PPTGGLGLNTGLADVHNLAYKIAAVHQGGAGPELLTSYHDERRPIAMINAAQSVKNGK AIFSFLKTLGTAGIDDVEQARANLLRSIHDPDKQEMIKREVEGQREHFDNLELHIGYV YGSKEVPAHASYYKAKYIPGARLPHVWINFIESRKPDSFKPLNVSYVKEWTEKDVSAR QGSTLDLCSYDSFTLIVGNQHGWKQRFEQLQKLLAAKTNVKVRLWSVDADFTFAFPEQ GKLFCEGFGLESGGGVLVRPDQHILAVLKPGDCTSDMEHHVIHHLRPT PFICI_01656 MSAIRLDEPGDVSRLHWHTDALVPSLQENEVLIRTKYAGVNFMD THFRSGRYPAAYPLILGGEGAGVIAAAYPSVENEFRPGDRVAYLAKSGAYAEYNAVSA DRVVHLPEHISLEAAAACFAQGLTAVTLVREAHVVKPGEWILIHGASGGVGSLLVQIC AATGAKVLATVSSNLKARAARDRGAEFVIDTSTEEWVARVGEITGGHGVDAIFDPIGQ ATFDGNLEAIAKKGDLVCFGNASGQIPPVNVLRLGGTKNIKLCYPTVFAYLNTREETQ GYADDLFEMVRTGVVKVDGYTLDDVKKAGTAHEELEGRKAGGKIVLQIP PFICI_01657 MRIHNKSDNSHQVIVSNNTNDAASLSGGSDAHPPPPRPDNRRVS VAQVPAGTTPSNEYAFTAPFSDLEAGLAWPDAEQLLQTIISSDWNSLTLPPETWPATH STAGVVPDAQIDPRLQETHVNSTHNGESHMAIQSLSNMITNVSSRVTNAVETLPDLNP AFLNNCLQTYFTRFNPTFPVLHRPTFVFKECSPSLLLNAIALGSLFIGTEDAVSKGEA LWRLAYTAVSTSWQSFMAHRGAYDSRSGFQLVLTALLGQTYAMLSKNESLRVTSQIYH SLSFNWARHCGMFDLDGAAPFNLPDPNDRDGLVRGWKLWVSRELQSRALLGHYILDGQ LSFMSGQPTSVVHTANPLMMSSNSRLFDAQTADEWFSEMKVVAVTSTSFQDVYGALFQ PPALDPALGGSSHLWNVQSHIDLRVILEGVHALIRETQDPLYVPTIWRPPSSSDISNA LLQIRRHLEVGWSQNSVEKLGLLMRWHLCCLDAVVKLTATSKDLCRLYQIPQNLFQVE NSQQAQPAVMDWNVDSFDAKRALLHATAIQDIAAQLPLSQVNSMWMPIPIFAAAMVSI LFCLNGVSTVTVPYTVDWSVVLDAVDPTTGHDNYEDSGRSKTQMFFASNIQSPTSAIG LARNLRYELNSLQTIIHGLSVQWGVCVELEQVLQTLKAHCP PFICI_01658 MASTQDDIPYDGEKHTTAVTASIQSEPQSKEITFARGGNEQYYA PNEKYEGAHRYDPQFQWSEQEEKHLIRRLDFKICSWCCLMFFALQLDRGNITQALSDN MLNDLGLTTNQYNYGQTIFYLSFLCAELPSQMISKKLGPDVWIPIQMVTWSIVACCQS RLTGESSFYATRSLMGLIEGGFIPDVVLYLSYFYKGKELPVRLAFFWSSFIMTNIVSA FLAYGILHMRGISGWAGWRWMFALEGGVTAIIGIMSWFYLPPSPTETASWFRGKDGWF TEREEKIMVNRILRDDPGKSDMHNRQGLTPKLLWRAMKDYDLWPIYFYGLTWSIGVTP TTAYITLNLKSLGFDTFQTNLLTIPAYVVYLLQLLFWTWYSEKINNRFLVVLITQIWV LPLLIALETLSSSASPWAWYSLSALIIGNPYTHAILVAITSRNAGTVRTRTVGSAFYN MCVQASNIISSNIYRADDAPRYRRGNKVLIAITAFNMVFVMGMKGYYMWRNKQKEKQW NALTAEQKDEYLANTTDQGSKRLDFRFAH PFICI_01659 MAHRVFLVTGCSTGFGSHLVQEVIDQGDICVATARNPDQLKFNN TSDKEDIEYAFTQALKKFNRVDVVVNNAGYGLAGPFEEVDEQLARTQMDVNFFGLIAV TRKAMSVMREQKPPGGVIQQVTSIGGQTGVPLFSIYCASKWAVEGFTEALSKEVKPEW NIKFTCVEPGGFRTDWAGRSMKFPEKRHPAYDHLDAKEKMGARHGTQAGDPKKGGKAM YQLAVMKDPPLRMVIGSDAYSSIQGKLKDYSELYGRPDMKKLAYSTDVDGWKQPE PFICI_01660 MFVSTTVAPALLALAARALALPSAGRRDVPSTSVWESVEAAPSS WAQDEIAARSDESIELHIQLAQQNMVEFEQLALAIATPGNAKYGQHMTRDEIDAIIAP KEESRQLVFEWLGNNSLSDTASLNERGNIVTVTTTVSKAEQLLNTKYNSYTNAETGEK ATRALSVSLPEILFEHISTIQPTTFFGFKPITPKVRTDIGPDVTYTTPTSLSTLYNFK GATALTQGKMGIAGFIKQWPSTADLKTFLGKFAISGFGNSALSYTCTSVNSGQCPASP SGANIGVEANLDVQYARAITSKIPNEFYSVGGNNNQIYEYLSEYLLALSAAERPNVVS VSYGGDESSVTKSVASTTCNQFMQLGAAGVSILFASGDSGVGSGCTISGSKAYQPDFP GGCPYVTMVGGTTGSTTEQAWVDGGGGFSNYFTRPTWQDTQVSSWLSKNKDGNTQYYN SAGRAYPDVAAAATYFEIVDGSKTEAVDGTSCAAPTFASIIELVNSNRLAAGKSALGF LNPWLYGNASSALTDITAGSIGGCAAISGAGFTAIAGWDPATGLGTPNYQKLLTVSNA T PFICI_01661 MRVDTFIVGLLGLVPGLGSASHCSLNDQSESLSFVSKPRTFILT DILNEPDDSQSLIRYLLYANSFDTRGLVATTSIWLQNNTHPEAITAILDTYATVVDNL NQHVGFEPSYESSETLKLRVTSGPTVYGQAAFQQELSHGAALLISSLQESEEPLFVSL WGGANTLAQALQYASVTYTEDEFSVLRNKLRVYAISDQDDTGEWIRQTYPDIVYIVSS HAWAIYPNAAWQGMGFSGMSGANNSIVSADWLAANIQSTGPLGEIYPDIALSMEGDSP SWMWLIENGLGNRDHIEWGSWGGRYDTATMPHGTTKHYFDTMDRVFDTDGNYAFNNYA TIWRWRAAFQEDFAARMQWSNSSDFSQVSHPPFINVNGSEGPETMWIRVPSNISSSNE IILDATKTIDTDNTSSNGDLEFQWYQYWDPTLHIQPYFPTDGGLKITSQDSDSEFTNA TSWNDAGFSGIVKGQIISVNATRIDVSAVADGDKSLPQLQHLILQVTNKRGKYPIRRY KRVVFEIGAQL PFICI_01662 MCNDDQLSGFATSYSFLSQHLGAWQPIYEVNAPQYWLRNFQYRL RMFKTCDGEYLFSRVGKIGHCRDKGRFPNSRSTIGVLGAASPWFYERRVSILNLLGRE YKPRRIVVMDDNNYPQWEEAKFLESSLPPDDQQALELLIRHYHTEWEKDWHSCLDKID ISTTVTLEDISDDAKFDTWMFDGPSLDRSRLYFAALQQLRIFSAHIKETVRVAERILE GVRQDREHPNSHEFWKRILAPLKDAEKGLLSRIDKKTEDIKTLRDGLFNSTSVREATR STQMNRYVIVFTIVTVLYTPPSFVSTLLATPYFTKRDPEELKQAIAIATGTTIVAVLV FLALANSFNNIFEGADHQLQRKIRVAWRKIRIARRQILEAKRLTWEVFGTRSRRNGSP REDIEMS PFICI_01663 MVPQDSNSRPPSARAMPSDPAANNASPVVSPELLAVLHKLSEGV QALGSGIDELRNLLQSSAVYSATSRNTTTGTPNRGLGPSGISTAANENNPLVRDGPTS SHNNDLFRKSEDENTDTTTSRHAHGAVVDPESPGNNSGQFENDTIPPPFVPNEEVVAG SNTIEGTAEQHISPSDRHSEVLEDIGIPISAPASNPLTSARDQEMIEANQALSHSFNS INEPKTFDLAFLRDRLLSRGAKWVNIGSSIFLALPGGGKFFLEPDRQQTSSATYSITQ SGELDDSYFEQQDQLWQLASSQWKSWLKDGSRNVKTMLVSFGRYKQSWTLVDDWKEDH VPENDEVVQV PFICI_01664 MASQGDNDKDPWDKETKRKFESKSKSEYFDPCQEAAERSIRCLK RNGGDRAMCTDYFEAYRECKKEWINRRKKEGGSWF PFICI_01665 MAASYYSGRNLPPLRPNCDANVQIAFGEGNWAKAITLAKQQFKR SQDPYYEAVELAARSELDTAADKVAAFVALEKIVKEKKPVKDHWTIELFEWATSHIEK DYSEYIGTLRVNFVKEFWKPDVDKAGIAIRSYWSCAINDDWESAQAIAATVDKKFPNE SRHLFYNILAYHVLSVSLTVSRPTSRPTTPSDNYHAALLRNPASSDYPPRAITSEEEL LLWIRVQVASVDNDDSLVDLLKKPEFDALKRLSEGFGFVFKEIMHLLSHCEAWREVFD IGQKLFDRALAYITESAAFQSAVMDGSLWMYFIGAASKLDDSKKALKQLRGFIEKVSK VTKVNALFRHHMEISNLIILFNRYRSSAVNESTDDQSTRVNHLYTYIVSYHSQVSCFD SIKPFLEQLRFDEVQFLLSRLEQEGAEEKNDLFKNTAILTLRLKIRYLMTTSNVSINE KGTNAHPSCKFCKTDIKENSCNVCLQSIAKASAWLYNQNCDNHGLRVRINDMEDVDPF GDLAIVGTTCLLKLSGLESGRRSVGISSETAIDLRLLMRAIAWMERHHNRGTQKGQAI TLFLAKLYLLIGCVPQAHTLWRTLEVKNVTLDSLGPLFTDRLSTIAPGMWRAGAPTPM TQFHRYFKDAIVRHIPTQLRTALENGNYVSVLGLKDSRDRLCNSCTMIMTNVEDRRGL RAIGSKYTYDTNDDPLLRLIKEDKRFEVATDYAALPDLERAPASLAELISIGPRLSES RAKLSLYAEYFLSLVSFRESKEYKPAKPGLIADKDRNSVAEDSTKIRDKFEDPLELSL QASCSPSERLIVTSDEYSYFTTIQNLADLVALGTAAKTWTKSSQRPNGVWSAVQIIVV ELQDQQDGFMETRARIPADVSVLGQFVSLHSLGMLRESIIAVKLTVNYLERVIAASKD APKWLSEDCKNLNEKAAQFSAVVKQQIKALNDAANAPGWLDRIAQFTFGDLASGDRVV DETAKDSESSDLDSVLFTACGGQVGLEHSIGEIQESWREVAKGWNTVKLD PFICI_01666 MALISAKTILTSLCLFHITLGYFFFTSPRSIADQALVYILGEAM GMPQTTAFNTPTPTTSLLALLLLIFGLSDILTLSLPEEIWLVHHWAAQAPLRVLLFSL LTVVTFLTTPRGSRLGSGRPVPLQRGAFQDGGGWDGLRNRVFFTLAFVEMMSWFWVWV TLREETRSFVVRKRRRSSTHSRN PFICI_01667 MELDAARHIRYFKRCYSAVLPHHYTANDSSRLSLGYFIVAGLDI LSSPSSYTASPDSKSKPPPSLLTSKDRYRLRKWVLSLQHRGGGFCGSPQHVFPDELLP NGPVTDPENANIAATYFALMLLAIVAEDPKEPSKDIPLDIYINVNRVATLRWLKSLQR EDGSFGEILKPDGTVGGGRDMRYAYMAAVIRWVLGGDQADSGLDFNVDKFVSHIKRSQ TFDGGIAESSMGESHAGYSYCAVAALSMLDLTAEDEENPNKYLKAGIPNIPALVHYLV SRQFVYTEETDDEDDETEPSNTPAPDIASLSLEDHSVAGFSGRPNKIPDTCYTWWVAG ALDLLSDAFEGPTRVDQASGKQFLLEKTQHVIGGFGKNAGKPPDVYHAYLGLAALATM AGDEQEAGLGLFNVRLCIGKEAANRVAIGRKEILQKAALDAAED PFICI_01668 MTAEVIAPESIHATSVDNLHQRVDADVTRPSAETNSVEQARVAA IVEPLLKEPEADPAQTQHKYFLPEIPLVNRYIDEPRSVRVAVVGAGLSGVIAGVLLPA KVPGIELTIFEKNADVGGTWFENIYPGVRCDVPAHVYQSSFEPNTQWTEEFAQGPEIR EYWTKVARKYDVYKYLKLSQRIEGAQWDEQRSVWLIKVHNLATGEVYTHETDFLLTAI GRFNAWKLPNYPGLKDFKGLLRHASNWDPNFDPTGKSVAVIGNGASGIQLVSNIQSRV AHLDHYARNKTWIAASFSGDATSIKPIPISEELRETFKKDPEAYLKYRKTFEEKYWRG FDSWLRGSESNEKSKAEFIKLIKERLVKKPELIKSIIPDFSPHCRRLTPGPGYFEAIT EDNVEYIQTHIKRFTETGIETVDGKHREVDAIFCATGANVDSVPPFGIVSNGKDLRDL WTEGGEYGFPYTYLGTSTPGFKNLLFVHGPNGSGRSGTVPHSVETQVTFYAKLLRKVG REGIKTIQPSKKATDDYVQYSDAFWKTTVLSENCSSWYNGGKPGARIHGLWPGSASLV AIISRDPRWEDWEYEYLHETGNRLAWYFGKGSTKAEANPERDITSYLKLPQDISLKDL HEQWWDIP PFICI_01669 MSVQPRKRWWHVQWYSDLDTKEERKLILKLDLLFVPYAVLSYWV KYVDQANLNNAYVAGMKEDLGFFGNQLVQLQTFYVVGAVVGQLPFLWLFTHVPMYWLI PIMDVAWGIFTLLQYRAHSFAELAAYRFLVGWFEAAFFPAIHYVFGSWYRGDEIARRG GVFYIGLSLGTLTAGLIQAGASARLDGVNGLAGWRWMYIICAIITIPIGLLGYFLIPG TPQQPNRWVLKQHDIDLSIERLKRAGHSARGAVTLATVKKVLLSPHFWAIIGVDVLFW NAGIHTSAGAFLLWIKSLGRYSTAHVNELGTIAPALGIFYTLFICFSSDLFLGPAWAI TLSTAWNALGLLILVIWDVPEAAKWFAFSTIYASVALSSVLHGWVNTQLRGSPAERSF TLVLMNAISQSTTAWTPLLVFPTVEAPRYLKGFAFCFACAIVLIPATHALKAYVKRKE AKSNDSISTLSEEPHEPHADDGASKTAVQTTRAGGTSE PFICI_01670 MGETATDGHATIANGADDGILGNGKKQIFLNAFDMSTVGHLSPG QWKNPGDKSATKRKLEYWIELAKLLEKGGFNALFLADTYGGYDTYEQSLDNCIRRAAQ WPMTDPTIPITAMAAVTKNLNFAITASTSFEPPFLLAKRFSTLDHFTQGRFGWNIVTS WKKAAFKAIGLENPIEHDERYRQADEYLRVLYKLWEGSWADDAISPDPANDSYADPDK IRTIKHHGKYYNLDSRHIVDPSPQRTPFLFQAGTSAAGSEFAASHAEGIFVSSHSPTV LRPKVQKIRELAQSQGRDPRSIKFFGTYTPILGRTDEEARAKYEELKKHASTVGGLVL VSGWTGIDLSKIPIDQEITAADSVEAHKVRSMLDAFTTTSEQVPKWTPRVIAEKAAIG GLGPVGVGSPQTVADDLVRWAREADLDGFNLGYVTTPGTFEDVVELLIPELRRRGIYP ELEDYESGLTARERIYGKGQSGLRDDHTGSAYKYDRYQEDPPYSSAEETQLAEGKVAQ QTA PFICI_01671 MSENSQAERPKKNLVINAFVEMCSGHQSPGLWRHPDDQSWRFNE VSHWVELAKILEEAKFHGIFIADVLGGYDVYSKSLDPAKISGAQWPVNEPLAVVSAMA AATKSIGFGVTVSTTYEEPYHLARRLSTVDHLTNGRLGWNIVTSYLDSAARNMGRTEQ PQHDDRYAQAEEYIKVMYKLFESSWRDDAVKLDREKGIYTQPDLVRQINHEGKFFKVP GPHIVQPSPQRTPLLLQAGTSRAGKLFAAQHAEAIFVSAHAPQVCAKSIAEVRELAKT QFGRDPSNLKVLALVTPILGRTTEEAQAKLKEFRQYASHEGALALFGGWTGIDLSQYG DDEELRHVESNAVKSTVEGYARFAPGTSKWTKHTIAEHVSIGGNGPIFVGTPEEVADG LEVWIKEADIDGFNFAYALFPQSFKDIIELLLPELKRRGLFWDDYAVEKGTYRENFYQ KQGQKGPLDEHVASSFRWKAGVSADQHPIPE PFICI_01672 MEQPFSTSKVTVEYFDPHGVYKLLAPGLIPRLPLRNLHWQSHAG PLRSIDTLHIELAPEGSVDPKPILSPDPFFPSLQRPETSTGAPEGGDGFQTQIGANAP DSTSKPAAHPNSTPARRHQIPGLRRTPYLKVLLVRCDDSDSYKSSVRQEIRDWVKTHT PSSGAKKTNAENHDAFEWLILHVVVPNTAAAAQPRVTGKSEAAATTAASRWRPGSSTL LEKLRADFNSSSKGATDRIAQIRIGVNDVPYDILPRITNAVPSSYKETAEESEAVWND LISKIRDLILSSFDMRVSQYEEDIKERDQQRSLPGWNFCTFFILKEGLARGFESVGLV EDALVGYDELGVGLDMAIKEQATGESGATANALLNYTPELKEAVQKALVDIDKENDDD EIVDMQAPESAPREAKTTFDEIPISATKKPYRDLILENKVSVFDFRCYIFARQIALLQ RLGNVWSSREELLAKLREQQESIIHGVAPRVPPPKQAENDQEDLSMLAEICRRTLEFI PSVSLVLRSDLQAAFATKKEGEKSKSAPSESALAEIADNLVASFAFSIAQQVLAQTST KALPIPPSTVAPSDGHEPKAAIPEPKTMMHPARSSSLRTGPPGARSPSPNAFPGPGQN SAVTEHQAAKAKAFLRAGLEDLAARRAELYTLSRNILEESGKKRGWSDGWAHVPVVGE SSMVDLVEISLDDNTPSPEDGATLARKIVSIAGINSNLLRAALSNNDDFYRLYETLTD KALRHYTVANHTHSVQACMADLAVLKYHKEDYATAASYFWRTTPFFGESGWSLLELSM LVMYSKCLRELDRKDDFVKVMLKLLSKAAAAESERQKQKRAFRIGEKKEPVKYPDNDA IKGFLNDLLSETKLLSSEIRAPLSNFFSSIEVDKTPEYHDGRDGFSIFLKLSSLLVDE FTVQKASARMVGQTPGAQKEVQLELSEATTIKPGLSRLKLDSTVNVPGGYAIDRIELV ASNLCLNLERDASRAQDALDDVLRSSYITIYQRADTLDVRLVPSNRIQLDKNNTVDVE LDSGWNELSSAQVKVKAATAGLRLLSSEAKVVDAETLGLDFSKPPEAGLFSFGQIKQG TLVKVRFPFTVENDVSHVSVKIEVSYNTEHGTFIFSKSPSIPISLALGVNVQDIFKHK ALMSRFTVSTSSSSPLRLFSSELVGSEVFEAKSGLPPSDPVVIFPRQPASLLYKISRK NVKVTAKSSKTMYLKLEYGIIKDDIAETIKNSITTALKDNTLQPFGRLLFSTVLPHVE RELSALDLERAALLGHMPTDFLSSIEWIPQLRGLGLDQNGQDLAISLNGFLMSWLSDH PTLALQTTPAEVGLKSILIPVDVPSMVIVHTADIRLNPEGSSLMPSSSTTIGDLPILT TNQLAPATLHLRWTRLWDTATPVNEMSDMEFSYEVTAPAETWLIGGRRKGHFVIPAPS AAPEDLSSTAETEADIPLLLIPQREGYLPFPNVDIREVRPFGPMDPVSGNSSGGVTPV DGDTGLCETDLRNLGEAIRVIADREQVTLSLDASGPGGGPLVLGVQARGEAGRVGV PFICI_01673 MSSLQAIRYQRGELLVLDQLRLPHENHYDPVTTSEEAFDCIRSM RVRGAPAIAIVAALGHAVELHNGALAASAGPFDTISYIDSRLDYLYESRPTAVDLGNA ITHLKKVIREAELDRSKIVQAYIEAAEEIFRKDTETNRSIGAHGASWLLENAQVATLE EKVSVLTHCNTGSLATSGHGTALGIIRSLHEQDKLVHAFCTETRPYNQGSRLTAFELV YENIPSTLITDSMAGALFSTRKQQDHIAAVIVGADRVVRNGDTANKVGTYALSVLAHY HGIKFMVAAPTTSIDLHTETGAEIKIEERKREELTQISGAVVAKDGSVDTSRTERVAI ADQRIGVWNPAFDVTPAELIDAIVTENGVVTKGADGKFDFRHVMPERWAEAVGQ PFICI_01674 MRLQSQLPALFASVGVAAHIFGGASSSSQTIISSSDSESSSAPH YRDTLLHLHKSLVEIPSVSGSEAAVGHFLADYLTDLGLRVELQAVPSSNAAADEKPRY NVLAWPTKSKVPRPKVLVSSHIDTVPPFIPYSISEGDKVTSDTEISGRGSVDAKAAVA AQVTALLDLIQSPEHEDFPAEEVMLLFVVGEETIGDGMRHFSDSLEQLEPKPTFDAAI FGEPTEGKLACGHKGFLGCTVKATGHAGHSGYPWLGKSANEVLMRGLVKILDADLGSS ERLGNTTVNVGKFEGGVAANVIPEKAEAKIAVRIAIGPQETGAVVIEERIRDVLKSVD DEAFDISCNNGYGAIDCNCEVSGFENITVNYGTDVANLKGDHTRYLYGPGTIFVAHGP NEALKVKDLEAAVEGYKKLILHALKN PFICI_01675 MRFTPSILSGLTLLSGSAVLAHPGHDINKEIAARQEYLTSVKRT NLAHCTETLKARGIERRNVARRQAKLEDARVKRGLKKRDLGTVLGTSHNATDLGYTLD TPADELFSGVNGSCLLSPEATQGPYYVGGEYVREDIIEEQEGVDTILDYQVIDVDTCE PVPDVYVEMWHCNATGVYSGIVAGGNGNQADTDNIDTTFLRGIQKTDEDGVAQFETIF PGHYLSRTNHIHILVHAANSTALSNDTIGNAIWSSHVGQTFFDQDLIDAVELLEPYNT NWQPVTLNEDDNVLQGETRTDGIDPVFQYTLLSEDIADGLFGWVAFGINTTRASSVSP AAFYYEDGGMVNPAKNNSAPPGPPPSD PFICI_01676 MSSSPRFKLVFFVPTAAAEACKTAIFGAGAGRYPNYAEVCYSTA GTGQFRPVGNAKPHTGQLDKLEHTEELRIETLCPSEDIARKSVEALKK PFICI_01677 MTNLFFSRSLALLVVVFINLVIIPSCNAFPTCGTTAPAQDALNF TTSALSLDHHPFGIAYYTNDIAFVIIRRSIGVLDMSNFTPVLKYTVEPSRAVLAHLGL SEDQPDSDDYIFHGLVLSPDRRALYAAGGYGALVVDPERAMNGQNNSVIGVLANNGIA GNYSAMVAITPDSRTVFLTQEFGSIINGHRGNVEVWKVTQGPNGLVTGVYGGFVNLGY ATVGMAFSRDNSKLYVTSEMTGLADSLGGLLEGSISVLDIETLQVNPANAVLYTISAG CHPVRIIPGTGGNHIWVSTRESNSLMVHDADKLECEDTANDALVSSIQVGTSPVSLAA VGNFVLTADSNRWGYDNTTTGLSVVSTNSVAQGKLVNYPQIPTGLFPREFGLSPDGKT LLVSEFDGYAVRAVDVSPLTGHGSSSHTQRTMRTRGLK PFICI_01678 MQTNKTKAEALERKAKLARSYQELLDEFSNKDLKNVGNYGLGQL IGKGSFGKVYLAKHKLTNGSKVVLKSAKKDDSNLAREIHHHRQFVHPHIARLYEVIVT ESMVWLVLEYCAGDELYNYLLKNGPLPPEKVQKTFTQLVGAVSFVHQQSCVHRDLKLE NILLDKHENVKLVDFGFTREYEGKSNYLQTFCGTICYSAPEMLKGEKYAGEKVDVWSL GVILYALLCGELPFDDDDDNVTRTRILSEEPKYPDHLPVDAVSLIKLLLSKRPLLRPT LPDILQHPFLAEHAPQQQAILKLRQPPPFSTPLEKDCLERMRSAGVDIDQVIESVLAQ RCDALAGWWALLLEKEQRKAKRRERRRKEKEAENRNSRRFSGASSKLERMAPILDEDG ILKEPPLPRTRGRSERRSAHYPSDYNVMELPNLPETAGYSPDTEVPPTPVDKDSVRSA STSRHRRPIPPPKEGILRSARSRGSTLHLVTTSDVLSLKPPEQPNTKIRRKPSQSIIH HWKNWKHWIVDTTRRHKPSKRGTQSTPNLAENAESGKNSKNPSPRPHTSKQSTSAASG PTTPGTQTQFDARLKTPRAEGSSPNNALNATSQNLAFHGRRMNSSSSYKRQSLSPGPM TPRSSVRRSSAGLRGRKSTSSSLSSIRTMPHHHHTHSKASSTSSNGSISTKTPLASGR SPHHSVKVLPGTPTGASFPSNIRFARGPPLTLNFGERLPSYDQSPPPLGSPSPFSNSQ GSSIFFAKRKKNIFKGPMLQFGNHSPAPGTRKRDSGSHSRNASASGLGRRSGEMPIQE EDEEEDEDVLRDQSFDHRGVDEESIEEVDQFSPIIQAPGELIEEIYEAAAEENEKSPA KLEHGGEIAAARTSA PFICI_01679 MSRQHARSWAGILSLLVLHLLFAAVTAYQKLSDDFLRNITINDA DFDINNGGLLAPLLIPRVPGTPGQLKAQEHLAGFFRKELPKWQLIWQNSTSTTPATGK KKIPFANLIARREPPWTKPGQANYLTLVAHYDSKLKPDGFIGATDSAVPCAILLHVAR FVDEYMQRMHDEMVALNELGGTVAMDMGVQILLLDGEEAFVSWTATDSLYGARSLAEE WEATFNPATSSYKTPLEQISMFVLLDLLGVANPSIPSYFQTTHWAYQGMSTVEQRLRD LELLESRPSRPFLPEADKMPEQFRSNGISDDHIPFITRGVDTLHLIPSPFPSVWHTQN DDGAHLDLATVRDWTKIVTAFTMEWLDMMELVAE PFICI_01680 MERRVPRTFEAYEDEAVGSFVHVKDFGATGDGTTDDTEAFQAAL WGSSGKILFVDDGSYLLTSTVTVPLGTKMVGETCSQLVAYGSYFSDASNPNVMLKVGD AGEVGDIESSRKHSCINVSNAPMMLTELANSQASEVY PFICI_01681 MAVSLPLLLLSSFLLVPLVLVVLRQPLWVRRRGLPLPPGPPAHA LFGHLRVVPTLNPEWKFAEWSKKYQSDVLYFDMLVQKMVILNSAQAAMDLLDKRGANF SDRPRFVLFEVMGWRRTMAFMPWGPLFRLHRKILQSNLQKSSIVQYQAMQERETRTLL MGVSKQPENWEILLRRFATAVVLSIGFGVELTSDKGDYMQMAEDASYALGHGGPPAGT LVDFFPLVRHLPDWLVRNNSLKFARKWNPAVRRLHDLPYNNLLSSGKRALCLIQTLLD QREAECKDGTDTGLTVDDIKGVAVAVFAAGQDTTWASLMVFIFNMVMNPEIQEKARGL LDEVIGHERLPTFKDKSRVPYMDYIIQETLRWCPVSPLGLPHRSMEDDTYNGMFIPRG TLIYANARAMTHDKHVYQNPDKFEPERYIPKEDGGRGEPYPKGQFGFGRRICVGQHLA EASMWIVAVSLLATYDIKKALDPDGKEIVPELKLSNGLTSHPEGFPCRLVPRADRARF FGDLSRNEM PFICI_01682 MRNRLVAYGRPPLDLSQEEQRDAFLSSLKNWMTSMAGNEDNTKF GYPDIEWAQIESFSHLDH PFICI_01683 MYPNRVPSKDTDSSPLAEPLRLEPSGKVAKNRLLKAAMTEQLST WDPVKHEKRGIPTPELVNLYTRFGEGGFGLVLTGNIMFEYDHLEGAGNVIIPPGAPFS GERFGLLKRVAEAAKKHGSLVIAQLSHPGRQVAMNIQPNPISASDVQLKTNEMGMESG VPRPMEEADFARIIEGFAHATEYCYRAGFDGIQLHAAHGYLLAQFLSPSTNKRSDQYG GPLLNRSRLIFEIAAEIRKRIPTSFAMGIKLNSVEFQEDGFSVADCKQLCAEIEKHKF DFVELSGGTYEELGFSHKRESTKQREAFFLEFAEKVKINLNKTKIFVTGGLRTVDAMV RALDTVDGVGLGRPVSHESDLAEKILNRGVKSAIEPLLDSQDFFMTAVAAGAQ PFICI_01684 MSLSSPRYDPSLGLGAETTRAMGKTRQYAFLLLVALTQAVQMVP LGVGINSGLVIGQVLGADPIRSVWVVASYPLTQGSFVLIGGRLGAIYGHKNLVVLGGA WWVFWALCGGFSSNLVMMCTTRALCGAGGGIMVPNLIALISITLPPGQRRNLGFALFG AMSPVGAAGGSLVGAVIVQLSEFRWVFFLMGLLGFVVYGSMILVLANDEPVDASGSVD WVGAYLGVGGLILFNFVWNQAPSVGWQTWYEIVLLIASLIHFTAFTYWEMRVAKHPIL PFDIWRSQSFNRLLLTISFAFMGLGIFFWYMNLYMQTVNGDSLITVGLHYLPLTIGGS ITPFFAAWLVPRLPAKAIIGIGCLAMATINILLATIPAHLTYWAMAFPAMLLSAFTVD LITTSSQIIASNAVPLKHQGVAGSLIGTFLSYGQSTGLGFAGIVEVQTFNNGRDLLKG YHNAAYLAVGFSVAALLLSVFINVSEDDDDVQMEQN PFICI_01685 MSSIIQIDTTRHYHSTDAAYVLPNDEIEQARLDSQAVAIVEMLG GLPFLGLPASTTPGSKMIDVGCGTGVATLQLGKLWPSSKVYGVDLSVVPESTQKQAPG NVSFLQGDILEVDFTTPSDDLLHQEVFAPSSVEYIFGRMLFLGISDWKLYFSNAAKAL KTGAIIEHQDLDWKFYRVASDECLSDEWQWWHEVVQAVEASGLSLVSGSNAAALLKAS GFEILKVETFEFSFMPSLKTPNSQAMGNYVQAKLLPQYPELLRKLLEPRVSKERLKQL IQDCLRDLSSEDGVHQKYTVTVARKL PFICI_01686 MGEELVKQEIVSAYLKLVEEDGAGTWPPKTDYVSWPRALRGYKE IYLELSPLLATAEPSLDDDTNQGRRIQYRSLMRKLLSDRISIPKVGAIMEVAETGNFD LFPRDAYNGFYCCVAVLRHAYRWATIPVVKVAQEELVVDLPPELEIPWPYLQRHFGVD ADSGNNTANVLMNFTASGERVFKINVGLNETVTRSEDIFFHVFYEVEVKAVPIYDAMV RAIVAFDQGDKMACLEFMRLLGRHQRKLMKSFYENIHDHLVSRSVWLSHVQGFQGWGA GRLIDGEFVKYDGLSGNHVLVFQAIDAFLGLDRYLTEEAMKRYIPINQRNFCDTLKKH SFRSELRDTPVDLSLNQEFINIVNQMRVFRAAHRTRVMPYLEQPAPERLVMTAGKSVL ETNGQEQEIKEVLKPLDDMMVGRLRETV PFICI_01687 MNRVPTVKLSSGYEMPLVGFGIWKVPREECADAVYNAIKMGYRH IDGAHNYTNSLEAGVGVRRAIDEGIVKRQDLFITSKLWNTYHRYDIAIQMAKNENEKW NVGYIDLFLIHFPIAQQYIDPNVLEFPTFWSDDKAKIAYPLERVPLSETWSALETLVQ TKDRPDGILRSLGVANFNGQLLYDLLSYAKVPVASLQIEHHPYLVQPELVKMAQENGV SVTAYSSFGPLSYVGLDGFLFDNARAMTPLFQHPTVLNIARSHKKTPAQVLLRWATQR NIAVIPKSNSLDRLQENLECCSFDMTREELAQISRLDNGTRFIDPGLFGIHIHA PFICI_01688 MNVSDAVAYDVYDWTNRILVWCIYVLKICFLDDDDMSTDPQDAA SRSQQLSALRSFEQDWNTMKPTIFNPIYYVDRDATNGRYFPQLWMTDPCQVVALQNIE LGRIVLASHDLAAKRIGIGASAAQRSQESIFRSSTRMICGLALSNSTSQPALVTAGLA ITLCGEYFVDRGEQNALLDILKTLRREHAWPTKDLAAQLVRAWAASEG PFICI_01689 MLGTIALAAILVYTLAYLVLWPIVLYFWDPKGVRKYPNFSPLSG ITGFRHCYLAAQGFRSKHLYEEHARRGEPVLRIDPNSLSFCDTRAIKGIYGHGTKCLK DHSYVVLAGSHRQLFDVVDKNEHSRKRRLLSAAFAIKHLEKWEYKVTYTAERLFKAFD AKCTPPLTASVPDPDDLNLDFGHWINLWTIEAINYICLSSEMDLLDTGTDGVTAERRD GTMYRGRYRFSMNQNAWAQAVLVWDYKLWPLVKRLSQIIPSQYQTKWKNGEPWDDIIY HQAASRLRRHQDGEKLDDFFSCLMHDKDGEPNNLEWGEIVAEIALEHLIKHPQYMKAL REEIDAVMGPDEVIASYEKVKHLPYLRAVIDESLALSRPPQLACPVERRPRDRASSTS GSLAIQV PFICI_01690 MAQSTPPNPPLPPITKGLEFEFALLWRFDSDDRGGKVEFPVEGN TGIHNGYIVVARDKLPEYESNWIEYLDGLKAARRGVYELLSKNGVDVHEPDYEKEERD LVFPVDPSLRWSMNTVNPRYHRWNVVPETMVDKFRLDQLDLKGTPFHAVDVELTSPAM TNGKEADDEIVRVVKLITDNLVYFVHEKCGFHVHVGQGPTMWAMEHLRKMAAVCYAME IWFDDLHPEHRRTNDEFCPSLFKKSRLSQGMKGELANDYARRGVTPLFVDFPSMGPNP KVTLQEAWKELNDAGTAQAIHNLLPWPCAYNLTHVGGEAKGTVEFRQAAGTMNEVWAV HWSNLVCGLIDWARRATDDEVSELLLNGELVQAGAKKTYTVDDLIRKTLNLPEIADYI KGTTPDERATPRVTGQQAEWRKDENFEYDLIGPNMKPRANWP PFICI_01691 MSCELSPSASAFSAVLTAFIGSIINGLTSGWLVAFMTGWISWFA LFRVLLGAIYMLYRSVTNSWPPEYDAVGQADEDEEDQHPMTDVGAADQQQMFQGSLGY GGYQSYGVGPNPNTSAPAYQPPQNIQLNRPGFLSTLWPTASDVRTFRQFASKTKGQSR NPWGPLNRDVSFLGWVGWLWTGIYAPISQGIWIAANFSSSANGAVKIVKGLTVAVTAL PLCIDTHVRFGDALAKKAGGIWAKYAFNLVSSLSCLLQGIFCAILLVEGAIDLSKSST FGFPTPIFAIYPIFALIWMFGSLRILPIRDGGRRRAAQKHKALIVLDIGMGAFAGLFV AAPAFALWQSASFNERVQQSGFPNFGSHSSSSTSSGTLSLGEYLQCETEAWKKFAAVF P PFICI_01692 MYIRSNTLRSGLRATRPSAIALHPVRLGGVRGIRTEQAHDSGEG RGASTFPKNLPGFVAGALAIAAGFYLVYETPEKANKLPHTENSTLEQIKRK PFICI_01693 MAPTITTDQFLAAAKFRRTVYGLKASSPVPDSRIEEILKEVLSF APSSYNTQSARFTLVLGEKHKQFWDIVIKESEGILKSVGAWDAMYPRFQAFQNAYGSV VFWEAGSTIQQAQETHKASAHMFPEWSEHASGIHQILVWTALELEGFGANLQHMNAIP PVEAALKKFLAVPEDWKLKAHLNFGEEAQPHPEIPSKLPTSETLTIVK PFICI_01694 MDELARQQTERCLQLSTPSIPQFVVSCLLMGWLLISYIPQWARI VSRKSAEGLSTFYIFLGSLSGVCAVGNILMLPSTEADMGCCSTNSKFACISGLLGTFQ VIFGVSCFWIVLLMYVYYSEEEYNAEIHGHRLSWSGPERTFRRAKRAWVVLLAVCGFA FMILLVSATILNQFKSIAQTWADFLGVSVACLACVQWIPQTWTTWNLQSLGSLSLVSL CLMTPYTWIFAINMIMRVGFAGWSAWIVYLLVGSMQLILISMGIAFAIRDWKNPPEDP RSARASLQLDFDGWNGSRRSLASSQVSKY PFICI_01695 MVNFTFVPQDNPDGRSRRLRNRTACDRCRMKKRRCYHQRQSTSN SSEAPATVESVRDSPDRPRKRFGNSQPHPGHDWDDSESPSVNTGTSTNLPRGTGTRDR RHTIPDTRPLSPPNRLAQSSSHVAFDANESDASPRFVGDLNPEARLIDGSTPSEEMLG TSPGMVGVWVHAQPHGRPSPLARNIAASTHVSSQSLQQVPDFIRIEDLLALTNLYFAD IHPIIPLLNEQEFRTLLTRNSVPVPLLQVVCLLAAKHHAAAPHLRLSPAPEQTLSVRA FCSKLYHLVTSSLSGKTSLKKITLIRVLGLLSLHHEGRDGAEESSSHIAQAIHHAQTL ALHLHRPSDEGYEMKRLFWCLWTLDRLNSAIHSRPCLINDIDTAIEPLTPAESGSVAF DIWFRISKMLSTAIGLYRPTNDASIVEVDLEYHGFEQLVEECRGCDLSPSTLATLRIF FLAAAIISHRLKTIQNLPSVTPARLRQQLSSIQIIRYMKDENRINSLHPLPVVVYAAS LALSVFYQHLRYSRIALEQEEAHQDFNTACSILQALQRKWSAADAMASLAQKVSTELK KLPSFALLQIEREEPHRNNNQESEHDLQALGPSAVNEHPNSAAAPDQQGGHMAMWRPD GIDLFGGMDDMSWMYLDPQNPVSFDSLPFTDWDAVFAESQEL PFICI_01696 MSSSPFCLYYATYSICATMVRTTFAMRGPPREGLPDMDMRFQLV DISPENPEQLSEDFLCKVNRDGQVPVLTNEQHLTEPMPESVDISYYICDWYPQLLPKE HEAVIRSLVQELHQINYGVLTFGPGSRHPTRLVAKVEELLEQPGLSESYRSALERKAK ILRGRQGTLTVESLAKHEAKTRELCSKVLALMEKHGSEPPLSSLYIFGDSPTVLDAHM LPFLCRVVDGNRSDLIEPALLDWLEKFRKGGLWTEIVPGGSTLPPYASS PFICI_01697 MTYHPLLDSFAVVGLTAVVIGFTRATSPIRTACLPVLLGLTWHC LVNCPVQITRSSWASAVGGYTLSSLMHFVDVALLSGWSFDLQGPARDLIRGITAKPAA TPAAHSARGESGFSARLRYGFAVFFSWRFVKTPYQVKHLPQLDPCLLSSRRRYLWHTT VTIAVCYLILDIMDSSSDAEVASKFYSPDKIGLFSRLGDITIEELFMRFFAAVGLCAG LVSFQRGVYSVVALVCVGSGLSASEDWPPFNGPILQAYSLRRFWSIFWHQINTHRLNT MSNYLLHDILRLQRGGPLVRYVRTWLIFLLSGVWHVAMDFSSGIAMEQSGALRFFSIQ PLGIFIEDLILSRFHVVPGSRTKQTPALINRCIGFAWVCLWMAWTAPGYLYPIMDKSS SEDTGVVPVSVVGYMKYMGG PFICI_01698 MEKVGPDPHGLPLLTRPRDLPTTQTRVPQSDTVAWDSLPHELKY KIWELLLSPKHMATPSRQGGAPSNHLQTNYATVAREWQAFFEKYNFSSLVLHQYDLPD FRSILNRDRTDRRLSLRRLWLHVELSSHPGQRYEGYSLPEPAQTNSSIYTFALWDLFT TFKQWENDIKGSGKELTLALVLSVYSLEYCFRRPPFRRVRMCKDLLMHDQMEPFPFSF NVQGQLVSDCGIDWRHIETPLDSNLVNNLYQHYLCGVGNASEWSLDTDYFSIPGEISR RRQTAMPLSPSTWIPETTSPCPGGGISSRQTGDETMRDLHPSIGDGLLHFPSLEPNSS TQSIYFGPSRIHAEPLPLKFDLAHVGADSLPSLDFVHSLWTPRQFGKTIPKLSYVFNA LPMLKTIQFESWHLGRVGGLSQILTQLPPHVEKVVMYEDFDCLESNRKDLDIYPRLGE NLRIASLNLVELEASFIVDAFWFFQPFTTEIDRLKPASEWKRLETLTLTSIKLTRAPE TLTPTSIRLTRAPETTQLQFSSKPRVETNSTLLVAAAYAALHMPKLRIMQLWSDGTGH VGIDEYEHKPCIFRYTANCHRHAPLIEWVDNEKPATELPWIVAKSWQMVADKYRHGEI RTLSSTMAPYNHPTRPSVRKHLITSQDLKDSQSCVLCNNCGRLVG PFICI_01699 MFPTFSAVTRVVGILTILGCLVKIFNLLALYLRPSGLGRFIHKS RNGDRPWAMVTGATSGIGLAFAHELASHGFNVVIHGRNHDKLSRVLSQLQAAFPMQSF KSVIANAATMTRTADQSISSGKFEMVDFKAIQESLSSINLTVLINNAGGGHCGSPTDP TCQPLRESSEDRIVGDFSLNGLFPLLLTRALLPDLMRNSPSLVMNISSVADKGLPLLT TYGASKSFIMTATRSLRLEMQMEKDAQKDVEILGIRIGKVTEAGGHKEAANLLLPSAQ TMAKAALARAGHDNGVVVGYWGHALQLLITQIIGLLPRSVEDNVLVKIMDQQREYYDH LKQS PFICI_01700 MHFLTSLVLVALNCNIINAHIDPYTGPRDGNRKALNHKHVFNAL NSALRKRESTTNQNGMSFFLATVPEGTPLFHGNPKSDRINGTEFLAFDPEFSLIFARK HGGNGHGDRHDGRLLDHDEQQPLVDGEAQIAQAPDLAGWLHTYLAAKDLNLLYIDGAS ARKTRSGTMDSFDRILMNDTLSGGVEDEYKRAQTICDLAKGPWEDRIDGVIRLGGDFE IILCDFERNLDLESVVRVRENTPIQDHGPGSGGIGIRFVQANFNNFVSIFTYGLDLYS RAKENDSGLFHDEITAHLPRLNHLSTQDLEPIRQDVNHLILHQKPSGGKFDWQGITDM TVARYSNVLRELAFPTEEETHASLQGRIERLLSPFIDYSTRNHMLEVERCASIFLPRT APTKALAYQAVHGVTEYICKALYDALADGEKELMTERMRHLVWYLDWAIWVDA PFICI_01701 MAELYEPLPPRTIRLLRVLPGDTEAPMECELLLLPMPESSGQMQ LYEALSYVWGSEDKPRSILVSGHLVHIGTNLYQALLRLRHRVLDRVLWIDAICINQAD TGEKSQQIPLMSQIYHQAGRVIVWLGVEADDSTRALDVIINANGNRSNSTRSRHPPSP SAQGNSSSDEPRMENRLEGRLFDEHDSSPSVSIYSSSDDEVFHADTDSQQSVESGLPD LPQHPAASEDDLNHWHMDNATQAAIFAVLTRPWFRRIWVLQEVSSSRYTLMVCGSAEV NGYAFCLGVLALSDLLKKRLDIFGWIMPVVNLMTTSTLEPNRANLMPLGELVDMYHTR YSTMPHDMLYALQGLCSESFEDIGVLVDYSKGWDQVFYSFLRVLFPEASRFHVPADGR CAGIRHAAKIIGKVIAVENFAQRGGFQETIIQRRTNMSNVYDNQTWHVPNSANSIQTG DFICLFEGNMRPAVVRAQRDYFELLIVAPSWEKQGMSRTDWQNVADFETKFDLLPFVW DWQRHGKVDRGDYHQNLLRTVLDLNHLIDPRDISLARLKRLWDIAMAISPLSSPRRDI QDTEDSRLEAMKIHSKSYGFSPNDMHKLNGFPLLSLAASHGSYQLAQDLLRNGADVNL ADRNGKTALCYAEERGDVDLAEYFLANGAQRSSTMYSQSSYDLNRVVFNNLSSILESW YSSKEEFHRSMRGTS PFICI_01702 MASGFGPDGQAAYKTKKHVLPFQDHTGNPAVWRGRATNPLPRLY CYAIYFTHTSITSDLVNAEQVYATVTDDPIYGCSGVEYRLDLFNDQIELVSTNGGPTG RLPGPVSSYVQDPVQHGYHSLIYMFDKAAWPGDDQLIVRVEFDPLSKAGYEADSKDPE DPQGARCSPKETCHSRGIQSKESGIR PFICI_01703 MSPHAQGSKRVIAPDHNHERAKRGKYALVACDRCRDRKTKCYRS PSSNDCQSCLGAETDCTYSQAGGGGDRQSSTTRTSASRAQQQSRAEKRHGNTNADDEL IRLKEQVKRLTDCVKDLQNKMQTVATADTSSPRNDRTYTSKKQDSSEPHQPLFVGHTR SQYSLDVAKTSLVEKGLSSNDALQSSVIPSAMPSPRAQSAEPSNDGASAGDRGSTDDP LLDFSRAEIARLIGVFQEEVETIYPFVESGKLADSLGETLSGLQSGNHDSRDGIGDGT NHGTFGDIRVLKTILAVAIVIEAHGRNDVSRRLIESSGQTVARITESPSVDMRDLQSL AILSIYYFHSDEELLAWRTIGIAARMALEMGLHLKRSINENYKDPNQREMALKAFWCV YALDRRWSFGTGLPFSLNEKDIDPELPEPSESFQYLRCLIGYSRLASKVWESLPTFGP SATAVSSEKVEYLDFLAHKWSSSIPPDLQLLHPRLSLPQRSLPRTIRRLQTLLYLRGN HMRTLIHRHHVLSSSLIHADITNARLVVDIAKDSIQILVDLANTSDIYIRQQSAFNYF LLSALAIIFLAVCNAPEIFSAPCRESFSASISLIRGFSRSSLASKRLWRSIRGLVPAV KALGLELQNTHSTDPLSKDATRETDRSGDDCNMAQDLGWNVDPTAVDFMLSDMLPGNA ASSRGSIPDVYQMSDDLMGLFDAFGQNKLGPIGEVLDSNDASQLGMTPGFPAEVSRRF QDLI PFICI_01704 MSALKSWVSIPPRSHFSLANIPFGIISTNSNSTPRPAVAIGDHA LDLLAFSNGGGFSKLPQLASHLQVFNSSTLNKFAALGRATHRDVRQYLQSVFAADGPH PEVLEKNDELKGKALVSLTDVQTHLPLSIGDYTDFFAGRNHAFNVGTLFRGPQNALNP NYNHLPVAYHGRASSVVASGTPLHRPWGQVILDPAAEPKVPTFRPIRRLDIELELGLF ISRPNELGKPVKTREAADFIFGYVLMNDWSARDVQTWEYVPLGPFNAKNFGTTISPWV VLADALEPFRTKGLDNPVELRSYLKQDQSDAALDINLEVSLKTPQGGATTLSRTSSKN LLWSWEQMIAHHTVTGCNLRTGDLLGSGTISGTESGTYGSILEQTQAGKHPVKLNSGE ERMFVQDGDTIIITGAAGQVDGGLVGFGECSGQILPALDEDFWST PFICI_01705 MPVTNFRTNERYEYLNGFGSYHESEAISGALPIGANSPQKAPFG LYAEKLSGTAFTAPRHENLQTWLYRILPSAAHSAYEPYQGSSSTGALSPDDADTKLHQ IPNQLRWDPFDFSEDTDWVQGLKLVGGAGAPQTKTGLGIFIFAAGKDMAPRTAVYSSD GDYLIVLQHGVLDIQTELGKILVRPNEIAVIPRGIRYRVTLPEGPVRGYILELYQGHF TLPELGPIGSNCLANARDFQAPVAAFDEDHDSDWTIINKFDGKLFSARQKHTPFDVVA WHGRYYPYKYDLGRYSVIGSTSFDHPDPSIYTVLTAPSDHVGTAVADFVIFPPRWLVQ EDTFRPPWYHRNTMSEFMGLICGDYDAKAGGGFQPAGASLHNIMSAHGPDASTFEKAS NAELKPQKIGEGSMAFMFESSLMIGVTEWGLKTCKKVQDGYNEHSWTDLKVHFKRPS PFICI_01706 MTNDQDSISDKKADPAAAPIGLVASNPNDQDAAELNAAGYAQEL ERSFSLPAMGCLCLCLMATWEALSTVVSAALVSGGAPCLFYNYLLSFICTVAVTCSLA EIASMYPTAGGQYYWVAALSPPSGRCFASYFTGWISLGAQIVFSASAAFAAGLQTQSL IILNDESYIPTRWQGMLFYWAVLACSLSFNIWGPRLLPHINIVAGVIHAAGFFAIVVV LLVMAQKNSATFVFTEFSNNSGWTSDGVSWLVGLISSVYPLLGYDAACHLSEEIPHAA RNVPIAMVGSVVVNGLIGVAYCIVLLFSIGSLEDILATPTGFPFMQIYLDATKSRAGA TVMSLLLIIIAATANVACVTSASRTAWAFARDKALPFDKVFCKVSKKHQVPSNCIILV TVIQVLLGFIYLGSTAAFNAILSMAIIGLYLSYTLPIIYMLLYGRQKHVAGHWGPFRL GRPLGITFNIVSVMWMTLVMVFSTFPGTMPVTPENMNYSSVVMVGWLVFGFIYYLVRG KNKYEVPHVNVATVTSVSIPTDVY PFICI_01707 MAIATLFIDLQNHVAGHTWASTLLLITAVPIIYVVFNEAIRANA RISGLKGPRGLPLIGNIWDIRTNAAERYRQWSKSYGGVFQIQLGNIPVVVVNTAATAK VIFGQNSQALASRPEFYTFHKLVSDTSGTTIGTSPYSESLKRRRKGAASALNRPSVAT YVQHLDVESKDFIKELYTYGKGGKEAVDPMPMIQRLSLSLALTLNWGTRMGSQKEDLF HEITHVEEEISRFRSTTGNLQDYIPLLRILPFNTHSAKAREMRNRRDVYLTNLNRGLD ERMASNSHKPCIQANVINDAEAKLNKEELTSISLTMLSGGLDTITTQVAWFCALLVNR PDIQDRAAQEIQKFYSDDKPLCDSEDDQQCAYIVALVRESLRYYTVLRLALPKCSIRD VVYNGVTIPKGTVVFLNSWACNMDDETWSDPEVFRPERYLEQPDAPMFTYGMGYRMCA GSLLANRELYLVYMRLLNSFRVEKYDEIDSHPLTGNSDPTSLVAMPNRYRALFVPRNP AALSEALSAK PFICI_01708 MYFTSLVSVLPFVGLTSAASVVGSAYGFAKGVTPTGTTVTPTDN AQLTSWLGDSTARVIVLTKTFDFATATTTGAACRATDKCSGGQDTIKTSCDSNETPIV ATYNPQATKGISVASNKALVGVGSTGAIKGKGLLIASGSKNVIVQNIRITTLNPQYVW GGDGISIEGADGVWIDHCTFDNIGRMFIVSHYGPNRVTASYNYFNGATSYSNSCDGQQ YWGTMYVGDSDKVTIDHNYYYKMSGRSPKLGDSSYNTYFQATNNYFDTSSGHSFDIVK GTYALIEGNYFSGAKTPMTTDSTTSGAYIFDSPSSSLSTCSSYLGRSCVVNSLTSSGT LPSLSSTDALSKLSGSYGTYIITPESASSAQTNVAAKAGQGKV PFICI_01709 MAIKTVAVVGASGLVGARVVEALQAANFDVTAVTREESKATFPS NVAVRRADLSSVESLTAAFAGQDAVVSAVSTVFAVIPGAQNPMIDAAVAAGVKRFIPS EYGLNTRKLQGEILGDWLKAKTSAVDYLIEKAQSNPSFTWTGIGTSLFFDWSLTRGIY GISFKDKTATIFDSGNQKISTTSLAFLAKTVAAVLQHDDKTANQYIDVIEFTVTQNQV LEAIEAEMGTKFTVTHESTNEAEKRGHEKLARGDQYFPFVDFLIAYWFRDGPGHAIKE EDKANKTLGLPQSDLRAVVKEYLQTL PFICI_01710 MASTKPIQRITLFKIPKQEDQDKLLEIYRHMPEKAVKDGKPYIL SVKPGRAFPDQRAQGFTVAVVSVFSSLEDMQFYDNECKAHAELKSFAKTVHQGAMMVF YESIFD PFICI_01711 MASVTLTGAEGRSITVPTGLFIDNKFEPATSGATIDVENPSTGK HLATVSAAQAEDVDRAVQSAKKAYVSWKKVDSGEKRRLLNRLADLVERDAVELASLEA LESGVLYGVSRALHVQESISNLRYFAGWADKLDGDSLSIPQGLAYTRREPIGVCAAIV PWNSLMIAFWKIAPALATGNVLILKTPEITPLWGCKLAQLIAEAEIPPGVVNIITGLG NIAGQALSEHNDIKKISFTGSPGVGRRILETSAKTNLKKVSLELGGKGPSIVFADADL ENALFWTTLGITVSNGQVCAAGSRIYVQDTIYEKFVEEFSKRSRDAVHGDPLLPETTK GSVANKAQLDKILEYVKKGKESGARLLHGGERLPGDGYFIANTAFAEVDQKAPIMQEE VFGPLASIAPFSTLDEIIAKANDTSYGLSAAVFTNDVNKAFRLSEEIESGMVTVNAWG MIHANTPFGGVKESGFGRDMGKEALEDWTTTKTVKWNILPTK PFICI_01712 MPIWSNRQVHSIVYLDYHIRPSDINQDPRMWRWALLGSTQILTQ DEMRTAITEETDSQSSQSECQMVRRACVSVCGDVGGYGQDPESGFSDAMIPCQRGDAE DSCISEIEEVMDLNHVEHSQRREIDRSDDQNSYNFAGAWEAQTMDSIVSSSNGQRDRY PYGLVLLQIPDRRERYRVGVFAPNVWQFQEECKHHPETELMTVGHF PFICI_01713 MEIDDLTVEKVRKTNGFFTWEVDVTQAADLENFLNSKPDGTIVF VDCQNASDNVGVQVPGAFHIHTESALAARDIFQQLWKNNPKSLGSLLAADMVVFYCSY AHARSPAAMTSYLKLRANLAAQKRPMRQQKVVVLERGLNEWKSITGRKMRPWNLGLKK AAVAKGDDDGEIYTLYTE PFICI_01714 MIAAAEEAKYLARPDGPDENEISQQARQRLLLVLPWGRSPIRLG TTFHSRLQSTGDPWSKETPFVLSDIHMIPKELHAEYGTTCTFKKVETRKESETGDHLS LGFGIGVGVPFVASVSVKGTFDQHIQENKDSDKMSLNANCRAGTIEFQRQPRLTKEAI IEIKYGRGYEGFCERYGDYYLAGYRLGGDTGILMSASGHSREQKDNIDVTAKVTALLI SASKHWEKDFRKFSAGRQVRLLGYDTLDDMNWKRFSAAGDDEKEMRAWAGSSIASESA DTLRADVNAIMTRSESLMKRIYEVLDRHGYRDGQSLTFVQCEELLAEGIVVELLLEPM WRLRDVVQWRNEKNII PFICI_01715 MALLLAPFTHAMRLGQGFNSYTQQICVDDAVVVDDQRPENVVTN DGTTMRIMAQKNAIPSAWTRQKEVIEAKPATVVLEENARKAKAEIAFIEKALAEEVDA AGEEPSEGAQDEAQSKSDDKAIEDEPTSDDQDAEVAEVEGESASVDEVVDANEAREKV GSSSATKEESMSKQPVTQTSTRSGTGAVQQPKGDDDDVPDTEEQVKKPAAAPKKLVKR ASSSDRGRQQSSKTAAVPLDRAAIRASLTGKSKPDAAQAAKAAADQARREKEEAEILA EQRKYRKEIMVQQRQDEAERRKEEREEARSIRAEKRAWDTKRREDARKAIESAANLKA TSLDDLEKIRAQNQFVERFNGMTEQTQKYDFDPTAPRGPSQTVTYSSRFVDRLSDVLD DMSVSGSLSIKAGKVGGSGKGSFVDSDKFKESDLNFYISVKVVNQTINFKDALVFNPL RSVDENNFREVYGDSFISGFIEGGEFNAIVSMKILNKAKKTDIQAEAKVALTAGAVQV KAEANVGIARSNIETNTETTIQVFWSGGGHIKPMEQQWDVQSLMEAAARFPDLVADCP QRIYAILTKYDSLRSFVARKPASYTPLQYENAQIYTNTLLDAFVSYKSLYKRLGEQIF GVQGKTLEVIPWSDTEKLKVLPKSTAVAKMGTKNPDTGLFPYVELDSNFEASVKGLSD ARAAIRRQMARIVNEVDAIEKNPKLATDEDHDEPFQSPSAFEVRVPQVQVPERLRVKS HPLSGRRIAAKQLSESEQKEQLAEEERVAEGEPLFLATDKLEALEQEQFDAAVNENPG LCGKFRVSSAVGDTLSGDWFNNLDFVKHDWKIRSIRTEIHNGALGYLAVAYNNGLVVE KGMSRDKSRIKKLDGFLPGERINAAAIEYGKELSKDSKPEQILGLRLLTNRGRSLNAR SLKTVPGENGTVVKDDVTYEKVQVHYLDGPYNPGTLRGFFGRSDDNSGKILRLGLIWG RVPETTEEEAQGQFVETADAIDGEDLALLQKEQIARNQTLQALQQKLDEAQKKVNEFE QSRDRQGFGGAQSGAFFAADKGWQQGQNSSSVAFVKFPQAYASPPKLLFGLNNFDVKR DMNRAVSLLFPDIRGDGFNIQMMSSNDCLGYGLGCSWMTLPNDLHLETGMLHTYNTGQ SSFRDYTRHVFFSQSFSTPPKVLCWFQEFGVNNREFMSLRVYPYAINNNSFHIKIDSW ANREFTNARVQFLAYPSEEHGKRVKSGTSVITREQPKQQNRAPFHGEPFKNTPSTFIA VHHMDFGCDKNNRFISSINAPNNRELEWGLNTWGDTNMQQAEATWIAIE PFICI_01716 MTRSLLALSALAASAAAYSCDVGTITSFLPLNSTVFFADHYNAS SNFTFPADLNYGALTSPHPTTSYILPKAACVIQANITLPGNTQHSTGLILPDEWNGRF MTVGNGEFAGSVGWSSIIDASWYGFAAISSDLGHEGNNGSFAYPNQTDALANFGWRAL HDATVNGKAVTAGYYGQNATYSYYRGCSAGGKQGLKELEMFPEDFDGVIAGAPAWWFK RLQLYVVVNTLWNYDTTAPGYLDSARLEAVAAEVISQCDPQDGVVDGILQDPLRCLFR PETLLCGGSAGLDNSTCLNIDQIHTVNKLHDDWKTVNDTLVFPGWTLGTESGWSSNGP SDDFVTYIQYMLQIGGDWTVEDWNDDIIALSHRLNPGNATADDFDLSPFYERGGKLIH YHGLADPSIPATSSLYFYDQVQSTLNPQGINLDDFYRFFLVPDMQHCEMTSTDAPWYF SGDGQNGALSDSTVHGVPGFEDAQHDVVLAIMAWVENGTAPDSIIATRWNNDIPDDGV AIQRPLCPYPSHATYVGQGNTSLASSWNCTTYY PFICI_01717 MASVEDYIRVNNFISTQHPLVTIDSVYNRDSSQSLHNATMKFTA AIVFAAGVLRANATAGIGLPLCLAACTPLLINPIAYAACSAGCSGLAVASDDEDIKSS PRFLDDGTVVFEKESTECADACKPLNSDPLAYSACLGGCAAVAEEKK PFICI_01718 MFARKPLVFLLCAISKYTAAHLLRQESATNLSQWSRGPEADSHQ VVQLSIALTLQDSDLGVETLLRISDPNFPEYGQHLSAKEVARIFQPKPNAAADVLDWL KESGVDLNHVNLSHGGDRLSLSLSVREATWLLQTTFYHHTHYKTGQGQIGCEYYHVPQ SLSGSIDYILTSSPIQSHQQIPRQQIVLNDQSFAVGPTAPDCHSQTNPECLRDLYKIP IDVIPHPNNSFGIFEPSWISWISDDLDKFFQKYQKNLVGSRPKFDAINGGYWNETSPS FPLHQEPNLDFEYAMALTSPHEVTNVQVGSNVEQGNLEDMLVAFDQYYCDPINPEHKR FHGGKNLYPPGCNATTCDCGSSSPPKVLSISWGWTEAHFSPNFLHRQCLEFLKLGLMG TTVVVSISDDGTASQSGEFCIDDPSGNATAGRFSPVFPGSCPWVTSVGGTRLLLPGEP RPPASSTKETVWREPVHSSGGGFSNVFPVPPYQAPNIASYKNIEGDHLNEIHDRFNST GRGFPDVAAVAHRYAVVSQGNDTLISGTSASNPVFASIITLINSERMHAGKGSVGFIN PVLYSNPGVLNDVMTGSNKGCGIGQAFRATRGWDAVTGLGTPDYKRLRHLFMSLP PFICI_01719 MDKSQLYQSLDLLKKEIRLLEVLPGPSDTALHGRLLHTSLQDPV PYETISYCWGDASVRGTLMIDEFEFDAPLSSLTVLKCMRRPDAPRRLWVDAICINQDD IDERGSQVALMSQIYRQARTNLVYLGEEDEMTRRGLKCIRELLQEISDKTIGFSRFHR LMRSFQSDLRRDSNLTMNCTLDEAGVLSLFDRPWFERLWVVQEAVLAPTSICFYGPSL TVGLVELLRAARWITYNRASISREMHDNENLNNAVDLWSLVDNKSTAGSGTSIEPPND PDLSALLLLARHRLSTEAKDKVFGVLGLLSQQTDASEDGPDTSLLETDYKKSQGRVFC DAIRYAIQELNSLRILEYVNHAIEPNVSSLGDFASWVPRFDLPINEEVEAEVLPPIFA ADNDDGVNREDMEIDLARPTMLSLMGYWISTIAETSDVFSSEIMKDTSKLVALLQKLP VMVRGAHAADLRMWGGDNTGIENGFSLMLAATLMGGNWNNQPVEDDDVEAFRTFLEAL MAGSWTYDPNDPQWQCLESACRNRRFFVGKDLGFIGLGPSCMRPGDVVSILYGGSVPF ILRNITEDMDAIPDYLMLGAAYVYGVMDGGYVRSAEELEAEIISFNTV PFICI_01720 MVKLDFDLERDVPELTGKVVLITGGTNGLGAATTQMLASRNPAK IYITGRNESAAQHVIAAIQSTGSKTEVIWLRCDHSDLTTVKKAAQEISRESRLDILMA NAGVMALPPGLTKDGYELHFGINHLAHALLIRILLPLLQKTAKEHGEARILPISSLAL VLAPRSHGIVFQDLKTTQAYWILGKWQRYAQSKLANLLYGRELARRYPEILTLIVDPG PSNTRLVSSLGFLDKAIVYLGNINRFLDDDRGHWNQVWTVGVPKARAQQGELYEPVGK LTDGYTHWCLDEQLTARLWDWTEEELRPWLV PFICI_01721 MATFFRDTAFGQVVRLLSHRRLLRYPDEIDPLLWKKSLQQHGAA GCSISDPGVESNSAQILSDNEEKSPIRDPRRQMGLIENGAGPAQAVEDGRDVYLVGWY GPDDPEDPLNWPESWKFIIAFQVCILNFVVYIASSLYVPGETSFMEEFDVSETVATLG LSLFSVGYGFGPMLWSPMSEMPSLGRSPIYVWTLLIFVLLELPVGFAVNPTMFLVFRT LSGFVGSPTLATGGATIADVYGPARAAFGICIWASFGVCGPVFGPLIGGFVAPVKGWR WTIWSFTWMCSICWLCLFFLLPETSSANILYRRAKRLRRATGQNQLRSQSEIDSAHHT MKDHAIVLGRAFALTFTEPIAFLVDLYTALLYGVLFIWFESFPLVFGGLYGFSTQMLG LVYLGIFVGGVITVPCYLLWVKRILIPSSMKSTFRPEHVLPPTWFGAISLPVCLFWHG WTAKEDIHWMVPIVGSSFFTVALVTFFNGILNYLGMSYPVYAASVFAGNGLFRALSGA VFPLFVCTSELSQLVMVC PFICI_01722 MGPVPLTWGCASVHSDGAFPTTSAIDELLTTLQELDIKHLDSAQ LYGDCEVLLGQAGVAKYNFAIDSKTPGGFVPGSLQPERLLADFHTTLQNLGVAHLDTF FIHASDPTTPMEPALEQLDVLHRAGYFARLGLSNMQADEVVAIHGLSTRRGWIVPSVY QGNYSAFARRQETELFPTLRRLGMSFSAYSPLAGGFLARRSAGELSAPETGGRFAVDP ADPEGSRPQLVEALAEWGRIADSAGCSCPAELAFRWVVWNSALEPAIGDRITLGARGV QQLRQTAGWVTKGSLDAETIARIDKLWKQIESVAPLDNKHL PFICI_01723 MLSSQFPHYTTAVVAIFTLVCIWLLKCIYNIYYHPLAAFPGPKW ACASDWYYARWYTSGNWPFLVRDLHQKYGDVLRIGPNELSFNTPTALKDIYSHATKGR RPFLKSDFYDFAHGRPDIVAVRDPVEHSIQRRQLAHAFSTKSLRGQEGIIQGYLDHFV DQMGKLSSENGGIEIGEAFNWLTFDIIGDLAFGESFGDVASGKTHFWVEVIMSSFFVI TLANIRKRIPASVLALPFLIPMSFLSNVKKHQKLTLEKTRKRVALGDMGRDDFFSHLL KNQGGKLDEQYLSNQANVLIVAGSETTATFLGAVTYFLLKSPETLAKLQHEVRTEFST YDQINGDTATSLPYLEAVIEEGLRLFPPAAIGLPRECPGAHIDGHWVPEGAIVSTNPF SLTRDPRYWSRPNDFVPERWIGEGLAGDNRAASQPFSIGPRACLGINLAKVEARLTLA KLAWKYDWELLNKEVDLLKESKLFTLWQKPDVRVKFTVTGGLA PFICI_01724 MAQHQSSSGAQSSPATTSTLHTYEGSPAAEAIPETLSADERELH AQGHIAELPRQFSMLSILALSFSITNSWIGYSAVFVTPLWAGGGPAVIYCLVTAAVAC SLITAGLAELSSAFPSTGGQYHFAYMVSDRRWAAVVAFFTGWMSVFAWLFTTASAFIF CAQVCVSLASLFHPDYTPTQWQIYLIYVAFVAACTLITIFLPKSIPLAETVFFGASLL GFVAFLITVLAASETKQEARTIFVDWVNLTGWNDGAAFLLGTGQAMYTFLAVDSATHI AEEVPNPGRVVPQTMMMTVVIGIVTVMPWTLAFMFSIQDLDSVSSSSLPIVEVYFQTL RNSKAATAFFTTWLLFVYLGACLACTVTTGRLIWALARDKVMVFSATFDKVNKKLEVP VNATLFAGVFCTLYGLIYIGSTTAFNSFIATSILFLNVTYAIPQAVVLFRGRSRTLPP RYLDLGSVMGPFCNLFSVLWVALFTVIFCFPTFVPVAASGMNYVSVIIAGVCLFTTLL WCTSKRKTFTGPNLVLDGLEPVHATRTEPCKSDK PFICI_01725 MNQTISLGRVRPARFSACRIAFTATRVFVKPYSASTRPSFLANE PEGPQLTVAIPGPRSKAILDDMATILDTRNVNMVTDFEASTGNYIADPDGNLLLDVYA QIASIPVGYNNPNLLKAVQSRAVQSALINRPALGNFPQHDWADILKRTLLKAAPPGLS RVFTSMSGSDANELAFKAAFMWKARQRRGEGKSFTPEELRSCLDNKSPGSPNVSILSF RKGFHGRLFGSLSTTRSKPIHKIDIPAFDWPCAPFPQLQYPLSDFESENANEEARCLA ETDRILSESLGSVAAMIVEPVQSEGGDNHASPRFFQALRGLAAKHDIMFIVDEVQTGV GATGKFWAHEHWNLASPPDMVTFSKKAQAAGFFYQNVALQPSTPYRQFNTWMGDPARA LLFGAIREEIERFDLLQNTVVTGDYLYAGIEGLRKKYPDQIKNLRGKGMGTFIAFDTP NRDKLLVQAKSLGVNVGGSGEEAVRLRPMLIFQQYHADILLDRLETLLRP PFICI_01726 MAELVQEIQTPNGFHYRQPLGLFINNEFAPSSNGQIIQAIDPAT EKLITRVQAATEEDVDKAVRAARNALVNSPWTSLEGTERGILISKLADLVEENKELLA SIDAWDNGKPFSVALNEDLPETINTLRYYAGWADKIFGQTISTTSSKFAYTLRLPIGV VAQIIPWNYPLSMAAWKLGPALACGNTIVLKAAEQTPLSILMLGQLIKQAGFPPGVVN LLNGLGRITGSALVKHALVDKVAFTGSTVTAKTILKMAAETLKNVTIETGGKSPLLVF SDADIEQAVRWSHLGIMSHQGQICTATSRILVQHDLYDSFVSQFKERIKTTSIIGDQW GKDTYHGPQVSKDQYNCILSYIQEGQKEGATLEMGGKACPINGKGYFILPTVFTNVED HMTIYREEIFGPVVVISSFSTEEEAISRANDSIYGLGAAVFTRDLERAHRVAASIESG TVWVNSSQDCDPRIPFGGVKQSGIGRELGEAALDAYTQIKAIHVNLGSKL PFICI_01727 MAPDTNSIAGYAIYNSAAAAEYIDPNPTKNRVPHPLDQLSVQEV CAVTELVKANFGNVRMKFNTITLHEPTKASYLEFRDNHGRRPEREAFVIVFLEGSCHV TEVIASVTRRCIISSRTLEDVMPILTLEDLDICERVARADSRVLEVCKEIGIYNMDEV FFDGWAIGIDERFGFDRRLQQGLAYWRSSKVDNQYAHPLDFVVVIDTEREEVLRIDIK GHNGQRVLPPQANHNFLPQFIGHDYLTNRLKPLNITQPSGVSFTMDGNHLSWAGFHMH IGFNYREGIVISDVCVDDMHEKRRRMIFNRLSVAEMLVPYGNPETPHHRKHAFDIGEY GMGFMTNPLKAGCDCKGAIKYLDAIISTGKGEAAVVPNAVCIHEEDNGLLYKHTDYRD GTVVCARDRKLVISQIITASNYEYAFYHIFSLDGTYKLEVKLTGILNVWPLYAGESAG PYGSQVAKSLNAHNHQHIFCLRVDPAIDGNQNTVVQNDAVSSEIPVGQAGNLYGNGFY CKKTPIRSTRDGACDYSHETSRTWDIINPNKINAASDKPPAYKIISRESPILLSKPDS LIWRRAGFARHTLWVTKYKEGQRYPAGDYVCQSTGHPGWPANETIVDWTAKDENIENE DIVCWLQFGLTHFPRTEDFPIMPAEPVSIMLRASNFFEKNPALWVPPTTVDVDRVSKD LNKCCGTSQVTASGDRAISTACRL PFICI_01728 MEKYLIYLAIFVVSMGLYRFFLYPVFISPLAKVPNAHWSSGLSS VWLYSMKWTGRENSEVYQAHLAKGPLIQLAPGLLSVKSIDDGLKTVYLGGFPKPPFYF HGFAVYGKGNLFTIVDNATHSAQKKIMSHAFSKSNVLASQSARIATRDVLFDRVLPKL HKASINDSPIEIIEFNYSYYLDTFVQWQFGHSLRSNLVEDEHERRLYLDGFFGPAGFT FWQYYFPNLSANLRRIGIYLIPKWVDTGFAAVEKWNLDKCDQAQQLLASGQDVPVEDQ SLLFEKALKGMSRVDTKPREYPNRLELASDMFSLNSGAFETSGNTTTYLFYEMCRNPQ WQTRLRKELMTLKVPPIHVPGTRLDPDDITSPRDLEELPVLQAVIMETLRLWPSVPGG QPRVVPKTTSLGGYHDIPAGTVVQAYASVLHREPEVFPDPFAWKPERWLESSKEELAV MKRWFWGFGSGSRMCIGSHFAYYSIKFLVSSVYTNFTTTIHDHGDMEPNDGYLAGPKG HRLEIKFHKVEDGQDARI PFICI_01729 MPKKVLLGFGIDVDAVAGWIDTQDGSTCDSVKISRGVFGAAVGI ERLLDLLEKHSIKASWFVPAHTCETFPRQVTRIRDSGHEIGLHGYTHEYVSSLSRDQE ADVLRKSLDVMTAFLGYKPRGWTAPGFTCSSSTVQLLEEAGIEYDHSCMHHDCLLYYT PYSDYKAQTTDYAQSAGTWMHPTMNPKISSIVTVPANWHLDDWPAFSPGDGGSDGFID PDVVLKMWKTHFLYYYQRHDEVVLPLSLHPQISGKPHVLNMIEQFIEWVNGYEGIEWS TFGGMVDRFKMHNFARWRGLEEDGTHV PFICI_01730 MSIPQINCSGTPYEIGHQHGAQAAELVHGSIQFYAEMFDLYTGK TWAEIRLIAVRFGDNIQVKWPRYFEEMQGIADGAQCHLADILALNTRTEVVFGLMVPE RVVSDGCTSLYWARDQDKKMGQNWDWMEEQGCNIVLLTIHQTGMPVIKMVTEAGIIGK IGMNSAGVGVCLNAVRCSGHDIAKIPIHLALRLSLESETLSQAVDNVEAIGAAGSAFL LLAQGDQSMGLEMTSTTTKRIGRDSYGRIMHTNHLLQKHDQVVEWPEEDSFSRYERIT QLTEEYHGAHLTGLQEEGFLGFFDDHEGTPFAICRRQEGDCKDATLFNITMDLDKKTA VVRRGKVCHVQEEIRLDFS PFICI_01731 MGRKYHGAACRTCRRRGRKCTRELPACQSCIDKGNECEGYAFKF AGLASRGFLSGHAGVGEVRFKRNPGPRKANRTQVQQQERNEQPLPLVDPSQLPLVQDD GDDVPPSGESVMLDLSSPGPAPQDWQLVESGIRDETPQRGSITDGEVTLSASENLEDS QRRCELPNPFVGPSAAMNLFHLPVELSFVLEYHFHEAAAKLCVDNDAARNPYREYIYP LALQRPALLYACAALSSVHYSTRHKNEAFLVDALRFRGKALSRLQESMWCVDSSLDES NLATLLMLILCDLCMGGPSNFETYFTMAKSLINLRGPLRTPDNFVEQYISWMDIMSCA STSRQPVFTIEDVASLHQRNLDWSHDVVPCATDLFYIFLDIVSLHKNTQLGATDVDAQ LQSLKMRILTSPPRVERGMPWFHLTEAYRFGILLYAALLFDKTQDEDEMAWLVSSIIQ HAKSIPSRSGWADQLLWPLFHAGLRMSDPRQQDWLRGKLSEMQTSGGFRNVTSAQEVL EMCWRGEVSGKYADLLVKQGVGDMIVI PFICI_01732 MASQFDYIIVGGGTSGAVIANRLASETNASVLVLEAGRDADVVP DVLVPGKYVSQLESDFEGLWQHGTAPQEHLNGRSLAWVSGRQLGGSSAVNYMAMARGP AADYDEWARRTGDDGWLWKNVLPIMKEMEDFDPKAPVGYEHLVQVDASNHGVGGPIKL GFGQEMTPGVPTFVKACQEIGINICHDINSGNPIGVGLAQTNTRNGIRSYAANAYLDT GFRSRHQNLTIQTNTTVQRLVFNERRVCGVVVSQPGSNGKETTIFCSEQVVLCSGTIA SPQILLSSGIGPKDTLMELGIDVVHDAPGVGCGMLDHSILTLEYRVTDLAIDHRRLFD DRALLEAAEAQYQRDRTGPLSVFGTSGAVAFPKIESLQNTPEFEALSAETKKYLTHPQ RPSAEIWLGSGYAAYQGPVKPGQAFATHELLLQNNLSRGAVSLQKSGSGVRVVIDPGY LSHPYDQRIAIETIRTTLSLSKAKAYEGVIEEVVHGPKNDDDESILDFVKSNLGQGFH SVGTCKMGTNQDPMNVVDIHFNVKGVDGLKVADLSVCPILTCNHTQINAYLIGARCAE SLIRSCREGLKAQAPLARV PFICI_01733 MPSFIKNHDYPGYATLGDVFNISAASSFEVSNTCIVATSGQIGE AADYSPFEPSGANNEQFSGGHAEQFELALKNIEKSLSAAQPSLSPQELWEGVFNITSF HVGVVPQQDQLEIAAVARRYLRMNKPAWAAICVAALFPPKCLVEVQVQAAYKKDI PFICI_01734 MLRRTALSVRRDCYLQGSNRLSFLARHGVRASSTRSCFPSTASN DTKLDDTTIFLDNKAVSSEATKWYSVVNPATGNVVTRVPQCTNAEMQAVVASAEKAFS SWKTTSLLHRQSIMFKYVSLIKDNWDRLAASITLEQGKTFADAKGDVLRGLQVAETAC GITTQMPGKVLRVAKDMETRSYREPIGVVAAICPFNFPAMIPLWSIPIAVITGNCIIV KPSEKTPTAAMILAELAVDAGFPPGVINILHGSRDSVDFLIDHPSIKAVSFVGGNQAG EYIHGRCSALGKRVQANLGAKNHAAVLPDCDKNQALNAIVGAAFGAAGQRCMALSTLV LVGESREWIPELVARAQALKVDGGFASEVDVGPVITWESMKRVEELIDSAKDEGAQIV LDGRGIRPQRFPKGNFVGPTIISGVKPHMRCYKEEIFGPVLICLEVDTIDEAIQLING NEYGNGVAVFTQNGGLATYFQDNIEAGQVGINVPIPVPLPMFSFTGNKKSISGGGINY FYGKPALNFYTQLKTVTSMWKVSQTTSTRAKVDMPTMR PFICI_01735 MSEKNPEEAGTGSDPMLESDSASVSDRASAAAHHPQFTGVNGEN VAALARRLTNASTRTAQASVTNPFQPTEPALDPTSPEFDAKLWAHTLFNAFEQDPSKY PRQPIGVSWRDLSVHGFGSSTDSQKDVLNVLWYAPAAIRDFIAQKRQKIQILREFDGL VKPGEMLLVLGRPGSGVSTLLKTIAGQVHGLYLDEKSELNYQGITWESMHSRFRGEVI YQAETDVLFPQLTVGQTLQFAALARAPRNRLPGISRQTYAQCLRDVVMAVFGISHTIN TKVGNDWIRGVSGGERKRVSIAEVTLNQSSVQCWDNSTRGLDSATALEFTRTLRLSTQ IANTSAIVAIYQASQLAYDEFDKVTVLYEGRQIFFGSKDHAKEYFTDMGYVCPDRQTT ADFLTSLTNPSERKVQPGFEKRVPRTPDEFASAWKRSEARAQLIRDINAFEHDSVIEN DYAEKLKAVRKSQQASFTRNKSPYTLSIPMQIQLCITRGFQRLQGDKLFFIVTVAANF IVSLVLGSIFYNMQPVADSLNSRCILLYFAILFNALSSALEIFSLYTQRPIVEKQARY ASYHPFAEAISSVVCDLPSKVLSTIAFNVPLYFMANLRREAGAFFVYLLFGFTCTLTM SMILRTIGQTSRTVQQALTPAAMFILGLVIYTGFVLPTQTMQGWLRWINYLDPIAYAF ESLVANEFSGRQFPCASFVPMGPSYMTSTASQRACSVAGAMPGADYVDGDLYMNANFG YYKSHIWRNFGILIAYIIFFTAAYILAAEYLSLTPSKGEVLLFRSEKTRANSSRKSPN QDEESGVIQVQGRQPKSTSSSPGHTQVGGHSSVFYWSKVCYDITIKGQPRRILDNVDG WVKPGTLTALMGATGAGKTTLLDVLADRVTMGIVTGDMLVDGMPRSNAFQRQTGYVQQ QDLHLETATVREALRFSAVLRQPASTSTAEKHAYVEEVIGLLEMEEYADAVIGVPGEG LNVEQRKRLTIGVELAAKPELLLFLDEPTSGLDSQTSWSIAMLIRKLSDNGVPILCTI HQPSAMLFQQFDRLLLLAKGGRTVYFGDIGENGKTLTSYFERNGAESCGVTENPAEWM LKVIGAAPGAHTSQDWREVWKQSPESAAVRQELDRLTDAKGVNHRDSAAEQETPSYYA APLHQQLMSVTQRVFEQYWRSPSYIYAKLILCGGTSLFIGVSFYQAELSISGLQHQMF SIFMLLVIFAFLVYQTMPHFILQRQQYEGRERASRVYSWPVFILASILVELPWNTLAS LLVFFPFYYLVGMNKNAIATDAVTERGGLMFFITWSFMMFESTFTAMVVAGVGTAEVG AILALLLFALSLIFCGVIVTLSALPGFWHFMYRVSPFTYIIGAMLATGVANHEVTCSS LEMLQFQPPAGQTCGAYMADYMAMAGGSLYDANATSMCQFCSLADTNVFLSSVNIFYD ERWRNVGLIWAYIAFNVFATFLNYWLARVPKKGNWTRFVPW PFICI_01736 MPVKPGKSNAQVLQVHVKAALAAKPTVISAPQNCPGGLTPEQST KADLRTAEPVPDLYVDRLLANARRPDLLNHDRRFTVKRNGLFLGNFSLTFFSDARLEQ LSKRLGNDKVKQLCSRISSVIRHRVQQHEELSSNSLRLTAGSVFEASTSLPEAKSWIQ SYFDRVHPFYPFLDRRAFESLAFSSNLPQSLVQDKAWSALYHSVLALGCQAMGGGSFE AGKGEAWRLFSVSLGLFPDLITLPDSLTVLQAMAAMTIYSLGISCIAIEHVIISEAAR RAQNLGSSKLTGDEAINYHKVFWVMYSTEKISSFHFGRNSVFNDHDIVCPIPSVPEAV TAGFDWFLACARYARLLSRAMSNLFSVSVLGNPKTYYLATIEQLTRELETWRLSLPPD IRPGERFWQNASKGNLLVAPAIWVACLYNSFRLSLNRATLYLAADAPEVVSKAQQAES TKALMETSRSTLELTTFIDVEPYTPLWIIGGIPLTAHFTLFDLVINNSSHPGTAGNLA LLNIAGGHFSRIEYASDGHLPGSVIAEFTHIAREYVNSTTQSQISTEPLRAARAPGPS TTDPTTINSADAKMINSDLVTNPPTLSVDQALASTTQADPVAMSFGDMLYFPIGEEFI DSSENNLMGIDIMDLFNTHIPGIDPMFFGN PFICI_01737 MKFLQVLGWATLAMALPSPAPLEDSVIAVEHLEKRATVTETIVG ILNNLNATVTTDLAAANSAAVAIQNANSVQAIVSATAILRSNYQAIIQAITDATAAIS DATGGGAGGIAALLVGLTQQEINQLTAAIQQIVALVTAIRASLTVTSTLGAAVNAAAS SEIAALRTILVPFLAPLAVLVQAIATASATASLTVTGLQAAFAGLVTITRQLIAGV PFICI_01738 MIRSRLLQKAAVLVAAAAAAVVSASDNTTWSGSAATPPLFLNNN TQKFAVDGATLPEFSFDIGESYAGLLPISSDPNDENKLWFWFVPSTNPEASKEVIVFF NGGPGCSSQIGMFYENGPFLWQDGTLEPVSNPWAWSKLTNVMWIDQPVNTGYSTGTPT VENQNDVADQFLGFWKNFIDTFSLQGADVYLSGQSYAGRYLSYIGTAMLDANDTTYYN LQGSMFIDTLIADHQIQQDFDVVQFVDKQNEFLHLNDTFLDQIRYASDACGIDEYRST HLVYPGNANASTDLPSIETYDYDGVERACEDITADVLTALTSINPCFDETHISNYCPK LASALGYPTYTVPTFSDDDEEEEYDVIDKRAYDFKQPYLNREDVKLALHAPLAKFWVL CNQKGVSVNGTTISAKQPVKGKLGDLIERSQRTVIVHGALDMQVTVNGTLLALQSMEW GGETGFSTPPSEDFFVPTHSNRAIAATAPKGNSGKVITERGLTFVEVFGAGHQVPRYN PSGSYRQLEYLLGRVDSLTENIPFTTDTA PFICI_01739 MPHVSEFTIGWICALSQELVAAQEFLDEEYESREVGDCPSDNNS YSFGSINGHKIVIAVLPQNQYGHVNAAVVARDMSRSFSNLRFGLMVGVGGGAPSAANQ IRLGDVVVSSIQSTHGAVFQYDFGKTIQEKKFTPTGYLNQPPQVLQIAVASLCAKHTR HGNDIHEAVQNVIARNKRLQKNYSRPDVDTDRLFLSGFVHTADGTCCSVATSQRHPRL VPRDARSLREDDVVIHYGLIASANQVLKDAVIRDRLATEKNVLCFEMEAAGLMNHFPC LVIRGICDYSDTHKNKAWQGYAAMTAAAYAKNLLELMVPQQVVTEKKLGDVLEESLQS CQSLDEVE PFICI_01740 MNTRQKAEERAKQFRQLMKWLAPPDPLYDFDKARKLRRGSTGTW LLEDRMFQSWKEKANSFLWINGKVGSGKTILSSTVIAELRSQESHSPRVLFYFFTFSD VAKQSLESAIKSLIFQAYVQIAQTREPLDLLYRRQMDGAQAATLERLCETFSNMIQLA GEVWIVLDALDESTTLDDHQGQGILPWIKKLHEASLNTHVLVTSRPEPNIRASFSELD PEGHTIYLDDSRVSSDIDDYIDSTINDPGSFRKWDHGPNTSSTSVKACMKDELKKKAG GMFRWVSCQFETLSICSDHEEVRRTLEDLPGNLHDTYSRILKRIDDQSRLRPRFKSKA IRLLQFLIFSERPLLIEEAVDVLATEVGTSGGFNPDDRMKDQEQILEYCSGLVVKVPQ VDPSLWLSEDIRTDHFMLQLAHVSVKEYLTSQQMDNQFLQNFTPGVSQNSIAETCLSY LLAYNHKRSLEIGQHSVSFMERSFPFAEYCRSHWANHQAKAEMESDDVPSCILAANFL SGQTLFELSQSDFWWKLDITARALLFASEKGLFHTIGELLRRPYFSDPAALRFLSDDA LCKAADHGHTKTVSVLLQKVNEMNAPAINLNDSLVKASKRNHWGVTSTLIAYSAGDTR ISNALANALVAVSGDGYVEFAQRLLQHGAKPTERALTAASSSGHIQIVQLLLKHGANV PSEAITAASRSALTAASGRGDIDIMRLLLEHGADIQSEALIAASGRGDIDIMRLVLEH GADVQSEALAAASGFGDIDIVRFLFDYGMAQNSIGLFGNALQAAMNSDRLCVVRLLLE KGAIMEKQSTYESNVLEIACARGHDDIVEMLLEREPRPNESNISYYINNVYSTRNGAA ETKRQFVKACQISRPLDRGEYYRRALRAALSSGHQKSFALIMDRIIDEPKGGELYTDT LRATVRNGPEDVLGKLMEQLLANQRLYDFVLRDARSNKWENVVRELHSAHDREEGRDN DMAGGRVYA PFICI_01741 MRYEDWDVLLFPKDSKVPIKEFKTQCHVVHDSDFAYTHGSYGLP TMTCFIPGLDCGKSFSISLHSWSELQTSNFTKTNFNNHAQLVVFEARIFIDGRLVASA PFKRDTAWPQLISFGFDFNRYGELENLKFPSFRSELLRQDYWSPADEMGRIKIIISEG FPRDSVTIPIERVKNLVAFSFQHAPIDILEASGIAWPNPSMWRRGPMNPTMPVPTEFS ADGCDSHVHSPRRRSTQVSHASRNNASISFLGSMPNTQAFLQNPPYGGRPNAGDPTSL SDIDWASGSLVSSGFATTLTSSLLNQPMPAPCKSNTSSSPPSSRTFSGVFSSRSGSNS DDLGINLASVNPAATLINNNESSGEKVVKRSRHATPASTGAPEEEEPRRSTPRVRIGF GENMAS PFICI_01742 MTSIGTGYDLANSIFSPDGRNFQVEYAVKAVENGGTSIGIRAKD GVVLAVEKVITSKLLKPGSNKRIATVDKHLGVVSSGMIPDGRHFVDRARDEARSWRDT FKTPISTSDLASRMGGYLQAHTLYQSVRPFGITAIIGGFDSELEQPVDGEVGSGPLSG AGGKVEGKHGGPGLYMVEPSGLYWGYYGAAAGKGRQAAKAELEKLDLASGTLDLKEAV KEAARIIYVAQQDNKDKEFELEMTWISNASGPTKGRHEEVPKELKEEAERLAKKALEG DDDEDDKKEDDKMEE PFICI_01743 MFHKILALSLVAAASAHQNFHQFWVNDVTPGYQVGIRMPPSNSP VTDVTSNDIACNVNGSDVPSGVDTVAANEGDSITVNWDISSHPGPITHFLFGPVDDAA QATGIGAGWFKIDERDYVDGEWANEYLSDNGGNYTFSLPTGLQSGDYLLRSEMLALHG AQTVGGAQFYIGCAQLTITGTGSGSCTPTISLPGAYNAEDDDIYIPDVYNGFDPTTYT APGGDVAVCGGSSPATSAASSAAATSVAASATSAAATASSTSVSAAAAAVTSASSSSA AKTSTPVSSAAPATSSAAASGDDEGDDDEDDDSCDADDGEDTPSSSAAAASSTFVTRT IPASSGAATHAATSSSKAAASSQASSGSSSGSVALYGQCGGINYSGSTTCASGTCT PFICI_01744 MGSKRKRGSKGNGSENQPSHKKAKKGEAAAQAASTEAQNLELDK SPFAEKLAAEDRRREQKIYDLLGSYDSAEHIAAADALVTGLLSSDEGALKRHLESRLF RGLASSRNASRIGFSLVLSEILSQLFGEKRLAETKFPGLTFDSVLASLLEKTQPSGNI SGPEERDFHFGQLFGLQCFVESKVLFGSDNIRWATILDLLVKLADRKVWMKSHCAWVI VQSLPQMGQERAGETLKKLVEVGWGKTAEGVGLWIAAASTYPKLKMPSKPWSDPMATK SIPELANVLKENVKQDTGNKEAGASKSMPSSWNSQLHFVWDLILAYFIAQTKTSKGVD TEQFKLFWGTVVDDGLFSKNATEGQKYRGFLIFQRFLGGLASIKDSTLVKEMFSRNLM KCLLNQAAKEDRYLHLAANKSLKTVEDVVAAEPAFLLPVLTQLLGKHGAYDFDLRAKA KTIERLLQASFTEDVDAIFELLQKPVIKIKDGDVAEVEKLRRVYADYLVKICTSDKVH DDDKTEVRGSILETAVKQLTSYAYSKKDTSFSPELSTKTREYFRSRLESAFAKLTRRQ EDYSYLCNAVLAIDASAVQMSEEIEAERKAAVKTLKKLVKASTKAGKGSGSSLGLALL YAITILQLYNGDGDALNTLEDLKQCSEKMDEADNDASALLVEILLSLVSRPSPMMRQI TQQVFESYTSQLTEDALGRLTDPLMAEENIKGQQALFDAEDEEMLDVAEGSDGSDNEE DDVEEDEISEIGSDVEFVTLNGAAPEDEEESDEEAEDGDAQAYADLDAKLEEILGSHR LDKDKDADSDDESDLSDSEMMEFDQKLAEVFKQRVQKPNKKKENQDAKETMVIFKHRI LDFLDIYVKKEAQNPLAFGLLLPLLQLIRTTSTKEIGNKAAKVIGDLSDAVKKQKTKT GGSAELLQQVLQEASKDSSHAYARAVSASSLLLASSINDVEKVMDVYYASLKDWALRG ATVQTSLFSDWLNWLQAHRKTA PFICI_01745 MDVSSSPLAAMHPAPAVSWGQTGDIFRPHAQLHTSKAFGSGTFN IREQLQRTNPDYFNLKSVRGSSPTASLAADLSQNFRIDNESSPRFPTPRRALFTSNMM GNMDGRDYVTTPPLPASSSPVPLDVNDIMDISPLLPHKEPYSIQITSPTPGELPEDDD DEMMLESPVAIARNQLHPSDSRKRLALRRPSLTRTKGYSTNALANRLHPDAQLAAFRF NSEANLPLGECFQESPPQKRPSSANSPSSSGPGSFRQKPQFASLTGTRELRNSSPSSH PRRPSNPFQRPRRQYRRSLSMFENPVDVVKPKTNDPIPQSALQSVMDIEDVQDPMLPH FHPEGENETIPRISQGTFLDVLDGKYEDQYTQRIVIDCRFEYEYEGGHIDGAINYNDK ELLTRHLFETPMEGKVLLVLHCEYSAHRAPMMARHIRSEDRAINAEFYPRLSYPDVYI LDGGYSEFFTQHRCRCYPQSYVEMNDEEHIATCEREMGKLQQKRKGLGRAKTFAFGAR ESMVEASPTAPSRNSLQDSPQMMIGNSPILGADRSSARRMASY PFICI_01746 MRLMAMHIWWLAAFVIQCVFAQDLIASLDYGTFQGAYSAQYNIS YWQKIPFAAPPIGENRFRGPQPPLRIENGTYNSTTTFDMCPQRTVNGSEDCLYLGLYG RPWTQGQPLRPVVVVFYGGAFIQGSASFTLPPSAYPVLNVSESSDMMFVYPNYRVNAF GFLPGREVAEDPHSDVNAGLLDQEAAIRWTRRYIGQFGGDLEEISIWGQSAGGGSVLA QTIARQELSDDATGRGEARGKLFKRALASSPFWPKTYSNDAPEAQSRYDQLANLTGCA DASDTLQCLKTIDVAVIRNASYAMVSGNLYGPTSYPWGPIIDGSFLTRSLSEITNSAG NLNAELVYSMYNTHEGENFVSSAVDYDAWITGFLPLFSTADLARLNALYPAAGSAESI TAYNDSYTRAGLVYRDSVLACPAYWTAGAAQDGGWLGEYTISPAKHASDVSYWNTVNS IQQTDPLHYKGFAGAFASFFMTGDPNALKLTAANVTGVPALQTGQEWVIDSDGFTTSN LTQFVARCNFWREMATKLPV PFICI_01747 MADDSAGASQTGGASSGNQTTDSPRRTLDDVMRSPSINPPGVVV LDSLHAEKSRKNALNPREDLWLSSADGRYNSPIIPLEVGTYPDAQTFYVHKNVLTKTD YFKKALCGSFKEAATQSINLPEEDPAIFHFIVAFLYEDKYVPIKPIASALVPDEKGKG LSGDDELMTSDSDSSGAGDDAASDSSSARSRRRRARRRRREDRHWEINRQKHPGSHRI GCGCRQCLAGGGPPCWHCLAPRIPPPPPPVMPIHPVGAPNVVVVNDGRRVRNNNNRTR DRSRIASPQPPNHRSNSGGSSSSSSSGDRIQGEDLRSWLLTYELNLDVYVCANKFLLE DFKQAIARCCIDMLESAGADAAQTKVLELCAKLFAGLPESDPLLKMVFARVGFLQPLL WRHCPEETSAFLLAHPEISLLILKETANRRELDHGISQLPPMERPFLPPPFDSPYARP IAPMHHRARW PFICI_01748 MEQRQGILHQTTIQAAKAPRNTYHTTSVAGHKRASSEAVPQRTA PGQAAQRTDFHRALSLGRNVPKLIASAITLTDHGQQQLSEISEYSQRRVISATPTSTV DRSLLLSFSRYGLPAALVSNFAALGIKEIYPWQKHCLMGPGLLDGSKNLVYTAPTGGG KSLVADVLMLKRVLEDQNAKALLILPYVALVQEKVRWLRKVVQNIHRKPPGADKETKE PGLWRRRADEDTVRIVGFFGGGKIRATWADFDIAVCTFEKANTLINTAIDDCSISKLR AVVLDELHMVDDDHRGYLLELTATKLLSLGHDVQIIGMSATLPNVVVLAEWLNAHSYQ TMYRPVPINEHLVFESNIYAASSTSSMLKAATQLKADSKLTQTSSSPIRIIQPSQHKE LQDTVLNSVVALTDETVRAGFGVLIFCSSRVGCETDAKIVARVLPAPEEIGDRAAEKR ADLLADLRSLPSGLDPVLAETVPAGVAFHHAGLTTEERDLIADAYDCGTLKVIVATCS LAAGINLPARRVILHNARMGRDLVGPSMLRQMRGRAGRKGKDEVGETFLCCRKNDLED VVELMHADLPQISSGLLTDKHRIQRAILEAIAIKLATSSVSIDDYMQKTLLSLTAAPT AIQEHVQSSLADLTDMGFIVPDQSGGFEATQLGRAVVASALEPEDGAFVHRELQRALR AFVMDGEMHILYTFTPVQDFSMTVNWQVFRNEMDTLDESGMRVLTFLGLKPTVINRMA QGGKMKENTPEERELSRIYSRFYLALQLRDLCNESPVHAVARKYDVPRGSVQTLAQTC QGFAAGMVKFCDGMGWGMMAAALDHVADRLKAGAKADLLELARITFVKSRTARVFYDN GFKSVASIANADPMELVPILMQAQPTKVRLQSKDEVKYEEKLLAKAKVIADSANRLWQ LQMQQEISEE PFICI_01749 MAPRSHIASGTDDLPDPASSSAKNTPDAGTPKLDSSTTNTNTAQ PTAQDTNENATPSDSMTKNSMAASDPSNKDAMSGPSPYGTRSRNRGQPRPNYAEDKDV DTEMFDVYPEKKEQESKKQSRQAANGSADAPRAGGPNGRKNAAAAAAAATDEHKAANP QVETEDSKSGVSVTTSTAATPTANTPATATITSKKRKAAAQATANGTSAHSTPANVQS SATRRTTATIVTGTGFKESNMLSFEQHGAKPKDGKLIADDGTTIEKNDHVYLVCEPPG EPYYLGRIMEFLHMQNDASKPVDALRVNWYYRPKDIGKRVNDTRVVFATMHSDISPLT ALRGKCEIRHKAEIPNMEEYRKTSDCFWFEKLYDRYIQKHYDVIPCAQIVNVPTKVKK VLDERWKYILVEQGRSKEFTSAVKSCKRCSGYCANNDSVDCAVCKQTYHMNCVRPPLL KKPSRGFAWACAACSRAQERKLEARHTPSLADSHPEAEEEELWDEEDDDHGADTNRTS PADGIDDTHQPATAEQIYQASLWPWRYLGQHCKPEDALDYDDRIYPRAGSRLGPKHQA IVSPWPGRPVELVKPLEIKKSGRNNKPSKELQAAIEAEKLARETRPKWVQDEPPGYVA RGEDFDNDDPRCTAQLLWKPAEAAGADITDPEIDAYMKKALALAPSLNVPVHSTNLMD VARDMLFKSDFKPNAALKLIPNVERADFKEPNLTPAEQKKFEEAVSKFGSELYPVRKY VKSLDYRTIVRYYYTWKKTPKGVQIWGSFSGRKGKKELKKAEATANKLQDDVADDHDD SAFDTDKALERKRNFICKFCNTKACRQWRRAPNVSAALITEGSGKNKEKGAQYIVALC RRCAELWRRYAIQWEDVDELAKKITSTSGRAWRRKVDEELLKELHAANEMMSATIYTS PSPEVQNAVAAPEPVATEPPPRKKLKTLADKEAEAQASDTGSGSGVAVPKKKEKVAEK PAPPPPAPEVPKPRVLPCAICGQMGLNGEQQLLSCKECRLSVHRKCYGVVDNRNPGKW TCDMCLNDKNPQLSLEYRCVLCPVEYTEHDFVEPSKNTLKKKTSEKDKEKERQEREAA QKAADHFRKKQEEMNRPVNPREPLKRTADNNWVHVTCAIWTAEVKFGSAKALSPSEGI PSIPRARYDEVCKACKKQGGACVACHHCKTPIHVECAHQNGYLLGFEVTPVKGSRRDQ FNIVTINGETGTMSAAIWCKEHIPAKTMVHRMYDIVEQPEGQSTGPVASNALQFYVQN FKQADLTLTGTVRKANLIAMATKTPTAALPSGPNRRSSTTTGLTNGTGAQRSASIDNS NDGSISLAQVGDKICITCGIDVSPKWWPIAKDQEKALINGHSGVMRSEAQKFVQQRNV QCHKCRKAGKKPEARVVPPPPAEEISRPEPIRVAPVITPLRTPAPAVTEPRPAPQPFS WAPPSQTPIVSAAPPPVAPPPIQAPLTGPPPLPLNPPHAVLGGPSPIAAPGPPSATLS YPQAGPPYGDWHRNTTQRSPTLHQHNSGGPANPMHLNHLRDLRPPPIAPMAHHHQGPP PLRPGSMGQPLMNGLPPSPRRDTHLSNGTGPYHPYHHQTHHSLHNLTNGGPPPRATEH SFSQGLLTQRPPFPTSHGSPPIARGGIPLSRESSLSNNPPPRPSEPRPASGASASPSL RNLLS PFICI_01750 MTLDDAKFLALAFEEAKAGYEEGGIPIGAVLVSKDGKVLGRGRN KRVQEGNNILHGETSALQNAGRLAPEAYKGATMYTTLSPCDMCTGACLLFGIPRVVVG ENKSWLGGEAYLKSRGVEVVVMDDPQCLELMQKFMTEKPDVWNEDVGGNLEGVGH PFICI_01751 MSSSNEHANEDSTLQAISTGNGFQDCHYCNCKIEADHSVQVYEN VIRSNPAMYNPMDWFRAKILRVVVQVIIHNLHRNQRMQMIKPKVKLDTLTHPLEGRLQ TAVWIDCRTDSASTISPSERLRRFPRALEDVQKSVDADMKKLRTYYRPSGLQWVLCFQ DEEPEPSSWWPWPTDDYRMHFIIDRIRQTTNLDIKFPLLIDWPRSDAAEA PFICI_01752 MAAPELNGTGPLKILDGDLDPRCVCECKLYADASVEAYQRMLDN ATDHKVMEESSMKKLRVMTQAIIHVLHARHDFEKLKAKACFGIKEPESGTVVTSRVVA VYVDFPPSAGTDVEERFEGVEDSELERDGFFSNLHGILTRNTIKALADLGNDYLYREC YFSVRVPSKSIFLGNISRARNGGFKIDEISTETDRVIDMEIMTNWTHPQ PFICI_01753 MYDFTSPSYDYVDCIFSWRDKTDCDCGVLTRMRHMKVLNRYKSG RCEMPDVMSLKLEIFLTAVTHLLSHDDFIQQYDPEIHLIAGSKKAGTKKQIHYLCLDF GAKILEFPCPPYNVSFETNLKNHANSLQFALFEVAKCANRYGGGKVVPRLAWIMQGVG KDGPYCYTFHPRQQGGGFLELALFGGVSLKIDIN PFICI_01754 MSAPAPATADQPSMIGPMRPPEGSESLSFPPLEEAPMEDEASVP SLPPGSPYSANRALLRDLTLPTVPNMDIPPSPPGSPPPGANKKFEHFLKLKKDGVHFN SKIAQSSALKNPSLMDKLMGFAEVSQKDQYRTTLGTDLWDPSIFPKSAYKEQLRQSQT EIGQARARSKGGPVQFVSASATSSRDTTPGNGNVPTASTGKRKTRFDS PFICI_01755 MASDQEREHALQNLRAKLIESREWEAKLKGLRQEIKGLVKDFDQ TEENIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKAKMKQGTRVAL DMTTLTIMRMLPREVDPLVYNMSLEDPGQVSFAGIGGLNDQIRELREVIELPLKNPEL FLRVGIKPPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARLIR EMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTK IIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHSQSVVTDGEIDFESVV KMSDGLNGADLRNIVTEAGLFAIKDYRDAINQDDFNKAVRKLAEAKKLEGKLEYKQL PFICI_01756 MCGIFGYINYLVEKDRKFILDTLVNGLSRLEYRGYDSAGLAVDG DKKNTVFAFKEVGKVAKLKALIEEEKPDLTKIFDSHAGIAHTRWATHGPPSRLNCHPH RSDANWEFSVVHNGIITNYKELKTLLESKGLKFETETDTECIAKLAKYIYDQHPTLGF VDLTKTVINELEGAYGLLIKSVHYPHEVVAARKGSPLVIGVKTQKRMKVDFVDVEYSE EGALPAEAASQNAALKKTSDNFLTPNAAMLTAPDKSLLHRSQSRAFMTDDGMPMPAEF FLSSDPAAIIEHTKKVMYLEDDDIAHIHEGSLNIHRLKKADGSSNVRTIQTLELELQE IMKGKFDHFMQKEIFEQPESVVNTMRGRLDLENKTVTLGGLRSYIATIRRCRRIIFIA CGTSYHSCMAVRGIFEELAEIPISVELASDFLDRQAPVFRDDTCVFVSQSGETADSLM ALRYCLERGALTVGIVNVVGSSISLLTHCGVHVNAGPEIGVASTKAYTSQFIAMVMFA LSLSEDRASKAQRRLEIMEGLGKISGQIKDILALDQPIKELCAQTFKNQKSLLLLGRG SQFSTALEGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDDLFKKSLN AYQQVTARGGKPIVICNPSDEEFQANQAEKIEVPKTVDCLQGLLNVIPLQLIAYWLAV MEGLNVDFPRNLAKSVTVE PFICI_01757 MDHRPQFWGRPRDDVYGSYDASYMRPNGPSTHTQSPIVTGTSVV ALKFKDGVVIAADNLASYGSLARFNDVKRLRTFADSTVVGFGGDVSDMQYLDRHLTEL AIDENYHSDASHTLNARNLHKYLAKLLYHRRSKFDPLWNHLLVAGLDEEGKPFLAATD LLGTTFTSPSLATGYGEMLAQPVMRKYAPDEESAAKLTREEAVKVVQECMKVLFYRDA RSLDTYSIAVVTKDGVDLKEDAKLENQSWAFADRIKGYGTQTV PFICI_01758 MAYRMSLDEPARPRMSLDEPIRRRGSPALETVPTRALGALSLRF GRTISTASSESRASSSDASSYRYSLDNDSYSRQSSASYTSAPSVTDRKSKRYSSASFF GVGKSKRRGYSRPDGTDFAESAKHRDSVLSLGSITHLQHYFARTGLLDGKGGQLARKR NPRATLDFSQVDMPALLSPIVSGYDFDSPYASPMSTSPDFSSIHGYFAQSPVTDSAVF YSDDEEEDEADANMLPPTTSTYKHREKHIPKPPTNAELKAELNSALDTAAKSLRESAA ATASSRASIVITSPDKTAAHGQGWYELQGMQILDVMTLAIRAAKVYYTTHDHPERLDT IKSEKAVRSELWKVMEVLKNMATRNFIGGMHDNEHDTMVSWIGSIRSMLKQEEELEAA DRAERAGWTWLTGNWTGREIEREIVFLTAMMPEDEVLPSYTPITDSIELPTPFLQSMQ NGLRLVQLHNAAVRKSKRRFGAIGNFHTDTQKPYRCADNLRYWIKAAELRWEIVLKVD VMGIVYNRDRQVWLDFEQAIWTWCRKVREEIASELAKEG PFICI_01759 MTGPAVIVDPATEAQQQPPIISSPTAPALQVPADQHHHVHHPDH PDPPSILTSLTLSDVPGDLAQLGPLDPPHLQLTDTSLDNDSAALPPVRSTSRHQPRKP SPGLAARLKALGFGGTPRQSSAPSTYSHERIGRLPEDQLRSIDEKHQANSVADLIERR GRAWKGAGAPLQQLLRSKSSRSSLRSLGRVEEDTLEPERKPITKLELPEIPSTPPLEM DTNKYILPDHTNGNGTKALSDTRREHIERDVPAQDQEDDLITTPPPPPPPPKDTPPGG TAPSEFNPDELSYFNPLGLQRTGSIYTISRLSFANQLAQLTSLQLPDAETLPGKISAI PTAQAAAKALINAAEQIRMWIYKATEVIGGLDSDGDVEWAAAGGREGYEEVENLVTRF EELINVYVGAIEELQGRGDIGNVSSNDLTRAVSQMESILGEWAKIRSTLKVAKGQIEI AMEWEELWNTVLGEIQNEMDDLCRLVFEMEERRHKSLMAVANGDGVDIGDLETIVEET PSVAARNNRMSLPVIPNSPASPAGAGPSLNQDDSSLLALFARMQPLKASLDFLPMRLS VFEARAEGVFPSACEELSERKIALEESYKKLEKDAESVRKELGEDKWVLVFRGAGRQA QKMYESVERSVAKLREAVDAGMHLTNPAAMIKKIESYEAKKVHYGPAIERVLSIIEKG VKDRLTVNGEILRLHADMQLKWQDIKHQIQDLDAALEEVQADKRGQTLRDSISSMLSN DRSTIASGHDTPGSSPPSSVIMSNLGLEPVTPAAKKTRAVSTGSHLPQPSSARRSLAA PNQIPRKPIGSRLSTISTPSQKSTPPRSASATPLGYRMPRTSSNLIDNRPRWNGSVNP QHSDVGHNFKPLSMTTPSPYAKTGSTPNRSTSSLAAHGSQSSKLPVLRSPLSRAGTVS PMPEDTPSRLSTSRLSFRDRISSPGPYAQQTLAQPRLTSKNSMSSLTNNRRASLQPPQ MQGYDAARRPASSMAASRRTSMLPQPKARAETPTGRHSPHALAGARSAVRKYSSSSSA AREDSKDNKPRWRF PFICI_01760 MTRNNLRENLSWLLANLALSTPNAPELPAARDISGDLSSTPDAA TQFAVPPQPKTRHIGSFSSSSSTLVPSERQTSRTPATHVSTTKSRYSQEDLDEEGIGQ PGANMGRLVTNSARKRRSLILQQEQTQEQLLTPASATGSGNLQRAYSASLKTPTVRTP APQRPAAKRSPAPKLRTPNLFDDDFCDTVDLTGSDDFTSSDSNGFAFGDDVQLWTEDH ASRPEPVAEDRGKKRKSNEISRRVGSSAELYDDEFPDIDDLVGDTSVESVIRVTPKTK KRDAAKSERSPDLMELDFLGSQHASQVTIRESRPKANAAKEITNRKQSPDETSNRRAT TEVTSRAVRHTPSPVKKFSTLKEELADGSNSQPSGARRIRRNSQVIQDSDEEWATPPT HNASIITIQSTNSRGKSRGSQEDFSRGCERETSPAIIAFDTPSKARHANVKVPQPVMS PVKKPGQSTAAASLDESADNQPVAETNPSPLAQAASLPEDGLQTALLKLFLSKPSILQ RHRSLMEERLKNNRIEFRNALTSADFKKTDELKKDKERLTRQHAALNALSDEHQSYED LVLEKDMHIERMMDAYEQMEDTTSMNGKMDDLVKAIQSQESSMIASLLRAGINDVAMF EDHEPTRNGRHDSIVHATQPIRNQNEPSLSRESTLVPGGHGQVILQTQVTQRSEVPGS SYVAENPSRTRPTAKHQQRDTSAGSRSTTARTYQEPTTVRTPAWPNTIPSMDEDLYDL EDEAELFDDDPAYEAPTKQRPVTNASGSRGRNPTSRTPSQRVETFMSEDEFDDDMDML ELAQDFELKQSSSEASRKNGRSVFSETSGNAAPAKQKTVKRVASTSTKASFPPELMKF AWSPEVKRALKDRFRMAGFRHNQLEAINTTLAGKDAFVLMPTGGGKSLCYQLPAVVKS GRTHGITIVVSPLLSLMQDQVDHLTYLNIQARSFNGECSAAHKKIVLDTVKDRNGDQY LDLLYVTPEMINKSAAFRDALKILHRNRKLARLVIDEAHCVSQWGHDFRPDYKELGTF RLEFPNVPVMALTATATPNVIVDIKHNLGIDQCQVFSQSFNRPNLYYEVRRKEKGTVN LIAELINGKYAGKTGIVYTLSRKNAESTAKKLQAQEIAAHHYHAGMEPVQKSQIQKDW QSGKIKVVVATIAFGMGIDKPDVRFVIHQTLPKSLEGYYQETGRAGRDGKPSECYLYY SYGDVTQLRKMIAEGDGHEEQKARQRNMLSTVTAFAENQSDCRRVEILRYFGETFDKS DCQSTCDNCRTKGVFELKDYTELATKVLQVIDCQEKLTLNQCTEILLGLQRKRNTELM KEETMKYFGVAHGTPKHELHRVIDRLAAQEALLENNVINKKIDMAFQYFILGPKAYSF LRQKQKLMLTVQVKSGDSQANAPRTKKKASGAGKQTKLSTSMLPPSTNISSPMTKSSR QKGKGKATLVYSSSEGEEEAYEMHDNGYAKDNFVVDDDSFDDDDFEVMPTPRSRRRRA LAGAPIAEDPGLVNINVVHRNIISLFEQEGANLAADLQNQHSFRKPIFTRQQLRIMAT KWTLNLDEMAEIPGIDRDKVKSFGKKFLSMLKDYHGQYVELYRDRASAKSSQSKSRKV SGHSVVDLVSTDEEDNDDDDDEMEDAEDDGEDSHYFDKSASQLPPHVQRWNAEMDEME KKSAAASSRSRSASSSRGGAGRFAKGGRKPYRRSFGSQKARPGAGVKKKTASKRTSTG SARSASSATGSTSRGGRVGARGGSRSGTQQTLYIEAMPH PFICI_01761 MKNHLLEDVRPSIFAEFELILLTLFTGIQDAISFPDYHCFASNQ TGNTVFLMLAIVFPEFDGDMFITANIGAALGFFVLGGWSTGQVSHLVGPRRRWWLVLC NLLQSLLVFAAAAIQLEYGTHKVGPAAVTAVALLAFASGSQVVQSRSLAMTEISTAMA TAAWVDLLIDPHMFTRENRARNRRVCFLLALIVGALIGAGIYKTSGSAPAIFLSAAGK LLVTLMYLFNGAERPKVVDVEDGSV PFICI_01762 MKLSYIWTAPEVNPINRKARSIPFLNPLNVYGRVFFFSWFGFMV AFWAWYTFPPLLSHTIKSNLGLSPAQVANSNIVSLCATLLIRFIAGSLCDQFGPRWVF AGLLLIGSIPLGLAPLVHDATGLYVSRFFMGILGGAFVPCMVWSTGFFDKNVVGTANA LTGGWGNAGGGITYFIMPAVFDSLKGRGYTDGQAWRITFIVPLIIVIATALGMIVFCP DTPTGKWSERHLHANVNLNAHGVETPTDGARTPTGGVVDVPGVVTDKDDANGYPVSEE KDSKAVPKHGSNWDHEAAMTKEEMRVTAKGEVVAKPSFAEGMRVLCCLQTAFHVATYF CSFGGELAINSILGAYYLKNFPSLGQTKASNWAAMFGFLNFVTRPLGGMVSDLIFRAT GHSLWGKKIWIMVCGCLTGILLIVIGRVDPHDQSTMFGLIALMAVFLEAGNGANFSLV PHVHPHANGFVSGTTGAGGNLGGVVFAIIFRFMENGTDYARAFWIIGIMMIALNLVFS WVKPLPAGQIGGR PFICI_01763 MRYTISAAIVAFAASAVAQTSGFDALSTPAKDENVSAGSTYTVV WDASSYTDETVTLSLLGGADSTTLQDLGSFATGVDNGAGSYSWAVDSSLGDAATYGIK ITLESDTSIFQYSFPFHIVATTSDDSTTTVATTAAATTSAGGYPTTVISSATTEATTE ATTYAAEPTTYVAEPTTTSTSTYAEATTIIANSSSTAVTTASGAASSTLSSVTKTSAT STSASNATSTPIISGAGRSLAGSLALFGSLALAAVFAL PFICI_01764 MSASPSHTTNPAKRPLEDASSPSAKNDQPEAKRPALDKVIKNGH EEEEKSDVEERPVTSPTEAEAPLKPEDTNGEKAEAESKDDLGEVAASDANDLKAPGGL TAASTTAASIPPSTNTHDETAWIHIRAVISSPEAATIIGKGGENVSNIRKQSGAKCTV SDYQKGAVERILTVSGVVDAVAKAFGLIIRTLNNEPLTESSTAQSKTYPLRLLIPHVL IGSIIGKGGVRIREIQEASGARLNASDSCLPLSSERSLVVMGVADAVHIATYYVGSTL LDQLNERFGGPAASAYATRSGGPAGVVPGGMQVVPYCPQPAGGNFGQRENYGRRPDPR SHHYLPPNPYPQQQPPYHGAVPQPAASAPLHYAPQAAGGYGAGPHMPPHQGGHVAGPQ AHGGPQGQQPMHGGGMAGAPMTQQIYIPNDMVGAIIGKGGQKINEIRQISGSVIKINE PQDNSNERLVTITGTEECNRMALYMLYSRLGE PFICI_01765 MDFTQEDGLDALHARRVEQSLAELQATVREHELALSKLRASASN GPNHGNRPEAPLQMVKAAYDELAAQTAFLPFPESVLPALIALRKTHQTVEDTKAYLEF HGEEVEKAKKRLQAEQSALRDQQVLSQSLHNRIRSLRDGAESRMDMGPDDIAQERITE LKQRKKKYTRETSTLLKALKKFIDEHLAASLAAEDLGGPVVGDMMDLDDEDLAAGFSS QGRLKKPKANQDQDKRQRRIDEIWGQSQEPVAQSEAEDRKEAAAAGAEMRDLTEALLN KLVESEGDSSAAYIILHKETAAARFLVRSKVAQFHPRDSTKLRLIDFGRELDD PFICI_01766 MHRSPRISAAAALAGRQLGVVPPTTPLRQKPFYASPSRLSQRGG QQQFFPHYHHRQTCSSSPTPTRLAIDISGAQSCLVLLPFTSFHRAMSTVPSATSPPTS PPAKRHKPSNSIAEAVATTTTTDSTDNTTTSNTMADTTTTSSNGTTAATATTLDQAPP LLIKKLSETAKLPLRGNEFAAGYDIYASQDTVIPKRGKGLVETDLSIAVPAGTYGRIA PRSGLAVKNFIDTGAGVIDADYRGPVKVLLFNHSDEDFEVKVGHRIAQLIIERIYHPE ILEVQELSETVRGAGGFGSTGMF PFICI_01767 MSAEPPVPETSVLAVASHVVSGHVGNKIAVFVLQSMGCDVSALN TVQFSNHTGYRQWKGTRVSAQEITDLWEGLKQSYLDQFDMMLSGYVPGAAAVEAVGNI AKELKEKSRTKPGSFFWVLDPVMGDNGKLYVADDVVPAYRGLVQHADLILPNQFEAEQ LSEVKITDSASLRQAIEVLHTKFNIPHIVITSVSLPLSDSQTTSPNSRTMSVVGSTKT STGKPRLFKIHFPVFECYFSGTGDMFAALMVVRMREVVHNAGGALSSTTSWLSGDEVA PLDLPLARAAEKVLASMHEVLSRTCESMATETAQAGIVNGLPAGGATLSSEEEEKKRH LLRSRAAELRLVRNLSCLRSPNIEYRAEKM PFICI_01768 MLEARLEKANDLKKVVDAIKDLVQDCNFDCNDSGLALQAMDNSH VALVSMMYKAESFSPYRCDRNIALGVNLVSLTKVLRAAQNEDVLTLKADDAPDSLNLV FESSNNDRISEYDLKLMDIDQEHLGIPETEYAATISMPSAEFKRICTDLMAMSESVTI EASKDGVKFSANGDIGNGSVTLRSNQDVEKEENNIEIELTEPVALTFSLKYLVNFCKA QPLSNRVKVCLSNEVPLLVEYGLAGTSYLRFYLAPKIGDEE PFICI_01769 MENHFEPGGPHDSLERDLYEGEGTGQETESDRSSQDGRRRSVGT PPSSPPGSPRPNFAPRGPVTPRLGFKGKIPRGLALNVGLAEGVSKIAENIRLKELQGA YKNAINSVAHAKHARGFIEELSFLIIASSLLNEHPAFGPASAAPLPTEALDRLDGQEL VITSWTPNGALAAGALGFSIAGLMRWFVVGGSFILSARRLVVVTIALGLLAWALRTHM RQQQAKNTYEIALSELKRFLRSSSEYDSMASSALSFIFEVELVARGYRLSLPLPPLSR LENNDNGQALKSQKLRHSLNQCFQDVIEVYYQTAQEIRDFASQKQLKEYDEAFKCDKE KVHEYMVHFVNLSTEDAQKTAQLRETLQLSRDARKMFLITLMSLEKTGSRTELVQYTT ALQGIQRCLQVTQKAYSNLRAALVPDREVRDNRTSTSPTSPRHLKWKHQIDKVGGMNM SIRTIQAKMYSLLEESKKTLDTADDVSELGQMFMDRYESIGKDIEDLMDAWQSGKSSL AKSINKNERRVSSMSSLLSPGLDKSMEAVLEETGEAESEGGVASAWDQLTGGEAPPEN MSPQSPEPQPLVMETFEAIATPRPRSLMTRAERIQRSHEERKIREAERAAAMQRGHVM GELRDVLKSRGLHTVVDTNQGGDSSPIIAPGPLPSPKSVGPRLSKRISLPGPGERLFL PFICI_01770 MATEREFQVSPIVPESVMHNTRALSNVQSLTASIFGVAAGILGL ESYNGFLFYLIFSVLTTLLFYTVRVAPASLKSGLGPLDTSRYFRTQYEFWSGGVFGGL AGYILTWTLFYGLVRA PFICI_01771 MASVITFDPNPPRVSSPWLLPEDPEKKHGGAERSGSASNDGDSD QNQDTVDRLDAEPQDGPIEYKLHLLLRPRRRYDVMSTTTRVVGSQQSRPRPVVSLKSG SSTPTTSTQTRQNRLSQLTTQLLWRLQQSAPYHAKARRQLVVPKLPEDTDNVDLSILE NPQKLLPGLEESNGALYEIGVSDDGTFVGLTKDEMDESMSTLKIMAASLGCRVEVQRM KMVGNCQWTEISHADTVSTMDSREADLWVAEALIMPVLEPQKESNGDGTSSQVQSDPL NAVNVSRTEQLRVSLTGPTTSGKTTLLGTLANGTLDNGRGSSRINLLKHRHEVVSGQT SSVAQELIGYKDGKIYNYAGTNIESWTDIHDYAENGRLVFFSDSAGHLRYRRTILRGL VGWAPHWTFLCITANGNEGTGRGSHSLSGTTDDLGDGGLDLAIAHLDLCLQLEIPLVI LITKYDTTTKDKLKSTLNVILTKIKAKGRAPKLIIPPRPDGGDLLEVPETSQLKIEQD VIKSIGESNNHLSIIPLVLTSAVTGLGIGHIHALLNSLPMPPAPTARDFVPQVLNPEQ PAALFHIDDKYELSNHTSDRSAIVIAGYLRFGTLRIGDKVLLGPFPADDEDARNHVPR DHPSPGDGLSISHPSFSELARFASKNAVSASNVKGEWRNATIVNIRNLRLPVRTMEAG QAGTVQIVFDDPVEEPSDSDSLFERTKPSTGGTIRKGQILAIPSQHMLDTGLSLQAAS GLRAVFTDEGVTELSVGNLVNIYVATVRAAARILKVIRHLPENGARKAHSEEHDDVFS MADSIELDRSLGESDSAPTKNEYAVTLELLTNREWIELGSRVVLLEGGKQGSSGLEGF VGNVIEIAE PFICI_01772 MGKFSFGSKKSESNDDGNRQALFGNKKVPVASENPYAQQGQNDP YMGNQQPMTGAQASYKQYTQASYTGTLPGGPRPGPPGGAPGLPSGPRGGAAPPSRSAS NETAPPPYSGGGAQQGYGSDRYGASSGYGSSRYNDGGYGTDKKRPGGYGGLGPADDDA ARDNLFGGARERAEQKQQSTGAYGSSAGASGAGDDSGYGGYGAQRELTAEEQEEEEVR DIRRQIKETKLASANSAENSERIASQAVETALGTYARLGAQHERLNYTEGLLDKAGMS TREAEGQTKKLKHLNRSMFAVHVNNPFTAGKKTREMETQILEQHRADRDLREATRKAG WQANDHMERGFKEIEATRSTAKWQRASGAEKSRYQFEDDSEDDEAEDRIDAAMERTAG HVGTLNSVARLMQKEIDSQDELIGRLGEKSDSVNDRAKLNTERLKRIH PFICI_01773 MRPSCRLLAQASSAGSTNAGLRPALALLPPIPLYRRLFRAHRKH LPAEMRLLGDEYIKAEFRSHRETENPAHIIGFLTEWQLYAQKIEGDAWQGEKIDPAKI EKMSDQQMGQLYELMQAIRKHSADEGEGEA PFICI_01774 MERPAKRRKGDKQAHNPDLIMIGGKLVDLGFSKVKKVEATEKTK STEQPTAAAQQKDAAPATESAANPKRQPKNSQARVGGGKNFKARSEADTPLLKARKQL PIWAHKDQITSSVRDNDVLLIVGETGSGKSTQVPQFLCHERWCQRKKVQVPDKTDAVN VGGVIAITQPRRVAATTLASRVAKEMGTPLGSTAGATREGSVGYSVRFDHNVPKGTKI KFLTEGMLLQELLRDPNLRQYSAVIVDEIHERSVDVDLISGFLKQIVTGDKAGRGGLP LKVVVMSATADVERISEFFSPAAEATTEKRSSVKVLHIKGRQYPVEVKHTPSPVPDLR EGLFNQIRTIHEQEPLPGDILAFLTGQEDIEALQRRLEEYGAGLASDVPKILACPLYG QLSVQAQQAAFQPAPTKFTRKVILATNIAETSVTVPGVRHVIDCGKAKVKQFRSRLGL ESLLAKPISKSSALQRTGRAGREGPGKCFRLYTAETYEALKDADLPEILRNDVLGAVL TMKARGINDVFSFPLMDFPDVESVEKALIHLHFLGAIADDGAITDIGRKIALFPVTAP YGRVLLAAAEPQFDCLLEVIDIIACITSGESIFHQMNSEEQDEETEEARKALYRREGD ILTYLTAMQQYTAEHADRVDWCKKRKINVRNMRQALNIRNQLRSQCLKDKLLKEKPEA DPVPFVPVSPERAEALIQCFLRGFVTKCALLAPDGSYVTTQGKHIVAIHPSSVLHGQK KEAIMFLEHVFTQKNYAKKVSAIQADWIIEALGGNS PFICI_01775 MSFAFSFSGDDIDEAQVQADASIPSQSAETTAAAVAAAPSTSSS AFPVAGKPLLPAVRHDLKHMLSALPSKVAFSTLDVQLDGGDVIKLPRRELWDVRLQLM AEEEDGGEAEPGLGSHDVKTGVYEGGFKSWESSVDLVKVLEKSSQSLWTSQDEVPCVI ELGCGTALPSLALFQWAISARRATGPRPLSIILADYNSTVLQLVTLPNFVLSWALQHA ADSPLLMAAFEAVEGELELTPEVLQAFEEFLAAQQISLQFLSGGWSDEFVEIVKAAQA LPNVANQKQRMVVLGAETIYSPFALQAFTETIFALMRHSQSTGSTAEVFAAAKRLYFG VGGSLDDFILKSRELGATVEQLREETEGVRRGVVKCYLKA PFICI_01776 MTKQRPGRLSTPSLATNGNQVNNSSTAPPYSVEEKPLGATRHVR IIGIGAGASGINMVKTLRESLTDYEFIIYEKNDDVGGTWHENRYPGCRCDIPSHNYQF SWRPNLEWSNFHAPAEEIRQYLCRICEEENMLDSIKLRHQVTGAWWNEARGLWDVNVQ DLSSGEEFHDQAHFIVDGSGILNNWKWPDVPDLHTFQGDLIHTARWPEGFEYAGKTVA VIGNGATGVQVVPSIQADVKKLYHFIRTPTWVTPPRTMAIKMLGSPAQEILSQIELDD KENFSAAQIEKFKSDPVFYKKFVKAMERDISGAFALVLNGSPIQTMARQKVTQYMTAM LGGDEKLCKALIPTFPLGTRRLTPAPGYLESLRAQNVEVITEGIARFVPQGIQLQSGE IVELDAIICATGFDCSFCPRFPIFGRYGNVQDRMKSETPKAYMSCALPGVPNYFTFLG PNAPIGHGSVFTLSEHIARYITGIIRKCQRESIRTIAPSEDAVNDYSEHIAAFMPRTS WGTAGRSWWKGGKEEGPVTALHPGSRIHFFHMLEHFRGEDWDYTYDSTSRNRFSYLGN GFSAKELDPSYDSTWYLDLEASKA PFICI_01777 MKSVSASIAFALLAGVASAVEFTNVAFDVQAGEPFVLTWQDGTG PYQILLKNGPSTDLSTVSTLVASTTAEQATVTLPESLTSDTYAFEIIDTSDDSNNYSV QFVFSGAAASSSVTSTTASSTKTTSTTGTTSTTSTTSTTSTTGTTSTTSGTASTTLAT TTSTTSSKTSASTTAASTTVASTNGGSGLVAPLFLAAGVALAAL PFICI_01778 MRKFTYNVAASFAGKNNRLDPTSNVFHFNPYNRIQPQIKRPKSK RPASGQDAFFIARVGDTNAVALGVADGVGGWEESGVDPADFSHSFCDYMALAAYEHKP GAAPNLSAKGLMQKGYDEVCNDKSIHAGGSTACVAIASEDGKLEVANLGDSGFIHLRL NAVHNYSEPQTHAFNTPYQLSVVPASMLARAAAFGGAQLCDYPKDSDVTTHNLKHGDV LVFASDGLWDNVFNQDILKLASRVMTKSGAWKLSDGGIRVVDNLAPFTQAEDSVQRPT TIQAAIAVEIALAAKVLSLNQRVDGPFAKEVQKYYPQEDWHGGKIDDICVVVVVVSED GKTPAVKAKL PFICI_01779 MAALRSTSSRLLASASRPAFVKPAAIFSRSMASVGDATVQATPK GADDSRMKTFQIYRWNPDQPTEKPRMQSYTLDLNKTGPMVLDALVRIKNEVDPTLTFR RSCREGICGSCAMNINGTNTLACLCRIPTDTKDMKIYPLPHTYVVKDLVPDLTHFYKQ YKSIKPYLQRDTPAPDGREYRQSKADRKKLDGLYECILCACCSTSCPSYWWNSEEYLG PAILLQSYRWLADSRDERKGERKAALDNSMSLYRCHTILNCTRTCPKGLNPGLAIAEI KKEMSF PFICI_01780 MASKIEQGRDHEENRNRAMMEPTSESSSLTGQLPRYFLVRPDVT KHTASGTVTTKGAMVPLIPIDQLPSWLEITGVPRELSPEHMKGLTNLGESTKDFDTYN VCVIYHDDDGDDDDDDDDDDGASEPRMTSRLDKIKYQHVGASSGRHIITTAHCGNDSN PRGHSQRTPQHHGSDIISTAPGELDANTGKQGTGHDDMLGSDSSRQDDSSYDHPASSA SSEACESVLSSSPDKETFPSLRVKEGIQESRHAPRTTNTPSLVTRKQIPPSPTADLNP RIPSSVPARPRELYNPYSTLTRKRTVPSGDQQAYRLKKSLPSSSRYSPSQPSRSLAIS HHRGGNGNGSNNVSTSGSSRYDVLGYSTTGGSTTNHQHHPTNNNNNNTRTSSSAGTGS STTYCRHWCHHNTCKYGAECKYVHEMPKTLEGLSAVGLSDWPKWYKAEKHGYEKAMAE VHQSLNLNGTLRYPAGLVLVGPHSSSSSSSRAPPLTEQPEKQEIAELTEPQHHHQHQQ RQSLERHMMTMMEEAKRASKMTKDKKRIKGPSSYSTSSKKLSAHRNGNALLMRQRSHA GENPVRDENGTEGVKMARAKADKMCKVVASEEEQDLISLD PFICI_01781 MTSAVKVGDRLSYDGAICTVRYLGEVAGTSGSWIGVEWDDATRG KHDGSHKGTRYFSCKSKSPTAASFVRPTRSADRPQSFVAALQDKYTGEVSAASAQIRF SGKIAEEVGFQKIQRQQANLAELKFVILDGTRIAYPYAEGDQRIVATCPKTVELDLSR NLFTKFETVVNICSELPILRNLRLNGNRFVDILEDEKLAHAQTIFKDVKELALEETLL TWEEICHASSKFQSLATLSADVNQLSLLPQVPVGTLSSTLLSLSLEFNDFTSLADVAC LGKLQALRNLHLKGNNIAAITSESSAEQPVFSSSLQYLDISYNKVSSWSFVDNLPSCF PGLTHLRFTHNPIYDNPDPDHSTQSKTVTEEAYMITVGRLANLKALNFGNISANDRQD AEMFYLARIGKHLAAVPENEEPQVLLNHKRYTQLVELYGPPVVNRQKEINPSFLEARL ITVQFIFHPHDGKDNAERIIQIPKSFDIYRVKGIAGRLFAQEPISLRLIWETGEWDPV AGFDDETGDSSDEEEEPQEAERGDGASQADSGRKAGRWVKREVELQEGPRQLGFCVDG LEAKVRVESRQA PFICI_01782 MSGPGVGFEYPAKEATWLKRDALLFANSIGCTHEELHFLYELDP DFAVFPTYPIILPFKTNTQEVVDFYAAQKAVKIPGVPDFDSRRVVDGQRLIQFLKPLP TSSEGKKFEIRNKVIGVYDKGRPGSVVETQTDLVEVSTGEVYSRAIGSAFFVAQGNWG GPKGPATENFPPPKGKTPDVTFEDQTTQETALLYRLNGDYNPLHAHPEPGKKMGFGGA IIHGLYSFNSTAHGLLKSLGASDPKNIKEFQARFASPVKPGDKLVTQVWKTGEIKDGW EEIRFVTSIEGGKVCLSNGRALMKVVGATKTKL PFICI_01783 MAKKKRSRESRKQESKSDGDASKSTYIKQEPTPNGKSEEGENSK VAKNAPAKPKKGKKKSHEKLPALLQEVDNRCHNMIVYRNHLDEKVARLAECEDSDGLQ EYEKALLNSKTLEHNEQEFLRELREEHDRLSQGDNAQPAVVRFYHQLIAKFKTHLENN RHPITQPDQGTTSTGPSTQPGKSKKARKKARRRELGIALQLREKRRAERKPYDTRASR RRLAASSAESDHDAAATETTKQCQSPTPQTSQTQSPAEEEEEADSGSEPKSNSGERDL LKNLIEQLGKEQQLSHELRSSAVTADMDSAPKQEDPWYIGKNAFKLMRRRSELDRDLV QEAARQHVTGELWKPRQELLDRQAAIQKREGRFLKSATEEHQKAALRLASKPSVQTIG NEFRIRRVLETFRAHLGLRYQPDPIETTRTQKIDPYEFVSSPAQPVRKNSRKRKAMEA SGSPSKKPKVTNTCNNHAQDVESIDEADQEPHVSPAADSQERTCSLRDHIEAGELEER RQQLLQIVEPFLDDSYIVYDASPPTGRAARRGRRVTEEEANLPRLMSGALCPQAVLKA GGPLALPTSSKKQRDRARAKSPAQDESDAHHSEDEPISSPVAMDKSGSVRRSKRLAES HGTKTKGINAKTRSSSRPRSTRRAQTSPPESAPEESPTQNTLKARRLSTTTRTPSLPP PRWRAGSVGRDEATRIIGPKPPKMEDIVFRSPGSLFKSEAQHVVRESGDPFTDLVSRK KDQKRGARASSEWLGISTTPIPLPVLPYKFSSPAVKRKFMSKPISTREQLGSSPARFL RAGTEPPRSDGPQHRAGLMGTKHTGFDTPSTRSSLSSTPSSRSLLQPLPGNLRDDRTA LEEEKRRWRSMLDL PFICI_01784 MAADKLISAEEVLKHNTRDSCWVVLYDHVYDVTDFLSEHPGGAK VILQLAGRDATEEYDPIHPPGILEENLKPEAKLGKIDPQTIVSTTPTTTSESSSAKLE QEADPPLESLLNLDELETLATKKMPHKAWAYYYSSSDDTFSKSYNNQVYRNILLRPRV FLDCVKCDSSTTLLGHNVGLPLFVAPAAMARLGHPDGEAGIARAAGKFGALQIISNNA SMTPEQIVADAAPGQMFGWQLYVQNDRKKSEAMLARINKMSDRFKCIILTLDAPVPGK RELDEKQSFVNAAPVAAAAKTSDEPSRPGGGGVGQQLFFGTAADLTWKVTLPWLAQHT NLPIVLKGLQTHEDAYIATKYAPQVQAIILSNHGGRALDTAPPAIHTLLEIRKYCPDV LKKIEVWVDGGIKRGTDIVKALCLGAKGVGIGRAALFGLGAGGQAGVERTFEILKGEM ETCMRLLGAPDVKSLGPQHINTRMVERDIYDGDSNLENGLWAPKAKL PFICI_01785 MSDYKNGTAGGSKAKRGHCKRFWWVYLLVLIVIIVIVVPCIILI AVPKMAQSKVNDAKLTIDGVAVTQTEAGSFNLAINSTITTDGSAHATIAGFNGTMWLL DTDGPVAFTSIEFPETSSDAVVPVNISQIVTIDHLDELTTFNQRLLTNETVNVRVNGS TTVRVSGIARDYPITFSKDIAFAGFKSFAGINVTNPKVGLTQTKNFNATAIIPNPTLW SVDVGNTSFSTYFNNTKIGVTNITNMVLQPGDNEFPIEGDISQLVIVNALIQQPYCSN GGVLPFAITGDSVVNNNESIPWLANALSAFNVSLNIEIGAAVKSAGIPLSCADATNST KLRV PFICI_01786 MTTENNRPISHKLPNGMAGVLNHPEETRDSAYFSGTDASSKHTS AASGMGLNVHQTHPGFRVSSDKTPSPGTTAMYSQPLISPSGNMSITAMVSPTTPEGGR FDRPLSLESTNGLFDSRRESVDSRFAQGFGEMRLGGNSPYATHNASTTSIHGSVAHRH PRNSGLDSNGRMSNGYQPNAERNPQADRDSKIVRTAPVITGPTTSTIARAAEPTKGQG WAFPEDEIQRGTSAAGHHYYDSRRSSITESIASSQFTEASKLPPGQRRFDDVNAEYRL SSLSNASQQDFPAVHHHSLQHKQLTDLHSEESSPPAGSQPYSRTPELRKSHKLAERKR RTEMKELFEQLRDLMPQERGSKASKWEILTKAIAEHQKQQDHIRNLSGHHSATQQENE VLRREVERLQSENSQLRTGPNGLPAHQAGYAAQAHPTSDPYGKGATRPELPPLRALSG PMPNGDSMMGVQYEGAPSHGNGYRAPPQY PFICI_01787 MWFSVRRAALRAASSSSSLAAATLRQQQHGSFALQFAKFAARPA IVAPARLFSQTSRVAQEDEDEKNTVEGAIKAAETLGSTAPATETPVVAENESTGIYIG NLSWDATEENLREAFAKYGEIVQLNIAKDARGLSRGFAFVTYTDKAAAEAAVAETAES FWHGRRINVQVRNPALRREGRRDGGRDGRMPKNEPSTSLYIGNIPYETSDVDLNRLFR ELEGVTDVRVAVDRTTGWPRGFAHADFVDVESAQKALEKLAQTELAGRTLRPDFAGGR TNRGFSDRGDRRSNNRDGFAPQRDARDSDEF PFICI_01788 MSFMGGAECSTSGNPLSQFAKHTQEDNSLQRDRIVNGGPQGSMG GFRSAPAHAPQDEMMNSFLQQNGRLHDMPPMEGLRLDHPVQGVHLRAASASPSWANEF QQNPQAAMESAFKVPQGTQFSPEDYARFAQMQQGNQSSHRSSPMPAQMGYQRPMMGGM GMGMGMSYGMGGGMYGNQMYGAPQQQQPQMDAKGKGKLVELDDRQWEEQFKQLELDDQ KAREEAEQNAALEPELNQLDENILESETDHFGDFESIWKGIQAEKEANKEMMAEDEWV NKFTDGDWNNMNMNWGEGGRLFADPQVETYLFEEDNLFKDTSNPFEEGLRIIDEGGNL SLAALAFEAAVQRDPSHVEAWVQLGGAQAQNEKETAAIRALEQAKNLDPNNLPALMTL AVSYTNEGYDSTAYRTLERWLSIKYPSVISPADLSPATDLGFTDRQILHDKVTELFIK AANLSPDGEHMDPDVQVGLGVLFYGAEEYDKAVDCFSAALASSEQGLSNQSSQVHLLW NRLGATLANSGKSEDAIAAYEKALTLRPNFVRARYNLGVSCINMGCHAEAAGHLLAAL EMHKSVETEGREKAREILGGGVSDEQLDAMTTQNRSTNLYDTLRRVFTQMGRRDLAEK VVAGVDPSVFRGDIDF PFICI_01789 MDESTAESIANALAAVQAAVASNPEAAIPKSYSLGFDAQPEFPE QKLQLQDLHDANAENLEANITAQPEFQIVPQADSQAQPTVAAAIESNTNNGVGIKRSR PEDEEEDEQDGWQKVERKKSKKKQKKLPKSDGSHYPSISFNYNAKLQAKVSLDQLRNL ILYIFAEGTAPQWVSISNRPQFRKIVTLMVPGLEEAMFKMDVDFSTYQNEPRSSEKSR VMTSPDDYYPRLLKKEELPEVLQPFAEMFPHLWPIKTPGNEKFGNLRSPLHTMLTAPQ EKSAEEKNKKGQGVQPAREPSGWKDQRTRITEYLMNPEDFVPNGYTLHPALIDNADRR AEFRDEEGWVHTNVQNLSDGDVPESEIQQGAITAGREVLALDCEMCMTGPAEFSLTRV SLLSWDGTVILDELVKPDKPIVDYVTQFSGITKQMLDPVTTTLKDIQARLIDLLHPRT ILVGHSLDSDLKALRLTHPFIVDTALLYPHNRGPPLKNSLKFLSQRFLKREIQKGSQG HSPIEDAKACLDLVKQKCEKGKSWGAHEQQGENLFRRLTRMGTSYRANGGIEALGGAV LGKSSVMVDWGDPMKGAGAAATWRVGCQNDDEVVQGVLRATKGDPVAEEIPYGGADFV FARFRELEALQGWWNRNRISPESEVPGPPDVSDLTGSNGTASAVSPLEACLQRLTARL KYIHESLPPCTALIIFSGSGDPREMSRLQAQQAQFKREYNTPGSKWDELSVKWTDAED QALKKAVGMARNGIAFIGVK PFICI_01790 MVLLDLLNPSVVSSPVSCSSACLALSHTGTVVPKQFSKAKENLA SPTIGYDPNAKSYNYLFMRPETPSSQKWTVVVDGVVSRVEREVEKLRQTMQTLENGLV GQESQFEGISAKLTSFATKIDDIERLALDEDRLVKVEGRVEKANGLMCASLKDLSNSL QDYGKEFREQIQAVSAAEKEISRDIDRLRAELREQRSLADMNSSDMREEIRTTSQSLE NYHADFQKELTEARKALIAVQQETSQSLENHNQASRDDVVAVENTLALFRDQNMQSLD TLSEKFREEIAALKVSLSDIREDALQSSHSMESKTEEFQRDVVAAKKATAVLQQEMSL SIDRRIDELQLKHDTDLDSVRNDTTRTLAEQKAEFRDDMAAANEAISAARTESTRSLG KFNDDLKRELFTVRDGLSESANITKQSFQKTNDDLRKENDVIKMDLANAQQELKHLRK KLEEAEQDRKEDRTAAREYAKDMLSLKNELEQLKKNIAQDKERRETEPIVMPDDFESL ARNLSDITVRVDQIRHVQLEVQFLRSRMQDLEAGSFAGTSDAASTVLIHGSENGDRPV SRRRDSRKRASYISNHGSSENEERDTRKRSSFISSYASSENGDRDSRKRTSLTVPQSN GENGDRNSYKRTSLTQPVNGEHMERPTTPRRDSRNKRTSVPPVNDSRDRPTTPKRGES RKRTSLIHGGDDKARPTTPRRGDSHKRASLIHSPKSTEISRPSPPRRALQSELDVRSS LLQPDRSHAGKINKRPARRSLKKPLDEDELPLHLNNL PFICI_01791 MEIPRGLNLTGSVTSPRGRDLVATQSFGPGDLIARFDGPSIALP DTPTLHLTCSYCLRIDVDVKACTGCQGAYYCSKPCQRADWALAHKRECKAFKKVRAEG GHGRLLPTPVRALMQMLVRPDLATARTELGKLVEGWDRNDAPEDYDDMKLQAMAALKY LELEMTPARLGEALAVLFMLKINSFNRKDEDVGDTGIFLHPALSMINHSCLPNAFVQF DGRQAILRANKAIKEGEIVDISYIDCNLHKSNRIKALKERWRFDCLCSRCENDLDVYQ TAWAYPHLDLNSISLNPDFTPSSPKPSTTVASIEAQVEEIYSACSTPLSTAAADSPSQ YAAALRQRWQLCQPLCAAGLYAVEPVPQTLGQATIHLGEQREDYPSSLAVSCFIALRC DPVKSPMPFGGARIKGLQLLANLLSNTAPLSGRRASSFDGSLRGRIVRALSNMDQATI AQAVLAIAVHWAPRAHSEEWQIYHEAKEQLQDIEGLRGRDKEKRLIQRWITDQTDQEA ALFFDYAVLKPVQELAGFALEIMDTEFGSNRALLGHK PFICI_01792 MVAFTKLTIACLAAAAAAHPGHEEHVVNKAVKRSFIAQSKRSLN SCAETLERRGTLKRAEVRRQAYVDELVKARALKARDAATVLNTTHHSDLTGITVDSDS SAYFGSNHTCILAPEGEIGPFWVKGELNREDIVDGEPGVVNYLHAQFIDVNTCEPVTG LWWDVWNCNSTGVYSGVQDDSNGNGDDASNLDKTFARGIQPTDEDGVASFRSVFPGHY SGRATHVHVVAHVGAGLLENGTLTGGNVSHIGQLFYDQDLITQVEATYPYNTSTVDIT LNSADRVFSLESENDNDPVFDYVFLGDDVTDGIFSWITIGVDPTASYETSYAALLTAD GGVSNSD PFICI_01793 MIKSAVILGAFVAATKAATCDSPVQRQNFLSLSDDDKTAYLDAV SCLTTHEAVFGLYDGATTLWDEQQYLHLTMSNYIHGVGQFLPWHRYYMTLHEKLLQTY CGYTGGIPYWDEQADAANITESEIWNWLGGDGNSSDSQIVTDGPFANLELHLGGWSFN TVIPKIATYHLNRTISESIFQGANQTNVDACFALDNYDEAWYCYAKKPHSSAHAGTGG TMQNPVLSPGDPIFFLHHTNLDRLWWLWQSANLTARLTDMGGSNVPSDEFNAQNSWDA PGANFTDYSGDPANVTTLAHVLSMYDMIPNVTIADIMDIGGEVVCAEYV PFICI_01794 MPLVVQPATEADVPRAVEIEAAAYGPNQFTPILFPGPMPANALE ERAAFFVKGLREDPTTRWHKVVDTDLDGQEQTVAVVKWHIFTEKPQFTPRAAVQGCNL EACDLVFGGLQRQRARILGDTSYRRGAATLLIQIVLEEAQKRGMIAYLESSEAGHSLY KGQGFEDIEMHEVDLSKWGATDTHKTWAMMWKPTKNS PFICI_01795 MTTPKPDPPAPLLSTWEVSAAAGEPIAVVRVRNLQGTVPVGRDA WGRPDKAQPALISTEVSFAQPFGAASATDTVSAETAHYGNLSKNLLASLGAFAQASPP TKVAAAASAAGDNGKPSSGDVFELLWVGLTGRVVDGSARALPLDRLPFLNTDRLRGLS LTVHLPKASLLGSGVSQTVTACFNGETEAAGNPLRSYARSLRIHELRIPVLIGVNDNE RQAKQMVVADVEIDKLDVTRDIHPELESIITEASNNHTMSASSFETLEALGALVAGKI LDEFKIVDDPKTGRERGWQVKIALGKPIAVPFADSPEVIIKMGAGLL PFICI_01796 MGSLGGLPFWQVNVPEAERTQECPEFLRNLSAKDIGIIATPDEQ YRRITWPEVQQIVAENRLDAFQRRPSDLRRYLAYTSKLKQVYGSVINFVLKERLAWDM PTVSRGKPFEFGDDIKILWNDWPYGLDERIVHLVVWTKFELEDDPATDDLTDKARREI DDYVTQKFGSKLPRDSYVWFKNWRSLKSVRAVEHFHVMMYNPDPAFIDMITNGDVPLC RKV PFICI_01797 MAQAIKSALPTHLKAHLGDGSEETGRHHGKTRSHMAFENTSTNI AAAQMRNALTDLAQTVSDPEEKKLFETEMDNFFSLFRRYLNDKAKGNQVDWDRIAPPA QGQVVDYEDLANSESVGFLNKLAVLKLNGGLGTSMGCVGPKSVIEVRDGMSFLDLSVR QVEYLNRTYGTNVPFLLMNSFNTNDDTAAIIKKYEGHNVDILTFNQSRYPRILKDSLL PAPKSFDSAISDWYPPGHGDVFESLYNSGILDKLIERGIEIIFLSNVDNLGAVVDLRI LQHMVETKAEYIMELTNKTKADVKGGTIIDYEGSVRLLEIAQVPKEHVNEFKSIKKFK YFNTNNIWMNVQAIKRVVENDELEMEIIPNGKTIPGDKKGESDVSVLQLETAVGAAIR HFKNAHGVNVPRRRFLPVKTCSDLLLVKSDLYALKHGQLQMSASRFGDAPLIKLGSDF KKVSDFAKHIPSIPKIVELDHLTITGNVNLGRGVVLKGTVIIVATEGSTIDIPPGSIL ENVVVQGSLRLLEH PFICI_01798 MPRDDLSIDFVHKMAPSVEAQDPGLILDDWVHRTSNLHEEIRFL QDEIHDKDRAYHECVKAAEESDAKIQRWIRANTSAQPNPREDFLRNIIRTNYDKAELL AYEKMTLSTKLTQVFDKHLRHLDGQVKVLYDRQEPGFSNPDETPSVLRPSAANHSIPS TTKYAIAASSPLGGFPNNATASARLANPQIRTTQVQAHGSVSAPVSPAATIILNRKDR ESSAGPGSGVPKRGPRINTNVGTAPSASSGLARHSSIGPGTPKAGTPAGSRAGSVQPR ATTKSSSGVRKSTPSSSSTGRKKPPNAKSGLNRVKQVGRSKGSPTSTADSELSDAESA PSSRAGSRAGSGTPAPSAATSHLPHKRPHKHSGGGGGDDDDMADSDIDGSGSGEEDPD EEGKKYCLCQHVSYGDMVACDNPNCPFEWFHWNCVGLKSEPEGRWFCPECREQRKKGK PFICI_01799 MEAERTNPYTFRLPKPNYLPHITNEPIVEEVFKPLDRPSELENA AYRNKAKRTMQELGITTPKGYDVSFHYNPEMERHHFGQTHPMKPWRLTLTKGLVTAYG MHYTMKNYTARAATYDELTSFHTSDYVDFLATVAPQPTPLDLDGTNSDLKFNLGGSDC PLFEGLYNYCSLSGGASLDAARKLCTKQSDIAIAWGGGLHHAKRSEASGFCYVNDIVI AILQLLRVHKRVLYIDIDVHHGDGVEEAFFSTDRVMTVSFHKYDPMNFFPGTGALEDN GPKSEHNPGAHHAINVPLNDGITDEQYKWLFENVISRCVEKFKPEAIVLQCGADSLAG DRLGKFNLLVQGHGSCVEYCKGLGLPMIIVGGGGYTPKNVARAWAYETAIATNNQSNV AATAEIPLHTPYRKNFEYDSVLLPSIEQILGDARQNRNTQKRLQEIIQHVTEQLRFVS AAPSVQCSIIPPDLGGIRDDVERRIKEEFDERNEEGRKDKEEGVGVPMEH PFICI_01800 MSLHRVSSLETFLSVSEDGFRSRRGSDADIGASERARKLTFNPL PESWDPTPAEDEPQITSVGAFEVPRWKRIAQLVATVFYCLFSAGVVFGYAALKPVLKD EGAYRDVCHGDDTCIEIHLNLMFTVAAVATNVAALPIGAILDRYGPRVCGCLGAGFLA AGALVLSFAQDMPFDAFLVGYLFLALGGPFIYISSFQLSNAFPRHSGLILALITGAFD ASSALFLVYRLMYEGSGGSFGHHEFFLAYLIVPAVIVVLQFTLLPAQSYKTVGEIIED IDRQDNDSRMASYAEAVDDQIDEETALLREERREQAREHHEHVVHEIEELLGSAKADK QVHREEVKNEISGVWGVMHNATIREQISSLWFWLICLFTVVQMTRINYFVATIRPQYE HMFGSEKAAEINTFFDVALPLGGIVSIPFIGLILDRLSTVTVLATLVSVATTIGVLGC LSLEWAAYAGIILFVLYRPFYYTAVSDYSAKVFGFRTFGTVYGTIICLSGLFNFSQSG LDYLFHKTFHNDPVPVNVMLLGLGLAVGVFLVVFVWWNVRKMRSKALSNYGRI PFICI_01801 MKSTIMNLAFAASIAAAQPHNHGHGHFHQKKGTPVEKRDADVVT TVVPATMTAYILGDQEVSADEAKAGIENGLYVVVGETTPTYTPEVVSSTLKVDAAFFQ KTSASSSSTSTSSSSSSSTSTSTSSAVTSTYAAATTTKSSSSSTATGVTADFPDGELD CSTFPSDYGAVAVDWLGTAGWSSIQQVPGFDFSLDSVISYIVAGVSGDSCTEGSFCSY ACPDGYVKSQWPTAQGSTGQSVGGLYCNSDGKLQLTRSEYSTLCQPGCGGVSIVNNLS SDGAAVCRTDYPGSESMVIPLWTEPGNTYTLTNIESSSYYVWQGSSTTLQYYINPKGV ALEDACVWDSTTNPDSAGNWAPINVGVGKNSAGMTYLSIFNNSPTSTATLDFDVVISG DISGECYYKSGSYPDGDTGCTVAISDGGSATITFEDSS PFICI_01802 MVTVCLLLQVLYIGEVRAAAVTSGRDIPNGSADASAPALDATEV FDFQGRDIPSIFELSGEISTNVHDAEEGARLIVRQDHDATALVLPGSFQSGRVEVFVK SVVAAGVLVAVRLRSGSSDEIALELLGGEVSLVASSVYHSEDHEMNSSDLETTKVVAI TNAYLNLTIDWTAQSVQWLADGNVVGTLAHANDTHLHAFSQLALTAELRDDQSNEREM VAMRRVPYFAEVMNMTITHYHSQSLGALENTDNDAPGTERILQRWPMTEMKTGSWLRK RSYLSVRDVHLSDKTKLAFGLTVGIGGGSLVFLVCFALFRVNGYCRFRRKKNAPAIYS GEMSSQRTTPRTTQTTLVNSPQPRGDSRNTYYYNVQSDRRHTCDHAPRGQRYDRDGTG ADRRHSHQVAPREQRYTHDTVHKERRYTHDRIPQERRCPHDRGHPYRRYTQDDRNLEY RRYTHDGRSPKDHRHSHGNRSPEYRNHTQDRATGNRRHTRDNGQNDRTPPQVERNNRK GGQTKDHKQQKTTTPAVSSGNKHKPSEASKIPEVAKIKQEPPTVPEERKTGPLAFLLA GGRKKSPQPEVSKKAPSARVPSIAELDGQGVSEQLATVIKRRRSLEKKPLPTAPQLSD SDLAAVRHDDGDQDGGNNEGVVRYNLYGL PFICI_01803 MASEKGPVATEAQPFSSNPVPTSPITAESLQADASRAAATLKRA RETTPTSPSSAIATQLASDYSPTKVARLAHLATIRSPAPLTGAAALEDERDERRREDE QYRLQVSGNSENPAYRVQSDLMSAGALGISRPQDAPMADGLPAEPMPIDQPVEQAVEH PVEQQVEQHVEQPVEPMEPVEPAPTAEHAEEIKVDTSPTTTNVSGASGTVTASPAPMD IDPQLNQTNYLPQPQAQMEEKESTSLSYPGLVSAATAATQMPAPPPRGSSLPMTPGQQ VAPRSPNSKKHKCPYCETEFTRHHNLKSHLLTHSQEKPYACGTCQMRFRRLHDLKRHS KLHTGEKPHICPKCDRKFARGDALARHSKGPGGCVSRRASIFGDDEFQDTSQLEGDST MSGVVYDGSNEAELTEEDRRRLSLPTPTIKAQHVQGGQAPPEGYAPHSRTYPPSVSAG GRLFPPNVEHGSVSSAASGAVPATGGNASLYSQTGMTESPKPLSPGQPHDGSITRQRS PSLTTQFQQQQFGRHQTDRQTPPGSSQHSSYPEALVAQLSSVPGFATNDGRYPPQSNA QGTAAGSQAGGSVADVTQQTANGTEQHGADSSANTIFGADQSIWVYIHNLEENFKEMS ARVANLEQSERSHEERIVQLSDEVAMLRAQLEMKTDVPEPEPTIE PFICI_01804 MADDPDYNAEEAAELKKKRQFRKFSYRGIDLDALLDLDSEQLRD VVHARARRRINRGLKRKPMGLIKKLRKAKQEAKPNEKPDLVKTHLRDMIVVPEMIGSV IGIYSGKEFNQVEIRPEMVGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK PFICI_01805 MQAVRQGAACVARRARPSMARQVRSYASDHGHAAPQVEEKLSTS FYVFIGLVPASMFFYGISRPGKNGEPSSLTTWLRGFECFRQEDIERNALRTQLYEQAA HDKHLFLHAEKNPHIDLKMPELIDAGAPWNVPAGHRGRNVGELTEHFRKQHIEEEERK LKKLAAAKESS PFICI_01806 MRRSNSRNFSAFLIFFAFLSCFAYSVSAASSPAAPSPSAEGELI CHTDNPADCYPKVFSATDEFQVVHDDQDLPTGLHVRMDIYSGKKEAKLYTPDSDDPAL EGLPVEQSILVVDPEPVEDDVPRIPKGAPIYEPVGAVKEPQVQDPDFTAALDFLKEHA ETAPNDQKHPLDDALVDLEDISHDMYYGKKIIEDEDAVKSLFCLLTQHDAAGMDREAA EQRDFLASSILSSSLQNNPPALRHLENYWENLMDSQCAAHEKPLKDIVFSGLEPSMQT DTDQAMEAVWTQRLLPVVGRLLKSDTIRPKFMDADGMKHLLQILLKKGDAWKASRARV SRIVSDTFLDATLGARTDLWPLEPVSDAATCEKDSSMLEEGCWEFHLEHIIQETDAEW ARDLLAMLSAARSDSGRVVRDEL PFICI_01807 MATTNTHTSNNPFRKQSPPPDRDEWEDWSEDERPATTILDDGPM VDVERGYTTSNHGRRDSMHRYSIHKPMFRAKSKGHAKAKIAKAGITLDTNVAKSRNPP ALQRKPTEQSAHPENATRFADAAALRALEGSPNSASVGSFSWLRRKTGNLSAKSAKGS PLSPESRPIVIGIAMPSESASEHQVSPQTAVVETPMAVQHYNQRLASQNTGALETTPV QLRSVWSPDTEASESPYISRPASSIYSQMTIRGGGGPDFSSAPPVPSLPATYKFKQTQ QQQQQQQQSLIDMEDDERGTPCTLFEEDGSPMAARKSAKPTSAISPEGTGRSKGWWDT VRISQQTNNPFRQTAMQTGESSSSAVTPTTEWWHKTNENEKRAPLPLSDRPVMNATVS TQNTGQSSRTIASSSQNPSTTRAETQSEKARILLEQNGTQSPVDQPPPYSLREVKYGA ALTPHAISTERVPSPGPISPGIPQTMSSPGAIMMDDVPITPRVIPGAVLHDRPIGTHV THDQFREAAGTNMKSERNRRRHEKEEFVARRVGGFWRGRGCIPAEGCFGRTGREGRKR RRICLGIFGGVLAAIILAVLLAVVLTHKKSDTIAATQPQVSQDPVQSGTSVSSAIPSA TPTPTPHNVWLNLTDFPPMPTGVLTVAGPNNTKSDTRCLTESAKTLWSCSIPKNQQDP NSQFDTNQPEFIFQIQFDNNTRALWNVTTTGEQKKRGFGHAMDDEDSDTVSGRRSFAS AVARAAAIMRRALVYDTGFSPNPSPPDYKEMFFLGNTTDDIKSDEKAGEPTPFFISLL SSVDGTVGPDVLSRRGLGNGIDSGSSASQNISQFVDAPVKTDKTPLPAVLYPLPSQQP VRLFDRGLDTEHYGFYTYFNKSIFIFDQEEDNDEDADGGALLKDATALVVWSQARFLV KIWTRLDANLLGNQGPVVVMNEDDDDASHNLPGTMPYPVTIAEDFHGGDENVKGTIVW PIGDDQRVVTSNASLITVDKSAGGTLINPMGNEDPRLGGIDGGTGGCKCSWVNFVSDV TTFVDRYEH PFICI_01808 MERIYGNHEAQKQSNRPMQQQQSRRPPPRNGQNHFPPPSAVPSY ASRNLPPLPPKSASSSSSVYNSEGSCRATPSRFHRHESPLFMYSGSRAGSGGSGGADA GFEGSVNEDGMAMIRPPGMSIVTHFSERQQQKQDTVIHSPRPRHPDHKIVKDLEQNDE GCVDVSPILSPPTGTFSYQAHEVSPLTPEDSSSFRSFGQQTVSELDHEADQYQRWTTM GGGGVDSGSRRQSGWEDIPPAASHSSLGFHPISTEEDKTKKMISKTSKPHHGHYQPHF RHSDPGSPLVETSSSPPTTNATGGGQGAFMLAAPKPYLKTSAWRPDQYPRPRSENNYT KPTTTRAPVVNTKMPMTESTDGEDIITSPYSLWTKGYGATAAAAAAASSKQSSPAPSG TSSRVSFAIKDTPAFSKRSRAGSKPKPVPLHLHDGKAAEDHIKTPYPEQPLASAAAAA AAASTTMISSWDYDDDDNGHAAKDKQPKRTSWGRSSERNSGAKETSEGGSSSNNTGSS RSRAMGKLVSRVKHAGGDVISKFSFSSEEAKREKRIEELRGKIQHHNPRQEQQNRS PFICI_01809 MTTSATGSDHQQTAAVPAQAENAADHHNNINSSAAALEQQTEEA SGEILQSLCEAATETEHNNSSYTGAQNDGKSALELSLDLDLDSLPASDYWDASTMASL NAVSAAAIARLRAYKPPPFPTWDRLPVSRRAAVLVLLFADRRGDLRVVITMRATSLRS FSGHAAFPGGKADSLTETPYQIARREAWEEIGLPMDDSKIPKPFRIEPLCSLPCSLAK TELAVRPCVAFLHADSTPGQPPPPTVDESMIPRLDAKEVAAVFSAPLHNFLKATDEQP DRAPDGDWYDGRWTEWHNEPWRIHNFWVPVNNQQVARPKIRADGQAALADELEEEEDA VQRFLVWGLTGRMLVDTARVAYAEEPEFEHNDHYGDEKIIAMLESQGRLPEKKRREVG LDAVREEVKDAKM PFICI_01810 MDHKHMDHSGMDHGNMDHGGMDHGGHGGMTDMCSMNMLFTWNSE NLCIIFKSWHVRGTASLVFSLLAIVAICIGYEALREATRRYETWVNKRQETAPLIIGE REDGQDHVTENTPFLWSGQHQVEVSKRAHIIKALLYAVQCFYAFMMMLLFMTYNGWVM LAVFIGNFVGYIMFGNSTKATKETACH PFICI_01811 MLSVPVNLPKWLEENSHLLKPPVNNYCVYNEGFTVMIVGGPNAR TDYHINETPEWFYQHKGAMMLKVVDDGEFRDIIIREGDMFLLPGNTPHNPVRFADTVG VVLEQRRPAASLDRMRWYCAEEGCRRVVHEAAFHCTDLGTQIKAAIETFMASEDNRKC KNCGAIANSVPKPGSIKDPNLE PFICI_01812 MAPREKSQLKRVRDSPHEADPTKKPRRSERLSQGNGEQLKTPVT KNQQKLPSPVTYQEDSSGTEHYKEPTATPPNGRPSQVARRTPEDTLDSQFSSPPNDTQ AFPSQYVDPQAALSDEVEDEVKEGVWGYLFPLDTKYGRCVVLRKRAPCPLPDSVSEIK PVKRKNQKKALEQEESYEESKTHGTPAGGYLIGRHPECDVIVDDPVISNRHCLLFTEN KGNDATAVLEDLSSNGTFVNEALVGRNQRRELQDQDEIAVMDKGRFIFRYPRSRMSSA FLQQYTLLERLGKGHFAEVFLCVEKSTGTKYAVKIFTKQPGMDERSKTDGLQQEIAVL MGVSHPNILCLKDTFNEKNAVYLVLELAPEGELFNHIVMKQKFNEDEARALFIQLFQG IKYLHDRNIVHRDIKPENILVVDKEMHVKLADFGLAKIIGEESFTTTLCGTPSYVAPE ILADGRHRKYTDAVDIWSLGVVLYICLCGFPPFSDELYTKEFPYTLSQQIRSGRFDYP SPYWDSVGDPALDLIDRMLVVAPEKRFTVDECLSHPWITQKMPNLNDSTDGLVDGVGG LQVNKRGVHRERTLLSSINEVTVARKVPLGPDQEDKGPLVVYAKNQTTSSHTNIKPQE ARPADQRTAKEFMEMGGKGDQALFGNDDTSFYTKDEALAAKGKSTVNGETKSNGR PFICI_01813 MGVVGSKPDDGSAVALRDQNRLSISSLVISNPRKRSAITIVPNA FPATKVTATRTSGDTGPVEFIQDTEPSPAPNFLLKLNNDDELVFTFTFIIRQDASSGA ATDAHINGLTYVYASNSREVENLVTREFHADPNLHKNANVELVGDFNTGGSPSVSFDW SWKWKPPKPLEDRGGGWRNACSFVEYDHRAHKLVALASFSFWVANTTTVLSQPSSPSP VFQLAVPPKIRIASAQSVESRISEVDEPSSPLNLQPDTIPIAPIESNVSQQAIKVDVV CPRPGDDMTVSDDGPVFRATIKALEQKTGNMRTQMKRVLKKAEQAHAAQIEANDAFAS FVESLREASSTNANAVQPAIEHYFDKISREILAYERLNAANLQKTIIDPISKLYTYDI KQAESKKRDFEEDSKDYYAYVSRYLGQRQDSVKAKKLVDSDNKYQTKRRNFELKRFDY SSFVQDLHGGRKEQEVLSHLTKYADTQARNFLTTAKKIDGLLPQLEALSNQVQEADKE FQYQRREREEKRRVLEKSNLQYNEPETVASATSPAPISIGSTANGISYASDSEIGRAD STSSQLKAVLTGSSGTTITPGVELSRSPGSLGQASVGSPSQASKFRGIRDLEERDFSQ SLGLDKSTSHRKEGLLWALNRPGSHVDPRALTKQQGWHKFWVVLDQGKLSEYSNWKQK LDLHMDPIDLRLASVREARNAERRFCFEVITPHFKRVYQATSDEDMNNWIMSINNALQ SAVEGRGIRDLPTTSSSSTSDSTTFKRDIGSVLTGKSTSLNHGHGHQGASAAGIPTRR ITVGARPPASQRPASSTFDDSPDKLLQMLRDHDQGNLWCADCGSGAKVEWVSINLAII LCIECSGIHRSLGTHISKVRSLTLDITSFTPDIIELLLLVGNRVSNMVWEAKLEAGIK PGPQASREQRLKFITAKYVDRAYVEPISATLSRYPSADETLLAAIKRNEIQQVIYALA LKANPNVLDKSRGTHAVFLALAAADPASPSPSTTPPGRSDTDGPIKPFPVAEMLIQNG AEVPATMPAFPLSHSAQLYIEAKRGRTAAIDAPGVSMTSSLSPSPSERGRRDKDRLQK RISAGGYLATSPIPER PFICI_01814 MSRQSFYGGGAINRRIDSIQHGERRTRSGSNASVRRVDVQYDDA FTYSLRVAYLNYLLQPKRKQKQFVPAPKPRQRAYTSVATDLVKDLLPQGSTSVKLPHN FRKYLERRMAGVMAGSETAPGYGDPDIKRSFAEAYNSFGSPAMQKLIDKDRKLEGVVM TFYSAATKSCRPGAGAPATDDSWKLLVDRHVAMFVRLVGNVLRDMGSDRDKPELMSRL KTLENKLLTNDQNLFVDTGQDGGKYIEVDVPLTHDVNDMPLVQVVANLFGRSPAEVQG IIDSQRPNWTEDAALRDLKSYQQRLNAGMNGTLQSYDFDVDEAFQEWKRAEAPHLSAM MKEILTAKPQLVRLSSANIMLEKPLPASPTSGYGEDQAFADFSRALSSPDSGYNLDHR LSLGSLSLDDNSSIRSVDDAHYTFIPMDPREYFKTIAKHAMDYDTARGGDEEQSGPFS KQTMDLLTELSVRWRIPQFTRLVVFMELAAAKYTDTTQETRPSPIEVDQAFNFVKAPP LELKKPPHIYLYNESLATIDRSRWTIRDFAAYQHTLTTLHDALLRDLFTTLMACYEPK PPSVGPMLLVLEEHVYSDPSFARKPEEEQAFTEELKQGLKEQAANVYRVYLDKEIPER QEDWDFNHVVQLGKSVVKLCERIKKRYKSNPEIMGANPLTILVETMFPNFENDACQLI QSIIANASQQGLEFGSEDGFALYKELVAIREIHQNSLLSKPFAFDIEELLVDFVWKWI ANAEVFTAGIVDNAIKQDEFQVRTGHDGQIEDTDRHSHSIIDLFKSFNQTADQIFQLE WDNDVHHARFMTALARIFANGLERYCETVDKKFNAEMDAPRANEQEALAAAQTTQGKF MKYAKDAWNNKEKIEPFQFYSESLVKLNNIEYAMQELDKLEKLINVDACAAVLEKAEG PKKAVKRPSKYVFTVKIVEAEDLKACDPNGTSDPYVVLGDEYQKRLAKTRTIMRNLNP KWDESVDINVTGPLNLVATIWDYDMFGEHDFVGRTTLKLDPQGFRDYLPQEIWLDLDT QGRLLVRVSMEGERDDIQFYFGKAFRHLKRTERDMVRKITDKLTTHINATLSHDTLKN LLGRNLLQSASSLWKRQSRVPMITAADIENALQGLFTYFNENFAIMKGTLTDATMVAV MTRLWKEVLMAIESLLVPPLSEKPSNQRPLTEREAEVVYKWLELLFEFFHARDSESGE VLGVPAEALKSPKYHELASLNFFYFESTDHLIHTSERMAAATAQRAQQAMQQQLAANP NRLGAPAAFGGYGGSAGPAFASMGTIRRGKSIMMSRNLGTMRRMKEEKRKEAQADPSD DMILRILRMRPEAANYLKERNRQKGRQAAAQAAALIVKQSINQGWASGGNFGRGGVPR R PFICI_01815 MADQTTMAAAAAMVKSMANDSKLHATAHTGGDQQQCKLPGPSSD AKDSLERELAALAARIQRLEAKASISTAPIFPDTPSETVDSMFGVESSSPISSNGKTY MRSKFSSGSSGRPASQEERTFKTIPLTDEALEGLREHIDDQSKLLDNQRQELTHVNAQ LVEQKQLQEKALKILEEERVAALERELWKHQKANEAFQKALREIGEIVTAVAHGDLSK RVRMNSVEMDPEITTFKRTINTMMDQLQVFSSEVSRVAREVGTDGILGGQAMIAGVDG TWKELTDNVNVMAQNLTDQVREIASVTTAVAHGDLTKKIERPAKGEILELQQTINTMV VQLGTFAAEVTRVARDVGTEGMLGGQADVMGVQGMWNELTVNVNAMANNLTTQVRDIA KVTTAVARGDLTQLVQAECRGEILELKSTINSMVGQLQQFAAEVTKIAREVGTEGRLG GQATVNDVQGTWRDLTDNVNGMAMNLTTQVREISKVTTAVAKGDLSTKISVEAKGEIL QLKNTINSMVDRLSTFAFEVTKLAKEVGTDGTLGGQARVDDVEGKWKDLTENVNTMAS NLTSQVRGISTVTQAIADGDMSQKITVTAGGEVALLKDTINNMVDRLSVFCNEVQRVA KDVGVDGKMGGQADVAGLKGRWKEITADVNTMATNLTTQVRAFGDITNAATDGNFTKL VDVEASGEMDELKRKINQMVYNLRDSIQRNTLAREAAEQANKTKSEFLANMSHEIRTP MNGIIGMTQLTLDTDLTQYQREMLNIVNNLANSLLTIIDDILDLSKIEAKRMVIEEIP YTLRGTVFNALKTLAVKANEKFLDLTYCVESSVPDYVVGDSFRLRQIILNLVGNAIKF TEHGEVRLSIQQSHKPAKDPNEYALEFVVTDTGIGIPQDKLDLIFDTFQQADGSMTRK FGGTGLGLSISKRLVSLMGGDVWVRSTVGKGSSFYFTCVVKLAGGDLAMIEKQLKPYK GHQVFFVDRGRTVHGKQIVASLRHLGLVPVVANQETYPGLGSDEPPFDVIIVDSIETA RKLRAIDQFKYLPIVLLAPATHISLKSCLDLGITSYLTTPCAPIDLGNGMVPALENRA TPSLADNTKSFEILLAEDNRVNQRLAVKILEKYHHVVTVVGNGLEAVEAIQKKKFDVI LMDVQMPIMGGFEATSKIREYERSLGSHRTPIIALTAHAMMGDRERCIQAQMDEYLSK PLQQNHLIQTILKCATLGGALLEKNRERELDLAKEKNSSTPEKQRGPSALRPNLEARA LTSSSRLTGTLDSPSLVTADQDDPMKAAARRSLSDM PFICI_01816 MREDLKGQNLSFTEIAKLVGENWQSLDRAEKEPYETQAQELKDR YNRDMNEYKKTTDYKRYSDYLHEFRKRQAVHDAHKRARVDGDGNSRRSAPNGLPPTLS TATSTTGSSSDSHLGSEPPPTRQQRLSSITSQSDSQVSPVVSSLAFPRHSDEVSHSPM SVIHDDRSNNRPRGFSSPASRDAPEQTASSHFGTRPGAQSDLPPAGHGLSALMQPSDI RGTLAPMSHSPEAGASSIMPGRSDDTRSGRTSISSQFWSRPPSLLAQQSSTGSVTSLS SFAQPRTPSESSLPIHALLSSKPEPPSMPSNPMGLPDSHIPSKPPGLNQQYPGRPPGD PVVDGRLPYVNGVYPPGIVPQAHPVAPITSSAHQPAPTLPRIAGGADSGLDGMSALLR ASDIVGRPEP PFICI_01817 MPRVAQRARQSVGSRVAGDSPIKIPLNDDHSEKKGRAKDRQALH EKQINDLKAAAATPLRKASFRRSDFHDQSPSSDPRTPSRRGQQTIADDDEVLYVGGSS VTPMKRVPILANFEEWMKMATDNKINATNSWNFALIDYFHDMSLLKEGDGVNFQRASC TLDGCVKIYTSRVDSVATETGKLLSGLADSNSKKKDREEGDGDESEEEVDEDGNVIRR KKQKRQRSSEATLAPSFASLQLKKLELEFAVDPLFKKASADFDEGGAKGLLLNHLMID GQGRIVFDSSDDADDAAAGRIKPRRREDVTEEDEEMLEDIEEDVTMTGQEPEPEEEDV EIDIGSLGAKFFPDLGILDSQDVCPSLKTFDLGDPSGSLDIPFLRAPEDWTQSKDDET EDGRAAGDKSGMFIDDDNPLGFDDMTAMALDPQMRPYNAPTEDGMGADNSGFDDENGD YMVRMAHGKGSDKTHEDILSYFDQALQKNWAGPEHWRIRKIKDANKTEPTRQRKEKEP FEIDFASPLDIKLGEQIYTQASSNSAISMPKKDWKSKTRNLLPDDKHFNSKQLLSLFL KPKARLSSRRRLNKSGMFGNTGHQDNIPEGEMDEAFWANKEPVQSMEQDDTAMPKGDY DANFFDDEMPLPGGGLLDDDDDMEFADARDHFSPGLTMGGFGTQLVTSTRRLRPEYVQ YARVAKKVDVRRLKEELWKGIGFEKLDDQLPPTPAEGSPAVEDTPAADTTLKFTDVMN DLQSVYAKPVMDDISTSFCFICLLHLANEKGLVIENTPELMELDIRKDWNAEVSEEG PFICI_01818 MPGAATKRRRAYSSRPFYSIAFVLALILVHVLFVRGPVSSRQRH DGDEPLLSARSAEDCRLVHQAEDKCAFVLRNCRDDEAGLIQYLSLYYCTLGTAKPIAF IVLITWLCLLFSTIGIAASDFFSVNLSTIATILGLSQSLAGVTFLAFGNGSPDVFSTF AAMGSNSGSMAVGELLGAAGFITAVVAGSMALVREFKVSRNTFVRDILFFIVAVAFTF YFIWDERIKLWECCTMIAFYAFYVIFVVAWHWYGKKIKARRARETASRSHFLASRSQQ ASDELEPYRDEPDDDEAGPGSHHGGSVVDIDVLDQGPRIEVGEADSEDADDERNQHIA AEVTSSMRVNRPRGRRATTTITAIRPSLLGALEFRAVLASLSQERNMRMAPISVRSYS ADRIAVDSAASGVAAVGGPASAQSVQGTGATEPTNRVRSRSSGAIPSIMDEDLVMASP RSDTNETRTSSVPPHIVGGRLAPPPTDSTGGLALDHQSNASQRQHLLGGGLQLQIPSP SPPGSHDSTPAGSPFPQYTDTPMLMTPNVMQSSVPTLPTPGVERHSPFDDLQITEASR PVRWWPYRFLPDPYMLIRTLFPTLQDWANKSAWDKLVSAWSVPSVLLLVITLPVVESE TTDDDSEDNIMDEPATGAALNVAPPVSFEPHGLMGSETEWQQYRRHTRSRASSGTASP AAISLVAPDESHDSRNTGSTPQHSGEPVIQLYKPASEPNSTADDSEDQGWNRWLLILQ IFTGPMFAVFIVWANAVEDFEQPGKVLVRMVLGALVFSLVVLGVLLATTNPDRRPKYH FLFCFLGFTIAIAWISTIAGEVVGVLKALGVIFDISEAILGLTVFAVGNSVGDLVADI TVARLGYPVMAL PFICI_01819 MSDAQNRSQGNFVVKDPEVAGGAALGKNNDKILGHHVVGKNTGG GGSGHAVKSGTGEARRHLQQRGDQVDDDDEFTGQKKRQQQQDDDLGGSRGGNDEPGRN RQTGELVAEEEEECGRGSQRFQD PFICI_01820 MSGHGRHDEMFLKQPAEDCDSPTIEPLRIYKPQSPVPAADRYRY PTPSASKPTFPLPPGASSSAAPLPYPEDEDLRPSKPVVSTRPSYGDDGRRPSGNRVYS SPTHSAGSGSFNDTTPRLDTSPIDKKGPGLAERRGTAPRPLPGALSPPTSPLADDGEL FAKPLGAQHKPAAASSVNFPDYHNKPYYPPPRVNSASPSTSASQYARPQVADSDLLQM PSSGVSRFASTASVSTTKASRGSPPPPETPIIEPGQIPGGDIEARFAASGISGTATLN SLQSSAAAQRLAQYNQQRPPAQSGPARPWTPTETPEQQPHGPPTVYQGVTPVSEPQQS NSNNQTRPSTSSSSGALQESVLEQDFRRMQMSDPPPAYSSISSGGNSGYPAEKQRPTA AQASSTSSTPAQASQSVSSPPKKQADPVAAGLASPALQHPGHPAFANDPRPEPAASAS SSSKPEQTTPSPFSGQVPSSPPPLPEGWIAHLDQNSGQYYYIHLATQATQWEFPKGPN PIHHDVAPLSPTASTYGNPLASPMFGGKQTGMASPMFPPQTPGYAESIMSVAASAAPT AGFTGPPPSAGVDMYKVQPTNGVYFGPYLRYVNMDIEKGLWLGSIMIVTDSPQPPTIH IHKSVDLSPNPRQLVSRSIYTHQRWQFHKYDIDLEMGDGGTDRWTYAVTSHMGCTRYE FVVAGKQEINWRFIGHSGNDFAASTSQNERSKLGGVGFMWKDVLQKNVDCGGYHAQLG LGNQIYGDRLWKEVPVLKQWLAMQGKENRKTAPWTARHEEDVSHAYFHFYTSHFDQPF LREAWAQIPHICQIDDHDIFDGYGSYPDYMQNSNMFKNIGRIAIDMYLLFQHHTTLEV LRNVNHDLDLFTITGQGWHFVKFLGPAVVLVGADLRSERTHNRVLAGPTYQGLFPKVA LLPPSVQHCIWMLSVPVVYPRLDTVESLANTFATGKKAVNTTYNVLGKVTSSVAGIVG GKEVVAQGFNQVKKAVGKSGLMGNVVNQFGDLDIGEILRDMWTHDSKDLERTYLIRTL QGIAHQKGIRMTFLSGDVNCGGAGLVHDPTHPSDHKTMYQIITSPIVAAPASGYILKM LHSNKSYYVPANGQKSNHEVSDTKEDMMEIFHTDASGSPRELKKLMGRRNFVSFMAYD PEAIPGTQYSANVANGHSQGLSKLSLAVDYVVQGDGAFTTPTKYGPVIIPHLEFGR PFICI_01821 MANNNTNNNVGQGPFWDFVRSFDANPQRAGAGVDHAPAGQPPFG AGFPFGGPGSFNPWHGGHWGGPWGPPPPPPGDFPWGHRGGRHGRHGRHHHRRGDSDSE REGEESEGHDFDMDESPETMRDDVPPPPPPPGGPHPPHHAAGSPHPPPPPHEGPRHHH GRHRHGSRGPGGPGAFRRGSCRGRGGPRGRHGPPHGPPPPPFGGPFDFRSLMGALAGH PAAQALRGYFEPQDAPRAAGEQSGEQQDDSFVPPVDTFNTEKAYVLHVALPGAVKEDV GVNWDADKSQLNIAGVVYRPGNEEFLQSLSSGERKVGMFERSIKLPPSNVDEKDEVDG YGITAKMENGILIVTVPKVEKEWTEIHKVDIQ PFICI_01822 MATTGSPLDFPCTLCVLLQIICCTLSLCRRLPSGTQTDGLLYRT LDVPFPTARLASVALRSLQVDKELSPLVRRDLRIATPDTLPDTERIKDSVLKVTYKAT TNRMLRVAVNGFMESLTLVLEVMETLDTDVLSSSNTNTL PFICI_01823 MAPSLKQPNMGPIVEYIPDRLYLASYTHTPTADTYFPYPEVPLR SPNKRTVRSVDASATSRDSRQAPCYFTVDDQLFYNAFHHDFGPFHIGHLYRFALQFHD VLGAKENQNRPIVFWSRADPRSRANAACLLACYMVLIQSWPPHLALAPIAQIDPPLMP FRDAGYSQADYGITVQDVVYGVWKAKEEGCCALDNFDLDEYEKYERVEHGDFNWVTPH FLAFASPQYSPVAKVDPNSDAWAALPKDLDSVDVHPGLPQPFKNVLTHFTERNIGLVV RLNSPLYSPSYFEALGIQHLDMIFEDGTCPPLSTVRKFIRLAHETITVKKKGIAVHCK AGLGRTGCLIGAYLIYRHGFTADEVISFMRFMRPGMVVGPQQHWLHLNQGTFREWWIE ERIERKLRKEMAANPAPSTPIRAMQKTSLGRTASTPHRSGSNRTPLGEVDQERNNIGV QEDYLPAPTPGQPRKTSRPSRHHPYERNPINGTVEEEENVDRATEVISIHRSSQGSES EEEIHLRTRTHRKASQSPGRSDKSRSISHTTTTTTVYEVIDNDASNDAENIGAGRPKN VESATQSPTRSASASGVLTKVRGKRSTDSKRSTDSNHSPKNSQNGVRKTSGRVGSVNA TPSSTARKVSAI PFICI_01824 MATDANLIPAAGAGGKTNIINRELSAALARVHRRLRPWHIYSLL GACVLVTILSVVLVHVRDHQRNDALIQSQVNVGSYYSQTMLPLDESWPLGTPSDQSTA RVNLGYAQYQGHLLGSGIAQYLGMRYAQKPTGDLRWRAPIEPEQIRGDQEARHFGKVC LGISTILPSSTQGEDCLFANVWAPANATADSKLPVLLFIQGGGYTTNSNANWNGTYLV EASGRNMVFVNFNYRVGLYGFLAGERVRADGDLNVGLLDQRMMMQWIQQHISEFGGDP DHVVIQGVSAGAGSVAHHLTAYGGESQTMFHAAIAESLFLPTQPRVSELEWQMDKLLS QTGCDNNESPMSCLRGKDLHTLQAFNVPSPFPGRSYMPLPLFYWGPCIDGNFSRDYLY TMFDQGNFINVPILMGTDNDEGSYFGVNASTSDEVTIFLQNNYPHLSSSTAANITQSY PLEDSLPYHAAWFPSASRAYGEATFICPTVHVLDAFQSKLNSTSSSSVSPRPWAYRYD VLAPENAALGVGVPHTWETWAVFGPDSINGIGGGPPSYYGVAASIVPVVTNYWISFVL YYDPNVRAYASAPEWEAWDGDGQRLKFETGSVEMETTPSDLRSRCDMWKALAAITEQ PFICI_01825 MDPNMMASQVMIPAPFYYYNPDPNVEARQHGHFSQQPSLQQMPM YPVVPTLPSTPIYSRPSSSCSQPAMQQQPKMLSAIPANLTPMASPQMGHQRPTIMLQN CAAKLMLETDMYDSDFYPATPALSTTGSNIGSPGSSNDMLATPLNPMFSGLDGYENVK PDVESLPESLDVLDWSSCTSPPMTPVYLSQSQAGPSSVTSECINPRDILSVSSASTTE FTSSPASLDDFKGTAQPIALSTFEFHPELHHGLPSFDELSDLESEEDFLNGIVNLQEK PANDLKRPRSATTSTTLSSFLGEVEIDYEDNATATTLESSAEASPRPAKKVKSQKDSN EPIMNVAAESNDADSVQQEQQSAQEQQTSNAQSTESISTSSSDNAGTPSLPAPANRRG RKQSLTEDPTKTFVCELCTRRFRRQEHLKRHYRSLHTHDKPFECNDCGKKFSRSDNLA QHARTHGAGAIVMNLIDSSESGYDMMAATQQSHPQNEHDYQSFGKVLFQVASEVPGSS GSEYSSDESGDISGKKRKRS PFICI_01826 MATQGGLNVVALVSGGKDSFFSLLHCQANGHRVVALANLYPPET TQASSTASTSSATSRQHSSPAGHEDHDHGPASGPVDEIDSEADLNSFMYQTVGHQAIP LYATATGLPLFRQPIAGTMVQSGISYSSPQQPSSSSTAPTRAAAAGAAPTDSSSGSVP GGGTNPHGHGSDLEDETESLVPLLRAVIAAHPEVNAVCTGAILSTYQRTRIESVALRL GLVPLAYLWQYPALPIPAPTSPASASADLLSTVVARGHTGSDDDAQLLRDMASAGLEA RIVKVASAGLDEDFLWSNVASDDSIKRIKRALRRFGGGGRGSVLGEGGEFETIVVNGP PALFKSKIEISDVDRRVVREGGGSTWLSIRNAHVQEKTDSTETGGLPNVRIPDQLDPR FQHILDTFTTDSLPLHEDRSEAIQRMPGISLTNSLATLQHEFIGIGDNIYVEQQTIKL VDDIRDQVDPRLILNTVIVLRQMSDFPTINKIYGGLFPEPNPPSRVTISCGSLLPEGS KIAIFATSQPGPAPSVRRGLHVQSRSYWAPANIGPYSQAIVFPQGAPRGDSAVSDGTG GPQVVTIAGQIPLIPASMDLPQSHDSVPFQITLALQHLWRVGVELQSQWWTSAVAYFP RTPASEDMKLKATLAARAWNSAHTWSIGGSDDEEEDESGPDLWDRRFNPEYMSLVGAD DTEATPTLPKWETLNCYDEDDDAAARAQRPVPYMFAVEVDELPRSAGVEWHAHRGLVN VSPGSVHVVNSTLAVEGSSARVELQHVVANSAKEIYVHTVAAMSHDPAQSTVGLEGMI EVVNGLVNTSIKAALESFSELQFDLMPYLVYVDAQRNPDLEKASHNKGCQAIVPCFSV WDSRGQGLDVAMVYQAHFTKSR PFICI_01827 MSDQRPSNTTSTSSSFLGLRRTSSSTQQRQGSRSSPTLAPPRRQ STQERIETILENGRERATSMGNATWSSGRSPNFRPLPQSPEADDGPDEQTGMFMKGNP RDYQATQIGSHNAVSHRSAGGRASSDSSRQTPANAAAAANGNGAHRHDESAHASEKQG WGDYFSGLWSIELENKGSVARDHLALERTFLAWLRTSLAFASIGIAVTQLFRLNTSLS NAPDDGSFHTIRQLGKPLGAAFLAISILVLFLGYQRYVQSQQWVMKGKFPASRGTIVI VSLIALALMVVSLIVVVVIQPANAD PFICI_01828 MAPKEPVGVGAFAQTQLALLDAELQSEVQETSGLITGLSPTSLQ RAGLALTNLVVGAQRTGLGGKTVLELEPDSATNASGELPEHGVRVGDIVLVSEQPAGS AKKREIRDLEKKGARGVVTKVKRDGVFVAADEEREGDAQLTGRVWLVKLADDVTYRRM NQTMERLLKMTESDYSIFTRVLFGLSTPSQVPADLGADPELGKIEWIDPGLNESQKDA IRFALASKEIALIHGPPGTGKTHTLIELILQLVKRGLRILVCGPSNISVDNIVERLSP HKVPILRLGHPARLLPSVLNHSLDVLTRTSEAGLIVKDVRSEMDAKQASIKKTRNGRE RKVIYTELKELRKEYRIRERQCVDNLVSGSKVVLATLHGAGGFQLKNNSFDVIIIDEA SQALEAQCWVPLLTAKKAVCAGDHLQLPPTIKSTNSKAKPKVKEGDNIIKGMSLETTL FDRLLALHGPSIKRMLTTQYRMNEKIMRFPSDELYEGKLIAADAVKDRLLKDLTYKVE DTEDTNEPVIFIDTQGGDYPEKNEDEDGGKKSSIRSLLGDSKSNEMEAALVKQHVRKL VDAGVKPEDIAIVTPYNAQLAVLAHLKDAFPGIELGSVDGFQGREKEAVILSLVRSNS DGEVGFLGEKRRLNGSNSCDDQAEAFFNGYW PFICI_01829 MRVGLFKSTSSAGRPRAGHSNVRGKVISAPIPIPNPADDDEFPM RRPGTGLATPLGNEGLSKLLVPPERASTLDPQSMSSGQLPSEVVVPEERLETPLQADP VFASDTSDSPAQGRTNSNTLRYSVISNNTDTDQSRTAPQRKRSTLRTTLGRLFGRRKS PSSLGTQRKSSSGSAEQQQRQSKPSALGRAPTREAEPKRSASLPITEFDRALRSHSVG PNDHLAIESARTSYNGGSLRYRRRAATTSSKLYARRSEGMGAMAGLSPRPASTHARST QDGLDQSNPENIGRAITSDVLMQHRRSRSLSQITNVVEGPTQRNRQDEIRYWRESYDP GFQSQASSIAAVELDNDDTGHVTMDMPEQVPLDDRPKTPPQPFDFGPLAGMRITQAAS LEERVATLESRNEKLERLVAQLFDLVPGANSHRFARQQPQTPPTAYTSSTLAPALYRT TTEERGHSKYASSQHSNDSFGDGVTFIGSLPPGPGPLNRPTSNVTATVRGASSLPTLA RDSAVDDRYTNLLTMFENERAARHYLEAQVKQLVHRIELMSTPRKANIMSLSGPAMTH SVFEHDDDDDDDDDDTDDARRDDVSESDAFETPREEYSKHALGAFGEELTDEDADGSR KKAARTLSLSQLTMAKSRTRRPASAESGVEL PFICI_01830 MASKYERTVPVTSDIFVAAGDRFLKSLSPEDRLKYSPCQSVEDF KKSVKALEDIIQHTAHRSKPLRCVYSVSKQLEPYFDVVNILVSSNPEYSALFWGAFRL VLQVGYALNTFFFPSSDRTAKLLARQLSSNIVTFFNKLLELLEQLLAVFPRYHDIGEL CNDENSGRIRKNVEEVYVDFLEILQAAVTVFTRSSGKIKRTPAVLGSLLWKPFDARFK DLIDKMEVHKHNVSEEIKVWRLKCEDKQTKATARWREYAQKEHEEAAKERALAQEERR LIAEDRRLADTKREQDEKLRSKIAMLLSDIQDAKAIMERHRLESSVSRIQEWLRPSDH VDAREMNSRTRHPGSGKTVLATSILDELEETNDHEDEITPVLYHFFRFNSEVSKDPAA AFRSLLSQLLWSCRYDKTMVDKFAFMMTSKSQGQLDASEATLIDLLETSLTRNTVVVV DGVDECSDSDSFVSSLLRLSYTCSPRILLLSRISVPRLQRSVGPDIQLPIPKQEISND IRRFFGRELELLIEEEILPDSAQHDLEQLTDHLVKGADGMFLWARLMMEFLRLTPLSK NRRMQVIVEINFPEGLEKMYNRILLLITQSGQSACQIAARILTWLVHHAAPMTTHQIR QALIIDGLWSQDNSKEDISEFENAAIMASKGLVEIVHESKSKKSVRGLQLVHLTAKEI LVRRDLGSRSGESVPGHDLLQLPVLEIAHLKLAHCCIRQLLYYTPSSPLSKSHKQSLS SNELSIWYPFTDYAAIYWIHHTASSNTDPFHLMESDLRVSREFEEAFQSFAKDFRIFM ESPRTMTAWLEAYYTTGHHARPTGSGLREWASWLSEITQTTNLRVDGALLGLLFEFRH DLDRIVEVWHQNLLITPHIVWDETNASGLVASQLFFSPGSARVKSRAPERPNINGLAS HPKASISATSTDGSFLGVLSVWVGEDHQSTNSLPTSRFPRGENSRKRFCSPALELQEQ RSESEVSTVAHFATFDLWPLDLDGVRLARVVVQLDASNIRLFYQSQPLPLSISPDTLS FSISHRIWRLDPAIDSVLGGLLKTPWPEPVCYKTIDLPNGALHFEQSALKLIWSKITF SPTGKYAILATKVQKPQVDIEHVIDHIPPRVKMSAFELTSSHDLLPLAALDSESLGLR DDIEILFHPTQSLCAFWTPTSSSGNNNHQSVAQPAFVDAVQFSDCGRYLVWKAHAALV TVTPIPEDLLEDTDSKAASKTREDSDLLDQETKQHWYLDRVSANNKIGEDYGAQLHKI GAGRAVKNFSTSVGSKDGAAVMRSSITAEGLELQVSQLDGSRTDVVQITALPHWAGSS NSTPEIRFPRTETGSASVVIHKTNADGPEDLQLPLVIERDPRFFRTPQRLQQLAITHC SDNEDDDGDDGDDGDDGDDGDDGDDDGMSHCLLDSGLKPRADFG PFICI_01831 MPPNVDKGSTCTICKKKDAQLCGRCKSSCYCSKECQANDWPNHK LLCKSFSEFDLSTRPSENHFRGIFFPEDQSKPQVVWLESPLHEVDGYKWTFPDLEPFL GPNTLPERVQVQTDAILQRSLTDTIVICCRSNFAGSQKNKSTSAVLAPKNLAASFAAL PGQPFNWCGPIVIVGKKGKKYDMDSAACRDLDMSDFRYIADYLLSYTRLSPHV PFICI_01832 MAEPKVEQTNKPASYKRIILCADGTWLNSDSGQKAVPSNVAKLA RAISRTGIDKNGDTVEQIVLYHPGLGTGDLPFQAAIYGGLGWGLDNDVCQIYDFISNN YDRNAGDELFFFGFSRGAFTARSVAGLVTDIGILSPVNMKYFPAMWREYRRNTSGQPF SKTKWFRDHKSQLDFTDIKIKVIGVWDTVGALGIPNWPLVELSAKLGYPLNKQYAFHN TRVSPNVDYAFQALAMDEKRLTFPPTLWHKSENSPAKRLEQCWFPGVHGNIGGQGDFW HAFGDHEEIGDNTFAWMVDNLSQMLTFDKDAITDLVHEHSKALDGIKPTNGWGCGPII DNFTGLQGAFFRLLGRQDRTPGEYPRDPGDGTDGATNEFFHPTVRMRRYKVTKWNPPP LQGYELQEPDANTGWKWSKDGKRPVPEYEMKTNKTMSLAVQDPYDRKKIRFVTGESLS RKLCPTDILSDLDRDNANASRAEQNSSGPGVFHLS PFICI_01833 MLAVKRRLGLPLCLGFFILYLIFNLRSRESDERLWSATAAQQES LVDPKVLHDDDYFWRRIPTHYPVRSMKSLPTGRPPRTLHPIQAEFAYETKGDRQRRLR RQSAVKAAFQRCWRAYAAHAWLQDEVAPVSGSAKNHFGGWGATLVDSLDTLWIMDMKD EFDEAVAAAANISFETSSLTEINAFETTIRYLGGFLAAYDLSKDERLLQKAREVGDML YVSFDTPNRMPISRWNLDNAVSGEKQSAPQLALVAEIGSLCMEFTRLSMVTGDPKWFD ATERIMLALKEQQMKTQLPGLWPISVNPREMKFTEDNTYSLGAMADSVFEYLPKMVAL TGGLLPHYEEMYKKAMETAIRFNMFRPMVPDEADILISGIVRTSEGGKYTVETQGQHL VCFAGGMLAVGGRLLNETEHLVAAKKLVDGCIWTYKNMPLGIMPEIFWMAPCESDAHC PWDERKWKQALLARAGLNPDDLDSAAYIIKDRRLPLGFTDIPDRRYILRPEAIESVFI MYRTTGDTKLLDSAWDMFEAIQASTKTDMANSAVADVTVASSTDTQQTDSMESFWMGE TLKYFYLIFSEPDLISLDEWVFNTEAHPFRRLNPQR PFICI_01834 MNSIIAIDHEKKTTSANYRGSNSFATFMIIGPVCFFLGILFAQF PYDFPLLWTTDEVAPAYLDQLETHLKFIHAAPPLIARILHIMVGTGFLGFFVKLFRPS EANMLFDGAGLILYVIGVGIYITNIVKGLRTVSADIWDAATGKVIEQPGAPELQAGEV VLGREDSLKVLAASNTILALVLVGVLVLQAGQWYAERKDKEEAEKFEKEEREKKVHAG GAGSKKKQ PFICI_01835 MTRWRGVLRAWMLAAALSSTISTTLAMSVPPSQIFARDSTCGDT SYSQCPQAGLPDNFCCKPGTSCIALAGNTTIVCCPDGDDCSVIASIVCDVQLQNATSA PDAVVKTTALTSKLPTCGSMCCPFGYTCDADNNCAKDEDQSQMPEGAGDASSTASATG TTAASTGHASTAAPTATATDSAAAGAHTTGAASQGSSSDASDTAAKEHAEGANVSAIV GGVVGGVVFLVAAIIGAVYLVYRRRKQQESKRASDQSFISRNARGMISNPIPQDGFTY GRSDFISRSNPSTRTAPSQQTPDVYNGAKSFQSRDSISSYSSSPGHHDGSQFDGRSYH PSAIIDSLKVESEADKARAQPSHLERVSEDQGNFETIDISFNDTLAVPDFDVGRRSRD TTLTQWPGARPGTSDRR PFICI_01836 MAVAQPVVKSKAPSKASSNNANQKAKTQMHRRSRTGCYTCRLRR KKCDEGTPMCTACKHLGLCCEYKRPMWWGNNEARRQHKDDIKMIIKRKKLTEKSSHTI QTSVGSVGSPPGLSHSLPTSATFSDPLDRTRSASIDSQFAFNFNSSPNVADYGVYNPQ MHHSHQDFMFGMTPYEIDVKTERQMFVNDVPTIRESTVSTFSTYNTPPPPGTVLSSYP FDGEWTEQVYSERRESLGEEALNVNFFDFSHGPAVHSRQVAIELDEADQRLLDHFIQH VMPTIFPILESNQHGSVGSDLVLPALQSNKGYLHCCLMMAAQHYKATMNIPSEEIDND IMRHRHETIKALCEALNRDENHHEILEATLGLIFFQSCVGRYDDTLPDIPWHQHFQAV NSLVTKLDLPRIVSDPAGQLPQTPFNMTLTAWIDILGATMQGRAPVFAHTYREKHLSP TNPSLGLRELMGCDDRVMYLISEIACLEALKNEGMDDMTLCQHVSALGDQIGLTEMGD TAGPKMPFNANGTLSPKQLSRNVTSAFRLAARIYLCSLIPGFSPSQASCVGLVEKLTN VLQHIPSGPNGFDRSLGWVYLIGGSVAGASSSFRQFFEERIAELGDAATYGSFGGVAS LLREVWQQTDSFVPSPSASGMVELPYISWRDVMQMRQWDYLLI PFICI_01837 MVERPQQEMLQKSRPHDSFFGMKGPEPRPSSIATEIVDTDWDED DINSEIEDDDPNSPRLSLNSSGQQSVTTLSSYEEVQTPRSSRARTAFPFEFDIKPVEG PRGPHLFRMSATSTESFELQDALSLSPITPRAPKPIETEFRFHLAQEQMPPRSRSTPF QFSHENLDQYDLPEWSPEMVAQWMLNADVEATVAEKFIENDINGEILITLKSEDMKEL GISSFGMRTAVWNHICELIKSKPESPRPETPIEDEECKEVRRERRKLERCEDDVRPRR GHSKRRLNKPSHEDIISPLESVSIVGIEQVMPKPHKCSKGENCKTFRRQQRMIDQFMK EHPFADTSGGRILVAGDPGNAATAPALNRQPSTEALRPMSDAVPSVVASSDVLGPGAG IGPFQYLQEATLRNVQHRDPQDNVRQFLEFQQGASNASEVPPTPPFELYPQTQAPHEG LRSLPKLSIPGRQSSQTPQQQRPSNLRQSWVPYAMDKAEALSPDLTTPVAPYRFGSPF SEMDVPVTSVPLGPVARDASQSVPPDMNYRAGPTAVPVRSMSRASRRPSFQVMAPLQE YAAASPTYHNAPTSPASKRSSLQRSPPKAPAQRGQQKPLQAPPRAQYPWSTNQHANLF GSLNGAVSSQPQASQGDEGIAYQGPVRKRKTKMLRHEWHDHYATLKGTRLAIHQDAGA RDRTLEYVDIDDYAIACSSLASGSKLNAAFKAMSISRSSNKDGKDDPVAAFSFQLIPQ GREAFGTRLKKRESGIAGASSGPLSAGTEGAVNGTGKTHHFAVKSRDERIDWMRELML AKALRQKGEGFEVSVNGNMI PFICI_01838 MFKPRLRIPRVSLPSTQRQAPASRFFARRDLHTVPGLSIAGSPS TEGIRGLLSEDGFNLAYTQYMQFATEKLNILTAGTELEQTPTRQIINRTAREPSQAPI FNYASMLHNNHFFFDTLKAKEEPTTQQREAGEEPQNPIPAQLKTSLEASFSSMETLRL EMSAIANSMFGPGFVWLVKVRKAESYRILTTYLAGSPYSDAHWRRQPVDANTMEGPAS SEKTGLASEYLDRSGLGAGASNGSQWSKNVAPGGIDVTPILCLNTWEHVWLRDYGIGA GGYGGKRQFVENWWECIDWEKVSNIAMPKRELRV PFICI_01839 MHSTERTAHSGSLRSIAPKTPRAIDNVQSSSKLFQRPPRKLDKE KCDNCRQAKQKCIEKGPGCQRCFKKGLTCSGRYKASNNSPTSSTVASHDDIVHVSPGS RSEWQEATSDEELFSTPDSDAGFQQCPPVQSHTSKVEDFTGEDIDQDVKDALDELRGL NIGLDMADTLDDEQEVESFAPEIGVTHTTNSAQPLPPCDWDELYEAFIAKYGYPPSKR PDFMGYLRRLRSSDSGLVECPSCRMNKDGEFKIAEIKNHFRYHHVGDIAYADNDNMKP KVWAIVRNLAAGFEAGQIIVSLRDTCEAKREEYFRRQTVHVKPKPNLPSVLLLLSQLR IVCNGQLVCISPRCSLEPFEPSWDALREHYESSHANDIIETDPSRVIDVEDHLKKHEY SIARKLEKAESIRVAWNRKGWTWMDRNWINVDTSEPMADLERIAFEASTYRRIPQTRQ WRLVKDLNRLFRTRYKQFVLDTARSSSAELGVFADDLGSTLPSAKRLRRLATKTFEKV LRGMIPNTFLEMLAFGMLSESMTTVMRQQGVPSLGGPTLADHLAWANCIQNQRERPSY DLFACWLKDNFSMNSNGSPYTAATWSAEHDISIHENMKRHVLNLMGARRSDYSFNFSA FLKLDIFADRTTGKKAPETVGKGGEVTATPQKINAQETPKPNVNVKHQLMTLRSNVIF VRVYLFMIYITDQGVLLIYLGNQERQCRVFSSGNHTATAYSILRTTEEMKINITDPLL RDAQQWDRCASKIFKAAETMLDFGQVWRLEELHRQMVLEVERSVEESTLRRFLLNRIS QQCFAACGLIKPACENYNTCSVCQCTTSIS PFICI_01840 MVRLGLKGSRLPSLVLACLLAVLAHAQGTNETSELQIYNSSTTY HYVGCWNETTGIAQSTGARALPDISLAQPDTMTVEICLDFCANNQSTPYNYAGLEYSR ECWCANKLSRLSVQLADAECNTPCDGNQTDACGGALKLSLYNITTADTKSGSPAIRME TANMAFLALALTVTAALF PFICI_01841 MSPELGSLLRTVVKSYTLHPLSEGTLKLISPFYQIIHHWDALES ETQKEGGTEEDQTARSDLRDLLSQLSEWSGDANLDRYMQARRDLVFADSITFEALWTI FPPGTLDDDGGTAYPGSTFPTMQPQMRGPFLKNIGYHGSIELVMVDFASHYKYAQVGQ CVGTAALNDDTYDRIPGAPEYDWEDEQFMLCSARVMGYILQEKMWAQFLVDGIEDIDV DGSHTAFNDRLVLPEDPVLDRKAVLMGLVKSHYAAYSDNLYQLEDIVPGKGKGLIILL YGPPGVGKTSTAETIAIATRKPLLSIGVADVGTSARYVEPNLERIFDLAQTWKAILLI DEADVFLQSRGSGQVGATTERNALVSVFLRVLEYYRGIMILTTNQIAQFDVAVQSRIN IAFKYESLTPKQTADIFKMFLKQYKKNDMVNMEEWNDINNWCEKKLPKKGFDGRQIRN VITSAVGLAASKDEKLGVAYLEDVVEIVSDFKNELSKQMDRYTQAQAFIEQRQ PFICI_01842 MDNLLDSWPKEVGLQKTDLDSREFIQLVEIEEKQKCFHAWLERR QLALEERQALHCRNYGEFAAYWSEVSEGAQSSFSLRHEKGWRKWTKTCQSYAIEIHDL MMNIKPLLDIVSSLGAPYSGAAIGTLTGLFAIAVTKTELDSTVCSAITGIRDRLPGFQ MYEKIYQHNSDLKKKIALSYIRFIELSMSITKYCLRSGSYRWAIALFDPTKFMDQMNL ANEAVMQVRLKCEELLNERVYDLDKHIKSLKTETLDLKTEIRGLRDSANRVQKEKHTE VLLSLKADLGIQTFDFQLQRQELDKYHKGLLNESREEGHFFQQMSAHRIKELQETRAF VSWSTDDTSSVLFLQGQNSPEIGYHKSSSWISPFAVDQVMQLQKTDPGDPFGYCMLPE DSSGIHSVLPRILFHLLNHRLCDLGPSENRSKLQHEIKMYAACHLDKQTSERRRAPSS EGDKDDEPTAILQRVALAVLALYPAHQPVRIVLDRIDRCPKDEQYDLMDLLGSLIQKA ACNLKILCVADSAAWSVSKATYGRTFGGRVHLVELQQKLLTMGGETDY PFICI_01843 MIRTARSGLWTCTRCLRQTPRKPLSPSHRRWLTTASNTAPQPKF DATSIPTDHSDPGTKYDDTLLRKLFDSPQTFRDFAPPSSRAINGNVGLFRNKYLTSPA GFLAFAQDNLEKAHKLVHTVLKASSVEEYKAIVRDLDRLSDLLCRVLDVSDFVRVTHP DPKIQAAASQAWALVYQYMNELNTTTGLCDQLGTALAMPEVRSSWSEEEETVAILLRQ DFLKSAIHLPKESRDRFVSLSQDISELGSAFVDRMSPETHQISLPSSKFQGMDPRLAR KYSSFGRVHLPTMSPEATVALRSVMDEDTRKRIYHATRTASTQSIECLETLLRLRAEL AELSGFQSYGQMALRDRMMAKSPESVHQFLQALSKHNARFVEEEVAALLKAKQENPHT SSPGDLQPWDKDYYMETIRKTIRSRKRESDILSSFFSLGTVMQGLSRLFSRLYGIRLV PRDVLPGETWHPDVRRLDVVSDTDGHVAVLYVDLFYRSDKSPNPAHFTVRCSREISNQ ELQEAAHATGATTMEEAIDAANDGMHVSQQSGTIKQLPTIALVCDFHHERDAKNSPAL LDYYQMETLFHEMGHAIHSVLARTSMQNVAGTRCATDFAELPSTLMEHFCADPTVLSL FARHYKTDQKLPYGLVADKLGIWKRFEASERETQIVLAMLDQELHSSKPSQPGFDSTA IYHQLQHDHGRLPPDAPGTRWQGFFGHLFGYGSTYYSYLFDQTLSERVWQVVFSGGQN GGALDRANGERLKENLLKWGGSRDPWRCLSDALQDERLANGDEKAMALVGSWGARDGR HT PFICI_01844 MAARVLLGRRVAAPFMAATLAGGALIPSVAFAEAPEDLSKKPIY DDFEIPIPQGRRPNAIALFRHHPAGLVTRVAISRDTAAAIGRARLFLYEHATRAEDAV NRGMDQAFHLEKSFTQTVASLAPPRESGEQLMPGLVYVLVAGMAGSIVSRNRNILLRA AAPLALGVGAGWLVLPVTMNNVSGLLWKYEQKFPVIAEAHIRTRENIFKSLEMAKVHS QVSRQYVDEKVTGVRDAVEDWVKKGK PFICI_01845 MSKSGQRLQDEGSRLQVVTAGAISGLVSRFVIAPLDVVKIRLQL QAHSLSDPLSQAELTKGAPIYKGTIATARHILRHEGITGLWKGNVPAELLYVSYAAVQ FTTYRTISMALNELAGEKGMNKSAESFVAGAGAGAAATAVTYPLDLLRTRFAAQGTEK VYSSLFKAIRDIRRDEGTKGFFRGIGPGLAQIIPYMGMFFAIYESLRIPLSQFNLPFA GGDASAGVIASVIAKTGIFPLDLVRKRIQVQGPTRAKYVHKNIPVYDMGAIKAIRMIL AHEGVRGLYKGLTVSLFKAAPLSAVTVWTYERVLNLLTGKSLDGAEKRAVS PFICI_01846 MSFTNVKAPDLRETLGPVLQSLPPAAIATSPAENVLAYLSPILK QRVQLLSSSSSDPWIKLLCYDTAKAAGLIEIAQSDRLEPHPVSGEVEVDWDYDAEIRY RRLDEETLQALVVLEEKGLSFRLVYCTGEVDGWRVGEISTPETPSPFSSFGGVSTIEE ADRQFRDGHSKKNAASASSKNSFPNGGDLVEDEDDDDDDYWARYDATPARTPAEKRSP APPSTSARGAGADEDDYYAQYDSVQPAMDPHDPDEEAGMADLAPPLGLAAPRPTRPAD HVDYGGGYEEAELNETQGAWTIAEPPRSPSVGSRSGDDPNLAHPRPASSADSHSSVAK LEEAAENFGVRQHISRSIKNLSMLARASGIDHDDFESLVKRELEVLRMMED PFICI_01847 MTPEESLALIKANLAEVLNPEIIDNVVLNEKRPLKVYWGTATTG KPHCGYFVPIVKIAELLAAGCHVKILLADIHAYLDNMKAPLELVEQRCKYYEFIIKSL LRAVGVDISKLEFVKGSSYQLTQEYTMDRFKLEGITRISVAQKAGAEVVKQTDDPALG GLIYPLMQALDEQYLDVDVQIGGVDQRKIFTFALENLPRIGYKVRAHLMNTMVPGLGQ AQKMSSSEPDSKIDLLDAPEVVGKKLKKAHCATGVVEGNGVVAFVEHVIFRVQALKSG GKAKFIVERERDGKEPLVYEDIADLKADFEKDILTPQLLKAALTKALNELLAPIQAEY QASEEWQRTTELAYPPEKKAVNPKKEKKQKGGDPAMREAAAAARAAKAAEKNAPADAS HGIETLKIDAETKAA PFICI_01848 MGSRLEKNSKEVRKRIENHSFTDEAGDEYEPSAFGGFPEYFRRK KIKLQNLDSEIRAAANKPQIFKGIVAHVTGYTQPPLHVLHKELVEHGAGFIQYLDSKT MATHIIASTLPPKKMVEFNKYRIVKPAWVTDSIQAGKLLPWSDYRVIEETPRQKTIKF TGGMMSTQSNTQQSPLRYREQTDNSFYTSQLKGFAEAIDGKPTKSQSPAATTAFVGAC ESSPLVDRETRRLSFDMNMIEAPADIEAMETSHLETVQKQDRDDALMSKPSILQPPDK PLEEMTSEEHNALLLQDPRMRKSSTANPDFIQQYYSESRLHHLSTWKADLKSRMQRLA AERGTQEKPIRRKPGSRRYIMHVDFDSFFCAISLKSAPEFVTKPAVVAHGNGTGSEIA SCNYPAREFGVKNGMWMKRAKELCPDLKVLPYDFPAYEEASKLFYEAILDVGGVVQSV SIDEALVDVTQVIFNAVGSKGDGIDEGSIWREQEKADSIARDLRQKIKIKTGCAVSVG IGGNILLAKVALRKAKPAGQFQLKPEAVLSFLAELQVDKLPGVAYSIAGKLEEIGIKY VKDIREVSKERLITTLGPKTGEKLWEYARGIDRTEVGDQPIRKSVSAEVNWGIRFINQ EEAEEFVLNLCKELEKRLLNEQVKGKQLTMKIMRRSLDAPLDPPKHLGHGKCDTFNKS TLFGVSTNDHKLIGKEAISILRSYRFSPGDLRGLGVQLTRLEPIKISTPGGLESSQKK LSFGAFKSPAPVRKPAEDPIQEVAGPLRPSPTQGRAETEDPITDGPLTPRKAKPNTSH PALAISKANEHDAKANTPLNIGGTQFVLPANADPAVLAELPPDIRNKLLAQAKSKPKP SAAVESPPAPRSRTESPALVDEIPPDIDPEVFNALPDDMKAEVLASYKRPRPAQQLLP QSPRKDRIIKPMKKTTPTKKRVPGIFARARERHADAQNKVVQTSLAFNAGEEAGLSDV DELDQDFLAELPESVRKEVIADFRRRKAQRSGLDLKISHKRQREADSDEALQGGQTKL QFNARPSKIAFTNAGLTSLSDIRDMLNVWHKETAAEGPHRRDVEMLEKYLARVVLEEK DMDKATKLVQWLDYLVEENEAESRGKTKWLAVVQGIKAEVQNAVKQRGLGPLDI PFICI_01849 MNNDQFRKLIAAKSPKESSSSNGASPAAPRAVALGSRQRSSIPM TPRSVGVSHSDFQRQLAERNQAQRPQQQKFKTSAPKGSKLAQGYTDRTKQRDDEEADD RAVRLKALEEALKKEEIDQATYDSLRVRIAGGDLESTHLVKGLDFKLLERIRKGEDVY GNKTSENGDAGADEPEDVDDVLDQLEESNVEVVMRESSKKQGQVASGAALKPGQKRSR AMILAEMKAAREAAKAQEQSALGSKFKKIGDKTPGSRIERDSKGREVLIIVDEDGNEK RKVRKIQTPQDAEEEAKARESFVPDKNAKPLGMEVPDIYKQKAIESEDDNDDIFDGVG DDYDPLAGLDEDDSDDDEAPGSKKPVKLPSEEDKTANDKDMPPPPKPAPASGPRNYFK DSKTELASAQTLQAPSMSDPAIQAALKKAASLNRRPAEEDDEEAKAKAERHKRMLQSV DRDAEDMDMGFGTSRFEDEADFDESDVKLSNWANGDEDDGEGGSGKAKRKRGGKKRKG DVNNADDVMRIVEQRKAEK PFICI_01850 MSAPVPVWLDCDPGHDDVFAILLAAYHPGIRLLNISTVHGNASL DKTTNNALSVLKAIGKHEEVTVYPGYAQALERPSILAPTEIHGESGLDGTDLLPKPAT QADRSVSAVDAMAAALRATEPGTAWLVATGGLTNAGALFLKYPELAGHIKGLSIMGGS VGGGFTAAPLGKVDGVERIGNYTKWAEFNVLIDPEAAASLFDNEVLKGKTTLVALDLT HLVLATKEVQEQILYGPNYQGEKTGKGKTELRTMLVELLNFFAATYRDTFGIVEGPPL HDPLAVAAVLTGTAHEIPFYDFDPTGEEKRPERFDVKVVTEGSIQDALQHGHLETGRT LVTPLPPGTPGVRIPRGLDMALFWKVIEECCQRADETNSKAAAAAAATAV PFICI_01851 MTENLRGIGSLYVESKISRSDVLDEETYLKWYDEEHIPEILATG GIKSARRFKNVDPEAEKPYLALYPLADLAFLGSEQFKNIKIKSDNLPETGIVYDLADF DVRYDNLIQVYDPTEKGKGHTKSIISVQIELKEGADAEDFDKWYREEHLLLLSKATGY LRSTRFKLAFARTNAQSRALKGLTSAAEEAPPQPPVWLTLHEFEVDSPDMLEIKRLTA SPWTDRIYEGRKLGIFKIFKLLGEFGEKDWFQDVEV PFICI_01852 MPSEPSRSGIVTDETSGERQIPESVRADGTTRKAIKIRPGYRPP EDVEIYKNRTAEGFRNRGKGGVIGAEGLKEQKVDASSAASNKNAKRREARKKAKETQG EPSTSEQPKEEPKAEELDPEAEKEKKARNLKKKLKQAKELKSKKDEGQSLLPEQIAKV IKINELIRELEALGFDAEGEPKAGNGTAQAQDGKVEG PFICI_01853 MLGAVFAGGFAFELFFNQGMNKLWDNTNRGRQWKDIRSKYVQAE DEDEE PFICI_01854 MTEPTLSTQELQLCQHLIELPRRHGYRYGDGASKDLLYNLFWSM AGGRPEYMRLLFPDGKITPQSALKLREAQGAVEGAEYTEAARGKACGHIFRAGEASYG CRTCSADETCVLCSKCFDATDHEGHMVKITISPGNSGCCDCGDPEAWKRPVYCTIHSE LHGDQSKGKGKEAAGLPADLVGNIRMTVARVLDFICDVISCAPEQLRQTKTRESIEQD EKSSRLNSVYCGGDVESPGEWAVLLWNDEKHTVDEVESQVARACRTTMAEGYKRALET DSIGRSLLMYSSDIDHLLNVAKILEQIKVTVTIRSSRDTFREQMCGIMIGWLNDIAGC LVGSDGSILRQIVCEELLRPWREGSPASHKSNPPGIEDEALIEKEHELNESNRYFMRR ARILARMNAAGQMTATALIDMLDNSEDEDDDGNQTPGSDDDDHDDDEDDDDLDGDVMM IDAGAGAEVNLPPLFGNNDGPGDVLDDDEATMAGYPPPPPPPPPVPRRTTRDRELTPS DSDTAEPLISSNVYAKVNLEVPKTPGQKQGDNSEPPRPGRYWLEAPPAYLEPAPAHSF EDVFERVRLDWLILFDLRMWKKVRQDLRSLYISTVVTIPEFKRILGLRFAALYTTLAQ LYLIGDREPDHSIINISLQMLTTPSITAEVVERGNFLTNLLAILYTFLTTRQVGHPWE VSSSAVLGFDTGSVTNRRMYHFYVDIKYLFGSPHVQERMRTEERYLLQFLDLVKLHQG ICPNTRAIGEHVEYETDSWIGASLMTREINQLCRLLADSFRNLSEDDLQHISKATRLT AKSVIINSIGAERARFTHAEIKDEVRFKTLSDFEFAGESIKYDVVKFVVDEQPISFHH ALHYTLSWLIECGKHMSPQQLVSILSFTAQDLKMKPKSMGRKAMPKRDYAPQDYLMAA FDYPLRVCAWLAQIKAGMWVRNGISLRHQALTYRNQLHRNHTHHRDIFLLQTAMVVCD PGRVLASIVDRFGMEQWVKGIFEQTTDGQDVNQHLDIIEDMIHLLIVLLSDRTSLIPT QDKHETHLMAMRRDVTHVLCFRPLSFNEICSKLPDRFHEQEDFQQVLDEVATYKAPEG VSDVGTFELRPHLIEDIDPYIAHYNKNQREESEASYRKLMAQKTGQPLEDVVYEPKLK PIRSGAFVGIGNFTSTGMFAQIIYYCLLYPLVAQKLTPDVPFTRVEAFLQVVLHLVLI AIAEDRTEEKGPEDSSFVYIALNTQGRSNFLQDTPAAKTIVSLLELITSKEEFKACHA KITLILKRMRQKMPSHFDSAYLRLGIPIDRLDTASPASTQNADEERERKKRAALDRQA KVMAQFQQQQKSFLEMQGDIDWGDDDLEDIDDAPPLEEQKNFWRYPRGTCILCQEEAD DGRLYGTFGLFLESHILRQTDFQDPDFVREAAKTPVNLDRTAEPDRPFGLAHENRKMV QKVNAAGEVFTAEKQGIGKGFPAKLCRQGPVAVSCGHIMHYSCFETYIEATSRRHVHQ IARHHPESLERKEFVCPLCKALGNAFLPITWDGKEESYPGILQNPADLSSFLETPTQL EPSDFYQAGQQAFADYVKVKMPETLSEASSQHGGSDSSWDVFVSQSTGIGTPFSDAFS PVATPDSSLRSRSPEIPHDKVLRRAYHRLRETLSSNKLLNRLDPDVKNEDVGGNRALA QAVGFSISATEIAQRGIEAQYGMTLVEKVPEQGLIQLRILSETVAAYIAIGGLRYGGE SRVNSEYRRDVERQICQVFISEYEGYETSKGRPAIDSFAPLLSEDPFIVLCESVFGIG MSRYTDINNLVRLYYLAEIVKAVYHIARNMPVGKWLEHMVNRDPEDNALANFAAFCNT ITLTGIKYSGEVGELSEDLPNLGFEQPCMSSLQDFYAFVKKYALVFLRKTTILLHVHY GVDFNSYVPTAPEEDELSRLTAALRVPTFDEMCAALTQFAPQCGWPSRIESTVQGWIK HQVYYPPPAPPTRREGPHVLPRSAQLSHPGIFELVGLPKNYDTLIEQCAQRRCPSTGK DLADPIICLFCGDIFCGQTLCCLMDYKEDGRDDKPAMKIGGASQHMLFKCQRNIGLFI NIRKCSIFYLHRVSGSFSNAPYIDRYGEVDVGLRHGRLLYLNQKRYDSLRNIWLSHGI PSFISRKLEADINNGGWETI PFICI_01855 MDDLPTELTELNILTLNCWGLLHLSAQRAARLQVIGHTIGSTTP TPHIVALQECWTQEDYLAIRRATRFILPYGKFYHSGAFGGGLAILSKWPIEESSMHQY PLNGRPTAFFRGDWFVGKGVACAKIRYGTGPRDVVEVFNTHTHPSYEHGTKCTYTAHR LSQAWELSKLLRGAAARGHLVLALGDFNDTPMSLMHRIITSHSTVKDVWRVLHPDSSV GSADDESERARRRPVPTVEYNINENGATSNTIYNTWRWPKAQQRLLGKGRENDWPQIS PDTLDPRGQRIDYIFASSGLAENEDADRAAWVVHDARVGMMGRHPELGCSLSDHFSVE ATLVLHRENPTVPSSPMGDQYQYQGRSAQYGQNLLPRPPGGAAVSLAETKATEIPNAD LENGVYLQSPSTSETHLPQTKNESPHAQLQSSYAAQLAAAAQPVAYLPARTYDEILEE IRAYMARERFQRRWRGIHFGTWLIVTIICYIGVWWTPHYVAFILMVLSSLGLAVGVVD GLIALLFVGSEMRALKEFEWEIENVRTYAVAAERGARGASPAAHHHEEDPLPPRTSRE GSRDKS PFICI_01856 MSDTEPTSEAPAAQPRSKRRRAHNDEDEAASGDDKRSLKVPMRA RKPKESRSPSHATDHDDNKDDDKEEKADGYYTDRSTSRSRSRSQSSTRSSRRSRSGTR SRSESRSRSRSRSEESRSRSQSQSDSRSVSRSPSPVSASGEPTPLETPHNEAGTATPA EVPEEEDNQKRVKPNFKPRLVLGGHQKPISQVRISPDGRWIASASADGTIKIWEAATG RHMDTLVGHMAGVSTVAWSPDSKVLASGSDDKAIRLWDRVTGRPKRARPLLGHHNYVY CLAFSPKGNILASGSYDEAVFLWDVRAGRLMRSLPAHSDPVSGIDFSVDGTLVVSCST DGLTRIWDTLSGQCLRTMVHEDNPPVTSVCFAPNGRYVLAFSMDSCLRLWDYVSGSVK KTYQGHTNKGFSIGGCFGSIDDNGSSNGSSSSDENSNSNINNNNHSSSSKTAFIAAAS EDGDIVLWDVKTKEIVQRIEGAHDGVCFWVDVNGDTMVSCGQDGKIKVLRHQAPEALS NGVDEPMVDSDGAAAATPAVNGDQEKSGETPAQDVEIKDEE PFICI_01857 MKRPVNEGRAPASPQTYTTPDAALLQTPPPESPRASNERPRKLR RQSSRMLTALRSLTNSAASGSFRDTATTDAAGTSLQNGGERRSLKRVFSLSALRSPFS PHQTIVRRRPKSRPSAPSLSQLQSLISDSESQLQDALVSNSTSNSNTTSSGPLSALAS DNNTTPITSCDSKFSKSSSKTHSGDKKLKSTPSVIRRSKEPEIDSRDSPLEPIAETVL DHWAPTIRTVEKAAAAKIYLETYYNENLSKPSPRALRLQYLRAQLYRSDLSPQGKHAR HAEFYRRETNHLRETRLIRSRSFVPTMMGLRGKFDNRYEVLKILGKGSFGVVRLVREK TSDEPKTPEGRPSVFAMKVIRKSAMIRTSQEGHLRAERDFLVSSDGSNWIVPLVASFQ DPANLYLVMEYMPGGDFLGLLIRENILSEPVTKFYIAEMILCIEEAHGLGFIHRDIKP DNFLVSASGHLKISDFGLAFDGHWSHDTSYYHTHRYSLVHKLGLSVEGDAQDRSEGFG GTMKWTRNVAKGMRKHERKDGHMASVSADWEPLLEWRNRCGNRTAARSVVGTSQYMAP EVIREGVYDARCDWWSLGIILYECLYGHTPFLSEAGGRQETKRNIVNHKQTFAFPARP LISNRCMELMSWLICEKESRLCSKRYQINDQEQHQSPTFRSPRRRRHDMMGRAVHPYD AEDIKAHRWFRDIPWDCLHLIPPPFVPRLSNIEDAHYFEEDEPISDWSESQPDTDTDI EAQFYPSPVDGMALESLPMTPPSTRDVAAAPYIHAAALPVSLPRHSPRKMAEMHATLA TWPKPIRTVMSQFVASPYDSIRLKRIHREIEHMSPDSNEVERLKLFVRNFGKKERKRP RDRLLRDRQTKGVVLEIRKQSAFLGYTWTRMEARNFLSETIESIKTEGTGNPSEEVNT NGQRESESIAAHRARYGGQIRIGG PFICI_01858 MSSIAVYGLEVPPLNMLIPSTGEDSIPNAAYRITMAAIDPTEAP QADEDGNIPNKPRATLKLIRQKFADLDEEMDNEYLDALMGGSDDDEEDSDDEEANGGP SDPSKSKKAKAEAALQKLIQAAQEEEEESDDEMADADAKVNGKKGKKAAKGKEPATSS DDDEEDSDDDDEGYDMEEFVICTLDTERNYQQPIDITIGPKERVFFRVVGTHAIHLTG NYIVEDIDDEDDEDEEDYDDFPNELEEMYGEGSDSEEDELDGLDDPRITEVDSEEEAP KLAQTKKGKNKRAAEEEAESLDAMIAKADAAEDKNSKKQQKKLKNNKGEAVETKAKES PKDAKKVQFAKNLEQGPTGPAANAEKGATPGVKVVQGVTIDDRKIGKGRPAKNGNKVG VRYIGKLQDGKVFDSNKKGKPFTFGLGKGEVIKGWEIGLEGMQVGGERRITIPPKLAY GSRSQPGIPGNSTLVFDIKLLEIK PFICI_01859 MGASRKTVRLGVFIPTECQLLDASCVDILASMSYEYLSPLTDLM PKVLIDSAPSVSIHYIGTVKPGEAIPMTANHKVLATNHFSDDEVAPGKLDIIIVPGPD PSTKFDKPSLEWLRQQGESPNTDILSVCTGIFVCGYAGLLKGRTVCGPRGMQDTIKEK FGEDIVQKGTELRWVQSGNFWSSGGVTNGNDLVAAYARHKSEFFPKPLVEVLLETTDT GDRAQVYGKSQSSFILGIVFNTFRAWVSSVWRS PFICI_01860 MEALFGWTTRRAGGLAMVATIALSYWVVSKEAASTGYGYYNLMH THHASAPSAAALESPTTGGGMWTLGFAYYCLFIHILVAAFPIRACWSIFSITRALKKN AKSKALRDIKLSHRRRGSSTSLSSSETLTSSRDGSVSSATSSEAGDLEEQFTDGDASS IENIVHAIVIPNYKEEVDTLRETLDVLASHPQARHSYDVYLGMEQREHNAELKAMNLI QEFVKKFRSIDFTLHPSDIPGEAPGKGSNMAWAARKLSERYSMSQRKDVIVTGIDADS HLSSKFFASLTSMHLAYPETASTTLYSAPIIFDRNANDVPAIVRVADILWSAAGMSGL YSGSTIAPPTSVYSVPLVLVDRVGGWDADSEAIGEDLHMYLKCFFAMNGNLTSRTVLS PVSQSNVSGDGGKGVAGLITDMKARYKQALRHMWGCLDSGYAMRKGWELWQERKHTSR NYRPLHITLSNPSDTYIPQVDDLSPGQNIESGVFADITTDTLKDVDWLRVLSLAHRLF EAHFLPVQMTILVIASTLYLWVTEGNGDPHHLTWIFTVCNVLRTAGFMQIAFYLFLYE SFHKLMASAREKEMNDAGLAKGMCFAHRDRKMHYLDYVMVPLVAPMYGSIPGAQAQVF QFWTQDLVYTVSKKVVRQRSKSLSADAMV PFICI_01861 MATHDIVKEPNLVAALNASRDARVQALALVDQVTATGPVESASA EAQFEISKQQKLLITHLAQVRGLHRAATFSTRKTKAETAEARQEVDRLHLQLQNLYYE QRHLQGEIAACESYDHTYQQLPLIPVEEFLALKPEHAEDDENALMIARIEHERTEREG LEQKRMELLKRKQKLISDNKKRKDDLANLDKELEKFIDAAKPIQKLFEKNIT PFICI_01862 MGSQEDVAEATSSRPQPSEGQPRQRRRRSSFMPRRKSIVHQIMD GEEGLLLKVDLFLSELEKRLEYLESLGEVKLDASISRAYSTLQAVQARCSQVSEEVIG AGRRRLHVMVETLDARYHDALAAAESMNEKAKVGIELMDDMLTDYETRALKFRDQGLA NAAEAAGSLMDEGRRVVDEGIERAREVANDGLEKAKRAAESVEEHVQYAITRAREHGL LRYDELPVPWRVNPHILKGYRFSETKLGCVRSMFNFSNETVNIWTHAIGLLLVLSLAF YFYPMSANFSLSTKTDIFIAAVFLFAACKCLACSTIWHTMNCVADQTLLERFACVDYT GIALLIAASIMTTEYTAFYCEPISCWTYITATGLLGIFGVIMPWHPYFNRADKAWLRV GFFVGLGATGFLPVFQIVYTRGPAWAYDFYMGSNLVKSLSVYVIGACVYASKVPERWF PGCFDYFGNAHNLWHVAVLGGILYHYVAMQEFFAGAFRRAQYECPSI PFICI_01863 MHCTAILLLTFALTVLAVNKSESYAPISAVSLPRRQLMTCNQTY GQRFESCGGPESTFCYNAGAGQSCCPDKGYCEAGTYCAPVAGYCCDENEDLPTCARIA GFTLPASLAAAASATAMSTDEGNMTIALSTPTTGATLFQSSTTTALANTSQQTATLSL PPVDSDPFVQLVTTDCVSTQSTVAGFGTTSVANQAANSTGNVTPLGGKSSSE PFICI_01864 MSSPTRESLPPAFAPSLATELHPPPSPRTHRQLRKLQSAHNLGA AARLSSSLSSSTSSASLISLQRFKELQNRDASPTRRVVSNRSPGRHRSNSDLTSPASL LNAGAMAVRQRFAMSKTSAAADAVSLERLLRDGPPDSDVASALESARLKVLDQGIKSD NDGMSSVRIYVWLIFLNAPVVETDMYLALVHRGASPAYAKIRNDTFRTLTTDPLFRRR VSEASLIRLLNAVAWKLHDVKEVRRPRSGRQSLPCTDSSGSRPSTSHTATSSPAAKNR ARALTLTTEGDSEVNAVESGTYVQGMNVLAAPFLYAARSEVEAFSAFYRLLTKEVPGY IRGAMTGVHHGLELVDKVLAIVDVRLHLHLVNKGLSAKIYAFPSVLTLCACTPPLPEV LRLWDFLFAYGPHLNIVCIVAQLVMMRHDLLNSSNPNKLLRSFPALVAEDIKKTALAL VNRLPDDVYDEIVKHAQ PFICI_01865 MPSRTETGHKLAKFLGIKLETKDDVTRGESVFSNHTADSFIEEE PTTAEWLSEITPSGKDIAHYFRSLFPFLDWIGFYNLQWLIGDLVAGITIGAVVVPQGM AYAKLANLPVQYGLYSSFMGVLIYWFFATSKDITIGPVAVMSTVTGNVVTKSIAALPE YEDQPWVIASALAIIAGAIVFFIGIIRLGRIVELIPLVSLAAFMTGSAINIAAGQVPT MLGEKFGTGYSTRDSTYLVIINIFRFLPTAKLDAAMGLTALTMLYLIRFGCNQAAKRY PQKQKLFFFLSTLRTAFVILLYTMISWLVNMHHREKPKFSILSDVPRGFTHASVPIVN TRIIESFVSDLPASVIVLLIEHVAISKSFGRVNNYVINPSQEMVAIGVTNLLAPFLGG YPSTGSFSRTAIKSKAGVRTPLAGVITAVVVLLAIYALPAVFFYIPSASLSAVIIHAV GDLITPPQTLYKFWRVSPVEVLIFFIGVFVTIFSSIENGIYCTIAISFAIYVFRLLVD HGRFLGRVQVHSVLGDHVIGGNNSVVGEYGTFNGAGDSPATRNAFLPIQHEDGSNSQV EIASPYPGIFIYRFSEGFNYPNATSTLDYLTTYVFKNTRRTQMDSYPRKGDRPWNDPG PKKGQHEDPNLPTLKAIILDFSSVTNVDVTSVQHLIDIRNQLDRYTAPDVVDWHVACI SNRWTKRALVSAGFGFPTERPDGLHHRWQPIFSVAEIGGSSSAAAAAEHEANEKEANF RASSSEAQRNDLDAIERGAASSSSASASIDKGHNPVTHQNTRRRVAVHGLNRPLFHID LTSALQSAIANVEARAKHADGTVTNPNAPPAAA PFICI_01866 MSPSPTEKLSQLSIESMPPTTRQQSRSQKGQSSTESEDNSVDSQ QADNCLRFPSKLTYSLEELPPNTRQHVTDAIEGLPQIVLQECAAREGHVIFQVSELAN FRIRTGSRSSQWSTPSCSCGDESPSRTPCRHVLWLFDQITSQLLDNRGEVLMLNQHGY ADALKNPYDMITECHLDILADDLHSRVLETASDSEDALYNPRRVQEVREILASLNRTP IDEYRPDIFDHPTGGRRVVKRNDLECTIFRMLCQNNEFFQYFLSSMKSDELVNNTFRK LQKRADAALAGLDAYAESSSSERSSGNPKDVAWCGMHLQAVVKKVHTAILRARRPLEL WERQAAGRTLLHILREVVDRCPELTSPNQEKVDRNLYFKLIGDRDRNFAIAALNSLPP DAIHPFTAELDRIIFDMGKHGVPHSYAEKLRDIYERARRVRLGGSPLGSKRHRMRQGG RGKRTK PFICI_01867 MRSLLRLGAIASLAATSLATYTIQDTYDTSSFFDGFDFYSNADP TNGFVKYHTASQANSSSLAGYANGGIYLGSDHKTVNPKSPGRGSTRINSKKTYTQGLF IADIAHMPTSSKKGCGLWPAFWMFGEEGGWPNSGEIDVIEGVNSADSTTMTLHTSSGC TVSQGNCNAGNGSTGCSQAVNDTESFGAGFNSIGGGVYAVEWTSDAISIWFFPRSSIP SNIGSGKPDPTSWSTATATFSGSGCDIDSHFKEHTIVFNIDFCGDWAGDVWAEDATCS ALADTCQDYVSANPGDFKEAYWLINSVKVYSDGSSSKRDLVPRPFMA PFICI_01868 MLTSDLHGRFIVASKLNNGVLARLVGEFKHQPLEGLKEYIKKYG DQTAQLFLKWTDEGIRGYAIVHIILLGMCMGSEALVHYENNGTPWILGSKNQQDTMLA RSRPGEPSQFPSDLGDEFWFSGLR PFICI_01869 MSLSRSPSPVPGGGWSSPGLDINTSGRSSPARGTLGNGHNVTWE SARLKSQGVSGYPSFSTQNQGFFTRHMRQLSSSLPTFTKHDDRYVEKDKMARSRWNIP LVGRLRKMMTRMSRKTKGRLMILGILLLCYMLFWITPLWYHWRRATWLGGGQKFVIIL AANIGGGVMEWKGAREWAIERDSVRNKRKYVSNWGYDLEIVDMSTKKRYAHEWRESWE KVDAIRNSFRKYPKAEWIWWLDLNTFVMEPTYSLQSHIFNDLENNIYRDINEYNPLNI THPLKANYLDQESQNVEGDGNADSVNLILPQDCSGFNLGSFFVRRSDWTDRLLDIWWD PVMYEQKHMEWEHKEQDALEQLYKTQPWVRKHTAFIPQRMINSFPDGACSDNGKDERI HYDQKDRDFVVNMAGCEWGRDCWGEMYNFRELSYYLNRNPWELFKEDFVAVIWFKLTG RKVKL PFICI_01870 MSETHSKTCMKETNKPAGNLTSLPAELRLLIYGHLFRRTKHVVV ASGGYADKAREYDDKNKSNRTWPILLTCRGLHDEAWTSYWSHARVKAYVTWRQLPEAL PPSTPLSRVRHLSLRIHTLSARWDQILPDLEQTVPNLQTLVIRDEDLYLEPEHWLELF GGSSSSSSCSSSCAFPAETIKARQERAQKIYHEIIAPKMTTTTPTTPEAMSKQEETGP PSPSCGRETCSETQRRGLVIV PFICI_01871 MNHYPPPPPQAQQAQSRPPGTATGAAPGADPTIAHHSTLTFRAA GLSITPGTAAGTGIVTVPGTGTSVLRPDYSDYYPPDDHQHMISNHPMEQQHLYQQQHH HQQQQQQQQPPHPGQIAVQTQAVSSSSPGLDAGPNAGAMAAAAAAAAMKPIRRRNRMI NSCLECRKRKLKCSKTSPACMNCLKAGRDCLYIGPKLDEASQLRLAEIKEKQGSLERQ LERDVAKSTTPKSALQQRILADEVEDDYDEDRDLEATPLVALDMTYEDDADGTDEMID LGVQIGKLRMTERIGGMPRPRLSEELSAGLSGPRSPGPPAGYGGPPSNAMPGGMGPRG GLDNTSDGGVSDASMPDFLRPGSQFLAPGSGFLFGQVIEQPSILSFLPHKSAADRLMA QYFTSVHPIAPCCHRPSLERAYATFWDEVNANYEPRPSTQAVIFAALFSGAVAMDENE IIRELGGFPKGNWMASLKMGTETALSKSNFLRTTKVETMQAFIMYMLPLCRAELSRAH SVLVGAAARMAECMGLHRDGETYGLSPLETHVRRLLWHQLCFLDIRTCEAQGPKPVIR RDDYDTKLPLNCDEDELTHAVVPPAPSDRWTTNTLALIRFEVNEMMRIIWLDRRRLEL RKTTLTSVLTKIENFRRRMSEKYDHLLDSNQPIQRYAKCVMYLLTYRLHVMVLHPYHS NAISPMPPRLGSLLITSAILICELAIQLETNQSFRAWAWYLGAYFQYHAALLLATEVY FRPMSPEADRIWACLDYVFGMDRRLPPEIKAQQLLNEIQTKTAVYYKLRKMRGPTSTT RAQTSQTVVGGTSRTNSVASLGGYSQHMSPAPSTKMETMATPPAIPPGMPPAQMPQMV FAGVSDGQALWSLPPVQHESPGSSDTSSVGHTHGLNMAAPPAAAMGNIMDTIDWDTMY ALFPNDPTTGGLSIQGYHDDNLGIFHFPA PFICI_01872 MALPQTQCCRAIRRRLRSPCDSALLPESALVSAFEQVCSISRVT SRRNGSNVPGPLENRRRTGKRHMGELNFGHLNAPAPFWELANAVDLTQWKWTPPSSFE ARHAQQEQGESKSFLASLQSWVSRTQTPSTAYADGLDPFLPSIASVEAVAPVSSPDAV RVVERAATPSARELPSATLNGTFDAEWLNEKALDAMFPEQFSDDTLTIFCNGLYTAVA EETIDRDTLASFLKRITDAAYNLAANSNGTITIKRCSSLLLRLYTTINDGLHATSNAR DGYFDDDYKVFATLLVATSRLEINSLVLFGKIMANIPAERLVQCKYSIVMNINGFLDA LSQHAISNEKKERKTIVRQITKMAGCLSSLDSRISQHQWILQRISLHLLNQLEANPIG HEASRCNLMRSAWLQLLARLPQVEDDYLVEVCNMLESSSSAGPLTQRQICELFLTRIN SRYSVKHISNVNRQLKSSPESACYATVSSSLWKTGQHRYLKMLANLLQRLGRQQDIRH VAHGLRKHVHNDIQPLANLAIGLGHPQLAVWVYFRYHQSRWKSKNFWNTNFAQEILNK LMESNEMSTEKMLDALKINPTRPQRSTRESCEEVIVDKDSSHLTAKQQRMKPRLTLQR IRQTEKIAAALASTRHISDREAFRRITRCIEFLQSHNTPLTPPILRALFDVVTRDLEA GKPGRTSRLKWFLGLLLKETDANYVRAVGLALKDWRRQLG PFICI_01873 MNEHASCSARVSVLEIPPSSSLQIDLEITMAHDFPPLKNDLILR TAWGQDVERAPIWIMRQAGRYLPEYHEAKGTRDFFECCRDPEVASTLTLQPIERFAGL LDASIIFSDILVIPQAMGMHVEMVDKKGPHFPQPLTSPDDGQYDQVLKKNVDVASELD YVYKAITLTRKKLDGRVPLIGFCGAPWTLLCYMVGNDSKTNKESKTWIYKYAEASRAM LQKISEVCVEYLALQVKAGAQLIQVFDSNAGELSPSAFKVFSEPYLAYIAKHLPLRLK EMGLERVPMIVFPKGATAMLDSACNLGYNVVGLDWCTDPADAVKIRGDRPIVFQGNAD PAILYGTHENITATVEHMVKGFGGGKKGWIANLGHGITPWVKPDDLKFYLSEVKRLSS A PFICI_01874 MSQPIVTTHARPTPELLSLLSGHLPHSLPLLRRLQFAHNNPAGA SEYSRILSVGDESAFAAAYVDVSRGPETEVWLYSSLEHQDGRQMTNDSSSNNQDHDDD DDIQGRHLVALLQAVRAQRDAYTAAGHGPPRKQGPVLFGTLAEPVRHRLLDLGARSTY VTVWDKWHFRLEGLPRGAVARAEEHMARDDMSWATVDSREDTAVVLGRTKIPRSERTL MSLPSTAIKLKDGTPIAWAFLSCDGSLASLHCEEPYRGRGFAKAVAVKLMQDHLKDFG DDGYCAADVAPDNAQSQGVCRSLGGKVARTVTWNRIDLDRLPNRP PFICI_01875 MTGHMQSTGRGGAGNIGDITKSPKILPKDLETPTLKTSVVTTGR GGSGNMTPNKDPAETRALQDVGPVTRRPSEGATHVGRGGAANVAKMNAEQAGKGKQNE SAVEDDVDAAPKNEGKERSKSPSLKTKGKEWLQNLGKKA PFICI_01876 MNPYQAPGGQHPQHPPQPQRSLQHAPLQYHHSNPPPPAHVHHLH QHHAHPAMPHQGFYQDPNATQMPRPPPIQGMRDHALEPARAENGSGPLAAPGTQTPGN AADHERPPPQHPSPRDISKSDGTWTYKLICEQQPQRARMCGFGDKDRRPITPPPCIRL IVIDDQTKREVDVNQIEHQMMILSVDLWNAEGTSECNLAKPSPNSPSTTGSMSTYSYR DLDKNALVYGMAEQPHTHAYPSQQYDGFAPAGYADYRSHAMPHALPHMVASHQPAAHA PYAARYPQQISQGLGQQQDMVAGRNNSVGGQQPNGMYSRNLIGSLVSSGNRLDDVDGK VGVWFVLQDLSVRTEGWFRLRFSFSCVGVPNTQGNQQTSDPLKVNTGRVPILAVTYSA PFQVYSAKKFPGVCESTPLSKCFANQGIKIPIRKEGQDNRRARGDDDDDD PFICI_01877 MEAGIRDQEDRLVGRAHHPTPQSSQPRNYDDNDDISLRDRELYY PDVEHSAVRAEHLYSNRYSSSSSSRSSSSNPNGAEAVPLRLQHSRSGALASNAANNVH AANANANLNGIANPDDFYKSYRAVNLPTLDSSAGSEHDRLMASSSTATPAQRQNPNAS LRSNSNGSTPKHPAVPATPKTGARSASRSVSAPLTEGSVGGASKLRPAAYSSRQPSVK DLKKRFDQNAAAQVSNGSTAAMPSTRGSAPRSVPRDSPSASAGYRGVGSSSVNGSTPP TLKSHSTSGSTHASRPSQRPRYHPEDQVSSNSQSFASRISKPRNSNSLSSKSMSNLSP DSPISAQTIPTVPAPETNNAKSAGQGPLLFGEILPGEHEIGTAGYGIDGLRQRRTSES NIQAASMLHQRSLSHADAEPASPTDWYRNSGPRRDRSASPAKLYKSHNRSHSDLAGTK AALAQLKTPLTSRKQQVASSSDRTIAGTSKLPVSIRKNSPSSSSAESPPFSRSNTPSA GKRPASRTQGRIPKPTPINTSSTRAKTPTSGQTGKSRPGAITPNSNTRLNAYISAPPP KLSPPLRSSRPRQPVSSASTASSRMRAVERGRSPAKADSRTSKHTEPRRRKLSMGPID FNARREQIKLSYTKSIKETEAKAAAKRQAAIEEEKRRRAEEDRIAAEQAHQRDIESQR EEEEMAALKAEASLTEPVLRSKQQDHPGPTSAAIASEPPLPPDFLVPGATSAAIASEP PLPPDFLVPSTPSAAITSEPPLPPDFLVPGATSAGIASEPPLPPDFLVPGTKSTTTKI TLDSPTLGMPGTFPSFGSPGRHEEALPSAASDTTEFDTEAQTEPPHQTADPHGIGYND NVEVANIAHTVSEYRSPFEESPQDDSASINISLDVTSPQSEGVAIPSSGIATDRSSEY HSKDEYAPQPSTSPTHQNIVATANRESEFIVEFPEAGEGSSLNGALPVETSQQDPIRD HSRQEQNTQYRQETSSSDDFSDRDTPLRRLEEFYIGPNVAESAARLRSSGASKTSSRH TRTWSMEQNEFAHSDISRFSTETKRIGEPRPSLTVPRTSVSGNRSSQNTVWTDYSIDS HTEYQEYSVKLTNSAYRDSDSISDAGSRAQRRSELYPSSPETSPHGSYRRPDLTGNQL PTSGHQLPELDTGGGFVVDYVTRQNSSQFAVPNLPDHSPPPPPGEATFPDLSSAPPSD YFDDTRPSSYLHNGQDGYSSFSASRPQSESFDLPGSTPRSIDQGSFENSEGPASTRTR MDSQTTLADSFDQQENSTGISAKERKRLFTRLETLKELVDTEAFFVRDMSIVEEIYKG TAEACPKLDDKIIKLIFRNTDQIIAFHTAFLAELKEGVASVYVPKTSRSIVQKDTSSQ TDSIAGSIASVGQLDDGKDRETSLGPIFQRNIEKMKIIHETFLKNSDHAAKQLIQIQE DATVKVWLNECNEVARDLTKAWNLDSLLIKPMQRITKYPNLLIQLLHETPPDHPDRPA LEGAKATLEDAIEEINKTKKNFELVGQIVGRKRKDSVGGFARAFGKRVDKLQAASNKP AEDADYLKLHEKFGDDYLRLQVVLRDVEFYTRQVSAYVHEFLQYLSSMELVMRLQPSP HPELESKWVRFNVSMRDIEKVALEQHLSQVRKQVIEPFEMVIKAYSNPSLAMKKRTKR RADYEKSMQLKKAGKKLDKQLVECVEQYEALNDALKKELPKLSALTEKVGNICLGNLV NIQTQWYAIWKEKVKVVLGNSQIPEVSDIVTSFQREFKYQEEQINSMSILNPGSKPRP SQSSNDDQPHRLRPRPSELSNISGRGLSVNSDVAPCLPTPDFVNRHSGQFTVSPSIQS MPSPQHSYYRDYYAGGSTNTLTETHTLHSIDHSGAVRPGIRPGTGQSYDSGSLPRQST DSGFPTKRYSNSAFPSGQQSPPDLAQPQRFSGLFSSAMPLPDAPERPERPERPERSVR PSRASSRASSRERQPINGYNVMWLAASLFEFNIETTKVEAGYPYLTYQAGEIFDVIAE KGELWLAKNQDDPNNLVGWLWSKHFAKLADD PFICI_01878 MPPSRKRVRGKADETVQEQPRKKVIKTGQRATSTPPEIKHAVIN QYFAHVLSLRDYILGKLPATSRLRRKKIASLGSSSSTDAEIHDLEQILGSLLDTTLVG YKERPEQPSHTPDNRRQQWTNFTQKGDDSHVTLSDGLAGAAFSQSEIVDFVIWQLFSR CKNHSERPEHVLCDGFRRDPNPGRLQCPRMDQSIPGVFSLHTNPQVQALKLDPWPQLL KLLGNSGDVIMMDLLLDCAVFTSLNGGRRNYRQLSGIPLPKIPIVDTCIKTLEYIGKA PSEITFVRNRMMYARAALNARGLVQFGMRHIHVLNRSPYTQPDTQVNASSDGDETGPK NLVNTRRVMMYMFPRQFGLHNVFTSTVDRRETAQRLKDYTLREQEINTKFGEKDVRIP KRLRGDAKDLVQKLQILHLRCSYSKLLQHYCPVFSSQVSHSKGRKSTQNSSGRATQSL SKVVFTTKSDKAARYTSITELATSPAEVSAFCQAVIAKLLPNGFLGADDVWKHNQRLL LRKVDHFVRLRRFESMSLHEVMQDMKITEIEWLAPQHLMVHKTSQTDIRKRTELFSEF LYYVFDSILIPLLRSNFYITESNTDKYRLFYFRHDVWRYVAEPAMAALKVKMFEEVNL DEANRLLDSRQLGFSQVRLLPKGTSLRPIMNLRKKMLKRGNKTLLGSSINTLLKPAHT ILQLEKLLNPAKLGSSMTSVGDVYMRLAAFKSSIGSQSGPLFFAKVDVQSAFDTIPQA AIVGLLDSIPQRRGYQIAKHIEMTNNSSSELLAPTAAGKSSKPRIKWLSTAMKHRGSQ ALLEYIESDPALKRNNTVFIEDVFKKDFDTSSILRLVASHIQQNLVKIGKKFFRQKEG IPQGSILSSTLCNYFYADLEIHVLKFLDSEDCLLLRLIDDFLLVTTDKAKATRFVEVM HAGVPEYGVVVNPAKTLVNFDLDFTGKATPVPRIGRGSTGFPYCGALIDTASLNVSKD RRPRRAPNNITEAAAAAAAFSSSSSSIFNSLTVEYNRAPGRTFGRKVLNAFKIQSHLM YLDTGRLNGVATVLSNLRAAFAETATKTWAYTRCLPPKARPSPSLVIRTLRRLADVAY LVATSRARRARYPGYTCDVRKIEVMWLTYSAFAQVLGRKQSSYGPVLEWLHNELNRLG AMKDIRRGRVAHVTEKVV PFICI_01879 MAATTSEKSGVARDGDAVKESALHSPRSSSDRNGEDDDETGSQA WEPFDEDWADPTLHQQAASRDGASDPNDDDDDDGWVRNPNNPAEMTKWAGQPSVRGSS DAMRMILLNFCTLGITFTWGVEMTYCTPYLLSLGLTKSKTSLVWIAGPLSGLVVQPIV GAIADESRSRWGRRRPFIVIGAIVVALSLLALGFTQEIVGLFVSDEEAAKTITILVAV LAIYAVDFSINAVMSAARSLMVDVLPIAKQQDGAAWSSRLSAIGHMIGYAAGAVDLGA IFGDFLGDTQFKKLTVVAAFGILSSSLVTAMTVTEKILVDVRKDPRQEGGRLKVFGQI WSTILHLPPRIRAICHAQFWAWIGWFPFLFYGTTWVGETYFRYDVPDDARDSKDTLGD MGRIGSTSLVIYSAITFIGAWLLPLLVKSPEDESFTQRPPQSVAHVLEKFQRYKPDLL TAWMMGHVLFAGAMFLAPFATSFKFATVLVCICGLPWTMAMWAPVAFLGIEVNKLSVG GGDRGTSSYHPLDSVEMSEMNDRSSLHLERGPVEQDESDGDAKSGSSGELSGIYFGIL NIYTTIPQFIGTFISTIVFAIFAPGTHPDLHGGGQDDESKKTPAADGPNAISICLFIG AMSATVAIFATRKLKYL PFICI_01880 MDLVNHLEGRLLFAVPKKGRLNAATLNILEGADIQFRRENRLDI ALVKNLPIALIFLPAADIPTFVGEGRVDLGITGYDVVQEHDAGVRLVYKKRRFSGEIT PEEESAKTALTGCETILDLGFGACKLQVQVPEKGAYVSSKDLIGKNIGTSFVHLTEKF FASLEREAGEPSGLDGKIKTNIVELSGSVEAACALGVADGIVDLVESGETMKAAGLKA IDTVVESSAILIKSKSPSNPELVDLIASRIRGVIAAKKFVLCQYNIQRSSLATATKIA PGKRAPTITSLDEEGWVAVSVMVEKKQIALVMDELTKVGATDILVLQIANTRTD PFICI_01881 MASNTTTKPAAAAHPQTREDEHSALYRRIMTPINFVTFLVSLYL VDYHYNSKREHTHDHNKRASRLPGWLHSLLFKPQPYAWVGGGDSSAAPPNQDDKNWYY HTKQKKLMKMEAAAAFEMRRSVTVALVFIAVGAAWGLSRLLAAAASWWWSV PFICI_01882 MEEPTLLLDADLADQYRRIYRAQFREDVAAGRKIPFLFHLCLWG LFVFPVLYLSIPHRRRPWLYRARWLVLAACAAVNLWMVRNFSSANFAFAYGTGLIAAW GTIWNLTLLVWTRPQWDAKRVERYPNPEYNRDVSQKENESGRQATSSSAAVSTTNGHV TNGHASNGHASHGCAEIRQRKTQLAGNDANGSILESKTERSQFIYKWQEFPEGAPFLT RLDWAFDIATTMRLTGWNWAIHVLPPYRPPPWIDEENRIQAPLDSLPNRTGQGFERCR TRRQFFIERILGSLVPAYLLIDVCATVMTQDPYFILGPNELPLPPGLAEMNPFLLSLR RTSLSFIAVLVALRLAWDFGAVLLAFLCPPILGFRVDPWHLPSMTGSFTDVLDRGLAG FWGTWWHQTFRFGFAAPTNWLIRNGYIREKSTSAAVVGALVAFLQSGFIHAMGSYTTL PKTHWWEPPVFFFLSGVGTQLQSTVSKAFKPQIDKMPQWVRRAGNFLFVFVWLHLTCK WLVDDFGRCGLWLWEPVPFSIVRWLGLGLKGDYWWRWTSDDTFTWITGKHWWTTGFGV PFICI_01883 MANLTALRGGCPAPFFSELSFTDGGYIDGRLCQPYPPNSAESLS CCLPCPMTDWTYPDNFNQLTSVASWINVVGMICCLFLLISWICLPVEKTHRHYLSISL TCAVVLMNLGFIVPLAAHPDQCFDEITPNGMASSSVCAVSGSFLLIGGWGGVMWIFLR ALSLHLQICWQMVVGRNFMWLSQAFGWGIPVVGLVVLLVFSGVSFRFGQTCHINHTNS LADFWIPLLVFVGLTVIIQFATFGYCIKVYLASLADNAASTEGSGLAAYSSSIRTMSP RQAYRRVRRVIALQWRGIVIVLIICADVVFFAITFVFLDNTVQAVKDDPNSDAIQTWA FCLIQSVGDKNKCLKYANDIVVRLPTVIAVLILLAMNGLWLVLLLGRSSMVTGWKDFF QSFAENRTKKEFVSVDARMDLKKDPRSYEMLSRDSGKAESILTPISPAKSPMSPSRSG RQTPDYFGPQATRYQPHSRSFSAPRPPQQVSWEAQSPSPLPYESPMPNATYPRRMADG TEYQGMNPLGMNKI PFICI_01884 MLILLGMLVLGGYLHHLWRAEAALNTPWEPDAGAQLFAQRALPE PIEQNSISTYALPLSAQGRDVVDRDGRRFKLASVNWYGASDELFIPGGLDVQHRSVIA QTIRRLGFNSVRLPYSDEMVMVNPHVLPHLVTANPDLVGLRALDVLQACVDALTEAGV AVIVNNHITTATWCCGADPCDAGWANDHLGPLCRVTQSEEDWIQHWESVMARFVDNPY VIGADLRNEVRGVWGTMPWDKWATAAEKCGNRLLKMKRDWLIIVGGTESGNDLRGAAD RPVQLDVPDRVVYSAHVYAWSGWGSSEGRYSKRGYASFVAAMRRNWGYLVEDDRAPVW VGEFGAPHHPSQGDANYWTNLLRYLKSIDADFGYWAVNPRKPKENTLETYSLVEDDWI TPILDYRMRDMTELIAGNGREGVAEL PFICI_01885 MPVPNCATMSVSKRENSGYLPIENYGMIGNMRTCALVSMDGSVD FMCWPDFDSPSIFCRLLDKDKGGYFNISPPNVASCTTKQQYLPSSAILQTRYIHEDGV VDLVDFFPRPRTTSILTPGYKHNAYRETRTVQEELKKWLVRRVECIRGELDLDVDIFP AFGYGRDSHTTNVLQAVHSSLDSVSKTVTFHSPHEKLQLDVVIDRGSADSTSCPSVIF KKERRDGMLGDGVTAKLRLTEGQGVSFILRADSDDHVTENITTPIVDKHQNDTQTFWF NWLAKSKYRGGWREIVSRSLMILKMLTYEPTGAIVAAPTFSIPEDVGGVSRNWDYRFS WVRDSSFTIYILLRMGFTEEADAYMEFISERFQKSTTEDGGLPIMFTIRGETDIPEQE LDHLEGYKGSRPVRIGNGAAFHQQFDIYGELMDGIYLYNKYGKPISWDTWVSIRKMLD YVLTLLDQPDMSIWEVRNNKQHFVYSKVMLWVAFDRGLRLADKRCLPCPNRVKWLEAR DRLYEEVMEKGYNEEMGCFVQSYENNTMLDSSILIAPLVFFIAPNDPRFLRTMDRILM PPEKGGLTSTGLVYRYDTELSEDGVGGREGAFSMCTFWLVEAMTRASIYEPKYLARAI NIFENMLSFSNHLAMFSEEIARSGEQLGNTPQAFSHLALISAAFNLDRAREHKRSVS PFICI_01886 MDAGSSFEAEREPESMAATSSGVSSAFERLPEEIIQQILQLTEP NSFASAVLLNTKWRRVSQQAHLYAHQLSHCPSYAASHSASPPASVKDEDLPRLRRLFS REIKRNLFEVYLRPKTTTIKLVSNSISSSSAPGGEGMQFSSSPRGHHVLAYNSSRIYV LDLRGSDLEVRRELKILRRPVSTCILDDGTVLAVLSSDMQVDIYDLREHPPRRTQSLI LDHSPRTIALSPCGSVLAAAYEGGIEVSSLGTGAMSTDRRAVKCDAVDYLAFSSDGTQ LLGTTTSAHQSNTVILTAPYYDPGAQMAEDNISALWTTSILFPNTSRDCSHATLLQES GSQEASWTFTYDRSFETFRAVRIDDLRNGTTYFTGPAPTSRTAAHSTFLPCTLPAATN CGDIVSAGFEGKDVWIYGVPEDLEAVPETHTESAVPGLSRHSSAPSMRSPSRSQEPVG GRVLQWQLLCDRNRNTFVGGRKIAQLHRVTTVKWVAGYGDFSFQERLVIAAQGVMPAK LITEEDGMDFVDGGRLTLVDFDLGFTDGKDTEVTIEVGTKEPEVLEEELRDMATEVAI VRRRTVAQRGGVRSGLMRSSTLADRQADDLLRPPVPPIPTNDDDPLLPRRMTGPRTEA RRASIHEDPEDPTLEDVQEALDAPYAHASPRTAPTLRRAATAAAVNRRLHPSAANGGH VEYRRADGRAEHPHESDADNWVPPPPPYAKEDPGDVPSFLRHAAIPGVGLSPVPQGTS NSTTYTAPVLPNISPQALQQVPRSIHSSGSGQVSQGSNNSHGRPELARLQTSPASKRH SWQQGHSRPRPGSASVDASQGYSHMSSERVPNTAHPGHSQLSRHTDQEDDLYDVSPVE RPSQSAQVPQLHKINTDTPRYEYGGTHTSPSGNYSASTGRSASSSEAQSAATTTSSGV MANGQPLNVRIPPQGADDTGSNKTAESPQIGRLANAFTWPRVRQMGSSGGAHIGGYPH SAPAIDTNNAEIIAASLPPAPTSDQLTRLNSRRDSRPPRPASGSFQVPRVPVGRVLHD RGRSSSGGSGHFSGAPEPEQPLIISTPKGVSGAFDAPNHDHSQQFDNGDPVIFAPVPR HPGPQAGASNSRPTVERLETIYSIRSVDSRNVNHNMLTLPLHNGQGQAIPIPPAPPSA ALTTSSLRHHSSLNRRPSRARRSAAKNIKDAKSRGWTGRRKQKKESDAMSLAWTDVTW ASKAPRDGPGKEKKCAVM PFICI_01887 MEPYASNSMTQKRFYLALLKPAILQALRAQGYYSCRPTVLDFFT EVVADYIYNTAVATRKAMEHNHPEDPQVTLPDLRQGLEYVGAFACDENKREDRDFDGD PELRGIEKFKEWFDGKQNQRITRIAEAFTQNGLLLEAAPPEEGKKVERPPIDYLSQLK QKHSKNDDSRYASTILGKPSDHGEVLVEGGPVSSIDEWRDMMFQRNQRPREPTPDSRP PSSGLSSLDEGDVEMLDL PFICI_01888 MAGDGSKPTLKLETSQINRPDMPPPPIAATPSAETPRPILKLSS SRQSSFSGDLPTPSGEKKTIRIRVNSSQPSTPATAAPPVKITKTGRSSKPTEKIIAAH KRNYDSDDDEEDNAPMAGRRISKIQIRTPTATTNSSKKAYAVQTPTGRLLLKPKGKAV EHKPGEAWDSEASDREEDPVREGAVILRTLPGPSTDYLNKAIEEGKIGIDKKSGGADL SVDWLDAKDRRAMVTIDGHHFAAVLVDLPTIVEAMKTWDKKNFMKNMDITQMLLCYEK VNSEQEAKTIPLPQMVQQMDHKWPHGLTPPMHDAVNRRFRKQPTEKQLISTAAQVKKL LAEDAECVEQPKYEFLMDEDEDFDESGDEDAEGEEVDDYFGGTDFADEPTQEDDEVDH ADLEAELEAELAGEFDMDMGDAEGATPATQLEAQTPMTLEATTPAAGAAEASDADGED EEEVSDEDDDDDDDDELDEEEAAKQAEFRDMKNEMVGLQKKVSDLESQLDTQANPLMR RRILTNIDNLKKEISLRKAQLNITDDE PFICI_01889 MICRRCLTSASSLTRTISRRAAPVIVRSFASTPVPRNAAPVAAA PAASSSSSDASSNPTFGTPLGDAPPAAEDKPALSACAEGTVLTGLNYLKNKTDPVALA DDAYPAWLWSCLDVTVKKSDDAGAADAEAEFSKSKKQRRIAAKRQRSLEAKLLADGNL EALAPKIPLQQQSINMPANEEGTAEGALAAVAAREDLRKAMRKERRSKIKESNYLKSM PFICI_01890 MPTPESEAFLAKKPKVPPTFDGVDYDDNKAFKAAQDSIIREQWV QVMMGRLVREELSKCYYREGVNHLEKCGKLRERYLSMLEKNRVRGTLFEQQNYIDPSQ LATPAKK PFICI_01891 MGKVKSSSGKSAKADPITSVKDAGVSKSKKLTKDAATKAVKGAK ESKKSKKKVESESESSESESSESSDSDSDEEMGSDKDESSDSSDSSDSESESEADKKK KKAAPAPAKAKTNGKAKKTEESSDSSDSSDDEEESDSDSSENEGGADVDAKKKEAKAE SDSDSDSSDSSDSDSDDEEEKKPEPTKKRKAEDEEEAPAKKTKADAGEDAAVTLFVGN LGWGVTDDILYDTFKEYAGLNSARVVTDKDMQRSRGFGYVDFDTPEQCQAALEGMQGF ELEGRGLRLDPSKPKPADSFNNTDRAANRAAAHGDTVSPESDTLFVGNLPWEADEDAV TLFFADIAEVKSLRLPTDPESGNRKGFGYVTFNSVEEAKSAFESKNGGYIGEGRGARA VRLDFAAQRQPQQGGGRGGGRGGGRGGFGGRGGGGGFGGRGGGGGFGGRGGGRGGGRG GASRGGGFGGRGGGGFQGKKISFD PFICI_01892 MAVVLSSEDSGFFSQSPLKRSHSQPNFGKQSSGLHTSASSTRIN TAYQGRYHSYHISPPSSEPSSVASSPQTIHADSVTPSDLSTPATILSLDSHWDNDEHL EHTPDIILPEYDENPFFAPVEDLEPPASPHTGDSYSVSPAENDDTPTTLSRPESPVPL EHAEDDTALRHHPTHHVDYLSHDWKEEDIWSSWRYIISRRNDFTNASRLENASWRTWM KSKYRLKTVSPETLNWLKDCDVTWLYGPLQTRQKKLFAADTRQNSSSISRNDSFVQKK PILKKRSVSEVMLQKSISSSSLLKQATAAVQAQQQDLGHGVHGVRISRPILQRATTDY ITFPFSSRRASHGDNSLAPSNDTSGLVSPSTERKHIHFSESVQQCIAVDVKGEEEDED EPEIEYWNNDYDSDSSDDGVFMMKTSTKKKPTRPRPKPRVSSTSESKTIAMLPSTTLK YREDTPEPQETAMKHSTIRSPPFISPSSSQETLRPAKQSGRFFIEDDEVSAIEERTTT PVSSPSDQVPQSGLQRSSSYGNLANGPAGMRRTESGMLMPYEEGDGQENAGIIGRVVD TVNTARDIAHVIWNVGWRR PFICI_01893 MSSSPQSVEDLFRPPVLRPFTGTLDRSLFSRTIPLAAAAINDVK QISRLRKDLARSKELLNVERISPIAPHPDSAQAALGKKCLLLDPLFKAGEPSTWGEVV KTASDQNELVVVPYDLKLDYDYFNFVDVITAILPEELHGEIPSGFNVAGHVAHLNLRE QYHPYKKIIGEILVDKNTHIRTVINKVDNVGTESEFRTFAYEVLAGPDDMDVEVRENE CTFHFDYSKVYWNSKLESEHTRLIRSFQPGEVVCDVMAGIGPFAVPAGKKGVFVWAND MNPESYKYLDEAIKKNKVGQYVRPFNEDGRTFIHKAADSVLQASEAGDHVIVQRKVRR SDKDKPLPPPDKIAVPPTISHFVMNLPASAYTFVHHYRGLYAGKEKLFAPHTSTKLPL VHVHCFALKSDDEVPLLDICERLTSELGVKMVPGDAENPGEASIYNVRDVAPAKRMFC ASFRVPAEVAFAPRT PFICI_01894 MSAKKRTIDAFFGVPKAKSNVDEIVKKARTSTTDAIVGGEANED KVFGSFDIIQYSQHPNYPFPIAHLPDPLSNELPSLPSSIGRSMTDQPDLDLLYFEPYF PRSSAKQLFEFLRSSLPFYRVEYDINRGGISTHIRTPRWTTVFGLDETSRFASSNDSN DIATNDIVDVKTGRKVVGDRFYDKYPPRPIPQCLDALRQSCEAATGCKFNFCLVNYYA GGADSISYHSDDERFLGPLPAIASFSLGARRDFYMKHKPPPKANQTPSSAVAAEQGDA KPLKLPLGSGDMILMRGTTQANWLHSIPKRSGKNAEDGGRINITFRRAMVKAGTENYY NYNVGTGPVYRWDTVNREMRKWDKPLP PFICI_01895 MPFSAQDTARYREDVRSDGKALKATEREQLLKPYLPSPPTSPSK TQDSEGVPSRYKSAEPSRFGVRGFLREQLYKFTYALIHIIFSFYIRLRIAYHALSLHV VSVLSYHHRTPEYIARDVRTLKKKPRHVSIIMSLEEGGKRGDAKVKLLNDVADITAWC ASAGIPILTIYEKTGILKDNMESERREISRRLQDWFGKYQAPALHMHSPNMPLLAPPN YRSSAKGGFNTVDGTVSKMGVNIISAEDGRDSVVDLTKVLTEMSQRGKLRPEEVTMNV IDQELRQVLQEPDLLISFAPWVDLQSYPPWQIRLTEIYCEPDNQGVSYQVFLNALRRY SSATFKLGK PFICI_01896 MERTGSGSRALFPKGPSYSLEDFSNKDFIVRDFVDDLAESVSVA NRRSGPTTAAFDPKPLIRTFENALQGLSNLSEELQEKESELLSQVRRAEAQHDQTLDT LGRKLDQSIDSFEALDITLNNPNGSDRGNRADGGGNVAVQIGEKLEELDRKRRRAQDA NFLIQCWLEVSETGELTSLQDIRRQGGAENKVRCAVIAQQLMRISQRLDLAAWGQTNG YRNGVTNGVVGAGRPNHNTREVLEKFCETLEQDLLKQFNNSYRRQNFDDMMECAKVLH DFNGGASVIAVFVNQHQFFIDRDQLITDEVTTDGETWDQLADPDQDPPGVEPSLQSLI DEVKIVMQEESFIIKRAFPYYETVLTKFIQRVFQQSIQQRLEMVLDKANTISTLAFLR SLHASRSYISTLIEDLKQHGLTENPEPCTAQIAQTLDQQMEELFVPYMVGNSYIEREK KSLEELFNSLLFKYNIYHSRRKKAPTGFMASLAQQGSQLMASAKDAYLERLESSDLTP TQKALMLRIGGVSDADKNKNEIEVSEDDGVLSTANAKRMMRWLAESVRRTLELGNQTE TPKDVAVLLNLLMTNMGQVYVETALDAALEKAASQENVKTEPDLAYLPSVRPAVTITS IMSRFITTVLIRLAESNTTVRRSMEAQTKMGIEAIEKKTNGILKSTLDVLTNYVTKQL ANQKKVDFLPKDDSVLENLQTPTCDNICAFLTRAVGLISQAVDGANRSHFGDQLALAL QRLLLDHFKRFRVNAAGGLMVTKDIAKYVGTLKQAEWSLSKDVEASVDLLTEIGYLFI IGPEALKERSRNLAAGGAGGAGGSGKRLAKADFKVFVSNREDSKSVGIQSVLAGL PFICI_01897 MSSHVPSPTSSPASAVQDSSLLSQESIFRSSQTSNPDSSSLSLE SSFQLDTSYTSQYEESQKHPKGKRKRTTTQDKAILEAAYNANPKPDKAARLDLVKRVS LNEKEVQIWFQNRRQNDRRKSRPLSPQEIAQLRFGGSLHMLSSDNPSFSAAEAIDAVE ATRSADVAQHSPLPAYAASQEWPRGSLGDIVAAAESSRQDRRHSEPGSTRLSLPSSQN DRVASEGSALSQSLPGRVGYLSNRWHTNEPFSAPSSVERSRHEPLRLESLIGTGNSEA NDSPRSTLSQSLPRPSKPSSQFRISLSLEGKAEIVDSLQSPPREIQSSSMISATLPPV RAPRILQRSKSALAGITLPPISALTANLPPQLPRGRSRDVSAWESCCDADTRDELTKL AENESSGSAVAAISLLRSSSQTSSLANLVHGHMPGHGNAPKPSSAKRNATPLGRRDLN NKKPKLARSSSSVARLQSIANAAALERPQRAFDEYEPANKYGKASLVEVLSPSGDSDK ENWSPGKDANSMGRRRPLPRITAEAMSTINKGTNPRRPGPRLLDHSISMGSPKRMFLG GRSNTAPAPRLRGGKGSERSLVIFEDGGSDAAENDESEDEGGSAKKSRRSNDEVERFM RGEVSPSKKGDVDCVAGLLALSQGNWR PFICI_01898 MAGLAVTSPTAIHPALSTGTTTSSPSTAGTSQSSNLTAGGATFK SDIATDTTDGTNNKSASTTTNTTTAAITTSECPPGFPPQSLPDNNNSPSTFASSQITP FYPIDPPLNHNISNAERDGVLPGPSALGLSSPISVAGDPVPDPVPTSMRGHRKSALSI ESIPRQTIMKALASVARNNKPEALSLPGMLSAHSGAEARPSSSSSQRLADALNELANR NTPTTAFPALQSPCFYHQRFEDAVDIDKVLEEIKNDDWMSHSRLVQTATGVREVSKQL QRRPIRRAVRNVMIVTKARDNELVFLTRELTMWLLRTPRYGSDIGVNVYVDAKLRNSK RFGAASIVEDDPRFSSKLRYWSPDLCWSQPEKFDLVLTLGGDGTVLFTSWLFQRIVPP VLSFSLGSLGFLTSFEFEKYKHHLNRIMGDEGMRVNLRMRFTCTVYRDGASGNEVEEG EQFEVLNELVIDRGPSPYVSNLELYGDNELLTVVQADGCIFSTPTGSTAYSLSAGGSL VHPDLPAILLTPICPHTLSFRPMVLSDTMLLRVSIPRNSRATAYCAFDGKGRVELKQG DHVTITASQYPFPTVMATGTEWFDSVSRTLQWNTRAAMQKGFENNKNGHAGAAKEEEE PEWDIDTDSACYASEEGSISASPLRRQMSLLGM PFICI_01899 MAATHEPAAILSHLQSADGSATFSYAGYTVTGAVNGPIEVQRRD ELPEEAVVDVIVRPAAGVGGTRERHLESLLQSTLRQIILIGNFPRTLIQITLQVIVAP ENEYVNTKVTQASTNLPILPALIQTSVLTLLSAAIPLTATLTSATLAVMSDNGDSTTI ANPTAREIELSKSLHVFVYTSHDELVLSESEGEFTFEEWSGAFEAARQQCCVQSQSED VDMNNDAGAGAGADLKGFTRSTMEAQIASDLHWK PFICI_01900 MAESRPTNPPPSTIPNLKDRLPKLEPRRRRAPPSNPTAIPETPK LPSPPELASISHQVPSRRILSVKDHELFLESDAYKLILAFIFGLSDAVKDTPISSVKD VDLSASVKAILSILDDAETLVAATPPDDSGGSRFGNKLFRSFLDKTKSASASWHQKLG LSSPEAIAEVETYLLQSFGNRTRIDYGSGHELNFVIWLLCLYQLQIIKASDFQALVLR VFVRYLGLMRSIQSTYYLEPAGSHGVWGLDDYQFLPFLFGASQLLHHPFITPLAIHQE LTLEEFGDEFMYLGQVSFVNSTKTVKGLRWHSPMLDDISSAKNWEKVEGGMRKMFVAE VLRKLPVMQHFLFGSLIPAVEGMSTEDEAGVSREEDEAEGGAIMVEHNGVKHVHQLNS WGDCCGIKVPSSLAAAQEMKKRNGGESLRRIPFD PFICI_01901 MSSAKVHPAALPDWNNLDVLHKNTLAPRANFYMYSSADDALSYD ITKSKTYKLSGDNWKFKHSKNPFEGPEGFESPAFDTTKWDDLAVPSMWQLNGYGKGPH YTNVNFPIPVDPPNVPFDDNETGSYVKKFTVPESLRGSQLRLRFEGVDSAFHVWVNGK EIGYHQGSRNPSEFDITSVVDENGENTLAVKVYQWSDATYIEDQDQWRMSGIYRDVYL LGFPATTRVEDLFVQTKLDKDYVNADLKVRVDLTGSGQLKVELFDTSKSKVIAQATRS AFGGSVDFSFPVENPSKWTAETPTLYHLLVSLNGSSYVAHRIGFKQVEMKDGLIKVNG KHIVLKGANRHEHHPQFGRAVPYEFMKNDLLLMKTHNINAIRTSHQPSDVRLYDLADE LGFWVMDEADLECHGFESIADAALSPEDRNLPFRQRQLLTRANAAKWTTDNPAWETAY VDRAQQLVRRDQLHACVTFWSLGNEAFFGRNFKAMYNWIKSYDDSRPIHYEADIYAET MDMYSRMYPAIEEIVAFAEDESKSKPLVLCEFIHAMGNGPGNIKEYVDVFYKYPKLQG GFVWEWANHGLLTKDKETGDEYYAYGGDFGDEPNDGNFVMDGVLFSDHTPTPGLLEYK KAIEPIKVISYTTTTATIINRYDFLSLDHVVCTYAILDDGKLIQSGEIELPSGLAPEE TAELTLPSAAHTISGEGIVQLDFRQRTETLSLPELFTIATEDIPINNTPIPAAIQSSP SAKLEVTETPSLLTIKSASTTWTFSPIDGKLRSLAKKSTEFLAVSPDITFWRAPTDND LGLGISDGKDWKQQLLHLAKTYSRGSSWSASEDGKTFTVKVQQQFRPLVLSWSIDLDV TYTFSASGTLAIRVKGNPKGMNLPRTLPRMGLSMELPSDWSGGQDGHPDAVTWYGRGP GESYSDKKLSQRLGVYGVPTVSKLWTEYEYPQEGGNRTDTRWVKFTHASGEAITAQFV DLEERDSGEEDKKYRKLFDFNASHYRVKDVEAAAHPHELHKKRTDNVVLRLDAAHHGL GSGSCGPKTRDEYALPNKEFEFEVLLE PFICI_01902 MASAYLPQHDGLLPYFLIYAGASAIIHSAVCYAQSPRTSLRAFS GPASPVKTPEQGLLARVYGVKNIYTGMIRLSAAYALTNAALYDLAAATFAGVLFLYGT ELLVYRTVRMKEGSFAFVTAGTGLVWMLLQRDWYLSS PFICI_01903 MTSFSTTLAHRPRAHRSHGAHHHHSLKGESPPYERAERREIELF DLDDLRDPIRIAVKETTCLSHVENFLKRRYADGDDDDEPVPSEARIIYYLMDERLLGD EIPRNVSRLYYRALESDDNDGREGAIRISWGRRLHLDSEQLTQIRTALEKGETIGELR CLLASLLDIEDPNRVVISAHGGLRPGLLQGNNWKATMIKSWLCHKIWIDIAPAYNYLI MKGVNEEYIYHPPGGRSSVDLQTLRHWLIHKLLTNVHYRASSRLSVDSDDFTLVCKGK LLDRRRRISFGQTVDFELARDLEDKFTVEEAWLLPQTTTCVVCSDDKRVSEMPSCITS SCEHEPNTCKECIGQWIASSMETVAWDRLRCPECSQLLRFEDVQAFAGKETFDRFVWR KYVALMRSRLIIEYRYDNLATKAAVSDIPDFKWCLNPRCQSGQIVRPGCQKVKCHSCK ASSCANHDLPWHKGETCKEFDVRNRRQRRGEMASEKKVKEITKACPQCHKDVYKFTGC DHITCKYYLFFSYILNRSYLLTLQ PFICI_01904 MTMHDYETDKSTTDLIRPATKDTEQFELTEDLKGKIRVATRNGP LSDEEAARRLKLFRQSAQYDPNIPIEDLDDVDHALQEHDASKENELVHGLVEDSPYPE LTVKNYDVDVPANTVRAWVIGMTMTTIFSALNMLFSMRSPSIIITSIVAQLVAYPLGM GWSKVMPSRQLSLCGLKFSLNPGPFNFKEHGLIVLMANASYGSGAGYFTDILTAQKAY YGFDWGWGYAILLALTTQCIGFGIAGIARIWLVEPASMIWPANLVSTTFMYTLHDHSR TNPAESNGWAISRYRWFFYVGLASFFWYWIPGYLFQALSVFAFPTFIAPDNVTVNQVF GGWTGMGLLPLTLDWTQIAGYLGSPLVPPFHSIFNTLIGVIVFYWVAAAGIHWTGTWY ADYLPFSDSGSWDNTQSSYNVSRILTPDFDLDVAKYEEYSPLFLSTTFAMSYGLSFAG IASVIVHTALFHAKEIWIRFRDREGTLDDVHAKMMRKYKTVPQWWFLCIFVPCLALSF ATSYIWPTGLTWWALLIAVVISFVWMIPIGMVQAVTNIQIGLNVFTEFMIGYMLPGRP NAMMMFKTYGYITMTQGLAFVADMKLGHYLKLPPRTMFFGQLIATVWSCLVQVAVFYW ALGSIEGICTADAVARFTCPNGKVFFTASVIWGLIGPQRIFSGSAIYANLQYFWIAGA ALPIIFWLIQRKWPKSWVRLLHAPVIFSGPGYIPPATPLNYLTWGVIGILFNRFIRNK WRGWWMRFNYITSAGLDAGLALCTILIVLSISLTNTAAPDWWGNNQALNTMDYNGNAW YKTVDEGETFGPDSW PFICI_01905 MTNPNLQGGTIHWSDNAAVTAAIAVYRDRALSIVKDKNPMIPRE TMHPWYKDFSSDGTICIIELEGDHKPIPFANATELIDYMEDTPKDGSERRTNRRVILL QDMSADVVEHLGVMLNIPPHFFLAHCDEISDLRVIDSTYAAQNTNYWKIPVPRRYVVP EGHENPDGQYNVTAGSIPRETSKMSFSRQIELRNYISYWGRNYGPDSWTAVILMDSFD TWLRSPPAPSQGGDEVEPLLLEPPQPDTLPSNRSILYEIMIAGDNKFETITQPVHRNI LEAAVTGYEQFPPPHNEDPFTGTMVIRNLIRSAWEEKVIYDAQEFRKELKDDRQNYQK RHDDIFETGRAARESYSSMMTRRQQIQETRRKLVSIMWKFRCMYADEPSYAKSLSTMP DQPHVARLQKYLTEERRAWKILYEIQRDLESNAAEHMDMWSQRAAFGQTEIENEQTNI ANEHTKIANEQTNIANEQAAAANKLARTSGHLTMFATIIVPSTFVASIFSMNGDFEAG KNNFYIYWAISVPITITIFLIVLRHGILDALRKARKVFVFKRHMTKEETPQEFELI PFICI_01906 MRNHMEAGWFYSGKQIKQYFLTRFTSLKPPKTKIRNPIAVLRDL DRHQWLMFTVGLLGWVWDAFDFFTVSFCLTEIVAEFKTTDTAVSWGITVTLMLRSVGA IIFGALSDRYGRKWPMIINLGLFIILELGTGFTQNLSQFLAVRSLYGIAMGGLYGPAA ATALEDLPYDARGLLSGVFQTGYSMGYLLTAVFYRALVPTTVHGFRSLFWFGAGPPVL IIAFRWYLPETNAFLVMKAEREATLAHKNEGSDKHASRAWLKEFASGLKSNWVLFAYL VILMTGYNSSSHGSQDLYPTFLKSQVGLSATDVTITSVVGQFGSMIASASLGWLSSFA GRRLTMISGCIYGAAVVPAYVLPRSRKLIASAFFQQFAIGWVFGPIPIYLSEMAPPQL RSTVLGLTYQLGNLASSASVTIQTTIGERFPLPSENGVARYDYGKVIGIFLGVVWVFM LVVTFLGPEMSEDERAEQSASANYLENLRKDGVSLAEIGVLRAKATVETIEKVETGSK GNSSSV PFICI_01907 MKFLATLLSLAATASAIDLYLHTNNNCGDDSLRCNGLNPDTCCG FVTSSSPYQSIAVRGIPSGWNLQGRGYDGNNCNRLQTVSGNNGNDWICNRSNGFRYTG AGYNFVGRKRAEGAGGAASNCQRPNALVLANGTEYDLTTLEDPEFEELIATGFDIEKS AETSQKLQPLRIK PFICI_01908 MASSARSDETLKEKRTPLQLISQGPCLPGIPQHPTFASQRQWML EKMALAFRVFARLGYTDGMAGHISVRDPENPHTFWTNPLAVHFGLLKASDMILIDYEG IPVGGNTSRPANAAGFLIHSAVHKARPDVVAACHTHSVHGMTWSAFGRPLEMLTQDCA YLYGEAQAVFHDFGGVVLTQEEGDRIGAALGAKGKGLILQNHGLLTVGTTVDEACFLM TLMERACQCQLLAEAAAANGLPKVLISDESARYTFENSSDPETLYWEGQPDLQYEEYM CGGKHRE PFICI_01909 MADLREISQSGSHPDCPKDSLKLPALWTKGPLNSPDYDYEAYMQ DDETVYKLMNQLQTAGIAFVTNAPGIEESVSTIARRIGPCKDTFYGYTWDVRTVPAAS NAAYTSQDLGFHTDLLYFQQPPHVQLLHCIQSASSGGASVFADAYLAAVDLFRSDMSA FDILATVPVNYHYNHADSNVYRTTKTVIDLRPLRIGDATYNRVEDYVKAYSKACGKEL GSEDVAALLVRCMDKINWGPPFFAPFSNERSSPQLEPSWANDDGSPLSELNDKVERWH HAAQKFNALLQRPEYLLERKMKPGDCVLFDNTRTLHSRRAFDKADVGKPRWLRGTYVD KDPYFSKLRVLQNKFA PFICI_01910 MDRPLAQNKRTRKGTAKSRRGCARCKSRRVKCDEKRPSCENCVR IGLQCPGSQKQPLRWSRKHEQSEEYTSVSNPPQDQVQADATSLRNNEGCSEIVAPLVN CLVASDAPLKDTECPEALEHCDVLGFVPDIWHTHDEFLNPRTDEDAGRPWDWSRDTPM TTKTFVLPDNQCNMLAASISRSLHGLTHLPTMLIEYWFCYICPIRSTFDSDINFNRIL AKNAHARAEAVMYTMQAMSIACLLKDMPQLRETSLTLRSKAISAINCTLTQVRTANSI EGQIVTDLIFAVFCIGTSTSWLASSSMEDDPWLDIARELLCYWRRDPDTADTLVYAYF CQALTYWKMLLAAEGRGPTYMKVHRNQQQRRSRLRQAAQLMTNDSSPEVPYDEPACGP INVLLGSRPNSWCGISNEVIDIFGQVMALCRTTMCQKLKSPNPPSVTELSNKLCDLSI AHGLYRELNSMDFGALVLMDEVQGFPVHTQDDNTPIAHLVQTAEAYRLAGILQLKLAF PEIVSELSDHETIRNEKFQADNVVASALQLVSLLEQIPPESGSLSIHALLFLSVAAGL ECPAKRQKTFTWNYTTREDPELFCDPNSMSMSGQANCPSVTEGAQVPRYLQDPRSGTV HYGYGFLPPLTWEICKARRFVRTRLAILQRKLPHRASSSLLQIVDTIWSEYDERFQRI HWLEALEQCGSGIILL PFICI_01911 MSAHKPHWESLPTEISLSILKQLPDPTSLHHCLEASPVAARVFD GYGAEIIEAIFVSGTIHKHTCAMIRMAACLRSSLPQAITNLDTFHEWYLYETTEYRDD IHEWTQAPLRLANQFSSGSDDAVVVPTSILRGVLASHVRNENRMIGCLSTYLTRFRSL QPMHIADQDPDFTWRSEFRGSAPFNFVGAWQLQPSTVLVNKHNIGPPTWCEEQRVLRA LWRVQLFEDLKAAERAGRLKGWRKIRRWEYSRKWPFVDMFEEEDEPYFEQHMCLEKEL VYTVLDYTESMEEAVVEVTTASSTMSTKTGPYQALPDRMSWKSNASSRQRHRPSRRST ATNSNILLEVNVPPCSAFRGTFTGGADSLFGAS PFICI_01912 MTNYEIPLINIRPFLDPTSSQRDRDAVVSQVSDACKVYGFFQLE GHGVPLDLQTKVLECAKLFFDLPLEEKQKVGMEHALGSSKRGYEVIGGQQLQNDTLPD LKEVWQLGKGSICRPGTFQGPNLWPALPREKFQDPVYEYRQRMLDLAHLLLRILISGL PYDSKMFDNFMLQPVANVRLLHYPPQLSKDVRQLGAGAHTDFGCITVLLQQPEQTGLQ VLYPPTDSWIPVPAVANRFVVNIGDLLCGWTRGAYRSAVHRVINAGDNHRYSAPFFYS GNMAFKLRPLDGSSDENAITVEEHILRKFKASYDIEVK PFICI_01913 MIQAICTLCGSFTGASIRHSDWSRYARTKTAPLIGIWIVCPIAL TVTAMFGVFVTSAAREMYGTAIWQPISLLLHIQQVNYSSAARAGTFFGGLGWFLSQLA VNVSLNSVAAGMDLTSVAPRYLDARRGGFLLATVGFAVCPWNYVNSASTFTTVLSSFG LFISPLIGMYIADFWIIRKCNWRVPHLYIGNEESIYWYSKGFNWRAFLVWIVMVCPSL PGFYMAITGNDIGMPWKRIFQITFFVGMVGGFVLYTAVALVFPVKDATSHQEYDWFIE ENFAIKGQEAFDFGETGEGTGLPNEKINSTAAPK PFICI_01914 MGELEISDDLKTVDVHEKTDASSERWEAPSEWLTRFIDEYSGRH GGDTETLPPGSDPNLVAAVIFTMNEEESVMALKSLIESQSQDYTFDHVQMTRIKNLIK GNEFCEMEHGEWSYEVCKTAGLMHNWSPYAEVRAVTIPYDDPEEACESLRAYFLGFFW VCVCTAVNTFFSPRQPGISIPNQVVQLLLVPMGRFMASALPDWGVTIRGTRYTLNPGP WTSKEQLFATIIFSGASTIGNFTGLLVMRLPIFFNQEWAGFGFAISLALANQIYGLGM AGILRRLTVYPIEAVWPSVLPTLALNRTLINADNKRETINGWTMTRYVCFLIASVVFL IYYWIPNKFFEALRLFNWMTWIAPNNINLAVVTGSYGGMGYNPISSWDPNASGSTAMN SPFFAQLQQYVMRVIGGIVIMIMYYKNAFWSAFLPINSNGAFDNQMKSYNVSAVLNDD NQVDIDSYKTYGPPYYAIANLFVTGANFIYYTFSIVYVFAKYWKALKKAFVGMVVNTI KRQSIYTGFEDGHTRLMRRYKEVPEWWYGIVFAFGFIISIVSVTAWPTQTPWYSIIGV TGVGALLTIPWVIIESIATTGISLNVIWQFLPGLWWPGRPLPQLVILMLGGAFEQMAG GFTADLKYAHYAKLPPRAVFRGHVAACVVNCFIYCAILQVMLVYFNEDNTLCQWNNKE HMVCNYANQVFASVIFFGAFGTNNMFKLYPGLPWCFLVGAILGAAWVIGEKVLPRVRQ YLQAKMDEKRFLSFDKYFWQPSESVMSCLNPAIALSGALQWTGNNNLTYATLGIYIAW YFQYYLKRRYTAWWGKYAYIIFAGLSVGVAISGLITTLVFSFGAGKNASFSWWGNNVA QQGLDWQLYNNNASLLPLPKSGYFGLAPDQYPLDW PFICI_01915 MATLGPLKKVDSDDWLKRLETDMPGRPDILEALGELAKRGEKGA GMKENVLQAPTGGRRGLRTVPFERKTFEKVSRAFNMHSSISRAISRADVPVFSHVNAT TEDIADQYKVEHNTFVYNCRTSNAWQMDLGLTVTYFPDCELSFAVLFGCHISTEEEII KRLAHARDDISHPLLLPGIVAEIERKRHFYHADRTIDEIEARIFDLETRPDAQDGMDA AEMAKIHKEKRTAWLNTTYTRNCLISWQVQLENMVRQTEALDPVIKMGGDGSSGEHKW PGVHGEVATPMHQDEMSCDHTQRYPDATADSPTTTLVAHDEYQEKRKATGYKIASRIR ALIEEYDDKIRDCTMRIDGMAMATQWAQGETNLEIAKATSRDSRHMRSIALVTMVFLP GTFFAGMFSMTFFNWSNDGGEPIVSEYFWVYVVVTVACTLLTVGLWYYFNISRRSLRK SRDVEEMYGS PFICI_01916 MVDVPELRVENRRVSDPANAHRRASPANQSQPKPKPKPQQQKQH LSPSSSHPLPPPQVTQKPKQKTVEQRLNLRLRQELPCYVGTKWPIAQPHILRDILTSE DIQSLLREYQSKGRLSKSEDVESLCRKVRGHRHPSCIVVLAMLILVDKGYTIGEVLRD QIFDDNLPLVVVNHADPVIFSWDQSRKDWRQLVCFEDDDTWGVQAKLDICKWQWYLRV PRMKVSGPSFEAYEGKFGPDTVLPWSSKSKDGEVMKHDRTTGYGGYSAVYKCHIDERF HGFHEVLKKIGLGDGRGFFALKVLNTYTADEKAQISNLFQNERRQLSRFNGVVHNHLV TLLAAFEQENTEKNFFVFPWAECDLSAFWEKQKPKPGDAKWIAEQLKGLVSALNEIHN PAQNSQNLGTQVFGRHGDLKPDNILWYTPYKGDPKGILVVSDMGFTAVNSELSRSKQT NGKPRTPTYRPPELDIQGAKVTREYDVWSLGCIFLEMMTWILGGQRFNSEFKKSRMTP ENGVNTSIFFTMVGGQPIVKKEVVQWIDTLRSHKRSTEFIHAVLRIVQDKMIIPRADR RSRVRDLKTEFDRINAKCKEKASYYTDPYKASPKAKSS PFICI_01917 MEYEASAEAPTPPVIPWHTQNELSRADLAPERTKTANSAAHSSI VGDGGYAKQLAHSTAATHQSSHDYDENLAVEDDLQYIGGGIGSKQASDDALDEDSTAA EPELRAPDGLERCLRMAMVDSAGKEATEKRFVPVDEIDRIVNLKSVLRELKTVNLGRD QDPNDIAHQICSVHEGKSPLDGKETKTNRRRIFATLALIRETAAVQEVLREGLYDWDL PLALDTTDRGHHRLARRNADGSLRLVSFSRSWSPYQHEAFSRCQWQLSSPCFEMRTNV EAKIMHYRLNAHSILPITKIDGEDRHGGFATVSKIKLHPAHRKSISRDQDSTSWLALK RLKFSTEEAFKAEVAPLKRLGNNKNSHIIQVLTTFQYRDEYNLVFEWADGGNLFDFWQ QSFPQHHSPAGNHGLGKWLATQLTGLASALSLIHECEFDPLAAKYSGFNSQDGRKKYG AHGDLKPENILWFKATPNDEDSSNLGTFKLSDFGLASFHSLESRKRFQPAGFSATYRA PECDLDRHISQKYDMWSLGCVLLEHLTWYLLGYDGVTAFSQRRMQESQPTFKEDNFFN MTQDRFSLQGGRAIMKRCVQEQFNLLRKTPNCSDFLSEVLDFVQDKLLRMSPDRRCEV SEFLHFTKDASQKCMEDETYCTQRLKPIEIRTETSLSELHARLSLQTGQHKMAVYASV NRSRTSVHTQAIPSASSGADLLKKLPVSPVKEMETEQPGQETNNQESADTALITEEHL TLISKRKNDEPMDGEFEPTTQNNPEVANEYQFLSVSKLGGFGSGTTGEKSPKASHGDV SSASYDSNSLETGTVGYIQRQPEKSEYTSDGGCLGGLLQMVKDKIRHPRTKHRQSTT PFICI_01918 MPAAPAVSDHAHAHAPDSSSASPHLANHPQQLITTPNGSSAPGP DGLLHPGLRLDMRNANGVQRDGRVRNPIPSKLKGKTDEKKGNFRVMHMDISNTSESQA RYDAAKRSSENTALQAKMAETDHYVSHHRRANYARTKTLKEPEEEAAAPPLLPPRTTQ LTPEEVKTEQARLLTLLRTLPHDNIVNQICKALAFFGGIPDAPPPPSGKFPDSGDANG PGNLFVGWLSEIFPDLDRPRMPNDQPSAPAKRPRGRPKGSKATKARKDKGIKKNNRGP DGTGLSGQDGDQPGDDEGWEDVNDSVLDVSRQGESVEDRVLSLLQTPPQNAGASNAMP GTGGPSGFTSINPASTPVTSVKKRGRPKGSKNKAKDPNLQNTPQQAEVGAGAAPTTTS TFQPALNVESTPTAPVEGKKKPKTGKKAANASAASATQLPQSAQVGTSTSYIPPPTLP LAATRNPGPVQTGVNGSNGNAEGIHVPRQIQNMDAEMPQPINSVTPQVKESSITGAKR KRQNNKPPAKSADYAGTNDPATSHLQTNSSGLPLASGLGMDTPQRQSNAIPTADMSAA APPAKKPRKSNAGTSKRKSTTGAAGELSSVTSSENAQNQTSSQMIDDTTQARSQPEGL QAHYDRFASLSNNTDHQTQAYSGQQQAQQQVQQQVSNSVNTSSAPVEGLEAHYERFQS VQNRQHNAQQPASARQQSRQVPQHHSTQSASPVPPQISKTPQMATLPSQQQNRASTNT PNYYAQSQTPGFIVQQGSYTTNQRQPQNTSTSSPGTGLVSHVAHSPQYGTQSSNSPLL SNDNTFRGSPSLGFAPRRTTSASPMDNAYRTNSVTAQAFVNSRQTPATSHAGVASTYS SFTDNSLFDLQSFDSASGNNMGLGGTGSYSMGNSNVQRANSASNNSSAYAGTTMTNGA YDQTGLNKGAMNNTYRGQPRWA PFICI_01919 MTTKYIFISLPEDAGEGLKPAVGDNGTVLPFQIPNFKIGTLDAL VQQADDLTKLEAACEGLVSRVSDSLKTLLDGNEDKINQQKTVNDKPTDNYLRSFSWNK VRYRADKPIAELVDSLQKEMVTIDNDLKGKLNQYNGVKNNLATLERKQTGNLATKSLT PIVDPSVLITDSEYLETHLIAVPTNVKKDFLKTYENISPMVVPRSAYEVAKDDEFTLF ATTTFKKHSSEFQQKCRENKWTPRPYKYVEGGKEEEKREFERVQKEEKKVWGEALRLS RTGWSESVMIWAHLMALRVFVESVLRYGLPLEYTPNVIVTTSKASQKVKDSLDKTFSH LGGNAFGRDKRGRITKDDAALSSEMAAAGFGENEYTAYVYYEIEL PFICI_01920 MATNITWHPSLSRRERNEFRKQRGMTIWFTGLSASGKSTVATAL EQHLLHLGLSTYRLDGDNVRFGLNKDLGFSEKDRNENIRRIAEVAKLFADASTIALTS FISPYKADRQVARELHAAPGPAGADDEPLPFIEVYVDVPVSVAEQRDPKGLYKKARAG EIKEFTGISAPYEAPEAPEIVIKTHEKSVEECVQQIVEWLNEKGYIKTQ PFICI_01921 MPDPLAIVLTALGITSVAFVVAFSVFLHIIVYPVLDFEEDIYPG LDYEADWSNDEESAISSLRSSLPSDSSSETSPLLSIAVQRVSGPQGYGSRSYRPYRPL P PFICI_01922 MFITVPLILAFVGAVLADPEGHEWQAPGDSDSRAPCPMLNSLAN HGYLPRDGLNIDADTLIQALSDGINIDPTTLAGAAQAAVSLSTTGNSSTFHLHDTLKH NAIEHDGSMSRNDVYEGDALHFNATVWAQTTALFTNDTISLETAALARAARIAKAKEA NPEYEAINNSSAGLGETALYEITFGDRVEGNAPTKWVKVFFEQERLPFAEGYARPESP IMSDELSAMIGKITAFSPIESIE PFICI_01923 MAPDRPASSAPEPDAAADASSSSTSEYRSAYNDLRRLIPALALE LDHLRAALREHNLWLQRYGHAATSNSATDPYGPPPSTAEASSGSNTTNNAGDPELPAY SASDVPPYTGGPNSVSASTSTREEVQRRRDELIEWLGEGEDTLREYSARFAQLGRELN LPPEDILGTWNEASPDNALPDYEQVETNEELMVGGVGEVPPPYVRGQALEKGQRG PFICI_01924 MEIMPADGEVPLLVRFFSAQYPPMQDRLLLECDARSLLMLAKTS KALQREILSLWDINRSLSRFFDDPVAFRNAMGQFDAIIAGSFAVQFFEHVSWPYTNLD ILVRDESGLESMHEYLVGSANYEFWNGFTPIGVALELGHSSEGLRDVLDCRWYTRHSR RDWIQLIATKGTPSRFLFRNNQLSCNINCITSTKAYSLFPHATFVDHKMIATKAFFFD QDTTDIVKWEERGWVLEDDVLEDRRGEFNTTLVDGCRSTRRIGDKYTWTMSLDPEGLT MPQTCDQAFEANTFAISKPEIFGIGPLKNCFIRAIGVDQDHLRHTFTCSHPLEYDFFR HLKKGVWDTIRQLDPHIHQLMSAQERDLQEPAEGAFKDDGDLVYQFICHRVNQAQSEE HDGEYRFGANVGGRYDVMESDHEGGLYDDFFGDRSGDDDFENGNGFGDDGDDDSDVDM EIVLRIDLESILRDKHDLEKDEPISKRLRSSVSNNP PFICI_01925 MTAAPAIPALRGFLTPPTDEETLTMFVPTDAKEVEIEAHIKDHW LSRQMREKEGFTELRPHMKIPEPFRANNLTAGVLRGPGRVPVPPVAWVEAGGKSLVSI TYLGEELCGHPGIVHGGFLATMLDEGMARCSFGALPHNVGMTANLNINYRAPAMANNY VVLRAETIRVEGRKAEVTGRIETLPEKEGDAPVVLAEATGIFVSPKQAAMMKNVFPVQ PFICI_01926 MATAETAQLGDAHAPKEASLQLYDELEHELKRVLIHERHDKAKH DLPYFAAAAHLSDADFVSFQKSDFELVRHAQVAYGHILFGKLKIPALAADSQNCYIHF RAYEPEPGTEAKAEVHSIHTERIEEPDGGFRYRALFTKEDPLEWFDT PFICI_01927 MASFDDNALSKLTEKIDQKLSESKKGPKKKQSQQNLKSPQSKPD LKRKRQDDKAEPAAKKRNDVPKRDFKDNKKDRKNKDANPNKNGPKPTQNGASSSNVLL DEIRALGGDEQDLELVGGIDSEDEDIGGGGGGAKGSQLDKALQAELAKFASGLGFEKV QPEVAEEEEEAEEEAEQDEEEEDWEEASEEEEAAPSKAKSEKKTTTAQSIPRGDWKTI FEPRPDWHAVELTRLPEPNLDDDVGQYFSAIAGLKAYAKRVLEEDSTTHNTSQQSSSS RKFMSEIMSSGTMSDKTSALTLAIQESPVHSIKALENLIGLATKRSRGQALAAAAALV DLLGPGSLLPSDRRLRTFGSQPGLIGTLQKHHIKTWTDKQGLPGVINKAHLVSWAFED WLKEAYFRIIQAIETWCNDEIEYSRVRSIDMVYALLKDKPEQESNLLRLLVNKLGDRE KKIASRASYLLLQLLNIHPGMKAIMIKTIEQEVVFRPGQNIRTKYYATNTLNQTILSS KEPQIADSLLKIYFGMFVALLKSGDLGDVEKFEASEKPEKTKAKKRTRAPGPSKKEIE EAKHTQAERESAEKLVSAILTGINRAVPFSETDSSTLETHLDTLFRITHSSNFNTSIQ ALMLIQQMATTRHLATERFYRTLYESLLDPRLMTSSKQALYLNLLYRSLKNDVDLRRV KAFVKRMLQVLNLHQPSFVCGIIYLIMELWATFPDLSTLLNEPEEHDELEGTNNTDEQ GDEPPKPTDAYDGRKRVPEYSNAHRSCLWELMPFLRHYHPTVDIYATSLLNGKKPAQK PDLTSHTLINFLDKFVYKNPKATDTTRGNSLMQPVAAAGQGSVLVTGRPSGKASVSLN SAEFWNKKVQDVAVEDVFFHEYFNRVGKPAQADRAKKAKADEEDEELGAEGSDAGEDE IWEALKASRPEVQEDSESELGSDFEELMDDGSDDGLNEDAMDVDGESDGGLDFSDASS LDFSSDEEEEGGAPAAKEDNGKPESEARKRKKMLKGLPTFASVDDYAQMLAEEEDGL PFICI_01928 MLSTRASWMSQVKTAGASIRSLRSYSSAPPSKYADTRRARLSRL WLPTPGATTGSEHEDSHAKLIRAGFLRPSHAGIFHMLPLGQRVMEKLEKLIDKHMQDV GALKVSLSSISSQALWEKTGRLEGYGPELFRFADRKETGYLLAPTHEEEITSFFTSHI SYRNLPQRIYQISRKYRDELRPRHGLLRGREFVMKDLYTFDVDVETALQTYAEVRAAY SRLFDELKLPYLVAEASSGDIGGDLSHEYHLPSSVGEDNVISCDSCSYVANEELAVSK PRSYADGQDKTSVQRTWHGLTKDRSTLIVVNFRASSDSAHPAINTHAIKAIVPDLDPS IEDAGAVWRRSTEAEKASMKTIRLVDQSDAGLKEEETSAPDSETVMTQHPETGEPLNL LQIHDGDGCAQCDSGKLRVQKAVELGHTFHLGTRYSAPLDAQVRLRENTLVPIQMGCH GIGVSRIVGAVADHLADKKGLNWPRAIAPYEVVVIPGRNTKDEDGSLVYDHLQKHDRN GEQQPIDVILDDRTESFGWKMNDADTIGYPVIVLLGRKWDGERVCEVQCRRLSIKEDV PFEQLPVFVNDLLAKL PFICI_01929 MSAYNMNSALGLDYYQVLGVPRDATTEEIQQAFSRLSQAPEEAE SAGIPDGIQVVHMIREEAYKTLTADAGEREAYDGDLRRSFIWSFLQARGFQVKTTTRL GEIIEFLEEEDFIGFMAGEGLPVKGWQLSLDGRYYFELGSGREERKAAESRSLVIETR PVPVMEPLYPVAESRPIITEGTISYSNELPTRVELPVMEAEAPRPHPSSNSIPSSGFS SIWSRMPRVWDVLHHILFYLLLACLLFRIPNEEVRTESSHRDDARMNHYEVLGVPATA SVEDIRRAYHAAALQFHPDKVHAGANGSNAKAGVDDDEEEVNETMCRINEAYSTLSTD ARCLYDHETLHGGSRQYAECMEAFGFAEAERLMEQRVEMEREAREREQKKLRQMVREG GTTNSTELSLVVHPVHRAVDSAKFSLSKSLRAAEMAVSEYVVPVAHVVSRRLRIAGNR LYLICYNHESTRLVVFFLGQLIGIDICGAI PFICI_01930 MDLSRDRSPNLMIMDVLFISLASISCLLRCYTRVFVVRAFGIDD WLMLVAMLFYVLYIVSGLISAAHGSGRHQDTLSDADLSIAMRNWWLCYLWYDACMVFA RLSIGIFFLRLTVKRLHRYLMYFVMVTTVLFGLVFLGVAIGECTPAPYFWDKTIEGGW CVDDRIIVALMYVYSASSLFSDATYAIFPIFLMKGLQMDRKTKYAVMPILGLGWVASI AVLIRFAYLTTLASEDFTYDAITIAILSSAEQGLAITAGNLVTLRPLFTRKFGLWSSL ARDGNSAANQHPPTIGAVDRAKGRAASAASDPSDVGLATFLRGYATDEESGVPMKSHD GALEQQSVDTTTDGSGASQKRNSHVWCAPMEDENESQNGLHHQSSRDTLTDITKAMPA TFLAREGRLRDSGGT PFICI_01931 MDDEEHQDSPEATMGTLRRDTPLPLPVEDPPESSTAATPTTGLG SATDDYDPDNPGNGLDNSDNFGDDEDLPSALQSMSQSLRNSQATRQPFQSQDELPDSR PESIIDASETDAEPEDPPNFSAHDVSDSGSLYEPSQEKGRSGRKKPATRAQTRGQQIN SGEKESSEAERKGSSARRLYESRAAQKASEQSGSAGTKQKTQKKSVVRGRIAKQPFTE DVDQTHNEQAPETANSGRASGAKTAKQKTASSDAQTHKKKATVASLPKHDNNDPYEID EDISQQAPNESKTRQQKKPSRKSQGSRAGRAQQNPVPKKASRRKQNSRLKEQQEQEVF AIDDIEDSTADDVQTHLTRNAGSTKLKDRMQLDDESAVATKKQKILEVDDHQTHPTNH DHAGEQDLTKPKQSMIKKVSTKQNGIEVASAKAQQDVVHSNGHSGVTQHMSRVRVSDG SVNDALSEPDFTGVGLEGNTPSDSDDHHYIDEQLLPPAMPDPEHERSMPAKKKLPFRK RGEPRQTNSSSLLEDTPSHKVGVQSNGSRKRDSIHDEELHARESKKARKSAIPKVSMH YGKEAPAINHKVPQTEDDCDIIPASEVNMEDQVTHQEPKMVSGVLPNKTTVAARNMSL PAARSISEATIIEERVIEQHKNNPQRVAADNRQDKSNSQHDLDKRNVTISGGSSSSTA NSLPAEMPARQKGYDFADASESSRSFHSVLHQAAVDENADPRPVPRPALNRDHPMTNQ SPATDHRVPGQYDYSQPIPSGGKQEAPLLHQDTYHSQQRGISARDMSDHSHFQSSNVV STASRFKRGEPLQVRDFAFKDMLSPRRHEPRERASSSLDHLHVGVSARPAARQALKKH VKPRVLDHDDVFSPGTDSREQNTSVFVDELKRKTEAQNHAEAVLRHNEKTHEFSEELL RAPRRHESNETHILSNIETTDRHRGQRGAVRPFIYPKAALFNRELVENDKHRTSKSRI RTIGVEYPDVQGSHPYNQPAGAFRQDTGASLPRRMYNGHSSEGYHEDRANLEASSTEK QDQGSSETEGGTEVDRAASDLVDTIHAVTTAIIGKLYSQDGKLNNIVEEYNHNGRRIV AQLHERQQEDLRQVSRVFQNDCREISSAYKNTLKGIKELRNKVSAKRKFVNEVEAAKR ARYEHVERSISAAMEELGKL PFICI_01932 MSSEKAQNPMRELKIQKLVLNISVGESGDRLTRAAKVLEQLSGQ TPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSETGN FGFGISEHIDLGIKYDPAIGIYGMDFYCCMTRPGERITRRRRAKGRIGASHKINRDET VKWFKSRFDGIVR PFICI_01933 MSNKQGKMAGYINYRMRVTLLDGRQMTGQMLAFDKHMNLVLADT EEFRKLKRKTTKPAAVGGSTPQTQTIETEEKRTLGLTIVRGAQIVSLSVESPPPQDPS TRLGKTTTGGLPTALTAGPGVARPAGRGAAPASLAGPAAGVGAGAPPQFPPQFPGAPG FPGRGGPPVPGFPGAPGGFPPAGFAPPGGFAPPPGFSGGAPPGFNPPGRR PFICI_01934 MADNQDLKDLRAAKEAAGQDTEDVFEEGMAPKEAQTIHHIRANS SIMQLKKLLVANRGEIPIRIFRTAHELSLHTIAVFSYEDRLSMHRQKADEAYVIGKRG QYTPVGAYLAGAEIIKIAVEHGAQMIHPGYGFLSENAEFARGVEKAGLIFVGPSPDVI DSLGDKVSARKLAIAANVPVVPGTEGAVATYEEVKAFTDQYGFPVIIKAAFGGGGRGM RVVRDQASLKESFERATSEAKSAFGNGTVFVERFLDKPKHIEVQLLGDNHGNIVHLFE RDCSVQRRHQKVVEIAPAKDLPVATRDAILADAVKLAKSVNYRNAGTAEFLVDQQNRY YFIEINPRIQVEHTITEEITGIDIVAAQIQIAAGATLAQLGLTQDRISTRGFAIQCRI TTEDPSKGFQPDTGKIEVYRSAGGNGVRLDGGNGFAGAVITPYYDSMLVKCTCLGSTY EIARRKMLRALVEFRIRGVKTNIPFLASLLTHPTFIDSTCWTTFIDDTPQLFDLIGSQ NRAQKLLAYLGDVAVNGSSIKGQVGEPKFKGEFILPELLNPDGSKLDVSQPCTKGWRQ VLLEQGPKGFAKAVRNYKGCLLMDTTWRDAHQSLLATRVRTVDLLGIAKETSHALSNL YSLECWGGATFDVAMRFLYEDPWDRLRKMRKLVPNIPFQMLLRGANGVAYASLPDNAI YHFVKQAKENGVDIFRVFDALNDINQLEVGIKAVQQAGGVAEGTVCYSGDMLNPSKKY NLAYYLDLVDKLVALDIDILGIKDMAGVLKPHAATLLIGGIREKYPDLPIHVHTHDSA GTGVASMVACAKAGADAVDAATDSLSGMTSQPSINAIIASLEGSEADPGLNPAHVRAL DTYWAQLRLLYSPFEAHLAGPDPEVYEHEIPGGQLTNMMFQAQQLGLGSQWAETKKAY EHANDLLGDIVKVTPTSKVVGDLAQFMVSNKLSPEEVVERADQLDFPGSVYEFLEGMM GQPYGGFPEPLRSKALRNRRKFDKRPGLYLDPVDFKKVRTELGQKWGPITECDLASYL MYPKVYDDYKKFTQQYGDLSVLPTRYFLSRPEIGEEFHVELEKGKVLILKMLAIGPLS ESTGQREVFYEMNGEVRQVTVDDKKASVENVSRPKADPTDSSQVGAPMAGVLVELRVK DGSDVKKGDPLAVLSAMKMEMVISAPHNGKVSGLQVKEGDSVDGSDLVCKIAKE PFICI_01935 MTAALTVYSATFMRYSLAVTPQNYLLFACHFINECSQLTQGYRF VNWHYWGGKEKAAQGALADVKDKVVEAGEKVQAAVSK PFICI_01936 MAQALPIKFQELLMLGNSGVDATAIGFNTCTLESDSFICIREKK SEAAQPEVVIVDLKNGNNVVRRPIKADSAIMHWTRQIIALRAQSRTLQIFDLEAKQKL KSATMNEDVVFWKWISEKELGLVTENSVYHWDIFDPNQAAPVEVFKRNQSMSGNQIIN YRINSDGKWMAIVGISQQQGRVVGALQLYSKDRGISQAIEGHAAAFGTLRLEGAPADT KVFTFAVRTATGAKLHIVEIDHPETNPVFQKKAVDIYFPPEATNDFPVAMQVSQKYGL IYMVTKYGFIHLYDLETGSTIFMNRISSETIFTTASDSDSTGILGINRKGQVLFVSVD ENNMINYLLQNPANTEIAIKMASRAGLPGADQLYGRQFEQLMQAGDYAAAAKVAANSP RGFLRTTETINRFKNLPQEPGKMSYILQYFGMLLDKTTPLNETETLELAIPVLAQGRK QLLEKWLSEGKLDYSEKLGDQIRAHDINLALAVYLKANVPHKAVAGLAETGQFDKILP YVTQTGYQPDWIGLLQHIVRQNPEKGAEFASSLANSEGGALVDIGRVVDVFQSQGMIQ QATAFLLDALKDNNPEHAELQTRLLEMNLVNAPQVADAILGNQMFTHFDKARIATLCE QAGLYQKALELYEDPAAIKRVICGISGTPNFNQEWLVNYFGQLSVEQSLDNLDAMMKS NIRQNLQSVVQIATKYSDLLGPVHLIDLFEKYKTFEGLFYYLGSVVNMSEDADVHFKY IEAATKMGQFNEVQRICRDSQHYNPEKVKNFLKEARLPEQLPLITVCDRFDMVHDLIL YLYQQQQFESIEKYVQGINPGRTPQVVGGLLDVDCDEAQIKSLLASVNPASIPIDALV HEVETRNRLKLLLPFLEATLAAGNQQQAVYNALAKIYIDSNNNPEKFLKENDQYDTLS VGKYCEKRDPNLAFIAYQKGQNDLELINITNENSMYRAQARYLLDRSERELWTFVLSE NNIHRRSVIDQVVATAVPECNDPAKVSEAVAAFLACDLPGELIELLEKIVLEPSPFND NASLQNLLILTAARADKSKVADYIQRLDAYDPDECAQLCINVGLYEEAFEIFKKAGNQ TAAVAVLVEHVVSIDRAATFADDVDLPEVWSKVAKAQLDGLRVSDSIESYIKAGDPRN YEEVIEVATHAGKDEDLVKFLRMARKTLREPPIDTALAFCFARLDQLGELEDFLRGTN VANVEESGDKAASEGYYQAAKIFYTSISNWAKLATTLVHLEDYQAAVESARKANNIRV WREVHGACVSKKEFRLAKICGLNLIVDAEQLQALVKQYEAEGYFDELIELLESGLGLE RAHMGMFTELGIALSKYHPERLMEHIKLFWSRMNMPKLIRACEEANLWPELVFCYYHY DEFDNAALAVMERAENSWEHQQFKDIVVKVANLEIYYRAINFYLEQHPSLLTDLLQVL TPRIDVNRVVKLFQKSDNLPLIKPFLLNVQHQNKRTVNDAINDLLIEEEDYKTLRDSV ENYDNYDATDLVGRLEKHDLVFFRQIAANIYRKTKRWEKSIALSKQDKLYKDAIETAA ISGKSEVVEELLRYFVDIGSKECYVGMLYACYDLIRPDIILELSWRHGLHDFTMPYMI NMLSQTTKELSLLKADNEARKTKEKSQEKDEEQTPILGGNRLMITAGPTGAASPAGFG QTNGFAPQPTGFGF PFICI_01937 MAQPGIHAAQSLPKFLLPRLSWQGPASSSSVLRSATAFPVQRRS WQPTQSSGMHTQRRPSPQAQRTRKNSAILQSPALRRAFHATAPRARDHHFDTLKFIQR LQGEGFTEEQAAAMMKVLNDVIEESIQNLTRTMVLREDAAKATYTQKVDFAKLRSELL SADSTESHTTRAAHERLTNDITKLNSRLRDEIGRTQASVRLDLNLEKGRIREEAVSQE LKIKETETKIEQEVAALREKLEQVKFQTLQWLMGVCTGFAALLLGLWRLLM PFICI_01938 MFSPTPPPPLPPPKPSSHEASRLGTPSISQSPRPSPLTDSGFAT PNGKGKGIDTDASGLIPAPQRHDSQRRRYEQQAPQEIPDPGESWLPKFLEDKSKQDLA AILSNPSLLEALTHSPTTAHPSLTQSHAALQSALTENLTLAQHLVELEGRLAHQRSST QAQLLSTHALERQWRAKQSDMDVALAPFAPSSLYQRLTQGLQEQEMVCAAMEESFLDG DGSDHNVATEREVNEWVRKYRENRKLFYLRQERKERWNERRVGGWR PFICI_01939 MTASESAPAAPGIEIPDFAPRATTAAAGLVESPGTPSSPNAWAR FEFEMGCGNEGTKVLVVEWDPAAAPRDKDDSHGDQPVSQGGSSPLDDWEVSWGGKIPR ARNQVKEADGKSTFRVFILIEDDIQVPSVVAITQISTGRTLLARPMPAIYTPALGADV AKAAGRRGVLHTQWAEKRLKELQLEYDREVQDNSEGVGAVMAYTDLTWVVEHFGLRHP YAVDGLAPQETKHPKAAQPPRSPVGGKLGEKLRGLKLATSPSELVNTTGQRQNPHYFT PGSSGTTGTARSGRLVTAIAPGGDPGSSGVASLDAMVKNTQSSAAPVRPPPSQATEDE LFALPMSPRSPEMEISPFSLIK PFICI_01940 MDPPHVTEFASERYFEKFRQLEGREQAQSLDGSAISQSSTFILP IGRTKETKQYTLNELKELKEKEKKRGNFNLRHILPSRASVDHDHRRISTDATNKRSAF PVSSAHVPKILNLHSASFDQLFLGLPNELKIQIIAQLPLSDILSMRVASRSFHEMVSL NELPITRHHIEHHIPAYALRLYPVPQAPHVNFHYLCGLWHRLHVAAKLSFLICEWIMK EIFLKKTDAQRREFAQQHERMRRRLIPLLFTVFHFFETYRELHLKFVQEHGYGLNKMP YTINPIEAQIMGMYDDKTLLHVHQIFPVVVASFVRRLRPPSYQGRFERTIRGYLKDKP ADEIYAAALCVGGLRQVERFWEIKGYSARRGAVDRWYNGLTKETPFEHTPPIEPSTTK SRRGFMGIHRKKSSFNVKDTAAMNTGEQIPPPLFTIPSRRGSDTGRRLSDAWGPGRPT DSGVFDTSMAAGMPMGPLPREQSRLVTPDVPILQHIWAPAAESLIMQRRIVERPQDIK RNAQVMLELIREDGAAMDDEWWYGTAAPESVRPPMEAIEEDPIE PFICI_01941 MKLVIGGSTGFVGTELVRQALLNKDVDSVVGLSRRETPAPVDLD KGRLKSVVCDDFTTYPDAVKEELRDVDACIWTIAVTPSKLQTVPHDEAIRISCDYAIT ALQTLASLRQSSGGEGNGKPLRFILMTGHFTPRTPAEVHPLLKERGMSEYGLARGASE VKTLAFAQQSGATVEAAVAKPGMIVAPGVERRNVPGIPEVALRDVAAALLDQVVNGLE KDTLSNDDLVRIGQKVLAGP PFICI_01942 MQPSSLYCLLAAVGFVSAIAIPSVNLERSPVKIDHGKRLEDPEL VSNEYLNYDKRGNPIQDGDEYHHYNNKKRSNPIQDGDEYHHYNNKRSPVEDPEVVSNE YLNYAKRGNPIQDGDEYHHYNNKRSPVEDPEVVSNEYLNYDKRGEVIEGANGYYSYNR KRRELMQIKVPY PFICI_01943 MHVANLSIVTPAQGAISWETMFLDAPLKGSSIYVGDPKPEIDDA WGDLVRYANTRVQAEDLERINRTSVPLNDAEGGFLVNLNVFHHLHCLNKIRKQLYNDY YQDWHSPSAQLEHVNHCIEYLRQVVMCNADITMQTFDWIDDYRRPWPNFKIQHECKNW DALTDWAKEHSVPSLIGPIITHPVLGVSWREDDIH PFICI_01944 MKGSRLPNTQTLLSIFSISILAIIVVFFLRSDIPYTPTLTVKSH LIQDYHHIVQDVEKSSIVMNFREHAEFKNLSHEYDIYWKNTRPPNGGYFAWLDETGTR RRDGISMFHQLHCLVMLREAMQGLGEEIQSLKAMRRDTAHEDHAMHTEHDDLHWLHCF DYLRQAILCNADSTFEPHLMNSNGKDIVDGMIERDCKDWSLLYKASEKSDFQSLVLDN E PFICI_01945 MDVNIDSVSMFKGFPSVEVDEAWNNVLQYRALAVFPDELTDQES IAIEDGTGRVLVTLGVFDGLYCLDVMRRFSFREFYPDESQISPLEMMDCVDTVRQYIM CYGDISLTTYDWKRDHLLPWPNFRVDHECRDWDGILKWAIAHRASREMLA PFICI_01946 MFGSVIHKTGTASIYSQYHKVTSADDDISQEFSGNHENRGFFKH FLSKRWAIWAVVLLLGSALIVIWAIQGFNNAEVFLPNLQTVVIHFEANDIFMAMPSPE SDAAWDNLMPDGRGFVLVDDPQQYNLRAGIPTDGGPDRYGVSMFHQLHCLGLIRDGYY SALNHQDPTIIGIKTSTDESALRHHGEHIGHCFDYIRQAIMCNADMTLEWAEVSPSGK VLASVDGWGIPHTCRSWDDAVEWTLKHKAPSTNHTGII PFICI_01947 MCHSDITINTYERIRRTGKLEANRTTLHECRRWDPIAEYARQHW PSHGGPFLENPQLGLIYPTHVNETQA PFICI_01948 MSVRPLIKRVKSTPVGVHDNRLYVAGARVRPRRPASYNRRLDPT KREIRLLSLSPRNAHDRRPEGKLETASLLAEDIEFIALSYVWGDAGITSEVLVDGVVM DVTVNLAAALWYFKEFDMLRGIPDGRPLRIWVDALCINQDDLVERAQQVTFMKDVYGR AKHVFTWFGPPDEKRIDLALRIIDGTVQTFKDMGGVDPQMGSTSDEDEPEDDGESDHD EESDHDEESEHDEESEHDEESEHDEESEHDEESDVVEEWEEDEEDEETNSESGSSSAS QDSSKGVDTERMEIFVKALDSISALHGDETCGGLYGNKAWEAIHELDANVYWKRMWVY QEMVLAAQDQDCHQFLCGKTRIAMRDYTQFASLCKPFARNLSRPLKIAAPKNIDKALW EKLSDGLFFHIGNVMEEVDELQQLLPSDATNSLPSNVLDLSRKATATNPRDMVYGLAA VLRLPIQPDYTAPVRNVYLEWARLEHERLAHDTAALQRFMVDMLQHSYLGAEAWWLEG DEGVVGLPSWLPDLSMLYHTECPVPWEGAYRFSDPFDNDDEPASSTAPFLLSAEGVMS VQGAVCGVVSGYFECTPPYDDLMGRMKRFARAMRKVMALIINSTEALQQDYRTGCSLE QAMMFTMLWGINPYTTEILKPKDALYMWEYLNDLINLVAEHGEIEALSLVSTPEIALA LAWAMDNFGKYKIFWTDTCYVGIIPLSIQTGDYICVLDSCGFPVVMRKTDGGGWIHVG ACYIYEISGNNPLEVIKRDGLEGQTFHIY PFICI_01949 MYDDEEEYSTEFSNDAEQFARALDTISNFDGPRAEKRIAILNLV DKYYMISREKLEIARDATNSRSRVRDRGSEDRYSQDSMDVDQANADVLELSDEELATL ERETQTWDFLRRLLPLRYPEKKTVQLKRREPAKIAPGADIWEEFLQSDVTAQERKQIL EVLQITADDSGADINDMVRDLQQNADRGDIVAHGWLHTRSAIKMQKGLNGWTGPLDPN SQHVTDSSGAKSLVTQLDPDVITRQGRKLQPQDEYFERAIWLGCYELLRRGRSISEIR DWCVERTEVWRAVSMSAMPLSEDRNEKGSISNPLALLLWRRTCYALARSGGTDDFERA VYGILSGDVKTVSPVCTSWDDHVFAQCNALLRSQFDSYVQKRLPRDTTQAVSQLQAFD AVHAHGDTGTVSEAWLNTLETNENTAKEARLPIKGLQSAIISNTIDQFIENEGLVLGK QANQHEKSRLIPSSTKFRASLDSEKYFPLADQNGLRILVHVYLLLAELEDWQGDEADA ESRKVQENSLAAYISALRLNSMVELLPLYCSKLKGERAFYTLSRNVSAVVDVEDRKVL LRIMEKLGMDIAEFVVFQPHSLLKEHPEEPTDSLSAGFKLLMDEPPTVRFGRRLMPDF LGETLDRLDPVDEQLIQSLEWMLLVDGLWDETFAVGVTIYKRFLKSYNLQAALALSKR VTCADIFRIKAGVSVPDDSNPLWFGEAVESGQFADEVGQFGSLTVARNFLDLESLMRA LDSMETVGASADLQLDPTFERTPEFLPTLGGHIKFVKAFMVPILQNWLLEREDDDPDF DLLREMYLPEVILGYVSVLHYGGTSLSRDSLLEAMELAAMIAEKDSGIAPVMVKSGRM KELIEAFANCSKALAINSEDKRKGGSGSNKKFREMGWNRELWSIKR PFICI_01950 MSGENESGSPAGERSEAPAGGAEHLNIKVTDNNNEVFFKIKRST KLEKLMNAFCERQGKSMTSVRFLFEGQRVQPTDTPDTLEMTDGDSLEVHQEQVGGFQA PFICI_01951 MMGSIRGKSKDRTSPRKPSPPEPSYMDSKQFASYLASLRDNRVT RPGGARPPPSPSVASTARLNSDRIAPGRPSIGGSTINTEASAPASSSHLRSHSDIAKP SSIRPSLVGSMASRYSTASGRDYYPEKSSPTKPLRPDEVVPTSTYMERGSRWMEKEEV FSLRTALEDMDLKDAQKEAQKEHKEGEASGSNDDESRLYNAALDEAAELVWQHQNGIK PREPGAPYSYKTHLREKSYAYARTASTGPHSRDVAATGLARDGPRSRSVSGSSSGSDG RASLESPRMSLEDRRQDSMDSTRSKTYGSLAQRVAPSGRRRSSMKRNISGEVEKPFSG DQIWEEPEGDAANLATTKPIDKPAEGVDQPLRLKLRNSPNKVQFSPDTSPEKDKESAA SAQTPPSPTKPLQRVEIYKNPPSQSRNPAYTSNTQKPAGPRVNDSIKKKNGVEVRGDD IRQATSFKLGDRSRKLPTPSAVSDAPGRPIVSFDQNWKAPEEAAADKSPERKKEPSRF SVGNRQDQEGSDARFQPQPNTQASPSPPIPSFSFTPAAQVPSSSSLPSSSTPSIPSIS VDAPGSGGSGPSIPMIITPDDNPAPPSITVSGEQDAPSIPIIVTPDGDGSAAGPSVPI IVTPGDGGDAQDSGAKPSARPLPTPTRRNPFMRANRGSQGHWSAAPGASARATAICHE CSLPIEGRVITLRGGGERFHPQCFSCYTCGTSLEALEISPEPDSFRSARLDRIARRAA GETLPEEPGQTMAEDGDARLRFFCHLDWHELFAPRCKTCTTPILGDHIVALGHHFHYG HFFCAECGDPFEQGMTHIEKDGYAWCVKCQTKRTERRAPKCKRCKLPVIGQYVQALGG EWHDECFRCGTCGGGFDDGQIFPVADGIRCTACRMRELKA PFICI_01952 MSDSEQKTERDTMDEVPSVNEAHQPTSATTQEPQSRTNELKDGG YGCYVPDEKEINVDFGGLSRVVVTAAALLNFHTWGLNSSYAVFLAYYLRNQTIEGASS LGFAFVGGLSIGIALLVSPIATALVGLKGFGTRKTIWLGTVFETASFIGASFTSELWH LILSQGICFGVGMGLIFVASVPVPSQWFTKKRSLANACAAAGSGFGGLTYSLGTNAMI SSIGLDWTFRVLAIICFVVNCICGFLIRDRNKAVGSVHIALNWKLFKRPSYLFYLAWM SLSLIPYTALIFSIVDYSQYVGLSASHASLVGALLNLSQGLGRPIIGVSSDAVGRLNV AGLCTAFVGVLCLVLWVFSFTLATCIVFALLAGSCAGVIWATAAPVLAEVVGLQLLPS ALSLTWVVLVLPATFAEVIVLEIRQRSYRGAQLFIGFMYLLAFVFIWLLRAWKVRELD LARLESKEQREHAIHDDDYAVAHEIRHENSRAERASIASKAKEGLSIAKGLFAWRKV PFICI_01953 MAAPIVPTLSNFLGVALVINRSRDGPRFVFHYPPRIPAINAHSS SSGRGAKAAGTDDELADNDDDVLLERIANVAIGAGSQPKGVDLANWNHDDHLETENGS QIVPWEHVGGFPTRDLENILTPARAYHKKLFSLSLDQLCTVSYPIYVPENGLWKKKKR QTKSQLSMSGKMSAAPGDDGEKNTGNGEPSILAASIPQIETHDLADDPATQDEGDAHD DVGAAEEKSEEKKSGMTMFNLVFLLNPKKHEAKELVELLHFHILRKINKAYKYAQQRS DFVWKESKKILALKDKGREDKIRMTVLWRQILETSSLAASMQDVYESVCANRIAALQL DTPQGTVTHSVQIPVPFHLPDIPSPDDPEAERLRGLWITTANNFADLDIAAIDDPAFL DKTFALLLLHDEKKIIAELQSDPDETTNGMIEFVRLSKPTLSFHQIGQGPTLSPAQVR RYAQHFIFWRRAIAIPPLHARDIYIMSPNSKTSALPTASQAWARAFPLAPPLPSFLAE LSMAPRPYKHFCPSKSHRPQYLQMLAWLMRGGWVTQLCTFAYVVVWPEIQYEVEYQIE RDEIKKTESAIATAASASEHTSDNPSSPESPPSDEQGLLSAESVRAASEDAEKGKTPQ LHSLATFEPIRSRPTTPSLRDEDLNDSLILSPPLSAAAATDMERSISSLPPLSDTQET PVNPNESIAEAARLTRLADRRTRDHADRAAQHARRPHPRATDHPSSNNAAHLAHLTPY VIVDAKKATGKESMYLSAIGRRLRDPRVRAKWPVFWKYFNGHSALERIALMEDLKRKE AWSLLTGMSEHLVTVRHW PFICI_01954 MSYSTYNQFGGSPNINPNDLQMSGAHGSAFSNNFNGGSNGFSSG SALFGDEELLDTLNSPTEQTPPTMQGQDFNGMSMGFTQDIYPSQMNGYSNTPDGDPIQ SPFVHSYGAQTQFHQMQRPTFGASLQSPLSYSGSPHTANDAEGDFLNAKPRARLSQAQ AQAMQRKASNTRSPLTPSTATAMAGLTVGSHESPAFGAQPIRAPQAHHEKSPSNQWMA TPKSLSSFPGSGLSSPLQNGYAHPHLAGMMQNAGQSLPARMGGPGSTVKSQDDKRRRR RESHNAVERRRRDNINERIQDLATLVPGHRLDDEKIKKLLTNGTPLSPTLTGMAHPDQ ATSALAGPGAKRATGAGSITTGLPMDDKDKGPNKGDILQGAVGWTRDLMWMLHLKIQQ QEELVNTIQELGGHVPFEMTEDEKRMQTELMEVMSAMASRPGKSEALSYTRTDGSGLR VPHHTDYKGEPLNGATAMDAIAVSPDDPSPGDLDAELASTDHFWGEPDDLKEEDEYTM DMVM PFICI_01955 MASASFRESMNSLGWSRRDADIPVNTSQQSGLLSSIQSLNPFGD RGYVRLPTTEGAGAPLPAPTRREEEEGWFALSRWDRLLIFGGCNIAAAACFILCFALW PVLIAKPRKFATLWSFGSVLFLCSWGAMMGPMAYIQHLLSGNRLPFTAAYFGSIFLTI WFSMGLRNSILTLIAAIIQLACLIWYLVSYFPMGSSGLRLATSFGARRAAAWMTG PFICI_01956 MSTFSYAQAAKGQLSTASSAPQPAVSQAASTTSNQGADSSAPAG EAAASQSIAASTTSNDADVKSANTQSVTPDDSQNKSDSDIPSQSAQGAEIVQESAATD VAVSASTEKKQGAETPERRVKGGSARSDTSDNRKPRKGKKSKAADKDSDQEQTAEKEK EPEAPKVQLSEAPIPTVNIWVQRAKEAQTKTVQPVPARTSSTATANAQDSKAKTAASE VDNSGRSSVNVNKGQRKDVSKDAAEQAPRRHTARGGKAFEKTSGESLPSVADAASWPT PETAATEIKSQETVSKPAETEEASEDKADSGPKDKPKWVAIPFVPSAVFETPLPSRNP RGSKTGAPRGGRETGARGHAGLSNPAERTQASGAARASGEKFDGANGSKAAAAAPAKR ADVDAGVSRDARKASGAAKEGLNTTPTINGADEPVKAAQSESKDQSQASETPNEKKSE TRPDPSRESFTPAAKENNHHHVPKSEKRNNRGRGGHSGANGQGHRGHGGFGSNGQPFN GHMNSRQNSYPGNVSIGYGMPGGPTNGHSSRNSTSGGFYRGNGRNGRGNNVQAANWNV DPAMQAMPAMMAPQPYWYEQQNILAMLTRQLSYYFSVNNLLKDSYLRRCMDSQGYVFL DVIQNFTRIQQLTPDPHMLRIACVECPDVELVTGIEDNRDRIRRVKEWKTYVYPTGSR NEEVNFDEGPANVFKHDRSTMYPTPYMNQHHYPVESNGFYPNGAQFTGYGHDGFQPYG MMNGINGQTHPNGTPLSAEVPEFSPKNGSSASDAEQTKGAAGKENQVNGTKHLTNGTG MVNGHSHTNGTEPVTAQ PFICI_01957 MSAPNDPPNQNASRSDDEKGSDGSPTGEGYPEAAPRPMGNANVP KPKRLACMICRKRKLKCDGNKPSCSTCTRLGHSCAYDEVRRKSGPKRGYVKALEERLK QVESLLKTNDAVPPVPDISKSTAAAAAAPPPVPPTPAAYDNRTQSAPATASYNVATPS IAIANDRDMDRWHFNGESPQAANVEEFNFAGTGNMPMGMENMSNLPNNFTWEMIGLGL EEPLPPQETIDELHQIFFEKVHPSIPMIHKFRYLAAMNLAPNQRPPVCLRYAIWCLAC SITDKFMDLRDLFYQRARKYLEGDYQKGYGEHMISVAHCQTHVLLASYEFKMMYFPRA WMSTGGAVRLSQMIGLHRLDGSGLDVKQCLPPPRDWTEREERRRTFWMAFCKDRYASI GTGWPMTIDERDIMTHMPCSEEAFEMSRPETTFTLTESMSPSGAAKLSSFGGIVLMAC LFGRNLIHLHRPDADDRDHDLNGEFWKRHRNMDNILLNTSLCLPDHLKLPCGIGNANV IFVNMNIHTSTICLHQAAIFKADKNKLPASVSAESKVRCITAANEIASIMRMISHLDL SSMNPFISFCLYVAARVFVQYLKSRPDDSQTVDSLRFLLSAMNALKRKNPLTESFLVQ LDVDLEALGMRIPKLKTVFPRSADSPSVNPTVAAKIRAQNFGTEACEKRNGLLAYKNE CHFLKTTGDDGNAAAAPDIVQPTSNSGMGSNADYNPASQMWMQNDQQQLPTREQSTAP DSGPRSMSNPMMFHAGAHPSRTGYVDASSSRTNAMSASPHPDNSSDRPTPNSSSASEH RNGTSGRTSFDASPIGVGQQHMTTQAEVDAAAVAFFSETNQFNMNTPALNMTAGRGFG MPDTLPDGSNHGYAMPDAWNMMPGQTTGMTPIADGVLRHLMDMPPMDAMDLGWEQGQ PFICI_01958 MIPRSSRVARINVPRFAGSYRRSFSDTRALNNYDATLKNLKIGK HTRVIFQGFTGRQATANAKESIEWGTNIVGGVTPGRDGEHLGLPVLPSVRKAMETLKP DATGIYVAAHQATAAIEEAIEAEVPLIVAVAEHIPVHDLLRIHSMLRTQSASRLVGAN SPGIISSVGKCRIGFQPLPCFEPGRIGIVARSGTLSYETAGSTLRSGLGQSICIGVGG DILPGTTLVDGLKILAEDEDTEGIALVGEIGGNTELEAAEWIKEYRAKTKDPKPIVAL VGGIQAVPGRIMGHAGAFALPGEPDATEKVKALQSAGATIINHPSRFGSTLKSLLDGS TPKGTGIAPGAGQQRRGMHTYRRRPILYQGQNAAKQALQKRSIYLSQQTALDLLRVRG IRVNEESMGATERLLAVSINRSTRNPCIVASLTTDAKDAKIFDFEYTRGSADLPIGPI AAALGLDRDSTEALEQLSHLLRELVSLFIEKEAFLIDTRIAETVDGVAVSRARLGFDD AAHRSCGRQADIHALRDVDREDPAEVGVEKDGIVYIKLQDGNIGTLVNGAGLAMNTVD ALADAGGRAANFLDTGGKATSETVKKSFEVILTDPRVKAIFVNIFGGLTLGDMIARGV VMAFKDLHMSVPVVVRIRGTNEKEGQKIIAESGLPLYAFDDFDEAAAKAIELANES PFICI_01959 MSSFGSPGSGPYAGKPIPPERGSFPLDHDGECKDVMVNYLKCLK DVRGQNDPACREIAKNYLSCRMERNLMAKDDFKNLGFGTEKPKPTPKEPEKGVKGELH W PFICI_01960 MRIPRPTDSPSRHHALFSLPSVLIVALSSLLITSAGANYIPPLQ YGAAASLERRDTACPTNYLSCESQGSAFAGICCADGQYCALDSSNSPACCPSGAVCTG AATGTLTGTLPTSTTVSYVSNSLFAFPAIATSFSNAGACSSAVSACSSNYAACTSDLA SGTGGYGVTINVPGGGGVTVQPTHTQLASSSAASVCSSLSSQACHGLSISRCSTVGTT GGFVVGTANVAQPRITGMPCLVGMAAGVGLGVLGAGI PFICI_01961 MDSYNSYRDRDRDVPMRSPRDREWSRDERKDDRSDSFYRGRSPG YDRTRRRSRSPPPVDRYEPRGRGRDDFAGPRDRDDRSRRVASPPANIDRYVPGQTGSE PPPPSVNPLTDPVKLPFQVGFSYFGEWWRANEKIKEEKERARTGRRPERTRGRESQED RDKEKAKIQAAYDVYKEELQAKMARSFVAEHKKEQWFKERYVPEVRDVFHAQLNDFRR GAYTQWEQDLESGTFDEFSLEGIPKSESNGTGGVFEKEEGEATANEVLGVGDLVPVQG NDIRDENLYQPTLLIKTIAPHVSRQNLESFCKEHLGEEEGGFKWLSLSDPNPSKRYHR MGWVMLHPSSDTVATSERLDPKDEDGDTEIKSPVLLSTAEKALDAVNGKTVKDEVRGD FVCHVGVHNPPGNPRKKALWDLFSAPERVEKDLALVQRLVNKFEEDFGSDFNARLKVE ERVDDLKNAGRLQPAVPTSPVKKMKKDRNLDLDIDEGEEGEMDDDEDEGAVDDEVDDE DLLVKKKQLDLMIEYLRRVFNFCFFCVFESDSIHELTRKCPGGHLRRPRTTLSTAAKN VARASAHGEPFPSKKREMKQEEEEGEAPDNDRKPRISKSEQQLERAYKWVKTFEDKIM QILEPETCDIRKLGGKPSDDAIADEMTKFVKQEDEHKWRCKVPECTKLFKEEHFWKKH VEKRHPDWLETLKQEFDLINAYVLDPAHIAPSRTDANSNGHFPPSNGQTQQGTPRGFN LQNFSMNNMMPQFPGFPGLPGMPPLFAQGGMQAAGWNAGGDDRGVGPVRRGGMNGRFN NNNRTGPYDRRQPQGRWNQEGGRGRGGVSRWGDGAAPGGAAMGPREAVQGRSLKSYED LDHVAGGGAGELNY PFICI_01962 MRLPSTWRPRRSSRAPSKGAPSRLLTFASLATLSNAISVVPVSS PNLDLSKLGKTGIAGDFTGISLYMWEGQNENSFATNGSQSLMTQFPDGSFLNVLDSDA SIQALCVLNDALILGGNFTSLGGSEFTAIASMDLNTTKLTNMTGITGQVNSLFCDEDS NTVYVGGSFQADESTNAIKWTDDSWTSLPFAGFNGPVMSITQAANGNIIFGGSFTGLG NTSTPSETSEILVNLSTANISTTAGATTSGFSDPENIICKTDGADGSGNTWLMEDDTA GSWTATFDFGFEPTRLRLYNTHQDGRGTQTWRFTAFPINGIMNFTYIDPATNQNASCT SECPLSDDTSVEYQDFFFVNSVGMNEFTIDVSAWYGSGGGFDGIELFQDNIYTYAIND FNEPSCSNTSFPSTATSTGSWTTTPSGQSNSEYLSAQLTGTISSDSAAVTFYPDVRES GNYTVSLYTPGCLQDSTCTSRGQVNVTWTLTADDDTDDNVKILYQSNNYDKYDVLFTA VMDAASSSFRPSIVLTPANNQDTTNLTVVAQRIGLYLQNSTGGLNGLFEYDPSKATVD TSDFSTSQFDKLGSGFDSRSAVTTLVQDGDITYIGGNFSSSSVKNIVGIDTSNNSTVE LDGGLNGAVNSLYASDGQIYAGGSFDNNLDGSDSGLSHVAVYDASSKQWSPLGAGVDG PVASVAPMTLNISSSTAETVVALTGSFNSLIAFDDNSEISVSGFAVWVPSQKNWLQHV NGTYPSISGLLTTSLLNATNGMSLYAGSMSSKAISANGVVAMGETLGTFPVNFTSKST SSNTTSTKRASVINSTDTLTGVQTGAFYNDGAVTILGGHFTATTSNGSTASNLVIIDS DNSNATTGLPSGVSDESTFYTLAVQDDNLFAGGLVKGTIGQSSVSGLVSYNLANSKFN NQPPGLVGYGDDDAIVTSIKVRPDTSDVYVGGSFKSAGTLDCPGVCTYSTSDEQWTRP GLGLQGNVSSMVWPSSSTLVAGGELSINGTTYYLASFDASSAVWTAFSEQSSLPGPVD AVTTANSDGTQFWAAGTASDSSSVYLMKYNGSAWESAGVSLNEDSVIKSLQVFSVTSS HDSSALLDTNEVLMITGSLSIPNFGTASSVIFNGTALQPYALTGNTGNTAGSISRIFV QNEDFFSSGSSGLAVGFVVLIGLAISLGIMLLIVVAGLLLDRYRKKRDGYVPAPTSYD RGGGMSRIPPEELLDSLSKGRSGATPQI PFICI_01963 MPWPFGTGNRKDDDEKSGHSTIPWTESLNNTDWQHFKDPRNWVP TVLVTTTILVSLQFYRSYLRRFPGAAHVQPSFFRKRSLFGKVTSVGDGDNFHLFHTPG GRLAGWGWLRRIPEKRPELKGKTIPVRLAGIDAPEGAHFGKPAQPFATDALAWLSDYV LGRRVRAKIYKRDQYDRVVATVFVRRFFLRKDVGLEMLKRGLATTYEAKSGAEFGGLE EKYRAAEAKAKAKKLGIWGGKPEHFESPRDFKTRMNQADA PFICI_01964 MSSRSSRSRHVRVQEPERRPLLERARFAHSLDGGDFFSCIPNSH AHLPVYTNIHRIRRDVISIVEDYLTFEQLRDLRLNISVVRPLVDKLYELDDISIVYCL LVNRAQFLYEQAHLNNRQNVNFTRAMLCEVVATRILRRFGEDNEGDQGLLVLAHILVA GFTPFQNAPDGVRNEESLATKWDFHRTLPALEVAIISESKYFLSSTHCQKVIDAIYTG HIVYTPSTFLDLIPDRYKQKPISLYEPREAPLLNQYRLIVPRTRNLLEIMQFITLLCL YLAFMAERDPERYSFLEMAFSIYAFGWVLDQFATILEHGWNVYTQNLWSFLDVMFAMV YWAYLILRLHGWVNNDIGPGQQALDVLAIGAPVLVPRLAFNLLSDNLVFLSLRSMMAD FTLLTVLAAWCFGGFLLSMVWLAEGRHDFLTISKWMLWIWFGLDGTGVSQSNDFHWLL GPILMITFAFLGNTLFLTILVSMLSNTFSTIVANATSEIQFRRAVQTLEGVKADAIFA YQPPFNILAVFVLLPMKFIVSPRWFHKIHVASVRFINLPVLLLIAAAERRLFHPDTSE PSPARKKTWFWEDWRITTHGDLQTVFEIVPPESLTEDIAVDDDLTRHMIRRQFVRQHS TTAQEAIKRGRSDATEEGDKNQRVGRPPARRDSIAPYGSDLTEQVRHILADIDAGKDI GVSNRLEALEDSVGRIERMLSKFCGDDADEKSLDEEEDEAELGPPQDLNGSEILED PFICI_01965 MPSLRVSEWRKLPLSLTELCIDTTLRCGQSFRWKKTDDEWHMVL HGRLLSLKQDDTYLHYKATWPSKTSPGSEKPSVPVKEESPPPLDSLADDTEDLIRHYF SLKHDAGALYEQWSQNDANFRRKAPRFTGIRILSQDAWEALIGFICSSNNNISRISQM VHKLCLHYGPSVARIGGGSADDGELLHDFPDAAALAGAGVEAHLRQLGFGYRARYIAE TARIVAQDKPPEWLETLTNPESPGWGAAERYGTKLDRVSYREAHDELLTLSGVGPKVA DCVCLMGLGWGEAVPVDTHVWQIAQRDYKLGGKVKSKTFSKAMYDTVGDHFRGIWGSQ AGWAQSVLFTANLKSFSDRSAVKPEVEGIKIEVEEKLVLEEAKPKTKRKRAVLTETVK LEEVSVKIEDNDTKRRRTRSSTRK PFICI_01966 MNGDGYSSRDGRHGSSRDYSSRDDRRDRGDRGDRGDRRDRRRSR SPRRSHRDGEQDSYASSRSHRDREREDRYGGGGGGGGGGGRDRDWRGDRDRDRDRGGR RDHRRDDGGRPPRRDRDLFDDRRGGGDRRGHDRGRDRDEDLFAQNRRGRSATPPKKRE PTPDLTNIVSVLDRKRRMTQWDIKPPGYENVTAEQAKLSGMFPLPGAPRQQPMDPSKL QAFMNQPGGAVNNAALKPSNSRQSKRLLAYNIPSNATEESVISFFNLQLNGLNVIEST DPCILCQISRDHTFAVLEFRHASEATVALALDGISMEPDDAMGNGVANGSGHGLKIQR PKDYIVPAVVDDTGYEPGVVSRVVVDTPNKISVTNIPPYLTEDQVMELLTSFGELKAF VLVKDAGTEESRGIAFCEYVDPGTTDIAVQGLHGMAIGDKSLKVKKASIGITQVAGVE MGVNAMSMLAGTTSTDQEEGRVLQLLNMVTAEELMDNDDYEEICEDVQDECSKFGKVE ALKVPRPSGGSRQSAGVGKIFVKFDTNESAKKALNALAGRKFADRTVVTTYFPEENFE VGAW PFICI_01967 MAGRVRHPIDVKSLERYIQKHVPEIETPLDVKQFGFGQSNPTYQ LTSPSGTRYVLRKKPPGKLLSKAAHKVEREHRIIAALGAGATDVPVPRAYCLCEDPAV IGTPFYIMSFLDGRIIEDPAMPDVSSPAERSALWRAAVETLARLHRVDQQAVGLASYG RSNGFYDRQLATWKTICAAQASAVDADTGKPVGQLPHFDEFMSFFSDASQQPTDRSSL IHGDYKIDNIVFHKTKPIVIGILDWEMSTVGHPLSDLANIMNPFYTAVMPGDKKYATS DAFQPGATPGLPDPETIMAWYAETAGWDPRSEINWGMAFSIFRLAAICQGIAARVATK QASSEQARKHAESLGPLAEFAWQLVEKEKKNKKKKTSLAAKL PFICI_01968 MAELVGLVASSIAIVETAGKVAGAVLTLKKLWDEIQDVPDTIRN LMTEIEVLEPILTVIEDDYNNTGTPIPNDRASNLALAYCRKAVTDLEDLVRHLSVNLQ AARRSKRGKAKVRVILEKDTLKKLQDRMQAAVRLLTLAQQTYLVALTRSQPEIIFRRL ESTSLLESNVSVESPRENDDKGIQSQEDGSTSIVKFQQPETRTSFLHIAHWRTAFGNV GWGRGKEDGNTLFGWMTRFEAPSWLSQRAWDLRITHSTSGWQMNLKPYCVRPDGDQVF DHVRNGDTQALGWLIRSGRASIYDIDKDGWSLLHWFYGSETPAHYAIEGITYEEENLP NWPELDRLLTSVGAYEDIDDLNPWRPGSRQGIKGDNKDNHMSRCVEEFEFFQPVYSPN HNGLNICERLDYGKVQWDYGDDVLRFLLFNGGTVTTEQIQALEKSGIGPLNLIAFGYH WKKYRPNVDPRLTTLVHEMIRSEANVHFEQHPHALPLHMRGVNGYPFSTPFFGVLIPA PYYYYYDDDNEGPQRLQEDIERVMLNWLEDLYSCGIDLSRYGKHEMKVFRKNRILRER WYPWDQWMQLSGFKYGPKPEDWVLEWDLMPERLSGEFWSMVEEQLQHPQMPGAWVDSA PTYLKRVWVGYYDACESSETSDSDTG PFICI_01969 MRLHLRTYLDMKKYGPVLRHGPNKLIFNSAEALQDIYNNEKVGK SRIYNLTVISGKPSIFNVLDKSQHRIKRKLIGQAVSDKAMRAFEPTMAEQVDIFIGQL TECSEKEVHTNMTERFKCLGMDTVGLLAFGFPLNMQTDPTYRFVIRGLNVGGYQNHCF MQYPMLKKLGLHKILVLLGKKQRQKYLAMLQLMIGSRLAEEPHAKNDLYSSVFEHLDN TADGIATSQLWSEALFLFPAGGDTTATALSALFFYLSRHSDVYSKLAHEIRSTFSSAS EIRGGSKLAGCKYLRASIDEALRMSPSVSGTLWRELSNNQKDTEPFIVDGHVIPPGTQ VGVSTYCLHHNEKYFPQPFEFSPERWLVEDEAALRLMNSAFCPFSIGARACAGKAMAY LEMSLVVAKTLYKFDFDAPPGDLGRVGAGVAGRRDGRDKTDEFQLYDIFAAMHDGPNL IFRPRANI PFICI_01970 MPAPALIEGIVAVMQVPETGVPTFTNAKPLASHANARSVLGGLL IAQSVSAATATVANTFKPYSLQSSFLAPASPGKDIKYEVDKTADGRAYATRTVRAYQE APQNCVFIAVVSFQNAARPSGNVLRYGTPKPDLDGEGPDDVDPSAMKMMQTSMLDKSV NINKLEAADFPFDWRPLRMDMSEDPSSFRLWGFVRAPPLSGDSHALHLAALAYASDEF AFGAALAANPMAVGKGMKNITLGTSLTHNVSFHDPQARVDEWIVIERDTTWGADGRVM VSQRMWDMKSGRLILSASQEALVRLRPKTPGKPKL PFICI_01971 MSSPSPATPRTGDATPIPPGSLKDVLRRRQQGRNSRSCLPCRER KVKCDHELPCGTCTKRGHADLCSYPSSEQSNGTSRTSNSARWSRSRRSTPIAETFDNG LLSSANGTLQVTNGIGSLGSASDEVGRSAATASPTGNPGPGDLGNASVSSPIREQLSS SRSDNPQRSAYENGVRPLLGLETDNEDSPSLPRVNTKILYESLPGNQDMIRLFETHRL RCHPFHIITYNIEELQTKLSKLIVSRNNSTNLPNTQAQDLRWICLLHAIFAAGAQASD LPLEQQTSLSDRHTQHAFDCLNASNFLEYPFKEALQALLLLGYVLQNNMRPQAAWILQ GSAIRLASTLGIQRSDNQARRMRVPPGEAKSLRLAVVWQDALLSLAFDRAPASYEFDF DEDLIPLTSTPLTGTITYRQGMNWISHLSLRYLPLRDRRKSPPSIDPSLVWQDLEMIE ANILPHLGDIRQCSTIREIQEYYLFRLHRNFFAATVCRSLVSPSYASTIAPELRSFVV SRVQNALRQSAQAYLDIRSLSVYAMRSWAFIHSGLASVLLLSLIPDTRCDTETRRLQD EFIQELSDNGKTSANANDASVNFLSATLKKALKALVDLRRLAEQENTRPVTRQRPTNA DVSVTQPQVNDGLAPADAPMEGGDIMQAPEYISLPLQFRVASSINSFIQHLVFGRLGL PHGLRYVTTRGI PFICI_01972 MARSPERKRIIVAITGATGAQIGIQILKTLRGLNVETHLIISKW AGETIKYETDYTTATVRALADYSYNAHDLAAPISSGSYKVDGMIIAPCSVKTLAAINA GICDDLITRAADVCLKERRRVVLSVRETPLSEIHLRNMLDVSRAGAIIAPPVMALYTR PSSIEDVITQMVGRLLDLFDLDARNFERWEGMQKEV PFICI_01973 MSALANPSMDFRAFVQSLKDDGDLVEINEECDPNLEVGAIIRKV VENNEKAPLFNNLKGQDENGLWRILGAPNSLRPNPKQRYGRMARHLGLEPTASLKDIL DKMITAKAATPIPPVAVETGPCKEFRLTKDQFDLNKLPSPMLHKDDGGKYIQTFGMHV VQSPDGKWTNWSIARAMVHGKNELAGLVIEPQHIWQIHQMWKKEGKDMPWALCFGVPP AAIMAASMPLPDGLSEAEYIGSLVGAPLEVVKCDTNGLYVPANAEIVFEGTCSITETV PEGPFGEMHGYVFPGDTHSGPKYNVELITHRKDAIMPVSNCGRLTDETHTMIGPLAAA EIGFLCKSKGLPIKEAFSPFESQVTWVALKVDTEALRASKLSRQELCQQVGDAVFRHK VGYTIHRLVLVGEDIDIYDFKDVIWAFCTRCRPGTDEVFFEDVAGFPLIPYMSHGNGP FNRGGKVVSDALLPVEYTTGKNWEAADLKNSFPAEIQNKVLEKWNTYGFSS PFICI_01974 MDGLPESMEAIALFEYGQPSSYQKTSLKTPSINQPNEVLIKVHA TSINPIDVKMASGMAKRIQLAAFPYKLGFDVAGTIVAVGSTVKTLKVGDEVYSRVPNT YRGTVAEYALSTEEATAVKPPSLSFEDAASIPLAGLTALQCMEYANEHLPGGLEGKTV FIPAGLSGTGSFAVQLARNVFKAARVVTTVSTKKISKAQGFLGPDVELIDYTKEDVAK RVGSETVDYFFDTMGDTTTHLPIMKQGGVIVSISTIPAGTKMKGHAPDMPFLAEKLLN CADKFYTWRCNFAGVHYTYLFMKPSADGLNQYSRYVQDGQVKPLVGKVASLQDLDAIR SGCQEIFDGKGGLGKFVITMSQ PFICI_01975 MPKKSKGSVYATLSRHFEDSWTSEIMAMSFSGLCLIAMVIVLRE FDGRPVPELPDIVNLNAIVSTLSAAAKSSLLYGVSAALGQAKWSWYYGPKKRLDDFEV LDEASKGPLGSVQMLLGRTIFSVGSLGAIVIIIALALDPFVQQVILVSSDPVSTKGNE AWTGIAFWNRQAIYERTAHCPTGNCTWDPFSSLGWCVKSQTIADPASIELTNCDIAYN ETDFDSIYETFASLGDRKTSSRTCEIHIENATTPLNYPIQFSLSGGRGPLAVNLAGEE PDRVTTLPVEIMAPLHIFNGSISQGTYLGVNNPIVALGHARFSGSDINKRRRKPEILE HSVISLCQRKYNVSVVAGQTLTQILETHYGHFYQDEAADMILGETSWCWTPGQETPSF QAISGQFANTPYLLDSATASFCTTTDHLSLGSDIVSRLASASTLNFTHSAKGQDSQAS SLSYHGANNTSGEDILNRISSQSLGNITGALSEALNSLYYDDKQESVSGHFITYQATV HVRWEWMTLPIFLEVLGIIFMLIVMFTNRRRPLWKGSTLATLYHGLEYPSNGQGLHKT SGMREMAQSTRVNLRYSDQMNKMTLQASQHNEDNRIGRPAPVVEPEVTNTVIAARERE DPRHGDLQRR PFICI_01976 MAPEDARKQSTGVWGTNMPKDKAAWDQSREEIYRLYILDDLSLK GVKHALETKHGFPEFRLRDYEIVLRDYFKFRKNLRSQDWQAVAIHREQRLQRGEQSDV YLYGCLLEKARVDRAVRRSRHKWKLPYRVRSDPVPPLPDGVTIQTPSPVVPRESVPPR TIAASDAQFPHGHYNSQLMLTESSHLNTAPAMITTRWQIPVKLSVQEMRLHIPFTQFI ETTAMAIYKLAMPTPSAQSSTASVQSPVIPNLPHFINILGHSPHMQFSEQTSTLTGPL RETIERHLHSRAALRSMKSLSGRFPFERIMYLFSMMSNKKSIHKDDVLDLFEWIESAE VDVLKSLFALPLPTMTAAWEFLIEQSTLPAHPIAFQKLVEVSLLIRNGQWLKARAGDI LGLAIWLGLNGLTRRLLHLGLSPNAEFTVTDYSMPLRGHSSELFLHGTPLEAAISCAN PAAVGLLIEYCAELHHGVLYHIFGPYTHPDPEFLAGMFHCLRLLLEAGAPADTWRFGG PMEGSLLSKLGWADGRAYQFLDMLWQKSYSHSIWKQTFNLALQYSERMKTSLTVAGLC NAASLGHKELLQHMADRTDLSSREQLLICEIALSEAAHEGDWNACQSFLQIGVDPNVE NYGTEFSKSADVGGLHFHRLDLLIKPRAWSFEKRTPVYGALKRGNMPLLDLFLEAGAD INRYNILDALFNGDAYSKFWTKSPSVTCPEGEMAADCIPAAQCLASSKLEQTFDFLIG AGLDFQAHGKEAMARAMLTYVDSEVLFLQWNWLHSKGVNWDFELEGLNLIHFALRFWY HSAVLDVVEFLVSRGVSIHSNPCRMGHTMLHDAVSNAFCELDVIDFLLANGVDVHRCT QQGETVLDVIFACRRCYSNKGAICRRLLQLGAPLIPPQQALGEVVQLPILSLLLAVPE IEDSLILPFIGAARCATLCHDAEFATEIEHGFHEIHDWSRERSPLQAAITARRFILAK ELLRRGAGERYFISPLVTALQSTIVVCARTPDDPSSIEFLRLLIDSKAEINAHAGDGL CALHHAAVTGSLNILGTLLENGADPNVLVKIVHFKLCRRLKTDKGPSYEDDDYNFFYR HPSQAHLEVDEIYEYDDLGRPLFMHPSIRCIDKLAPLWVPRALDLAAMEGRLDVIQML LNAGGISGHSLIGPYDGAIECANEHRHHAIACLLQEANLQALNGGASFQDGFPRPERF SLPLRY PFICI_01977 MKVWTDARLDHDAYDDAANKKSKKAKPTKRRTKDQRKKDQEQDL FGIGCQFEQTDMASTTYDRMGRSDI PFICI_01978 MPTEETAIANGGHSRRVACKLCRDRKVKCGGEQPQCQKCVRAGE QCVYVPVQRPTKADLAQTVESLQKRLDEAEAYIARLTTSPGDDVTPPSSHTSNVYMNS GALAPAYSTVPTPSAVHLGGRDVDAPVNPSRETDLLYQEQDLHPADQDLSDPPMWPTL EEHAQFEANGEHMNIDPVGLDFYVSNANVTDYHKNISEETGTAILGPVVAFSSAVLRN QAEASVMGSLIADYIDWLRKVPPGGGGSVNQGPHYVGILETLETRVRELCDTSQSRSS SALSELITALKGVAPPDGALAARLDGLEDELQKEACERAEFFRSRYNICALLTEQARN IS PFICI_01979 MNTDRATSSTTDYDDKTPEVPTAIQDNVGDVEKPDGNKKETAAS DKEPKRTVTGLKWFIIYTSLMSTVLLFALDNTIVATIQPDIVETFDDQQNLAWIGVSF ILGQVVILPVGKAYGMFSMKYLFIISLILFEGGSAICGAAPNMVAIIVGRAIAGVGGA GVYVGGLTYISVLTTPHERPLYLAILMSVWGFGNVLGPIIGGSFAVSSATWRWGFYIV SIETLAVEFMVY PFICI_01980 MPETPFMKKIRMQDWIGIVIFTALMACFCMAGSFGGVLYAWNSG SEISLWAVTFVLLIAFILTTIYHPLVPVQSRLMPVQFMVTRDLIIIPLQAFLVAGSMM MSIYYTPLVFQFTRGDSPLMAGVRILPLICMIVFGCLFNGVAMPRFGYYLPWYVVGNA LLVAGAALMTTITPSISNSALYGYTVIIGLGVGAFQSAGIAVASALAPASEISNVVSV MTIAQIIGITFALAIPGSIFQNKAIQYIAEVLPDIPRNELAQLITGASGRFYKSLSEA DQLLVVEQITKAISEAFYYLVAATAVGFITSLFLSPRKLFLSGGSVA PFICI_01981 MIYNNHCSKIIFLVAALLGSHVAAHPGDHHADISHLEKEHIFHK RTAAVGAAKMSRCASDCATIQKQAIERRASTLERLRQERSLTSDTHLIRRNKAEVAYY DTLDHNMTTVLRSTSYEDLFGTDVSSILGRAETIGPYYVEGELIRSNITEDQVGIPMH LELQFVDVNTCKPVPALFIDIWGANATGGYAGAESPAGYYGMGGLNTTFLRGIQITDE HGVVSFDQIIPGHYYPRATHTHIVAWGNATTFANGTIAGGLATDDTFVRYIGQLYYEQ KLRDAVDPIWPYNTNEDTANFKNVDDYILAENKTVNGNTVGDLGAYDPFVKYIYMGSD LADGIFAWHSIGIDMTDNFNAGFVAAAKYEEDGGRALNEWGDGSVEPYPAVLPPKGNT TSSASTS PFICI_01982 MSPSNKPIILMIHGGWHYPKCYDPFLDRLRARGYTVLCPVLPTL GPNTHGKTPADDVAHIREVVLPLFDAGEEVVIIGHSYGGIPACASTEGLGVDERAKEG KRGGFRSIIYLAAFAIPQRGMDLLTTFGGSWGVWQNATKPYTRNQLISVNENAKHAFF NDMTQEEAQKWFDTLVPHSQDAFETGVNFVAADLTIPKAYVICELDQAIPKEVQERLA ASIPGFRVERLNAGHSPFLSQPEECAQCTLRIIENP PFICI_01983 MHHYFLSLIAASHTGLAAAVSGTAYGFATGVSGGGSATAAAPSD IAQLKSWLADSTPRVILIDKTFNFKGTEGSATGSGCYQSTCTLANGGQDYIGTLSCTG SNMISTTITYDVAGTTPLEVASSKTILGVGSAGVIQGKGLHLASGVSNVIIQNVHITD INPGHVWGGDGLQMDGATGVWIDHCKFSKIGRQFIVSHYDRNQFTVSNTEFDGTTTTS ATCNGEHYWTNMFIGSGDVITLDRNYYHDLSGRAPKLGEPGATTVVQATNNYFYNMKG HAFDIYQDTSALVEGNVFATVTTPMTSTSSSGAVYDVPDSSSASACSSYLGRSCQANS LSGSGSWLSLKNTAVLSTFQSYGSRWMVSPIAASSVSATVLANVGIGKI PFICI_01984 MVDFNLTESQTALRNNARAFAQTVLSGGPKLYSELSSQNARFQA TLPIYRTAVQAGLIKGQVPVPLGGTSESLVDAAIVVEEFFAVEPSIALTILGTGLGLT PLILAGNEDLWGRFLKKFLVQEGEAIAAFVHSEPGGTANWLEKGAPGLQTTAYEEGGE WVLNGEKLWTTNSSGWDNRGADIQCVVCRKGRPNEPQDPSIDPAANIMILIVTREDIA RNDASAYQVLSDPELPGFTCANGPHSKFTNLRVPATNLLCAPGTGVQTVEQTFGSSAA LVGAMCVGVMRASFESALQFCKTDTRGGTVPIIQHQSVADKLMDIKMRVEAGRLLTWK ALSGIEKGPGGWDNRLETALEAKIWCSEQVTKCVVDAMSAVGMRSYDKSMGFSRMLDD AVCLPLFDGGNVGVRRRQLERILQADGYEPWAGTY PFICI_01985 MADNEKAPAQVTPGEGTITDDKLVTWDGPDDPENPKNWATRRKW LAVVSISGFVLMSPLPTTIVAPALDTIADELHITVAALKPMVLSIFLLGYAIGPMFIS PLSEIWGRTLVLQTFNLVFLVFNTACGFAQTTEQILAFRFFAGLFGSCTVGIGAGTLG DLFSASERGKAMAIYSVFPLLGQVLGPIAGGFLSAHISWRWAFYVTSIIDGCVQIFGL LFLDESYAPVLLRKKRDRLTKAGAIGLYTEHDFVDDSALSMMRTTMIRPIKLLTTQPI VQVMALYQGYIYGNIYILYASIAVLWTARYDERLDIASLHYLALGLGTAFAAEVATHI NDRIFRILTKRNNGKGLPEFRIPIMIPATVILAIGLFWYGWSAEARLFWLMPDIGVAL FAAAAYICTVSNNIYVVDTYGRYSASGLAATSMFRCLAGFVFPLFSPYAYERLGYGWA SSILGIIALCIGLTGVIFLWKYGHSLRAKSPYCASRDVDDC PFICI_01986 MDIADARHDVAPSVPIRRNGKPHSCEPCRLSKIRCDHKLPVCDR CVLRRMEKRCIYHPAPMTKSRSSRPPASAQTRRSSVISPTVAAPVAQALSFPDNVQPT CTGSTSSSSTPRTSHPADAGFFGPTAFSAVINDDQEVITQHVKQLCEQQFPHSERQSQ KNIPEGRIQAGMKALHLLMEFPTFPECINRYLEISYTCMVPDPFVKACVTSLQQTLHA FNTSPNESSAADLRQLVMTLCTNTAKPLDILTHIAAKDYHTLFTGSNLRWEIIGFILA LLGVSFKYDINRRSEPLRALSPTEQPTFIHRITEAVEYCSSVCFSYNAVNHQALWLLY GEACLKNVVFGDTSFQLWRCIGDLSSMFSALGLHQAVIGSEEAHPYYQCELRRRYAAQ IFSMDKTTSTLLGRPPRISGAHYAITMPADVDDNVLLLDDIELQTTLSSADSNGWNLD RKFRGATWRRLKFIISQFREEVLEICLGVRHTEDIESSIYDLLTRHQYVWDQVPPELK YNEVTGSQHIDPPQRYVLMTTYMDQNYNHFLLYRKLAKESRRMPEPLYRISRSLLATT LQVTSLSDQVYSMQRDMSWFILYYGLPAASILAVGLLKDSLHDPNDPSAPKAAIPRAE TVQNLPAPALDGDPLDFYNFMNRVEDAGWTRDIWDFPMEI PFICI_01987 MFSSKNCVLLALTLVSSQADAICTNFTDTTFSADTGGSYQTGNG VYVNSLACPSSEKQSCSMANVTSYDITVPRKLLHSGDPLGLSEEESDAIFEMAKDAYN DAYYSNSSNNHFKNASFETIQTRVDFDGQDPANSIFSTVEPGTNKTLIWGGLYQYAAG TLGGCTNDTLNNMRVVAQGPYYTTDKNNRTVVAGSWQASWHNITESMAASTFRASSIS TYSTATLALMVGMTLVL PFICI_01988 MEYVNQHVAHEASKGPEAAFTGIVTPLNLILAAITLYTTYLLYK PTPPATLPREPPATVFKTFTPHTLLPNNGTNGAPVYLAVRGRVFDVTAGRNFYGPGGP YENFAGRDASRGLACHSFDEEMLTKDLDGPLDKLDGLGEEEFESLRGWEERFESKYLV VGKLVAAGEK PFICI_01989 MLRRVLRPARRLPLWFVVPVFLALFIEVCHHVYQYHVPRPDHDL DPPFYKSCQEPKVGAPRENAALVMLARNSELTQAKRTITSIDNAFNKWFNYPIVFMND EPWDQKFITELNKTARGLATFEVIPQDIWSFPSFIDEEAARASIKEQGEQGLPHAGSE GYHHMCRFYSGKFYKLEALKKYKWYWRLEPDVDFTCAITYDPFVEMAKNDKVYGFTIS LWEVGSTCPSLFREVADWKEMHALPTNNLWRAMVQASWMPYPFRRFMNFLSHRDRHGD PWSLCHYWSNFEIADMDIFRSQEYQDFFDHLDRKGGFYFERWGDAAVHSLAVAMFVDP RRVHHFEDFGYRHDLLYQCPANAPGGQLPESTLLGKEMTWAPEIEGGIGCRCQCDGRK TRNHPGYCLNKLKQPDGLKRPFWTWFL PFICI_01990 MDGDPAVEPELDSFSLTFPLPYRVAFIVVLATWLWGFNLHYLAL LKIDVPSLIRYPSRTSPLQPPHHLSTYRLATVLSAVYAFSLLVFWVFTHRNPALVLAW DWLPLTYMVVLIGVFFAPLKTLSSQGRQRFRSTLKRVSIGGIAEAKDGKFGDILLADV LTSYAKVFGDLYVALCMFFQTNGSATARPDRNCGGTVVVPLIMAIPSMIRLRQCLIEY GRVRRGRMDEKTGWGGQHLANALKYSTAFPVIIFSALQRSWGPSTTLYRAWVGACVVN SLYSFYWDVTKDWDLTLFSSAKERNALDHAFGLRRRLYVGPPATYYGVILLDLMLRCT WSLKLSPHLDHIVDFESSIFIIEFLEVFRRWVWIFFRVETEWIRNNSSNTLPSSIGQD GIGQGILLGDYGNGGSHYKDEESD PFICI_01991 MAATAVANSSHAATLPSSPYPFAFAPDIIRAHQKDAYFQGVLTS QLTDLHRRLLGARSAHGWIAESKTIADLLYLCLTTLIGNRTLGEEYCNIVQVEGQSGA LPKLSKRAAYIAGSIVLPYALSKVLPRLRAKIRVRLERNIARTRMQSEKRLQSWSFKI QSYIHANLATITSPESAYALSLVLFYFSGSYYEIAKRLTGLRYIFTHKIDENAERIGY EVLGVLLVVQFAVQGYLHIKSTLSGAQVAQERERLAYSGPDVSLNEHAYSSNNALLAA AGSSKSQTQVDLAAATHTPILGRPHYDLAQEKTMGWIKGAQQRKCTLCLEELKDPSAT QCGHVFCWECIGDWVREKPECPLCRRECLVQHILPLRTV PFICI_01992 MKFSAFFAAGLFSTALAHPEHLSAREVQRRGTISRRCEPAAANF NKKRYERRMAKRWAGSGNTTYQVTTEAPYYDSIQNDTCVLSPVVTEGPYVWPRSQTLR QDMTEDQSGIPLWLDVGVLDVNTCEPLPDVLLNFWHCNATGSYSSFTGLSPNTGFPEL LSELNITDFEIGTTDLHTDDTTWLRGQWPTDSEGVMEMKTIFPGFYVERAIHIHIQAY TDWSLHENGTVSTGHIASTGQIYFNETLSSQIMALDPYSQHTEIDRTTNAADSVFSSS EDGGYNPVISVVAADGVSVENGMIGYITFGIDPAADGVDNSG PFICI_01993 MSSVRRLPIIHPKPADGSRLAPFNLPAVTSFHNNLPGYAPSPLV SLPGVAADLGIKGVYIKDESSRFGLPSFKILGASYGAYYALVTSLGLSLDCNIDTLAS KARESGSVLFAATDGNHGRAVAFMAKLLSIEARIFVPRSLDEYTKEAIAGEGAKVILG PGDYDQVVAFAAEASKACPGGVLVQDTSFPGYEDVPARIVEGYSTIFREIDDQIAEQN INIDLFISPAGVGSLAHSVLRYYKSLQRPVCSKVVTVEPDTAACLYKSLQARADASTP VTTSHTIMTGLNCGTVTYSAWPDFRDCLDGSVTISDFEAHSSVKELKAYGIDSGPCGA AGLAALRYLMASSREELGLGKDSVVVLLNTEGARPYTTPHDVTSDDPVELTRILTRIE STNPTLSLSAGSGEEAIADFIEGWLEHRDIESHRIQSQQGRPSVVGKVTGTGNGRSLM MNGHIDTVSLAAYTKDPLSGDLTEKNGRPAVVGRGSLDMKAGTAAAMVALAHAKKSQP RGSVLLAAVADEEDTSIGTVDILEAGWRADAAVIPEPTMLQLGTSHRGFLWFEVEILG TAAHGSRPDLGVDAIMNAGLFLSSLKEYTNRLPIDDVLGPASAHCGLIEGGEELSSYP ASCKVKIEFRTVPAQRAEQIQDDLVSILEKHSKTDASFRFQPPKLLVERPALKLSSSE PIVKAAISAAKSTANPLVEPQALSFWCDAALLTEAGIPAVVFGPEGEGLHAKEEWVYV ESIHKTTAMLDNLISDFCQ PFICI_01994 MTRHGGGVLIMGSPNTLVRNFLLIFILFSVFVFSQTQSPGSGGT CSDAVPCESGCCNGNNACGFGPEYCSVDAGCKSNCNATAECGQYAAVAPGDCPINVCC SKWGFCGTTSDFCGDGCQPNSDGGGCGDAPRPEECTANTNALSYERRIGYYELFAIEH SCNVFEPEEIIVAGLTHLNLAFINFGSDYKLETGYGSLIYRSSLLKVNNPGLHVCISV GGWDFSDPPDQTRWSDMANDYDNRQTFINSVVDFLTKYGLDGIDLDWEYPSADDRGGI PSDADAYVLLVSDLRDAFDAKNPGWTISVTLPTSYWYLRGFNIKSMQKYVSWFNLMSY DLHGTWDHDNHWTGPYLKGHTDITEIDSGLDLLWRNGIDPSNVVFGMGFYGRSFTMAD SSCYEPNGVCEFSSGGRAGTCSGTVGILTYQEIAARNSSLDVHTFYNETSTVKFNVYD GDQWISYDDEQSWTDKKAFLSKRCLSGLMIWALDQDNSTFEAYDGVMGDTSLLQLEGG GLSPEAEAALADQFAAYTGQNCFVTPRCTDGSPGQQGSEQVCPSGYQSVSTAHTPKQA LGHDYFGECSKGWWRNICCPKDALPQNCGWVGAPIRSEVGCSGFCGSSQFQLNTDSYL DAKGEDSCYYGTRSLCCDSTALISDCYWSPCQGPISTNPQCNDGYTFEGYRLDKPDGT PWCSDTYVSPVDGKKGSPVHVSFKSALCCPKKQAWENCNWSNNNPTVTQDPENICLPQ PCGKNQIQIATALDPPPAPAAASDVTFPVSCDGVVAPVGVDMHYPLCCDLQTKYNSKW PVDPEKVFKTYYNTPGESDVMWQYSDEFTNNDKDDGQSSSEDGTDAYGFLMLDGPEGS IDNSFSTTNTIVRKEAEIPNIKRSIVTYNQSVMDAVFEHTEETFQFYCNFPADSSQCA RIWIGGAEDTIIRMPDHVGEGPFARVVSVRELKTDDDVVLPAHHVEHRTVEGIHQTNP VYEVKIDYNFLAISHKRDTDPVYLRVDYTNLLGYWDEVDAADPSKKRSSMPDWQSRVK RAAMRDKAIRKRSAPLNVTVPMEPIAARCGNDGTDVADSSVDKRWWGVIGTWIKKLTT IEKSDLGVIPLGWQDSINLFSAQWGCPGETFSANLRMDLEARIQMDATYAYYFQGQFI PPASPEVYAYLGMEPSAYVGLHLEGNAVMQYSSGRKKIIDTLAYPGLAVKGIAAVGPT LDVYGEIRGKITIHGEANAGATLNFGKAEVYWPSDAAESEDAQQLLGLDSDVKKPAPD TIAPTFEAGVEIDAQLDVIVTPEANVGIKIGGGSLVSTTIMDAQLTGYVMGDLSFQAS GQVSTTTGSFQYSYGVYAFYNIGYKATAVILGILNWATGPQQAYTPDKRIDIYGPVNG EIPLVSKRGLEEPSPINGSVVIRSGLDLLARDDTDDNDGLSPNTPDFTQQLTCPPGSK ADVKLPELRFNCDLFGPVQVNPFPDGKHTTFLQKGMCDGWKSMTTRPTVLTYSNNLDR VSDRRNEQCPSGYCDSATKQLITATGLDGSTTAKAKPQLECDEAPWASSEEGGNFGAS TGSRSATCVPGFQNGGWAGSTCQKMVGDLSTNWGQLDPTLDIKDEDRVDNWFRWREDK EIWTAASAQGANEQRAVTYPNRQPDPDGMTTRPNAQTSWLFKRNYTWSLADSTSNANA WWDATSRSFATTSYTGPTGWDAVLCALNTFGQDKIYKIGGTKDYNGYCLRGPQYTTKG WQNVYHAARCKITFGTTTTTTTTTTTKRDINSNDTAGAADEWSVQGIEYIDTPEEEKV YITLPIVKLSG PFICI_01995 MRLVTTAVLLWQLLAPVTQAVKLWSAPAAIPTSVPATCRAVLVQ DIACTYGNNSLVTAAQAANGLALVDSEATTYCTTGCYQSLKTFQTNVDARCGNTEYTL FLNSNYTQSAAALADGLSWAYNLTCIQDAQVISYLASVFQALRSCDAELRLWERKVEP RHFLLDGFIMWCRPGKLYLQLHLNNGRLNDHGDCNSISEANGVGTDLMINRNYLDYNC TVLTEGMSLCLQDTCTIHTLGSNETCDGILSGQSFSLIQLVSWNPTIHSNCDNLAAME GRSICLSPPGGGTLDFNSSTSITPTSTLNASIVTSWVSATGTIPTTNFTTSWYSSEFD STATATITATMDFNETLASELAQQTQYCWLTDEDMDYLDEEDYAAGCQSLMDEYCFPT AGAPVPPSPTRIPAVCTPDRSTYIPSTTTTTAAAATPTPYQPNMIANCQQFWKVISGD TCQGIADEFSITLTQVRYLVLQFQERYHF PFICI_01996 MADQGQALGPAADVTPPWGAFPAEQYLIRHWDFSSTASAGDQRR DLIRAFTQLDAFPEEWDATGRKRRATTVARIPTEREVREVLSPWRPLRWRDAALHLWR NRNDEEVWLRTHYDEDSDAKFSEWRETDEDYDPAFEEDCREWTILDDPDLFNRDWSTV FEVLPELLGPVYDYDPYSNRHLGRPDKLQELRENLRNSADHLTPDEVEAGRAGQDLQS NVVASFLIVADLEAFETDKLRLLFLDARGNIVRESRLPCTETWEMRDAWNARKFRDSN FWNDRHRSNPYANRDPGSELGEKYTISGEIGRVLYALD PFICI_01997 MSRVLLGLLLASTAQCRSLFLARRDCTFTVEAAEGDTCSSLSDY WGITEAQFESYNPGVDCSSTLTAGADYCIEWDDGQLPTSTTTSTTSSKTSTTTTTTTA PSGPSPTQTGIASDCDAYYKVVSGDTCSGIVDHFGNFTLANFYVWNPAVGSDCSLLFL GYYYCVGTTSSGSVTTTTTATTSTTTGPPQPEQTGIISTCTSYYKVSSGDTCSAIVDS YGTFTLSDFLSWNPAVGSDCSKLFLGYYVCVGVPGTPTSKPTTTTSTTITTSTTTSTA TGPTPTQSGISAKCNSYYLVQTGDYCQKIVDDYGDTFTLQQFYSWNPAVGVSHL PFICI_01998 MGVPASIKINLHDQHAAAVIQYRFVASGLVSVLPQLPDVSDHNP PADQGVSISDCVLPTTVQRPESVKQFKLSGLSLCYLWEQSGSVALKEGEPYDFTVHSN NRDVAAFTLRPEYSPALHNADKIHASMSWIASTRTMTWENETLNLRLTTDTTHDPYVA NVLAMRIDVARALEAIFLRLEQDNDPFCDREDVTLEEGEGG PFICI_01999 MSNPATSSNTDTGWTAPLQSHHSGSAPVDDDDLVGQIAVAAIPS DPFSQTSARSIGTGHSLLRSLLIGPLSELPSSEQRSARHHSFVMDLPYEARADWPPKQ TVGRLVDVYFEHCDMFSPILQSKDDFLATIEPLCDGTLPMNHDMAHAKFQAFAIFATA IFLLNRIDSSVPVSRSEAFFANCVQLLSENPGLLCTGDLDHLTNLLLIIQYCFFAAHL TAAWHFIGLATRLAIELDLHISHVRTAHFERVELDRRRWLFWCTYSFERTLCFVVGRP VSISDQSIQAPLPTEVEGDSKRCLAIHLLKGRQIQSDIYNAISQKGSFSGIHADGKSQ WRRAMHGRLDEWGSVLPSYWDTSQLAPAELFNAARLNALVLLYYPSFHFPDLSEQELG DLGRFAMESVHSYPQVFRGGKLRFYWRAVHNLFRSGVAVIYCIYVSRMRPCLVLNADE LNASINSCSSVLWGMVERYPSGKPYRDIFEKLADQISNPRHNLSSLTENAATGELTGT EGFLDRMYADLQMDIPFALTDVLVNGFVTGIESVSGGAT PFICI_02000 MSKDPSRPNIVLALADNLGWGELGCYGGGALRGAATPRIDKLAT EGLLLHNFNVESDCVPTRSALMTGRHPIRTGCRQSVPAGFPQGLTRWEKTLAECLKDN DYATAHHGKWHLGDIPGRYPSDRGFDEWYGIPRTTDETQFTSALGYDPEVAELPYIMK GVAGGESENVCVYDLKQRRLIDETLVEKSKDWLSRQVQDAKPFFLYHPLVHLHFPTLP HEDFRGTTRQGDFADSMAEMDYRVGQLLDHVEALGIADNNVFIFASDNGPEFREPYRG TAGPWSGTYHTAMEGSLRVPFIIRWPGKVPANVTSNGMVHVTDLFTTILSITKSSLPS DRPIDGIDQSEFFQNPSEQESKRTGFLFYIREELRAIKWKDWKLHLVWEPKVNQSSGR LESPYLFNIVRDPKEETNILPYNTWVKQPMTKLKTDFLRSLKNDPAPPDPLKEF PFICI_02001 METIHSEKNERLVDEVDNEAGEIIGIHQDTKVIRRKLDFYLLPL LISGFFVLQLDRSNISNALTDTITEDLGITTDDVNLGNQLMSAGIAIAEIPSNIILQR FGASKWLTFQMLVWGTVAICQAWVTNIHSFYATRFLLGLFEGGYIPGAMYMLALFYTK SELAVKTSVFYFGNYVAAAVGPLVAAGILQMAGLGGLSGWQWLFIIEGGITLLIFLVF VLFLPRSPAHTRPIHGLWDFFSEDERKLLRARIVADDEYNEADKVSMSFRDVKDSIVD YRLWLHMLLNIVTLTPKGGLQLYGPLVIKTLGFSKVNANLLSAVSSVLVLIFSYCISI VSDRTRWRGPFCILAFAWSIIFAGSVLGVSKDASASKWTLYAVFTLLSGGNAVSQSLN DAWVSINARSPSRRSLGLAMVVMGSNFGGIIGPQLFRSSDAPKYPHAFLAILVLYAAA IPITLLMMWVYSRDNKEAQTPGTTHDGTLETRRRYDL PFICI_02002 MGRVIIKNTTVLTLDSQDSFFYPGFVEIKNDRIWKVGQWSDDID LDEKDGDTLIIDGRDRLVMPGMVDLHFHTSIAKGFNDDLPLWEYLDEVWYPAVRAVTP ETAKVAALYSYIQAVKTGTTAVNDMYRHLPSLAAAAEQVGLRAVLSNDVALPEHRLDT VEDNVAAFQQVHGAADGRVKVWMGIEWLPLADMDLLKQVAQAKRELKTGLHLHLCESR SEIADCAKKLGGKRPVEAAYEAGLLGPDTVAAHCVHLSDEEIELLARTGTHVSINSGS NAKLGNGIARLQDLAAAGVNCGMGVDACECHNSFDMFEEMKITSYVQRGLHEDPALGR PSQMLRMATRNGAVALGIDAGTIEPGRKADLAILDLKKDMMFTPLLKAPLDARRKQLE SHLVFGCNGTAVETVIVDGKIIVQDRKVLGVDEDQIREQMDSIFGEIVADMERKKMVR SKQ PFICI_02003 MSQALLRAGPLKADIRLAQALSEFEATLSSDDKARLRSSKSQSQ SKSPTVDDVMRFTAEVDAQAKRRLGSGRCFGPRLTNMLQAMQQFAALGDILVGGSQNL IACGVWSVVRMTILAVTLSASGMERLSLLFMSAGRSAPRYQGLALLYARSRHIRDYLA EYMTIVVQVCHYFHDFSHKSTIGQITSSLSDSKIRNAEEELKRWAHLIKDEASFLQAQ TTEREAAENSNIRKWLSLSIGSSSLQRKIDKRLQWLNAFSTFDYETPWKQARKRGSSS FFVGIAEYKQWKEKQGPPSLLLSGKMGCGKSVIMANMIDDLSLSCPEDTTIYFFCRHD IPESLKAKTVFGSFAAQISQRHLQTDIMDSVFSDSAPFMSFDKILELLPTMIKRMKAV RLILDGVDECPQEEFDLICTGLRRLRAECSILSCISYRDQADGLQAKVWIEGQAVIVS VPNDNPDIREFVEAELERLREFGDLSIGDPSLLMTIREALLHGANGMFLWVALQLNTI CAQKTDNLIRQALEDLPKTLSETFRRILQNSKQEGHCYQTNILKILVGANRPLTVAEF REALSVTPGNISWDPGNMINNIYATLACCGSLVIIDEEEGTVRLLHQSVTQFLHNKTL ESGQWSFSAQSAIAHMGDVTITYLNYGVFDKRVSTGVVPQMYAGRVPEKIVSHVIKPF STVGRKLAKAAMKSRRLSDRDIGVTLSMASNTRQDSKHMSEKFEFLVYALENWLPHTT HMSDTSLMTPLFRRLLDDPSFSDLPWNKDDRLQNTDTVGWGSATVLHIPRRLRWAILH SHCFLFSMELRGSSGVKALLSVVPYLNAILKIEKGLRLSSQLSDKLLRITTAFRASEP TDWLIQMHGYSIRTYLGLLRNREYSTLMWATMRASIYDSYYATQPLLEEAVKHNDVPT VMSLLKKGASLDIYKVEPPLQLAMRSCFYKPENIFMVSCMLRKAGVTNVAQIAFPDIF WFLYIVSVHASHLNENSLGQRLKFDENEESRGVSMAIIERACERGDFCLFRLIKAGLC ELNEDKYVELINKALLTRSSERINIVKSIIYVELYSGPFKSPKLQPLMNKARNATKFA LARLAQLRDWGSISYFESYYGLSLEQSVDISPGPFYGCVDTRDLDGLRKICKFASNLH SYQSLLAYATASKWESLEEVSDLFEIITYLQVDFQHKHEFGAETRMSFDVWSSWARDF ANVNVPTECSERWERTGDLILIFCVRVLHFLSRDDFLLDHNLPGGEFRASRDYDLQRT VGLMLGYINTTLQNLSYSGQTKATWCETVETVLRSFDAILRNPSYMDRTRWNILDIAE TLLGNRFIHEWHPASEIHANIRSVYDGEKNKWCRDLYQLMKSLLSRRGILTNVRLHNA EVQVPHSAED PFICI_02004 MLSRRVAQAPALRSLASRRALPITQCRTFLPNSLNDKAVYEEKY PEPPKLTDAEDPNQNGGYINPARVKRQFRDPHGDWWDKQERRNFGEPVHEDHDMLGMF TPYEYTWISPAKGAAQIGAFILTVFGLCWAVGQVYPDKQSFPREFEGGLERELGGPGA MRARSPEDPEPYQTEESEA PFICI_02005 MTDTASPHAAPAHDNVSPEENTTPSSDAPPAQNGKPILKPLDTT THNHISQDSSSDSDLTPVKSDDDERVSASILQDAPNVENVGDILDDRPAKRPRLSTPP PNSLVKRPKPISPPWKKFEADTPTTFTEDGKRRSGRTNNVPLDLQPQGRKRMTRGALS DQKGTPASKPQVNGQHKGVSSSKATPVKSNTPASKSASNKKAPPPSTTKSASKTSSRR RSSPPPPVSPQRTSARQRSARNLRGNFESGARDSQISKHESPRSTRIKLRVRATAIPI VHPNQVNVYPGQTVARPKIGETFADFIDKASTFDIKDGGWQDPLDDGPPYTDAQAEAD AKVLLRVEDESKPGGMLSEERCALFVPDVAEEPARQWAQYDHLLRAATNFRKLMVQEH QRHKQTAKRLAEACRDEWIRRQPKSTEQIEAEARALWIARYKTVAKALIGTWENVRVE INRRRLEEYEAKRQKEVKAALNEAVNMSELKLQARRAHFDSEALSTDDEEELEEDEIE DDTELMQGDSDDSMASEEESKTSESVHGDEDNMSSSDDEDDAKSTTSDADLNQEQLRQ KYANVPDLPRNEEPTAAGEDADTPANLADDETSDESVDMDDDMGSSDEMDSGSEDGSE VASDEEDDEPLGTLGFLFGKSELKKLQNEQPAEPDDIPDTPMEDAPEPIADEPSTMVN GTAETNGDVRSPALVAEQAETQKDPSEADKPDEIAAVPEPLKLETVGMEVIDAVEEVK AGHTTAIATADTSAAPEAIPSVEDAPTTNGLNTSPLPDGIATNSASVDDTQSPRTSDT KVSEIDTASTVNQPEVSRSTSPSHTPKTEIPFLLRGTLREYQHFGLDWLAGLYQNKTN GILADEMGLGKTIQTISLLAHLACHHEVWGPHLVIVPTSVMLNWEMEFKKWCPGFKVL SYYGSIEERKRKRQGWQTDDMWNVCITSYQIVLQDQQVFKRRRWHYMILDEAHNIKNF QSKRWQTLLGFNTQARLLLTGTPLQNNLTELWSLLFFLMPSENGVGGFADLAEFHEWF RKPESQILESGREQMDDEARAIISKLHKVLRPYLLRRLKADVEKQMPAKYEHVEFCRL SRRQRELYDGFLARTDTRQALASGNYISVINCLMQLRKVCNHPDLFVERPIMTSFRQR TSVIGEYGFTNHLLKDKFLAKKPMTQVSLEFLNFMPTIYEDLSLSECQRTAQLSTHYD LMKLRESQEQRARACPTSCDPSTVESNLVYLEGASRRRRYEELQHLVYLTALRCQQQP IYGKWLRDFLTIGANERPSKPRPKVPAKIMAWFEEDSTFLRSMVLDVDQRAAEMKTTI SKFACITPAVITRDVDQFVLGSKGVGAFTEADLRLSAPVRYSPFMPKETPLDPWHEAR MRLSIQFPDKRLLQYDCGKLQALDKLLRKLQSGGHRALIFTQMTKVLDILEQFLNIHG HKYLRLDGATKVEQRQILTDRFNNDNRILCFILSTRSGGLGINLTGADTVIFYDQDWN PAMDKQCQDRCHRIGQTRDVHIYRLVSEHTIEANILRKASQKQMLDDVVIQEGGFTTD YFNKMSVHDVMKDVGSDLQEDMVGDAMDRVLGTAEERGERAVGRVLEQAEDREDVAAA HVAEKEIREDDADFDENAITASASGASSARQGTPATRDASAAPGRSNLGLYEESAAEP VEIDLNAWKEQIHNIDEFMMSTMTVMLEGTPLEIPKDKKKGKNNKKGKDHRKR PFICI_02006 MNNFGVIEVATARTTNAPGWAYVPDTGPAPTSSIGAGNQSRSKR ARNTGPANLSHADLTARQEAKVRRELEVLDRDNSRDVVIPVPTSSRSANSKHTPNVRK ILQSQKTFANHLDDFIAAGAGLDIPAAAITGAAAVGGSSRSAAAAATAAIRRERTAGA PQQSQRKPDTTTAGSKPGGTSNKQAPTEDVEMTDAEPTTADTNSVSAYLQAYDGPRPA PHPADNDPLLTSVVPPVPSDEELRALLSAPPLTYLDAKAGWNDEDQRYPTRVFCEVCG YWGRVRCMKCGVRVCALECLETHREECVTRYGL PFICI_02007 MAPTTKPKLPQLTTPVTATFPSELSALSARTPLSAIPIEIKEEF TKTPISPPIAYMDFLRAMSVASPVIADKRSGSSSSEAEEDDGTRDSVPSTASSEQTDC SCKCGDHLSPAAVPPSPYPVQPMSAPPTGVTSYPSMHIPPSPAMSTLDSPMSASIRSP FSARSVRSPFDWEAALKSRYAQDCKATKSSNRSVRHIREVVTRTVTYTPRMNPAPKGK RRRTECSKSQALLAAAAAAGETLPPPTTRSKTA PFICI_02008 MMDRFVTRQGPAKQAQNGPPSSITGKGKGKGSETTLREPPAKRV KREEIADSDADSDTSLSDGKSFKATWAPGHIQREDTAESLDQIIEDAQLPPEPEVHTG RPTAIESSLPEVGTDQDAIEEYETFRASQGENSNTVASRFVKREWVKGKSSLYVDAFN LALGTVLEDESHLFDEKERALFDYWDNLSYEAQYLYVRLFLRKSATWHRIAKLGYYDD VANLEDAVTELQETRLFPATLSSSQVDPDREEAAFAQEEHSLGESFQFADDSGDCIKT VEEAITLLSLDELKGLAKDARVQGKNKADFVKSICRMSNRQAGLMSVGLQRSNTHDSA VSNDNHTPEAESPGPQLVDDSNRNKHFLEKIMATTGPCIRLSLPIFKLFERVHLVFYR STEWTEKSLTAIILAKISRRNFPEYIVSRSTNIFSSRDHLLEFETATRTEFAVDNILE FNGPVSEKGFRKVLDTFEHIYERWKKLLGEEQIKEDRVYETGEGTYLRRFSPVHPYTR IIHKATGVMGRFKEHQREHSILTELLSQRLFHHARRGAWYQRKALLEEHYMHALEPDP SYKDPEMQKKHWKRIAVATCDTALEDPDCHLKYHYDLQKRIIKLEKQLRIPFRLQHDF GHVRLKKPEEHNIEGIQIKRDDIVGKKGRGPSTKTIWVDEAEGEGECSVEAMCLSSYR SQGWKGYHAEGGIIRTLFAYLFFDILFLYIPNVFQTAYQTCPLDLFTDSFYPTRASEI NHRLVEIANGDAARIVQEVDHQQRERRTCVIGLNWDYELPDLLELVNCFDGAALATVC KVMAQEYGQRGGGLPDLILWRADPTKEVMFSEVKSANDRLSDTQRLWIHVLTGAGVRV ALCNAVAKEVREV PFICI_02009 MATITATELQPVDFIASGASHHMIPPPRKSHEPPAEASPTLDAA EALAVTTLAKTRAIIVIAQLSGLTLFGSFCNGVIVVGLPAMIETLGLEDGLLLWPTSV FYLTAGSCLLMAGSIADVAGTKRVNLMGSFLGAVVALACGFAQTGGQLIAFRAIQGIS YAMITPSAISIISTSVEDGQPRNIGFASLGVASTLGFSLGLVLGGVFVDHTGWRPAFY FAGATSIALSVVGIWALPRDSRRRQGKSIWKRLASEIDWIGTILASTSLATLSYVLAT LSADVHNIRQASNIVLLVISAVSIPAFIVWMHYQVKNDRIALIPNSLWRRSAFTSICI MAIFTTAVTNCMELFSSLFFQEVQGFSAFGASLRILPSLIAGGLANLSTGIFVSRMPV KWVVLISSALSAVSALLMAVIQPEWPYWYAAFFAQILAPLSTDILFTVGLLVVSGVFP AHMQALSGAVFNTCAQVGTAIGLTVTSVISASVTAASHETDKTSPGALMEGYRAVFWT MFSWMVVVCLVSALGLRRVGGVGVKRD PFICI_02010 MADREPRPTRVKQDITAKACAVLLKSPRFNWSSTAVSDWFGVDE DGKRIFPPSTINTIYRVAVSRGFDPNSPKLVIKDEFFLEGKRSGRPRKNRIPKSQPAP AQESVEQIEQISEEVEPPQDLIDG PFICI_02011 MSEEGRRVSTTPIPVPVPFYAQQAMAQPTPSPLSAPRVPVMENP TATTATTENNTTTSAVAKDVAMTDAEPTSAASPALQQGASPAPNRTGTPARATNGVQE HQQQTSTSRAASAHPDPGFTMQSEAPLHGAPARQYLNTKVTGVLLDGMKLVAKEQPKD PLRVLGEFLLQRSKELENQS PFICI_02012 MGRLHSKGKGISSSATPYSRTPPSWLKTTPDQVVEQICRLAKRG ATPSQIGVILRDSHGIAQVRFVTGNKILRILKSNGLAPELPEDLYMLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLARYYKTVGVLPPTWKYESATASTIVA PFICI_02013 MVKSYLKYEHSKSFGLVASGTSNLLWTPNDRSSTSAGQAVVAAN EEVLTWDIKKGELLNRWKDENCSAQVTAIAQSKTDKDVFAVGYEDGSVRLWDSKIATS IVSFNGHKSAITILAFDGSGVRLASGSKDTDVIVWDLVAEVGQFKLRGHKDQITGLRF VEPQPEVAEEDGDQAMIVDGSAAISEGFLLSTGKDALIKLWDLSSRHCIETHIAQTNG ECWALGVSPDLSGCITGGNSGELKVWSLDTAGLAASRQQVDVPHNTQFLHDRGTLFRD GKDKATEIIFHPRRDYFTVHGAEKAVEIWRIRSEAEIKKSLARKKKRRKEKLAKKGTA EAGDAEVDAEDEDISTAPITEAFAQHLIVRTGGKVRSVDWANNHGHKELQLLVGTTNN QLELYNIQTKDKSTKSKQEDIPDYTRSLGVDIPGHRADIRSLALSSDDRMLASASNGS LKIWNVKTRSCIRTFECGYALCCAFLPGDKVVVVGTKAGELEVFDVASAQLLDSVSAH EGAIWSLQVHPDGRSMVSGSADKSAKFWDFKIVQEEVLGTTRTTPKLKLVQSRQLKVS DDILSLRYSPDAKYLAVSLLDSTVKVFFTDSLKLYLNLYGHKLPVLNMDISYDSKLIV TCSADKNIRIWGLDFGDCHKALFAHQDSILQVAFVPHNNDGNGHHFFSASKDRTIKYW DGDKFEQIQRLDGHHGEIWALAMSRSGNFIISASHDKSIRVWDETDEQIFLEEEREKE IEELYESTLTNSLERDPDDEEQNAEVAAASKQTVETLMAGERIQEALELGMADLHVVR EWEEAKAANPNIAPPQRNPIFMAFGGISAEEYVLSVLQKIKASALHDALLVLPFATVP MLFTFLNLFAVRSMNIPLTCRILFFMLKTHHNQIVASKTMKAMLDGIRTNLRKALRRQ KDEMGFNIAALKIVSMQIQDKSVKEYVDENWEDDDGQNVRKRAFVHVS PFICI_02014 MLLLDYQNVLLQSVLTERFSGAPPVSIDQTVSDFDGVTFHISTP EAKTKILVSINIRCFKELVTYGAEQVLNREYGQYVVPPEPGYDFSILVDLENLPAEKG MSRNFHR PFICI_02015 MAAPFEAAYEEYYKLKEEASKYTSEEAPQGVREGGDVMAIHYRE EEAIYVKASHDRVTVIFSTIFREETDRIFGKVFIQEFVDARRRAIQNAPQVLFRNDPP LELQGVPGVKSSTEGEVGYVTFVLFPRHLTPQRMPDVISHIQTFRDYFHYHIKASKAY IHSRMRRRTADFLQVLRRARPENEEKERKTASGRTFKVQAA PFICI_02016 MSDADFETIRKLQQERNAAAAAKKGSRTFDPSNQRSDTSTKAKL TDSFDTDLYSRDGDDRFAGYLTSIPADGDNGDEEMADADADNSRRLVGQYTATQSQID EFARGHGVEEDDPFAGRGERSTRIADRETDYQKRRFDRVLTPTRADAFAANRQAGAAD DGETYKDIMERRELEREEERVQRAIAAKRQEGEDANGEHEATLQNGDKENAEAGSTEA VTAGRKRKKRWDVSSTAVDEPETGDAGKKRSRWDQAPSLAAPGAADAGKKRSRWDQAP TATPMGPSGLATPMHTSAIGGPVPSFGTDISGPNAPISDEELDELLPGPDDGYQILTP PPGYAPVRAPTHRVVATPVPTSGFMMQDPDSVRLGGAPMPKEIPGVGDLQFFKPEDMA YFGKLTDGSDEDALSVEELKERKIMRLLLKVKNGTPPMRKTALRQLTDNARNFGAGPL FNQILPLLMEKTLEDQERHLLVKVIDRILYKLDDMVRPYVHKILVVIEPLLIDQDYYA RVEGREIISNLSKAAGLATMISTMRPDIDHVDEYVRNTTARAFAVVASALGIPALLPF LRAVCRSKKSWQARHTGVKIVQQIPILMGCAVLPHLKGLVDCIGPNLNDEQTKVRTVT SLAIAALAEASNPYGIESFDDILNPLWTGARKQRGKGLAGFLKAVGYIIPLMDEDYAN YYTSQIMEILLREFSSPDEEMKKVVLKVVSQCAGTEGVTAGYLKEHVLDEFFKSFWVR RMALDKRNYRQVVETTVDIAQKVGVSEIVERIVGNLKDESEAYRKMTVETVEKVVASL GAADIGERLEERLIDGILHAFQEQGVEDVIMLNGFGTVVNALGTRCKPYLPQIVSTIL WRLNNKSATVRQQAADLISRIAMVMKQCGEDALMGKLGVVLYEYLGEEYPEVLGSILG ALRSIVTVVGISQMQPPIKDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPESVNAR EWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQSRVC TAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMAKDYVYAV TPLLEDALIDRDQVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLLYPNLFETSPHVI DRIIEAIEAIRMAVGPGLVMNYVWAGLFHPARRVRTPYWRLYNDAYVQAADAMVPYYP NLTDDGIDRPELAIVL PFICI_02017 MTMTLDHQPQRYGALHFDHMSSYHTPSFSNPWASASSPPNPSQG MYQQPLSGLDILAKQHPSRTSAPSSSMASFGSIPVTAASAGSTLMADVYGQQDLLNMP QDLLSLNRLQTTSAAYGEPAYATTSAPSVHSTYAASPPSFDHGGLPGYAAAPVRSTFA LAPEADHRRYSQSSVPSSVSSSDFGTDDGLHSSRNSVVDFNHRGIPQDDRRSFADALD AGHGMLAMSQETPRAIYPPANRGRGSADSYGFPSAHSTSSSISSASNYGSGSYYGGSI DSSVSDYSTAGSDIESVTSRTLPRPNNLMTQPPPAPQSMMGQFSSKVSSSTQKKHKCK VCDKRFTRPSSLQTHMYSHTGEKPFSCEVEGCGRHFSVVSNLRRHRKVHKNDAKSDAG SEDHHDESD PFICI_02018 MCYTIHYVSRNCGHHWLEISQPCWPGQGFNSCDTFGDGIARSPS PLAKTQTACPACSGAGCYDKHRFRMIMHVKNKYKVGEGPCKHEPGCECVIL PFICI_02019 MLPTPDTSHVDYERIYEPAEDSFLLLDTLSSDTETAFLRSRFGN GGAAAGSSPQQPPPAPLVVEIGPGSGVVIGFVNAHADTIFGTRHVLTAAVDVNRHACT ATGATAHRAAAAANGHDGVTATTAGVFLDAVQGDLAAPLRTRCVDVLIFNPPYVPTDE LPRQPSAADAETDRAKTSFDHDSYLLALSYAGGRDGMETTDRLIDGLGEILSPRGCAY VLLCAQNRPEEVKQRIREFGPEWLVETVGTSGKKAGWEKLQIIRIWREQ PFICI_02020 MNTALRTVKMTPRGQDPIPLDTMNIRGSTIRYFILPDSLPLDTL LIDDSVKPKNKARKEVADRGGRGGPRGGRGRGRGGGMRGGRGRGR PFICI_02021 MATFFEEMWNSIFTPGTTPTLLLATNVTFAALQVVLFGLLLATY SIHFVILSFISGGLWGAINWFATELKKEQAKEAERKAQEEAMARQNADDSDDTEVEPV QSKSKPSIAPVTASKEVEVIEPQGELKQRLDAAPGYKSGVSTEDEWEKVSENEMDKDK PFICI_02022 MRYAVSPIFITNHQKLNVSSFSSAVAVQYDTRGDMGYPRSSLTW PGLEPNVDFLGHDLMSHAGSDASMTPPSTSRSSTSSPPRGMLTPEQRELKRQRDQARR ESKSSVRARRALSTTSYGSTSPQMSMAEFSTASPMPIYTTSPSQISLLTEPVTTVPGS SYIPSYSTSPLPEPSAMFTSPFPTLPSSGYMGMEYSSFHHPPPSQGLHGHFASGRPAS LSEPNMLYPMPPVLPSGGGPSQETGHVRVVQSRPKPQCWEHGCNGRQFSTFSNLLRHQ REKSGQATKASCPNCGAEFTRTTARNGHLLHDKCKSRRNS PFICI_02023 MATDNRWNAGRRRVFQSVFRTSISQPTPYSTPSARFPEKGQPFG GPPVREPRNWSGAPSPDFTASSSSPESRMVSDQTRYDRSWHEVTSRIALPASVTVEDS FGPPPDSQDALDEDFDEALRNVLDPAFRLPHATFIEDILTWHTQQVRLHFVNHVLALL TVCDGYADQTQVLLGSMRTLEAANRLYHYGLSVIIRPLPQDQADRYLTKFRRDLHALV ANSMSEPLTDALRNVLGRLMAIVLGVDVQASKTSSNQVDDARNEILQLVESLSKLGLT GERFQVLFAELLDRIMEAYIIRTYAGKWKSDRDIGSTTSADGQTQNRPYCIRELSNWI EKDFACLAVEVTFHLGDVQLAWTEIDSWKSMAIARLANLRISELFDMVINWPHSEGGI NDLRYTVTTSVRRLRLTDVFSSDLEKRLLHPGRSTLDILRTYISMIKAFQKLDHSRVL LDRVASRLQLYLCTREDTVRIIVTSLLTSPKDANDEARKTKLVELVELMQDPDQSRLD RHQDEWDDLSWVPAPVDAGSNYRGRKSEDVIGTLIGAVGTPDVFIKEFQAIVAEQLLS ERDGFEQEAAVLALLKKRFGESALQACDVMLKDIQDSKRLNNVIYRGAMNNTEKAKLE WTTRTKILSRLFWPDMGEERFVVPKSVAVMQQAYEDRFEHLKPSRKLKWLNHLGQATV ELEFQDRTISEDVRTYEAAIIDAFGEPSKWAFEDLWLHLQMDEDLLTAGLQFWVDKKV LRKLPGDEYVVIERLEDAEQHMASVAQQSGANTAASADGSATSPRKAKSGMSEKEKAQ RQVYWQFIVGMLTNSMSQMPLGQISMMMKMLIADGFPWSNEELQEFLGDKVADGELEL TGGKYKLVKK PFICI_02024 MMPGSTTTTITPRLMYLPAHTIILHIHHPHLQLPQVLYRLQGLL KCMITRFLNLTLGMEATLIAAATIISILTGGFLQRKTADGIGEAHVAESTGNYKSITN YKSDDEYGFNAAHAAKHSLGDSPSDDDSESTDTAMITSDVLDDISAVAGPRARIRAAQ MMRRAGVPQCGRKVASKKAIASLISVVPEDLPEEERSCIICYNDYGVETPEGIIESPL RLPKCQHVFGDHCIKKWLAESDSCPYCRDKLPSEPPTLHHRQEAVFHLMRQHASRHGE STDAGGIRTLGAHAYQHQDRRSPPIDNGEPRRRPRWRNRPSASSGRSNAPLNPVTGWA LHYDTDPTRFGPSSHGQRHPMGPLGSPMTPRLPEGRNNQENNNPRFPGVHSFQSNEPG ARLPFPNPLGATEMETAMGPSWASPRSGFLPYAVRNGPDNTVHQNGDNLPR PFICI_02025 MATSTVKTSVLILSDTHGEEFDVPPQYADVAIHCGDLTEESKIA EFRTTLDLLRRLDAPLKLVVAGNHDFTLDTPTFMERLSEAPEHLDDDLVKREFGDFGE ARKLIDDAKDAGIVFLDEGNYQFTLANGSRLRVYATPVTPGKGGWGFKYDPESGHDFV ISEGTDIVISHGPPLGVLDYTDSRTRAGCPHLFGAVARTRPRLHCFGHIHEGWGAKLV TWRDRLNDAPSHFTDVDNNRSTVIDKLANMIPNKFDTEESAAEKKAKARSHVQRGCAT TSHCSGDAEPLRPGEQTLFVNASSQGNHPQLPHQPFWMVNIELPTSID PFICI_02026 MASLARPRVDVRAAAMPGGFPSQDYCEQPDRRPAMVATGMTTDT ETTSSRSSDRPAPSRGILKSTPTGMTSQNPLAARFNRRPETSHHASTYQPAPFDQGDT WPYDSPDNYDSYEHETDSQSVLSEVSTSSTQATSVASDETVTDMNCPPSGHVKHIRHV PSPTVGRVKIRPRARTSSSTELAKSPPQKRQVHIQIFPPGSAASQGHQGRELQLSRKT ERDLLDKIDELENQAVSLRDVRAHLDKELAEANEKLSVRTLEARDLQKSLGHERNAKE VLARELQNQRTQLDEHRSNLSLQKGMLNDAEDERETFRGARDSLEKQLSQLARDMTAQ ETRHKELEAILIRKASESEQLSKSFEDKFAAQNRQLKDLESQRKSLKQTVETQDKQLG QLKSITLERDTLRSDMEKQQTVHAETLKKLIVDRDSFKSKLEAQEKQMKELDELILQR DSLKVKIQILTSENAALNGDKGRLEERKNRLKDRVGEAEKKNDSLTRRIVELHSEIEG FKGEIAVCKTQIGEFEVSKKDLEARIEESESKAKTAIEEEKENTDKLIATEKTKLEEM QAQAATDRAELEAKITNLETELQGAKDANVVIVSERLEAKARLETATGSLATAYAEVK NVQDRNKDLESTIETTKAQITALEAKAEELNRLGQQHKELGQHAEGLQADLDKHAVGA AALQADKDKLASDRADLDALKETMQDATAMTKLMEEKASLESRVKDLEMEAQGLKAEE TILKGEVEILRTEANKVPALTEQHHAVSAQLGAALQDLAHTRAALEAAAAQVKELNAQ AAKLRSRPKERSGSRPRKHDRSRSTGLVFVRAPSNKGTGVFVTTREALKAEQVEK PFICI_02027 MSQADQTDPANATVAETGHKVDWSTLPEDVFRVFEHRTAQNSAA YLLPTLKELQQRNPALTILDVGAGSASISASFANIVGPGGGRVTAVDINPVMLQRGKK LLADRYGVPIDGEDWITFLTADGHHLPFQDASFDIVHCHQVLAHNKGQAEILREMLRV TKPGGVVAAREGDMETEVFWPPLPGLLKFHEDLEVRVIRARGASTQSGRQLLSWALEA NGGDRSKITTSFSVWSYSEPEERKMWAAGMVNGALNNPQVRESNIKSGILEADMDEMR DAWLEWQDRDDATLSMVQGEVLIKK PFICI_02028 MRFLAVVFTCLWAAWSVAAANSSEVEAPTCGLLCIATTTAHSGL CSITDANCICTNAELNAQIEACVTANCTIKEALQTQKYSYVTCGKEGEDRRAEVWIIG VTFGAIGLFAFGLRCLARLYVGAQSWGMDDWVMCGNMLFMIPLCVLSHGLGLDMWFVD FDDITSILYYYFWDEMLYITALALTKVSILFFYLKVFPKRSFRICVYILISLNICYAL GYVLVLIFQCSPINGAWLSWDGEYDAKCVSINILGWSAAAINIALDLATILLPLPELF QLSMSWKKKVQILLMFMVGFFVTIVSAIRLRSLIEFGTTQNVTQDYVEVGYWSTIEVP VGIICACMPAVRSLFSKVLPSLFGTTRGGKSEYYGYSHGQSHNRGFSSKMSSSGMGGL NSTSENTQIRIKQEWTVMSNPAENRSDVELRSFEQQIAGVEKPKKLRLTKQSNDEWSS AEEYPGEQRGHDRGRGSASTHGSNMI PFICI_02029 MQPKSIILALFAATSVSALNIYKPRQSQNGTASASASGADFGQC DPSIDFQLGREGRKADEGTFLPVDPLVAEGQQDALNPNIITNRVCDQLTNVCDANDAA KALCEDAKAQVQALGTKDASTADAFNAALGF PFICI_02030 MLEPRHQSSFEGTSQAPTIAESTPSLAGTNGEKDVDTASKSDVM NEKLQPMAPTPAEAEKLTDLTKTITARSQNSNALRQTETREDGVAYPTGIKLFLISLA LCLSVFLIALDNSIIATAIPKISDEFHSLGDVGWYGSAYLLTTAALQLMFGKFYTFMD IKLVYLSAIGVFELGSLICGVAQNSVTLIIGRAVAGMGSAGIFSGALLILAHSVPLAK RPIYTGLIGGMYGIASVAGPLMGGAFTDKATWRWCFFINLPIGAVTMIVIFFFFPSPK VDKPKDETFLERVWHFDPIGTVVFMPAIICLLLALQWGGTTYAWNSGRIIALFVLFGV LIILFVLVQWRQGEDATVPPRILANRSVWSGSLYAFGTGAAFFVLVYYIPIWFQAVQG VSAVDSGIRNLPMLLAVVISSIFAGGMVSALGYYAPFMIIGTVLMSIGAGLLSTFHPD ISSGKWIGYQIIFGLGVGFGMQQPMMAVQTALDITDIPTGSSVVIFLQTLGGALFVSV AQSIFTNQLVASLAENVPTLDPTIVEYAGATNLKSSVPAEFLTGVIAAYSTALTKGFL VSIGLGVFTVFGSALIPWKSVKGKNIEMAMA PFICI_02031 MRNSKQLRRQNIIPSNVRFQVCLPPPYNVQVVHCKHKVVNAIEP LYEQRFEETIDRIVAGIPHDDMGGPLQGLLDRVARLCRRIPQDVNIAFHLCYGDLYHK HFVEPQDTALPVKLTNAILARDNIALRTEWIHLPVPKGRTDPEYFSPLAGLELHDHIG QGQKPPRLYLGLVHANDEVGTRKRIEMAEATVPFPFGVATECGLGRTPGEIDNILQIC KDVSIEIE PFICI_02032 MHKLTALALATTFAMGNSRHHGATGNVNIIVKFDNLVVKGSDNT TSIGIYNGLNFSNIWVGYQASADTIDLNGSAAYGIRSPSRNKVGVWQDEVGKSPPSFG VHYLGSKFDSFDLKSFKWGCAPEMEPCAFAAIGSREGEEGSSQSFGQDQPGGDRFGVA ITEPLYFTNVDLVSFRTDPLDTNKVNGDVWIDELELILHRRGPSSLTTTAPPTKTQPV PRPLFTKSPVPPHLVLNCPDICVANSDDCGQNWGGCFDFCLSPWPTFTPPTVCTITSN GVATTGETTATTVIMPSTTSTQSAAIPSS PFICI_02033 MRLMNARTGQLETFLANIPPYAILSHTWGDHEVTFADLHGAQHP ASDKIANTCRLALEQNLCYVWIDTCCIDKSSSAELTESINSMFKWYQQSVVCYAYLED FESNDTFFAECRWFTRGWTLQELLAPTTVEFYDKSWNFRGIKQELVDPISAITHIPKE VLQGRWSLESCSVASRMSWAAGRETTRPEDIAYSLLGLFNVHMPPIYGEGKNAFRRLQ EEIIKRSNDLTILAWRSPQSDGLPALDALGLLAECPDWFQYSKAIQPFSDDFSIRFAI TNKGLHISRDAPHRLISVADGEGRDEDLMYALYLGRDSNTNEEGGIFLQKIGPHLFRR SFQRPMAGFDREEKQLAMWHVPDYHILIDPSPPSIDMKHMEMAQYFRRGGLHVPNSDD FNIMDAVPAPLWDHRDLVRILSQDPPPAYAVALFSSRKRNVAVPWEELEAESPDVLNS ANQVEVQMGKSTLTISAEIVDDTSTRELELLKLIIYAEENQPLSSSADVVLDD PFICI_02034 MVSFDCKILVASIAVAAVSAGYHVSPPPPPPPPPPPTTVSPDCF TLSALYANGTHAGLLYLYEHAESHYGSLTNYQPGAAKLALDTSTGRLIDYESAPNHNG LIAAAYRNAGFFSEVFFKTDAQLQGISDYIALKCELSSTGILTCNHPMNAKNFFQCKS SYSEVSDVSLL PFICI_02035 MAGRAFSQLLGQGYNQVTTSINDVNWDQLGKNAIGVTVAAGQGL GNALGHAQTAAVNVDWGKLGKDAATTAAAAGEGLGKTLQHVETAVRETDWAEVGRYIS ATVKNNPKTVLVPVGVVGGAVTGGLLMGPVLGAVGFSSIGPVAGSMAAGMQSAGTAGA LFSTFQSAAMGGYGVGAVMAAFAGTGAAVGVAAGTGAAVAVETTSNMDDPKPADQDKA TDEDEKPKAD PFICI_02036 MTPRRRHAERYMFPPWEPSVPPWGRHQSTRPRLDPRYHRPIERG LPTFMSPPEFSSSSAWEPPSPYFVRHVFKIGLLPDNLRPRSGMPAFHPDPNKDRETRV SSIEPVKLEMDQIKPWNTTAEMFLNRNPRKKRSSHKAIRPDVRDTISMAPMKLWDCEH KRTISSAGRFVKYCCLSYVWTQISDDDLRNACKTIARETRVRYFWIDRWCIDQSNEEE KAKEVPRMGEYYGQANLVVALIPEITETVYGGPKNGSEPFEAVELAQQNKEPTRHFAR SKWVTRVWTFQEAASGAPVGVLTGSNQLVSATLLDAMRLAIAREHLDEAPLYLVNLEQ YSTNLDRQKNRLEIQGVLILDHFAYKDIRAAGRSAPFDTLTQAWKVMGERHCQKEYDK IYGVLGLVQRARISSASYNAPSEDILLELAKNGMDISDFLNASMINDKNGLCWMPGYT LQPDEFASMESRLADGRVCSCSCNGLWVKGVHVRVKRLSDEPLMYESKLENYDLEITW EGGAKVKTKTYVHQSNFDRVGTSEMLLIQNIDGQKSEYYIGLFGRLVKRGVWHKTGAA LIFRKWLDGMLDYKGWKEPVKDFKIGGKWDCKS PFICI_02037 MASRNNMDDKPDPNDILIAVMGATGAGKSTLISHCTDEYVPIGS DLQSHTSAVASYPVATQSGQKIYLVDTPGFDDTNLDDADVLKLIASWLNKRYEQDILL RGILYLHKISDNRMTGTARKNLRLMKKICGKDALKNIILTTTMWEKVEPAEGHDRERQ LVKTPEYWGDMIQNHGSRVERCFNTKESAMEVVESLLSSGDEALAIQKEMANGAKKLN ETTAGMTLNEELEKEREKFSLQLEELRREFETSRKEWDEDAMMQIQQEREDKQREIDE IRRQQEKMKVENDEMNKQMQEQALAHCAAVVQLQAQQEQMLLRPSVPLRPKGCHAMIR GVDNAGHAMIRGVDNAGKVELLRPSVPLRPKGCHAMIRGVNNAGRVQHTPS PFICI_02038 MLISGNTTVPDRVLDVGTTDQPRLRLLENCNDLQGDYIALSYCW GTQGQVFTVRDNLEKFKIEIIESGLPTTVRDAITITRALQIPFLWIDALCIIQLDETD WKIQSAKMQEVYGQSYLTLCASNASSVHDGVFHPRCNTTLEVDSIFEDLAQRSVYIIL DVGKPSSLQYVTDLTPLGARGRCLQERLLPCRKVYLHHQQMVWECSERFFFESGIRTG ITATISLDMPIVKRGLNNELNRVKWEQLVQSNTACQLTHMTDRLPAIAGIAKHFATSL AARAYSLASEEVADTGTADEADEADDEDYGSFFDMAEKIEKETIGSTEYVAGHWKGTL VPSLLWRVLGSKQLVPSSGYGAPSWSWAPAPGQVKSERNAMNTTICKCGTI PFICI_02039 MQARHSEGFTAPNDEDEDTDAFEDAQESWFSDTASQILGLSRQI SLDPSIASTSSPPPSVPSRSSSKDYNNQVPSTESTSFVANRTVRSSSRVVEPPRPDHA EAAADTQYPQKCEVCEKHRKVVLYCNVCKSSFCEACWDAQFVHKRTRGGVLHERTDPA IAQKVHNVLSPPTDGLERERLYKADEATAWFGIERPEDNSPPVFQDYGRFSDLMGITD PLKRHPSFLEQWTQVERDRRTPSLVSFVGQTGAGKSTLVKLLVDFSVNGTEWYSTPVV GPRGAHLPSSEDVHLYLDPRTADSSCPLLYADCEGLEGGEREPLGAKFKKKRRIDNSK LPDDETQRHVDASGVVSERELEWASGPRSSTREFAVANLYPRLLYTFSDVIVFVLRNP RTIEQVFVQLVKWAASAIETSSNQPVLPHAIIALNASEYDIHPGFWDVKYNTETILED LANTVNRNDTFKKWSQFWRERGKTINNLEELLLCYYSSVQIIRLPTEGRPKLMAEQVE NLYKGTFSACVASRTARHNVRMLLDVDDLQAYLQDAFNHYCSTLESPFDFVQASFRHS PIPQDFGGNILKLALGMVELWENQPQMTARRIFSELSYMVASCIMLDSARSKNKGNAS QIIRKYKNHLDDAMQNFCNQHWRCEFVDQRTGVRCVNVRSGHSAKGHQSTDGKVFATG EYVSSWSWEENENEFPNKVYHCFVELLKKLTDREPGIEGEEQAAAGIHKNSVLKHFFR RATSNGQLLNHNTALTSHTACFCCLFGQADHFLPCGHVLCTECINTYGKANGATEVEI LGCPIEGDDRPYIQPWIVHLKPKSAGVRILTLDGGGIRGIVELEVLHHIQKAVGDIPI HTGGLVALGLGTRNWTIQECIDEFLRLCHGAFTQRSGTEIPLIGAFVENYHHSKYQTS TLEDVLTRAFSSDLNLFGGVRPASSSTPAKVAVTTTSISANNTYLLTNYNHPRASPKQ KHYHFQRPETSSSELKVWEAARATTAAPRYFKSFHHAPSRKTYIDGAVFHNNPVRLAD SERKILWPDHSFPDILLSLGTGYSVGVTRAESEHLAAARRGIFNHGRTLYKILRSNME QTLDCERTWEDYFSTVANSLPRHMSTSRFIRINPEIGQVPALDEKDKMNDLRRRTHDA IYRDPRIEEIGRHLIATCFYFELLDASESGQIVISGKLQCRLAQGSQEMLQLGKQLRK RCLGNEVVSFVAYMEGDVQPIHTILLTDHELETMISRKRFDLGRRVFSIKSKVLPVHF DMYFGGVSKHPISGFPRSFFDGDTSTSSNGASHKMITSSMSTRYTHGSRRAKSGGAWQ PPDLAEKGSLSNLKQYANPTRILGDDGLDEGFLPHFNRSSVNPHKPANTTTPQTRKGG IRDAFKALLIPLNTRKVGSEQQTPLSPIKSPVYELPGAGSSAGLEDKTALDEQLSMAH SYYNVPPEDYAVYRQWVNLLQPNDAKLTKPWAPSIGQGSSGHSQSNTSGTIFEMAGDT MFPVEMDSRGEEPETANDVALTTNVPGTNSDSSLNNPGFF PFICI_02040 MQLTTSVVTLLALAGVQAMPGSESRQVTAGVISIGWFPDSSCSG TPLATDTVSKPADGGCFNLHEPASAKFFSVLSNTAQSDGS PFICI_02041 MRYTFTAALLGASSVMALPAAQAELEERTGNLACLVIDSVVTAL SAAASASPFCSSYLGIQTSTVYTTSTSTSYLSSTITSTTGTDTVTAETSTVPTVTLDT VTAPTVTYTATADAVTVTTCISTAPEKRGLKTTSKSSTTTIATSTKSSIPTPDCLKNL AATAVSLACSCLQVPTPTSTSTIVSSVYPTTSVTTLVAATTTTTPLTTPYYTPVTTPS TTVTVTPTSTDIYCPSPTPDLSCSNQGAQFAYYVSPFGVNSDGSYSQFDPTYFKTQTP TVSGVAGSAGGISGSCPYTSSTFSFYGYTENCNNIALNYRGYLYAGQTGSFTFQITSA DDIVLVWAGATAYSGWTRANALLDVTYPELGAGSGGGGSIVGTYTATAGEYIPIRILF SQGDGPFGFNVQVTAPDGTVVLSASSSTSDYLVQYSCDGTTAPTYAPYGSET PFICI_02042 MKLSWANCVAAAALMSSSALAGPIPDDNQQEEKRQIPVGTYDYV IVGGGTAGLTLAARLSEDPNIQVAVLEAGTYYQAGNPLLSSTPSGDVIGVGASPLDTN LVDWNFVTTPQAGANNRKIHYARGKCLGGSSARNFMIYQRGTKQSYQKWADAVGDSSY SWDNWLPYFKKSVEFHPPSDSRAANASAEYDASAFNDGAGPLQVGYANWAQPFSSWME PSLNEIGVPTVKDFNSGSLLGSQYCSDTLSTNMSRESSQTSFLDDASGRSNLKVIQFA LAKKIIFNSAKKATGVTYQTGLLSFTINARKEVILSAGAFQSPQLLMVSGVGPAATLS KYSIPVIADRPGVGQGMQDHVFFGPTHRVDVQTLTRLANDLLYVGAEYAGNYLPKQQG PLTNPVCDYLGWEKVPRSLLPSSAASTLDAQFPADWPEVEFLSAPGYVGDFSNLFTSQ PKDGYQYATILGGLVAPLSRGSVTITSADTNVPPQIDPNWLTDPTDVAVAIATYKRLR AAFASQAMKGVLADPDGQEYFPGPAVQTDAQILQTIRSTVMTIWHASCTCRMGKKDDP NAVVDGDAKVIGVTGLRVVDASSFALLPPGHPQSTVYALAEKIAAQIRAGK PFICI_02043 MKLKHIAISGLKAIPILLGTAACWDIPPEYGIQSSPDGHFFQDN TGQPFFWQADTAWLLFHRLNYSESDVYLSDRASKGFNMILVVGFTQIGIDSPNRNGDL VFIDEDVTQPNEAYWAYIDSIVELAWSKGIRIALVPAWGKYVHSSENEGSVLNMATAR TFGNFIGRRYPYLPKSLVADTNPWWQNKTAVKDDYTKGGVPPAYPYTDWSNVYDELAE GIVEGEQATISSQQAEGSSTWSPLITIHPTNQWFNGGPIALASAFLGDRTWLTFDASQ SGHTDYAPNPPIPWWNCRRGWEPVELMYSGSMSKGDKKRPALDNEPHYENRYNNAKNT NAYWNASDVRTGGWQSVLSGAAGVTYGADNVMQMYIPGLYDQDGSGPPVAWSEEINLA GANQMQFIKQAITDRGEASYFTRIPAQDIVENAGSDDRRVTAARDSQGSYIIVYSPTG QTFSIDTASLDGCDVDASWFDPVVGIYQEVDYTQCNGDNSTKRQFAPPKVDSHVDWAL VLQVSK PFICI_02044 MADEITGADRAVSSHSKQKVETLESQPPAQQYLNARSMDPEHRA RVEKSLKRKLDARNGLFIILYILNYLDRNNIAAARLKGLQADLGLDSTQYSTCLSILY VGYILMQVPSNILINRISRPSVYIGCSMLIWGVISTLSGITTNFTGMVLTRFFLGFVE AAFLPGALMILSKWYTRRELTTRNAILFCGNLISNAFSALIGAGVLSNMQGVLGHAAW RWLFWIEGAITMFFALTAIVILPDLPTNSRGFTAEELEVAQLRMLEDVGEVDEDSAEQ GVFYGLNMAIKDIKVYVMSITFTAYVVGLSFNAFFPTLTGTLGFDYVPTLLMSAPPWV FACLMSLINAWHADKTGEKFWHIVGPICVGLVGFVISMSTLNIAARYVALFLQASSYA GFIVFYSWISSTFPRPPAKRAVAIAFINAFSQLGNVAGSYVWNLSDNGYRKSYGIVLS MFGVTIFGCFVIRQMLIRLNKKLEAGEGAWDVQPDVAQHTADLEHVDNEEAMKMVKGF RYLV PFICI_02045 MSTNGNVAPHESVEPNTKGPDGPNTNGVNGQHLSDDHQPAPTSE KPQTNGADQGLQHSSSRHSTADIKGKFNEQKEKIKTKAKPPGGFDSTPVPDAPPGYTV KFIFHEATNLPVADISTGASDPFIEATLYTALPKRHKEDPNLVHRTPTIRRTTEPKWE DEWVVANVPASGFKLKCRLYDEDWPDHNDRLGNVTITVPRVDESWQGFSRQEFQAKKR SGSKRAYLLKAVTSAFEKDVSMTPNLFVSIQVLGKSDSQPHAQMYTVGPTFYYRHFSP MIGRIAGVQVNRNESDDSQDHDPQADGGHEDRSQEKKTQKYDFQAIELQLQGPVPTKL YHRYVEFRPLIGLMFSRKGLRGRVLHKALTHQHNRIYNFDSSTEYGTFKPCSEEASLQ FLKLVHFDQGGRLFTYVLTLDGLLRFTETGKEFGIDLLSKHTMHSDVATYIACSGEFF IRRLKKPTASEDPEPDQPTHPSEDLPGGPPNEAPPSKPEYYQLIIDNDSGTYRPDKSV LPDLQKFLEKNFPGLGVVTLGCDDDEDQKLKEAQRAIKKKEGQSVHMVQNRSPSTSSF SSDDISDLDEMDGENGRQSKKERMWNMMEQEDPLKHLKNEFRPKGESSASGANQASQA PFICI_02046 MTDPNRGQSSPSSPEPAHRTSRLRHRSRHHQQSQPQRPSRTDSE SSQGYPVRESSASHHRNTQRRHRHRHHHGVSGDNQPYKHSHHRSKAHYSTSRSPLRGR RRHSSRHRKHEPSSGTHDNRKRNWRKRPYSHDRGLLDVLTELVGHGEHGPDVAALAQL ADTFGELIVQGLVHKLVVELENGLLEKMLVDYLKDLVRSSVRRGLGKGARKTAGRSKR DRDGDDEQDWKKALLKKAFRTVAKSLTETER PFICI_02047 MSDLSGVEGAKSPFESRDDNSGATSVLVDTNPSSATDTPGLLSV IESTGSQERELVSNHGENNIDGNQNSVPVQNATPSQDDAELEWTAAPMTPDSMADEDL TTTSLSVEGGAPTGVDHVALESPSSPQESGAAVTIDPETSQSPGASLRYSQETPDTAL DSDGHGSAPDQNEQPQESPTIYGFMPDEPLEVDERLLKYAATRANVSASHTVDSQSWV PEDFFRQPTGSTVAEPESVLGRSGRTYHGFKEGKYFMPNDGQEQDRLDFQHRALTILI DERLHAAPLKNPKYVMDIATGTGIWAMDFAQQYPESKVIGVDLSKIQPKNAPPNVEFI KDDSEEPWVFPHKFDYVHARAVFTCFNDQKAVMRNAFENLNEGGWIEYLDGSFIVGCL DGTIEGTALKRWGDLLVKGGLGLGRNLEVTKHFKEWLEEIGFVDIVERKLPWAYSPWP QDRRLKLAGKFMQSNIYEGIRGISFMFLTGAGLSETEINDLILQVRADLMNPSIHAFM PVWSVYGRKPFNHEVNARQK PFICI_02048 MVEYATYPSLRGKVVLITGAAEGIGAAAVELFCRQGSKVVFLDY SESSARQVLDKIKSIPDATQPTFLYCDVTDLEALKSCAEKAIAQHGTVDVLINNAGAA GPTSRVPSLGVTPESFDLDVNVNLRHQFFLTQYVVPAMQKQKSGSIINLGSIIWRIPE TQAPVYATVKAGVVGMTRVHSKEYGKDGIRVNSIMPGSVATERQIREVLTEEYEANTM RAQSLKRHVLPADVARLMLFLAAEDSSAITGSSYVIDAGWVSDK PFICI_02049 MARQLSVIVMMAIALFLCISFFTSFGRAPAETAVFSQPADRFND NLPPSDVKPPVKPVEAVEPASRPAEPVKPVKPVLEGSTESKLAGLEITSDLLTGAAIA PKLENATLKAELGRAAWKVLHTMMAKFPDEPSEDDQLALKSYIQLFARLYPCGDCARH FQGLLKQYPPQVSSRNAAAGWACFVHNQVNERLEHEIFDCNKIGDFYDCGCGDDKEKK GDEEKTTATGELKKEG PFICI_02050 MEFGNKGVLNEDGIHVDMDRLKKGEVNLGTSIMAVTFKDGVILG ADSRTTTGSYIANRVTDKLTQVADTIWCCRSGSAADTQAVADIVQYQLGLFHMRNGKP PTTQTAASIFQEICYSNKDQLSAGLIIAGWDERHGGQVYSIPLGGSLHKQAYAIGGSG STYIYGYCDNHWKEGMEEEDAVKFVKGALAEAIKWDGSSGGVIRMVVLTKKGADRHLY LPDEDYTVKH PFICI_02051 MIASGLQHEHCEPTYRPTSSRSRPRQSSSASQIRSPASPQPRNA TPSKRSWETADTEAKPDAVSSEGASRFPTPPESSSPSSAHPRPEDERIAQSKTMASQT QTTMTTVPTPAAQQQQPTPDADPASSAAPTPALPYNASSPQQGHTIRVRDLAHIQSLA RADMMNGGNGPGILNDPPLQAMKYEISGMPIGDVIEMVAALLTKITTTNDLQHDALHR NATHQQTAANHNNDGATMSPLSSSVLAFHGKNVPAITILSYLSRIHKYCPTTYEVFLS LLVYFDRMTERVNNMVMQAEEARQKGQPQRPLHSTLAPRSSEHTDTEMKDDGSDESDE TDSDLADDDDKPAPKDTPAASTAPPAPATYFVVDSFNIHRLIIAGVTCASKFFSDVFY TNSRYAKVGGLPLAELNHLELQFLVLNDFRLAVPVEDLEAYATMLVEFYAREVVAQRT RPDPTAE PFICI_02052 MVAQSLILTLGVVALRGVAASYEVAQPAGYATDPAPAVGGGYAT SGGSSGSDSGYGGGGSGGSSGGYGGGESGESSGGYGGGESGESGGGYGGGSSGESGGE SGSGGYGGGESGESGGESGGYGGGESGESGGKGGSGGYGGSEGGSGSGGYSGDSSPSE SGSGGHGGGESGSGSGGYSGGASPAESGSGSGHSGSGGQGGESGGSGGYGGGEEEEDD ECEADDGEYDEDEEPAGYGVSGGYGSGGSGEGEGQEGGASGAYSGGESGEGGSGGQGG EGGQGGEGSGYSKPGDSYGGGDSEGGSGGSSGSGGSGEGGEGESSGYSGEGGSGGSGQ GGEGSSSGYSGEGGSGGSGGSGEGGEGESSGYSTEGGESSGSGYGQGGEGESSGSGEG GSGGSGGSGEGGSGGSGGSGSGSSEGGASAADCDENGNPIGGGSSGGESGGESGSSGS SSEGSSGSEGGSYDSGSGSGSSYDTPSTLTTQYITATVVPEPAGEGYSGESSGEESGS DYSSGESGDSNESEGGKGYKTLGESLLGLQWLKWLLHWV PFICI_02053 MRRRNVITPSTFEKIADAMKRRYPNQDCTGHKLEYAFKQLRVRW RKGRGGYPRRLGDQEQFGSDQDATSDGESEQSDAEDEYDSFDEDRPLLPPAERGFQKV VRRFDFADRYDKWSVMDVSGVSTDPNETCGVSLVFDKIPGKKERREYIESMLKKYCGD FS PFICI_02054 METAISSSSSTTTKEECPVPRLDSIPEHNVLFRQISEVQIERGQ RPHPAPSTEKDQHGNEDAGALADNVRKIRGFRWVTVCASLYVSALMYGLDTTIAADVQ GAVIETFGQVAQLAWVGAAFPLGSVAVILPYGALFAKFNMKWLYIAGIVLFQAGSALC GAAPTMNALIVGRIIAGMGGTGIYLGGLNNFSAMTTREERGTYITGIAFFWGIGCILG PVVGGGFSVSSATWRWGFYINLVIGAITAPVYLFYLPAIRPYSSGSVRDRIEKLDFIG FVLSAGFWVAFALAFIFAGGIWPWADGRSIATIVVFLVVLVAYAAQQYFCIFTTAATR SFPGHLLKSRTQISLYIGTSCCVSSLFFTTYYIPVYFQFVAGDTALMAAVRLLPFLLV TICVNLASGRALSKVKFYMPFYLASGILMTLGGSLLYVYLKPSTPAGHIYGFTVIVGV GTGLALQLGYAVATLKVPSGDIVNAINMQNVSQIGSTAICLVIGGQVFQSVAVRNLSV VLAGQGFSPEEIQNAVSGTQSVLFQHLSDELRSAAVDAITGAMQTAFVLVIVAGGLQT LAAVTMKRERLFGEVVIA PFICI_02055 MQYLLFCLAFLATIPPSIAKGTDQAPLRHSELPRKTVINHGLDA DTSRYIQGIAEHFHVPSISIAVLNGNETTFEVFGHAILPDTLATLDTMYYIASSTKSS TAASLLYTLRQQEKTSGNKQQPWTVDSKLRDILGEDFALPSEYATHHATIKDALAHRL GLARCDESYGGEDYGISDLARSLRHLPTIAELRQQYHYLNQGYMLVQAVIQKLSGRWI GEVHREALWEPLGMDTTVIKLSDALELDRGAGNATLAFGYSYNPLTGRHDHQPWTDTD LVGGGGIISTISDMAKWVRAFVEGGASLPLGKQDFEALRTPLIITGGSVRIEHMSPIL YAMGWDTMHYRGERLITHSGELPGYSSQMAYLPDRQWGLVMLSNADAFAQEAFEAIYL RLLDDGLDVPASDRQADLVPELDASLERQVDTAVGDARKRFYPHAPDPPVPRALPLQA YTGRYWNDGFRELKLRVEKKALASTPAWDPEAPVLRADWHRVVNTTIELEWVSGEQFL AWVETEVWSLLGGAVPAEFRVGADGKVESLGVYLEPSLIERGEMIWFDRIVDDDKEDE LNVAKSMPGEL PFICI_02056 MALDSSFSMSNLGEAEPWSNRRSVILGVIITFLITSWFCVLGRL YVRAKIVRDPGWDDLCVMFYALFTTIGSICVLLAADRYGLGQHFSSLTPEQMVAYLQV FYVCNASYCTATAFIKEALLLQYLRVYGRGHIMWRLTFAVIIFTAIWGVAYSFIAWVP CFPVTGFWTGNGKCYGYGSPIPTQFVGTYESHTAINMILDFIVLLIPLPLLVREGSTL KQKLRILGLLIMGSL PFICI_02057 MLAIWRLATIIDHQAATWPTHDPTWYGPISIILAVLEVDCAAIC ASIPIFWPVLEARWGTIFITQEIKITREDRRLEEEAAVAESAAAVGGSDRDYYHSYLQ HHQHQQHNYHGSRGSGSELELNLKRSESNRSLGGTHYNDIPTLGQVRTAAVIESDAAR DAGGKRWGF PFICI_02058 MDPSTTNVFNTINPQVHRLKRRLVSQLLTPTSIRSLEPIITEQI DLFVKQLSTTSTTKTGAESAVNMSRRCKYLGLDIAALLAFGYNLRLQTEEEHRYLPRA IARGSWIINLYMQLRFLKTIKFGIPIYVPAIIKGEAFLPTLRKMIKARLAEDRYARVD LYSHLADALQASGDEKITLSELWAEAIFFLPAAGDTSSTALSSLFFYLARNPECQKTV AKEIRSTFTAGDDIKSGQKLANCQYLHACINEALRLSPPVAGTVWREQSLESAKELLI IDGRVIPQGTQVGVNIYSIHHNPDYFPEPFAYKPERWLPSSSTESSEKASNTAAFMPF GAGSRACAGKPLAYLEVGMALAKTLWYFDFDFAQSVDTSGSNHDTEFRLKDVFTSDHD GPFLQFTPRGDFYKEL PFICI_02059 MPSYVITGASRGLGFEHVRQLSADPNNLIVGLARDRDATIKKVK EELGEKPNVFILRGDLHDRDSLKATAADSFPILDGKLDYLIANAGVASKFDSYTNLGE QGDDPDGLEREYLSLHKTNVIGNINLINSFMPQVLAGREKKVIAISSGLSDFDAVRKL DLDTAPLYAISKAALNMVVAKFQSQYKPDGVLFLSICPGMVDVGQYSKATPVQLQRIQ KMVGKFHEFYPHFPGPATPAQAIRDVISVYQKADLENYAGDFVSHHGNKEWL PFICI_02060 MSNLRASAPDFMPEDDSSAVAAATPAKSSVSEPVARLAPAPGTG SEAPVSYPSPSDSLPEAMATKADEENRVSTKAEGTTNTAIEQKSSTDAAKHPDDGNLV VFGHNATAYGYHSAAATTTMDGRMMPVKTYFGGNTVVGSYANNYNGGSVIGHNQSTLA AGDDPISEGRRIYIGNLKYSVNRFQVKRLLRQYGVYHTVEKIYMPYSEGPLEAPVDQH GYLADANVAYGYEEYQQQDGPPEMPNKGYVFVTYGDAREADAAIQRLGGVMHMDRKLV CRPGLPKGVAFRQDDLNGGAFRSHRRRRFERFDSILEDQYSGPHYSNSNNAIVSYGNG TYSANYGGGYANENSSMSYNGGNHHGPYQRGHHMGPAGGSYSGLGGNGYGNNTYGHSR ADKDFSYDSCRSGNTGAFGPRGGNSSYNPHSSRYPRNY PFICI_02061 MSSRLISSGARGLLAASNPMRTTATRTFSSTTSKLADATVALPA RKPVGAFRGGLFGFLLGSTLAGAGVYGYVLQEYKASNELLTEDIYVRFCI PFICI_02062 MYRKVAFSLLAAAAAVSASDVTQLKTDTFDEFIASNDLVLAEFF APWCGHCKALAPEYEEAATTLKEKNIQLVKVDCTEESELCQTYGVEGYPTLKVFRGKE NISPYSGQRKANAITSYMIKQSLPAVSEVTKESLDDFKSTDKVVLVAYLDAADKESSE VFTKTAEKLRDSYPFGSSSDAELAEAEGVKAPAIVLYKTFDEGKSTFTEKFDAEAIEK FAKTASTPLVGEVGPETYSGYMSAGIPLAYIFAETPEERKELAETLKPLAEEYRGKIN FATIDAKQFGAHAGNLNLPTDKFPSFAIQETVKNQKFPFDIEKKIELASVKSFVDDFA AGKVEPSIKSEPIPETQEGPVTVVVAKSYNDIVLDDEKDVLIEFYAPWCGHCKALAPK YDDLASLYAKSEFKDKVVIAKVDATTNDVPDEIQGFPTIKLYPAGAKTEPVTYSGSRT VEDLIKFVKENGKYKAEVEEKAVEETPVAPAASESTSSEAAKETTHDEL PFICI_02063 MEADGGNDEILVTKKKYYTIIKTDLLIPGDGDPIEKAALVIERK IIVWVGFEAELPRLYQDAPHRTFKMHYTMPGLWEVHSHFGGESPDDTESGYIAFIATH PASAGARLAKGCWEALQRGYTSLRDVAGLGCEVAKAVDDGSIVGPNIYSCGAGLSQLA GHGDIHDLPAGDIINNIGIKNVQAGHWGSGSSLVIDGVDECRRGVRLQIRRGAKCIKV MASGGVGSRDDNPLYAQFSKEELDTIVAEANRQGRSVAAHVHGKPGILAAVRAGVTTV EHVSFADQECIDLIKERGTIYVATRFVVDSLIKTGGKGIPKSTWEKAKLCATNHEAAY KLAIDNGVTFALGTDTPPGFNCAVELEYAVKAGMTNLEAIKAATANGPLTVGAQAPKT GQLKEGYEADILGLTQNPVTDVKVLQNKDNIQYVFKGGKLFKGPCVGPWGEDYVLE PFICI_02064 MENEPCRIPRRSQSHGTLRTNYSRHARPAHKPLGRVNENSALLS SSAALGGMLKTTTETGDIGLYSINSVPSTAFGLASASRGSAMRRYPPPPHRRPPRSSI DGSSRPESYKRIHPSNRDTTSEIISMYGSNSQSSVTSTRATSSDEHGQRSQSMTTVGS RHLSHNKSNATLQSQASSGPLQRPRSPFPYPTRLRRPGARPVSPAVTEAGIVDYSRMV EIDRISLRTGYGPLKSTYPHAHHRPPLGVRPEANLSTPSLHSHRPPPMRRPPGTPSLR TISAASVASWAASNSNFPSRVDSYSSRTSSLTSVVNMYYRMPPALKAAHLGSLAPPPR YYDYTEEFETKQIPAEPPIERVASVTTRAPTAVQCPLPLVLREGSEEELANIFGSQID SAFGEDDSQPDESQAETEPHLLSDVQFEDLVANDQTAPQAQPTPDTSGQNEGLICRPA LGPEKMSRGSDIDLLPSQIGRSSVDTFRPSLDIESKGAQIFDYPKLRQSVSQQTNTPS PARQVQVHGGKTPTIKSEQGVIFRDYPDEGLATHDECRQDCIDATQEVEDAHMDPLRG PSRWLSRSDAALEHPNPTCDEREHFQDPRRCFAITDPANLDCPSATKIIMPKGSSERS ESSSTPSKDPTLFNRILSASPSEHAIIIESQPRSESAQDMPLESRSNNRRQRVNLRLE LNMDFPSQEDLTTPQLTPSCSLTPLTAPKPISPVRELRLKNSIPHLMKALPPLPGDHG YVSPSPSTVLDDEDEYTQILTPYVSLDDRNAVRKPRLSFSLRKPLPDIQKKLPRIRLK SKGPGSVHMHTNRESRPWNSDSNYPWCNDSPVIELGGTPIGECHHTSLGRRLKLKVPQ DSPASTVRRHPEAHRSEPIEWIPYEQPQDLFSFSNAIGSAFRQAGRKISQGSTQTSIL KKNRNVSSPLVQDRSDQKSAVTSSGNTSTLKRRKERTNLARRPIVDRGLSTEQHRGLR KRVSNLKWLLTRDVDVKTYHQATEETKLLHSERQDDLNRTTGLNTLKVSGDNVRSSQP RINFGDEKPRFRRRVKAKISKWMRGTRVALVQVRRSTRMEPAL PFICI_02065 MGPSREDARVASGPDPAYDDAEERPPPLPPRPLSHAPGKPPAAA SDSVERPSLQSKPTTAVSTTNIQTLSFPDGTRGTFTSSEGQTTPGQENVNIPGDLGSM RGLNTGTTSDLDEGMSVMSIAPTARGAGDLESLLVGDTSKRSPAWQMLQSQSASVPPF EAMRLPYGSPLLEFEREFDSIPDESQKEWTDSDRLTIWKSKLKHYMILSSAGKPIWSR HGDLALINSSMGVVQTIISFYEGAKNPLMGFTAGNTRFVIVTEGPLYLVAISKLGESD AQLRSQLNALYMQILSTLTLPTLLHIFKNRPSTDLRKPLQGTETMISSLADSFTKGSP SMLLGALECLKIRKSQRQSITNIFLKSRAPNLLYGLIVAGGKLVSVIRPRKHSLHPSD LQLIFNMLFASGGVKGGGGENWIPLCLPAFNNRGYLYMYVSFLDGTQPESQDNSSEAN YSTEDEVAIILISPDKESFFTLQKMRNDVVGDLQKKGSLDIVKAAAKIGRPKITDIAP STQISHFLFKSKANVQFCMPSLDPTFDTGISHRRLMSLYHNLHATVHAKHSHLKVMHC IDHASTSLAWITPIFEFYCVAGPNASRQALTHGANKIIQWAKREEERLFIIGGGVF PFICI_02066 MSLETGPVGSMSPESSGRNTPVLRQWRNQLSAEDAPIKDKAYRK YASGVERALSLFETALEEWADYISFLSRLLKALQARQANITTIPSKVLVAKRLSQCLN PSLPSGVHQKALEVYSYVFSVIGVDGLSRDLPLYLPGLASTLSFASLTVRAPFLELLE MYFVELDPKSLRPAMKSIILALLPGLEEETSEDFARTLKLVEKFKTAIRTPDSKELTS THATGDEFFWQCFFLASITSQSRRPGALAYLVRNLPKLGQHIVQGESAGKSKAEHAAE DQLFEDLSSLVTSPEPGLLLRCFTAGLGDEQLLIQRGFLDLLVTHLPLHSKVLQNRVK PEDLELLLKAAAGVVTRRDMSLNRRLWAWFLGPEPAGHEHEAGVESPTSPIDHHQGYF TSKTSYFEDNGLQALTQALLSMIRTASDTNPTERARPYRICLSLMDRWEIGGLVVPEI FLPIIESVRDFKGRAASKNDFNEVLRSASVFFDGVESGLIYGELVSLIAQVVGPGDAQ YAQRIDKISLVQFIMNHFNIREEEMVTIHAPLAALSILAMLEDTKERGHQLPTSAGTG DVALSDQVLSIALGLLDMVPDRAFPAKSDGRDAMKSSENSAMASMSNNDLLKRIRNFY VSDQGNLEASSLPFSARNVGALILKKACNLVCNCLVAKEPSQALTVRTRVLALVLSKV PNAYSLDTNRLLSNMQASLSSVDLPFVSFKAMLLLSTQLYGSSRIPPSDLSDLVEPLV RHAWGFLSLSEPKYHVETVRCLWQLQSALGPQNRDIESAIAALMLKNDTTGSFPIRPA DTGRSFSILWSHTLQDGNVDRRTPKTPMGEGAPQFRLAGQDYYEVMLTRPLFLMLDSL LDDRTQLFMAVKTWLNSMIGIERLFLVFVTKLSQLRFLRTLPKTSTPDSAKQSIAFSS DDDLDMALYYLRTLSNMFRWAPDAFFGVLATRLIEEPETHLREITGAEGDISLQEFFL QVCMRCIAGNRFPEEKRTQLRVAQLHRCALTLLHQILLNPYAESLSRLHLEDVLIARL HQSLDGPDPYIQVLLLDVVFASLKLRDVSPNELPSSPTGEKRALSYPTGNRRLSAATT MENVQDVTAPPQTLLKCIQAGLSSPSSRPVLDSWVGFLTECLPLYYNTIFQVLIPLVE TLCEQVRNTFEDLQRTFQHDAKTTVGLNAPESTLISLLNALEQVLAKAHDQLLAEEAR AQVIKSPDQQSQGFFGNMVSGVFNSETPQSRSATANDRLTVLLAFQDAVRICFRIWSW GQGSEAASLDNNSSLSFNYTSLRMRNRARRLLEHVFAAEALECLETVVDIWRASLSSP DTTKHSDVFNLLPALDGSRPRHTIPAIFNAIYSRTNPNALDPSRKSTLTISLQDTDLV IFLVDYARSLEDDAMDEIWQDCMAFLKDLLGNPFPHRQTLPSLLEFAAILGEKVDNTN FGEQRKMRRELGDLFLRLLTALFTTRPISFTETSSSSQPEKVKPDDRESPSPAERADD VVGILSTIVPNLPKILVESDRVLTAAAAISTNVIGPSIRSKGFPETVSKGTLSLMQEL TRLPNNQKTWKKDIGDAFNDARFFASPVSLVQSDWLPLLKQWTVADKERMTEILSRIT PPTTAGIVFGVGATSARLEADRKTQLNLRRAAALILASPMDTFVTDLQVITERLVELL GATSTSSPSSTTRAEIYMVVRALVLRTSAIHLSPLWPITNAEIHAALSSVVATDNSIP SDTYNNTSILQACKLLDLLICTAPDDFQLHEWLFITDTIDAIYRSPAYQPFALVDELS EELGNSSLAKNSALQTESAAIMAASGSCRRPLLGPGGIPDELSTDRKDELIAKILRPF FAQLSIFAFESTYAMGAVDEEVAVLGLLRDIFDEKSIVKAL PFICI_02067 MQRHWQGQSSNPLSAIPEQTCVLGDFERDELPGLHPAVQQEFSR LEEESKQDLMKMTVWRDYARWKNSWLANIHYQLDASKTQEQCLADERYWRDDFEKNPQ QYPALHPMAQAVYNDTGEETKCSPEYGPVLRGYVVAYNNMLKCFYTQPQPAGLQGNDD DKGKQVERLPEMSEVVPIYPRWQR PFICI_02068 MNSVKKLPLTDECHHWRRLGEVPWDLQKYWQQRHTIFPNYNEGI YMTDSAWYGVTPEPVATQIAWELPGTVSDDKTTIIDLFAGVGSNAIAFALSERWDKVI ACEKDPATLACAQHHAQLCGVGDSITWVNGDSFEYLKLLNSSPAILGDDIRVDVEKTV LFGSPPWGGPGYVTDEVFDLSTMEPYNLETMHEAYRNMDHALYLPRTSDLRQIAQLVP DGTKIEVVQYCVQGASKALVAYLPAQPSERTMMGEDTTMDIEA PFICI_02069 MFNALNRFISRLDGDPQQQRRDHQQGGYGFQVLRNTNLELGIEP WFDFIVGINGREIDNPDPRLFAQEVRNCAGGTVALGLWSAKGQRTRVLHLPVPIDNPS LGLSLQFTPLAVVSNIWHVLDVPSNSPADNAGLLPYSDYILGTPDGVLHGEGGLGELV EDYIGRPLRLWVYNNEYNVTRELEIVPSRDWGGEGALGCVLGYGALHRLPAPLDEPVD QPGDTMFDGGFNETAGAGAGADQFTTFGAPPQDNTYGNNTFSPVQGAPSADFLVPAQM AASPVAAAGSVPTRSAKKKDRHGHSPNRLMDDYFAEEEKRSRDLDGTSSKAKATPPPP PPKSSGAGPPPMGGPPKASPQPAEGGDDID PFICI_02070 MAKKATATGLSSSQQSSGTTTPASISAGAAPLAATPKKSAATAS TTSDTDANRWDQIATNFFDAYQKDTPQRTKLIDAFMAFLVVVGALQFLYCVLAGNYPF NAFLSGFGATVGQFVLTASLRIQTDEGNKSEFTSISHERAFADFIVGSLILHFFVINF IN PFICI_02071 MGLENTAYALGGLTATGGTIGFLRTGSVPSVAAGWTVGLLYALG GYRLQNFQSFGLELALLASVVLGGSSIPRALRLKKPVPVVLSFVSLFGAFVFGDAFRK TL PFICI_02072 MHPHLHTKDNIGDLETNISASHVACEEVMTALEECHARGFLWKS LGMCSDAKQQVNMCLRAERLKRTAANREAAKVKRDKIKKVWAEIDENT PFICI_02073 MASKNPSPKQQQQLQTQYGNYKNTLQQLAQKIGDVEQEAEEHKL VLETLSPLPGDRKCFRMINGVLVERTVEDVVPALKTNAEGLKQVLDELVKQYKTKQDD LEKWKKKNNVQIVQS PFICI_02074 MSDPPQSPSKEVEAGVQSPDDEAQMNDPQDPHSGLGYEFEVKEQ DRWLPIANGEQDSLLHLPSDLRALFVWCRCLAVSYGVPDDCCGQPRISTLSMSNDSSS QSPEAPRATSKAEKYDVYDANIRNFAPVARIMKLALPENAKIAKEAKECMQECVSEFI SFITSEASEKCHQEKRKTVNGEDILFAMTSLGFENYSEALKIYLSKYREQSQSNRGEN QQNRPGSQGYGAGAPGGSAAAGAPGFQGAESVEGGDGYMYSQGHNGSAGEGY PFICI_02075 MQAAAATAATVAPLSRQSFKLSTGPLKPILKTSPSFNGKRKAAD DDSLHSDSTMDGSQSPRKRQRVEFNMNLEIHEVGTRSVDEVKKEIQDALDGHSRGDDE EYDILKETLSGKQRLYEEEYEDDDSDPSLPEKRRQELKVYMVALASFIPHLGRSANGL VKEVLKCQWLGRDEQFVRVYTQFLGALISGQGSYLEQVLLSLVERFSDSRSSAWAVDG FAPVAKERVQERLHQALQYLLRVFPAAQSVLGRVIAKKYPFETESTRIHLAYVENLLR LREYAPQLKTEIMDLIISHLVQTDVHMQTDLDDLDDNLTAMVALALKSSQAAAELQGD DGDESDAESVVSDEDEDEYAKVNAVKESIEKMDFIMHLLFRLNEPQYADPESDEALES FSDLLAEFSNIILPTYKSRHTQFLVFHIAQKSERLMDAFCGTCINIAFESQRPLVLRQ AACAYLASFVARGAHVPGHIVRTIFEVLGYHLDQMRTLYEASCRGPDVRRYAAFYSLT QALMYIFCFRWRDLVASVPEDVDEDDPSSYLDQDIEWIADVKDILRRNIYSRLNPLKV CSPGIVDQFALLAHRFRFMYIYPLIEANKRLRLSQFVSTAYANGGALRESGLDLSDES WQRLDSFFPFDPYQLPTSKRWVDSDYLQWQDIPGLNPDDSEDESDEEEEEVLDEELEE DTATDDETGADN PFICI_02076 MEVSADLPHDTPPGDGADPGGLEDRPSFEDFWKRNKEAHQAKTV TFLPSAGVDSTQNEVTDNAKSRAQARRAQVRKAQKQHRQRKANYTKELEMDIARLRDM IEQTETDANALRAENETMRKQLSKNAVASGMMNLGAPVPRAPVRLPAPTISPEILPPD PVSKAEANTGTDEPEYILRMDMSDSTPTFRVSRSPSPSLGNRTQDMFSPTFSAMEMTP TMTVATASVSDFGASPGPVSSALTEEQTELVINFILSLEHICWNHFDPSHYKHLSYDP MDAENGHALMASSIALQHATPDIFTRIDAVNAVIKSNPSCNPDTHDIEWQSVGLSLDS LYGLAMTLNPPDRELAPVQAWFEIVQIYGPDVALDRQIIEGLTRGFRGMVRCLDFGAV IQREVFDNIVDTVVKSRFEGLTLGVSLGTNLSLEPDIEDAERIEEVT PFICI_02077 MASIDLSKSFREYLPDLNTPRFQRIAECDAYSHAAELIDHQRPP WLYGLVSLWFDLLKEPYRGVTTDGNPRKDLFKLRDEGVPIADIVKVTENVIAQLNDQQ KAKTLLHVDSEKWRTWSNPEFLLSDKGIRLDEITPELREAVLKVLEATLSPEGYEKAL GAMRVNHFLGELVKAPKVMNEYSYNFCLFGKPSVDAPWGYSFYGHHLCLSVFFYGPQI IISPWFTGAEPNLIDEGPYKGTRILYEEDKLGLQLMQSLSPELQKRAQTYEKMKDDKM PKGRWNHDDQRHLLGAYRDNRIVPYEGIVVADLSAQQQDLVLGILEQYLLYLPAQSRK LKLQDCKDWFHETYFSWIGGFADQDSFYFRIQSPVIVVEFDHHSGVFLSNTEPKRFHI HTLLRTPNKGDYGMALRELRRDGADITKGEINQNWVWEG PFICI_02078 MRLSILLALTSSVVASCGGTAARTDRAGAVNPGGPKIIMDNDWN SGAATQFLMALDYGWDVLGLIGDTSNSWALQCSMHALALLEIGNLSCIPVHKGSDYPL LMTPKLMQSYETIMGPLAWEGVFKPQNDTAEALGSDPTSGDPRRIVKEAFIEGYPNTT LAGDLAAAWMVEQVRKYPGEVTIFSAGALTNIAMAVRMDSEFAKNTKALWIMGGFADT NLLMTSGSTLQADINTDFNFKADPEATKIALTADFPNITLVANAANALDLFPTPEYIA EIAEVVNPCTVVNSAAAYPDLPFWDEATLLTLLEPDSVLNQTSFYVNVDTSYYSPTYG NIWAYQEALVPSQQDLREVNFVYSINGTTFQSALKRALQYPKSCA PFICI_02079 MDPLSAFALAGTVYTFIDAGITATKFAKELSGFWKSSRDTVKSL EHLTITTQNLEELSSKLRHANGPRYMMSIATECTTSCQELLDLLDKLKVKDKGSKTEH LLAMLSAYRKKDEISLIEDKLGKLRAQMTLDLLRALNDGQSDIKGKLDEIQNDIVKLS ETRHGSFRARRAGLLDLLQAYKQNQDQDHSAIINELRNFGSEVYHERVFNSILKTLRF DSMYLREDNTSEAGEGTFRWLFDKQERFKATNPRSNNMPPQKFQETRDAFMMWLESGE GVFHICGKAGSGKSTLMKLICNDKQTRNALNAWAEGDTLLWANFFFFAPGEKEVKSLE GLYRFVLFTILNEHRHLIRKIYPDEFPEEFKEFRHDRQLAYELADLVRPPKLREAFQS LIDVTVADKHKMCIFIDGLDEYEGSYTDYWQLADNLRNWAHQSCARVKFCVSSRPEVQ FESTFSSKNAPTIRQIHLHEFTSGDIEKYCRDQFTKTSNSKMIPNYDNFIDHIVTKAQ GVFLWAVLVTKELLFETRITHSAKDLWRKLDELPDELHELYQKLFDSMGEAQRRRCCR ILLTVLTNPFKDPINAQSLNWIYDEEDLDQALLRQLQCSETHGPEQHVNRVVDHLNEW TRGFVEAIAWDQSTETSTSLTNLPHFHTRVRLFHKTVKDYMDSSKLSMLQSEFPGFDV VKTHVQLRLLELGIVNAQYKENHVF PFICI_02080 MWFSRSILLPASVMLSQLVASQAVSSGSSNVTAMIQLLESSVPE CAFNCFVSVVPQSTCDLTDTACICSNQDLATSLAVCMAQAGCTVIEQLQTQRFEKEMC GAEVRSQRTYILATTWTLYAIALLFVVMRLVARAPYLSKKSWDDWTIIACLLALTPAH VIAYLMVESGLGRDIWMLSASQITNTLLYFFVEEYLYTFLVVFTKISILCLYIRVFAV YRFRLLCYILIGFTLAFGISCWVSTGFNCVPITFMWQGWDGQHSGTCMDTSKQAFALA GVNMGLDILVFLLPIPQLWALQMSLKRKLGVILMFTVGLFVTICSIIRLQTIMEFGNS ADPTYDYSALAVWSLVEIDVGVICASLPGVAALLRRVFPRLFTTRGSSDPSAQKESTG NSGGSDFRKQGFARMHERRNNISKTVSITVDSKSDEFELVDRYSRGTSSPDLESAYSV QPLRTGYKA PFICI_02081 MSVLDTTKDLAALFAKQVQLTPDGIALEDENRSLTYAELDKETS ALAHELRIRGVTRDKLVGILLGRSADYVIACLAALRAGGAFLVLELAYPPGLLSDVIE DAGPTVIITHRAHVGKVSGSSNLIILDEWVKEANGAPATNGTTETLSPLPADDDLERL AFVSYSSGTTGRPKGIANPHSAPVRSYDLRFRLSDLQPGDRVACNVFFVWEILRPLIR GATVFAVPDEASYDPVALVELLSARQITETLMTPTLLATILARNTKLGSLKSLRTLWL NGEVVTTDLARRAIKALPETTRLLNCYSASETHEIACGVIKDMISEDASVCPVGPPID PEHTYILDNGGKPVEPGFSGELFVGGGLLARGYLNLPEVTAKAFVPDPFNPGQKMYRT GDLARILPSGLLEILGRVGGMIKVRGYTVQPGAVEHTIMKQLAVSHCAVVAHGDGLER QLVAYFVRDEDPKGRGEFTVEESGYSPSARKVLTSHLAHYMIPALWCELREIPTHEVS GKVDLKALPTPSGTRTPNGAGGRDDGRNTEVKIETIAKLWAASLNLSARSVTEEHDFF DLGGHSLALADLASRLTEAFGFPVPLSRLAGNPTLEGHAEAVRAARDGHTAAVQADLP KVLLADSTLPDDIKGTGAKMCPLSEAGTVLLTGVTGYLGAFLLTSILANSTARVICLV RFTHPSGEARGEGMSRLRKNLIDLGIWDQTILDRVEVLPGNLGRKRLGLMPDAFEELA SKVDVIIHAGATVNLVYPYAAMRSANVGGTREILRLASRSGATVHHISTNGVLPPSSE GWSEDTMLSIEEVPEKLLDGYGQTKWVAEQLVVEAGRRGLPARIYRPGTISGHSVLGS TNTYDLLTALFVESIHLGYAPDIKGWLAEMTPVDFVSKAIVTLANHIEVDQPIFHIGD PKPVTAAALYEDLAELGYTTRSLDWDNWVTLWNEKRGASKSGDDSFTAGILRRGMPTV DFLRAVTVLNDAKTEPALSLYELDRPKIDSKLLEIYTRDWYARGWLPKPPVRESSGTA TPKKTGPLAGRVAVVTGASSGIGAAVASALSREGAHVILAARRTEALEKLKTKLSATP GKVIVHKTDVTNKADVESLFQAANSISGSGVDILVSCAGVMYFTMMANVQTDEWERTV DVNCKGLLHCLSASVPDMLKRGSGHIVAISSDAGRKVFPGLGVYSASKFFVEATLQSL RLETAGTGLRVTSIQPGNTATELLGMSTDAEAIKKFGEPSGAKVLDAEDVAEAIVYAL RQPEHVAVNEILIEPRDEPI PFICI_02082 MTIHSDARTTLTTVPTPPVTSSPRLPPSAAGSASTVSIGRAAEQ ESAVMAKLGGDVFTATFGHSVSSEDLDDFLRTTYSTEAVLAEFSDPSKSYLVARNGEG SVVGIAQLQHGKTHSSVDGAENEIAVMQKVYVDSSMHGQGVGSKLIQAIEDLAREKGV KKLWLTVWEENVKAQRLYQRLGYERTGEIDFATGTCIQTDYVLTKRL PFICI_02083 MPGFGQSFDPTVDPPNISWYINLYLEAFKPLGLFETKCHVVGHH SGAVIGVELAVLHPEVVKTLTLCGPVCMDKEEREKMRAKVTIPFNKPAEDGSHLIKTW EYLQHHGGIPSHDLDILQQETLDHSRAWKGRLQIYNCVWDHDGPVMFKKISSPTLALC ARDDVLWDAFDAVKIIRPDIQTAEVTGANFGPSRGSESMVKVLTPFLERHETK PFICI_02084 MPQEEVKLSALVDSIHKRGGGLALPNKPIDISNVRLLLRSFSAY FESQSETVKTFRIRVRIIFESVRWFYEFRNADWFWDRLREQLQLDAGMNSERLQQTVE AICDARKAWKQIHRHSEPKIHNNARAFIKTIDKVLKKEARRSKSLRSSEQDSKDAWNA RASTWPSRFQEFVVSLGGKKPEQPKTSKERSRLVVANGTTTQHDTNIPHSDTHATSRS QSPAAIRSSCSPQGMCPEDTDIQTAPSLPQHPQIIPPGLWYVDLGRNLHGHKPSQSIE ASTQNDDDTAIVSAPLEKGSFSDINNDSASLIKSQSDSSSRRETRSSSNVEEHYQAKT SSHGLERHTTDQHDVTKLNENRNQKRQASPLPALKARKKSKPDVGARRTTQRQSVAPA EPVEDCMAESTHRTTSSGTPEIGSNPEQPLESAVGGPLAAAKNVTYGVNDQLTSNADA TAPKDSSLMTEAPGPVAVESSIMELLAGYQTKTDAYDFKASLEKRLLQLECQSQRLDK LEALVNKDKGPESSDTTGDNGLHANDRKEKSRNDQVQASANCELGPFLQHIGNQIKNI RHQLRTKIRQEDETTEGWKRMACLGEVAWALDTAIQHAKHGARAVQEPFVQEGSD PFICI_02085 MENNDNQAEMAEFELTPPPNGGPSGGPPCATMEELMESLNKHAA QHGYALIRRNASNYREGKPTSYGVYCDRGKPRASKSAGIRSRSSRLIGCEFKGKIRAL QTDGWKWTFAIVKSAHNHPPSSNGTAHPMLRRFTKEQKRYIGALARHGIRPQAIQSSL EQDFPGHPFVIKDINNLIASQRANEKQSSSAAATAQTAPVAPVAPVAPMAPIMENEQV DDDLFRLL PFICI_02086 MATRVLAAWEECDKTDTVFILICTVICWTIVPTVGIAYGGYSWK RNGLASVMPAVLTIATCSIQWFVIGYTLAYGEGGSVFGDFKYAFHRGVLSEPVGTIPA ILFSEFQLVFEATVCAIAVGGFCERGRLLPVIPFIILWSTLIYCPLAHMVWGGGFLGE DLGVLDFAGGTPVHVCSGATATAVSLYLSYPIFRSRRSEERTPTHIRLHRPGNSFCQL IALIIIWGSWLAFDAGTTLALNFQSVMALCVTNLCASSGALSWVCMTYFETGKWSLDS TFMGAISGLVMITPSAGFIDMPTAFFFGILGAVVCRQALRIKFTDFARRWRWVDNGDT FATHCIGGVLATICTGLFAKKEVAAYGGAIVDGGVFFDGNVRQLWVQIVEAIVGFSWS FIGSFVIIALIDCVPGLEVLATDKEIMAGLDTFQTEEALIETVFSDEVDYSPMNGGNI EL PFICI_02087 MASASLQAVEAIGQELALVYSSPDLDITITNGVILSLFCMGSSF CWADPQRLGTQFLCEVRRLLGHLQDRYDALTTSTPRLFDFFRGCLKYEEMLHHVAGDK KAQSSPPPVSFNVLEPISTSPHPWTGVSPEILSLFGESISLCRKECARRQQPNSMTQK SLQKALHAINEAKMLEETLLAIAPLSLQPKFDEFVSLERWLHLRDTTEAYRLSSLLQL YQTFPDLIAWRMPSQVGPDGIVPHNLWLSPLALHLLNLLRRIPPTSDLRCIQPLLYLS AGSCLRNDVVATPSFSIDEPLDETSSILPDFMTEHTSSEFVGQSTEVPSITLEALNIG SARKLVVDRLCQLEQVLPAKPIGVAKSLMAVTWETYDNEILEAKKTHWLDIMASTGLQ TLFG PFICI_02088 MDDTEKNVVITDSSTVPSDKPQPDDENIYIDPVKERQLLWKCDL HLTSLLTLSFLSAYLDRSNIGNAAVAGMLPDLGMSSQDLANAVLMFYVTYVPFELPGS LLVKKIRPSRLLPIFMLGWSLTCLGTGFMKTVPQFYASRLLIGFFEAGMYPALAITLT TFYTPQEQARRFAYLYLSVGLSGGFGGLFAYALLKLDGVRGIAGWRWLFIVEGILSVC IAFLLWLGMPDSFENAKFLNEEDKALMRLRTIKHDRYMRLNESFDKKEVFKAFKDKKL WLGATIQFLGDILSFGISTFLPSLVKSFKFDSVLTQLLIVPVYFWAVAVYIAVSVWSD KVQKRAIFMIPGALAVIVGYAILCSVPMKLKGVLYFACFIIVPGVYCMLGLNYVWMLN SHAGYVKRATAIGLNMTIGNCAGLVIGQIFKNTTSEGRYLVGEATSLSVSAVCVFLIT GLYFYKRRQNRIRDGLTYDERQLWIDQGRTGDAHPDFRYIL PFICI_02089 MANLSFNTEFLEATKASAGMSLPVMDDPKAHREMHDTFILEKVK AIPHIPTIKERKHEIVSIDGTKIAVHRFEPSIVHEPLGLQPAAVYVHGGGLIAGSIAT CRHTIEKIVQASGIQIFAVEYRLAPEHPFPAAVEDVSATIQWLQSNATSLHVDPARIG LFGSSAGGGIAAGAALLARDKPFDYPIARLILQYPMLDDRTTFGDDHALTQFVNWNVR LNDMGWRAYLGGRDRNQRDDKIPIYAAPGRAQSLEGLPPTYVEVGGLDLFRDETIAFV AKLARANIDVEFHLYPGVTHGFESIAPDIRLSKESDGNRERILKSL PFICI_02090 MYRKSMAEETFVRYASPNERRTIIRENLGYYNSVSVGAVYDFCN QQIDLGNPCSFYGALQSCIQEHPSLSVVIKAQETDQPYCERANTADLEAHVIIGDELR VAGPNSLDETRALEAVLTSCVDASWLQESDKPPWRILVYPLVLSPTQKSPRCFIAFSY SHGLGDGMSGIAFHRTFLKAMQPMKHTSAVSKIFKIPMQTTTPAFDTAERLPISWGYL LRPILAVMLPYSIANLFGFRAAASTVDEGTWLGQRIFFEPEHSSTQIKLLEIDNSTLW KVLSTCKRNGTKFTGLLHQVVIRALSKTIPESEATNFAGVTAVNMRASIDVSQNEMGL YVTAHYAQLPREHGSGDLADISWRAARSMTEEFAESAVNLTNQPIGLLRYAPSRLI PFICI_02091 MGIRTANPSELITIDCNYLSRIQIRQETLRDHADRVLGCLPTGV APLYEVYEYLLNDYLPARYPSMFTKEGKKFLNQVTGASLPLEPPSDPLEALRLLGQTI EDDLFLLQQETEGHRCVGGMCTSPSGFDPSEKIGKLMKDIHEPVPAYEKIGPSMERYF SRVEVGKNAVRTNWSITTSPELLNLSTNHVKEGDVVEEDIDVDISQARLRVELQTLSR LPRTRALLFSFKTYTYPLADIKSEGLGPQLAEAIEGLKTGNAEGMWTYKGGVRWGKSV CEYLRA PFICI_02092 MSPKENKAPRAKADTAGTVENIRLVRTGVLCNGELATYSKAKSH NPRNNAPNLLPNDIDEVSDALFGLNDLQLRRHAPVHPLSLAYVLQSLLHAAPESVQAK VIIPGPTLSDELFGNTSQEDFEIHISKKSRTAGSQKAKDFWESVRQKEFIFWPVQSDA GVWSTLVLHLCISDEGIDYDTVTDFAVVDASRSAEGQERVSRVASRVGQLFGCGDVNW RSARQHEIWVPPQLEDWEAGIRCFELIRQLLCRVTDGFCNDRAFDWDRDFGPPTSGWL NVDFIRHEMIGMAQERCNTILNYSCRYALEPILEIRLEEDINLPPGCLAPIDDVKHAY IPGTEVAVTYDDQNPENDSEDNEMLSEEMSLNRADTNSARQPAEAAELSPSVEESQAK RIKMDQ PFICI_02093 MIGAVKDQVHDKESTRYHPHDIEPWTPHSFWPVPEATEDSVLLL WATPEGPFPPMMDNAFFTTILRYISDITEKKVKMDIPQIMINQHESESCVIMFPGAWW LGPLRWWIPWKVQAFLSLLAAWQGKHGLMKEYVAPEDWRAYMEGKKLS PFICI_02094 MATFVKVPRVFLLAGFILIFLFLSTNRFYYNDENAYPLPPPIDH STPNERVAAHAAATTPEESKKIIVEKPFAAGGYGGGSGSPIVASEPATGATSADSAAV VEGVGAPGASTTPGPQKQQQDINNNNAHNQHQSPAAALGPCEDLKLLRSVHSQQQLTE KVRYQRICLEPIFSADVDRHAITSLPTSLFGSEATVNINECESAQVPECTRVQLKVPE PYDKHHDVSHLVFGVATDYQRLQESIDAFAHWLSRPGGRGAKLVALLVDYSSYKDVEI EALLEEFRDADINVQLVRPLRETYTVSHSHFTVLAQMLESNPEAQWYGLLDDDTFFPR GLKPLSDALAKLDHTQDQYVGALSEDFEAVRTFGFMAFGGAGVYLSAPLAKTLGNHIH ECISGATMAEGDIIIRDCVYSNSKAKLTTLPGLFQQDMKDDASGFFESGVEAINFHHW KSWFNAPVVDMAAAALYCGDCFLQRWQFEDDTIFTNGYSIVKYLYDVRNIDLNQMEGT WTNAGRSFDFSIGPLRRKLVRDEKKSYTLKAADFADGGNLHQLYVWDGNPATGEADGV IEVVWQKRR PFICI_02095 MITFEHQDDGSDVAEPALSTIQYQEHVAPQWPIAFGCMPRGTYL DPTDQEQFHSLFIPARQWSAYVSYQILKLQRQLRDTFRMGPHNEKWLQEHESIRQQID HLLDGMQQALQHTRMLRDAMMRHDYHSRLFALNTRIQEVPLQAMQENLELDSPVWRQE RWPLQERGRRPAESRQPSSTQMCQTRQRRKQSPLLVIGQDPEGHGEGSQNKTQHLRRQ NDGSDPTESYGQLALRKVQLRRAEWERSRQSHLMESTGSEHQGKKKPKKGKFKRERQA AEGEVRRLRQEETGDLSQRSGREIGNQARE PFICI_02096 MPERIIPLAQLVMLGCDAMIIIQVERARRPSEDNKGISINIGAV FLASAALLSFMAFCASFDPINYRWNLFLTSVSVGDLIWDSIAMSLALIAGVYLLRHVD SATVALFAMSTTVLVHMEKQVLDGLLIEAWSNSWGLLTGLVVFIGAGALFRRPRTSFM APCPTKEGPSWKSKAGGYTLLVFLLVFVQTIFLNSGNLRKSSISEAITRSQLQSEAWI AGAHKSKTLDEAVREYSRRYRMPPPPDFDKWYEYAVSVDSPIIDDFTQIHNDLLPYWG ISPQLLRQRTTHLLTHPKSSFGGIIIEGGSIQISPHIQGTHRWMMEVMQTMIEPFAQW LPDMQLAFNLDDECRVSIPYAQSTTLKSEGLKSQNRLASQVELHGFSSSQHPPWERDY LAIQDEDELEALWERDSPWFENWSKSPIFYEYISSTCPSDALVNKMHWWNRKAICVRC SAPHMADGVVSNWSLSGDLCHQPDLAYLHGFLISPAAMAATHSLFPVFSQSRMHNFAD ILYPNPWNFGDKVQYDDEKGIPWSQKLNGLYWRGASSDGFAAHGAWQSFTRARFVHLA QRLNAFFSTSVLDFPHASGAGFQSSSDNDAPIAPNVSFVGQFERCDERDCAAEHTTFY RNAYSDPAPSVDFQEHWKFRHLVDLDGAAFSGRFIPFLKSASLPYRAALFRTWWEERV HPWRHFVPVDVRLNELWNVVAYLSGGGKKHAEVIAREGGEWAKKALRKEDMRVYMFRL LLEWGRLIDDNREGLGFVAQS PFICI_02097 MSSFSPTQIFEEGTTEEKGENARLSAFVGAIAVGDLVKSTLGPK GMDKILQSASTAEIMVTNDGATILKSISLDNAAAKVLVNISKVQDDEVGDGTTSVAVL AAELLREAEKLVDKKIHPQTIIEGYRIASQAALQALEESAVDHSKNPEAFKKDLLAIA RTTLSSKVLAQDRDLFAKLAVDAVLRLKGSSDLSHIQIIKKAGGKLSDSYLDEGFILD KKIGVNQPKRLEKAKILVANTSMDTDKVKIFGARVKVSSTSKLADLEKAEKEKMKAKV DKIKAHGINCFINRQLIYNWPEQLFTDAGIMSIEHADFDGIERLALVTGGEITSTFDH PDQVKLGHCDVIEEVIIGEDTLIKFSGVAAGQACTIVLRGATDQLLDEADRSLHDALA VLSQTVKEPKTTLGGGCAEMLMAKAVEGAATRVEGKKQMAVASFAVALRQLPTILADN AGLDSSDLVARLRKAIYDGMSTYGLDLMTPGGGIADMRDLGVIEAHKLKKAVVSSASE AAELLLRVDDIIRAAPRRRERQ PFICI_02098 MAPSFDTLRDADLDDDYDESEIDISDLRERFDVQLTQGYDTFIV IDGLPTVTEEQKPKLVKFLLKKLAAAGKTKEENVFMPMGDDGKSMNFAFVEFSSPAEA AAAARQLDQVPLDKKHTMRVNKMTDIERYGREGRIDDEYTPPKIEPFVEKEHLRSFVA DPSGRGRDQFAMHRGEAVGIFWNNEKDTPENVVDRQHWTESFIQWSTLGTYLTSVHQQ GVQLWGGESWSRQKRFAHPFVNLLTFSPNEKYLVTWSNRPISIPEEGHPQLSLDDDGK NYIVWEVETGKPLRSFANLDTPAPSADGAVKAPPKFSWPVFKWSADDKYVARLIPGQS ISVYELPRMNLLDKTTIKIEGVVDFEWAPATPQREGNKQYEQLFCYWTPEMGSNPAKV GLMSIPSKQVVRSLNLFSVSDAKLHWQSDATYLAVKVDRHSKSKKSQATTLEIFRIKE KGVPVEVVDTIKDTVINFAWEPKGDRFVIITTTEPVGQTAVPPKTTASFFCPEKAKGN AIGNFKHLRTMDKKNHNSIFWSPKGRFVVVATIANQQSSDLDFYDLDFEGEKPENEKD LTANLQHMNTADHYGITDLQWDPSGRYVASWASVWKHTMENGYHVYDFKGAVLREEPV DKFKQWAWRPRPATLLTKEEQKHIRKNLREYSKVFDVEDAERVAGADQAVIDERRRIL SEWLAWRESVEDELRFDRIDAGLPETEEEAAPKLEDGEGEVIEEIIEEVLEETEEIVS PFICI_02099 MQSPFRAKGTEQNQSHRSADTRNSEGKKHEATSQEYKSSDRWAR GSKNTEQIPRGMRESNIATSNSKAHPEPSGTNGRGHTHGKDRSSHHRESKTHDREGQK SRGAVSRRPHGREDEDAKHQSRDAKARDGGESKNRESRSRRPREGNARDRSQRDAGST SRVPPLTRRPIWGERGEDTCAPNHKILRDKAPDHTDAPWYVRMWIAAYMRYRGLDDNL AAGVHYTGAEFYELSLEDVVELFIHKCGVKDKEAQILGRDVWECVHVSFKAFGAFLAF TAKHNPAKLSAQIKQPSTSDLTSLQRYANFVGEDVQRLHDLVAKDSGVFTRILSIFFW ARAFIKMIAMTYVGIIFAVIVIGCISVFVFESEKAKKAQV PFICI_02100 MSRPSGFRGSRSRSMPARLLQDDPQHSNPFGTQPRHPRATPTRY RRPIDRYVPPPDQRRRVDQRSPRGSRYFRAQSQYKQQASTPSHKSQHSTISVQNNVQS TVPRPGGYHDREHDRRLVTPRPVLNATDSQVLAPVAAQSRDEPPTTISPKAGSSRKPI LIQSTPSPEPELYQRSLHLSSNSAGQAHADVESVAQQVTEESCDELSTPGPQLPASTR YHVRIDRDNQRRLLDICSRFEEDYLVRDDDELSPHKRFWEQVMGTYNHESPQPHFHHW SHVRSDVMKRISKRYNLLLQNKLKPSKKALRKSKDAWARVVAHSKIHPALGRVWGLFW SSFGEKTEAAMHHVVAERLRNNNALTLDGFEKLMAHLKQRVQEDVDGDREKIDKDIKA RRREQKLVKRKAR PFICI_02101 MVVPSPGSPPGMTSSKSSKTSSLQSFGSDDDSSVLADAGHFEEI GLDDDTITIDNAHSRDISQAKPALNPYSNSFTSDLRAQSARHLSSKTHTAQPTGARDA SRPASQRNITSTQKTRANFPALSTQFRDTSTQGLSNIGPQQRSHPLPVRSLSARPASA VGSNRRHRSPSPNLSLRSLSPQNPGLSLKPRRSSWQSAVERKSVIELEQECDDDEDDN IPEGVILDNVPISPRPAVERPASRPVSASTSPNRQPKERVRSVGNGTPPVAVAQGSLR SPTWRSESSSRESPKSPAMYPSPLKGRAKSWNSALSDLSAEAKALTEKLEEHYDELDK IDSADGTRRPSPKPRVKSALAELPPLRRTNIMIDPLPISKEKEAVLSRTRPSWLPPKD PAEEKRHIKEYQKMMANSVDAEQRRQAARKEKDKSKDARASDLTRVWEDDVLKRWNVA IRERRTRELWWKGVAPRARGNVWSQAIGNELGLTETSFQAALSRAQELEARAEQAKSS PEEQSKVDWLRAIKADASGRTWGDLNIFQVGGPLHQPLVDVLSAYAMYRSDIGYINGC NTIAAILLLNLPSATMAFIALANVLNRPLPLSFYASDSGGKASAYNLLLQTLKTKSPQ LHRHLTKAELALDPEDYLYNIFTGLFTQHLSLDECTRLWDVYVFEGDAVLVRAAVALL SQREPSLLAAHSRTAILKALAEGGQKVVQGREDEWMQLVREAGKA PFICI_02102 MNLCKDRHNDIIRSQRFQPRREIDDLGRVMHRWACIMIRRNAHK TLQSGGDDFWLSFGGMVRQALNQVIGSGIKTDAVISKIKAWATAEKDATERGHESAGS KRVTTPAVLGDKRKRNG PFICI_02103 MGSDHNRRGNHRVRGGYQPSRGPMEQQPFRRHEASPAPSSRYRG IPNLRPDRESLNFNRGTGQVNVRNAASGVLTETQPVSGGLRPVPIQRDTQHGHARLSA NDRGSQFGSQPIASGSPAKRGRFTARRGNAHVGVRQGTLPQTTTVTNAPVSESDGDES RPIVLESTPPLISDVASEVKNAGTNSISQGVASTPGKSSNVRANNHARPTITIQPKER EESSEEEPSPLVSRAPSKRKSINKSPTYVEPTDAWWLPAHRKIRLLEICISFESEYFL SNDSEMTPDQAF PFICI_02104 MSHSSAKIKSDLNSLARKPRKSTRSTRRLEDIDFREDSQVNYTD EDDCELDLKADDQTIRRSKNKKRSSSPDEEEILYETHTTTDDKDTILFETLRQNIDKL FDSDLTKLGPDKEFWEACCRQLRIDPAARPSFRQSILKKKPKTRIPDDALIQEWWLLW DCWNMEFLCHKIATSVSTRSTEENVPGADDPESHKMLVSNLWIAVQALKTKLREDHSS KDLNAIQSIEMDLSNSDEYHDVNGNESDSAQEGSVTSRLSPYQDKPSDLEGILTLVND NIEQRWVKGMLAKLGIPKEIPKLETFEVLLHQGKAVLEKLPQISSIELGTPASRTGCP NGSPVPGRSTQDSDKELHDFPSETPTSASPSASSSGKSTAAACNTPQSSSPPPTASPP KPFAQPSSQTFAQLMGLSKTSRPSPKAVARELQSRNLQSGTGHNKASKPMPKRSDDDN CSQDAADTPLERQRREETHPHLRAATENYQRNKKKVNRGDIVLKPSHLVPQTMWKPVT GTGAQKGKKVASNTSNMLSHHLPVHDQPHPTAASSSKAAVHKDNHGSDWDSNCTECIF PSDLYDEDDNSNENDTETQTDTIFATASPIKTDRAGSRGVSDDNIPEHDEDRYFATTP TPSSPPSSIPGASVNETTSRGARREKQKLKAMRERRKRNRRMTREGQSVQPSSPHAKP VRIEHVRSPTSVRTKQPQSSNNQSLANHVSSDIQNGSNDRSKKRKASSLVETIIPQET PDNSVQVAKKQKRTRSRKRAERKAMNEKLETLQRQIEGLEQKLSTFQQASL PFICI_02105 MASTATAPRQNPALRRTITSTTVESDVSAPSTAIASPVDSPRHS ASSTSLSSMASIGEKASYGKLLDTYGNDFAIPDYTIKDIHDAIPKHCFERSAVKGLYY VFRDIVLLGTTFYIFHNYVTVENIPQTPIRAALWGLYGIMQGLFATGIWVLAHECGHQ SFSTSKVLNDTVGWVLHSALLVPYFSWKISHGKHHKATGNMERDMVFVPRTREQQASR LGRLVHELGELGEETPIVTLIHLIGQQLIGWPNYLMANVTGHNFHERQREGRGKGKKN GFFNGVNHFNPSSPLFEAKDAKLIVLSDIGLAITISTLVYLCKTFGTNNMLVWYFMPY FWVNHWLVAITFLQHTDPTLPHYTPESWTYVRGAAATIDREFGFIGRQLLHGIIETHV LHHYVSTIPFYNADEATEAIKKVMGRHYRSDTKNGSLGFLQAMWRSARWCQWVEPSKD AEGEGKGVLFFRNRNGLGTKPVKMSS PFICI_02106 MASALVGKPRFLSIRVEILPPSAVKDKTAEPLNAFRLRLDPDSN FADLANVALSRYAKEHPECSIKPVAGGIFDEKYCSVDLEDGLDIIQQGEVLKLVLAPD ESNAITGNNNLTTAAPSRPSQTPAVKKIRPITPGLLQLQALTQRSSSFPSRSSGLGSS QPRSSPLDHVLPSRSQSRCVAIKTDRRPASKGKGGKQDPYELPSDTDDNRLQNAKKSK SGTVNACDRLAKSKSNHNKVTSTTIDLTAPTNMESMPRETNVIVIEDSSSSSTSPDLT DVPSTVGLSGRLAQGATKNPAHQPQSRPDKNGKRSNSHSINDHIKVVDADGDNVEETR GPESSPPYMVKNSQGYMPVFTRRELSGQRNTARRPSTSSTSTSKSVKSAGPLTLTPSR IAAATKNLHERFQREAKAREAAKQEAALQQAQIKEKQKREKAEARRNTPSAAIARIKS MTERKFGRKEPRKRIPDAFFHDPRHPDEDEEMDDAIRRQPHMPDSESAEDEREFSRSS TLQTAMPQLKAATREAYFKPINRLCPEKKTKPESQLSGGLAAAYKQRAHNAFEDLDES DAGNTKEPQINPTSFETADEITDEDIPGTPEGFRHWLKDYETNFTPHVGFCSVADGPY KGLIRSGPRKGKYLSGHGSPSNARIAEMEKTAVRREQLSNFWDSDSEVVEHDTISESD DEKLPNPVPQFMKSSVSSGSESTSVLIMRQLSQEVPPSNQELGILMSSSPSRSTSDSV RTPANHGSPRLDDGSATSTRAIVVSTPGVHIENHSSDIDLDVTPSFERALSQVETPST RSRSTQKTTAMSPAAVENARPATLGRIVVKVPQLSPEKQAQYQKVQENQSQHGRSPLK SFKEINRIHEDTDIASQDSGAAAIEQVLNASPSWLTNVTEIASKFPDADRRTSYRLRS HAATTPCRPTTETQRKCEVDLTKNQDPTYQPSDSHSASESSADEQRENSKSVVKKRAS ATSAKPIRALLFSHDALSRSSQGPNPTPIKHHKVNDNQLELIQSTPIPAPSIPDLLQR IKDTSLMKRSESVPHSPEEADLLIISQLENRSSKSTMKSDTASHASVADGPHGASNQT VMKCNKRMRKDDLDSIEKEHDSHQRKKQKKHGSSDSADRPQQLDNKDKTIRANKQRDK PRKRCMASNKALPHQDGGPNKVFDQSNASRVRSRKVLDKSEEQDNSTTHQLPAEPKPI DALVNAKTLRQRKQKRNENRKQKRTDQSHPFTLPAKSKSLDHTNVQIPKPAQERPVEV ISGKKDGSKKKQMKMAYIPRSAKKLPSPPITSRPSSAGSAF PFICI_02107 MASLLATSSDTLSPLPSSHRDGLIAVTVFGFLSFIATTTLFIYL TFKLIRWHLQKPAARIQTERPQVQTTDLSLGLSQSHFAQSKADTDAAAQPDVTVISTE RHVPHLNQFLLLVYNLLFAEMHQSTAFLLNVSWTTSNGVWVGTPACWAQGWFISTGDL AASVFITAIAVHTYLTVVKGYQPPQRLLLATIAFLWFFVYALGILGVLITNNGRDAGG LYVRAVAWCWMNVKYEKLRLWLHYFWIFLSLGLTSGIYILIFLSLRRDSTHRSSDNRE QGRRQPNNSTGRAAGSHPGFLVYPIIYALCTAPLALFRVATMSGRDVSVGMFCFAAAM IASNGWLDVLLFSWTRSSIIFAPSPDAMDTGLDTFAFMRTPHTRRFGNMVWVQGGSRG ESNDRGGGWWRIGGERTHQRAKSKSNRWPGGLGSVSQESLRGAANNEIQMDTVTSVVV EIDIANKEQAQSAHSSEKGFETSSTKYRLDS PFICI_02108 MLRRPPAEGRLVAEPRKAKVFLVLAVILLSWLSIAEAQQQQQSP KHEQLPVIADTLRDGGKSTEYHQRHDENEREKQRLMGDPANAHLAYESHDSSRLASSN FQESPLRAVSPGLVDGKHAVTTSYSSKNTNRQARSLDRQFPDPHDASALATVAPDYPV RAAKSPRQPSSILTGAGLSSPQSARSLERWEVEDYVLLATVDGHLRAVSKESGEERWH LVAEEPAVETIHHRPNDSFPGEHDRLPHDDYVWAIEPSHTGPVYVWMSSAHGSGLMSA GFSMKQLVDEFSPYAVQDPPVVYTGDKKTTMYILNAAKGQVLRWYGQGEGQVAPVATC FQPTGIVDADMEECAGTIALSRTEYTANIHHQDDGRLIATLRYREWGPNAYDNDLHRQ YSSTRDNRYLTSRHDGRLYALDDSADMQSISVSFPAPVARVFDVARPQDVPRGGNPEL VLLPQPPPPISEGDSARARGSSIYLNKTETGSWFALSGTLYPLVVDAPRAKASSKNGM DFDDENIIPDDVLIGTHSLGGLRGAEWAQGKSQWPSLPALPESEAEQPILLDNASVVP IRNDKSDAASMIKSVPKYVANSVVQLIKNPILYLIVASVVATWYKTRSTISLREHSYD KPDVAEIQSVEKLRIESDESPAEPTLPPPVLPATETSTDEVLNTNVLEVIPEADKATS TDVQEPGQAQDSTAAEAQIAVQRTTESPEKKKAHRGRRGGVKHKKKIHRDASVNQDTK RVTLDPVAQIDQMVEAQEPQLNPNIKSIGKADDIGVGGFEINGLKVDLDEQLGMGSNG TVVFPGTFHGREIAVKRMLHEFNDLATRETKLLLESDNHPNVIQYYAIHRDKTFLYIA LERCQASLADIIDKPHVFKELAHAGERDIPNVLLQIANGVSHLHGLRIVHRDLKPQNI LVTVTKDGRPRLVVSDFGLCKKLDGAQSSFGHTATRPAGTSGWRAPELLAEEDARENP NSMASMHSDSSTLVGAENAPNRRATRAIDIFSLGLVFFYVLTKGSHPFDCGDRYMREV NIRKGHINLQALEVLGDFAFEAEDLIRAMLSTDPRARPNTRSVMAHPFFWDSKKRLAF LCDVSDHFEKEQRDPPSDALIRLEQFAPRVCRGDFLKVLPKDFVESLGKQRKYTGTRL LDLLRALRNKWNHREDLSDALKKSVGTSHEDYLGYWTRRFPNLLVICCEVIWSLDLRD AVRFREYFEPMKP PFICI_02109 MSAPLSAEEERRYTEIIDGILATANLETISRKKIREGLEAALGG KDLRDQKTAIKLLIEERFDAISTDPETAPPAPDASASLASASPPKRQANGHDHDDLDA SLDMDGDEIRVSVQPPKKKQRKSEDTEDADAKLAAMLQAQENRMGRATRGGGPKSKAA KKKATPRKKSSNKIRPEDDSDISGSDASGPKRRKAGGGFQKPFNLSEALADVCGEPTL SRPQVVKKLWEHIKGNELQDPKDKRQILCDEKLQAVFKQSKVDMFQMNRLVGAHLYPV DEVQ PFICI_02110 MASASGAFRAATSEATGGGRGEAQGSGRGRNRGRGNQNNGRGGR SQRGRGKGAATATVSGPRAPQQTEQALAPSAQPAAAPNSISPAASAADADDDVEAEVC FICASPVIHQSVAPCNHRTCHICALRMRALYKNKECAHCRTAAPYVIFTDDATKRFED YSNGAPDITTTDDNIGIRYNKEDIVGDTVLLLRYNCPDTECDFAGLSWPDLHRHVRST HHKKMCDLCTRNKKVFTHEHELFAEKELERHMRHGDDRPGAVDQTGFKGHPLCAFCGQ RFYDGDKLYEHCRNKHERCFLCDREDPRQPHYYIDYNALEQHFKKDHYPCMDRECQEK KFVVFASEMDLKAHQLSEHGSSLSKDVRRDARLVDMAGFDFRTSYHQERRGGGGRGGA RGQGDSERERRGRGRDPNAEAIPASSAQPLRRDELAFQRQMAIHSSQSVSNRTFGGQL SQQSAATSTPSNAAPVSNRNRGTAASSSNPAPAAEMESLSLVDPDLTTEERARLMRHA AVIERASNLLQNDSSKIARFRTLISSYQNNKTSATTLVDSFFSLFAETSTTALGTLVR EVADLFEDKAKAETLRKAWNDWRAINEDYPTLPALSGMHGATTSSSGWAAAASTSATS STAAPAQRHTTRVLKLKSSTQQSQRSSPQSTSWAAASGSTRPLPAAASFPALPTARSG AASRTTTSSQWTPGNTSQPARTARPAGRDDNFPALPAAPKPTTTIFGYGTGAVRRDTG GRNTGFSWGAGPASTPQDNLTGNEEETDSGKGKKKGKQGRKQVLVQWG PFICI_02111 MTARLRSQLTPGPAAVPPSCQLARLVALEHRRARLRASCFVHPR HCTGLPPRPHAQVPPSCQLARLLRQRRPLTGSGQGVGGRPVDRSHSVGFNQVPFDAQL VALPSRSSHQGGPWCIGAIPLYRPGAAGFANGGVFTNRAKDRADAQRLVATRLLDRLH DPLGHISRLQRIHPRAR PFICI_02112 MDQRRWQKKAHTNWACRFARSDEQGGLGQIHVDSGRTHRPPTRR GWLDPQAAAGPRGPAKSRRRANWLPAGHHPPGPMPPAPGVEPAPARGYAVPGSGHPQA VDGRMSVRVAQFASDPAPRTATGFAALALLIHRRAEARGGRVWGVSRRGPRYIFFTHR PPTQHPEDHRPIFRLSMAHSPERRQAPPAPKR PFICI_02113 MADNDLQKESQSNHSADKHSYHTDLLGNTAMFKQNLVPRLAHDT ESQSPDLSANQKPEHLPNVPGQHDTYAAPDEMMGRDKLSRLVHSTDQAEGNLREPSAP GINSYSEETPPAQSDLDQPQQKDMMNSSTPGHVGPDVTQTVRTPSRDSFQKTRQDQKS SEAHVARKRQIEELDSIISSSRKKFKDAKDSAMQRLEDELNLVTGSCKDDECYNRMLQ SDQPAQQQLANDFRDSFIMLDDVKAGLMVMREARGGHGQPLTWLVRAPPSLQSSHT PFICI_02114 MGDSSNHMEWLRERFFQQLHLYPSNDGRYSRFKSICADLSIYER NLLLEEWGARLSDQQNQSDSDHSRWAHVNDLGWYQIFDDEGDEDFSRFKRDEEEEEEE EDFSHPEADSDMPEVDTENGANLTSVSEPIVPGDTAQDESKTLTEAGAGNNSEHQRQS PGLPVELTRPTRSDSQRAKGSS PFICI_02115 MESSGEPSEGINDAVDSFQNLQVTTSSNTMPTLQNNEGLRDLIL WLSYHIRVSEVPKGYVVANDLGLWTLFPDIMSDLTGVNETPDNARDYEWQQRDKDAVF DTTASQTIDYGFRAGTVPQLANAWQSIMCDHFEKHYNVLQDHAAWILIPRLLLTSPKT IKVNLHIPTQIKIRAAVPSELRAIEQIKVQRRGLLRPRSHFIDLSSTQNQSHTFNQLP SMTITQPEARNNTSGPQQEAISDPTLNESPSRENRRPSSIIHGPKSGGNTSAYEAMEL NTTRKRANEQNHGTLLECVLRQLSINEARWTEMSKDNVIIKFNNSLAKCHETWQSLML VSLAIKFPRQFNSLSTSEDEWVYALAEAVSENSFLDIAVRFRLAAVLGINESGAEHQD APDARTLEDKVLNNEDIIIGSDVIHAVNMARGNDDKVRIIEGLAQKALEEYRNRRDWI IFKKVKRDNT PFICI_02116 MDAEISSMSEEELEELRKIIFFMTLRADSENEVHESNQDGASEH VQPDNDVSMQDENGIQDMTRDEVAMRESSDNRDAIKEFLEPKTRLQPDKASETDASHI VVENPSDNLKKTREQVFDNLMLYHSNHARYAAFKSYCRDLSDEEAFDLLLEWKDRDMS QEKRGAMFYLLLDFIDPPQFGNNDDEEG PFICI_02117 MKQLIARIREFDVDYEPLKDMFLWLVFPDQCHDRHGELERPDDL SERWARPIWPTVKAQIDRFRVKVQHGYLVNDEGEEVMDVTPVPLEDHRSSQIYDLVAY LQIENKSYDRIDTTNGTYRHPRYMTWEECQQVIPKIRTKVHTLDARIEDRPDEPEEVD SAGWRSHLLCGARTFCGEAHRTEIHRMLEHLEMHVRMAGTPPNYDACHDRDILILIPN TITDWTELKMRPAMPASTIGAMVCM PFICI_02118 MMHRRDLAMFELATYDHSARLDLNRHAVICPNNESITTIYENWS TMQNSFRRMLKAQQLRDALQEYHSGSPFIRERCQNLLFRLKDDIEFYYQLEHRNEKMM AQKAAHDILDDNLVPAEYVDEYLEFLRTRSEGEGEWMTKLLDFVLVVRVSGKRR PFICI_02119 MDVNMGSEKLSPTVIRHKFSIEMSKVYRNEVPLYSDLLELVTNV NEAFLDSHPALRETMRQTKQLERLQYERHGAIRLGTAEEMKAMARFLAVMGMQPVGYY DLAQPPAHLPIHATCFRCTDLESLSVNPFRLFVSVLRPECISVKLRSKALEILSRRQI FSDQCLELVSLAESTGGLTANQADQFIAEGIETFKWRGSATVSLSEYQELQEENPLLA DIVAFPGPHINHLTPRTLDIDEVQLQMEKQGIPLKEIIEGPPARNCPILLRQTSFKAL KEGVFFPVSLDQSGSQNGMVLGSHTARFGEIEQRGVALTEKGRALYDHLVNEAWKNGV TPQDPEAYTDLFRAFPDTWEELRQYGLAWFRYYVSTDKADLNDDRFNMTVDDLIQQGS ICYEPMTYEDFLPLSAAGIFRSNLRKTSSQDDSSGQEDMSGASRDALQASLGMPILDE IQTYEKLQKESLIGCKKYFGIPLGVEHN PFICI_02120 MGSLSTEAGCQAIREAWNCTSLEKAESFLTTQGSSAPLELENFV SNKFNPSTQHLDAINPKTGKVFARVPVSSAQEVDEAVKNATDAFKSWSKTTVAVRSKY LQKIAQLIQENHELFAVWESLDQGKTLARARVEVDRAISNFNYFSTFILHQETAARMI DGVALTYEHRSPAGVFALISPWNMPLYLLTWKIAPCLAFGCTAVAKPSEVTSMSAFLL CEVFRQSGLPSGVMNVVFGDGPTTGSALVRSPLVKGVSFTGGTATGIQIRKDTADQIY KHLSLELGGKNPTLVFDDVDLTKAVETAAMAAFENQGEICLCGSRIYVQSSIYDRFVS TFTSYVQDNYKRGGRMGAVVSLPHYRKIRSYLELAASEEAQFVIGEIPAADPQDGLWI DPVILTGVSTSSRVMREEIFGPVVTIAKFETEEEAVELANDNPNGLAAVLLTKDGARI RRVGEQIEAGLVWVNCWLVRELGTPFGGMKNSGTGREGGEYSRDVFTVVRTLHIPM PFICI_02121 MEKIQEAIARHGNTWTLKTNSEMNELYEPLHAGQAANFTHAVKV QKGIKYGDHERHRIDIYSPAVLDPDDSLPVVVFFHGGGFTSGDNDITPSMHGHIGNYF ASNKCLCILATYRLLPEARYPSGGRDTAQALRWVQDHAAKYGGNAAKMIAVGQSAGGA HLASATWAGFLADAGVALDGLILLSPPLWYDLKQARRRENMMLYHESENEEDILGKAG ASVFQNSTIADEPRLLLMVAEFDSNEIVDGNLRFVEAYRKKYNRMPLFEVMAGHNHIS NILAIGLPDDKIGKRILAFLDQ PFICI_02122 MSATSPNGESFFLPAGRAQGLANYPHARIVPGTQKTLYVSGTSS RRGDGTYAGCTTDADGNHTLDIREQTKAVLENIGSIIQGATNGTAGLESVVDATVFLT DMKDYAGMNEEWNKVWPEKTKAPARTCVQVAALPNVKLNVEIKCTALYHEAS PFICI_02123 MGSYISPDPERPMHVLIAGGGIVGLTVAQGCRENGIPYTVFERD TDECRRHGWALTLHWVLDALERTIGPERAAKLPKAVVDSTLRKDQGNFLFLNAETCET RFRIPPSKRRLRLHRQKFRDVLTDGLNIQDGKYLVRVEEIEGGVRAHFQDGTFADGTI LVGADGNNSNVRRHLMPEHYELTKLPVHLVGVVRHFTPEQAAPVRALDPLLFQALHPK TQNYLWYSVQECFTEPDGQLSFDCLVIISWIMKDAVADAIPQTNFERIAMMKERAKDF AEPLRSIVMDIPDDLDYTTPLRLGDFPCQEWDNRNGRITLAGDAAHAMTMYRGEGANH GILDAALLVDELKKIHKGTIDQKEAIDAYEAEMRPRSHEAVLKSRAAALVAHEWDQLT IDSPVVGARTAPQSAFK PFICI_02124 MAPSVAQIPEESISAKGGFYGQLKSTVDSKEGLEKTIRDHELVP LWNTGAPPSAPIPHSKHIPAVWKYEETKSLLLRAADLVDANEAERRAVLMINPGPKQP PFTLDTLLAAHQLIIPGEQAICHRHTPFAVRFLIEGDSGYTAISGKKMFMKPGDLIIT PVWNWHDHGNEGQNNVIWLDGLNIPLFKHIPVDFTEHYDEEYGATTHESKVVPDAEAT DMKFPWTSMQSKLDTSGGSFASMEYLLPNGKSVSTTIGAYATRVAAGQASPPVQETAS YIFQVHAGRGYTEVFAPDGEKYTLRWTKSDSFCIPSWYRFQIFAEEEDVYLFSFSDKP MQVALGFWRSRA PFICI_02125 MAATIARGGITTPLDDQADHQQASVDPSHCQQDRTSMQYVALLP RTSVSQSQQRNAPSANMRQRVRRACLECRTKKVKCDGAETCSRCATYQIQCEYVEFNA PAFRNTNATDTSKMERLESRVAQMEQMFGQYLDQLQRIEDKLGTAPARRDTILGLQEI TSPEGTSGGQGQTALIEEACPPHDTSVAQVSSPISDSRQCLDRPNADAEALDAQRTNE EIHDPEICTQGPLTKDKTPPEPQISLIGHDGSGRRYADGFGELDTDSHGQLRYIGLGS TVSVAVENCIGLRRYITKGLERKGYEAEESFFTSLEATHFDDTAGGLATQSAATFDLP PKDLVDALVCTYVKDLEYLFPIMAEHEIRLIHQRLMNGNTWDPGHAAVFYALLAVSIP LISADNVIFDTAGRHWLSAGPMFYNRAMHHVNMPSSKTAQRRGRRLDMVTALGLLSVY LAETGSQAEAWISIGRAIRIAQDIGLHRSSEKLRLPRDEWDRRSNTWWCLYILERQLC TALGRPLSINDEDCDTDMPSCGDGSRNMDVAGFTSMIHLYRIIGDILKVVNSVRNANS WCNAAINGKREELRVRVRDANDALHIWAKDMVPAHIKTAKSGKSLALKHVALSSFFSA VILLHRAFIRNPHRPSPLAGSWAQLKSAKAATDCIRGSTEFFECVSKTHFMVFHGQYV FVSALVLLSCARWSDDPRFVYQALRDVEDAMQVLQNLEASWKGAKKCQGTVEEYLEFT FQVLRGDRNCHFDDHDFGCPSHDTRKSVPAKRRASGGSGSGSAQKKSCFHGSSQQPEM AHAPAPSGNCTDEIGSQNPPLPGSQQANIPQTVSQEPCFFDNTINNFLGAMDSSMDIP SQLDFPLDIGFSSSGMAFPSPDHGQNSFF PFICI_02126 MAPSRIADSSSEPSDHSDHGDHSDLSDDCGCTAGLSHSSVRNIP VTDGSSLYRIDTHTHIMPSELPDLSSYPTNSKSSPWLNLRPSKTGDADQIDMYVGDAF FRTVEPNCIHAETRIAEMDAAGVDVQIISTVPILFFYDEPAEPVTVLARALNDHIAGL CSQYPDRFVGLATVPLQDVSASVEELKRAKSLGLNGVEIGTTIGETNLDDPVLDPFWA ACEDLNMPVFVHPLGYSLSKENSKRWAKYWGSWLVGMPSETALSILALTSSGTLLRHP RLKLCFAHAGGAFPALLGRIQHGYDCRPDLLAGDAGGVSPSDHMSRGGFWVDSLVHDP DLLEFLCKKIGPERIVMGSDYPFPLGEVPVAGKMLCTEEKLDSFLTWNQRANMLAGNA IKLFNLGPNFQKSFQQRLSVFAKKSSSAGNVKLESMIPN PFICI_02127 MTIMESLQKNRAAIAVSAAVNVGSCLFGFDTGVAGGVVALKSFK DEFNLASSTAVYAEASSNIIALLNAGAFFGTFIPPILNKYLGRKIMLAIAGAFFLVGG ILQVAASGPTLGLIYAGRIVAGLGVGMISNVAPVFVAEAAPKHLRGIMMSLFEMFLVS GGMLAYWTTYGCSVHLDSNATQWRTPLSLQIILAALVMITTFFIPESPRWLAKQDRYD EATKSLCYLRNATPDCSEIIGEMAEIRAQIHEEMAQTQGRTIRELFESRNLARLMWAL GVANKKSRWCGHNAILYYGPTVFAQIGYTGQNAALMASGVFTCIKFASTIVFIVGGVH IFKRKTLMVAGAFFMGVFLFGLGAVLKTHPPTAEGNGAGSPSAQGMMALIYLFVVAYS VSWGPLQWIYIGEIFPTRIRDYGMGIGAANIWLWNFVVSKITPISILHIGWRTWMVFG TLNAVAAIFAWLLPETKDLSLEQMDVLFGVVDESKRQQDIENNLQGTRVEKLLPLNEV KSG PFICI_02128 MLCTWRALCATFVLWIATCNATLLAPREDPWPNGPFTTNEQYVV DASGMSFTYAGVNWPGHLDAMVPEGLQYRSISDIMALLKSIGINSIRLTYAIEMIDQI EDNAGHDIPLQAAFVDALGQENGTAIFEKVLSLNPSFSNDTTRLEVFDAIAAEAQQNE IYIHLDNHLSKAGWCCTYFDHNGWWGDSDFPVANWTRGLSYMADHASAWPNFISMSLR NELRPPFGNSTLYAESYNWQDWYKYVKQGVEAINTANADILIFLSGLNSDTTLESVTN ETALRPGSGKFELDESTANKIVLELHNYDNINKGPGLENCTALNEELLTDGFRALQSD APNPFPVVMTEFGFVQDDSTWQGTFASCIEQFLADQQAGWMIWSLGGSYYIREGVQDY DESWGLLSHDWSSWRSPGHINGSFAALVDATLASNGIQRGLSNNNGSSSSSNETSENA GNVKLHSSFNLWSVIGLGAITTLVLWSY PFICI_02129 MRVSLVAFFSSAVVLGATPSLGSPAKRSCSQADLTVKLDAGVVH GTVEAANPDVRQFLGIPYAKPPLGDLRFAPSERLESFGEITADTLPPSCMQYLTSLAS IWTYDVLQFNEDGLNTTGPQSEDCLTISVWAPRGAKKNLPVLLWIYGGSFKTGGEDVP YQIPTQWVQRTQDHIVVSFNYRVNIFGFPNAAGLDNDKQNLGLLDQRLAVEWVRDNIA QFGGDVNRIGLWGQSAGGISVAYYSYTYPEDPIVSALLMNSGNEYLDITSPDTTHSNF TFMATQFGCGDLEPEEELACMRTVDASAIEDFLHVYIDNGTEPTVSFAPIVDEKTVFS DFYDRALNGSVAALPTIMGSNLDDGIPFVTYSPEGVNQTLAYEVTTQYFFCPTFKSAN NRVAAGAPVFRYEYSGNFSNISPKPWMGAWHNSELPLLFGTHANYRGPSTTLEIETSI ALQDAWLSLVADGAAGPVALGWPLYNRDAGSLLREFGKDVAAQTTSFEDWESTCPEAL QP PFICI_02130 MTAATPTAFANAGGFLPPSLPSLPSPAPSTASSRAAAGLPHPRS KPLVPGSRKEDYAREYVYRRLLHISRRYVKKHSIPDPQDLVSAYESFDEVCKDLDEVV DVLWFSGTPSLQVPYLLNVALAITEYLPAFPPSPRPTFALLKKLDHCFASLLYGHDIR TGKALPGFEGDTSKGMTRTDMVRCRSLADETRSLVAIKMSGESEVDTFLFPDELDVPA PISAAHKRKAEDDSESEILHSDRSTLSPKRQKAASPNSFSTETPEIKQEGNISPTQGG SLLISTETSGAGAGQFHWAVEDDSDEEHENERDDVMTGTIERPNQQMTPASGSETEAQ TLDFSHFQEHAPDDEDNELHMSVAKVYEKTIIWLGRTLGETLVEE PFICI_02131 MSSLSRRACYKCGNVGHYAEVCSSAERLCYNCKQPGHESNQCPL PRTTEAKQCYHCQGLGHVQADCPTLRLTGAGASGRCYNCGQPGHLARACPNPAAVGIP RGPPVGRGGYGGGFGRGGFAGGARPATCYKCGGPNHFARDCQAQAMKCYACGKLGHIS RDCTAPNGGPLNTAGKTCYQCGEAGHISRDCPQKAASGEIGVPAPVDVTPAPQAIPPV A PFICI_02132 MDFLRSRMNHQGDLPGEHRIDLEQGRTSEVPQGREAGHNNGQEQ GQTRWTRLRPRLSFISPARSQEGARSVTRTNVDLRADGSRKTSRLHLGLPSIPSARLA IPNIARTRTHNGSRQPRARESTPDGTEDGSRASFQRPRTERPTADVEMRSTIEGSESS HRQGRRLFRGRQRAEMHLTDVAGSRAPGRRGDDHARSERGAKPQRFLFCFPWIQSRRI RSQILRCFVSGMFLLLMLAIYLALSVTKNINNSEFTILLILIILFVTIFFCHGLIRLC MLIVKPRSDDDENRARLPEMFGPGGYAVPRRPIRVLLARDEEAAGLESETTKLQPPAY GLWRESVRVDPNRIYWQRNDEPPSSADTTARPATANRPPSYISDDGIEYVVEARPRSM APLSDMLVSNVSLPPHPSEAGRLQHAPDV PFICI_02133 MAEKRPRRPSDDTGAAGQMIVKRQNVGVSTALARRDASSSGALI QSAPRTSSLDAPVMELTGHAGEVFSARFDPTGNLVATGSMDRSILLWRSHHDCENYGV LNGHRGAVLDLQWSRDSSILFSASADMHLASWDLTSGQRIRRYIGHEEIINAMDITKR GEEILFSGSDDGTIGIWDPRTKNASDYIETEFPITAVAVSEAGNEIYSGGIDNDIKVW DLRKKAVVYSMLGHNDTITSLRVSPDAQSLLSYSHDSTAKTWDIRPFAPTERHIRTFD GAQAGIEKNLMRASWDKNGKQIAAPGGDGTVVIWSSDNGKLLYKLPGHKGTVNCAEFA PSEEPIILSASSDRRILLGELR PFICI_02134 MSASKAARVGEEIWKGRIDKVNAELVTLTYGTIVAQLCKDFESD YVEVNKQLDRMGYNIGLRLIEDYLAKSNTMRRCSNFRETAEMIAKVGFKIFLNITPTI TNWTNDNKQFSLVFEENPLADFVELPDDGRAQDELWYSNIFCGVLRGALEMVQMQVEA HFISDILRGNDTTEMRISLIRYIDDELPPEDD PFICI_02135 MNNVNMANMNAMAGQVAGAMPMPMNNGIMPTAAQQMPQSQTQTH RNMLNTYIYDYFLKEGLYDVARAMLNSEQPIQVQLQKDSPGRRENGLGNNAGDDPMDT DSKDDMEKRPADLPVANIPISSESCFLYEWFGLFWEMLNGHRLKPGGNTQVNTYIQHT QQQNRLKQSQQQEMLRQMRPDQMQFNPAMMRNMPNGMQMANKNNLARTAMANNQNNPQ AMAMMQQQAKQNPMQRDPSDMDGNRRPASPGSNDNAPSPSKRPRLEGQAPFNQPQPGM MPNGRPQQQGMPGQPGFQNFANQPPGAQKNPIATYSQNLQQHHGQQMPNKAMTNPGPQ GQGSPMMQPGPNAADISSLYNANEFPAGGIRPGAPGNGQGGGSNHALQDYQMQLMLLE QQNKKRLMMARQEQDSMGSMPRGDGPAGPGGPGGPPGPNGQAFPDTSPQGARAGASPN PSEQMKRGTPQMNHAGIPSPLPEGAQSRGSPNPMNFMQGGNMDPSMAGNQAAFFKNMN GMDPNMVNAAHMGGGMRPPPSSHPNQPFNGQVNPQMMAARQVQGGQAGPNQMQWQGGP NGAMIQGPQGPVQGTPQQRAAQMPPPSAPGPGGNPANGRTQPSSPATSNAAPPTPQQS TKANPKKKDTKNTKKGAAAKKSNANTGATPAAEASQDQETPTPATPITPANPAANFAK NGQNAAAQPVPNVQTSAPPAPAPVAPQAHPDPNAFNMDNGGSMVSFGIAFGKPDTNSD VKSFEISNLDFANPIDSGNVLQDFDFDSFLHDGDGGADSFDFTSNFDMPGGEITTE PFICI_02136 MRFQTSSVLPFLPALASAIAAPSMDGFSILFSESFAGTAGSTPD TGVWNIATAIDTNAEVQTYTTSNNNLQISGGETVQFVPRKSTTGVWTSGRIETVGAWT PEDGKVMVIQASILLGSNAQVNKQGLWPAFWCLGDAIRHGTEWPLSGEIDIFEQVNGV PTAYGTLHCGTTVGGPCAEPLGRQAATTLPADGFNTWGVRIDRTNSDWTLQTIQWQLN GATFNTVTGADIADEGTWATLAHSPLYILLNLAVGGDWPGEPNSETLDGYGSMMEVEY VAVYSS PFICI_02137 MGGGRHLTSLRMRSCLYTLRQHRPYSNGFRSGRRILSCHHDLSN PTALLHQTPKPIAQNFLLFNVSRRYASSTKAAVSDLERRIADIPISRFRNFCIVAHID HGKSTLSDRLLEITGTISSSSSNKQILDTLEVERERGITVKAQTCTMIYNYKGEDYLL HLVDTPGHVDFRAEVTRSYASCGGALLLVDASQGVQAQTVANFYLAFAEGLKIVPVVN KIDMNAADVPRVLEQLQTTFELDSSKAIGVSAKTGLNVKQLLPAIVENVPAPEGDEKK PLRMLLVDSWYDSFRGVICLVRIFDGTVRPGDNLVSFATGGKYTVGEVGIRYPTQTPQ TVLRAGQVGYIFFNPGMKRIQDAKIGDTFTTAGSEDVVEPYPGFEEPKPMVFVAAFPT DPTDYQRLADDIGQLVLNDRSVTLMKDHSEALGAGWRLGFLGSLHCSVFQDRLRQEHG QNIIITEPAVQSKVVWPDGTESIIQNPSDFPEPENSKLRGARYYEPYVLATMTLPEEY LGRAIELCEANRGEQESIEFFHGSQVILKYRIPTAQLVDDLFGKLKGATKGYATLDYE DAGWKQSHLVKLQLLVNKEPVDVLSRVVHSSQVDRLGRHWVTKFKEHVQRQMFEVVIQ AAVGKKIIARETLKPFRKDVLAKLHASDITRRKKLLEKQKAGRKRLRAVGNVVIEQEA FQRFLTK PFICI_02138 MAENGGGSGTQGWGHYLQYSLPSSTYTVQNSAIAGRSARSYTRE GRFQAVADQVKSGDWVVIEFGHNDGGSLTPTDNGRTDCFGEGTQTCQTTYDGVSETVL TYPAYYENAAKLFLAKGAKVIMSSPTPNNICETGTCSWGPYRFDYYAWYAAAVAGGTG AGVYHVPHGQYAAQAMTNLGASVVNANYPVDHTHTGPYMADIMAKAFVLGLKCGTSGL GAAVVNSTSSMTSTILGPCISFNSTVPI PFICI_02139 MIVCNGRIKTFETSAPGLRAMSEDRMDSLEGKLDQVLARLGSNG ASGRATDIPPEVGEPGPTPATGLAVSDSSRNYVPSSFPFNSESIARIGSLYLKWCHRQ PVELFNSENFIETLPNRDPELLIALGSLSLRFPPGTSNSSKEEQLEAMTTKCRTMVME RLATSKIELSTLQTLCLLGMLDNTGKIYPPQSTLQAEFNLSMACQLVQSLMSCLGQSN SPLVESRELRLCIRSIFMLQNLYGSLPVVARFMPTIGGGVQATAHLLPLTDFTSSDGD SGDSIKYIAMLTDVWQLARAYAAKRPTTETVPPWAPSSDYSSVMQHHLEIDSRVPLTH RYEKNRIEEYAPEILQQKRDHWGPWLFLQFVYSTIPCLLNHPFLLSLRLANFRDSIPH SFIQQSFENITRNAGWICHFLDVLEDKQFATSDPTLAHCVVIIATIHLQHSFVTTDSD LRESAQKGLQKCMNFLWRMGSTWRIVNTMAEDLERLQESVVATPTPRGPETGNSQAAW TIDTRLLNDLLVQGYSSRSSPQNNSDVFGKSLVPENNISQESGVDFDLVGSVGIFGHR AVPKATPLYAPNKEPRTPFGRTEFITNSPEHPGRLPHAMSGNILQDGWLLSANDYGQA IETWLDSNAPVYN PFICI_02140 MASWFGLGKKAEAPGTDVPVRALPATWYHSSAMYELERRAIFSK KWILVSHQLRFVNAGDFVRITEAGFPFFLIKDRAGNINAFHNVCRHRAYPIIERDSGK ASVLACKYHGWSYGFAGNLAKAPKYQDLEDFDKTANGLYRIHVHVDKLGFVWINLDSE SQPSIPWEHDFAGVDTQKRLQPFDLTKFHFDHQWEMIGDYNWKTLADNYNECYHCPTG HPALNGMSDLSKYWVETTGGHIQHFNTDRPGTKGMGIFSSFLYPNASITISENFFYIM RCIPISATQTKMEYEVYRGNDAPTKDFDWISDIFKQVLREDKDLCNAAQKNLETGIFT NGELHPQAEKGPLYFQQVTRQLVMSHRRGEKASGEEHWPATPRQKSTAASDEDATFCA SLKCLEEVSDKELSW PFICI_02141 MVRKQVSRFASSAEIQAYLKRVAQTFNLDRYIQYNTRVTHASWC EESATWTVELNGQHRVQSEILVNAGGILNDPQIPEIPGLSSFAGPRLHTAAWDPSVDI TGRRVAVIGAGASAIQLIPQIQPLASHVDVYIRTPSWITPPIGLEETAPSPNPFYTEQ EKYRFKKDASYYLHLRKGLEAQFNGMFRAFMKGTPEQKALRADLEENMKTLIRTPALQ EQLIPKFEAGCRRMNPGQPYIHSLQEDNVLPVFGGVDSITSQGVVVDGTERACDILVL ATGFNTSFRPRFPIIGRNGVDLQRLWSETPTSYMGTGVAGFPNYLIYLGPNTPISNGS LMGSLEATSDYFIRLLRKVIRQRVKAFDVRPEAQQDFDDHTQSIMRDMVWTGTCRSWF KKGVDGKVTALWPGSSLHYMQTLAENRWEDYNWDHAAERFSYWGNGISWTEDPNADKL GVEERSSMLESTTVPGSSGDISYYLWASDPLNSASVGSISESVTEDAFARKSEEWSKM QKSVAVEITVPV PFICI_02142 MNDCKQSHRDNEVPHDEKDEMEKIKPRESRGVGSMVDLGVNPVH GDLNLVFVEQAIERIGMGAFQWKLAFTCAFGFIVDQMLLVSISLVPTQAAAEFGPEYS TLISPFNYAGLFLGAIVLGLLADTIGRKLVWQTSLFAVCIFVMAAAGSPSWGALTGFV TIYGFFAGGNREIFKFLFFSYEANTDTLLLLLSVAIDLTLLAECLPARFSFLLTGMAA VWGLGNAITGLIAWPLLVNFSCNGTTPDTCPKSENMGWRYLYITLGGLCLLMAVGRAL VLSGSESPKWLASRGRIEEAVTVLNHISNTNGSGYQVTMAQFNLPGDEAHHKNMSLRQ SLSRTNQLFKGAQRVRLMICLMLLWMLIGICYPLFTIFLSYYLQAHGAQLGDGSAYQT YRDWAITSVVGIFGPILSMIMVAVPWLRSRRSIAITACLCAVFSGVFTIVVNEAQNLA FSCLTGFWLNALYSIIYSYTPQALSTENRGFGNGLLMACGRLASLTAPFIATFADVTS PVPLFVSCGMFRPGD PFICI_02143 MTTRKPASKDGHVLIIGAGVFGLTTALELKKRDYAHVTVLDRHL PPVLDGSSVDISRVIRTDYADPLYGQMAREAYDGWMGEFKNYYHHSGFVMLANQPGHA YLEKSKELNEALGQPLDVFNQASDVLQLYPNIQANLRGLKAYHNHKGGWADASASIRH LSLECSRLGVSFITGSRGTVVSLKYAGKRVVGVNVAHGDPIRASQVIIAAGAWSNTLI PITHATTASGQPVGFIQLTSKESDELKDTPVIINLSTGMFCFPPTPQSNILKLARHGY GWATQQEGGADESGRSQLVSAPKRDGNNVDASYLPVDAEEALREGLRQLLPKFANHPW MNRRLCWYSDTRQGDFIIDHHPRIEGLFLATGGAGQ PFICI_02144 MTITGLSSLHCEHFIPSAETPALGFTRWSDTNIDRPSLVVIPEN EADVQAAMGLARTNHLIIVTGGGGHGTYVPVGPKNLYLDMRKFQTILLDKARCTVRIG GGVVTGDLLKSLALDGYYTALPNSNAVGVVGCVLGAGSTPLNGLHGVMADMVQSFRIV VSEDSVIEVKASSTGEHLSLFNALCGAGHGLGVVTAITTSAYPISSLNMTDDKICIRS LMFPPTSIETAVRAFLDLSRPSPKVSNTLTFLRIPVSGSANGVPVIAIGSTCFGSLRD AKDESSALYSERFVEVSSKAGTDMVPMEHLNDRFEPQNKHGGHKAIASCRLKAIDVET ITTAFESWLSVTERVPGAWRSIVAISRFNSTRHKELGAGIGGDRFIESRDRDFSAMTI VMCNDAAGLDAMTDYMTNFVRMMRAGDASSTPRSFPNNLRFGIDLEEMFDKHKLAELR RIKHIWDPQGIFWSPFMSDPA PFICI_02145 MSRLKYDNVIVFGPTGDVGGVVAQEASRRGARVWLAMRRINATI TAISEQDEKTENSIASRQIFLIQKYVVFLSSFNVKPEQDLRRITTDDFIAHVHAQVEI KLEDLNISHVALRPGMFASKPFKQDLDTTKTPWEASVTYGDILEDSVSPADVGRVGGA VLVERPSISSKEAIYLCGPQLLTLNQLWETVIGTSGREIKIVRPTLEEKIAELTGKGF PAPLAKNLVQGMAQRRHVSLYPEPFYTQAVENIAKYSGGKPTSFADYVASQYLG PFICI_02146 MPAILDEGIRVAIDRGGTFCDFWAQIPGQEDDLVFKLLSVCPDE YPDAPTEGIRQILEKATGKSIPKGSLLDLTPIESIRMGTTVATNALLERKGERTALLI TKGFADLLIIGNQARPKIFDLSVQKLGKLYEKTIEIDERVTVEGFSEDPDPEPIDVNS DPSLVKGLSGEPLRILKQPDLAVVRTSLEQLWAEGFRSIAVALMHSYNFPNHELQIGE LAREMGFKVSLSSQLQSMIKLVPRAQSAVADAYLSPITAEYLDQFRRGFKGHLEDEQS NKLFLSQSHGGLVKHTEFTGLRAILSGPAGGVIGFAKTCYDPNEGTAVLGFDMGGTST DVSRYGGTLEHVFESTTAEISIQSPQLDVNTVAAGGGSILQWSNGLFKVGPESAGANP GPVCYGNGGPLTITDANCFLGRVLPDYFPRKLHFDRVAKEFEKLTDLVNEEKHGSDRL TPEQVAMGFIDVANATMARPIRSLSEGKGFETASHNLACFGGAGGQHAVAIARDLGIR RVLIHRLSSILSAFGMALADIVVEKQDPEALVYGPEAGDHISQRFQSLEDKAVMELEA QGIAKQRVHHEFFLNMRYRGSDTALMIEQPENRDFEAAFVDRHLREFGFVQHRDILVD DVRVRSVGKAMDIPLKSPYEKINDWESFPYLDPSTAKSSRKVYFGATGWTDAAVFHIS ALPKYTKVRGPAIAVDATQTILIEEDSEALVLDEHLIIDLVSPKKRDVDINEVDPIQL SVFGHRFMSVAEQMGRTLQKTSISTNIKERLDFSCAVFSASGGLVANAPHIPGHLGSM STAIRYQANKYAPNGLKPGDVILSNHPCAGGTHLPDLTVTTPVFDDDENPTEIMFFVA NRGHHADIGGILAGSMPPNSTELWQEGAAIESFKMVKEGKFDEEGLIEELYVKPGQYP GCSGTRTLKDNIADLKAAVAANKRGIHLIQALVREYTWPVVRFYMDAIQKNAEESVRQ LLKDFSKRFRGRPLKAVDYMDDGTPLALEITINGEDGSARFDFTGTGPEAFNNLNTPS AVMYSGIIYCLRCMISTDIPLNQGCLAPVEVYVPPNTLLSPSLQAATVGSNVETSQRI VDLIFKAFRACAASQGTCNNLTFGYGGTDEKGNTTKGFGFYETIAGGAGAGPTWDGQS GVHTNITNTRITDPETIEKRYPVILREFSIRKGSGGAGFRRGGDGCIRSIELSRPMQV SILSERRVVAPYGMAGGSDGEKGVNLWIRKDSATGETRTISLGPRATTMMQPGDSIII KTPGGGGYGPDPYAVEEFVPPEELEIMRAARKNPIYGASIPRANGSVSQREAMGAST PFICI_02147 MEVKNDTKTIAAHPASTGEGSIGDVGTYIDPVKEAKMMRKFDIY AVGLLGVLSNIGNAQTAGIGTDIGLVGNQYGTAVTLLYATYVPFEGPVAVLLKIIGPK YLLTFCCFAWGAVCLATGFVQNYQGLYACRLLTGFFEAGLIPCINVYLGMIYKKSSVI FAFSALASAFGGLFAYGLTQIESNSYFRSWRALFIIEGIMTILICPVFFFLFPESPTT AKFLTAEEKQMMKLRYEQDQHWGIDDTFSWDAVIAALTDPKFFAHFIFQFAVDISLYG FTTFLPAIIKGLGYTSVQANLLTVPVYFWGLITFIFTAYMSDKQRNRGFWIGGPLLCL IIGYALLISVESVQVRYFACFIVVMGVYPTTGMSIMWLSDNVARHFKRATMVGATLTL GNTAGVAVGQIFTTEDSPRYITGLSIAMGLAAVALGAVAALMIGMHLVNKRRDARLRE AEANGTPLPQRPELGDMDVYFRYSL PFICI_02148 MATPFTNIDEASPSPAKRLKRSFKACNRCHVQKTKCTGEKPCRG CLRSDNAHNCTYPVRERKVIVLESYIEQMQAENDKLRKRKLSASASPRSTVDNTGAAR SVDGNGILPEALEAQTDDDNRDEDRATANVAKPLLEDRISIRDNGISSRPVYVGGAAC TAFAARLGGHLRGNQVVSPAVIPVFKHTDLQRTHHSRHNLPSRAYARFLVQTVINFIG KDYHLLRKRSYFAKVDQIYDDVQGANSISLCRLFVVLALGELYLKRSEIVEDGSRTVP GTTFFLQAVTYFEEHYEEPDVEYIETLLLLSFYSHALNRKNNAYTYTGLALRLSVSIG LHRNITYEPGISPAEIENRRRVWWTVYTFERLCSAKLGHPIMIRDEDIDAPLPSSNGL TTEELDDFMDARQLLANIKLSRITGSIMSLIYGSGLAKTKNFISNVHQILNNLKQWDT ELCPDLKLDYDRFPTYGSRSVASLRLHFNQCIILTTRPVLLHVLNYHIKPILSQTASQ PTRPLSAMAIALSEACIYAARASANLLSQLWIDGRIAKFGYFDAHYTFSSAVVLLISN TLRANEFDADTITVSLALLQSMVEDGNLPAQEMYERLLSLQKDVDELQKTAPQFSGPR RGYITPSGTFAANIKRSDAAHLSPRSNSRGSVSIPREPNTGVAEIRNAAPLNDPFIQD FLSSSDNRTWSPDAFHIFDGEDGAWSLAWDNTNNLFNGDLSFTPGV PFICI_02149 MGECIVAEKPTVLLVESSVRGEDPANWNQVLSKFNVIRYDCKNV DEFCERLAPGQAYSNIAAILRTGWLKAGTYAHHRLFAGKAVDLYPASLKLITCSGHGY DAADIDALSRRGISYANTPNTCTEAVANTALHLILNTYRYFTLAEHYTRTDQWSSSRE IGTMAIEPCGQVLGVVGLGDIGMAIAKKAAYALDMKIHYHNRKPRSDAANILPGGAVY HDSMESLLDVVDCLCLACPLTPDTENIISSSTLGRLGDRKIRIVNIARGGLIDEDALL AAMQSGSVIGVGLDVHANEPGINPKLRDNYRTTVLPHIGVASRTTWGQFDEVNIRNLD EFFFGSKDNVTIVNRS PFICI_02150 MADAASHPAVRLLQSLIEIDSTSEKELEIGIFLADHLEKLGYTV ERIAISTGSTRHNVYAYLGKQRKNRLLVTSHMDTVPPHIPFSIEEDIIRGRGACDDLG PLVSQLLAIEELRTEGKIKEGDVSFLYVVGEEKGGPGMIAANDMGLEWEAGLFGEPTE GKLAKGHKGHIVFEISSLGKPCHSGYPDRGKNAISALLKALLDLESVTWPSSDVLGPS TFNMGKIEGGEGYNILAGSAKALCGIRVATDAPGIKQLVSETVNKHPDVEVKFLFEYN ETFLDWDMEGFESAPVSYGTDIPRLRGSHKKVLYGPGSILVAHGKDEHIGITELIDSI TKNKLLVSRLLGL PFICI_02151 MAARQNTTVPKWAPPATTKENLDYIDLVNIDLSKFDDPLSRKEL AHEFFSAFTQDGFVTVSGHGISNEVWDAQMDLANATMTMDPAAKVPFEVTPEEDEQGI YVGFKAAGGLGFHKEIDFYNMLLNDPKADRKHPAHLIHHFAETQKVMLHIRDDIQRKF LVLLAMCLEIPEQEMLQTHRAGQSSSEYYRYMSYAPLTPEATLKARGLFMPAHADWGT FSILFSQPVCALQILHKSGVFKWVEYKPYTLVVNVGQALELMTGGVFPATIHRVVTPP PDQVNSLRVGIYYFSRPNDDYSLLPFKNSPVLKKLGKDKPLDPSVTYNTVQFLEAKKH GYLKPDLDFDRPRDPGVHSDPFREGDTFAPTEKLLASIAVKAAT PFICI_02152 MASTKPISPSDQVESCKEPTDSGPEISKIASMGVASVDDVKTSP KDVDPAMAVAGDEVLHFTPEEEASVLRKIDMYILPLMCWIYAIQFADKISLNYASLMG IREDTHLDPNSQQYSWASSIFYAGYILYEFPTTYLLRRLPIAKYRDRNFISVPR PFICI_02153 MHFGPCTHIKFRSLYTSINVALWGIVLTLHAVVSSYGGLLTVRF FLGVFEATVTPAFVIVTSMWYKQNEQGRRVGYWLACNGITLIIMAAVGYGLSGVTNAA IASWKILFLILGLMTTVTGGFCFWFMPDNVLNARFLNDKERSIAVERIRGNFQGMGNR TWKWNQFFEAFRDPRTYLYVLFSALMNIPNGGITTFGSLTIASFGFGSRMALLLGAPS GIFDMGGKLLFTWLSDKYKDRSLFSFIAILFPMLGGILMITLPQDAKAGLLVGYYLIS VSGASWGLVMVMISNNTLGYTKKATVNGLQILAYGAGNWIGPQTFRSNEAPEYHSGKL MVAIMYGAAACVLIVIRFINIRENKRRDRVQEESGTAQVTEEIERAKFMGLTDFEQPH FRYVL PFICI_02154 MGSLGITVDAVPTSKLANAKSTADIQVQVKSADMADDAPTAEYK IIEEPSRTKRQLRVLCVGAGASAINFAHEVQESNLDLDLVCYEKNPSIGGTWYENKYP GCGCDIPSVNYQLSWAPSTKWSSFYSSASEILAYFQNIVDKYGLMRYIKLSHRVVGAF WNEEEKMWHVKIQRGDDPNDVIEDKAHVFINATGVLNKWKWPAIKGRELFQGPMLHSA NWDYSVDLKGKKVGVIGSGSSAVQIVPSIQPIVGEMECFIRSPGWVTAGFGQRFAGKN GSNFTYSEKQKTILAENPQKYLAYRKKIESELNSRFRFILNGSQEQMDARAFAEKEMR RKLASKPEIADLIVPKNFAVGCRRPTPGNGYLEALCEENVTVVPTAIQEITPKGVLTT DGVEHEFDVIVCATGFDVSWKPAYPTIGRDQKSLSDEWHSQPSTYLSITVPHFPNYLI FNGPYGPYGHGSFLPITELLAKHFVKILDKMSSEGVTSFDPKQEAVDDFIQHRRQFLP RTAWSSPCRSWFKQGTVDGEIMMWPGSRIHFFETMENPRWEDYNLSYTTSNRFGYFGN GFAAREFSGQDLSWYLGMLEGGDMQPELPDEDFLDFMVQSAE PFICI_02155 MTVGIATKPPFGVYTPLVTFFAEDESIDFGAMESHVRRMAEAGV AGLVLQGSNGEAPHLDHEERQTVISKARAILDANGYNQVKLIVGCGAPSVRETVKYIA EANAAGADFALVLPPAYWAPAMTPAVVENFFGAVAEVTELPFLIYNFPTVTSGIDISS DCIIPLGQKYPGKIVGCKLTCGNLGKLQRVWSNLPEDDFAAFAGKSDFMLPGLVAGSN GVIAALANVVPRTHVQLLSLWRDGKVQEARQLQSKLSNADGALQKVGVAGVKAVVSHF FGYGTGKGRRPLGTASVGTLSEEIMGPITALVDLEKSSLA PFICI_02156 MGSIGLPPCEFFQDVTFIPPDAIFELTKHYNADRSAQKVNLGQG TYKDENGDPWILPAIQGAKEKIRDANHEYLPILGLASFRGLATELMLGESAPAVTEGR VASCQALSGTGALHLAGLMLYQALGPSHTVYITEPTWSNHRQVFEAVGFNVQSYKYYD EATGKLDFRSVEQTLENAEPGSIFVLHACAHNPSGCDPSEDQWRKMATITKRRKLFPL FDAAYLGITSGSYDTDAFAIRHFINDMGIEAAVCASFAKNMGLYGERVGLVSFVTQTP SAAMAVESRLAQFVRSEISNPPAFGARIVAAVLGDVELRAEWQRNLQTMSSRIAGMRQ GLYDALVKLETPGQWERIIQQKGMFCILGLPLDRVLSLKKSFHVYMADNSRISLAGLN HNNVQYTAESIDKVQRSG PFICI_02157 MPTYMDDAPTVARTAFDSVTSPDGMSSTDIHVNAYFEYFHPALP LVHRSTFLTSSPPKLLVDIIVAIGLLYSAETPGLGHAKAACVKKSQSLWRQGVDELNR RASYDWRELRKTWMMQSWLLHIVYGAFMDNASHAERVRKMLRSLVDAVRDLGLLKQVV ATSANSPVWPQMEAESSEAAQAVEAYEQWQIYISEESLKLSIYTLLLLDHHVFSCANI RPLISTVECLWELPLAESLWEAETAEAWYERRCQHDYNMISGSPRATTSSFKGFLSTA TQSLLSETQDASLLAMLARSSFAMMCVLSNLDALVKDFTRCYYQLPPSPADPSAFHIL TQSQTRQICSALSVLSAVVKEKVGSATLEADQSVWHACQILTWSLKLSLCRPDDLLVG GIVENRVFASLVTATHLTVDSYVTFKRTTHAAKQRNDEGDGMIAVIDDLTEALSAIAA LDARLALRESPWITAASYRLLLTVWRTLHVATADIYEKLNKCNGTLTSRSFGSSILIL NSILETVTESSKQRSLHHSPGSASRRLWSFDADMLNLTLAQGETDLVNLIVQICKSRS VWVIGPSLVNAVQDAMANAAR PFICI_02158 MALNLQKHLTAIGSSPLVYSNRTLARGDPLKAEGASPVDDFKTV VKSTDIIFTMISDDSILDALVEEATSLENIQGKLFIDTSTVHPSTSSAVAKALKVKGA EFIASPVFGASPMAAAGKLIFAMAGPHEQITRVSPFIQDVMGRQIINMGADVQNSSLL KISGNIFVIGFQELIAEAQVFAEKTGLGTGRMEEFIGSMFGPVLESYSKRMTSGAWAP PLGTPPGFAVSLASKDARHALAIAEEKGTQLPTLATALNRMTKAREYAGESLDSASVY GIARMEAGLPFWSENSRQSN PFICI_02159 MDYSRPCANCQDFGATCEYLPPKSMIHREQQKSVQAVQAVEDRV AELESILRREGFGPHGEKRKQRADDDGCYTQSPSAFEAPPGKRWRPSPAPTDARSDVS SPLPKRMAVTTVVEILRDLSIEASGGYIGASSQITMGRMISSIVQAREYNVNGSTERG WEHLSPKSANTASASTTAGLELSQVPHEIAEKLLYGYVRHISTRWPVIQTPFVRLLHT ERHTLSDAFFTSVLHLIYAIGGRFLETTGETGDFFPEQHYDQALKSLDDILRLHDIRS VQFLLLLSIFSLRSPRGPGAWTYTGLAMRTCILPLDIDESCEDMEVLEKAAAAATVET PDSPTPKSTSMTGFIYICKLRKLESNIQQSIYRVDQSAPATEAEVERFIQQLEDWKAS MPIDAASTNPEAMIVDGYDNYMVYYYKCLRFLLHPVILSAELSDKKYLIKCAEACGGV CRTYKKLHQSVPVGFSVMALHSIFLAGLTLIYCAWAAPKEVFSISTSNDMNACSIVLY MQVITERWPGARKYRDVYESIKQMVLDSIEEGQYEPRRAITNLRPGLHAALRAIDHHD DGQGEYSASSMVTDMAGGSLPPDPEAPQISASNCSVNIPGTQSHFNMMLPLELHEPDG FTDTLFATPTSDWMMA PFICI_02160 MASINTQRRPCRIMNISGSPADRRDVLALYAASDEPVDVFVGDW MSELNMPSRAYSVANGLGVGYEETFLEALEPALEHLARRKIKLAANGGTVAAKDLFDV VVKMVEEKQLDLVVAWVEGDLVMDQVQAQRENGTKFKHISTGEMLDEWEYTPLFAQCY LGGMGIAEAFRSGADIVICGRVADAAPIVGSAAWFHDWSRSDFDQLARSLIAGHLIEC STYVTGGNYTGFKSLDWDHLDDLGYPIAEIGPDGDVVITKPGGTGGMVNIETCKEQLL YEIQGKWYLNSDVTAVIEQAKFEQIGKDRVRLSGITGRPPPRTTKVGLTALGGYKAEV HWALVGLDITEKVKMLEIQMKASFGEERLKRFTTFSLTTYGSVPKNPTNMNAATVDLR LLVQAKNKEDLSDENFARPAFDIIMSSFPAATFHPDKRTATPLAYQEYFPTLIAQPTI KVHFSNPAQKTISIPPPDITIEHPEIQPSYETANPIPLETFGPTVQVPFGYRVLGRAG DKGSNCNVGFFVRDENEWPWLQSFLTTNTFTELMGEEYQGQTIDRMEFPNLWAVHFLV KDFLDRGVTANATYDVLGK PFICI_02161 MSEVRSAHVYKSRNSWKTVRTLLRKLKSNGTSKSQRRSSDIVLD VGKGSVSTSEGSVASSAAEILPQVQKALVVAEKGKYEIRHDFPMPTIGDDEIMIRGRY VGLNPIDWKSVDFNFCLPQFPWVTGREMSGVVAQVGKTVSGFQQGERVWTSTYYRDVR AGCFQEYVVVPSHTVLPIPSTVPFEAAACLGVAALTAGMSLWKWLDIPTPSTRTEPTE DQWLLIWGGSTVTGQFATQFAVHSGVKVITVNSGETKTLSESLGATHVVVRDGKSEED LVEEIRSVTSGNITRAIDLVGTKTAALCLKAVSTEQPVQFAPLAMMSGSQQVPENVVV HTVEMKQFVLDKTNVCYTEELGKLLESGKIVLPSLHLIEGGLEVVEESLDMLKKGNMK GKKMVVCL PFICI_02162 MPLINIRKAYADSSYGQVHYRYALPSVVTGDALVFLHKSASSSV SYTKLIQHYCGKGHTCYALDMPGFGGSFDPDPATIEEILSKGTRWYVDLFKTVLASLG IVSGFHIIGHHSGASLATEMAAMYPDDVKSICLVGASIMSAEERAKMKEKFFAPFNQP VPDGSHLLKTWDYLRGMGVGDDLDLYQREAIDHVRAWKGRNQIYGALWDQDKERYFKM VKCPIVVMCARDDVLWAHAENVKMHRDDVPLIEIKGANFSLDRDAEGIIKSWTPLVEK AT PFICI_02163 MSTPTTSTSKKRIYLNFFDHGCTGSHMSPGQWRGEGDQGTTKDT LSYWINLAKLAEKGKISFIFLADSYNTHDIYGGSSDYMLRAGAHTATLDPFTLIPAMA AATKTVGFGVTASTSYMTPYALARTFSSLDHLTNGRVAWNVVTSWSKSAAQALGHDDV VPHDERYAVADEFMDIMYKFWESSWADGSAIFDKATRTAFDPAKVKKIEHKGKYLSAS ALGPLHPSPQRTPVIFQAGTSKAGSAFAAKHAEAIYVGGLVPAQTKGSIASIRAAAAA RGRDPKSLKFFVGISCILGQTNEEAQAKYEVAKENADIVGGLAQFSGYTGIDLARFPL DDVFELKDAPGDAAVHTFLENFNKATGSSEPWTPRKLGEKMALGGFHPLPIGTPEVVA DEFERWIDEADVDGFNIAYITTPGSQEDVVELLVPELMRRGLMWEDYEVEGGSLRENL YSNPGQKELPEDHYGHKFKWGSGFEGESIEKLRAAHAAQDPTNVE PFICI_02164 MTRRSVDLATELGESFYLPPCGLSLDILGTLKNSAGLQLHPRHT TPRQDGALLNIVSTGYVACGGHSGDAVVMAQTIHELVAMGKAIGAHPSYPDIFGFGQV TPSNLDNDQLFSVLVSQLGSVCALAKAAGTQIQAVKCHGALAFDVAYKPEVTITMARA MLQVDSSMALVIFAGSKGIEAACGCGIRVIREAYVDRRYDINGRILNRKLPGALIVDA KEAVEQAISIILRGRVLAEDGEWIDLAADSLCLHADTPGSRTIAEKLQVALSEACIVV KAA PFICI_02165 MASHLTQPAPKAGETAIIEPKPESAMYIDASRTELSVDWSAAEE LRAIRKVDFFILPLVMMGFFSLQIDRGNISAALTSGMRTDLNITQNTISNGQTILYLC ILLGELPSNLVLQRVGAKFWLPCQMIVWELVATIQCVITNQTGFYVCRAFLGLAESGF IPGGVYYISTLSTRQEFAKRVGLFWIGNYIGKGCSGLIAAGVLSLGDVRGFATWQWLF FIEGMMTLFIGVLNLFFFPRSTEDSRTLIPGLCILTNRQEYILTTRLLVDNPSKKDAQ KIKISLQDVAKALSNWRIYLILVFALAWTAPVSTLETYNAQIVTSLGFSTIKGNALSS VGYWAQIPIIFICGWFASDRFDIRGVVIFCTAIPFIAFSAAFYYLETLDNVYIWIKYA IFQMMVAFTGSSCKDHIPIIVQCANGLEA PFICI_02166 MYIIFTNAGQAIVGQIFRQSDAPKYLQGMIAVLSLESVAAFLAL IGILVFWYSNEKGLGETGLPDLSAEIMLPEERGTESKTPKSTAGTVIIHAPFRYAL PFICI_02167 MVFYPPSWVPQLPIDPPDSITISEFMSNEKYGRCPISKSRNPFT CGLTGRSFSTNDFFQRSECLARALSKKMAWLPNEGTCWDKVISIFSLNTIDYIPVAYS IHRLSGIATPANAAYTDVELTHQLKSSGAQVLFTCVPLLDTALKAAKASGIPNDRIFI IPMVGNEKKVPFQSIEELISEGSHLPELESLSWTEGQGARQPAFLCYSSGTSGLPKAV MISHRNVIANILQHATYESVARKQRGVFTQVELGLMPFSHIYGLIVISHTAPWRGDEI IVLPKFELETYLAAIQRFKIEHLVIVPPIVIRMIQMKDCCSKYDLSSVRFVYSGAAPL GEETIKELNAIYPKWTIAQAYGMTETAVVVSSTSEHDVLNKSSGSLLPSVRVKIVDLE GNEVTKHDSPGEILVQSPAAVLGYLNNEKASTETFIHDKDGRWVKTGDEGVITKSAAG NEHLVIVDRIKELIKVKGHQVAPAELEAHILAHSAVSDCAVIQTPDDASGEVPKAFVV KSPEFKNKPDEEVAQAIMKHVADHKSHYKRITGGVEFLDEIPKSPSGKILRRLLRDRE KERRRKAGAKL PFICI_02168 MESKIPQTMFAWRKHRGNPQPSEWVWEEVPVPTTPPTGILCKML ASGVCRSDHSLLTLEKQASWFQEKWIMGHEGCGRIVQIGDGVKDTTLQVGDVVALHAV PGCAMPDCPECSRDLSQICERGHHSGIGQDGFYAPYAAIDIRSAVLVPPQGITPAEAA VATDAVTTAYHAIHRRAEVKATETCFLFGLGGLGFNALQIVHNIGARVIVSDIKQDCL DAAAKLGIPTSDIVPLGKSPQEFVLENDLKIDTVLDFVGTHQTFEDAQQIVRRGGKLL CVGSLDTENTIHMKIGTRKRLSYIFSYGGQVRDLREVLQLIANGTIRPQVQPAKLKDF PDILQKLEEGKVAARVALIHD PFICI_02169 MSAFQKANESLDYDVLIIGGGLSGIYSLLRIRALGLRVKLLEAG EAEGGTWYWNHYPGARFDSESYSYIFSFSQEVLDEWDWTEHFAPQAETLSYIHFLTKK FDLKKDMQFSTRIKSGYFQSPGNHWLLTDENGNTYKSRYLVTAMGILNQATLPAIPGV NDYKGQSWHTSRWPDNHSSLEGKRVGIIGTGATAIQTIQEIYRTVGSLTVFQRTPNWT APLRNTKISPAEMVEIRARYPEIFRQCLQSYSCFIHVGDSRKTTDISKEELFAHWEKL YQLPGFAKVLGVSKDIATDREANRLYSEFHANKIRQRIKDPATAEKLIPKNHGFGTRR VPLESGYYEAFNEPHVRLVDVNENPIERITETGIKTKDEDIEFDVIIYATGFDAVTGS FNAVDFEGLHGTKLRETWSHGIQTFLGLTVKDFPNMFMIMGPHQMFGNIPRSIEYAVN WTADFIQYAMNNNIQFVEATQENMNKWYQHVEDCGKGLLANEVDSWMTGVNKNLSHKQ KRSLTRYNGPAPGYRQRCDEVKSRHYSDFVLA PFICI_02170 MRHAPASDGEIPRLEVTDFADVFRSSRAVHAMPPEVRTGEPIAD DPFKLSSDYAYTPRKLKVFTIGAGFSGLLMAHKFQHRFPEMRDIVDHTIFEALHDVGG TWLVNNYPGVQCDVPAHIYAFPFDPNPDWRRFYASGADILDYIKRTVRKWNLDRDLKL NTRVVGAQWQEALGQWKVTVEHEGTQREEYCHVLISSQGVLVHENWPSVPGLRDFKGQ ITHSARWDHSYDYSNKRIAVIGNGSSGIQIVPQMTKLPGTTVKNFIRGPAWVYYRAPP SKHLGRDFDDPNPEYTEEEKKKFQDPEQHLLHRKGIISRTNKSFYIFMKGENNKEGMK LAAAQMAEKLNHDLDLCQKLIPTWELGCRRITPGPGYLESFLQPNCHLTNSPITKVTE NAIHTADGEAFECDVLICATGFDVSHRPRYPLVGLNKETDLRTQWADDPESYISVAIP EYPNYFMMMGPNCLGGHGSLVESLNWTGDYFVKWIKKMATEDIKYIHPKKSVTKAFIR YGDEVHKTLVWTGNCSSWYKRGKVNGRVTALFGGSAHLFHRMLSEIRAEDFEITYNTA NPFRFMGNGFTAFEMDESSDLSWYVETAEVPESGIE PFICI_02171 MTEHIGEWLLFDHNQPKRKRAELVCSACHGKKIKCDLQSKKDSP GDGKCTNCRAGGRECRIRPSKRRRNANHGVQQPQHARPPPSPSSADETRTESVVSHPQ SSGSNPPPRDSPRSQRDSGLQIIKPPIALHTSRSSPTDVDTGFLQIYGPENQYDAQQQ EMKATVDRDHNLSGPRQLELLQIFAETYIDYVYPWCPVLDVGTILEDCLRSPLLANAM ALAASHIRPPLIPHEGPANYYDKARNMFYNDEEADGVTTLQAVSLFYWWAPRPPTVAH RHSSWWWTSVLIRHAQQMNFHREPTPPQPALVGPVLSLRRRIWWTAFARERLTALCQS KPSIIDPLDCNIQEPSLSDFPPEPRMQRKGEIFIAWVRLCGIIGDIAKCLSRSKMEQS SPFPTHLRQKLVDWVQSLPPHLQLPIGSQTTINFDRDVHQLYLPYLTTIVILHLKRSA QSLPQALPPAILAASCIARILRDILSRGDTRFLMAITCWYSGTAFIALLQASRIEGLC QEANADLDVLTNSAKQLQRMWGSANILCQGFDRLRSQAGPTGGEKPGQPLTEDSRTAN SNLGDDASTVDGTDRDLENSGEFDWTALFPTVTKATNRIAAALLPGKEPGAARTRFPS PENTSFHETLMTEYQDLFEPFAQFDPLDFLNLGLAE PFICI_02172 MSDTSEKDATQMAEQVETANPIKKTQTVDTLRDDEALQVLANHD GDQHWNEAEEDKLRRKIDWRLMPVLCMTYCLQYYDKAMLSQAALFGLRTELDLTGNRY SWTASIFYLGFIAGAYPSMVLAQRFPIERVASATVILWGLCLILTTVCHNYRDIYAQR FFLGVLESGISPMFMLIVGSWYKKHEQAMRMGIWYSCTGYVSCISPLINFGFGQIGGG VSSWRYMYYFSGGLTIAWGLILYFVLPSDPIRAKGFGPRERYILVARLRTNNSGVRNT HYKVDQVTELLLDIKNWLLFSVALLSMIANGPISTFVPIIINGFGFSTLNSLLLLIPA GFYAGCVQLLMPFLAMKLPKARCYIIFIAQMGTTLAALLLWLLPLSERGGLLFATTIL PSVGGGYAVLMSLSVANTAGYTKRSISSASLYIGYCLGNFAGPLAFHSQDAPRYGPGF VVVVITSIAAALLIIFYRFICVWTNHRRDKTGILEGYDHAYEDDSTDKKV PFICI_02173 MADAVLGVELPAGQQTIIVKLINPVNFGPAILKRFMTPPVPGLE TFPTSPSHSFLLEHPSGRKLVFDLGIRKDYQNYSPRIAAYIPTTKYHIQVTKNVADIL EDGGIKPTDIEAVIWSHWHWDHIGDPSSFPSSTDLVVGKGFKEAMLPGAPANPESPIQ ESDYANRELREIDFQGPRTLRIGRFPAYDYFGDGSFYLLDSPGHAIGHLCGLARTTTS PDSFVLLGGDVCHYAGIFRPSKYLPVPASIKPHPCKPESSLPLCPGHAWEDLQHSRQR SPTDTLFDITFGRDIPLAKHTAGKLQELDCLDNVFVIIAHDSTVRDGVPHFPESLNEW KAKGWGESLKWSFFRDLKPVWESQGLA PFICI_02174 MPLPRYEYTGPIDHTIVPDRTQARGKSVVITGGANGMGETCVRD FVAAGAFVTFGDVNDRGKEIEKELNDTNGRVVCTFVHVDIRDWDQQKAMFETARSKSP SNSVDIVIANAGISRSSGDSLWNLDDPDGEPTKPNLNIIKVNLEGSLYTWKLAVHFFR KQPDTPERDRCFIITGSMVAWIDSPGNWEYTATKYGLRGFMRTARRSSWEQAIRINYV APCWIRSAIRTAEYEKWLLDRGVEFGEQEDVANCMMRIACDKGINGHSLMITPRSIAE QGFMDVDRDDYQDDEKDAYMKKTQASQLTIIQDKWLDDYKVRIYKE PFICI_02175 MPPTLILIRHAQALHNIEGRYDIHDPDLSELGFEQLKLLRESLM AHPLAQNAGLIVTSPMRRTIQTTLGSVDWLISNGVKVEADADWQENSVKPCDTGSPVT ALGEEFPVVDFSSLDPVYPDKSTPAGSKYAYNKTALLARGQRALRKLYNRPEKVIIVI SHSGFLRECISGFWYFNSDYRIFEFEERASDDEPYTLKQSDETLEKGGGLGLSHKRAV GLGNNLPDESLKNAQ PFICI_02176 MTSIDELFKGASSNKRKLEPLRDPSEYLSLSETLPTNFADAPRR LDEIYKSARTNGSSSRHAHVEDEPSEDADIEAGPAPPPDDEEGDYGPTLPAEEEEEGG DDEEGRFFGGGVSAQQREILDYFDSAEGQLQFKEEKIDISWLRRTALNFEKRISKNAE LRAKFEDDPTRFIASEADLDADIKGLSILAEHPSLYPEFANLGCIASLVGLLAHENSD IAIDVLELLNELTDEDVPAEDEQWNALVDACLDADLLGLLFSNMERLDEKEEMDRNGI YHALNICENLCSRTGIAERIGAQENLVKWLLQRMQAKESVVSQNKQYSAEILAILVQS SSKNRRQLAAMDAVDNMLQLIAPYRKRDPEKGGEEEEYMENLFEALTCIADEPDGKKK FVEAEGVELCLIMLKEGKMSKIASLRLLDHAAGGPSGADVCAKIVEAGGLKNLFTLFM KKNDNQTSEHLIGIFNSMLRLLPANSPERIRTLAKFVEKDYEKTTKLVKLRQEYASRV SLADEAIRSEQSRLSAEEKEDMADEWFSRRLDAGLFSLQMIDLALAWLIAEDGGARKK VKALLAERDETLTVIKQTLDEQIDGMDVEDQETKDTREMLTTLAEFLQ PFICI_02177 MLPRSFNKVRRHDFSLTSLSTICFRTRLTTPAHMLVTAREYRTK RVREARDEAKKEIEDYRKQKEEEFKKFESEHAAGNKQAEDEANKEAETKITEIKSAGK KGQDKVVADLLKAVFEVKPVPPTTA PFICI_02178 MSRTSKLTLLGTSLFAVTTVVFVHFQQKFEQEAMHQGVIRDMEQ QRIKKERQLDFELQKALEEEYKKGQSVRDSVVDLEPPKRAQGRYH PFICI_02179 MEGGGPITIRRATREDIPAMVQILYRAFGTKNLFAMLWPESLKH LRLLPGHGDHLAWRTSRFESNFQDMKPWMHFIVAVQQSPTGEELMVGSAEWMAPAEKT NSTPDKDKTETDRETELPAGMDQAAFRESQAVNRAFEQSLEQALGEGSLEKMWYANSI AVDPTYQGKGIGTLLTKWGMDQAEKDGRDVYLLASEAGASLYRKLGFTEICEMQKFGM RQFALVKMQKA PFICI_02180 MTQVHNYPSSPLQAIQGSKHSSPQTAANPEILKLRGTDSDIDGV RPLVPCSLDTQLEELQRLYEQDGVIWVKNLLPRDLVLKCRENYMHFVNETTFMLKPGT DPVEGIFDPSNDWREFLPPGVVRVAAGFSDDGPFVEAAIKAHKAPFYLKFKDEVAKII EPFVGKLRKFKEPWCLPRSLLRCAVPGAETTPVHYDQIYLRAGPPTSITGWVPIGDIG PKGGGLVYLDNAHDIGVKYEQDFDELNKDLPDEERLSAFNKNMEKGGWLDRNAGRFGQ GWNRGWLVGDYEAGDVVFHSPYSIHASAINEDPCGRVRVSTDLRFVDKTKPFDQRWTV PAFDKNDTAVVRKANLSQ PFICI_02181 MARLRPPSANWRRDELHADIETSSSRIECRRSGEMVENNPFAFS PAQLSKIFGPKGMSAFHALGGLRGIEAGLQTHRNSALSIDENNFGRSSFEEASNLPFS KSRADDPNQPNEPSSYGNAAYFHYATLADQKRIFMDNRLPKMSKSLLELFLAAKSDIV LHDILELIILVNNFCAVIRASTCGLEIKDDSIARKSLQAVTLMEHLSMALVLLAVPWT SWKMWLEYSRIALLNQSQDRPAKVIRSSITTEISLFDLMPGDSVVDSIILSGSTVLEG LGTLLVVATGVHSVDGKIKMILMNEPKIAQHEWIISLVLKILWLAFFHPRLIELLFQG ILSIFGGTFWEKLKAASNACIPHTAMRNDKQSFLPILLEGESALALPDTEVGYNVITE DYAALIGVRINRDLPVGKSR PFICI_02182 METIDEYDVEDVKDVENPEKPEAWEYVRSHARDWVRLKHGVDEP YGTDAAARSRSEANETFERRKKRVRLAMDIRTTLKECREPLIQESTLLNMQQPSQQSM AALSNYFHIHITSSTESEPHRSTYPILSGASSYLYPHNMDSSRIRFPDYVSVSRRSES DLLTYFLKTYCSRLFETRPPVALPQEVGISHLPRHQVVHYSIAMVRFVASFVTTLTAT LLLFLPIYTLYNISTSRAALTLGLIAMFTVLFACGIVVLINARRAEVFGACAACAAVL VVFVSGDFSGTGS PFICI_02183 MAVPDRDVETFLADERFSKVFTYPPDPAQGRPNALRISYADYGY RNTENPNVEKVFLFFGPLLGSRMLHIAKDALAKEYGVRIINADRPGFGDTPDVDAEGR LAFWRKALFALLQHLQIRHVHLGAQSAGTVYAVDFILHYPEMLYSHNAYLAIGAPWIH PSHSRIWYMSVTNALPRFLLVQVDKLASLFSVVSAPILETSFGLTSALGTWFATQPAG DDASTAVDPAVAFAEALDPKLADFIYAMPVHGISNESIVLMKKTQGTSGWADWVDYDV LVPRLKTAMAENGQCLVVDCFLVRQTP PFICI_02184 MPDPINDAFERAKREFKDELNDEELYREILQTTSIDQVYDATDK LQAEQLKTGHLRHLAKIEPYLVRLSDYSKAVETFVQVKPDILALIWGPIVLLLQWASA LKTSFDAIINTTEEIGLALPEFQQAGQLFGDKEQVKDVLLLFFHDILEFYRLAFRFFR LTRWKYLFESLWPKHRDKIKKLVSIIERHRLMMRDKVLFEHILQEHEARRRDLDYYEA KENDERRKEYNTIMTRIAPQKYHTKLSTVRSQVCKGSGDWLLRDSTFATWLDPADKTS RLLWLQGIPGAGKTSLSTVIVDKTRTLGRVAFAFVSYTASETTSALSIIHSLIFQVVA DDPDLQTILCESSRENFEDSHDAALEIWNSILLACSGPVYVIIDGLDEIDELHRDEFT EDILKSLEACDNLMVCLSSRPESDLQNLLGRKSANIRVNERNTESIAQFVHYWTKDWF RRCGIYDESRKHIEGWLAPLAIKAKGMFLYVKVVLESIEYMDNMVQIGKELQVLPENL DAAYARVLGRINSSPAFTREKARNLLGWIACSPVAMRVQEIQQALSINLADRDGYSQP FGRLDVRRLCGPIVDVADDYVQFVHFTVKEYLFSPKIEGFINVQDSTLSLTLKCIAYL CQSHHDSKLPTESISKGVLAGIYVLHDYAVSNWLRLIEQYLQQGSKRSVPDILASFLE RLISERINDNYEGENNDRMEVKLGDMREKLPDIYATLSDVAHFRHICSSSQHRIERGA TWTRGDPLTLSETLVSIRNAIDSSLKCDIAIIEHNQGCPCSLVRRHYGEKPYKCRFTH CTFRYEGFASQNERRYHERNHDRPWKCNIPTCEYAEGGFLSRKMRDNHLDQFHQAKKD STHDLSIDQIDRAELELMWADLIKANDSDAAESLRETFKKLKPDTAKSLSRLAVNIGT SKFLESFLRIQNNRDPDFDNPIRVMLKEAAKNRNAESFKIVLNAATQIRLTKETHEIC CHALGEVLESESIEIYEAFETAAHFEKDVLSSLKTTSRNYAFTNFTSYGMNICRENQR RQRLLLRLWRSVDLIGNLDANDLNATLGKVAKRCQSVLLAEYLLQGGADVNYQALPTS LTYLHRVAKLSSAEAANFMRLLLLNGADPEKTSSTAKLPVRDEKGAKQISKWIGISWD ELVEQSKAARTSSQEIAQ PFICI_02185 MRMEGVDFSSCHESTANNQKRQIAEDGANNAQTNKRLCMSPEFP QASAATSEVIPPNFPQSIPEFWSIDSNSMNYGMLDDHLTLQTNSWDSSVINWNQGNGI AQDAHETPSWYDGYDIGAFFPPVPQLTSEENSGLTPSTFDFGSAESPSCLLQSTNYDG LDHLFGASQLAFSESWDAAAFGPFPGADIADSASLKTLDPTTMGPFEDHESKPSIQVW EGSPECASDQQPPDTIPDHSASNIATSTATSPSDRDIDSAQAAVAVVESNKETPTKLG SRIDDCDTCFGVIVITSISSLPDAEITKTSEVDIIPFGNILKFSFKDSGAYAGMLSQT VLRKLSSDFTICYRGILIQPGASPKKTASKKNGSYSSDCELRLVVFGLAADKIAVGRA LSDSDLFLQPPYESECPSHLDYHNPHYLLRPGSEMPRLEKLTFETSGTEKQSNLTELA MGRLQQIFDSSDIDKSTLGINVEPSNRLRTPLLRHQQTAMAMMIDREQGGANETRFPS LWIPVTDEACLGKYRHIITGERRDNPCPIRGGVLADDMGLGKTLTTLGLICSSLDAIV QDTPASEAARYTSTLIITPKSTLPGWEKQIADHIHTGCLKSTRYYGSSRKQLRSIPVH HDVVITTYETLRSDYENQGPLFTHKWLRVILDEAHHIRNRTTKNFQAVCALKSFYRWC ITGTPIHNSLDDYGALLTFLGVDPFQEKSSFGFWIVSPFTQQGPRHVEKIKSLVNATC IRRTKLSSSVSLTLPEVIEKIEYTPLSGTDQLLYEFFKKMTAEIAAKVHHGSKTSLES KGSKGTNILALMSSLRLICNHGKDLLPDTALKMWSSREDRQLDASLVLRNQDYCEECG DMLECALNTNTESTNPKIRTTRLACPTCRQSEEDDVIESQDSDRSIKSQGISLRNASS LQIEKGGTRPSVKVAALIRNIREEQSSAISGKPHKSVVFSCWSKMLDLLEAAFVTEGI SFRRIDGQTSLDARGEALKQFNESLKCTVMLATTGSCGEGINLAAATNVHLMEPNWNP MMEAQAVDRVHRIGQTQIVTIIRYIVPRSIEMYIREIQMEKLHLISHAINNSASGDFT DLKIERWKKFQACLQDAPKT PFICI_02186 MSNFNIKTTSEEVVAAFGDHVKGKTFVLTGAAQNGLGGHAAVTL ALREPAHIIIASRTEDKVKPILSEIAVIDSSIKTTFVQLDLTDRDSVRQAAEKIRNVA PKIDVLINNAGIMAVQEYSKDKHGVELQLSANYLGHFLFTNLLLPNIIAAGDGSRVVN VVSMGYRGSPFRFDDWNFSNGKTYDPWTGYAQAKTASILFAWALTERLRSRGVTATSV HPGMIWGTHLGDHLDPTTAYNDIAPTVKRNTGRDWVWTDPEAARRTMTQGAATTLVAA LSPEIPDKSPAYLANCQIQEAWEHATGYDKADRLWKLSEEILGEKFNY PFICI_02187 MRTSSVNALALAMSCIQGAYGLLPLVSQVPPMGLTADEEATLVD AAVPLVGNGTFLQPVDHNDPSKGTFSMSYWYNATNWGGPGSPVVIFTPGEAAAAAYTG YLTPRTLTSAIAQAIDGAIILIEHRYWGSSSPCQNQTTTCLQDLTLQQAVDDFVNFAQ NAPLPFDPSNSTNADQAPWVWVGGSYSGALAAWIEKLSPGTFWAYHSTSGPVEAVYDY WEYFYPIQQGMPKNCSLDYEAIIDYVDSVFINGTEEEKTALKQQFLLEDLEHDDDAAI AISSPIWAWQSIQFYSGYSQFYQMCDAIEGASGNHSTGNYSDAGVGLAKALPNFADWF TVNYIPGYCDSYGYDDWSGEYNVQCFDTYNSSWEIFHDWTPDNVGDRPWIWMTCNEPF FYWQTGAPEGTPTVMTRLATPEYYDRQCALWFPPEGNNTYRSALGITEDYVNNRTDGW FNTDLPRLLYVNGEVDPWRSASVASEFRPGGPFNGTDETPAILIAGGRHCNDLIITNN VHLPVAAAQEAAISQFKEWVGEFEEFK PFICI_02188 MAEVMHPQDVEAAWSWEQSENDKRLKWLDEFHRAKMSEADASMA KHRVFHTDAMKEADERLAAAEDRIKQHRIWHAEAMKEADERLNAPDDSMKKHRVFHAE AMKEADERLAAAEDSIREHRKFHAQAMKEADERLAMADDSMVEHRKFHERAMKEADDR LAAAEESLVEHRKFHELAMKEADERLAAADDSMVEHRKFHEKAMREAEERLADAGNSM VEHRKFHEAAMKEADERLARGG PFICI_02189 MSEAGANGATQTAIDFTATKTELSSASTSRRIAQLKTLDEKVNS KTIDQTSVPKLLKLLFDTHPLYQDRPSRRAVESCITSIFATVDDPKILGLFVTAIRLE SQKPGIAANNAFVLVEWCCLLLKSLAGTPLYEKFGLDIIHANAAALERCFQPPARPSV GHSALVITRRGLRAAFWQKEFRPKVVEETIQALTAKGAQPTAKNAVMLGVVAGVCSRH DQAKSTFASKKTDYFTYITREIIGSRTAPAPHVTDGLSDFFSDFVTADDLTKEIVPSL EKGLLRAPEVVLDIVPPIVKPLSLEIDLSAVLANNLMKPFLSNAKSSNAAIRESVLNT FKVIVIKSQETSILEKVADEILGPLKSGKLASPDHRLLHASMLLSIPLSSTMADKVIT ALPPTALKEGNEAALAAEVATISKSVSYQLQQGSELPKAAIDAYAKGLSDKKINTRRV WILKTGDILSTFASEFVPDNVAKFADAVVSPLCDTWAEILKNPPAAVQSTLITGAYVL ATLAYQSLSQIDTPTVESALKKASVSKETLVYDPKPSFLLNHRVYGRLTTDDDLRWFL CALAASVVDLPAATPSVRSAWSQAFIYLSSSPTVPSHVRKEACGTLSKLYAKNPAIIA EAVIAGIWQWVESIETADKDSIASSAKFDKTHLHVTLRSICLNKEEFERMGAEQDLEL LEQQMCSLLVLSRPDLIPRSSWIDTVLRVGLDPGSLALRHEQDLLDEIVKRTTFEQVT LVKTAACKAAADLAFVSPEVMTPKVIDMIRRDLDTKDLQDVGPVEAAIFRTAEGTAFI DVLAKNTQSLPNKNTKDYDTLKWEEELRAQLAAKKGTQKKLTADEQAKVNAQLKKESE IRKSVQQIEARLLRGIGIIQGLVTGPPTEAKLWMGPSVDALLKAMEAGACLITGDVAP TAYLACADKVSNRLGAIRPFIGVATLRALGVTGLPENLLEEGLEDLVTRVLYKLRFAG EQRPFDSISVVYILSLIFLILRQGGFAAAADEKDAQVVLAIELISFHTGISSDEALPR ADIISALITSMQNYNQHYKIIKDCFHDLIRCVAPNITTSEIATLVRGAIVPQSAVRET VLQAISAEVDLTELDFSEEIWLACHDDSEENAEIAKEVWEENEFKLSKEVPLRMLPYV ESRDHQLRRAAARSLAAACKSYPATIDNVLSKLRASYVELAKPRVQELDRYGMPLKSN LADPWEARHGIAAAFKHLAPHLEKSQLDEFFSFLIERGPLGDKNPDVRSEMLDAAVTA IDVHGRALVDKLMKMFEKTLEAPDKGSEAGDRVNEAVIVIYGALARHLKPGDAKIPVV IERLLATLSTPSETVQYAVAECLPPLVRTCGDKSSKYFEQVMDSLLNSKKYAEKRGAA YGLAGLVLGRGVSVLREYRILISLKSATENKKESQQREGAFLAFELLPLILGRLFEPY IIQIVPQLLTGFGDTNADVRDACLAAAKACFAKLSSFGVKQILPTLLYGLEDDQWRSK RGACELLGAMAYLDPQQLAQSLPDIIPPLTGVLNDSHKEVRAAANKSLKRFGDVITNP EIKGLADILLKALSDPTKYTDDALDSLIKVQFVHYLDAPSLALVTRILQRGLDDRSNT KRKAAQVIGSLAHLTERKDLIAHLPVLVSGLKVAAVDPVPTTRATASRALGSLVEKLG EDALPDLIPGLMQTLKSDTGAGDRLGSAQALSEVLAGLGTTRLEETLPTILQNVESSK SAVREGFMSLFIFLPVCFGNSFANYLGKIIPPILAGLADDVESIRETALRAGRLLVKN FAARAVDLLLPELERGLADDSYRIRLSSVELVGDLLFNLSGITGKEDADIEEEQVKEA GASLREVLGEEKRNKILSALYICRCDTSGAVRSAAISVWKALVASPRTLKELTPTLTQ LIIRRLGSSNMEHKVIASNALGELIRKAGDGVLSSLLPTLEEGLQTSTDVDARQGICL ALKELISSASDEALEDHEKTLIAVVRTALTDSDEDVREAAAEAFDSLQQIIGKRAVDQ VMPYLLNLLRSDADADNALSALLTLLTETTRSNVILPNLIPTLIAPPISAFNAKALAS LSKVAGPAMNRRLPNIINSLMDNIVNSETDELRNDLETSFDTVILSIDEYDGLNAVMN VLLQLVKHEDHRKRANTAYRMAYFFEQSTVDYSRYNQDIIRSMLISFDDRDKDVVKAA WTALSAFTKKLKKEEMEALVPSTRQALLQVGVAGHHLPGFELPKGINAILPIFLQGLM NGTPDQRTAAALAISDIVDRTSENSLKPFVTQITGPLIRVVSERSTDVKAAILLTLNH LLEKMPAALKPFLPQLQRTFARSLADTTSELLRSRAAKALGTLIKFTPRVDPLIAELV TGSKTTDPGVKTAMLKALYEVISKAGANMGESSRTAVLGLIDMETDESDAAMTITNAK LLSALIKNVPEEIATSLLKNRVTTTHFSTSSVLALNAVLVESKEVLLENPLAEDLPDV LCQGMSNKNLFVADNSILATGKYLLSATPPFEQAKPIFSTLASIIAPGNPGDSRRLAL VAVRTVCRKNMELVRPHLPLLAGPIFASVRDPVIPVKFAAEAAFIELFDVVAEEGKVI EKFVQSPAGQEMAPNTRRSMGDYFKRVALRLGAQARERREMEGLSNDEAEDEREIWSV GQVETGGGVFSQD PFICI_02190 MAGGNKKKKAKPAANPARGFATTSIATKVARVEETDNNNGPVPP KTVATASLTSNVKTTGSTPAEAVPNPIPAPKKEELSPEEFEKQLEESELQLLVEKFAS KVKRDAQRQRLRLETDRRLLRGQAEAINTRKWLPQELMDQILDLIKAEYRFAASSMTA ESASPGKLLPEEDLTTKLWTLQQTLIAAAFPDERVESVLKHVLDIAPYIGGVSKDSIW GLEEALDWLARECTKDELPDYEQRNRTGGRLGDTPQDSPLPSGATTPQLLEIQSNGKS SKTGSKFRPSREPSPKKLVVTCDSDIEPDDLIPVYIETKTKLFHIQRPRQSDRKAKSS KKGPSGGQVSANGGDPLSPEDELKEAKLLAKIDRIEQDPLFDKPLANHQWQSDRIVLE QEFAELKRQKAEQDSSANGDSTPPMDEESEDEVAKEARKMAEEVLQQESADEDASLSD LFASLPMEETDPATGKTANVVKNADGSKIYIRDFGSKWAGISPMRALEETCRSRDSSS KIVYKVTSEAQFASRQMLTVIWSKPQEPILPEIEHVESTILPRQFSFHMATIATPDKK QSEAYIATVALFAIFGSTKEEKVFMRLPPSWKDLWNELSEAKKSKTDARDRENVKGLR SLVRQRQDQEEEDGVVLHSAFRGRGGNRNGNGHGDQSNGERGLGHSVGPDYYQRIWAE KTNTPKFHHMLQSRAQLPMWQFRHEVLEAVDQNQVVIICGETGCGKSTQVPSFLLEHQ LSHGLPCKVYCTEPRRISAISLARRVSEELGEGRGDLGTSRSLVGYSIRLESNTSKET RLVYATTGIVMRMLEGSNDLGEITHLVLDEVHERSIESDFLLIVLKKLLARRKDLKVV LMSATVDAEKFSKYMGGAPVLNVPGRTFPVQVRYLEDALEITGYTPEQSNPNQRITDL DDDPAENDLDPSSTADAAKELRQYSSRTRNALSLIDEYQIEFDLIVQLIRQVSHLPEL INYSKAILVFLPGIAEIRALNDALLGDPFFQRDWEVFPLHSTIATEDQERAFEQPPPG VRKIVLATNIAETGITIPDVTCVIDTGKHRESRFDEKRQLSRLIDTFISRANAKQRRG RAGRVQEGLCFHMFTKYRHDKLMSDQQTPEMLRLSLQDLAMRVKVCKIGGIEETLAEA LDAPSAKNIRRAVDALIDVRALTANEELTPLGAQLARIPLDVFLGKLILQGTIFKCLD MAITCAAILSSKSPFSAPFGQRNLADQARKAFRRADSDLLTMYNAYLGWKNVCQTQSH DFQYCKKNFMSPQTLSNIEDLKGQLIVSLVDCNFLQLTDDERRTLNRMRYASRRRQFF ELPQRVNINSDNDLITSSVITWSFYPKLLMRENKGWRNVSNNQSISLHPSSVNKGHLE LKWLSYYNIMQSKQFLNAHETTAVENFAIALLCGDFRVDMYAGVVVLDGNRARFSVPD WKSMLAIKVLRTRLRDILTRSFKMPGKLLTTQQEKWMDIWQRIFSQDFGKP PFICI_02191 MQSLFTLLAAALATSVTSNPLGVSNYGHVQARQDDGTTENEFYD GGCRDVILFFARGTDQSGNIGEMPGVDLVAQLKTALGDDVVAAQGVNYPAALLDNLLE GGTNLAYATDLGNNITEAASQCPDAQFIVSGYSQGAAIVHGAIPDLSDAVKAQIAAAV TFGDTRAEQDDDQIPDFDTSKTLIICNDGDLVCNGTLIITSAHTEYNDRVPEAVTFIQ SKVA PFICI_02192 MVMIARARPDISRLVTTQLQHTYSSQYPKCRTYLWSTDASPGDV FTQYNCDAPKYSGQYFLTPTNPSITSTASTSSTTNGTDTPATTVSSPQQTSTGAQTPE GKTSSAGVVVGAVIGGTALIALIAFGTLFFLRKRKQENLGLGQIPPHMVPSDCYRSPT TATMHGFDSRYSWTGGESTLSPVTPTYYPTLRVPEPFYRRPSVPDHNTPRSIPHDGYT YPMNARKPPQPAPVEMDTGAIHLPELA PFICI_02193 MSYEPSYADRRDYEDAERGFISALSPGIIKTSHGKVVWNIDEYS FLHGECPSTVHPSLWRQGQLNSKQGLFEIAPGIYQVRALDLSNMTIVEGEKGIIIIDP LISCECAAAGLQLYRAHRGNERSVTGMIYSHSHGDHYMGAQGVLPPDQDMSIPIIAPE GFIEAIMSESILAGPAMRKRAAFMYGNALERSPTGQVGVGLGMGSSVGTTSLIPPSLL IQKTGEEHVVDGIRIVFQMVPGTEAPAEVNFHFPDLRALCIPETATNCMHNIVTLRGA QVRDAKAWSGYLDEAIVLFGYDSDVVFGSHNWPTWGQADLIQRLTEQRDMYGYLHDQT VRMMNLGMTGLEIAEQIRLPPAISRAWHCQGYYGSVSHNVKGIYQRYMTWFDGHPAHL WQYPAAEEGKRYVECMGGTDNLCDKAEDFIQKGDSRFAATLLAHAVSAYPDDPSPRAR VLLASAYENLGFGSENATWRNFYLTGAQELRTGKKAGMVAGGRTPLGPNLSVAQWFEI MSVQIDGERAAEMNFSIDLEVTDVMETWRLIVSNGVLTHRTLLWQTPAPGTGKADLRL KLGKHDLLEVLRGKSLDSIDQDGDTEVFTRLLDLIAVKQESSRGPSQL PFICI_02194 MASTVEKNNITDVHDNTTNDEKDQQTQPDVDLDEEYTLPEQRKI IHKVDRRLLIILGLIQAVSFLDRANMSNAAVAGMTKDLQLGVSNRYSIILIVFFAPYV IAQYPASILVRKIGPRIFLSSVTLLWGIIMLCFSFVQHWTSLIALRFLLGILEAGSFP GLYYLVSAWYSRFDLYKRTSVFYLIGVLGSALGGVLGASFSQMKGLADYNGWRWIFIM EGIITCLIGMASFIFTVDFPEQAHKAWGFLTESESAFIIRRLNRDRQDAEPELFSIRK FLKPAGDWKIWIFALMFFCSTIQAYAIGYFLPIILKEELGFTEVQANGLSTPPYVGAM LLMFVEGYLSDKIRLRYPFLYLNACMNITGLCLMVWSRSSAVQYLGTMFLTAGCSAAI PLIMAWQANNIRGTWKRAFCSASMISFGGIGGIAGSLAFRSEDAPKYLPGIYACLTAN GVILVLGVIIVLHFWIQNRRANAEQTVIEGLIGFRYAL PFICI_02195 MNLRDPQAQAQAQTLEAQTQAQLQPPQPQTEDNEEALLDSASST TSPRSTSSNEPSLNTQLQTPETPASLSLQEQQRQRQPSSVPSSHLNLPVRAKSRDRLP SRHTESGQQAASAAAEAQAAAATARAVQAQHQHIQLQNLLYLGSPMNGINGTGAAMQA PGVNAGAAMAVGPTPAGHQAELNVIYTLVEELSRQLAENRRQTEEIVSGIGRVRNRAR ERALGNDEVLGEAADEIYGQEDNIETLISALTESLDRAKNSRDANFALVTAYARVLSN LLNQFHAYKAKHTADVSAWHRSYRSQLAEARAENSRLREQIWEMQDHAGRANKMLREF RKKYDENEARMDRRVEEKAKKQEIRFWKRLAMPEVDDNDENAWSDDDDIVDPVEKERL KEVERKTAEQALAGLGSGDSQHSEDSEGEDSDPDHPGMGIIGGVAMERDGSLPAPPPR PASTGSTGGQAG PFICI_02196 MTANSDMIPQLIDLLKTTRGVKREITNNETNPLEAIRLVSDGIN DGHPRESGALQAVLAIAQNSPDYGGLGLTLGSEVSEKDRNELIFLCSAWLEALNSADR ARRIRAPISTRPPGRRPMNVTEKIFAMHDVDSKGWVEPGTVIRVAVDWIMASELSWHG MISVYNRLGDPGIFRNDRFWIAGDHVVDPRNYETDLSKKLIAQTDKARRSFKMTEFQG HNYTIMHTEFFRERTQPGQLIIGSDSHTCSSGANGCLAIGMGAADVTMALVTGETWFK IPEVVEIRFVGKPPRGVGGKDVILYVLQQLKRNTVAAERVVEYTGPGLDYLGPDARFA VANMTTEFGGITGIFVPDHVTGEFINQRKLKRYKDDSHYFKADEGCQYAESHIIDLSK AEPFVAKYPNPDDVVPVSEMKTTDLDGCFIGACTTAEEDIIMGALVLQEGLKAGKVPV AKGKRRVTPGSRPITDMLKRTGLYEVYERAGFEIGAPGCSYCVGIAADVAQDGEMWLS SQNRNFKNRMGRGSIGNLASAATVAASSFDMKITSPNELVSKITDEQWERVKGKGSLS STVPSIRPQWVEPPEAAKEADAGKEESTDTSKEPARNISDADTEEARMENVQSKVYRL GDFIDTDALAPAQFLVTAKDDEEFGSHCLEYTHPDFRQRVKEGSKIVVAGKAFGCGSS RMEAVQALLGVGVQCVIARSFAFIYARNQPSLGLLGITITDEAFYDAAQDGAEMEIDL ANNVAKVGDKDFPFELSQMEKSLTSLGGVAPAFSKFGKHIFDALTGGTRSAKRSLKDH STAAAPLSW PFICI_02197 MASSQTSAAERTRSFTGCRTCRNRHAKCDEGQPKCGNCQRLGLE CEGYVPKLCWLNDDPVNKDEHRAAHRGSLYRFPLSSESERRLMTAKLVESVGKQNSTK LLADLDEACEKAVSHNGKCSVNLFKGPFGVFPAVESKPASSPSTATQTHPADQPSEAP GPVFDFDEDDALVENIHRDVDIGLIDPFDHDMLFNSTDRNFNFDLLDPALLDVSPSVK LPDGHLSALVESDILPQESMDLTFSPRPLTQLGSPPPPSLFISPGYSNANGVAIPEHA QPLLCYYKQCIDHTGKAFKPRRRSPGELLFLPCALETFAELSLWNTTSSARSALLYAV LANSAFQADRAALCQTKWRDIAVRHQAQAGRHLRDALLRETTGPSQVAYKDLLMAILA VAMISLFNGAHTFRSYLLDAEKLIRLRGLIEQKSTPIRLLHHMYTHLRIITESFSTEF AIDFGHDDNDSNKTAVSQARAFRTAENALNIGLDPAFVKTGDDGYNDIHLDVQGRWSK TMYPSIYNIPESLMTMLSQTISFANEKARLETIAATNPAVSASLTDHAKTLEGVIWSW ELPEIQAGSLSRASIGLDDEDNNAHLESQHSRSMILAIHQALLIYFYRRVYNMNAMLL QDRVRQTLDYLEPCLTLEWWIEDQDFATSLAWSVFIAACEAVLPVLRQRALDILTTID NRGIFFTSAPPTEVVTAIWQRREVLKAT PFICI_02198 MLMLTSKLSVLAAILSPAFACLGYEGGVPTPTATYSNSAVIEIG AGETFDAGWAKYDRGSGACTEQAEGGDSDAVFLLRSGATLKNVIIGANQAEGVHCDGP CTLEFVWFEDVCEDAITIKNDEAGEETWIIGGGAYYASDKIVQHNGCGTVNIINFYAY DYGKVYRSCGNCDSQCQRNVYVEGTTAYNGGAVVGINSNYGDTATLVNVCTDYAHPCV MYIGNDDGDEPTQSGYCSG PFICI_02199 MANRGVTWDPLVHTIADLQEQGSKKMPKMYRDYFNEGAMDLVTL RDNEAAYNRYKIRPRILVNVDNIDLSGEIFGIKTALPLGFSPAAMHKLAHPEGELATS RAAASTGICMALSSYATESIENVAAQGKGNPYVMQLCVLRDRASTLHILKSAERAGCK AIFLSVDTPLLGRRLNEYRNNFSLPEDMEWPNLQSSGRDELSGRENTDAASKHDFDPS LDWNTAIPWLRQHTKLQLWLKGVYTSEDVNLAVKYGLDGVIVSNHGGRQLDGVPATLD ALRECAIAAKGRIPVAVDGGIRRGTDIFKALAMGASHCFVGRIPIWGLAYNGQEGVEL ALKILMYEFKIAMALAGCRTTKDITYSHLAYLDSNGFLAKL PFICI_02200 MSNLSVELKAPNGVAWTQPTGLFIGNEFVASSSGKTIESIDPAT EAVIASVHAADSDDVDKAVKTAHAALRHPSWKDLPASDRGSLLAKLADLLEEKKELLA TIDAWDNGKSYTEALETDLTEAVGVFRYYSGWADKVFGQTISTTKQKFAYTLRQPIGV VAQIIPWNYPLSMATWKLGPALACGNTVVIKAAEQTPLSILVLGQLIKEAGFPPGVVN IVNGLGKETGAAMVQHPLVDKVAFTGSTATATQIMGLAAKTLKNITLETGGKSPLLVF GDADLEQAVKWSHLGIMSNQGQICTATSRILVEDSVYDEFLKRFVDTVKTVSKVGDQW AKDTYQGPQVSKVQYERILDYINIGKSEGAIIATGGEPAKVGESGKGFYISPTVFTEV KPSMRVFQEEIFGPVVVIGRFKSEDEAITLANDTTYGLGAAVFTTDLERAHRVAAEIE SGMVWVNSSQDCDPRIPFGGVKQSGIGRELGEAGLEAYTQVKAIHINMGNKL PFICI_02201 MASQELKGIMVALITPYAADGSVDYAAIDAHVNRMIDAGVHGLV PGGSTGEFPALTTEERKKTLEQVIKSAAGRVPVIAGIGDLSTTKTIDLAKHASQAGAA ALMVVPPFYDAPNLDQLREFMKEIHDASGIDIMYYNIPSASGVTLKPEEIASLADVGV KYLKDTSGNGPAFTELLFGHEHKITAFNGWDTLTFYGLAAGAKGGVWGATNIIPELSI QLWDAVAVNGDLKRGRELWKKIWPICNFLESHNYASAVKTGMELRGWKTGGPRKPFAL LGDAPKNEIASLLKAAGVELA PFICI_02202 MGSIFLTGASGYIGGQVLNEIIQNSPDQPITLLLRDEHKAKLIR DKFKKVNVVIGDLDDDDLLEREASKASIVLHLASAGHLKSVQSIHRGLKKRQASEPAY WIQVSGASALAAAELASPSFSPGSGSSDVFDDIEDASKFIKLLRDHKTRAVDNYILYV GSQEPSIETALVFPPIIYGVGEGVTNQRSIQIPELARVTLERGHGVRVGPGLNKWGNV HIRDLGRLFGALVDATSKPKSDFKLWNKDGLYLTGVGEISFGEISEKVARTAVEQGFN TKDTVEELHKPDIDTVLPHGSVIYGTNARSKARRAREHLGWTPREESLEADIPNTVAI EAKRRQN PFICI_02203 MATQASSALSPPTFNASALKLGSTSTSTHIFNLSRPSVTLPGLH FHSSLTPATSASTSTTATNVRVDLAIAPFQANDDTSVPDILQEAAHGAIKEQQDPDDH GRVDPKSGLNNCEIAGIVIGCILIFILLVYLGIKFLIMWEIKEYAKWERENVTIRDIR RWLNQDDPVPARFQQQMKTHFSV PFICI_02204 MIPPIPILADYGISPEHGFLPDVLPLTRLPDPYYNKWEAVAANL QSLILSKRLRGVIDRLPVLSTIGLEHDAEWRRAYMLLTFFAHGYIWGGDTPQERVPPS ISAPLLKICEHLEVPPVSTYAASVLWNFKPLFVDENIDDLDNLATLTTFTGSLDESWF YLVSVAIEARGGPIIPLMLEAVAAARKGDAATVTRCLQGFAERLDDLGELLHRMHEMC DPSFFYRRIRTFLAGSKNMAEAGLPNGVIWDDGTGKSDYVQYSGPSNAQSSLIQFFDI ILGIEHRPTGEKADAPESDREGRMRGPTHNFIQDMRRYMPGPHARFLNDISSVANIRQ FVESRAQTDRPLALAYDACLAMLRSFRDIHIAIVTRYIILPSRENRARSRSRSPEVVR SRQNLATASKNAAHKKVKGTGGTALIPFLKQARDETSEPAVEKWTQKYMNRATRSTGQ NDFFLGKASEEEVVDEPVVEHGLAGTWNIDDDVGGICLY PFICI_02205 MVVQQHVLNWLYSVLTSEYTDVQRTYNDVAQALSHYPSLSPRTD VHTFDNGASALLVHLSGTIPIVFRGQTYRFPISIWVPHAYPREAPLGYVTPTESMMIR PGQHVDPQGRIYHPYLAGWAEFWDKSSILDFLAILRDIFAKEPPVIARQQPRPPTQQA SPTPTPPPVPPLPREVTQSIAHTQSPHTPDGRPPPPPPKGSDGPQVVPGQAINANTGP PLPPLPTGAHRPQSQYGSQQQQSNRLSRYDSAPPLPPQAAGAQQIPHAAVQEYPPQPH FPHHHQQQPVVAQATGPPGYVAQMPPGSSVASPSSTTSYHNPRASYAGPPVNWQQQQQ PVPQHFQPVSVQPQTKRPPPPDLMDEEPLTLALPSPSNLPPPPIPPNPEKDALLHQLA VTLHQHRLRARQQNETSLAGLNAQRNAMLGALQNIQTDTNSLAALSNVLSSNTVDLQS SMKEADVVISNSRRQALPNIDDLLVAPTVVGNQLYEVVAEERALGDAIFMLGRAVERG RITPAVFAKTTRSLAREWYLKKALAKKIGRGMGLTA PFICI_02206 MASAAASTGSSLSSPVRFESPPPEFCPPPLRLRRNKSGTGSDSI NYADSVTTNAQTAGSREYHARAGDPHAKAGPTSTRPQKRLSRLGSLVSKFEILEAANK TEPSSSIFFGERESKPSEKGSGEVRQSKDFGTQTELSYNHGAFCVLAEDGGSAQGNER VPELLKLFDRSSSGSMMSFARQFNAISHQTQSTPPALTTEIAVDDFDCTFLDGQDKLE PSSPTPPAVDHGSPIKDRIKHFEHLHRRSQSHATASNNASHVSVVMNGAGEPVSTVHK VVGSHHPVRNIWRRISQSLTQSLDGSHCREQYRSSYRESTTSIEYTRSPYRSVFPLLP ARKSFPFIPRFVSDDAETHLFGLDGANQSTPRVLEDNIFQETSDGTTSNKSMHGHGLS RSQRPSNDSVASHHTSYSVQGGLLKRAAQQDRDKRKEQKKKDKQREREDRKRRIAQRR QNKLDETDRAVSGVSHHVQEADSRDARWGQQTASGFMIREAGLSSGDLVAPKPRRPGQ VKNIVNYYKEKSTSLLRIASGGHFGTSKEDLDGDPGASMGKARHPSKEKGKGKGQAD PFICI_02207 MATPSSDPAAAPKGEAAAAPVAGDGVFSATTFVENTDSGSAQQA DERYPGGTAVSGSTAGGAVTTSGETDMDPLSRADGEIAQSPARGSSSTPFEPASAGMY HEDGHSSYETSDTHGDSSSFSIGLSVDYDDDDTDSALGSALGGSSMSATSSIYEFVEE FGRTFHRYKEGTLQVLEWKLYLAPLESPSRVLDLGTGTGIWAIEFADQNPGSDVLGTD LSPIQPEYVPANCRFEIDDAEDEWIYSQKFDYIHGRYMCAFLTDFPKLFRNIYDNLVP GGWVEFMETLIFFQSPDGTLEGTPLQRWNELIIEGVKNMGRNVLSCKKYKRWMLETGF DQVTERKYTVPANTWAKGRKNKELGALQMVNNLNGVYGLTMTVLTKGLGWSSEEVEVL LADVRRDMSDKNIHAYITIYVVYGQRPY PFICI_02208 MDEYENYEYDAEFDGEAEEGITPEDCWTVISSYFDTKGLVSQQI DSFNDFQETTVQELIDEYSHISLDQNNPPDSTPDRPIRVRRYELKLGHIAVSRPVITE GEGIMAPLLPYECRDRNLTYSAPLYVKITQKRSVCVEYPIPDDQLDDEQYEEFKRTGE RPGHLEWEEEDVPIPATTKDSSKDKDPDMVFIGKLPVMVKSKACHLAHETEDDLFLLN ECPYDQGGYFIINGSEKVLIAQERSAANIVQVFKKAQPSPYSYTAEIRSALEKGSRLI SSLMLKLYAKGGSSGTHGQTIATTLPYVQSDLPIGIVFRALGVVSDEDILNQICYDRN DSQMLEMLRPCIEEAFCIQDREVALDFIGKRGNQVSGFTRDRRVRAARDILQKETLPH ISQSEGCETRKAFFLGYMVHKLLQCALGRRDTDDRDHFGKKRLDLAGPLLAKLFRNIV RKLTNEITMTLKRCVEQNKDFQVQFGIKSGIVTNGLKYSLATGNWGDQKKAMSSTAGV SQVLNRYTFASTLSHLRRTNTPIGRDGKLAKPRQLHNTHWGLVCPAETPEGQACGLVK NLSLMCSVSVGTSTEPIIDYMITRNMEVLEEYDAVRYPNATKIFLNGSWIGVHQDPKA LVRDVQQLRRSNQIPAEVSLVRDIRDREFKIFSDAGRVMRPLFVVEQEDDPDRGVESG RLVLNKDMIRRLEQDATLPPDSEDFFGWQGLVNEGVIEYLDAEEEETAMICMTPEDLE TYRLAKQGIDVSQDNSEDLNARLKTKLNPTTHMYTHCEIHPSMLLGICASIIPFPDHN QSPRNTYQSAMGKQAMGFFLTNYSRRMDTMANILYYPQKPLGTTRSMEFLKFRELPAG QNAIVAIACYSGYNQEDSVIMNQSSIDRGLFRSLFFRSYSDCEKRVGINTIEQFEKPF RSDTLRLKHGTYDKLDDDGIVAPGVRVNGEDIIIGKTSPINPDNEEFGQRTKAHQKRD ASTPLRSTESGIIDQVIMTTNADGLRYVKVRVRTTKIPQIGDKFASRHGQKGTIGVTF RQEDMPFSREGIVPDIIINPHAIPSRMTIAHLVECLLSKVSTLKGMEGDATPFTDVTV DSVSALLREHGYQSRGFEIMYHGHTGKKLRSQVFFGPTYYQRLRHMVDDKIHARARGP VQIMTRQPVEGRARDGGLRFGEMERDCMIAHGAAAFLKERLFEVSDAFRVHVCEICGL MTPIAHLTNQTFECRPCKNKTKIAQIHIPYAAKLLFQELQAMNIASRMFTDRSGASVR PFICI_02209 MKFLVLLLGAAYVSAAVVEPRRGGNRKAGSQTTSAAAAATGAAT SGASGSTQVFTEIGGVPGNECLTFRNNGEIVDAACVDTAADRQMTPTTLSSGDAALKV QRTFTAGFRPDLVDVDACVGFNGTHFRAEDCTASGIELVTLQNGELVASGGACASGHD DAAQMTVDTQGQSCASYTTTNVTPSTS PFICI_02210 MPPSYSDPRLQNFTIIGSDGVTPIQVSMPLIDGIRVQLDNTCIS WSVQLGLCLMTLLTVLLLTPPSRMRRAINAVHIASIVVAVVRLSLLIQYFPGALTSYY VTWTKDLSVLEQDDFEMFVAGMALNALQFAFIEAALIMQAWTLIKCWRSAWKWAVRIT AIILALATVVVKVLWVVHFIQILHRTLLPVKMDTVGRTATVLGAASIFFFCGLFATDL TVHLLATRSLIRRLGRGLTNLEILAIGNGVLMILPSLFAGVDVASGLSGSHVLPFDAG SWVMTLVVIGLPLTALIAKYRGPTSTSPSNQASHRLSLFANGPMHVLKPHHSGAADNI TLDSPTGDSFMASRDATRANSIMSGRKAPSYFSRNPGPSHNEDMEMGIQVRKDVSVVL GGPDTNMPSLSSDLYNIGR PFICI_02211 MGSTNVTIQSRAPQFLAVVGIGLALVLAILFFYFNIRRRAFNRH RAGDPERAPIRFPGDDAAPLLSEVVPGPPGPDEYHQTTSYGATALEPSPANKREFLHQ GGGAKKSDKEGEDAGPGQVMAEIETGAGRPETPDEANLSSPSDEEEDDDRTPVGPSTT ARTDSEAPLDYLGGAEEEWKQPSRPYSPTTTASSAHSTGR PFICI_02212 MAEQKSQPLILIAGAGIGGLSTALALHAAGYTDIHIFEASSHLT TLGVGINVQPSAVLILRNLGLLPRLHDIGVETKELNFYSRHGNSILSEPRGVGAGYTI PQFSIHRGEFQMLLLDAVKERVGADRVHLGHAFMGYTQDEQSITANFARRNSEEPVEI PSMSGSILIAADGINSTARRLLYPNEGPPRFSGRILWRGCIEREPYLTGASMIWAGHA NQKFIAYPISGCSARRGKSLVNWIAELRVRDENDPDLTPPPADWTKTVPKEKFAGPFS EWTCGGLQMKDLIDSTEKVFEFPMCDRDPVEQWSFGRLTLLGDAAHAMYPIGSNGATQ AIIDAETLAKCLVEKPTDLPGALKAYQDMRLPPTAQIVMANRANGPDHVLQLAHERAP DGFENVYDIIPKEELEGIGAAYKAIAGFERDAVNQKAKDTESLPERFGV PFICI_02213 MTNFDSLVLETPPPLDPAWLKHEEAAGLRKPKAVLTAIERQPIY ASDCRARNAAMMASGARDHDLSRGIRVQALTVPSRLHNDDHQIPLLKYTLEEHHEHGQ VTEAGNTDERQQRIVLFYIHGGGLLVGEADSEDLSCRRLVKHFSGSTSSPNDTLHKVD PSIVLYSAGYRLMPQVPAQTCVSDVIAAFEAVRQSHSTGKFVIVGSSSGGELAALLSH SLPPGSVQGILLRCPVTVDAPLNIPPQFKKSHMSASQPFETSLLGRFNRQVPRDGLDR MPLEMPVEQIRALKLPRTWIQVCTNDVLYSDGVCYAELLEGSGVEVKVDVIAGWPHTF WLKAPHLSRALEVENAMLEGLDWVLAQ PFICI_02214 MAARSAALKLDWAKVTSSLGLRGQTVASLQAFKTRNENARRKVQ QLSEQSTTVDFAQYRSVLKNQAVVDEIEKRFKEFKPASYDLARQLKAIDAFEVEAVKN AQATKEKVDLELKDLEATLKNIETARPFDELTVDEVAAAEPSIDEKTSKLVSKGRWSV PGYKEKFGDLSVL PFICI_02215 MANPRVEELPDEDVKPQVEEDDSSDSEIETGDGPSGSAVQIHSR NEKKARKAIEKLHLQRVPGITRVTLRRPKNILFVINNPEVYKSPNSNTYIVFGEAKIE DLNSAAQQAAAQQLAAAGEHSHDDHAGHDHGKAVEEAKKDDEEDDGEEVDAEGLEDKD IELVMTQAGVSRKKAVKALKENDNDIVNSIMALSV PFICI_02216 MSETTIVASNWRLVEVGRIVLVQGEGAYAGRLAAIVEIIDHKRV LVDGPSSDAKLVVPRQAISLSDVLLAPFVIEKLPRGARTGAVKKFWEKAEIDAKWKQS SWAKSKDQKEKRRALTDFDRFKVLRLKKQRRFEQRKALAKVKASA PFICI_02217 MVFSPPAWVPKLPFDPPDSIPIGEFWGNEKYGRQAWEKSRTPFT CGVSGKSYSSSEMKQRSELLARSLSKRMGWRPNEDTHWDKVVGIFSFNSIDYIMSTFA IHRLSGIATPANAMYSASELEYQLKASGAKALITCVPLLETALKAAKSVGIPEDKVFL MDGAWEKPKQKIPFKTLDEMIQEGESLPAAEPLLWSKGQGARQAAYLCFSSGTSGLPK AVMISHRNVIANMMQVRWFESVGREAKGIETQIALGLLPISHIYGLVVVTLSAIYRGD AVIILPRFELKRLLETIERFKVSFLYLVPPIIIQMLRNQELCAKYDLSSARFIYTGAA PLGAETHEDVVKAFPQLNIGQAYGMTETSTVNFSTSEHDVVVGTSGSLLPGVRAKVLD EEGNEITEYGKRGELYIQSWSVTLGYLNNERATADAYVYDDNGRWLRTGDVAVVTKND KGVEHFSVVDRIKELIKTKGHQVAPAELEAHLLSHPAVNDCTVIPVPDEDAGEVPKAF VVKAVAYKDKSDEETAREICKHVEDHKSRYKWLKGGVEFIDVVPKSPSGKILRRLLKD KEREARRKAGSKL PFICI_02218 MTQSNVGSSGVYEAGDQRNAPQSELHNATRFEEGKEHSHQALDS KDERSIANRLAAEESKKDEEDPQTKALKEDPTLPAKMHGNEPSRGAKIDAELQQEEEE YLKRKGKN PFICI_02219 MSMFRAKKLDIGSFLNIKVIRDHTKRKVFAEHEAERQALRYIIR NTTLPARTRAEAQLQLTQMHCYTRPTQIRGRCILGGKGRGIFRDFKMSRYNFRMQALE GNLPGVRKASW PFICI_02220 MFYRPWEELPSDPEFPSDLGLLGYFVNDEDEIRSIQSPDHYFKF HIDKNSRYNERQRYAMNEAIENLVHARLESHGLEKIRLPLGTPSDKPNVPIFVSSDIK SKSRIILIIGETYQDLGIIAHRILGGRGGVNKGSMVSVVSTLKSQPSSSSDPSPPGFI LANTGQFIWHESLKRCCTISGTEGTPMPSAVHAGVKWYVEVGKDRVEGNKDIREHMKT IFETVIPAFLNKDAGLDIIAVGDGADALEKYLDWSETWKRLSDRINCLAILGAYYHLE DLQSTNFREFLREKGRAYATSVEPLGTPLSGPDGNPNTVTFTHNGTPVYSGGESHHVE TLLAHALPHLAEWLLEVTITGKKDYRNPNAPILYCDPENEALKMEPDWSKWQNAPSDK QPPADFHEVEPNANVKNDEGGDGGKVTIQDLDDDDCDKDVKELEKTLGMMKTTEHQS PFICI_02221 MQSRLALRRVFLLRPTKPSTSAASATRFFTRHTNLSSRNRPQLP FLSMPLARNRQQFRYLTTERKRWLVYEVVLGFKYIVYTWAIGACLLIAYYSVQQEWLE RRYPSPHEWSFITRLRFRLLRWGPDRNDTPEPNWVQIGNYAKNVLERLESTDTDGAGL QELTEGGIYIADVGKSGYDITAKSEPWRRGYYEVLMCCAKAAEQLDNHVLDAKRGIVF PAEQVHGPSNPNPRPIPPGSPAAPHEKDCEPFYDAPETYYMRILTTRGFTSKQKMDSA LEYATWLDFKNVPEAAERMYEWALQLATEDIPQTALPYSTTNYVLQEDNGSKPSANLL TSLTALATHKARHGDLSEALPILISILRARRSLPTTAAKHYSQPGVSPEDYQSPWTAS NIIGVVKRFVAPPAYPPPPPDGTLPPIRDSQELCEEAGLNLYIGEIMYASRSGAAVRE EGLAWTREAVETAEEQLHKLKSDTEASDEATKTCRECLGTGLDNWTKMAAKLAREERE RTENPSAGASSWFGLWGDGQTKVEEVGRWEAEEQVVKERTRRAQELLDELAAPKSGLG SLFWA PFICI_02222 MISDHFFDPKARGPGWRRLVFALDQTVNEFLDSALVFAAAMLGA TIARYYIFLTPTDARLNEVWTYTLMGSALMSTFSVFPCIVLQTITSVRNSHYQRLFLW FMVAAFIITVQCVFQITFYEFFEYPYLGDYNYHDGTWSANDPWFQEQEESGYTLGGEW EQYYRLLRNVTHVFGSDRTMIVEKYCGNPNITKVLREVVTVGFSLQAPVMLFCLIAAL VALILALPMNLPRLKDLQTRCADRLDKRSHAMNFARFCLGLIYLVMTWLFLVEFVRAR RQVKDIAGGTDEDSNWSFGQILALAQWVPIALHVISKFKHYPESYEAIYHFDEDARSS LPIRRAFGP PFICI_02223 MATTSYSVNGSWVAPSFRNISGQCNATYCENLHIDCDVVTNYFA NSILVQSRENKLTDDQAGDVGYLTAWLKSLLPDEWKTEFTADQKDVLDSDLMLWYYGV WQSDRFANTNWTVYDEHDDELEAYDSILAISQTEAFSCDGRKICDKIEIRGDPDVSGR GMLMAYIILAVLATIYFLILTTDRLISQVYESRGGDARRQSWNRIVTAFRETVDDFLS AALIFAIALLGATIARDYMYLNRKNETQPADVWSYALQGSALTSIFSVFPCMILQTVT TLVNATYQRYQRLFLWSTVISLAIAVGILTQEAMGDLFTQNDAFHRLLSPEYDYSDWT DMKLYDSDVALWNESVSRAGVYRTMIWEQYCVSNDVTRELNTLVAAGFGVQAPYFMFC LLAIVAVLIPVICPIRLASTPDEYVKNQYGMLHSQATRVMTHLRVLMGLVYLAMTWLF LAKFIIYRHLVKSLAPSTDQDSDWSFGQILALAQWVPVVISLLSIWRSKTRIKTCV PFICI_02224 MSWSQYLPQDRIKWTGGYWTHPIWPSEPDVAVIKDIVASVLPEK EIDFSVQFLADGARHKVYDVSHSSWSKTYLFRVAIPLDPRLKTESEMATLVFLGQRTT IPVPKPIAWSSSAEGKLGYEWALVEKIPGVELGEVWRKMPWEKKIEITETIAEFLVQL WAPNMRLAKIGSLYLGHAEFSDDHILEHKKDDNEDDRLDSCPAKKTDPKNLGKDKFTI GSAVDSAFFSDRRRYLTTNRGPFSSCHDWLDALIHLEQEMIRTAKVLLESKEDLTPEH QAEDWDDLVDEIGVDEDDFEKEYDDMVALCHKYKEILPLVFPLQEAPYEDKLSCVLQH YDLRDANILVDPETFEITGIIDWEQTYSVPDWYGIDYPMFINTDEPYDEGEPPVPTTY DEDSPDYNAVLVGNRDRWDAKQLREHFDHAVQKLMGAKDWRPAAAQNRLKELFIEGTA NLTDPWCHAKYNMKCILEALKISETAAFNSERSDQIDTELDGS PFICI_02225 MRTQAKNIDKFLENIPDEKLRNFADRQHTLYECYNAGFRLDHQG LNDDPNEKPFVHRLYVQPIGGSGTKSKSKRAKANKKNDSVTARCHVSNKATPQEIRDA LLESYYGKGLVLAYAPPK PFICI_02226 MGGAVLVPDDVERRPSCEPLLDHSRTSYSSMGTTEEATAGPAQD NHSYTGAHHDIDEAAARRIMRKIDWHIIPLLFVTYVFNFMDKTILSSAAVFGLKDDNH LIGQQYSWVSSVFYFGYFFWTYPTTLLIARLPIGKYLSATIIFWGVVVALTAACSSYG GLITVRFFLGVAEATVTPALMFITTTWYTRDEIPKRTGIWFAGNSVGGIISSLLAYGI GHIDDSVGPWRWMFIILGVATFLWAFVIFFLLPDSISNAKFLTPEERQWAIDRVVIAG TGKTEKTAWKWDQMIECLQDPKTWLIWIIALLCQIPNGGTQNFANLVITSFGFTSLQS TLINIPYSVITVIAITGTGWLAGRFRSLNCILAALIVLPPVVGSALIGKRADLPQGVS LFGYFLLSTGPSALPLILSLIQVNFKGVTKKMTMTAMIFIAYCAGNIAGPQLFKSSEA PTYPTAFRAIMICYALVVCLCIALRFYLSFMNNKRRQEEGIEGSAGTSGAVGGKVDDG ADERPVTEAVNEVQLRPEDYDDVTDWKTFGFRYRL PFICI_02227 MNGLEISPFIASLPKVELHVHIEGTLTPSLRWKLAHKNNVPLPY ATYEALLESYNVLYNHRKEVNGDNGAPTFLETYFAGTQVLCEEEDFYELGMEYLQKAK EMNVRYVEPFFDLQAYLPRGISAATVCNGYMRAQREGAEKFGVQSNWIMCFIRNEPLE EGIAAYQAARPWAKIPGGKGLFHAVGLAANEYDRPPLLFEKAFQMAIDDGLQVTMHCD VDQKDAADHVHEAIFSVCNGTGTKRIDHGLNAVDRPELIQGLKDRNIPLTLCPHAYHR RQATEVLFPKIRKLYDEGVKFCINSDDPTYMHNVWIDGAMEKVYHYCNMTKGDMIQLA RNGVDMCWAGDELKAGLLRELDEFESRISQEP PFICI_02228 MAAGLSYTSRAHNDKPNTGRHRSRTADVIDHTEFLRCQTGGKSV INYSYTDNPLKLLMWDIWTFLSFSYALPWVVWPPTPSESAPELDELSWAPGNLFCLFV HLVLIVLQIGFLLVLIPICILLPVWMAALCIGGFLGLNWLLVIALNGNSLIYNSDPKY ARASDENAHEKWIFINGVAAGEYWMKSNLDRLALTFGRPIEGIHNKTTGIIFDVIECL IQRNFGYATEDVRAAYKVVKDHLYDEKLSKVVFILHSQGGIEGSLVLDWLLQELPQDL LAKLEVYTFGCAANHFNNPHRHKKSQIEEQNSANGLLAASNPVTETALSESPVDMKTS SLSKNSTTAQSNGNGAGAGDGHTIKSHSNTVSLLSDDVPIRTTSDRVIGHIEHYAHTT DFVAIWGVLHLVTNVRASRMIPRFMGYVFSRTSSRGGHQLNQHYLEEMFPLERNAAGQ LIGCKDTNKFMESIVEHEKAGTELQDLYDGVDVSLAVMRGRRASDIKPEVGLHGSFRS GDFKNREVRVADLSRLWQYR PFICI_02229 MASDKIVVAFDLYGTLLSTESIAEELAKLFGQEKAASIAGTWRK YQLEYTWRLNSMGPEHYQPFSQVTRSSLKHALLEHDVDVTVQKEDRLMRAYDSLHHFA EVPAALRLLADKSDLVDAYIFSNGTDEMVGNSVKSSPDLGPHAHLFKSLITVDSLRVY KPAKKVYEHLLSEAGKQGRPSDVWLVSANPFDVVGGKLAGLRTAWVDRTGRGWLDRLD QTNAPTLITRGVEDAVQGILDWSPDSEKGVS PFICI_02230 MIPDDQQKNYDLFRDCLSSVLIDRVTKPEPKARRRAKSKKSREQ TSVISSTTQEEESNKLQDTEELGDFVEYIAAETFESLPEELKTIEHHDYAQDTDLQAR YSLPLTGDDVGTLIPALDPSVSESLLTYGIADKGRGQGAPEFLAPVLTAFISAIAAPP PAPSSTRTTACEICGRDWIPLTYHHLIPRFVHAKAVKRGWHREDDLQNVAWLCRACHS FVHKFASHEDLARYHYTVDLLLEQDEIVQFAKWVSRLRWKGR PFICI_02231 MFEDFVIVQHPLHSGMATTGACHLANVPNEIILLIINHVPHQRD LAAWSRTSQYFHSIINPALYDAEISKKDWRVVFWAAQHGRIGTLRCWTAIRNADGSIR APMDIYTTRFAEQQFNPNIRPQPPSAPRYDTDYTLGAYVQGPMDQATRERHSRCQAPL HIAAKFGHVEVVKFLLDHGADINAHSLGLVPNGLSVFRDARDRHHFLSPDCVTLNALH VAILSGKAEVAKVLIQRGIDLELAPLRAGHESHITALHLAAAYPYVMGNISLLKMMAR LPGVDVNAPDVDGQPPLMYAAECQSDNHPSMRALVEVGADVNSRVNTREGFEGSLLVA LIRRIRWGAAAYLIDKGASRDVEPGERTLLEECERAKMSVIYPEVVKQDQYEALVSRV GTVVPGHLLT PFICI_02232 MATNQPNSITAASPNDPPRPMTSRNLNKTWRDVVWEQYAQDLAA DVPFPVRYLIHAAQWRRDIFITIPSKIDARTLRRAVLEFAKERRREADPFWDGEYISV RLRARPALTGQGESAAESVPLDDGPERGYTTDETEEYAARRDCRRP PFICI_02233 MASQSHPSGHVQANGQLTARSSPNNQIQFGQPSQHVTSNASIGQ SQSLARRIFGNIFGSSLQARPTPSATNDHAQFREPSQHDDAQAVSQSGVEHGYAWVPT VRERNDNGTVSYRPMAPPTFVSNVQEQSIIPHGAENLAMTHGEVNLPEGTRLAAHLPL GPEDAPNAPGRSYRRDFRLMIEATNKIDTLAPDLEYARQHNWYQQIESLERQVHHWRR DINFRIERSKHSPIFQELLLTYPHIWKHVNSASLRQRLERRRLTAPIKAEQVGDEKDA VLNRVVSQVRARNPISNNSNAGKVDFFKKEEHEIQNRAQIKAAIQRAPRLPATSNHPS NATRLPKEEAGTRTRPATTATLGVSTPAEMNSPESDSDDCVIIGERKVNSRAHRRRRN GLEQSNMLSKGPRIDRSPSPYRERSPRRRRHLDYRERSPQRRAVIEELE PFICI_02234 MADPATVIPATEVVPVESKKDVFKNKKQIWDPSKEYQRAIFGGH LLGQSVRAAEQTVPDVYDVHSLQSTFLKAGDASIDVFYHVDRVLDGRTLSSRLVRAMQ GDVVIYVATIGFQRRAAQPAPTGGALSYHEPAPAWVKSVDPEDKNLISSRDMLGITGF PQYLIDGFHHPFEWKYLPLEPAEPTEFRSRSIFRSFPLNTAERQAHLAAMAWTSDVFL IYTANLANPAKFPVGMGNVTIETSVNHSVWFHSPSTRIDEWVACERRTSWGADGRVLI YQTWWSKEDGRLILSCVQEALLRLKTANL PFICI_02235 MFATFSLKGLLSCLLFAGATTAAAISHDLESRQSSCNTPSNRRC WTSNFDITTDYEASTPPGGSVTYNLEITEVHNWKGPDGVVKSFVQLINGQFPGPTLYA KWGDTITVNVKNSMPTNGTSIHWHGLRQLGTNVQDGVNGVTECALAPGKSRTYRFKAT QYGTTWYHSHFSAQYGNGVWGTIQIDGPASNNYDIDLGVYPISDYYYDSADDIVLQTQ AVGFPPPSNNVLFNGTNINPVNTTQGKYSVVTLTPGKRHRLRLINPSVEHNYQVSLVG HDMTVIATDLVPVNSMTLNNIFLGTGQRYDVLIDASNTVGNYWFNVSLSTSGLCGQSL NPKPAAIFRYQGAPATLPTNPGTVPPDAVCQDRTDFTPVVTRKADTTNLGPQVNDPAH NLDITFNTTAPVTWFVNNSAIDVQWDKPVLDYVLARSTAYPRRENLVIVDDKDIWTYW VVQNLTPVPHPMHLHGHDFLVLGHAASSNFTASMSSSLNFNNPTRRDVTMLPGLGYVI LAFKSDNPGNWLMHCHIAWHVSGGLSVDFMERRNEQAALISSADLAAYQKQCADWRAY APKAPPKIDSGI PFICI_02236 MAEVPGTRDIILSPYKPQIKNVTAEDVATSLYYVHLDTPEDDLL IANSPRDVLPRRSSLESSRSAINRKPLAAVPPGPSNLGKASEPLMRTPDVPAGASVGR DDPSFAAPAPQSFPHHGPPVPIAQGPPPPPIHRKPLAPKGMAVDTQVQPPTPPPHEFF DVSKLPPKPSEIDNHPEYGIPPLPPRQDLRSPSPSKRKPFTPFSLTLIRRDRSSQQQW NVGKIASFQLDHPEQIPDEKLIPSPSISIHLETPGYAKFRGMPPPGTAFDIRDIRESL DIIRPGSSSASPPKFQSPPRPRPAKPVDITNTHTSPTSGAIFERQVKMAYGPSWTTNI RNAFKRPRSREGDLPVSPGRPGHERQTSNISIGSFGGDFDGGEPPVITMPSQGLKAQG YMFFSPWDGRCEFRTGNGGRSLRCRHILPTHTSQWNPLADGLDEDITGAKGHKRHGSR SPKVKGNDISELRFNLPSAELFNNKPSDSDRPHEARERTRDRILNSMINKTEDDLEDE EYESVGFDMSLGREKAGGGNRGKRAKMGKLIIWDEGLKMLDLVVAANIGIWWNVWERK MN PFICI_02237 MATAAAQQPQVAQKDASSMPKKPSTSRSIIAGALAGAIEISITY PAEFAKTRSQLNRKLPDGQKLPWPPFGPQWYAGCTTLIIGNSAKAAIRFVAFEQYKRL LADENGKVSGPRNVIAGFFAGMTESLFAVTPTESIKTTLIDDRKRANPRMKGFLDAIP IIYKERGFRGFFQGLVPTAARQSANSAVRFGSYTTLKQLAESYTAPGEKLGMLGTFGI GGVAGVITVYATQPLDTIKTRMQSIEAKQVYGNSFRCASMIFKQEGVFTFWSGAVPRL GRLILSGGIVFAMYEKSMELFNKFDPEGKII PFICI_02238 MSKQAVSAQFHLLKALNRWPKDTLRPTVQLQEVLKKRFEAKGSG LSEQEKLKQANALYSLLDNRYKKKYPIHGENSLMKPKSNPTYFADLVRELEEAPNRSW LDRTLLRLKGIIRLKQ PFICI_02239 MAGRYERVETHDQDDIDTPISSVAPSVRQRIPNSPPPSFHSRAS SLERRRQQNVDATLADAFDADGDDSDDDEPDDRQRLVRQSSFPVSGGLATSNGSSADR PNAPVRPSAAPGGSNAQSSSRIYGGGIQSDGVFSNMTARPERGEKIVEEQPPTYEQAA ADAAPPYWETTILAPGLGGPDEVYIDGMPVGSIFSFIWNGMISMSFQLVGFLLTYLLH STHAAKNGSRAGLGITLIQYGFYMKGSSSSDGMNSSPNDGYAQPPDPNSHDFDPNSVN SGDDSGSALNDIASSEWVAYILMIVGWFILIRSVSDYIKARRHEQLVLQGPDRSAGFP IIVDSEESGRVV PFICI_02240 MAWRSSGTSNETLVENLWRHNLLSSPVVKAAFLKVDRGHYSPRL PYEDSPQSIGHSATISAPHMHANAVESLLKYIVPIGGGGASEQLKDGEGEDLGPPGRK DSKVDEELADLHALGVPGRPRRILDIGSGSGYLTHVMAELAGEGSVVVGVEHIQELRD LGEANMAKSPEGRALLSSGRVRFRVGDGRKGWAEPSSPGGEQPGVGGTADEDGGWDAI HVGAAAIELHQELVDQLRSPGRMFIPVGGKDGWDQFIWTVDKDREGKVTKKRLYGVRY VPLTDAPGRSVRGLH PFICI_02241 MIELLLLHWRTALLALIPLIGVLVARKFKSNEIDFKQYAHFPQP EARDEKRGHWPWIEKSAGEGDPRRSFDIILYEQAQKLGFPPVLLVDWRPMEPLLILFI LDNNVAEQVTRATKQWSTSTPKHPAMQDLAPLVGSRSLVTLDGDEWKGLRKRILPGFQ PQHLLSLVKVILDKSVLFIEHLEKRAQTGEEFRLDELTTNLAFDVIGIVTFDMDLNAQ VPDNQSPVLTTYRDLSNAYNARDLTKHWMRRYFSENERKIRSLDKKLDVILKENILKE HKKLLAGDNTASRSVATLSLHGIEKLTPEMLQQTSDTCRGFLFAGHDTTSILMQWSFY ELSKSPKAMKALKDELDEVFGKDPQPSSVINQLLAPGNSDLLNRLKYTDAIIKETLRL YPPGTTARLAPEGSGTTLTMPDGQKLVVDGLVLTPRALIIQRDPKIFGETKDDFVPDR WLGPEAANIPESCWRPYERGPRRCTGSELANMEAKVVLACIARRFDFVKVGLGELDAD ENGQPILDDKGYYKTKSTLFTTDLVTAKPVDGMVVKMKINENAK PFICI_02242 MAGLETDTITLSSLARTPASSRSELYEGLPLPRPKSSIRLLHLD RNVHGSSASVEGKLRVVKLDDSPQFVALSYVWKDGEEDNSGDRFIRCNNFELPITKSC HDALTSLRDSCAPMDIWVDSICIDQDNEEEKNLQIEIMTEIYTWAKTVYVWLGPGSIH TDKAIKGLKRASNFRVHYPGVPWTNGKVQRTWRDVYLLVTSTMLCYSLLFLSGLTFGT FGIRQSFKAEDLDELLSRGWIGRAWTYQEIIFASNPIIRTASHEILRQKRK PFICI_02243 MVMLGLFIFQLCFAASMVALNGQNGRLSTAMLILNAILVGCLVL FFDFVVGVNVLGVTPYVWGDKDGESRLFTGIVQALRERQATRVADKAFALHEVLRSIG ISRAAQFELQQNVSDVYHRLFIDLLHRKTSLINLLIDVGGPETFPDVPSWVPDWRSSH KNDWGQSEFISHHTVIYGAGFPEPQMMISRDKLGLWACRKGTVREPLPGMTMDINLPG SDGGVEDFKRLVIIYVEGRDIFITTEGIYGTGPPGMAAGDEVMWLRGVAVPMILRPVE EEDSEFKVIGPAVVPGFMDLLAFNGAVHGDGWELVYLI PFICI_02244 MSSHNIVVFAGDHCGPEVVAEAIKVLKTIESHSSDSVKFNLQDH LLGGCSINASGVPLTDEALTAAKNADAVLLGAIGGPEWGTGAVRPEQGLLKLRKEMGT YGNLRPCSFASDALVDYSPLKADICRGTDFTVVRELTGGIYFGERKEDNGDGNAWDTE PYSRAEVERITRLAANLALARDPPAKVWSLDKANVLATSRLWRKVVSEVMANEYPQLQ LEHQLIDSAAMLMVKSPRVLNGVVVTSNLFGDIISDEASVIPGSIGLLPSASLSGIPD GKSRCNGIYEPIHGSAPDISGKGVVNPIGTILSVAMMCRYSLRLPKEATAIEEAVRRV IDNGVRTKDLGGSAGTKEVGDAIVAELVKVLKA PFICI_02245 MDLACLELGSRSRYCRSVHPGLQLCLSVPHDITHSPAAKKSRLQ ATTGPGHLASPKHWISAQTFPNFTASPLRVAFAAVPRLPKRRLFCLSFNLFKSIGSAG VAALVRLSNNSFPVRLSQGFCLLRKKGIRSCWEQHKLKQADCWFLNALGLSPSPPKIE VLHRLGHMHPTAAFDCPGEGHCKGLRSEYFGVPSAQPPTPKQTPTSVVFPSPVFETPR NNVSSFEGFATGTPRFAEEYSVFDNTPNSNLQGADTPLADISVSNPYQTPLVQKRSFF SGDIASQIATHVNHLSPNPNLPLPPVDPSRRLQSSHSLLETVSSDQDGISPLGFQDPP TKKPRQTLEEIQAQQTLTPPPTAHKGARKLAPKLQMNTLQNEDSYPPGFVLGTPQQSA LPNFVTTPTDMFMYPLSAPATAPVYTDTRPFWDNDASMNGMDYSFGVGNSAMFPAQGH RPMSSYDWGRANEMFQDTGIIPQQPLQAHESNVPARRERLLAPKPPSAPPLLEAGSQD TAMLGNSFIGSWDGSFGLVNSGEGVDPGLLFSRPQSSNMAPTNANPTTQNPVPIQPMP QTTPVPIAPKPPQQTSVRRANSIKEIKPSKRAERAAASSPIKPSVRPALSRSASENKG RRAALPALAPAARPVPKQSIGSRPSSQGSRGSGRISPLKSHHRLSSLSSIPESVTPKM TRASIKFTIDSHGRARAETTMVPVSDDEDATPKAIRTTKEVRPLSSGWDTSGEDESSE DDDPIIIPSRNQSFALPDPNKPTSTHPFQSSRRSVSEQGPNSSLGIYLNEPNISVADI DSDAETEVYEPQGSRGDAASELRKVVQDRQKRISLNTNQLYAPGPRSSASTVSPTSFT EASILTPSSHANAVRCVCRRIESPHNSDGFMIQCEACEYWLHGKCTNTGSDNVPSVYI CAFCGETPTAYGIPKHQAGRSNGEKPSRKSASSPLAHKSFKSFR PFICI_02246 MSPILPADIYCHILDYVDQKTAVNCRLLNVFVLPIATTYAFRHI RIEAWHENRNFINVATSPIKHHVREITIDTWAGPDRKGQATSDHKLPRNLSQPLLYLR HFRDIKTLNIRFDRRCGRESRRGEPRIDQDYDFRFKVLDIAFQYLAGTRSAGWEEEPK TSVDCGPVEIKTLTIANLADYNDERLTKSEAFKQVMSSASLTDVRLLGTTGDSTASLE DNIWLPEKHDFFETIPSTWLCPPVAQNLRVLSLFFRDYWGWNPKMDFRSINPVSGLKT GLPNLRVLALGNYVFSHEWQVDWIASVGERNGRGGLQELYLDDCPIMWQARTLEPLDE SETVIELPDGKSLSFSNAGYPSKDVMSSPDPVWNPTKFSYHLRWKQILDHWQEHMMGL QVFSMGHGPWDGEAAELMMLPSSPETSSLVPKLRPNAPGWREEIEEIRKLREYREHPA LDTMHLNYACPSPSDMRDSGDRSRYYNGVGLAHERPCILQYVHFDIGVGPTPWIERDN ARTWFEDGNMEAYEVARREDEASYETLQAAVAQRNLPPRSHA PFICI_02247 MKVHNAINGLILPLLWRAAAQGTDYSQYVNPLIGGSGPFDGLAF GGGDIFVGGALPFGVVKLGIDTYEQNISYSTINGGWTPEGTVTGLSMMHESGTGGAPK YGVISQMPLTTIDEPVNILDNRTYWQNRTGEDTARVGYYKTQFESGVTVELSASRHSG ILQYTFPAGEKHVLVDVSHYLPSEHGGYSVQVFLGGEIEVDGNTYTGHGTYGGGWNEG APFTVFFCGEFESTPDQARTFRGRNTDPMQRYHTFSNEPPGQAIFSSNDTNKETSGPL NDRVGAVFSWDSEADSQVKSRIGISFISVEKACQFKDNEIPSWNLNDTVDAAVKEWNE DVFSRIQVPTDDSANTTNLILLYSSLYFMHLMPSDRTGENPLWDSGEPSWDDFYTLWD TFRCTVSLYHLIQPEAYESQIRSLIDIWRYEGYMPDGRSGNWNGLVQGGSNADNVLAD AYVKGLRGDINWTAGYLAMQKDAEVTPYNTYSYDDPSASVKEGRGALDDWKNLGYVSV DHNTRCISRSVEYSLNDYALSVVAAGEGAPGDVEKYLNRSAGWQRTWNPDVTSHGFSG FLTPRFSDGSFNTTGYNPALCDGCEWVAISYEATPWEYSFTVPHDVQTLIEKMGGATD FESRLDYIFQPNTSEQDLSANGAGITTIMNIGNEPDFATPYLYNYLNKQYKSVNQSRA LANQYFHNDLYGVPGNSDAGALNSWLIWQMLGLYPIVTQPVYLLESPWFTDINMTING NETLRITTSGGNAQTLGQTGFYVQSVKINGQEWDRNWFNHEDVMVGGGTIEFVVGDEP VVWETGDVPPSPGHFVI PFICI_02248 MPRVINRRGGRVIPGPGRGGFRGGLIGGRGGFGVPLVPVVNDGN VEYVTEESSSSPSRPAEGGSDGEFEPDLEDVFLVKDLLKRAGDLPDEIALMILDSAEY WACSSTTVEYDNLQRGSLHIRGTTGENKFLVRSEPLGMSLWSPDDQEAWHSQARPQEI REVVSREKLHEYVDKRIDAALESPCRKIVFKITSHDQGWGGNIADKGTFKGSWTWFDA GIDRFTSEPESLNGKAPSEGSGDVSVSSLRPVWPPIKEADDGSTVYDHGVHAAQDHKI QGNKTATRETQHHTVEWNYADDINPELSEADKLEDIGRGRATGNGEFVRNLKLGDMVT VWGRARFGGWENVVKKVELKIYWKAA PFICI_02249 MHPLDPLTPAEIAAAAKIIKSINTDKNIHFKNITLIEPPKEQLK KFLAAERNGTTAPLPPRRVSSLYYHRGTADLFHTTVDMDLGKVEGTEQLNSRYFGQAD MDEAIEVREKCLSHPQVIERIRNYGLPESFVVVCDTWPYGRDSAEMGRRLSQCYLYAK DGSHPGANAYDHPLPFSPVIDYVTKELVTIIELPVGADHSVTPDFKYIPHKSKEWHHD LHSEPPRTDLKPLTVHQPQGASFTVDGHLVNWQKWRFRVGFNWREGMVLHDVTYAGRQ LFHRLSLSEMFVPYGDPRTPYSRKSVFDVGDIGAGVAANNLALGCDCLGLIKYFSFTL SNSNGEPVEKPNAICMHEIDDGIGWKHTDGATRQVSIVRSRVLVLQTIITVGNYEYIF MWHFDQAAALHYRIQATGILSTVPIAPGVQVPWGTNVNDGVMAPYHQHVFSLRIDPAL DGDKNSFVEEDSIPMPFDENNPVGVGYITEKRTMATSGYSEAQPNRVHKIINPSIINR VTGRPIGYAIHSPVKQMLLAHPQSWHGKRAKYALQPYWVTSHRDNELYAAGDYTYQSL PEEDAPVGSVTPGRGDVATWAGRQDKVDNEDIVLWHSISLTHNPRPEDYPVMPCETMT VSLKPSGFFEQNPALDVPQSTQGINKSILYEQYQQTAAKTSPGNAAGCCTPKL PFICI_02250 MHARGTSHWRVDAAAIENKSAPRGARARTALSPDPPSYVQRLEE RVAQLESLIPQERLDHIDAALQQDQDMSDSRPEIQPSINNPAQSFRAPSQFSSPLQND VDIVGPWAQTPSENGGPTDIFGTLSGIYSPPLQTSSEEAARELPELDTAAEQSLIQIY FDMAQSQYPFLLKHEVINWAESWRTEKDTSSLVSKWKGFFVYMVYSIALLMTKTRVDG TTKSSQAFYTHATSKYLPYLVKSPNLVLRAQGFLLLTVYAMHMPVQDSIIALSSWTIR FCIMAQLHLVETEPLGSDRDSSLHVQHRRRIFWCSYAIDRAVCSSYDFPFSIPDHHIT VPFYGNINDDQLLPAIGHAQLTESLLPTSVSSALHVLASRRLESEIQETLLSKDFAMS SSQAFAWRTQMMQKLQAWNRQSEALSEPSRKGYVGQGWLKMIYYYGVVTLWRPDRTIA KGSAGDLSVRACCQALLLFRKFQMAREIAHPWLGLLTQFQIGVTLLYCFYATPISHWK ASYKCADVSSAIRACSSTLAILAERWIEAECVRDMFEILASEIPIGQSWDRPAVMGDA GRAAIEENWERVAKIVIHRPTLRMIREMATEDFVVDGPEETGVEIRASTATNQNTAEE ATNLMPGIGLQWHDSSTPSLDFYGNMDTVANLEALSPHTYFDM PFICI_02251 MTTIQLPASDSLSLHLTHPTSEECIHIWSSTAALWKDSLTVPLY VAEAEFLTTVPLAKDGGMTTWVLVDKNLPPHQRQVLCSCETFRKRALMSDDKGNIEEV LVHGVASVFSPPAYRGRGYGIRHMKELSKVLRGWQAEHGKSFGSVLYSEIGKEYYAKM GWMPNSSNGHFILPSIMMEKPAMTQPVAESNLESLCLRDEAMVHEAMASPSTKQSRVV VLPSLDHMLWHIRKEEFVTNYIFGKKAEVKGAIAGSPGKQVWALWVHRYYEHPDHAKE KEGDDGNVLYILRLVVEGDDTANKPHEDNPAPLIEAYMEQAAALKAVIQAAQAEAAEW KLDQVRLWEPSPLVHNLLEQSDIQATWVERHESSVACALWFKEDNAASEEDPTWVNNQ HHAWC PFICI_02252 MMFKLSRPSLFKDLSLVNGQWVEAASGKQFNVIDPGTGKKWASA PDNDASDVDSAAQAAQKAFLKYSKLSPRVRSQLLLKWSMLIKENTDDLAKIITYETGK PMAESLAEVDYAISSSYWFAGEADRIQGTVFDSSAPGKKVLTIKQPIGVVGALVPWNF PIAMVARKAGAALAAGCTMVIKPSPETPLSVLSLAFLAEEAGFPKGVLNILTTSLENT PALSQALCCHPLVKKITFTGSTRVGKLVAEMCAKGLKKVTLELGGNCPFIVFDDADLE QAANALMSLKWRNAGQACISANRVYVQSGVYDSFALLCKEMTSKFVPGHGISNSSTFG PVTTPQSLERASAQVEDAKQNGGTILLGGGRVPGSTGFFFQPTIITNATKSMRVTHEE SFAPILALYRFETEAEAVKAANDTPMGLASYLFTKDLDRAWRLLDDLEAGMIGLNTSA ITGAESPFGGMKESGYGKEAGKDVAVEEYLVTKAVSVAMGARL PFICI_02253 MAETDDKKIVAMTGTTHQEPDAGEVIDASDTLIDDGVRRALKSR HLQMIALGGVIGPGTFYATGYALQYSGPVGALIGYIILGVDVFFVTQSLGEMATLFPT TGSFNEFAGRFVDPALSFALGWNYWYMWGTILANEYNGAALILTFWTDKLPNYAWILI CWVLFMFTSLLGVLVYGEMEFWLASFKFVVVIILYLVAILVDTGAIGGEYIGFSYWKD PGPFANGINGFGKVLVLAAVMYSGTEAVAITGGESRNPRKDIPKALKQTFWRILIVYV GMVFFTGLIVPSNSDALLNATSKSAASPFTVALNKAGWAGAGNLINAIIIVTLLSSIN SAIYIASRCIFAQAKAGRAPGFLAKTTKNGVPVNAIVLTNLFGLISLLNISENAGNVF TYLLDISGSAAFIAWAFIGLTHLRFRSALKAQNIPVSSLPFQSFGYPYVPWILFVGNI FLVFISGYTTLLTPFDLKGFIFSYLVIPIFIILYVGWKLYHKTKWVDPSEADMASGRR DWLGGVDEESSGTGLFKRVKNVLVG PFICI_02254 MAPSIKTFDSDDSILDPAIKAHIASLYTAVDNKDLEVWGSHFTE DAELKKGATNVRGRQTLVDLVTKSWTGVKSRDHVIYAVFPFGPKAEEIMLHGRSNNVS ETGEATTFTWAARMHFRRDAEGKVLIDKYTIIPDYHPSTL PFICI_02255 MRAQRFGAAALRRLPASGRCASLETSPIPIARAHLLRPASSAQV VRQVHSSPARRNGTASGEASNPAMAFPCLDALETRSATLEAKSLASGPEPTYTAGATE KYHCKDPLLLDWGGVLPEFDIAYETWGKMNEDKSNVILLYTGLSASSHAHSTPSNPQP GWWEKFIGPGGCLDTDKYFIICTNVIGGCFGSTGPSSIDPADGQRYATRFPIVTMEDM VRAQFRLLDGLGVDKLYASVGSSMGGMLSLASGVLFPSRVGRIISISGAARSHPYSIA MRHTQRQVLMMDPNWNRGYYYDKVPPHAGMKLAREIATVTYRSGPEWEQRFGRRRADP EKPPALCPDFLIETYLDHAGEKFCLTYDPNSLLYVSKGMDLFDLGRDTQQAAATRRAQ TEQAIESGSPITSNDPACSLTLPEIPYIEQGESSVEDTNSPVKASSRPPDDLIAGLTP LRDIPTLVMGVASDILFPAWQQREVADSLRLGGNRNVSHVELSEEQSMFGHDTFLLDL KNVGGNVRNFLG PFICI_02256 MASLEGTTVLVTGGAGGLGKVIATTYLEAGANVAICDVNEARLA STREELESTGRFLATKTDITDEAAVDALVDEVVAKFGRLDILVNNAGIMDIFDPVGEL SKDRWDKILGVNLTGSFLCMRAAVKAFEKQEPVGGTIIQIGSAASNLGTCAGAAYTVS KHGVAGLVKSTAGFYGDKGIYAIALLLGGMSDTNIGDAAGGFGGFNATAYSRSAAATF KPEEAVPLRDVAKYCVFLADRSIAATANGSCVPFARNWPRQ PFICI_02257 MTALQDVIDQLSNAFSSSPSLFIGALIAFYLVSSSVYSWYRLRH IPGPWFASWSYLWMFRQGLNFRQGIIYRGITDKYGHLARVGPNDLITDDPDVIRRMSA ARSLYGRSDWYQAIRMNPHQDSLFSMQDTTAHDKLKAQLSFGYGGKENPTIEDGVDEQ IQAMHALIRRKYLSAPPTAGDIACRPLDLAVILQYFTLDSLTRIAYGEAFGYLKTDSD VHDYIKTSEDYVPIIVMCAEIPFLRHLFMNKTLLAWIGPKATDKKGIGKLVGVARDIV NKRMKSEAEDQRDMLGSFIRHGVGRDKLEGEIPFQIIAGSDTTATALRGTMLSLMTTP YAYQTLQREIDDAVARGAISSIAKAEEGKPLTYLQAVIYEGLRMHVPFTGLSMKQVPP QGDTILGHFVPGGTRIGHSFIGVERSKEIFGHDVDVFKPERWLGIDPDKKREMAQVVE LVFGHGRWGCAGKPVALMELNKIYIELLRHYDFQLIDPNKPMRIMNCNIYFASDLWVR VTERSK PFICI_02258 MSYRVEVSPNARAGCKDAVCKAEGTKITKGEIRFGAWVKFQEHG SWHWRHWGCVSGESIKNVQEKIKQDDGSYDYDAIDGFDEMTDHPDVQEKIKRVIEQGH IDPEDFNGDPEMNRPGQKGIRGRPKKAKAADDDEAPKPKKRGRKKADAADEEDEEDVK PKKKAKKTAAKAEDDDEKPAPKGRGKKSTKAAVKDEDETEEEPEEEPAPVKKPRGRGA TKKAVKAESEDEVEPEEEKPAPAKKARGRKAAPKKEPTPEEEDDEDQQEDPEEPEEEP APKPKGRAGRKSKTAAAVEDAAPAKPKRGRGRKSAAADED PFICI_02259 MRRTAFLGFSIASTINGIAAAGFSPSEFVPLLGPSFISNFDPTN TGPIREAIEAFPEVIDALFDDGTLNKTDLTFAIDVFSAATNQSLYSYHHVGDSQQVTL TSGQLNDKTISKLGSVSKLFTVYAIIAKAGIEIFSHPVTKYIPELACNSTNSSSNSLN HISWEDITIGALASHQAGTGGATKLLLDSGNPFIITPQQLFDSMCTKYPVISPFRTAV YSDGGFAVLTQVLARLSGKEYGEAIREILFEPLGLEGMSDKVPSGPGVNAINRTTVDN SSSGGLHASTSDLRAAGLSILNSELLSAATTQQWMKPLSGTGSLAEVVGAPWEIQRLA VPATPGSNRTRISDLYTKAGGNGDYNAIFALSPDHGIGYSILVTGSTSVLARFIIRNA VGELIIPAAEAAAAENAQENLAGTFAVQGSEGTNLTISVDEDAPGLRMESFYIEGVDS SGLFVNTLGGMMPTEQELRFFPTGPNSYSKSLSAQYKTDGTIKVAHRLVHSDTAPPLA PRSYSEGGKGGLFNDQHTWQDIGFELAADNFVFTIQDGKLTTVECVDLQLTLNRIE PFICI_02260 MDQIQNELELMAQGAATICQYATSKSDAVERWVKLFGYARAEAE SKIERRREDINSTTISMEHWDMIREEKEAEGHDKESYEHSIDLLKHRQHSSQTPKSTA AVAQKRPARFLLKLEGPLQDIKTVQEAASLSCPPKIRQGSDDSGRPVRFCQIDSVARD KIINFVAGKAWAYDLTSNLLPDRTAEKNLSPTSAYPMLGIDGMLPQFRGGDHVLPRDN QYPVWYFFYGTLAQPKVLQSVCGEEQPTWNLHISYIFGGDLKTWGRKYKALVDHEGYA ESKAVYGFSYLVVSEEVELALRVYETEKYEVVRCTIHLASGRRIMKGLTFKFVGDEVL EP PFICI_02261 MHISARHLPVLLALPAAVRAVFQDEVNHIDYHHELLGVPLRETT FFHRPRPDEKASLLYTLSDLGVLGAVNPSSGAVVWRQLLNSTTEARGNLRAAEDANWV ASALGSSVQSWDALTGRNAWSLDFTGTAKDLEVLELAANDRKDVLVLHQEEGATVARR IHGSEGRVIWEFKTVTDDIPLQVSTDSEKIFVVSLHGSLLSYSLKVAVLDPLTGKRLD DISIGTKGEVQKEEDVMFVGANSAAPIVAWTDNALTKLKINVLGTKSKVEFPLLPETT SVEIHAPHHVQSLPHFLVQSRTKLANKADVYHVDLKTNAVTKAYELPLLDGQSAFSTS TTGSNVYFTRVSSDEVSITSSLSHGVLGRWPIRDGDVNAGALHAVSEVIKKSQDNFAV RSAIVLDSDDWAMIRNGEAGWVRPEGLSGVVAATWAEIPESEDLAKTLDAEAHSNPIE AYIHRVKRHINDLQYLPAYLQTIPGRLLSSILGTEVLQSSASSLLRDTFGFNKLVVLA TKRGKLYGLDVANHGRIVWSKSAKETPSSTPWDVRAIHADDGKGFVTVRGANGEYIIV KADTGKTVESMNPGLWPPVESAVLVDSAAGPWLLPIGTGGKIGDLPLEWAPKQVVVTQ TSSNELQGKTFEAKGEQAVEVPAWFFTTKPSQKIVNVATRSLHDPIASIGRVLGDRSV KYKYLNPNTLVVAAVDESAFTLTISLVDSVSGQILTTSTFEGVDPAKDVSCAIAENWF ACSYFGEYALRESSTQTLKGFQLVVTDLYESELANDRGPLGDSVDYSSLDPIDSPSGP VVPSVVSKTYVLSGPINSLAVTQTRQGIATRQLLAYAPEIHGVIAIPRMILDPRRVVG RDPVPAEAEEGLTKYSPIIELDSRWLITHERDVLGVDKIITAPAILESTSLVFGYGID VFGTRVTPSMAFDVLGKGFNKVTLIGTVVALTAGVLALGPMVRRKQINMRWQSST PFICI_02262 MVTSDSKIIIVGGGVFGLTTALWLARSGYGDVTVFDRCQFDKNY YNPADGCDGASSDLNKVFRMAYGDRLDYQNLAIEARDMWLDWNKQIKNSCPDQLPDGI NTQDELLLITGSCFLSEGERLHEYYEASLCTMERTAPEFRRMQFVKDNVADEARLGNI DDKWVRKLHALDKINHGDVNGFMDIQAGIMLADKSCNFARFLCEQAGVQFILGDPQGK IKRLIIDQAYQHRTVNGIETEDGRTHLADLVIVAAGPWTSSIIPEAHRTVEATAGTVM FMDIPKHRTDLYEKFHPDNFPSWSFRKGQGEDYYQGYGMPITKEGRIKFGFRGRKFTN FQDHPTEPGLRISTPRTKYTENPIETVPIYGLALIKEQLKMAFPELNEFGFQESRLCW YTDSVDNDYVIDYVPGYSESLFTCTGGSGHAFKFLPILGRHVKNQIERKVDQFTPLWK WRVVLDGQDNNGLSDGETSIRNMVGIEMADREDFKWTTMEEQFVGLV PFICI_02263 MLPNVRSPRNRAAREPQRIRTGPRMMVACINCKERKLRCDNQIP ACANCQRFNLTCLVEDPITKRQQPRNYIEILENRNALLEGLLQQARPELTQDQLAHTD LPDCAIERTPAPSSSYLQSEVEAASQPDTTQALDDDTIINELASKVGMLEMNVAGREP HYLGSSSAFAFSRAIGSSLLLQGLQKLPDGNRQQPTSRPPHEDDFNFLPCLLPDYGDG IALSNAYFRSIHPQYPFLDKATFKVWESEVLKPDQEFSSQNPDALYFVYMVYAVGALL VPRLGYSSELLYKSSQLYVDFVLQKDNLYSIQALLCSAMYSLRSTTGPSLWKLSGLAL RQCIELGYHRNAKRVGSSTDPLQLELRKRAFWCAFTIDCSMAITLGRPLGIPHQEIDV EYPIDVNESSVTSQGVIESPRNSCSDPATSMSVAIHVFRLRRLWAAIHTSLFSDTALI QTPRLSYDAQVQKLRSELESWRSSAPPVANQDQALSLFGQEDWYEVNYSYSLLLLHRR QLTSRKKEIPNQVILECLQAAENICSRYRRQYVGGSVGYTWGAIHFLFLAGLTYLHCL WISPAARASRRHDTVSSTCTDCTMVLVVMAERWAGASPYRDIFETLSKRTMTMMINSD VTRSAADGSLGGMAQDPWVLTQSVMDLSTDSIGTTYEIEQTWWAAIFGESTADSLF PFICI_02264 MEQRTDSKFEEKDRRRHYKINFSYPRTTEYDQDLLFNNTGDNAP KLFQLDETDIWPSEASAYHEYHYGTPEIELWDSLWATGGDRTIMESPGPRFSSLLSVS KWPVPPNARGMHGNPEILRTRLTTTNHADQNYIESMQAPVGMIGPQLVPSSFPQEPHY QPRGKRKSFVNMFPRPPAQFNDMSESNHKAKGPKLRKKPPHLTSVPGPRVSTSTSSFR EWLHHRHSPLRNISIALSEPKSAPPQMEHFSSAFDSDSDTEDGKRRKSKSRRRHSLHH KRSFKASDVERMVLSVNAKENGSSQQSNSSNGIKEGPFRRTWSRFIPKVFTSKSQRS PFICI_02265 MVYTDTSTNHYEAVDGDLLPRPLAVKSKQDPTFTPQFDFVNLIP GIAYCHDNDESRPSSRDSVTTELWEDDVQQTQTNDARVRRRQKLEGTHEYLKIWETFS GVHQAEWDTIDPNTELFEEPFTSNHDVPALTLGPVQPMPTIEGLAKDLELTMECPSEA IHKSHGRGKPSQYTIFPKQPTSTHMAPPTKSTVAPQTFPDPPDLPPRRLNGRTKSYPN IASKLMVTDVPPVTPLSIAATSLETWEQPRTPPVPKRRSLLPLGGSKSNSEMRRAARQ QRASYCPPTTMARTASRSEHTLPLPLEVDHITSVFESDSDTENGDYQSMFQNHMRKLR TKMARRKSHYGEEDTKKDRSSYGSENTVPVLKFSVANVAADKSAFKRKRSSLDFEDLW YKSKRRITSIIVLYKRSER PFICI_02266 MDVTDILPQLERLDGEIDNLEEVLEPLLGSLPEVASKLPLLDKS KLYVLVAYALESMLFSSLRLNGVNAKEHAVFTELTRIRQYFEKIKNIENPPPKPDQSL NKEAAIRFIRADLADNKEVNTKLSEMIAKERARAAIKAAQLGGKRKAEAEPEETDKKD EDDSDSSADDSEAEVAVDARAAQEKAEAKALAKKMEKRAKKYEKIGKKLKAKKARQAA PFICI_02267 MTLPEYGKEESEEALASLRLSEKIHIMGFTREARYITHCLAGTP ELPPPQLLAHQRVFVNSWGTSRRKLTVSSRDGGHADNEILIPQYIGKSRNPWRPELEM PRLKHIDNLIISTADNAIIPALMRIRDSINQDTTICVITPGLGIVEYLNKVIFEDPST RPNFILGYSSHSLQNDPRNPKDPYSLRIRKRGRLLLSGLSFPSETESGTSEVATWERV ARRARTQHFLKLLATVPGLDTTNLPMAKLLRYKLPPMIFSSIADAISVALGFPYERLV NDRYAQRLWRELWEETIEIISALPELKSSPEVLSYFTGHNFATEVNRYLRAQRGPSPW AKMVRNGLPLPIQGLNGWFVKKAEELGLSSVHHRVMMNMIRAKEATRKEELKSDIPLY RSSYMLDTDYLENDEEVPRQLVHVVYTNK PFICI_02268 MADAELDAIRKARLEQLKAQGGASGAGSAGSAGGGQGQNQEAQQ QAESEARSHILNQILHPEAADRLGRIRLVREDRATDVENRLIMLARSGQLRQKVTEEQ LKELLEAVAETSREKEKIVVTRRKGWEDEDDDDFFD PFICI_02269 MKASTQPLRAVLRRPSPRSTSILPTAATSTSHLQESGRVPVRQS QLQHQETAFFSVLNRPAPSYPGHVPLTKVERFALALGSGIGSLINPYRADLIATCGET TATPYFIYRLRRAMLSDPTGRRILRDRPRITSQSLDLPYLRTLPPTTVGGNYVAWLDR EGVSPDTRADVRYIDDEECAYVMQRYRESHDFYHALTGLPIVREGEVALKAFEFANTL LPMTGFACLAVGTMKPSERKRFWEVYGPWALRNGMRSQEVINIYWEEEMETDCEALRK RLGIERPPDLRETRKKEKEDRKRRKEAGKAMGDVANAA PFICI_02270 MPRQRSAGRPAPARPTVAPRAAPAPQAQQTRPAATYAPAQAPPQ QHAAPAPAQSQGPGLFGQMASTAAGVAVGSSIGHAIGGFFSGGSSAPEAAAPVQSQQT NNSEWGNNCAGATQSFTKCMDDHSGNMQICGWYLEQLKACQAAATQYTS PFICI_02271 MFFAGTLEEGISTALQQSKQVVCFVTDGEEESQQWETEFLTEDT VKTHLQTHAVTLRLQAGSTEAGYLEALFPVPRKPTVVIIENGQLKEYIAAGTTREEFL RRVNRTLAGSSAQAPQSAPQQVTTAGESNSPDQESLASPQVQALLVERAARLEADKKA KEQAAKAEAKKRAEERRAAEQGEDAAESSKIKAAESKYANLLRKKKQDERDERQRILR RIEDDKRERREREAQERQARLLLAAAEDDGASVKPQHIEEIPLPARSFGTHCSLQIRL LDGSTIRNRFPTDAKLGKEVREWIDENRTDSDEPYTFRIVLTPLPNKAVEPAEEADSL GDLGLSPSATIVLVPRVRVATAFQQTGGVLFRAWSGLYALLSMIIAFPLTFVGAGRSH PDETRQGVAMDNLNGQDSTARGQDQRIRGFQNPDDRRRDQQLYNGNSLNFEPRNDEDN QEEH PFICI_02272 MFRRDQVLEFGQTSTQFHGSGIQNHNGRLHAGRDIIINSATPKA DESRDDLHRQEVLRSLRFEQMGSRQQSIKRAHAQTCQWFFETREYKSWLDTNKSQEHG GFLWIKGKPGVGKSTLMKVALDHTSQTFHGGDSCIISFFFNARGNDLERSTTGLYRAL LFQLLKARPDSQIVLDTIEGGLQWSIESIKQTFERALKKLDHQQQLICFIDALDECKE QEIRELVSWLDELSCDHPLHACLATRHFPNITIRKGLQINLESRQEHIQDICCYVRDK LYFKDDRRLATRIRVELQTKASGIFMWVVLVIDMLNREYDSGQKHKILEKIRELPYDL HELFRDIFTRGPGPSQGLLLCLQWILFAKYPLTPRQLYLAIISGTEPNYLVRCHSKEI SDDDVERYILNASRGLAEITKSWRWTVQFVHESIKDFLVKENGIGTIWADLKTSLEGQ SQEALKRCCLQYQTTVYALLRQTVSIALLRRLLPEIVEHRKVISRKYPFLEYANDGVL FHADQAERHKIKQDAFLRQFSTEAWSKLQDVFEVRGARWYWPNVSLLYVLTRKDYSAL IRASSLHSCFDVEGGPYGSPVFVALATKNFAALESLLEVFVKRRTFDNMSCRDQIQLV RLDYDKMAKNNFRDFVFSRTRGVVSHLAEFGCSGILEIYFSTSIYLSDPDSKDEDGWT PLSYAARTGDVATVRLLVDRHACVNNRTGKGWMPLHLASLKGHLEAAKLLIDRGAEVD MPTRDGKTPLYWARKKKNLDLEKLLMGHGAVLEQVAIHDGTPPYETVAKDEPPLYGTR PKAPLKFTKTSINDDAKFRNTTTDRSMIIHLSRDQLETIKLILEGAADANTRYNDDGS TILCSVSRYGYTEIAKRLLDRGADINATGNQKKTPLHWSISPYRNLETVRLLLDRGAN VNALGDHTETPLHLAAWNMAIVRLLLDRGANVNALGDLAETPLHKAARYGHTETIILL IDRGADVNAKALDGKTVLHDAAESGSMAIVKLLLDRGADADAKSMAGMTPLQLATLKG HRKAMNILRNHDPHTMDSSSNEEVSSDDEISSSDEEVSLPDEEVSSSDEEVSSTNEGV PKVSTSVETENIHGVKRRRLTRDQYAPV PFICI_02273 MDRFYDWNVFPWCLEHGQSPKWVMATTATSILLLLIGLRFAFRC MTPSPLDAKPTADTSGQTPLDKIEPLINFSWSAQEPLRLRTFKPKYHITMGIEQMKLS DWIQIDKHYKNRIDLRCQLLQSNRDQVLRALPSAHGTISKLYAFMVNAYLPTRYSDVF KIIPESRSQASHLLNLVTKDKIPLHPTATSSSMLEAIGRQVEEDFLILQHDAESDEFQ LTAFVACFPNGFDWAQKFGKNMSQIHVPVPDYDVKLKKSMNRFLQRMAPGQFVKRHNW SISTSGNLFSVANVHLYAGDKVEAEEVDFEKACLRCERQVLHRLQDSDDVILTIRTYM YPLREIKAEGSGPALADAIDGLQTGSSPNMYFYKRAPNWSDAVKRFLRDEVVETP PFICI_02274 MPFVATEEQVKAFQAFSHRPGFSQEVIAVDFETTPEFVKSVLPP GLEPAIRPVGTVSLSTWESKLCGEFECTMVSLQAVHDGVEGKYILTLIVSGDTPVTWG REIWGEIKKTGVTKLFRSGHRRSGFGERNGVRLVEMRATFDEDLPPNVEESIGYEIKA YPSSTGIGLHDEPRLLKLNITDHNTVRAEGKGTLILRGTTSDPLHDIPIKSIDKFKYV SGVADYFVLEEKPLGIGNAYLPYLIGRHYDDLRAFQIGADFDKTTQIEHKVSAAREFT SL PFICI_02275 MGYVRTPEEVEAYDLFYRNVTALNHAVGTTFITDHDFAREVIPP CFEVPRPATGTMYVCTNCEEIDDQRTGEDEEAGVVSLDVLYQGKPGSYTLSVFVNRDQ SLATGREVWSMPKKLGEVHLMGNGRKTCVLAHRKGAEMRLNTLLQAPEFNTEPKTIKS VFYEIKAVMRPSGGFSCKPTLIEFECTQSIFTSQDGELEHTKLSLKGTDDDPLHTIPV KEITSAWASGCSMATKVLREIELDDSVDYRPYVYGRFYDDWPRAAAKAKAEDAAGQ PFICI_02276 MASRNGLKPSVKAETSNRRHQGSAFRVVKFDHQTTGNDSGHSSR SSAAPQPPTLSRPPQLRPRQIKSRSGCESCKRRRIKCDEGQPQCQRCKSRGLVCTGNF KPDVWQIERPWIYTSHKRVQRLSMPATQLLRKHQAATKNVNIHSVPPHSARVLENELV RHWFEHTCTIMGIVPQSRNPLSYSLSPYLRRSCALRHSIQCISQAHSSYFTDAHLAEV LEERARALASLRLEIERAFIGESACSRAQLLPTILLSSLILGITSDWLTKDLTSQEFL IGANQIVPLLLESGVKQDPVSHYVLGLYLYWVSVESHIEPWEPDEQHQRQHEFQLSYL HCIVDRCLANTVHPVTGLAAGVLPLMWEAGRYYRRVSQGYAGDATYAETLQSKLEAWT PPTALCSLEKGQPLIALAEAYRAAALLLLYQARCVSDRPPMAATSLAMSNETVPNNIK SAISTIVGVLGSLSPGDPLLTSVGPFLVIAGSELQEDQIESRNLIHRIARQVTQYTRV PNFSSALNLVEEVWARRASGSQVTWLELMLQNGYILGIA PFICI_02277 MAPALKHIANAQEASIPNYKNFPNIFLGAIHSSTQDDSEKPITS GFYRIEKGATMTATYTYYEFKLVVAGDLIVSDSTGQKVKAVKGDIIYFPKGATITFET ENGGEAFFVAQRASP PFICI_02278 MASSTSITLPNGRTYEQPTGLFINNEYVSPSGEEFTVYDPTTEE EIIQLKGASVEDVDKAVSAARRAFEGPWSKLAAVERGAFLFKLAELIDRDRELLAAID AFDNGKTYAAALAADLDESYNVFKYYGGAADKITGRTIETSPEKLAYVLQEPLGACGQ IIPWNFPFMMLAWKVAPALACGNVVVLKPAEQTPLSALYFGKLVVEAGIPAGVVNIVT GLGRVTGNAIASHMDIDKVAFTGSTMTGRAVMKAAACNLKNITLECGGKSPAIVFDDA DIAKAVKWTHVGIMDNKGEVCTSTSRIYVHEAVYEKFLARFVEVTRENDKLGGQFEEQ TVQGPQISKVQYDRILSYIEEGKKEGAELVYGGRSDAKKGYFLQPTIFANVTETMKIT QEEIFGAVVVIAKFSSTDEVIAKANDTSYGLAAALFTTNITKAHIVARKLQAGMVWIN SSGDSHFGIPFGGCKSSGIGRELGQYALDAYTQSKAVHVNLQ PFICI_02279 MLLYWGLCLLNIPVNVWPRYYTAFNVASVAWLIGSLVVTIGVWS SSRSFQSADFVFKTFVNETGWEMDGFVFILSMVQTTYAMTAMDSVLHLSQETKRPRWT IPRALIGSIAMSILFCFGFAIFLLYSLADLDTLVGSSLRQVYLQLYVNAIGFGGGLAV GTTILVILGIFCGTQIMTTLSRLIWAMSLQRGVPYSDYFSVVDEKSGIPLRSFAFAFF TSCLMGLLYLPGDSTWNAISSSVVASIQLTYVAPIAVLLYQKRSILPARSFSLDIFPG AGVVINVITVVWGLFIVVVSFFPVYLPVDANNMNYSILVFGVWAALVVPFWFMSASKN FTIMPAVSEDLDGDEGASNYQTKA PFICI_02280 MDNNPAVDDGSCNQLDSTEYSHFALARHRWKHGVDAADLSRMGV GYVLTRKIPKKLHSSLWPKNTSERRSIIANESRTAVSTNIPHPKTAAHESRRIGRRNG WTFGVIIRYWTMKPSKILATFLWSCAAQAAAYNSTTEAKVAKIKELLTPQLSENATII YPDSDQWGDVTERAASPRVNPGYLAVVEVAAQDDVVNTIKVANEIGVPFLAVTGSHGW TDDISKIQDGIQIRMRGLNHVGLGPNNDTAYAGGGVIQYEVVQGLYPYGKQAVHGLCE CVSIMGPLLGGGHSMLQGAHGFAADNLVSAQVALHNGTVVTASTTENEDLFWGLRGAG HNLGIVLEFEVKAYDIHPDPWTFITFVYEADKIEQYFEAWNKLEDDIADPGLVVLNGY YRNLPEYNDEKLQPVLVMELIYQGYDTAAPQYIEAYRAIGPIHEENITDIYWNKLFDV TNFGLDDSVCVPDQNWAGYVNSIARWNTTSMRESYDIFADLVAIDTYNTSTFIFESYG RKGVRDIPDDFDAVAPEERNKHNMLAAFLFWSGDDTAELAVAREFGEKLQVAGRNGEI AHSYVNYAIGGEELPQVYGWEAGRLQKLQAIKAKYDPDNKFGFYASLAEEAQM PFICI_02281 MVNKRTRSGWRLVLPAQAAQVTSEVIFSTTEVRTWDLFKSAPGE SPLIDSEYQFIDDTEDIIQCYQHDNGDDERQSTSEEPDVSHTFKWPEDQRRMTQNGVS SSAPPETPSSGRECSRDRSASPIPTLDLDQHTRASLLTAYLRETATWCETTDSDCNFS VKFAHGMIKSEPYLAAALALASRQRDSIRREHNPLTLELYQYAIRLLLRHDPVEANAD ILATCTVLCVYEMMVADVSEWRRHLGGCAGLLRSHGWNGSSEGIVKTCFWAFARIDIW AAYLMDQPTLIPTDSWVADESFETTVTSGNIDDYCNLAILIFARLINMINTFRNDLDH YELDFPDHINRAWERFQKWWRLRPAGAKPLVCSEATACSPFPAIVFTQSSANCGNTFY HAGCILLLRTGYIQSNPRDTGTMDRVWHAKALGGISTSNPSQYANTPVDANWVNHLQP LYIAGQCFGSQSPLLDQSKQRSSTNTTDNSPRYGTGSDSRTEEYAAEKIALLKHLTKI EKLTGWKTSDRAKDLRILWGLEN PFICI_02282 MAPQTASALVTKDGKLSKETIPVPTPGEHQVLVKITHVAQNPTD VQSLDANAFGDDAVLGCDFVGTVEKTGDKVSKIKTGTVIAGLIWGGEIKGLGGYSEYT LADERICFPVPEGIAPEQAATVPLASCTALLALFSKDCLNIPQKSGETVLIWGGSSSV GLYAIQIAKHYGLNVITTCSPRHHDLVKSLGASHVFDYRDAKVAESIKTATNSSLKYV FDTIGNDSSSATASQALCEQGGGLCTVRPGKAFTENVTKQTKVTDVLVWTAFLKEHQY KEFHWPPHKEDHELSAKFFEELPKLLSSGVVKPNTPKLLEGLDSVPKGFQEYRDGVIS NYKIVYKI PFICI_02283 MSLSLRQMPQNDEAWRQGRHWSRPVELPDEDRSLHLCARHRAMN LKSSDFYGWPKDKELPPDTDFGLLAEVLERSDYCVLCRLIADSASHTMHANDAQVLGC WIRDGVLEGDDTTSLRIRVVPDMIGPEDAFAPFDVVPLNNSNKLSKFFAGRRVNTGQI NLDLVQSWIRHCNDWHGSECTGTLRGSGNGQSPNFDPFIRLLDLEEDKLVELQDPGPY VALSYVWGTKPVFRTLKDNIKELKSSGGLAQKRDTFPKSIRDAMTVTLLLGYRYVWID SICIIQDSNEDKSKQLAAMDHVYTRAALTIVAAGGDGADAGLPGLVPGSRSLTQVVAD YSSELKLVTLQPDCQLAVETTTWNTRGWTYQERILSQRYLFFVNDTVYFQCHKAVWGE DYLAEHQHLQQTAPMMDISLSRSWQPPTMTRRTRYRLDLETRSGLSEQDRLRSILFPA YCQLIAEYTSRNMSYPTDRLNGVGGILSVLDQDGKMDYMYGLPRPLLEAAILWRPQQD LTRVPIDPATGRALWPSWSWAGWIGGVEYDPESDYNGLDQLSSGSLRTRSITKLSHCR FESHGQPRSEEMVSLGQNIKSTISPHGLYIRTRAGKFRLTLHDRSGQVDEPPGIYRFG ISYSASSPPRASLGNGGLDDDEPWLGTIRLPKSFRRKLSNEYEFIILSEAYNFSSEEL GRQASRYTEPYSIFNVMLVKRMSQGRCQVVQEDDPATVKDAYEVERIGVGRMMKEAWS SVGELKDFILV PFICI_02284 MAKRKSEDNPATSDGDAKKRQRMSEDPFAKKVKKAKKDKKYNKT REEPVVEEDVVDLAMTDLDNGKIEQQQTKKDEQEEPAAENGVAGNDENKSKKKRKKNK KAAKEDDGEKATNADGEGAENDETAAAAVAGSNEGGENNKRQSAKKSRFIVFVGNLPY SATAEDVERHFTAVQPTAIRLLHEKTNPNKSRGIAFLEFAGYDRMKTCLKTMHHTTLK CQGRDHRGRPKLEERVINVELTAGGGGNTEGRREKIKAKNEKLNDERHRRALEEEKQK VKKEQERLLKEGEKKGGADGIHPSRRGRVPGGRR PFICI_02285 MAASAVQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDN YSASVMVDGKPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAKW YPEIDHHAPNVPIILVGTKLDLREDPSTLESLRSKRMEPVSYDQALVCAKEIRAVKYL ECSALTQRNLKSVFDEAIRAVLNPRPQPTKQKKSKCTIL PFICI_02286 MASNNPSSASRIRFAPPSTPGRTAGAPTTTPSRRAISADPTSGG RRSASARRPAAATPHARAAIRTIDQRRRTIFTPGRARRRSLRDQRETPRDILRNLSRL LAPASQPVHTSSSSSPGRDVSNDTLTPVLEDDDDDDFPIERPRFSLPLQEDDDDDSDL KAPRLSGLEDENLTTASIELPRRAVSEFPSRIGRESLGSIRFSDVPGPDIVSDDINVD SGLFPPLGLDDDGANDFRRQTLNRESLFGPIEIPAGVDETTFMMDQVGSPTREITAQE DIVDNEVPDMFDDDDDHVNEPMDYRDPADYDDEPADYDDVPADYDDIPDNNEEIPDDD ADDATQNMTVASNTVELTAAIAARRSGRTQTGKKISKHGIEYPSLPKGVVKRLATTFA KTSGAGKAKLSADTLDAMMQATDWFFEQVGDDLSAYAKHAGRKTIDESDMLTLMRRQR QIGASATPFSLAQRYLPRELLQELRMPVPPPTRAPKKSKRANADEDDEEVT PFICI_02287 MKSPSWALRQSLGRQVELALQQRYHSSATSRPSPASRTSNGSSL FTRTTQCRSTTSPSAAIRAVTRSKNAQQQETRRLFSRTSRTSQQQPAEDPNFTSILDN PPQLVRTGRRHNMWGLAFLAIIPVTAFVLGTWQVQRLGWKTELIARFEDRLVRDPLPL PGRVDPDAIHDFDFRRVVAKGHLRHDQEMLIGPRMRDGEDGYMVVTPLEREGDGTTVL VNRGWISKKMRRQADRGPGSLPRGEVVVEGLLREPWKKNMFTPDNRPDKGEFYFPDVR QMAELTGSQAVWIESTMEPDWSESLEMHSRGIPIGRAAEVNLRNNHAQYIFTWYGLAI ATSIMFYMVVKKPPTDVSRRVRLNKNW PFICI_02288 MRSLSLVYLFWACRVAALNGINIEPPSCVNRCMVDSMFITQCQD VGCLCHEEQFQKSLFQCLYSQCDPPDYGPALLYSMKTCIASGADINLGFTSATAKEQL DGREVEYLEGRELPEVPGLQLRQDSAGPNTVTAWATTTITMTITTNGVPMPVTTVTPF PAPFPGASGQAGNIPGQAQGPVTSAPEPVGPEPSATKPWMVTVNETSKLRPLNWSLLL WTAGLLAMSYLCGYWGF PFICI_02289 MSEKPTEDPTQASGDAPAAEGDDAGPSKKALKKAEAKAKKEAEK AKRAAEVKAREAAAKAAAGGGEDLAKDNYGDIHHTTKVDAEKVKLKDLGEQHLDKTVK LRGWIQNSRMQGAKMAFVEIREERNWSIQGVMAVSPEGTPVSKQMVKWTGGIRLESFV LVEALVKKPLEPVKSCRVSDYELHITKCYLIASGPEVLGMTLGAANKAVASFEDEDET APTEAVKELSITDPAGAPAASLTTHLNNPVMHKRAPVQQAIADIRMTVRKLFAEFLES RDFVQFEPPCLIGAASEGGANVFGMKYFDKHAYLAQSPQFYKQFEIAGGRKRVFCIGP VFRAENSNTPRHMTEFTGLDMEMEIEESYVEVLETIESLMLYIFRGLEEKRSEEIELV RSVYPSEKFLLPEPGKEVRLTFAEGQKLLREEGPEEYRNVKDDEDMSTPQEKALGALI REKYKTDFYVLDKFPEEARPFYAMEDPANPKVTNAYDFFMRGQEILSGGQRIHTPEAL EARIRKKGVDPNSTGIKEYVDVFRSAGVPPHGGGGIGLDRVVSWYLNLPSVHLSSYYP RTPKRLLP PFICI_02290 MSEEQIPKSESLASKAKSKKRSREDDGGRRKKKKTGYEQDDSLL DTELGVNTGIAFMDSQLMADHLAQRTTKFGTDLSAVELADLYISPASIQDTTSWQEPR TLAKLPDFLEKFTKDPKDLTKAPKQKGAPHTLIVAGAGLRAADIVRALRKYQSKENSV AKLFAKHIKIDESIKFLSSHRTGLAVGTPQRLTDLLENGSLSLANLQRLVVDASHIDQ KKRGILDMKEITMPLAKWLSRKEFKDKYTAEEKPLQLLFY PFICI_02291 MFRCRDSGEAFPGSLLYNVGASACFPMTPHEPRLYDDFNDVTLP ATIDRPEAVSTRTTWTLLLYLTSAAEGCLGGQTVFYPHDRKVGKEAIEVELETGMLLL HKHGDDCLLCDLYCKTIEAPLSYTVDLAQCQAPEAL PFICI_02292 MGKSKAPKQPKSIVQKGAETIEKSVSLPNWPVFKPPLPVAHLTL EPPVPAFEDKILVARNFFPKSLCRDYVAFLQTLPLTTTPGRPKRGEAVRVNDRFQIND FAFAQRLWMETGLKDLVQDETLTNLW PFICI_02293 MSKLATWIKDGDRALIRLNKAIARNNDDPESELSHVEFPLPGVM EVQSILKYRKQQRDKLRGHSLAEHRRRFLLNFDKNIHQACILLNQQKLDGTIADLLQP LENIRAALKDLCVVEASDDAEAVTEPELPRELLRENVDCNPRQVWLMIKLGYTRICLE IQIHILKHIYPDQIDFWAEAEEDLVAMYLNTEEPAEW PFICI_02294 MTIANDDHDRRQAKAPSSSPATTPEPPSRDNAPFVSTRPDTRSP ILSQRSLSQLGFFAAGAGFLSLSVLITRRAILRKHLTAIPLFFEQSNKPASKLSGDGG LVAVEALGLATLNTMGFGIMATGGLSWAFDLSSVEELRAAARKQIVGEAGDIDEAAER EVAEYFSNLLSGGDNKEGKPSVTEAVGNLLRLKDQKGDQQPSTKPPTSKDS PFICI_02295 MANFRIPAWKYNYVREVCSDGSLKTQEIQIPYISLENAKPPIIA RYLEQKNAAYEVFLIRDLSTIVDDLGRSPTPAEAHGAIVGPNEQSFRPVWVFEDGGDI NLEPPYYVDVFDLNDYDQLKVKASTICWVPHLEDSSGNLITLLKGFPKPTKSKTLGVR VLIQEPGNPEATKLAWKPVTELRGHCRELDSNTKRILFEDQLAALRAWLAQLAPPEPT VVDPVAPVEEIRTILQENMDSLRWSSMRRLPTPDASEDPPSGDDAYSDWTDVDRSNTP MSNKSDEEMVEELSDDEALAPRSNVCSSPRIHTPTTAIVMVPNTLV PFICI_02296 MIDINIALHGSDQENEAWNGEHAQEERARLQTSPLTHSPGQGLG HPQDANVPSSPALVPDNITASTEPLEMESSVASSDFMDIDNIADMSDVLFDPSVPSSG HDIRINLDIYSPPRPAEDSYWQ PFICI_02297 MPDKEDSQVEHIEVATSSPAATTATATTEAAAAAPTISGPDAQP QDGIPGEERIMRPRARTETNTAEIDSTIVRPGSVRINVKGAFIVDTPEGSPTSNGAAA PAGSTAHETKDIRLPNHTAVVSHIAVDIGGSLAKLVYFSREAHSREPGGRLNFMNFET DRIDDCIEFMKHLQDKQLALNGSRSGDLCVMATGGGAYKFYEKIRERLGVDVVREDEM ECLIIGLDFFITEIPREVFTYSETDPMHFKEPEENIYPYMLVNIGSGVSMLKVSGPRT YERVGGTSLGGGTLWGLLSLLTPAESFDEMLEMAAQGDNAKVDMLVGDIYGTDYGKIG LKSTTIASSFGKVFKMKREAESEAEDSGGLSNGDDDHHHRRSSQQQQQNNGDDAPSSS AAAATSSVKARFSAADVSRSLLYAVSNNIGQIAYLQSQIHNLSHIYFGGSFIRGHPQT MNTLSYAIKFWSKGAKQAYFLRHEGYLGSVGAFLKRQPRNWGRRGSFEEAAGIEARMK LRAEGQDMQRVDDAIS PFICI_02298 MGLLPLTYRRPQHVDQEKNRRSVSSDNHSENENASLDSEESGAS AGVPEALTFDKIISGGTCPPMTIRDFMNYLIYIEHSAENLQFFLWYRDYVKRFDEAHT SDKPLAPEWTQAMAEETLAKIKKDAAEKRKTDPLAAELFKGTDFEGQGGETIPEGRDP FHTPPRTPGSDDQSTLYSSSHAPTYRSQAHDTFAAVGAKQPFTIQPFRAEVDRVIATY LAADAPRQLNLSNHEHKVTMHALAYTTHPSAFRLIARTTENTLRKQAHPNFVRWSICN GNPARVFFARGLGVGTILLATAGAIVLTLSRAGRGYRALFAIGWVLGIATLIAAYKGM CVVLHGLHHRHIRPWELFAGEDDHHHDEHSLPKGSFDSLGSSNSYEDEPWVVRYEKRN VVRKVFDRELWIQEPALRSIQDTIFVQAMGSALVLSAILTAIFVAVPGGHLF PFICI_02299 MSGVQGRPPYPPFTAETAKIKVKAAQDAWNTKDPHKVKLAYTED SIWRNRDQFFTGRDSIAEFLTKKWKKEDGYRLRKELFAFDGNKIAVQFWYEWFDATGQ WWRTYGLEDWTFADNGLMRKRQMSGNDVKISNDERWFKDGVDVNAVDISEKHW PFICI_02300 MEPGYSKILINDCIVEDEGAAWQHVALDMFMMAQLAARERTERE WHALIESCGLKIVGIYNKGQGNEGLIEVVSELAISDANSLVKMASHFRRQL PFICI_02301 MYPVREHLVHGLKGAPDGDASALVDLGGGTGQILQDFQAAVPEY SGRLVLQEIPDVINVAQSLGVGKESGNGPRIELQEHDFFTPQPLKGARLFHAICTPRL A PFICI_02302 MASDSSNTASQTAIVACISFDQRKGTKLIEVCFQPTRTAALRVA VDLKLFETAVQDNGRPKTDEDFAAAVGASSTLVKRIARACVSMDMLDEQGPGLYVPND VTRLLSKPEYVGGIIHWYAIVFTPDLHMAADLFGGESFDITQLSFSRMPEYLRNTKFQ TPENALDGPFQYANKCGSAFAWLSDRPDNF PFICI_02303 MGPRRSARIALTNIRGTHRNASLVPTTPEWFIDHCVRIVGEVSD KTLSLVSEHELGIAESVSTDYQEHKYMLPSIMYESIQSLVYGTSEARSHFGLDFLQLV FPLHNAGGHEFLEAVVEHFANDIGADLVRLNLEDIKALAANFYRAETPEQGTKPPESI DYLEYFWGDNTQTNDRPQFPFADLLLGPAKKRASLNGHSGKELTASDENRPLVVHVPK VLAFSKAPLYRKIGKALQHAIKLIDIDGGRVLMIGSDTQKPCDCTDCRSKEPEMQIPD GEVSRLDYVLKSGVIPSPWAISLVPLYSESQRQLLDRDRMSYFQRVNICLLSKAIGSL YPAQLITKLRHPYLSLDFSNVRALKILGEKQFDNFRVQRIAQMVGDCSEPHRIEQAIV EFETWEAMLKQWQSQDEMKGWEKAPKHVREVISKVMQDDNHFIGERKLLNHIVRPDGA LETWNSIDLATNIKRRVARVTDFKTGRSPGSHGLLKDSRIGGMLLYGPPGTGKTHLAR VLATESGASMICISAADVTDKYVGETEKAIKALFNLGKMLFPSIIFIDEADGLLASRR ASNYSWDHDKINQFLQEIDGLARGNKQPFLILATNHPECLDHAVLRRVPFKFYLGFPS RDSRHRILSIILREEQIGSDADLKVIADRTSQYTGSDLRSLCIEAAQLSEEEFRETDD GEKRDAKRVLKLVHFDKALETIHRSVPESEMSTLKRFAREFDPEGQKAMLAEAKTNIE TNLQGSRQLSSLESSADSENPRKRGFDQLLNDYSGTSTTTVTTASASTIIKMGEDLIS ELPYKKPSHSQ PFICI_02304 MRPDVSLHTYHSSITEPNAGNATVSQNVPTAVNHDDHPELAESG GTDKNQPKRIRRQEWDKHRKTIHERYPLMTLPELKDFMEHEHNFSASTQQWKKKLAEW RLSKNLTKRMTQFIRKKGRERLLANKKTAFRLGGQEVPIDKIKRHIQTERAHNGTLVP DDAPKSTSTSAPTGLSYYTQQTNRSESDPVSISRAGSPAGLPVDSPAADTPGISDTPQ GSLRMAFWSGNGKDLDGLLADSQLAIDLTKKGDYKAAKPLFLESIDGLTFLLSPCHHE TLRVLEEFVKAAMSNRDDHSATERMHKSYQEHKQKFGMEHPKTWQSLAHLGKLYRRQT LTGQASHMLFNARNGLLEATSANPEYSFNATHWISSELVEIELEIGNLEGAEEESLRH IRLAESLGAAYEREAALLKHNLAHLYHRADMKDVEHAPLHSRRARIEDLLLDLISSNK RKVIGFEKAYLCSWEQLRYLYTSTGQISKLESFLIRIEDFLETFNPRDSGVLGKWMEL TKGIVDSYTLLEQPEKASEWLQRRQDHIERSAFYGAQSAAALSNMMHFASAYLQNKER DKAISWLEKAQSLAKDILHPEHTFHSHVSRAISDGVLDGSLCLICLVNPGSERSARRP GEALEDLFERWLHERGHARTIDAEAQTRVDRPRDSQLEVPVYTIDDD PFICI_02305 MRSQRYGSRLVDVSRLARPLQLYPSGRVVKNRLMKAPMGEGLAS WSPKNLSERGIPTSESVELYRRWGEGQENWGMIITGNVETDFQSIAAAGDMIVTPECQ TAGKRFEMFQKLAAAGKAEGSLIIAQISNPGRQLQYRFNPVAVSSTEVQIVRDNGTKY AVPHLASKEEIAKLIEGFAYAAEYLEKAGFDGIELHAAHGYLLSQFISRTTNHRTDEY GPQTSESRLRFISDVGRAIRARVSPTFVVGAKINSVEFQQDGVSPQEVRELCRSLENV GFDFVDFSGGSAGMQWKDEYARRREAFFLDEVEIITKTLGSESKLKVYVTGGFRSSEA MLKGLDVVDGVGIGRPATTEPLLARDILNNRVPGAIKPVEKLENDAFLGLLVSQAQVF QIGSGMEPFDTSNTKAMEIFQTDIDVWKRKAEEDGDKLEFVYPPRFSGPQVAY PFICI_02306 MAEKVSTPGGPPSDHTPSDNTDHALSDNSGHTAVKEEEAGPDTE GTKAAEAPETSPRDIHGWKWAISYIALLSSIFLYAFDCTVVADVQTAIINDFGAIDQL SWLSNGLVMPATAMVMPWGRLYGQFNAKTLYLVCVLIFEVGSAVCGAAPTVNALIVGR ALAGAGGSGIYMGVMTLMAATTTMKERPFYIGLTGITWGFGIVLGPIIGGAFAISSVG WRWAFYINLLVAVPAVPSYIFLLPNHVDPRPGVSLKARWMEMDYLGNLFLIGGIVTFI LAINWGGIIYAWNSGPVIGCFVASGVLFIILGIQQVRLIGTTLARRILPVQIFNNPTI LLLFAICAAGGTSAFVPIYFVPTFFQFTRSDSPLDAGVRLLPFIVVMVVMITANGHLM GKFGYYQPWILAGGLLAMTGSALMYTVKADTSESRIYGYTVILGSGVGMWLQVPFSVA QAFVAPADIPAAVGLVMLGQFVGITISLAIANTVFLNGAEQSIAALLPGVSPETIKDA MEGATSGFLATLDDNLRAQVLDAIVAAMAKAYILVITAGSLTAVLSLLLPRKKLFGAA ATAPA PFICI_02307 MAAEKVLSEITTPGPAPSPGPPIWHKFLASAETEPESLALVAMH QRADLYGFPSLPDEEEVVLGQRSPPYLRWSYATLKDAIVRLVTGLQSIGLDSGTPIVS FLPLGAEGALALWAAEALDCIWIPLNTRSLANSAEVTHMVRTGLSVAGPAKRPVVLVE DDHAARKVDDLGLDELAGAYKFIVNEQGASPGWAAFAELMAERQHELNEEVLQRGGHD SSFVVFTSGTTSLPKGVHATPLTHFERYLEAMWLSPQFAGLPPPRALCAGPTNHVPGR YQQVWPLCMRGTAVYASPVFDLERTAEALVREKITHVALVPTMVIALANTLTQPLKYL REVRVGSAGVLPEVARMCIDKLGADRVQVGYGMTEGLYIFSGLRHLEDLIDDEDIAVG WPQPEATVKICDPETGETVPRGVSGVVHYAGPTVAREYIGGNLADVCYQDADGRHWLN TGDVGRIDAHDRLFLTGRHKDMIIRGGENISPAAIEAALNTDPAIAALRPQVVGALDD IAGQVPVVIILGPVDGKVKKGILELVLARMGPSYLPDDVVSVEDLGLREYPLTTSGKI QRKELEALVRKRRQTVALDSQTHDVATLVRQVWAKSIGLDASELDEEAPIASFGDSIT LMRVRAEIRRATGTAPSMVDILSAESIAALVKLVEAQKPVAGDVDTTSKRKGPPQPED MVHLSLDSDGSELERTKAVVSATVEKHGFSWDDVEDVTPAYDFSSIQRREEGYETWKF MYGYLIKGTDKATLRRALESTLSHHRILASFLVDDATLLGGKDVLHVIMRQSQRYLDL IIQDYGEIATADTFHTLLLQTQCASSNGPMAQFRLYTIASTGETALLAAINHTIMDGF SVDLFLEDLDQALSNPRARPRRVDYKAWADNHYSLRNSLPAQTSVAFHAARLVDVADH HAAALYPPISRARARETRARDRAHKPLQANYHTEWIPLGPFEVIRQRHGIQPSVVVKA AMALFNARKTGHTHALFSSLESNRSRFSSFLPTGVAVAQEGADVAGPTYVPVIDLVSV PRDEPVSSFVRRLADDGVLLTRHAAAPWYALRDAIAPEAGPLIPEIVAAQVFNWLGPI GGGGAFEKIELVDAMVRPKIGVRVMAGENRERGEIFLWVDGDGFGTLSEFKSITEAAA QIVRWLVDEGNWEKQVGDII PFICI_02308 MPSSKSHHHEVPGFPLKSYQPLRFLYWLGFKTWILARVPFWLVR LAVFPRPHASKKWTLFNTLVAWIGREESELFARLGITEELSLEPGEDNFKIIAPSALA QYTGPLRHPKVQPAPIGITWFPTTKPVSGAADLVVLHLHGGAFVWGSGRAKQLRFLAD TLLTEAGVTSIYAPQYRLSGYGGQNPFPAALQDSLTSYLYLLNTLNIAAENILISGDS AGGTLAISLIRYIEEYGPDLGIPRPLGAVFVSPWVEPGSALGPTALATFHSNVHWATD YMPFEMCAWAARVYTALVPVEHPYITALGNPFSSKVPMLLTMGDAEVLEVECTAWVKE MQAVAGNRVEAYYEDAAPHDTLLLGGDIGWAESAKDVARNIGAWVTKIRGGH PFICI_02309 MSSSPTSPSSQSHVSRNNGIPANLILNWHSSPLHLVKPLVRRPK TACERCRTAKVKCPGDGERECGRCTSRGLSCRYAQSAPSPSPAHSRPRPVAHRTLQED AQMDFRTADPAAFFGGGDSGVFQQMLDSSGDWSTMNDICPPDSDLDQHMDWAAADPSL KWSPGDRDLIGGLLNTSLTPPSTLPNTANHTPTSEEQQSLSRLGLYPFVTSTNASELT GQNLLVSQSCQCRSGLSMIIPSARAALQERRLDVVCEVTGDLVRSCQDIVDCRKCDIN CTDLICIMSIFQEADVCFDYIANADIDGPITVSLGSYQTVVDELDAKHWRRMLVMQLV RRGNDLLNSISAKSQDMLRHLNPGCRLGRTNVDYLEAVIRNSKDNFQRIIREFHDEAR MAR PFICI_02310 MAVQYKFQGWLGKTSDSVNGKMEWGEFEPKQWTEDDVDIEVSHC GICGSDLHVLRSGWGEVPYPCVVGHEILGTAVRVGANIKHVKVGDRVGVGAQARSCLK GDCEDCSNNLESYCTGFVGTYGGVYPNKEGKSYGGYADYNRTNGHFVFPIPDGLESSL AALMLCAGITVFSPLLRSGCGPGKTVGIVGVGGLGHFGILFAKALGADRVVGISRKAE KRCDVLKLGADQYIATEDDANWAEENQRTLNLIICTNSRKEMPLSDYLTLLKPEGQFV QVGLPDGGEMPAINALTLFESGISIGGSAIGSRSDITKMLQLAAEKRITPWVEERPLR DANNAIVDMFEGKARFRYVLVNENYGKH PFICI_02311 MAVDAAKATDVTSDYSNGVKDRSRDVAWYQATLSRVPRIAREVL QEYSKVPENQLLEHIYRVRDQAWDVMPFPCIGVFRFLDFPACLSPVYPEVLSRVRNGE TFLDLGCCFGQDIRKLVHDGAPSHNLIGVDLEPRFLELGYELFRDRDTLRARFQAADV FDPNFLADLAGKVDIIFVGSFLHLFDFDQQKAIVAHFGKLLRPRAGSLVFGRHRATAN EGGITKANALGWTLFHHSEETMKQLWAEAPVGKWEVASNLVPYQSEGWTKSTEWQGGD VVMQQYFSAKWQP PFICI_02312 MARNMCEDERIVEGPGLPPALVLKDINIGQFLDQQAEKHATNTA LVSTWQDARLTYGDLHNSCKSVAKHLLQRGVRRHDHVVVLAGNSVEYVQLFFAVAAIG AVFCIINPTFAADEVLAAVEFLDPKAIFVADRIGYRKNNALLAELVNRRGLTTCLVQL TTGKPTESVPSWREFLQMEQMDGDGSDLLAQNWATCHPGDAVCIQFTSGTTGPRKGAM ITHTNLLSNAVLVGDRLGYTAADVVCSTTPLFHCFALGCGLLSTVAYGGTVVLPSDVF LAGATLQALSKFKCTVIHSVATMLQAMMDHPDASIHRPNMVLRTGIIGGSALTKELLT RLSAEFGYHGVAYGYGMTEATCIVFMTDPSKVSLLDDFSSVGTLLPSLSAKVVDADLQ TLPAGTPGELLVAGHCVFREYYKNPGKTEEAVVRDAQGRRWLRTGDLVTIDEAGRCAM IGRVKDMIKRGGENIFPRDVEEVLEQHPSIHAAAVVGIPDPYWGEIVGAFIQRAKAGD GDRAADREAESQAQAHVGSKELKLWMRGRIQPHKMPEHLFWIGEGNGVPDELPVNYTG KVVKSDLRAAATVLVKG PFICI_02313 MPRLYTNIPCQKPNHSPSLDSEPVQADIQSKLRSIWAKVLHDDP SNFNGDDVFFEVGGDSITAQHLIDAAAKDGIRLTMEQIFMHASLEDMAEIAQMAVPSS ELPALEGDSSSLDQDRLDTIASHLHLQAGSIEAAYGLTPMQESLLVEEEERPNSYMRQ FVFRVGPNCDLDRLRHAWNETIRASPVLRTRFCHVEGQEKQQVVLREDAETIPWITFN SSLATFLKQDAHMPMVVGDRFFRYSIIEDSETGDTYFVWTASHALCDGHSVVEVLSDV ARQFQGQAVPARPPFQLFVQSPAVKPEAVHERQFWTRALSDIHPKPYPTLPQHLEFRA NPSAILEHCITLDQLPPFGVTKSLLLRAAWAILLSHYTGTEEVAFGIINNGRSSVIPD VPMITGPTINLVPVVLGVDPKQPVSALLSRVRLQAAEMMPFEHSGLSRIRKFLATENN QHPTAMDLQSLLVVHHASFTSAIALWMQKLGLEYLDSLGKKEQHSFPLVLTFVLSNEG ADATRILLRIEHDDRVISSPQALHLAHHLQAIITQLSHAAQDTLIESIRPLSDHDLHQ ISVWNASTPPGEETCLHHLFEHQARRSPDATAVCSLERSLTYAEVNAYASTLSMQLVE LGVRPEVFVGVCFEKSIWTVVAMLAVFKAGGAYVPIDPAHPPNRIQEIIQTVGIQVAL ASPIGRNILEKLISPEDLSIVQVPDGFTPHLYVEPSYVASPSNTAYLLFTSGSTGKPK GILMPHRAICTSILHHGPAFGAGPDWRTLQFCAHTFDLSVAEFFTTLSFGGCVCVPSE EDRANKLAGAITSLAANTLIVVPTVANLLFPEEVPTLKTLILSGEPIPKESITRWADH VDLTCAYGPSETAVWCSGNINVTSDAHQAHVGHSIGGTMWVVDADDYGTLAAVGCIGE IAISGAILGGGYFGDKDTTDAAFVMAPEWIRNLTGDTMLYRSGDLARYNPDGSFQVVG RRDTQVKLRGLRIELGEIENRLTQGGLVAAALAFLPPTGPAARRIVAVVSQAKSDLDQ AAAATPTAQGQTDFIAYRPRGSSDELISRLKQRLLSTVPDYMVPSVWIVLERMPLLIS GKIDRKRLKLWVQEMSTKTYNDVAGSDQDNADGAAVVPGSLADQLRQLWAGVLPLSAD DITMSTSFFAAGGDSIAAIQIISQARRTLPDLRISARAIINAKTLGNLVTLLEDEEHR KREQQQQEDKTASISIQRLAPGSGLALLAPYQSLVASRLAAKPTTVSIQIEDAYALSA FQREVVRARDTNPAVLLMSWRMELWSLTHETLSLPRLVSAWRSVVARFPVLRSIFLKD SPKRLGLPVMQILLSADAAADAAVLVSEASAGDSEPVFDDLVVPPVDDSFLPHRAHVI RHGERTYMHVEMDHLLIDGWSLGLIKKALLEAYETESAYSGVTSSYKAFVAAQTAPER VLADDGYWSRLLHDLSPSLLSTALPEPDPASRQHKRLRKTLIPLPSISAASLIPYGAA HGITPASLFSAAWAQTLSHFTGLGDVAYEFIVSGRDEDIGDNLGTSAWDLVGCCINVL VHRVRVDTDLAGLASRIQEASDEGVRRQSSNVREVAQKVTSAKLFDTAINFQRRPAAV ENETHTLRVDDDLKRSVDPWHFDVLVRVLDITDDNTFRLSLEFFEQDYSPEAMQKVGE HWWQMVQNLTV PFICI_02314 MFFTAGNHVYAGGVVVRRSGNDPTWTETGPTTTRTSGSLSDPTQ TGGSNKTDPDTSGLSTGAKAGIGVGVAVGALVFIGSCIAAYLIGKRKRQAARAEAYQA PPQFAEHDIHPADHGGWNEGARLQEMPPAELEEQRKHVELGGLMAYEMAAPDEHVARV PFICI_02315 MYKKYIRKWGIRKNLRSIHVVDILRKDPNIGFNEVSTRYTGLVD AKRVQVYLHRLSEKRRQQIEIEAVAHGDGGHLTGRLTPSLNVVTFTTTAPERYLHHVQ DYIRGTFTSGTWSKLWAPNSTSYARNFYLIDLAITAKNAFIHGYSSEAFGIIHLAFSQ LGPCMRSDTVDMLACIHWVGLIYYSFSPETAGSWFKYSIGLSRIIYASGHYPQYQTAW IEQLGEIEIEQWIHVSVRAIEFNMRNLCKHLINDGRPKSDFVPLTAMLISRTRGMGAA VIPPADFANVGRLTWEGFGCVDLEDSWTKFALAGVLFDEGKYSKAKGIAEDILKMNKA DNRQVVGLCFRILFLIAMKTGNMDDAMFWARESTSFWTTHLDAENELTIDAIYDMQEL LRSRGDVGAARDCQKHISTMLNRRCERIQREIHGYDVSSSSSEMLASSNIVGMWITCR PFICI_02316 MSPTRSLSELAQLIQIRTVSIEGGLKSAGIPQPSFDAAAPAVLP IGSELEDIRDDLIEALDELRALVLGPVGHLFSSMLPVPAINATLHGIYRFRIAQHLGP NETISHSQLAERCGLPEADLRRYLRMATSLRLFDEPEKGMVRHNAPSAFLAATPPAHD MLGMLLQEQASAGLVLADTLQRYPGSEEPGDAAGVAALLGTFAPKQGDDEGAAAVRTD YYSAISGDPERVSMVASAMSLASKIPSHDVRHFVEGCGWDKSPESCPRKVVDIGGSEG RLCMALLQRFDGIQEAISLDRPEVVEGTEVPEALRGRLSFGAYDFFEEQAVKDADVYI FRNIFHNWSDKYAVRMLRNQIPALKPGARLFVNEACLPEPDASRLVKNQIAWGSDLIM KMIFNAQDRSKEDWYGLFAKADARFKVVSINTPPRSALAVIEVIWEG PFICI_02317 MDKLPPEILIRIISYLVPDNGMGLPITSIAKPWKKHGSIAHLAT VSKLWQHHVERQTFQELFLSTQRLKAAGRIVTSQRLEYVRLVDLEVVLDAYDEAAACR VENEADKASNNQCFTQSLDALFALLHKLDAPNSNNSHDRVLRLRAYSPSDPWRCPDWP RIRRTRMNGLTDNILNARFDQSYLDLLGPLKSRIDCFSTFCVPDPVEQDLKYRRISPS ACCRIAAKLGKLDKIIWHLSDNEKKDVSLRKALRRDFAERLADLPQSLKRVVLHYTRT PPRNHKHSPTNILDTGDFAGDRLSTALRKLCKGLVTVDIKASLEHNFLVPRGSEHDST DAAQWPNMQTLNLTLSAVTPSGNWLFDQHPDAIEDEEAWLEADNLMDDKPDAPAEEDW RLYEFRGAPNLTTIKPWFLAAAKAASDMPLLTELKIESGCGTTPKSYRPYCAVRYSAQ APLLEIASTPVLDADQELEEAWRNTALCQSPNRGALVIEYNEAKDRQDPGPVSVSAQL STTRRPHFGGGLVQSDDTNKRDKY PFICI_02318 MGTEYNPIYTPLKDPDNYFRLLKLDEASDQDEEKLSGVLIQLPR GDAPYYHPISYTWGIEKPAAEILLRHSEEAEQSHPFLMRPNLKVLLQHARRSFKNRVI WVDAICINQDDTVEKGHQVRTMDKVYRGQNTFVWLGEPSHNSDLALDLIDRFEAHLEK LDRSHITNLFQSKSAQYLADRAEATRKFTQACDEFLMSPTNTDECWVAIYDFFARPWF TRRWVVQEFALSGHVDFWIGSRKKCLEYVNILSMKLKENPYHRVKGHQRHNVWERIPG HANVLPSMDVDPVDSIYRLTSTQKAIQKGKTIELSLEKLLDDFAGFACFDPLDGVYAL LSMASDVNMSDWLPDYSPTATVADLYRKVSLQIMKRTGSEDIICHCADDKSRQMIESL KSGWTPWFAPRDETFRNENNVRVDKNTSDDNEPSESFVCRILGYKRNSLTTFGQPLRR LPLDHPERVEADNILCDGCDKKIEGAGVRCQDCSGHFDYCFDCAKMSDMTHDPLHHFK LHNGAVYYAFNISSSLLHGFHDDIDTCSFFPGLGRFVDTIKAIAPCEPPSALRHGKGI TIRLPWEQWIRMPGMEHHVDNDGCPSDHLLRAMTGNRRIVGDRVRHVTNSWLSDARRA FRASFVEKHGQQEKNKRASLPLSHFGQEALCSMGFMLSGSRQFATTTTSFGLVPIRSC AGDRIAILVGCTVPVVLRKMTTANGLDLWALIGECYIDGMMEGELSHKLDKDFDKILL Y PFICI_02319 MEHTPISLLVLGLVSVLSYIWFRQVLKRPRQTSLNACDRRPEEE LEKPDKQTPWQDEASQLGHESPAEEASTTVASSHARSPLEILYPGQSDKSIEVDIVAV HGLGANVDWSWIHKDGPREINWLRDSDMLPAQVPQARIIAYNYESKWHSDAPKTRLRL CGEDLIVSLDVFRADCRDRPLMFIGHSLGGNVIQHGLLYADRESDYRSVIEATTGLIF LGTPFRGSKMQPLAVFAAALMTPMGSHSGIVKNLGYDDVDLHDNLHDFCRLCNRLSLT SCCFFELDSTDYGRKAGISGIIKGMCVEEASACITGHDRFSLQTDHFKLNKFAGPGDR SFLLVSSKIKKLADNAAGIVDRRRNPLEIVTSNDYAHTKKPEARNCLRDLFLSDPAED KQRLKRKKGKRAANTCEWVLSTEELTAWLGTGTEPTSRFNVLWLYGNPGTGKSTMALY LADELANVFTRTNGKTLAYFFCDSSIEERKTATAVVRGLLLQLVQQHPQLLDDILPKY DERGANVFTSFDAVWSMFIKAAADKKTGVKFCIIDALDECDAASQKTLLQQLEETFKD PESVRNVSFLITSRPYPEIREPLEQFATNADLAAFPQVTKDIERCIAENVATLEKRKY YTKAVTEQVKKILRDKAQGTFLWIGLACKELTDVDSKDAVQCLQDLPQGLPALYESLL ERAMQRPHADKRIIQQILSFVTIARRPLEILELANACGIYQDEDRETRSQFTQEAVRS CGLLIVVQDNRLYLLHKSVTDFLIQSRDYIQFDELHSHAALAYRCIDYLIEQHENERR ANPRETELESYAVAFWPEHVRLAGSVFKILARQTVFFTVDSEVRDSWRRAWNSRQNSW KEIPDQSSLAHVAARLGLVPLIEYMCDQGIHDGPVDWDSSDSSGRSPLELSAEAGDVS SMSLLLQKGARVSHRVLVAAAGIPLNGPAMLELLLDSQRDQVVVTEGIVQAAARNPYN GEEIIKLLLDRRGNQVIVTEGIVQAAAGNPYNGEETIKLLLDRRGNQVVVTEGILQAA ARNGRSGEAVMKLLVNARGGHECNILQVASSQGYREIVQLLLENKVDVNAQGGHHGNA LQAASAIGDEEIVKMLVDHGADVNASHNAATPLLTAAKKGHEEVVCILLAAGADYRVT NNFARSALMYAAIHNLPRAVDVLLGKPGLDINAKDYWGGTAISFAARLGHSEIFQKLA TLSNIDLQAKDCFDRTSLWWAQRQGHMNIANALIDWRICEIPK PFICI_02320 MSNLVGKPFPEGISFTYVAPSPETSEVTACGMPIKFDASKEFKD KKVVLVSVPGAFTPTCQANHLSGYIQKFDELKKGGADLIIFIAYNDPFVMSAWGKANG IVDDKILFMSDDNTAFSSSIGWTIPGTPRTDRYALVVDKGTVTYAEKEPVKGVDVSGV DAILAKL PFICI_02321 MTFHLPEMDSHKATLTCGLLGVLSTTVFFSMNTTINYLTIPTVF LGHPPLHSSEASASGFLVRSTEKPASAVSHLNRQWQEIYWRGHRVGPGSAIFSGIALG AASFFSSHGQAIKPGRWLLFAAAGAIAMSAYPYTVFAMVPTNDELHRRGDAITEGLAE KKDFDEGETAALLAKWVRLSKVRASLALIATAFGVAGLLW PFICI_02322 MASFLSRAASNSHVQFATTAVVSGAVVAGTILGYQRLQRESRIS HLKHSIPELENDDTVRSLFKAYSCVPRLTDRKAVQINDFGGASPSSSKADEEDRRNEE LARRAQAGDFDDELILEQLARNRVFLGPEGLEKLRNAFVVVVGCGGVGSHATAALARS GVSKIRLVDFDQVTLSSLNRHAVATLADVGTAKVLCLHKRLIAITPWTKFDLRQEKYW DESADRLLQPWQDNDQKPDFVIDAIDNIDTKVSLLEYCYKHDIPVISAMGAGCKSDPT RIMVGDISSSTDDRLSRATRRRLKLKGITKGIPTVFSTEKSGEGKAELLPLPEDEFTK GSVGDLGVLPDFRVRILPVLGTMPAVFGYTVANHVILSITGYPLDYSPAKGRDKMHDG ILAALQGSEEKILRLMTPGHDPNIALGLKIPITMGDVAFLTEEVFRGRSAITGLSTRL ALLRWRKPTKSTLISIGDQKSTDVKLSDLVCMTKDEAARHEKEIFKGDKTVEEIYDAE TIAKVEGLMKEAAKYEKYR PFICI_02323 MKSTQVFSLLGLAALSKACLTADEKAGVVRRSGRVDPRANNGLS IGTGDRFSNGTIAPRGLGTQAASTTPGLIFNVEEISSALQGLATVYDIETFTAPYTTY EGRSIYGAKVGGTGNGTDAYSVYINAGIHARERGGPDNVIYFISDLLYANKNNLGLTY GSKTYTAAQVKTALSAGIVFVPLSNPDGVAYDQSSNSCWRKNRNPASATTGRPATIGV DLNRNFDFLWDFPNLFATSVQSGVASTSPASETYHGTAAFSEPETKSIKWVLDTHANV EWFLDLHSDAGVVLYSWGSDDNQSTDPSMNFLNSTYNKARGVSPDRNGLVYSEYTTAE DWALNEKTAKTIGASMKATTGRSYDVEQASELYPTSGASDDYSYSRHFADPSLGLVHA FTVEFGFSNNAASCGFYPTAATYKQNILETNAGFMELLLAAAANA PFICI_02324 MSKYNKLAGKHVLVIGGSKGIGRGVVEASLEAGAIVTLVGSSQK SADAAVTDIKTTYASANLVGLGCDLSQDTVEDDLESLFQKAGGTQPIDHVVLTAADSL TLPPLDNISTATIRHVGHMRLVVPVLVGKTAGRHLRSKPDASLTLTTGSIADKGVAGW SLMAFMAAGLTGLARNLALDLQPVRVNAVEPGLVDTPLWDTTMTAEQKDTFLREAGAR APVGRAGRVEDVAEAYLYAMKDGNCTGEIIKTRSGAHLV PFICI_02325 MTTIRLSAADVDIVVPEGLSQEMLENFSPLNRWLTRLTKSLAQQ HTNPSHPFRAEPYALRQVTIQSFDLFGGKRVGFLKLQADVRNARDESLPGAIFLRGPS VAMLVLLVPDDVEAGSAREAEERRVVLTVQPRIPAGSLGFVELPAGMVDNGTFAGAAA KEIEEELGLVIEESELTNLSDLVAGAQGSDAQPQQQQRSGGSSVDVDEDLPEAVYPSA GGCDEFIPVFVHERRVARESLDEWTGKLTGLRDDGERITLKLIKMKDLWKEGRRDAKC LAAVALWEGLKREGKL PFICI_02326 MSYRVGGGRGSDVGERDGRYYYDEPRRGPPRREYDEVETTFERR EERRSPPRRAPVREYEETDISIRERDSRTPAFLREEPRREAGPLVLRSREVETIDRRR PRSPSPVREQERIVIRRRSVSPPRRERSPPPPPMQELRRPRFIERSPSPEVRVDTREI VRVDRRRERSPTPEREQEIRIIQRERQRVPSPSPSPPPPPPPPPVIRGPTIEREVITH YRDIDHAPYPPFPPPAPIIEPRRGRETETDIDIYTSRHETEVDIHRHSRSRSRPRPRP VAPPRGPSYYDDEVVVRSDRDRLQVEIERDHKRSRSVAPPPPRPDYSEEAEYITGKID SRGRMGEAYHGATKDWTIVDVPPGTERVKMDGVGGGGAEVTWQRYNGVRRAKFIPERD ERPTPRPPPPAPGPMPIVPYASSTSVSETTSDRDRLSVQIYDKHRDSSRDRPVVEDVH DTRISIRDRDRDRDRGRRPLKKQHDMWTEITKDLVVREAIERLGYEYEETEYFFYVME YLKYEDVLEIVNLSDSIRRARRDRAREIEWEREARERWERKHRHHHKHRDWDDERVVE REVIYEHERKPVRGYLH PFICI_02327 MGTNDAQNAAGELPSPADAFNPKLTEKPKPKDEDPSKGSGGSYI RIFTYAQPIDIVLELLAFVAAAGSGVGLAIVNIVIGNFITILNRFVVGESTASEFMSN VSKYCLYFVYIGIGRLVLVYIYTTLTTYVAYRIVRNIRQQFFKAALAQEIAFFDLGSG GSISMQATTNGNLIHSGIAEKLGLCVQALSTFVAAFVIAFTSQWKLTLILIWLAPALI VLMGIVATAEAKLETKMLGIYAQGGAFAESILSTARTVHSLGARTRLVARYDEYLCKA KALGDKKSPIFGLFFSLEYFLIYAGMGLAFWQGIKMVANQEVSSLGTVFTVLMSVIIA TISITMIAPYTISFGRAATAASQVFALIDRVSEIDPFDQTGEKPVTTEGVLDVENITF SYPTRPGVTILDNFSLHVPAGKVTALVGASGSGKSTIIGLIERWYKPSNGTIKLDGIP IEKMNLNWLRTKIRLVQQEPVLFNGSVFENISYGLVGTPWQKESQEKQMEKVVQAAKF AFAHDFITALPEGYHTRIGERGGLLSGGQKQRVAIARSIVSDPKVLLLDEATSALDPQ AEGIVQKALDNVARSRTTIVIAHKLATIKRADNIVVMAEGRILEQGTHDGLLAANGAY SRLVAAQNIASHANKNEVSSTDSGSEVEPKEEEEDLSVRRTLTRRATADEQQLQRLRD RDNYDKAKHKGLLTSVFGLMRRTPELRLQYLIILISCMIAAAVFPGQAIILARVLDVF QLDAESMQKQGNFYALMFLMLSLGCLLCYFIMGWTTNIMAQTMNKKFRETILNSMLRQ DIQFFDRPENTTGALTSRLDSYPQAILELMSFNIALILINILNILGSSILALVIQWKL GLVGVFAGLPPMLFAGYARIRLETHMESKNSHRFSNSASIASESITAIRTVSSLAIES TMLERYSNELGSAIRQSILPLLHMMFWFSFTQAIEYFILALGFWYGCKLVSNGEITFY QFFVSFMGTFFAGQAASQMFGYTSSITKGKSAANYLFWIEALQPLIRADTPENADRGP ADGAGRIELDKIHFSYPLRPDTRVLRGVDLDIRRGEFVAFVGASGCGKSTMIAMLERF YDPTSGTIRVDAEPLVDINPRLYRRHVSLVQQEPTLYQGSVRDNVLLGKEQSDGGDSA TDEEVEKALRAANAWDFVMSLPDGTATQCGTSGSQLSGGQRQRIAIARSLIRQPNVLL LDEATSALDTASEKLVQAALADAAASGERITIAVAHRLSTIKDADRICVFYGGRIVES GTHDELLALGKLYRDMCQAQSLDREAA PFICI_02328 MPSADFERFSNIIGGQLRRGQQVHHGIDPSTRKPLWDVPIAAQS DLDEAILSSKNAFASWSRTSWESRQEKLLQARDVLSENKAKMAELLTKEVGKPIQLAH LEVEHAINFLEFNAKQPPLEEKVIQDDDGLKLTMVQKPIGIVAAICPWNFPLVLAMGK IAASLVTGNCVIVKPSPFTPYSVLKFAELVQHIFPPGVFQAINGGDDLGPRLCTHPDI DKISFTGSTATGKKIMSACAATLKNVTLELGGNNASIICPDVDPKIVAPQVAVGSFFN SGQLCVASKRVYVHEDIYDEFLAVMVETVKQWKVEPTSDMQQGIMLGPVQNQMQYDIV RKFFLDSASGNHNFVLGSTPEESPENFIVKPAIVSNPPDESLVVTGEAFGPIVPVMKW KDEDEVIRRANDTLTGLGGAVWSDDLVRARRIADQIEAGTIWINSFEKPLPQAHLSGY KQSGVGGEWGREGLMVYCKPQVVHCYKAPVVVPK PFICI_02329 MTLTKDYQKAAREGGSPRFEIHDVPRPALKPWEVLVSLSASGIC GTDVSLASGHLGPCCDILGHEGVGKIEAIGAGVDPTIAKIGDRVGIAWVRDACGACEY CLIPGGETRCVEQLNSGRKIDGTFAEFCTVPSRYLLKLPDDLAVADELVAPILCGGVT AYKALKSCNATPGSWVAISGAGGGVGALAIQYARAMGYRVIAIDVGTSKEEYCRSLGA EVYLDAKNDVAAAVYTSTKGTRAKAVIVTAGSGAAYQSAFDIVAAFGTVVCVGIPPPP QTMALHPLQFIDKGISLIGSLTGTRTDTLEALEFVQRGAVKPSVNIISLNDLPVVADR VAETTGKYVIRFGKAQIATPVQSNGDVSSNNNI PFICI_02330 MYFSTRVASLVALCTLGSCQSITKVNDFNAGPTKLGMYVYVPKG LTTPAPIVVAVHHCQGSAQGYSTESHYLPLADSHKFILIYPNSRSSGGCFDVASTATL THNGGGDSQTIVNMVKYAATNYGGDLNRTFVTGTSSGAMMTNVLVGAYPDVFKAGSSY SGVPDGCFYVSGSTATQDPPGWSNSCANGQTTKTAQQWGDLVRSYYPGYTGARPRMQI WHGTADTTLRYPNYQEALKEWSNVLNLTTSKDTANSPQSGYTMTIYGEGTATTAQLVG YSAQGVGHTVPVHETMDLAFFGIA PFICI_02331 MSWTNFMYTTTEKLRTPDDSKSYVLAVITVFLLLVITVATWRRY FSPLSDLPGPFWASITRLWHVKIIIAGDQNVQLSRLHEKHGNFIRMAPNEVSVTHPDA VRKILLNPLRKGVFYNISAIPDWRYQTPMSTLDPKEKIERSKAFSSGYAQSNVIKYEE DINPLITQLCGWLDRYAESGDRMNLDKFLLYTAFDVVGEVLFSKPFGFIEKGEDLGNS IAKNLAQESIGTPVAQFRWAQLLLGNPVVTTLGLNPGSMLMDTAMTAFKERQKNPDAR FDIIAHWFRYLKDHPDRTNLRNIEAQTTTNVAAGSDTVTCALQSILYHLILHPSTYDQ ARAEIDAARKQGRCGDKVISFADTQKLPYLQACIKEGLRIHAPVPMGLQRVAPKGGLT IGERTFPEGTTLSINPWVLHHSKELWGDNADDWSPERWLVNDTSVLDKHWIPFGAGFN ACPGQHVARMQLSKICATIIRDYDLKLVDPSKPWKWMAYFTMVPNSWPVYVAKRADA PFICI_02332 MVFAPRRRVLAAVCLFATRAAAGSLAAWYTDLGPSLLLQDDETS HVRYSLCTSENTPILPEDKTIIAPLYKYQPRNGTALAGTGWYDSKITWASIFYQDTND NIVNSYLKCDPNTGYWLSQGDYIISGNAPSVATGTGLAAVLLGSTGGYRVFYHDTDMT IRQIGYTTDSGWNDIGAVTQDGSLGNAIGAVYSGKKSNITVAAATGSQDIEISQWFDD DSWHISAFPQPLSGDLVTNATNATSIALNTTSAANFTLPAWDGTASSLGVSTHHSGTR SIFYIGTDSALHQASQSGNDAWHIEASPNATFWPSPDAAARGQLAVASDGQNGQLRVY YQSGGQIVELNGDGLDWTIAAAALPNANSTASSGGTSNGGSDSSGSTDDSNGDGSSSS SSSNNSSGGLSTGAKVGVGVGVSMSAVAVVGILGGLFFLRKRQQRRNKESTFGGSTLA GGSSMAPTPNMGYSTAAYPNHVSPQTAYPPYGVLDQTAYHQSNGWETYYSQPHVTPKQ PGELETGAPAAQEMPQNFRYHEMVGEGHYREAP PFICI_02333 MAPLTTMAPKKKIAVMTSGGDSPGMNAVVRAVVRTAIHMGCDAF CVYEGYQGLVTGDIRKTDWNDVRGYLSEGGTLIGTARCMEFYERPGRRLAAKNMILKG IDALAICGGDGSLTGADKFRAEWPSLVDELVSGGELTEQQVAPYRHLNIVGLVGSIDN DLSGTDNTIGAASALSRICEMVDYIEATASSHQRAFVIEVMGRHCGYLALMAGVATGS DFIFIPEKPRDDNWREDMVKIVKKHRELGKRKTIVIIAEGAKDRHGEKITSSMVVDLL KDPKGLKLDTRATILGHVQRGGPAVAQDRILATLQGVEAVKTLLEATPESETCFIAIT ENKIVRKPLMAAVKATQEVAKAIEAQDFSRAMALRDTEFAEMYNCYSLTTTVGQDENT KLPANKRMRIGFINVGAPAGGMNAAIRAGVAYCLNRGHEPLAIYNGFAGYVRHHDDSP YKSVRPFNWVDVDDWGRKGGSEIGTNRELPEETGMDLIAACIKKDKFDALFLVGGFEA FHAISQLRKARAKYPELRIPFTLLPATISNNVPGSEYSLGSDTCLNELVEFCDKIKQS ASATRRRVFVIETQGGRSGYIATLASLGVGASAVYIPEEGISLGMITSDIEHLKEVFR NDNGQSRAGRLILVNEKADKLLTAKLIADLIRHEAEERFEARDSIPGHVQQGGVPSPL DRHRAVRLAIRCIQHLEQFGPFSEASASDSPLSASVIGIMGAAVVFSPMETLEEKDTD WKNRRPLAAHWRDMKDTADILGGRPPYEKPHEALRGSVAKDAKRGLI PFICI_02334 MSDHEYGGNDDLSLPKATVQKIVTEILPSSTGLSFSKEARDLLI ECCVEFITLVSSEANEISEKEAKKTIACDHITKALETLGFEEYVPAVLEAAAQHKETQ KVIKNIRTNKFEDSGMSLEELERLQAEQFAQAAARHN PFICI_02335 MSSKAFIVTGASRGLGLAIAQILIRASHKVFLVARSESGLQKIK SENPSNVEYLAADLADFSTSPKVIEAAVKAFGKVDGIVINHAVLTPLSKIADVDIEEW RRSYDINVASPLALVKAALPELRKTKGCIVFVSSGASVGAYTAWGAYGTAKAAINHLC AHLAVEEPAITSVAISPGKVDTDMQQQIRELGGGHMTDKDHASFIEEHASGKLLKPEQ PGTVIANLVAGPPKEVNGKHLRWNDDQLKSFQA PFICI_02336 MTSSTVVLGAGIIGASTAYYLGQHQTPSSIHIVDPSPELFESAS GYAGGFLARDWFSSQTSGLGALSFDEHRKLAEAEDGGQKWGYMRSTPISFSPGTMKGQ TVVAAGRAEAASRTGGNGVAPPWLYQGDGGRAEAIGEEGTVAQVDPLQLSRFLLQKCL ESGVKLHHPAKATSVMTDTRNEISSVRIMDTRSGTESDIPCTNIVITAGAWSPQVFAA LFPRSQLKLPISSLAGHSLVIQSPRWTAGYEAQAGCHAVFVSGGSGYSPEIFSRAGGH IYIAGLNSATEPLPLLATDSRSGISKSAIAQLRATACEILGRGQIEIVREGLCFRPTT SSGSPIIGRIPDTRLGEGVTTRPGADGGVYLAAGHGPWGISLSLGTGRVLAEMIQGRK LSADISMLGL PFICI_02337 MAPVLFQKKSKLSLREKPITVIINEHGYPKHTRSMSTTSTASTS SSTTSHGHKLHTYDPLSLHPPLSLNTSPVIDEEYDEERRQSAEQSQLQHQQHQQVCSS YDDLDSPLDYYFNSDDRRRSYIYDQQSQWPLKDWQTVPSAMDNSSDEEDVTRRAMPRT TSSSSTVSQRRPQKQFTGPLDEFVKRGEWKRRGIVFGMDAEAVEDESQHFEVNPFEFS H PFICI_02338 MAVFQSKKFRGAADAGTFAAKYRAAMAKHPFLLFGLPFMSVIVA GSFVLTPAAAVRYEKHDRRVRQMTHEEELGVGKGGRKVNMKDEYYRLAAKDLDDWEQK RVERLPGENDGRL PFICI_02339 MAPTSHGRSLAHDRDGLTNGSLIQVPPPSTLAAQLVENITAPPR SSRPDETAELKRCFGIIEKVKNQPALLTTPAQRIEHNHMLIYVYVRVVLESLRWDDPF ADVTHLSSEALRAINFLRMTIKETPNVLLTTPEEQQFLFRGQSPLWLWILPKVLKVLG RDKCPDLTKAIKDLLQDVFLVICHTGSLWPLLPPYLKYIQDCIKSTLDCLTDIRPLSS KHDVAISLRLPPDTVLASVSHDDKLESLQQHCTFDVTSSSHGIRHAFSLLATLTQHMR SSQGLQNLMSPIDYTPWLVDTYFALHRLQSKWPSTRFNHLSSSLQAILGLAKTIQASV TSNPDSQHKFVSFLVYLIMELVDYSENLLRTEQDFTAEKELLCSSLLTLTGLANVSKP VANFVSLQLVHRLERLATEGELMSPETDLWKCYELLRRASSGSLSATQTQAGAVTRFE SSSLCRQFESLKILLPTTLFNFSDRVRNKRRKVEATPKALVQILKVLYRILDTEYSDA HGDLATLVKTKFTDIGEKDQCTLLDLLGHACCATFDGFAIKSLLGKKGSSTFQCRTCS HNTMPQEKPSCCEPGARSSILAAFRAVVDMPDFNVLRRPRVAAMTTLRRLVTHSPDSD FLDLETSTIGLWCMKSLSSSNRELRIASCRTLPAFLNNWMSNSSNDGVVQQNRAFALQ ALSSISDQALPHLAETCIMAWSHVGRMVSDDQLNLVLVKLVDYLSRENAIISAAAFDE ILNLARSRTVSPRELFQPYWKNIAFSAVKDLDSQPKLTRLLAELLEITVPDLLLMIQR EALPWLVLKGKSGAIQQIADARAEKASWQPCLDKNNLGPIVALLLIQNVPDVEAHAMT LLRHISPHFEGFGLGDLILVEPVLICLELLKAAGEAEEERKPHIRQALDLMVNLYYKA NGDLKQKKSNTTGLFLLDQVLGLVSNLTEIFNIFPDSLSIMEERQRSIRAMEELLRIG KKNIRMARPQISSCILSCMPDDKLRASAFSCWTAMILYLGADDVESLIETTFFIIGHY WTAFDETSHERARKLLEYMLEKHSILLEEMISKLPSFGHISALARVEKNLNALRKPVD NRTAFNLLAERIGHENSGVVLQALRELTSYLQIQQGYLQASAVSEQPDSVVSTLARAL LDCSSKFATDGDEISRLCNQSLGLLGCLDPNRVETVRDERQITVEHNFTKATEVNNFI MTLLEDVMVKSFLSATDGNFQGLVSYAMQELLNRIDMSAAIHMHQHPVRGDARQAEAD DLYRLWTSMSEGAREVLVPFLSSRYKLQAITTANAEYPIFRPGRTYGSWMRIFTLDLL RRPQSTFTEYIFEPICRVIKLKDLSIAEFMFPFLVLHVITGDESTDDVKKSILQELLN VLQNEPPKEASYSEKEDKKLYYEAVFRVLDYAMRWLQIKRSRQNQTPQDDLWIKRVQT ILNAIPAELISQRAVDCKSFSRALFHLEQHIREVDQEKKTSSEDRERLLLRLQDIYAQ IDEPDGLEGISAHLHVLDINQQILSHRKAGRWTEAQTWYEVKLAEDPDNVDIQTELLT CLKESGQHDVLLNYVEGIEKHTAVNTISQIVPYAVEASWATGRWETMRKFIAKYQGDS TENFNVSVAQALSHLEKGSTQAFIEQMTMMRDRVSSSMTSTATSSLHACHDALLKCHV LTDLELIAGVNNDGSQHPQEVIKTLDRRLEVLGSYVNDKQYILCIRRAAMELSRSRFS SLDISSMWLTSARLARKSNSLHQSFNAVLHASQLGDNNSTIENARILWKQGHNRQAIQ TLQSAINSSVFSSKSFATDGDSSERNPESQQNMTIARAQLLLAKWLDSAGQTHASALR QRYQNVPKNYSQWEKGHYYLGRHYKKVLESEKALSPDMQSDEYLSGETVRLVIENYIR SLNYGTKYLYQTLPRILTLWLEFGAQLEKAPEGKVSFSRELHHRRKTQLDSLHVYLLK YLQRLPAYIFYTALPQMVARIAHPNEHVFKVLEQFILKVIEAHPRQALWSLFAIMTSR QSGTERRLRGQQILTKLRGISKPVDGGTGDLKSLLRKGEKLANQLLLACNNGDFQSNR TTHASITRDLNFNHNCCPCPLVVPVEACLTATLPTLTDNVKKHKAFSRDVITIDSFLD DVLVLGSLAKPRRLTARGSDGKLYMLLIKPKDDLRTDQRLMEFNSMINRSLKRDAESS RRQLYIKTYAVTPLNEECGIIEWIDGLKTLRDILLNIYRSRGIVPNYNSLAQMMKDAT MSDKNTKIFTDSVLGMFPPVLPFWFISQFPNSSAWFAARLRYTRSCAVMSMVGTILGL GDRHGENVLLEEGNGGVFHVDFNCLFDKGLTFAQPERVPFRLTHNMVAAMGAHGYEGP FRKCSELTLSILRQQEETLMTILEAFIYDPTLDLQKDKKRKHEIVKMNPPAVVENIKR KVRGLLPNESIPLSVEGQVEELVKQAVHPRNLAAMYIGWCPFL PFICI_02340 MSLEDAKVTLTAPLSNDESKWIKLLSIEYKDPTGKSRTWESAER LTRPKGGEIDGVGIFAVLEKETGPEIILQKQYRPPIDKVTIEVPAGLIDEGETAEEAA VRELREETGYVGTASMTTPVMFNDPGFCNTNLRMIHVTIDMSRPENQNLKPELEDSEF IEVFTVKLTDLYAECEKLEAQGYAIDARVGTLAEGIEVAKKFKL PFICI_02341 MVTVDGVEVIMAPPDGYVVNFANPPQIGKTEIVSVIIVENILAF AFLCQRLYTKIFLLKKFQIEDATVLFAWATSVGTQAELLRLYVVKAVGVHAYEMPLER YQYFSRVIFAAPLVYTFTVASAKATLCLFYRRLSPFKTYQIFVWITMFLCVGSSMAIF FSLVFACKPIAASWDPQLAQIAQCLHRPAIYVATAGIGVFTDVILLALPVPVIVGLNM PTRQKIIVLFLFAIGSITLVTSIVRLVILLPSLTDQDQTYALTKGTLWICIESNLLIM CCCLPTLRRFFHHIAPSIIGERSSGAKSSSKGLERPGIRTFGSGPLQPKGAKYQLDTL MHTRVDECDDNDGRHSLRPDEHHHVTNIRGGTDSQSSNGDQNAGYESDDPILKPISKT VTVQVTYEPNKRP PFICI_02342 MQNPLTPAVAAAAALLPTQSMAACGTNGTTSSCCQVLESAGISI IYPGSELYENRTESYWSLTSQLTPWCIAQPKTAEEVSTAVVTLVENTSCEFAVRSGGH FIWPANNIEEGVTIDLGFMNATTYDEETQIASVLPGSRWRGVYEALDPYNATVPGGRA ASVGVAGFLTGGGNSFYSARKGFACDNVVNFEVVLASGEIINANATDNSDLFQSLKGG QSNFGIVTRFDMQAFDAPLLWGGVVQYNKTTGPQHIDAYVDWTNNVQNDPNGSAIVFW SYQPTLKDIIIITSYVDTAGNVAPAAFDEFLAIPGNLSSTMRTASHLELTNELEQPTG YRDIWWTGTIKNDKRVYERVIELHEELCDFMAAQSPDGDFVTQAMFQSIPTIFSQHSE ERGGNVLGLDRETEPVVMLLFTLAVNGVEQQTVAQEQMRKFGEAVMDYASSIDAAAEW EYINYSYDYMDPLATYGAENIAKIQAAAAKYDPSGVFQTRAPGGYKITKSQGTVA PFICI_02343 MLTAADVAKHDSKESCWVIVAGFVYDVTEFLNNHPGGAASILRY AGRMKDATDEYNLFHAPTLILKELSKDKHLGPIDIQQVIGAQHSAHSVEHDVMPLSIC QSLDDIRAAAEVKLSARARTYFASGAESMTSVRNNRLHWEAISFYPRILRNVSSLSMT CRVMGSPSSLPIFIAPAAAAKLGHPEGELCLARGAARMAIPQCVCTYSSFTNEEIVQC FENEPLRRGGALFFQLYVPKIKKEAEHLIKRAKSLNFQALVITVDSPVIGKREDDDRL RTSTEHRNEIESQPPGKYLPGEEAPTLRGVHCSTLEWSDIPWIRTLWGSKPIILKGIQ SAEDAYEATKHDLDGIYLSNHGGRQLDFAPSSVQTLLEINAKYPQVLKMLDIYVDGGV SRGSDVVKALCLGAKAVGIGRGFMYALSAYGTDGVLRAIEFLSDEIQTTLRLLGVTDI AQLNPAYINTRRLANELGIGHVKAVI PFICI_02344 MYSDNDKRSVPGASQSGGEHAQPESIIAAMDRHKGPLLGTALTI PSTVIAQIIGTIGYHFVLIDMEHSPLSPEITTQMVHAIVASSRGASFPIIRVPSHGVE WIKWALDSGASGIIVPMVNNKKEVDRIIDGAAYPPHGSRSFGPARASWGLPRGPQGGV REYFQRAKNGEVAIFPMIESKEGVANAEDIISTTGVSGIFVGPMDLRLSLGLSGLDGS EPVFMEALEKICTLGQKYGKFIGSLAMDPDMIRSRTQDGMKFLVVSSDASTLSAGLAV HLEQATQESPKTKRPSL PFICI_02345 MPGTTAATDLSFTPLHEAFGAEVKGMKWQMPISQSVISEIRAAV HKYGVLVFRCANLDNDQHIEFSRQFGHLDDVKAHIKAGRAMRFPEQPEIFDVSNLDEN GNVITDADPIRMASSKGNSLWHADMAYNPQRCAYSLLRAVELPPTGTGGETEYLDSRK AYEDLPNDKKRQLEGLITNNSLFHNRKLAAPDVFANVEPLDSPMSRHRLVSLHEESGR KNIYITTYAHHFDNETMEDSRPLIQELLAWVAKPQYKLTVRYENNGDLVMWDNRAVLH RATGAGSYGGKYKRDMRRTTVKDDGSFGWGENGVGCSWQAGLSK PFICI_02346 MGKNTASASTVLPFSREQVYDFVSNPHNWPLTYKGSGGIQKHLQ LPLKLGDKWTEKVSLAKNTYYSEWTLITAIRPSKFVFEQVNGIGALNEELEGGVEGTT KIEYVFDEVNMTVEDKTEKGTLFTRTFSSELPRGVEMPEDLLVVCMRTVGIEGYHDAV ARELAKTHTAT PFICI_02347 MATGQICDSYGYKFKVTEDHLTAEELHPLLQRYDTVGSDALDAL DAFFPPPPPRAGWYAKTKEQDPQTDRDTYALLRDNRHRDERLQELWDEVNTIPDWVDW EQIERGQEVFYRYAPAVIAGFAFQGLLATTGSAFRPAETLVRTGGHSIKVAKNRLFET FQLMLQVTRSLDGVKPGGEGFASIVRVRLLHASVRNRILKLNKQRPGYFDVAKFGVPI NELDSMQSVCAFSTNLVWLSMPRQGIYVREQEALDYLALWRWVTHVIGAPSYVLDSPQ RALATMESIMYDCLKPTANSRALAQNLVKALDRVAPIYVPKEFFEAGTRYVNGDALCD DVGIGKPGFYWMAVIRGQAWLLMAATYIGRSIPAWDRWQIEFFRNLFWEYIVESKDGL KGGYKYEFKYVPQLGEQTGAESTTGNPPAKQGRAALETIGLGSFVLFMLVATVGSSIV FRMLLGALQSNPLIAVSIVKR PFICI_02348 MHFPGVALVTGAGSGIGRATAFLYAKEGCTRIAVADINKSNADE TRKEIETKYSNVDVLSIQVDITSEQSVNDMVSLVVRKFNRIDYACNAAGVLIPGPTDE VSVSAWKKQIDVNLHGTWLCHRAEIIQMLKQDAIKADDGYFPSRGSIANVASMCALMV YDHLPAYCASKHAILGFTRADGMRYAKQGIRVNAICPGVTATPMVGDVPETASSGSLD AQAMMNEMATGRFGLPEEVAEAIVWVTSGRASLIVAGHLTPNGGMIGA PFICI_02349 MSDEVVVNTGESAASSATTRRRSNVGRSGPRASVACCDAQNAKS GTRCTACRTSDIECVLIKNDDRRKYGSRQHIASLQRRIHSVEALISDYQKGSQEQITR RSNRMPSEDLSDLSDQSDCDETSNTNQGMVGEGNSNEDVPRAQTPMSLQSGHAENMEG CAKEVINVAPCDQSSGSSTGMALGANMPQHALDHDVACLQDTAGFSVYLTPEMDDEVS SLDANSSSGQIHYYGPTTQLHIQSPSASKASSLPTTTFDPEFVVDMDSPQLRRVLLRS CWEYYSWSVQVVDEDLFTSHRKLGVRSQYYSDFLESCLLACATRISTSPGVRKLGRAY ADRAKHEVVFELENPNLASIAGFLLLSDFEATNAQDRAGWIYCGIACRLLYDLGAHQD CTALVNQGILSQTDLKMRSLLFMSAFVYDKTWALYLGRPGCIPTGTMDVSSQPSIIAT CDNKNLTCWVNLCTRISEVTECLNASGASLNRNRVEELLQVDNKIMNAYDLIPRDISS QHCRISELDQSAYGLNIQFHGIRIVLHRALIRIIKKQQPPISSDCLRMEKSRSIMHDN AVSICRLVLAYREIFGIENFITIMLDNMYIAASTIVSHILHPPSGYPNTLASDDMQLL RVLSKSMESAQKHYPVAEKMRSTLARITRNVELAGLFGQADSLIGFAGSSSTHPEQGS CLPQPGSWGSMEALLTDDVILGHTDMLLDGTSGDQDRNNTNWLGDTDLGLLR PFICI_02350 MFFSKLVILALAAVAAAHPGHEAEEHWAAIAARTQRVNTRRALE NCAASLESRGHAARAIERRAAEAARQRIARRIPVNNPYRKRAAVRRDTTSILNKDHEG TLDPDEAQADESYVFNSTTCAVLNPQGEVGPFYVKGEYVRSDVTDSEPGVEIIMEAQV IDVNTCEPLVGAWFDIWNCNSTGVYSGVQSNGNGNSNDATNLDNTALRGIQQTDDNGV VTFTTIYPGHYSGRTNHMHVVLHTEAEEQTNGTITMGTVPHIGQFFWDQSLTTQVEAL SPYNTNTITLTTNAQDRVFGEQETAGTTSDPVFNYVFLGDSISDGIFQWIVVGVDTSA SYTPTYSFELTEDGGVPVSSGGGGGAGGGGGGPPS PFICI_02351 MKGQPADPKTPDGMPRESSIQPRPKRSGLRHVEDTRQLGCTALF FAVFIYAWINFESPLSAGLLSFAIWLSLFQLSFMGAVATHNAIHAPLFYNPRLNGLYQ LCLSLQYGFSVSVFIPGHNLSHHKYPQQARDFMRTTKVSYQWNLLNGILFFWHIVLTG SDDEKLYFAAQERKNRPIARQRRLEQAVVFGTMAVLILLDWRRWIWFALIPQFYAKYC ILSLNLLQHDGCDSSSKYNFARNFTDPLLNWLCFNNGFHTIHHLYPGLHWSVLPQKHR ELIAPHIAPTLEEPSILGYMWRTFIWPGVRLDFRGNPLVITKEEMEMKDEPWIYEQDE TYSSNKEYLPEGMS PFICI_02352 MCDFSVYGTPSPEWIAGASNLPSFLPQVESIVEWRKIFNAQREA TVAEEFKSVKTQVRIQDYSIPTRDGSSIGARCYWPINAEPGKSLPVYMHLLGGGFIFG SLATEDAICARIAINTQIIVLSIEARHTPEHSYPTAWNDTNDAFDWLHDHIEELGGNA HQVIIGGQSSGGQLAASLVLKRNLDSSSERPAIAGQLLMVPCLVSMSCYEPQLAKLKS KDISSYEQNRFAPLAPLTVCRFFEELLEIDDPQVDDIELNPGNATPDQVQGLPPTMFL ITGWDPMRDEGLLYAKMLVEAGVPVDIHMFPGLPHNFRRVLNNVPESARWDRTIERGI QWLLSNPMATGMFEIKEK PFICI_02353 MTASVDDSPAREHVPDHIIPEQNGVHSTQKPFTPIAICGMACRL PGGISTPQELWEFLLNKGDARSPIPESRYNLSAYQSLGGKPGTTKAEFGYFLDQDVDI GAIDTSFFSMPRSEIERVDPQHRLLLEVAREAVDDAGEINWRGQNIGVYAGSYGQDWY DLSVSDSQKYSRYQVTANHDFMLSNRISYEMDLRGPSLTIRTGCSAALVGLNEACMAL DRGDCKSAIVGGTNLVLAPGLTTAMSELGTLNPDGSCKTFSANADGYGRGEAIVAIFV KPLHDALRDGNPVRAVIRGSAVNHDGKTQGVTVPGTDSQEALIRRAYDIADISDLSQT AFFECHGTGTPVGDPIETEAVARVFGHSGIHIGSVKANLGHSEGASGLTSVLKAVLAL EHRIIPPQIKSSPPNPAIPWKRGRLTVPSEPLAWPPCCEERVSVNSFGIGGTNAHIIL DSASSFGITRHDLPQATIQEEGKSQLLVYSANTTESVQPLVERYDAFLKKNPILLSDV AFTLANRREHLPYRSFVVTNERDVGSITVPTSVAKPSRKSSLVMVFTGQGAQWARMGC DLMESNSVFRDTIKHLDAHLQAFAAPGWTLEEELAKPASTSRVNQAELSQPLCTALQL ALVDSLASVGVTPAAVVGHSSGEIAAAYAAGALTREDAIIVAYYRGLLSTRQAKSGAM AALGLSWAESRQFLVPSVVLACDNSPHSSTISGDAEGVAQVVAAVKEARPGALATVLK VDQAYHSHHMVEIGSAYHAAMQDAKVASSAPSKLFFSSVAGALLENPDATHLGPKYWQ TNLESPVYFRAAVSDIFAHKDLPNPILVEIGPHSALSGPLRQIRTQFSSEAPIISAQV REQNSYDTFLSLLGTLFTLHVPVDFKSLFSGGKCLGDLPRYPWNHPESHWFETRVVKE WRLRRDPHHDLLGSKIPESTESEPVWRNLFHLENAPWVRDHKIKQDVIFPFAAYVAIA GEAVRQVTGIQDGFSLRKIIVSKAMIVDQEKPTEIITALRQHRLTDTLDSQWWEFSIS SHNGHVWTKHCVGEVKAEADIDARPVTLQINLPRRVESSKWYETVRRKGLDYGYHFTC LEKISTSTSSRRSGLANVRNNWHGDEANYHLHPVILDAYFQLLSCAAWHGSARDYRQV LPTSIASLTIFRSTVDDIAVSASADITATGLTGEGLGVAGTRVVLKVSGTNMTPLDDP IDEELDDDIPITARSEWIQHIGFDTTDTLVCPNDWQSSYGDALDEIALLASTICRKSV QPGTTVITSMRKYKAWLDAQDVELLQEDTAVLQDRLDKRVMSLSDTPTYDAAMAISKI CANAVALYSGTKHPLAILNGDDTLGKFLTFVHKYNSEAFFRRLGLSTPNLRVLELGAG EGTATADYLSYLTQANGQRLYSQYILSDPSPHVVKAAKGRYKSTPGLDFASLDIGKDP SKQKFPFSQFDLIIASGVLTSTPNLKKSLAHMRTLLSPRGRLLIQETRPGLLWVNYVL GTIPTWWKAFEDARSDGPLVEMGRLEEELRIDGFEIDFHEVSRTNSVLVAKPVRELQR GKITVLGGSATSEPSRLEAELQARGYELCHCTLQDEPTPGQDILALLDDEGPFLDDID AGRLDDLKRFVARICEAGLFWVTRASQSVCNDPRYAPIIGLARTIRSETAIDFATCET ESPQASIPEVADVFDKFYTRQGDDAMGPDLEYSVSDRGVRVNRFFPFSLDDHCDSSQD KSDAVLNVGRPGRLDSLHWLARTALAPQGDQIEMEVHALGLNFRDVLIAIGVLDLPKD ELGYEAVGVVRQLGPEATKFKVGDRVCLVGTKTFATWVTDTQDLFVKIPNNLSFIDAA TMVLVFGTAIHSLINMGRLQEGQSVLIHSGCGGAGLAAIQIAQMIGAEIYTTVSSDLK ATYLMESFGIPRSHIFDSRSTAFVEGIMRQTNNRGVDAILNSLSGELLHATWRCVAKF GTMVEIGKRDILGAGKLDMDMFLDNRNYCCVDIDQLRAERPKELAKVLGSMMEYFRQD VIRPIALAKLASAANVEEAFRFMAQGSHIGKIVIQVRDSAGALQLGNILAPKQQELRL ESSASYLLVGGLGGLGRSLSTWMVECGARHLTFLSRSAGVEKRDQEFAHELESMGCSV TLVRGSVTDIQDVSRAVEASRYPLKGIIQMSMVIRDENFSRMSIEDWNGAVKPKIQGT WNLHNAVSSRGDALDFFILFSSLSGILGQPGQANYAAANTFLDAFSRYRTKDGLPCTS IAIGAMDEVGYLTRNEELFRKMKGTGWRPVREAELLNALRVAMQPRAAQTQTENDSRN FMIGIKPTVPLSNPGSSARQRRDIRMSVYHNAPAAAHVTGQSAISADNSLTGLLASIR DDPNILIKPETVTAIARGIGEKLLNLLLKTNQDIDTSIGLSQFGMDSMVAMELRDWWK ATFGFKIGVFEIMGKGTLNALGQTVVDRLKATQ PFICI_02354 MSNIQEPQYSHLSKEAPASQTPFVLALDEVVPTVSVSRPVLNGD WSIDPRNPKTWSLRCRIYHTAIPAIYCFVATFNTSVYAPGIYQLADQFQTSETLAILG LSIYLFGLAFGPMIGGPISENYGRKPVYLYTLPVFILATMGAGLSRNVAAFVVCRFLA GLAGASCLAVSAGTVADVWDMALGGGLAAVLVVQMGFSGASIAPLVGGYIIQTRNDWR WTMWAVLIMTAPLLAAVVCSKETSKKQILKHETKPEGLPDRQRLQLRLILLRPMMMLF MEPIASITVYNAFVYGIFFAFFHGYTYVFGRVYNFTSAEVGLTFIGIFIGVTLAVPTF IVVNKTLYAKAKAKAPDGRPPPEERLYSSILGSIGISVSLFWFAWTARADVHWIVPTA AGALFGWGIVSLFLGMVIYLIETYQANHGASANAALTFLRFSVGASFPLFVIQMYDRL GISWAISLIGFISVVLLPIPWVLYRWGPFLRSKSTFGPSKI PFICI_02355 MEPSFSGFPFPLDLPTFTDTAESLTQNLLEAESALKTAIETVLT CQPKDATFDSAILPMALAEGPLAICGGRAGLLEAASSDAQLRLEASKAHMRCRKIYES WFSRKDIFQRIQVVYSQLHSINLDAESKRLTEKWYHNFVGAGCELDMENSAKLTKIKE DIALRRADFNRVLIDNKDVIWLTRENLAGVTSSVLNSFQRNMDETSGVETFGVPLKHS TQRTVLAQVHDSCVRKAILQQYEHSVSAALDPFADVIRLRLQQARLLGSKSFATKTLE RKMAGDPANIESFLTQLQDALMPLGREGLRNLEGIKRAESHDDGSDGFYVWDKDYYHE KHLERLYEVDNRQISEYFPVEPVLGGMLEIFEDVFAIKFYEVGKNVCQRLAVDPATLT WHKDVKMFTVWERDAKSQNDFIGYLYTDLFARQGKYSTCANFSIHPGFSGVNGERHQC ATALLTNFPPPSSDRPSLLQHANVIQMFHELGHGMHDLLSRTRYAGLHGHRCPFDFVE MPSQMLENWCWTPSQLSSFTKHYSYISEEYAEIWKNEHNKAELPAEKLPLEVAEKLAS TRFVDVLGTLRQIVLAKFDMLIHGESELSMADMKQWFHQKREEIGLIRASDTETFDYG HYFATTVHFIEGSACNYYSYLFSQVYSADIFHSAFKDNLRDSDVGKRYRRLILQPGGS RNPIDMLKDFLGREPKQDAFYHDIGIRS PFICI_02356 MRKHQDTSAGDAEKKRRQNRIAQQSSRSRQNAYVRNLEALVEAV KVKCNEDDASKYSKLLKAHLQLIEEKRELEDAFLRLRQRLLSIGNMSVTAADSGPTDS TPTAQSPHSINEAATAGLLPQRMGPLHADTGVGQANEPELTHPTIAHHHTPASAQNGL FVPEIHWSADTGDTVPDQSIDLQAMTPTPGLVTMQARIPRPIFETYTSNTGTISNAAI FAQQVYFAASKVLTGASTNSLILPIGYKQSPHPPGDLVNEIASSAVEVIGSLAGLNTY IYGVRFANCMEQVLRWRLTNDQEVRMAISEPFRPTTLQRKTPTHPVVIDFINWPSIRD QMILMSNKIDLDAMCRDLVLNTVVEIPHRQIAVRVHDVLFQHVLPRIQGCSTHGEISM LFNSKWIYLTIPSSSQGPRHGTASPIEDALAMEIANRIQRRSNGAPTVQDVNSMLPIP GAMGLSTGSVLTTLLPGMLNDFGIGRIETWKVSHDFARKYPYINCSSVISAYEMVPCP VDLGTTESCSDPPSWEEISF PFICI_02357 MVFDPSKVNGADECIPNDQPGGKYGYRIGHKKGEYQIPDVILDA CSKHVKVVTIGAGISGIFLSYLFQKHGENLEHVVYEKNGDIGGTWLENRYPGAACDVP SHAYTFGFALYPDWPKYLSPSEDIFRYLSRVVECFELRKYITFNSAVMGCTWDEEKGK WHLKIQDSVTGEVRTDTCDILIGAGGILNSWKFPDDVEGLHSFKGRLIHTARWPADYG QDEWKDERVAVIGSGATSIQVVPTMQPHVKQLDVFVRTPVWFAEFADHAGDNFHYSDE DKSILRENADALVNKAKFIEDKLNTVAGLKAFMVNSAEAKMIRKHFTDRMRRYITDDQ IFQQLLPDFAVGCRRLTPGNPYMRAVQEANVNIHRCAVTKVTPHSIIGSNGDEVEVDT IICATGFDVSFRPRYPIIGRNGVSLQDKWKSIPEGYLSVAVPDMPNYFTVMGPSFPIA NGSVMGALQAVAKYIVQMVQKVQREHIHSLCPKQSVTDAFNEHTQAWVAGTAWDDPKC RSWYKDSRTGRVNAIWPGSSLHFCQAMKQPRFENFEIQYDNSLNMWEFMGLGFTKDMM SDEPDLSPYINSAELDERFTKFQPDLPAEEARVRKMADMVHDLAS PFICI_02358 MSSRLGFSTFHNVIDEQLSPTVKARRCTNPSTLEDNPAAPLSTQ DDVDRAVANARKAFATWSQVPLDARKQAVIDFAHALSKEVDGFAEMLTKEKGRPLVLA KAEVQIGVQWLIQQAQMDFRDEEVWEKSDKTILTRYTPLGIAVGIVPWNFPITIACGK IAPALITGNVFIMKPSPFTPYCALKLCEFAQAYFPPGVFQCLSGDDDLGPMLTHHEGV DKISFTGSTEVGRRVIKACSETFKRVTLELGGNDAAIVFPDVDIPTTAAALAQLSWFN SGQVCVAVKRIYVHASIYDEFLKAFTAITQSLVVGDGFVDGTTIGPVSNSLQYNRVRD LISDVISQGQSVSYGGRAHASSKGNSIGYFIEPVIVDNPPDTSRVVQEEPFGPVVPLM QWNTEDEVIQRANATKFGLGASVWSADISVAKRVARRLEAGTVWINNHMQLDPTVANG PYKHSGLGVEYGVAGMRSYCNVQSIHVSKPELA PFICI_02359 MGPEKPLSASSRNALSSRIGAHLKGKPSAKTFDKLCVWSGPISA FFFFLLFLTADLLPPIAPYRTAEEVVAHYRSHEAGFKAGAGLMQFTGMFYCAFVAATS GQMARIPGCTHTMIACQSISGTISAYSITLPSLFFCITVFRLDRPLELTLLLNDMTWM ITAIPFVTFIGSNFAFSWAILSDTREVPLFPRWVAYASSIWPLGFLGALGIHCSKRGA FAWHGAMAFWVPAASFGFSLLLNTYYLLKAIDKHDEPNAHLQHEVGH PFICI_02360 MTTKSDTLIDENYKFIKQQLAAIDPADIVAQRLVLETLHSSALE PLDVTYEEVRCPGTTRPAIWCKPLVAFLNHAILYTHGGAGFAGSPQSHRKIAGHIAKA SGCPALVIDYRLVPEHPFPAGLLDALAAYKWMLETIPAHNIAVVGDSMGGNLAISLVL KARETGLAPPSAIVAISPWLDMEQFGTSIRDNIDRDLLASPGTFAQISKLYLGETCPQ DPFANPLYGEYHGFPPMFLTAGGAEMLADNATRLGERAQAAGAHVEVEVVPDMQHVFP FMAGNSKVADRTVEKIGHFIKINLNLL PFICI_02361 MTADLHLVGQQYNWVGSIFYFGFLLGEWPFGPVMQRLPIAKLLS GTVLMWGVLVSLMGATQNAAGIMTLRFIMGFFEAPLYPMMSVITVMWYTKPEQTLRVT VWFTSLSSVITGLVSWGIGHATTGIASWRLLFITLGGFTFVWGIVLFLFLPDSPLSGG FLNEKEKYIAVDRLKENMTGMHNKQFKWYQVREGFLDWKSWLIVAISILLNIPNGGLV TFAAQIVSGMGYGALQTTLLGMPTGVFQTVSAFIVSGLSRVTTNKRSLWGSLCCLVPM ICSILIRKLPSDNKNGLLVSYYFFYFFWGAYPTIISLPLANTSGHTKKLTVNAMVFSS YCIANIIAPQLFKSSESPSYTTGYNAILGCEIGAIVCMGIYAAGCWFENKQRDRIESG ATELSADDMLDDMTDKEKVGFRYVY PFICI_02362 MPSLPPQQDKEIGAKVADTTFIEEGISDEKQAEQSNEAAQYLHD HASQYGSYSLDEAKKLVRKIDWRLMPLMWITTNLSAIDASQRSVT PFICI_02363 MPHAQGLKIAILINEFSKQTQPMKDAYRTILATVEPDATIEFFD PINAQEYPDVAKFDLIVLSGGSVFVMEEVPWVMKMRAFIKSTVETQPTKKMMGICWGH QIINVALGGIVEEMGSADVGVHTIPLTEEGSQFFQGTTLQSSSEFKIQQFHKRDVTKP APGLIPLAKNNEAFINEQNTIITFQGHPEMNVEWAYTAASGFPAYIALTGYTMEEILE SVKIPTDGEAIWRRVLEWVRE PFICI_02364 MHTTKGAEGIPAIKVSTPEYLLQNRAWHLLICRCSIDTNALLRR LALLEKRLDNQGAASVDTLQDHETLPDINFESPQLSPQESSPHQEPGHNTDEQVQVPK ASSASPASLRRNVSPKQPYALKTARHKNSQMQAASHVLSKPELPTFGALDSSPRETQN PSPLPPTTSAPYPRKYRDYPNLVQGNCNLGTDSGKEGPFDLLSEADARSLFETYLTYV HPQYPFLCKTELDKFYSAWKAGRLGTELDDFQQCVFCMVLSIGALIFDGNTRQNPTRT EVLYAHVEKYYLPKLFSGKDLVRETVFLLLLTMHALHSSSSNAIIQHTSAATRTAITA GLHRKSSRKDRSLHEHSQSEQMRRRIWWCCYGLDRGVAIAFGHPVSIPDEFITVQMFS VNPRLTPEAITPPESQDFATQCEKSEVCVAHHLTYGRKIQSRIISTCSSPSLGPSTSQ LALDRMRSQFCQELEEWQASIPMPTDKPGYGGRNWFRMVAYYSIVGLASGSNDEVRHA VGAKAIIACCNACITFQQIQRSRQIAHSWLAVLSQFQAGITILYCFWTTPPHMRSDEF EPSYASRGVRTCSNNLVLLAERWREAEPYRDAFEIVSKLVPLTNDLSASIYKWPLPRD LEDLGKLVEQVRYLGAHYRTIDMIEEILRQKRPVWAVGNRRTAHQPLINSTVAGSQPS PPAHRQEDFGGRFHEGLEPGTWYNEQMDFGQEYFGLGDPWVNPDN PFICI_02365 MRLLHVHSFEFASGFQERTLDNAQDRLWHSLGGTSRPKPRYAIL SHRWVGKEITFQDFSTLTKDRLRDVPLQHPAALGVQSQSADVEEQSSLYKIARACKQA QQLVSMDTPPIEHIWIDTVCINKADQSELSTAIGSMFRWYAEAVVCYAYLVDVSWDGS DASRRQFLNSEWFRRGWTLQELLAPAQVEFYDRDWNLIGSRTELAADISLATNISEDI LRLHGSFRDASLGQKMSWLSLRTTQHIEDRAYCMLGIFNVYLDARYGQGPAEFLRLQH EILRTWNRDTPFDETLFAWRSSEIASSGLFAPAPVCFRDAGSITFDTGLARPRGSKEK LGAKGFELTEAGLSIQRPWSWNIYPLITWPLTFGLILFIMPIYYCFHYKKITTRMRLN AWTQGDDGKVRSIELSMELLPGKTWRRVECGLFYPSNGLTISSRRLRALTTYGEVKIP NVVNYYDVVSDEFLQQDSLSHSPLLSDVELPLLSSWDNGEV PFICI_02366 MFAKALILGLACLPSWAINVPSTQERRGYPLVGYLGAFFYGHDP YVYLYTSLNSDPTNFTVLNDGDPVIVPTLGTGGVRDPTIVRGRGTDLGSYWLLGTDLD ISKTNWDDADRIGSRAMFIWQSDDLVTWSDERLVTMENETAGMVYAPDAIWDGDKSVY HSNSESFNEDDLDHEGRSTSYTIRYSYTTDFETFTDPQDWFGGGRLDANLLQLDGSSY ARFYKNQSASQIYQQISANGLLGDWSEGTAVMDDNTEGPYAFWDNVESNKAHLLLDYY SGGTGYVDFDSDSVEDGVWERNEDSSIPTGLRHGSVLAINQGDLTALQRAYL PFICI_02367 MSSVYSHSTLPEGHIRLLRLSPHRDKDAPIECELLDYPLLNTDG SCGLYEALSYAWGSSEKPQSITIGEYNVPVTASLHSALRSLRDCFLERYLWADAICID QGNTKEVNKQVQMMALIYARAARVLVWLGDSTEHSSQAIEHIRVAGEHIQISNETIDM TSAIPAVAALLKRSWFDRVWVLQEVAAARHVVIMCGSARIEGKTFCTGLDNLEEAYED RRSLISPLKYLIGGAAFRHKDIQGQVEGFTLGLHPLGELIDTFHTRHATNPLDRVYAL LGIRSVNPGESGLKPNYNLSWRELLRRLVKAVLSDHVHVTTWEKHYIAVIQSSGYVLG HVCTVLSCGRDRELICVRTPKHGTDQYEAALCMESTAPSVLAGDIVCILEGAPKPMII RLCESHLIIVRISVDLMEEDTVRCQRLIENSDVFSLLSRRFLLIWDWERTTTSTHGQS DYKDILKVEEPFHKSQLALILWSSGDYKRAVEIYQKLWTVSKGKGGWGHPEAVTYMRY LASMHRDSQQFELADKFQTMVRLISQHAECTEMEQTGIYAAELFDQDVMMLLLHCWKH KTQFPDTVVEAAARNENHGTEMMRLLRNRRTEIRTTWEVILAATKNIQQGRELIQLLL AIDGDQINFTAEMILAVTNARHGEFLLIQLLDRSINQNPVTEAFFTVVAGSQAAGRSL LQGPSDQSGDSTIFIQEVVLTALENPWARAFVEHLFNRERRLDFQVTQAILCAMVCHV HGLDMLYRLFKEGYIFQLSRKVINRACVMVIGKARDLGSVKEEDLSKSMSDEERQHES EMAALLIKAGAEKRHGKICIRRLPVDAKNATNSRGVAPHDSIRMRLTELEVECYRSGL VLLLLDRLMPTDPSDDMTRSHVRVATGGLKAFLRGKWSTIQRSRESLDHVLESIEQLL YPHR PFICI_02368 MWGIVLIGTGFTKSFPAFVAMRVLLGVLEAPIAPGNFLVMGMWY TRKEQPLRSGLFYTGMSSFITGPLGYVVGFITNEWFSPWRALFWVVGSITIAYAIILG ILLPDNPVQSKFTTEKEKFIAIDRLRADQVGVENKQWKWGQFWEAMLDIKTWILFILN VLINIPNGGLGNFTPLIIAGLGYSSRIASLLFMVVGITSTASCYMCNGAVFLANHYNP RLQVRGAAMIFGLVVAMISTIFLYTLPTTAIQNRLVALFMAYFYLGPYVVSIGLASAN TAGYTKKVTVNSMIFMGWCASNIVGPFCFKTNQAPLYPFGIAAMLFSNAGSIIMTCLY ILCCWSDNRQRDRKAAVEGTIPVAETEFRDFTDKQNPNFRYVW PFICI_02369 MVPLLCVDDIHNEAVKKLKKSHTEFFDSGSAEQITVNENRAAFA KYRIRPRVLRDVSAADTSVQVLGRKIPVPLCVSPAGIQAMAHPDGELATSRACAKFGI NMGVSSFANYSVEDVVAAGRKVGPIAHAMQLYTMKDRALQERIIRRAEAAGCTAIFLT ADSPVLGVRFNEWRNDFRTPAGLSFPMLEKTTEMIQSQTHDDGFVTFNSDAHSWAQEI PWLRSKTKMEIWIKGILTAEDVMLARQYGCDGVLVSNHGGRQLNWAVATLDALPECVK AADGKIPVHVDGGFRTGADIFKAIALGAQCCWIGRPTIWGLAYDGQKGVEKTLQILYD DFRRCMLLAGCKNISEITTASLGIARSDGPLARL PFICI_02370 MASKLSTVGIPKGASAKVSIINNSFLWDFPVDNYIQPKVPGFDK FYMPTYSFLIENAQGRKVLFDFGLRKDWHNLPPEAQHEISGDGIKINVEKDVVDILLE GGVKGDEIEAVVFSHHHWDHIGDMARLPSKTDIIVGPGFSSAFLPGYPGNPESPILQT DYENHKLREINFSGPDVAATVAIGAFQGYDYFGDGSLYLVDAPGHSIGHICGLVRTSS GEGNDTFILMGADACHHNGEFRPSPWHPLPDSILPNPLTGSAVHPCPAAKWASHLENK GRSKEMAFFDIPDATAGAAYTHDVQASRKTISNVQDTDSGDDILVILAHDDSVRNIVD FFPKPLNDWKSKGWGVVSRWAFLKDLESACHESA PFICI_02371 MSKSTSSLVGGVVFVTGGASGIGKGTIFGFIASGVKNIAISDVD RTALEAWVAELSTQYENVDVLAIPTDVSSEPSVNAAIEATVKRFGRIDIAVNNAGVSG PRGEAFDISFQEWQSTININLNGVWLCQRAEIKQISKGLRYGRGVIVNVSSMYGVVGI SSSMPATVYAASKHAVIGLTRTEANKYAQHGIRINAICPGYVDTALLKEATAAGHMEA EILATPMKRIGQVEEVVDCIIFLASSMSSFMSGSTLIVDGGYTSK PFICI_02372 MADVNIAQNLEGLKPTIDEVKRQASDLNGSLGFDPEVLSKTYTA EKNARLESGNTSTYRHVIKSGLGHFLDDPWSASHIVREPVQAHHEVIIVGGGFGGLLM AVRLLQAGINDILIIEKGSDFGGTWYWNRYPGAQCDIESYIYMPLQEELGYVAKEKYA RGPELFQHAQNIAKKYKLYENVLFQTEVKSFRWGEEASIWTVETQRGDNLQAQWVIPA AGPLHTPKFPGVPGIEDFEGVSFHTCRWDYNYTKGNHYGNLIGLSDKRVAIIGTGASA VQVVPRVGEWAKQLYVFQRTPSSVDIRDNRPTDPDWARSLKPGWQQARMDNFNSIVSG TPTDEDMVNDKWTEILRAVAGGFGAGGDDTLSPEELAAKMQLADFRQMETIRARVQDL VKDPKTAEALKPWYNQFCKRPCFHDEYLQTFNRPNVTLVNTHGRGVEAVTKKGIIANG KEIELDCIIYATGFEWQQEWSHQTGTQIYGRKGLTITEKWADGVSTFHGWGVNGFPNL LMVSIAQSGSTPNYVHSANFMSQHFAYIVKSCKDRGIRTIEPTAEAEAEWVLEILKVG APRAQFLKECTPGYYNEEGKMSEKVTRANPYGGGGVQYLSILTEWRNEDKLAGLQKTP VEVLPSY PFICI_02373 MLFPGVALVTGAGSGIGRQTALLLAQEGCSRIAIADINEVGVAE TRDAIENLTRNVDILVIHLDVASEDSIKSMIDTTVGKFSRIDYACNVAGLCISGETVA TSSESWDKLFQVNARGTWLCQKYEILHMQKQDPLESPDSKHKSRGSILNVSSMAGRQG QANLVAYGAAKHAVVGFTKADGMRYGSVGIRINAVCPGAIKTPMLTIFANERVGGHLD NLALQRLGDPEEIAECVVWLSSRRASYVTATTLSPHGGKKPPFPYAFYGIDRALIRTP VGQYTVRTETMEEWL PFICI_02374 MTMVQDTNLLSDPALLEKVDRLRDLNIGQHVPLPQLVVVGDQSS GKSSLLESLSGVPFPKDQQLCTRHATQITSRRTNGNEHVEVRIIPGPHSSEEHKKHVS GFSMKLKSSLELKDQFLEILKKANERMGLRADLKSENGTVFSEDVLKIEIHGPREDYL TIIDVPGIFRTTTQGTTKDDMTMVRDLVKSYIKESRTIILAVLPSNVDIATQEILELA EEYDKNGERTIGVLTKPDLVLESGAQDAVCDLVRGNRRPLNLGYFLVRNRGADGNALK QSQLDQFFEMQPWKTLPHHRLGITALKAQLSTLLLDITRQFFPQLGREINEQIKECNK ELNSLGPPRQDEREQRSFLNLIAGAFQDRVRAALSADYNADAAFDEDELRLITRIANI TEVFSADFQKKAHSRPFALVGVSEPSTPSNDFSNSDSEDDEAMVQKVRLLLQRASLDD LRDEEPHELGDIMIPPPLQPIAPQDITEWIEDVYLRSRGLDLGTFNPNFVSVAFAEQS RKWGQMTWTYMRRCIIALHRFIGTALRSVWPDPQGRGKLWAAIVGSLVERYKAAIEQA DLLIEVERRKKPYTLNPQFAKALSKARGNRIAELLKPTARKDQAQYGEVQYMVNLDDI PKVAQEKRNMEQLQEKIHDILQAYYQLALDRFIDNIFQLAVGFHLLHGPSNPLNVFNQ DWVINLSPEELERIVGETKSAKSYRTRLTRKIGDFNKAIDIIRS PFICI_02375 MLCLTLVLGLLFTKQALTKRHHQHHGHYTLMPPPVYSGGITAVP TSALSTSATLDNLQTTVPTTSTTSAHPVQPTFVLRDAEDQEVTLWYEEYAGDKRGFPY FRSASEVGNTSIFTLARNGNLVHISPELPTTNYVASGTEFYPFLFREPGSVFRLCACS IPNCTCSIDEDTLQLDCDCGGLTRFCIYPSRFIFPCNGSDAISSELFLYATPIASNTT TPASSAPSSTKSGNVAQPTFKIQDAGQTRKLAVSYDRNNINGKLGFPVATRLGENDTW TLNDKGNIVHVGPGSRTEGFILWGLEDRDFELAHPEHPEFEYGEGSKDYSPYTYTIDP ITSRLTCNQNASLGICFIYVESFWSCAEPFADIQKIFWFAVPVDPPTPAATVTSTQSP QPTFRIQDAQQKSELYLDYSFVEPTSSIIFVKFGPVGAQPGVMPLFTLNERGNLIYVT PGTHFTGFHAYGVEFFPFRFGDPDPRFRDVFEDDCVCSIDSVTSQLSCNCAGVTVNCL QPCDKLTCLDECDPWHVFPVDPDILTIGSSSTGIVAA PFICI_02376 MIFDPNSNSLPKRSELPQIAGAPKGAAWFWGENDELGRLNMLTP QRIAEASKLIHEGKVVNLNWPAHLPNPPLFGREPFHHEIKSLGPPGNDEILHFNSQSG SQWDGFRHVSVEHNGQWLYYNNTTQEDIKNSSRIGVQAWCKSGIIGRGVLLDYWRHVK GSYDPNSRHPISATELEACAKSHGIELRYGDILLIRTGWIESYERMTPEQRLKLTQVP KYGHTLVGVDQSEEMADFLHDNYFSVVASDAPAFEVWPQRPEWNHHVNLLPLWGLPIG ELWDMEALANTCQERGQYEFFFASMPMNIEGSVGSYSNAMAIF PFICI_02377 MYEVTEEPLGHPRPIRVIVVGAGASGLNLARHMELHMKNFELTI YEKNADVGGTWFENRYPGCACDIPSHNYQFTWEPNPEWTHYYSPWNEILQYFQGIAHK YELYKYIRLRHSVSEAIWNEEQGIWKVKMHALDSGEMVEDQCHILINGSGILNNWKWP DIPGLHSFNGSLVHSATWDADQTVDGKRVAVLGSGSSGIQIIPTIQPVVSSLFAFVRS PTWITAGFAQSHAGPGGANFAFSEEQKREFRENPDVYRRYRKEIEGELNTRFKFIIAD SEEQATARQFSINEMKSKLKDEALLQHLMPGNFAVGCKRPTPGNGFLEALSEDNVRVI TDKISRVSSDGIVLATGEELKVDTLICATGFDIGFCPRFKLIGRDGRDIKEEWREKPE AYLSTAAAGFPNYFMFLGPNSPVGHGSVLPIIEHATKYIINMMKKIQTQNIKAVAPLP AAVRDFNEHVAEFMKRTAWATPCRSWFKNGTVDGPIVALHPGSRIHWFHAMQHIRFED FEYKYFSHNRFRYLGHGFSTKEEPGLDTTWYFDTPEEGYNDY PFICI_02378 MTHPGRLEGKVAIVTGGGAGFGVGIVDKFVHEGAKVVILDVVEE NAQAVVSKHPKGSSVAIKGDVSSESDWKRALEVAVQTFGKLDVVVNNAGVVYTAGPST ETAESDFDRVVKVNLKSLFWSSRVIIPYYKERGEGLGGLFINISSMSSPRPRPGLIWY GATKAGVTNASKGLALEWAKHNIRFNVIHPVAGETNMVPFFLGGEDSPESRAKMMSTI PLGRFAVPSDIGNTAAWLASDEASLLTGASIDVDGGRGI PFICI_02379 MADRYNSPYHHLGNPYPEWVEFYTKQPDRVPQLVGSPKEMRDVM QFLKAKATSMVPPMEDGITVQNLSYPAADGAQIPLRLYTPSGRTNPGPAIVYIHGGGW TLGDLEGEDLSCRSMCLHADCVVVSMDYRLAPEHQFPIGLEDVWAAVTIENAAHILVD VNCLIIGGSSSGGNMAAVLAHRARDRGICLKGQILRIPSVCHIDVYPPNLNLWSMEEL KDAPLLSKRSMELFWSYYNPSNPANPDASPLLRESFAGLAPAYVQIAGMDPLRDEGLA YVDKLQQANVQVKLDVYPGFPHAFGYFPELPSARTLERDLLKAIREMIDRET PFICI_02380 MSRPEFNIPQYTELPPVPGMPPGCAWGIWDKNGVKDQVGSLNLL TPETILEAKKEIQTGVSVSLNWPLERIEDPGHNRNAIVHKFLDLKPNLVGHDDEIYIN TQTSSQWDGLRHWAHQPTGLYYNGLTHEEISGLNPNNKNGIQEWQKRGGVIGRGVLLD YVSWAAQKGLRYSAASKHCITHHDLIDIAKDQGVQFRVGDLLMLRTGWIKWYNEASSE ERVQGCKKRHDYIGLEGTAESIEWLWNQHFSALIGDNMAFEAWPADGPYRIHDNALAL WGTPLGELWDLEALAEECKNHNRWTFFVTSCPLNVDGGVASPPNALAIF PFICI_02381 MAHEAHNLLRRKKVLMLTNSEHGQANVHLAVAYAMLQQDPSTEV HIASFPRLAKFVTEMSDFAVQNIPGASPIQFHGLRGTSFIDCILNPDIDFFSVANTTP SFWTLFTVLGGMSVCMGAWNAEQFMEVYRSCIDIIDKVDADIVAVDNLFFPGVTACHH IECNWLMLSPNSLKDFVANRQPKLAGLWKYPSFGLICEYPIPWYQRLLNVVYSLCGLY MAMTNDRVKEIKHLVKAETGKEAVVMMDLMKSPPAGVPILISNLPELDFPLTTMPDIV TCGPILRPTASVAEEDPELFKWLCAGPTVLINLGTHCLTGEPSAIEMAKALRLLFDMA CRESKLSGLRVLWKMKQPPDEPYSVDVKSSLYDILNKEMLEDRVRIEEWIKVEPGAIL ETGQVICSINHGGASSWNEAICAGVPQIILAQWVDTYDYAIRTEMLGIGLFGNKQTPP GWTAQELGPKLVATTVGESAATMRSKVQELSRLCCMRPGGLGRDFAARFILEKA PFICI_02382 MSPSPIPSLPKHALRRGDNKEEPIDITSSPIVKQEKRVLCSVES AQTSPSARKFRKKSVGTDGDKDLIARTDSKTSATTPAPGPVRSRREQNNGENDFEARF RNLRNKYMGTAGTSDSAKNTRVLESPAAKSTIKYEITPDLPDTAPVGGLPDQNLTDCG RDQTLAPKKKLHYLSDDSDVDSLFDENASIGGMDDNEDDDDDEDDDDGFITSFSSYQS RRSNVSKSTAASSVAPSVAPCDYHTYLSSLRTPAPLTNHSRLPDDRESTPEGFVGHLF AHQHIALAWMIKMEGNNQFRGGILADEMGLGKTLSVLALIASKHGRAAKGTRPGPTLI ICPLSLLQQWITEIIKKIEQGPDKLRVVDAHDSKNKNMTFEQMRQYDIVVTTYEHIRA ENDKKNGRRKGHSSFYVDNGSWFHRIVLDESQRIKNRTSSVFKAVHNLRAKYRWLLTG TPIQNKIEEMYTSIAFLRNDPYNDWHMFKKDFSSLLHKKSQDGYDRMQMARFKGFLEQ FVLHRTKEDIIDGEKIIDLPPKTVNKVFVELSEAERDFYKDLEQDVQTKFRDLVTEGL NRQGHARALTWSLRLRLACCHPLLIANTADLNSMDMDPELAAKCNLENGLDLKRLRDA VTSHIGRRRYMDHLSIVWQDSAKINTLMTLVNSLNEEGHKTLVFSQWTGLLDLAEWKM TQSGISFARFDGSMTMVARDQAIAKLQDDDNCKVMLISLRAGNAGLNLVMASRVILLD PDWNPYIEDQAIGRVHRIGQTKPVVVYRLLAKDTIEDRVLTLQRKKRAQISDAMGDVN IDSEDPDVDEHGLGVSSGNLAFLIGGSG PFICI_02383 MASTSPSLFTLRPWPRGDKKPKKLGEFIARVNAERGGFRNVTEM ELREELHAAEDGRNQSAASSPDSDSDMDVDSDGAKSKTTLEAREEFLRNIEFAHQSAM LSLDFVSLLLSKETPNQANTTLSPALRDLVGFGVLGASRLQDSNITPARTQDDLAVAT GWRLIGTNKMVDSVLEAAERLEKEISLETKYWADVLAVSDNGWTVTSLPYDPHTLGVR YGFAESAPEYRNSSIAPLRRNDDGTVRLERGVSGGSSKRVRAVVIKNGQVTGKSRLPG QVDEKAPLQDRVLEARNTIFSQELWYEINKEARTLLSLKVQSIDDQIIYEADPETKVI LTLEDLDAPDAGGDLPDNQFANTVVLGLHFLLLFSHRLSYFKRTQSTQTTTGREAPPL SILRPLISRLTYDNACTKLTYFLTKLKTILQNAGFSTADFTQTMIPPGPQLPPQQIAQ LALQQIWRASPSEALIINLAQLLELTAELTFTPQARIGLRGRSFVTPMASTQFMVFLP GASNNNPAAQGQNPAPAQTKSNELEELYPPYSQDAYPNVDEAIYYIRQASVRVIVDWV ASLAAEKLGRDDLDWSETTSGAVIRALGKNEVRLDITDSPDLALRLQMRWVENRDVKQ RNVTWAAGQESKETLEQLVVDFFRQ PFICI_02384 MSAKKAPVYFFSHGGPTVQYEKGHPVYPILQGIGQEITKKVKPT AIVVFSGHWEGSRDTIEVNTAEHTDLIYDFYGFPSYFYEAEYPNRGSPELANKILGLL KDAGIKAKGVTRGLDHGVWSGFHVAFNPEENPLNVPLVQVSLFHSEDPDQHYRLGQAV ASLRDENIVIIGAGMSVHNLRDMFASRGNPGPMPYTVSFDEALKDATEAPPAERQARM AEAARRPDAKQAHPWMDHLMPVYVAAGAASEEQGTRTWTLHEGSMGWSQYRFGEVPA PFICI_02385 MSGLKRLPPLLLPAPAARHTATVIFFHGLGDTGYGWADAVQNWR RRSKLDEVKFILPHAPQIPITCNGGMRMPGWYDIVALTGAPDDLRRQQDEAGMLVSRA YISGLIQAEIDNGVPADRIVLGGFSQGGAMSLFAGLTTPRKIAGIVGMSSYLPLDAKL PEFLGEGNHNQDTPILMCHGTADNVVPASAGRSSAELLKKTGFDVTWKEYPGMAHSAC LEELDDVEAFLASRLPALGEEKRSEL PFICI_02386 MDATKYLHLAATCAELSGTAGSSAVKVNQLLRVYRDVPSELREL QSQIARGKQTLDHLLPLLDRDQPAFQNTSDVEALDLHFKALGFVSKVVQENLTQIEQA RSSPFPEWTSVSSIWETTEIALCEARLNKQLSALEVYLNVSRPESEATKQVEITGSED RACLIAAWDDAAEYTSPPATEAAVAGVPQVTVDDPQSPRSPQTEHSESASSVTESSHH TQNSVTLHRGSASSSHTGSSSPAVPNYATLSRMLLPTPSTDPSQSRRNSYWRDAFKTS SIYVPSARKLKSIAMMQKSSASTQDLTLNAQVDEVNPAEFRSRISEYARGVSPAWELK SVPSKKKGTKLRYRNKFTRDPADDMPPEKLPAQIKIVEQPLWDAILHRELEKVSKIME HRWTDNLIVEKRDKMTALHVAASLGICSIVQKLVSLGANPNHADRHGATAMHYAADFG CPNCLNILASANGKVDLEAPKTHVKTALYYAAKRGNAEATHALLNLGAHVYTLNAAPQ DSILYAAVESGSLEVCEAILNKGGNPGESFDTLALAAATSREILNLLAGAGADLNIHD AQNETLLHKYIKKSDPDMVSFLLRLGAEPQSTTDIMGRMTLHLAIDKGGYPDSSAIVK ALLEAGDNPDVKNTLGQTPLHQAVLWGRADVASVLCSGGANMDIGDSKGMSPYQETQM LNYSKRAGNTTLADFPGTKALLERWRHGSVPKIAEAGGQPVALPEIDGQATMKPRAEL GDTVKPLHELSPASKPAELGATKLTVHEMDASIVRKPIIAELP PFICI_02387 MSVQRAESDDGEQQELFMDAEDDIEDDDDFEPGDEDEEEEDDDD HSVMEELFAQTEQLQEMDDDDEFHDAEQGDIEFVVEVENDTGGAAGTAGNNAADDITQ RVVRLIGNPRIALTQRQLLAMLRGSNLSHYIFDDDDDEDAGPWARRRQRRHSRDPNRF PKVPSEKGRELMDSGTFGATEQQKSISAKKRIARRILDREMGIGSSTNRRLNQGLMAQ TMLPTSDAEMIINYDEPVYSGQFSDDGNFFYSCVKDFKVRMYDTSNPYNWRHYKTVAF PGGSWTLTDASLSPDNKWLAYTSIESRVCLAPTDPNDTGDPYMLNLAGDRGFRGQMGW RGGFGIWSIRFSGDGKELVAGTNANSIVVYDIESRQVLHSIEGHEDHVNAVCFADKSS PHILYSGSDDATIKVWDRRSMGDGREAGAFVGHIEGLTYIDSKGDGRYILSNGKDQSM KLWDLKMMYTTAQFREKNPTRHTGYSNFDYRWEPYDDNDWFPHPDDNSVVTFRGGHRV LRTLIRCHFSPPGSTDSRYVYTGSHDGKVWIYNMDATVAGTVDVRAASMNPGALPGRW RAHQRTRGFHGWSTCVRDASWHPNAPFIAASSLNGWDHRVGTVSVHSFNENKVDEGEP PMGTGYSDKLRPVSDDLRSGSSDSYGEDNDDEEEEEEEESDEDEDFEI PFICI_02388 MSDQVQEILDLPRDFVKEGSLFINRCTKPDGKEFIKICQAVGVG FLIMGAVGYIVKLIHIPVNNILVGGA PFICI_02389 MADEDFEIDIYGSADNDTQNDAPGQDNNAQSYESNTAITVANGS NNSTQPQVNRHDDHGSEDHHDHDIDTNNNDGAGSHSITQQPQQGVKRKEGSDDRPIDH GATSCLMISELNWWNTDDDIRKWVVQAGCEDELKDITFSEHKVNGKSKGQAYVDFTSQ QAATATKHQIDQAECPAGSKKPTALYSTPHNNPFRTLPKDAPARGNKELGARGGATAG GFNNRGNFNSNFRGRGNFNNGPRGGGFNPNFSGGNMGFNNNMGAGFNPSMGGGGGGGF NGGYNNRGGNMMRGGMGGMRGRGGMNNMMGNMGMPGFNPMQMGGMPGNMGMGMMGNGM QPQFNPNFFGGNQGGGNDWQQNPHGAKRPRGE PFICI_02390 MASAPSQIPSETLSATATAWLDALGSLDPERLRAVMSPDYSHTF APGSLTRLANSSKDREAMCGHVEHVGRVLTSFPIFPRQVWPNPSLRQVVVWADSKANF RDGATKGPDEDWEFAGEYMFVITMNETGDKVDKVLEFLDSKATERAWELMAKALKNLE SQ PFICI_02391 MAPVYPKFDVIKSGHLDVSSVHSIYWEECGAPSGVPIIYLHGGP GGGIENSDRLYFDPAHYRSILFDQRGSGRSTPHASLEENTTWHLVADIEKLREHLGVD RWIVFGGSWGSTLSLAYAEAHPDRCLGLILRGIFTLRREELLFYYQQGANFLFPDYFE HYKSVIPEEERGDMMGAFYKRLTGDNQEEKLKCADAWSRWEVSTSKLIVDPSYIAKAE DPKWALAFARIECHFFVNGGWMKDGQLIDDAHKIKHLPIVIVQGRYDVVCPAKTSWDL YQALGGKDNSNVEYKIIGDAGHSAHEATIEEALVDAAEKFKSIKA PFICI_02392 MDALRDYFRQGKMPPEGVFRSSMADIMSSENTSKPPNKKRRLET VASDFLDEDDGDDVDDEDDENDGPDKLEEHDEQNARLENTVQRCVALIRRSLDEMAQE TEASQSEIVSDLEDQLAKMTSKKDYYKKRVISQENKMASKNDKLRQQEQEILALKAEV QWHKQATSQAMRDLQHLSGQAFYTRNEMLRLLNVV PFICI_02393 MDRVEGFTMENSEYIDLTTGFDSDDDDSDDDDNDEKFMTGPQNL FSKVHGMLETQSKKRRLAPDNGKNENLNDATAASSSSPPQTLPQETRQRPQDQANWEV IRQLNESHAENHNLKKEVSQMKGRIRELETDLGSKDDDLKKALHDGKEWKEQMERFGE EILRLNEKEGTNYKIMAEDNYKVAMDRREAWRKYHSTRKELDEKRKSEAAMRRELRRM YKVLRKASPETFEMETTGDRNGMFLDILLED PFICI_02394 MAYNGGFPEQQRPYAGPAARRPAPGGGGPPMQQQYDQYQDDYGH GYDGYDDGYDQGYGPPQDMGYGRGRPPPNGNFPQDGYGGGPGRGGRPPQNARGGPMNR GRGGLPSGPGPGRGGFAPGPGRPGPPGRSSGSDPSMSRMGQSMVSPQEQSFGSAFPIM PGQSRRSDLDEENRILAGMENMNINGPGGPGGGARPPPRRQNVPPPAGRGPGQRRPTM DDGYGGRPSLDSQRGGPRAPPPRGAPPGPGYGGFQDDGYGDGYGPPSRSMTMPNNEPP VGMGMGGMRPPPRADSAPYPPGGRGGPPRPSTAQGNRPMPQRQYPPQGPPTSLGPPGA PDWDSYEPPGQAPWAQQGVRASFGDFYDAYYHPRNSEMPQPPNGMPNFDEGPHRGSFD QPMRPTPGTPQQGPARVAELSRTMSQPNLREPQAAVFEMASEMPPVPTGGFQAYNPDM PGPPAGALPNVPPPRAPQAGPGSGHPAPIRPGLMPNSMVNMQDKPPPQRQYSGPPPAA ILAQGRPQPSPQPANGRPNSVVHVPVTIEELEQLRAVIKTDPNDQQSALTLAKKLVEA ADVLVPQLPDPKARARSRERYIMDAHKILKKLASAQNPDAMFFLADCMGRGNLGFEPD SKEAFTLYQSSAKLGHAGAAYRTAVCCELGNDEGGGTRKDPLKAIQWYKRAATLGDTP AMYKVGMILLKGLLGQPNNPREAVGWLKRAAERADPENPHALHELGLLYESAQPNDMI LRDEAYAFQLFEQAANLGYKFSQFRMGCAYEYGLMGCPVDPRSSIMWYSRAAAQEEHQ SELALSGWYLTGSEGILQQSDTEAYLWARKAASAGLAKAEYAMGYFTEVGIGIAANLE ESKRWYWRAAAQNFPKARERLEDLKRSGGKNTGPRARERISRSKMDKQQEGECSVM PFICI_02395 MSTTDHFSIGWICAIDTEIIAAEIMFDEILSAPDGIPTSDVNSY KFGRISGHHVVVACLPQSQYGISNATAAVKDMLRSFPIKTVVMVGIAGGAPNLANGVD IRLGDVVVSSPGSHNGGVLQYGYGKKHQDENDPLRFETTGHLNQPPLSMLNAVNQLKA THRMEGHQIQRNMEEALLKRPKLKAKLRRELQRPDTATDRLYQSSIIHAEDHRTCDAC GDDQATLAMRDARDPDDDDPVIHYGLIASSDSLMKDAIMRDRLSRERNVLCFEMEAAG LMNHVPCIAIRGICDYSDSHKNKIWQGYAAMTAAAYAKELLGDIRPDQMRAEGRLIDR LEAGLSKLNNEVADIKNGIHRGKIAKWLSPPDPSTNLNKAQAQHQSGTGDWFLGSDTY LTWKKERNSFLWLHGIPGCGKTVLSSSIVADIASSPLSSQSLLYFYFDFNDADKQSLG GAVRSLISQMYSQQKVIRSQVEALHSSCQGGDRQPDDASLHKLLHDILQHAGEVWMVL DALDECHNRDEDPRHKLLPWIKGLRDLGLNIHIMVTSRPEQDIKSSVEAWASNKEMIH LRSNLVDDDIKAYIKSKIEAMDRWKTRSDVRETIETALSQKANGMFRWVSCQFDVLND CIDLPSVQRELQNLPRSLEATYERILQNVKEQHRRYATRLLQFLTYSDRPLRLEEAVD AIAVDTSSQPRFDPKNRLPIPHDIIQCCSSLVVLVKARNGVDELNLAHFSVQEYLMSS RPKAFLTEDLEKLNATAAILETCLSYLLDIECSTGEFQLPFGKDDRYPLVDYCVDNWL DYATFVELSTKKAVPIVLEYLHSERFTIIRNVYQDSSEHRPRDKGGLRTLTLSRSGDV EVIEESVARLRCAASGGLQYTTRVLLARGVDINARSRWRNTAISIASYFGHLDVVQEL LKNGAAVNTQSGCHERDALRCASMKGHTEIVQLLLDHKAEIHTNDSERENALHLALRY DHIEVVQRLLEYGANADQSGGRRKPPLFVALERGNTDMVRTLLLHGADVTIQIHHKCA LAIASLNGYEEIVRILLAHCPHVRLHDPQGIAMTGAVRKGHLKIVQMLLDHGVDINAR VKITYRNTHCMFTAPPPGDDGETFLALASRSGHTEVVEVLLARGANVNAQSLDGSALE QATSQGHIEVVRALLNHGAHDINGRALAYALRQKTQFNEFGDIAQLLQPEAMTSMKRI ATADSDLNFHKKRGMISSGDT PFICI_02396 MSMVMTADASLANSNNHLAPEEDNSSGFNERWGREAELMHHYCT VTADTLAMREDMRHVWKVVKPREGYACAFVMHGILSISALHKGLLVPSERQRYLDLSA HYYLIGSEAFRACLPHVNMENWRQVLCFTTIIILHCLSLPARSGKQGLQTPIRRVLEL FSVVRGIRATIDPFTRLITASEFAPIVYGIWPPTDVKNTQRYVCLFILQPHILHSPFQ FIARLDIRNVVQSLILFTPSLLDVSHCGLPVDTFDALQRLRSLSISSVSRTSASPYSA GDSEHYTAAIDGLETATRLIAWGGAHAEIGTVLYWPYVLDQSIMADIEASEPAALVIL AHFAVLFKCLGMKHWYTKGWTERLFKDIDRQLIKWEGRASWLEWPCKHIYCTEPF PFICI_02397 MSTCNPDYENASWSLYRYAPSAPTSGVFCILFGLTTILHLIQMC KTKTWYLAALVVGAACECIGYAARVASALEEPGCWSLGPYVVQSILILIAPAFFAASI YMILGRIIVLTDGECYSVVKRRLLTRVFVGGDVLSLLTQSNGSGMMAIGGSLVDIGEY IVIAGLSIQLAFFGAFVVVAAIFQHRMHRQPTPKSFDPQIRWRKYLMTLYVTSGLILV RSLFRVAEYISGNDGVLMRSEAYTFVFDSVLMLVVLVWLNWYHPSEIGLLLRGEQTVD NGLKLVSLKRRRSDTVDTCSSNTQLNPSTYAKV PFICI_02398 MCLIHWHRPQNVARVVDSIWDVEHVERERSKSLPQCDLGKPICW NCKRSRIYTCDGISGGSEHIVPNHSNKISQDATKLDHGRQTVNVPHSLNWEWMEQILG GSKDVFRSTSQDDSINETIVNAPISHGRGGGFSAHFSAATEETHESPNFMEGASFDDH AGQCISPSAEFRTLIETEEELAFSDDTVSGLGQRQHSSDDMLFSPPQQPEECLAGSAT TESDRRSYSRKIQRLGLGDLLNGIHDRTGALLAHWKSHACHLMMPILAPTFNPWLRLY YPLAVTGPPSDAKSCLFHALSSAAGFHKATTARKDKTTLIGQAQERKTEASKRLRSIV HDLQLSKSLLADRMDRMALLAAAMTMATIEVFSGEHEGAGYEHLLLAKQTIRFTGGIS WWTSNTKSITLLRIFKCLQIIGDTSGWTQFTEMSAQTESEDQPFASLSSQLFEDTGAN CDLAENVQQHGASQISCEYPLDITFGVSSQTLQCISKIIDLSRVKENLSMDEQWLQSH VEQLKTLEHEVYSALNESSHFDGLNLIGSGNQHGVSDFICQEIKENHMAAFRYSTAIF FRRALCDGGSTIIPPRELRSGALSDASLSGQHLVDKALEHLENIDAISSGMTVANTLW VAFIAAVEAVDIPLRHRALIWFARARRHQIGNINVAKSLVMEVWRRVDRQTWARNEDT GGLGCIDWRNVMREKNMYFMLT PFICI_02399 MQRPLLARLSGARWPFSVQRVLTSKCVNRTGITAPCHQRLYSQP RVLIPEESRPRANASFKTDQKVGQVPLFIDNKPVASQATQFIDIHDPSTNEIVGRVPE ITTTEFEDAVSSAEKAFPKWRATSVLARQQVMFKFVSLIRENWDRLAESITLEQGKTL PDARGDVLRGLQVAEAACAAPELLKGEVLEMSQDMETRTYREPLGVVAAICPFNFPAM IPLWSIPIAAITGNTLILKPSERAPGAAMILAELARDAGFPEGVLNIVHGGLKTVDSI LEHPAIKAVSFVGGNRAGEYIFTKGSAHGKRVQANLGAKNHAVALPDCDKLHFINSVV GAAFGAGGQRCMALSTVIVEASRTLKVDNGFQNGTDLGPVISTQSLQRIEGLIQSAED EGATILLDGRGYKPDNKDYSNGNWIAPTIISNVSPEMRCHREEIFGPVLLCIETQTLE DAISLINQNEYGNGTAIFTRSGPAAEKFRRNIEAGQVGINVPIPVPLPMFSFTGNKKS VAGGGASTFYGRPGIAFYTQQKTVTSLWRSKNDESKKALVSMPTQS PFICI_02400 MGVQSSTASDLITIDEDYSERVVLRRDLVARHGSTVCGYTSKGQ DTVRELYEYLLKEYLPVRFPTLFKLSHDGTTFMNLVTQKLFPTTPPQDTYEALKILAE TVEEDFFFLKPTPSGHEVVAFVCCFPSGWNPSSKLGKNMNQIHVPVPSYEKIGQSMER YFNRLKVGDYVKRVNWAVQTHPDLFNLKGNHVSESDKIDSNEVIDIKETFLRSELQTL TRLPQTGAILFSFKTYLYPVREIKAEGRGLQFADAIEGLRTGNAPGMWTYKGGIRWGK QVCDYLRSQS PFICI_02401 MAQKIAPVWVHPSPHLTVLDAFRRHVNQRYHVFLPDYASLHGWT IENMELFYQELWNFSGLVTSKPPLKVANSLASMWPRPQWFPGAEMNFTENLLATGLAA HPDMIAVSACREGGKDWRHLNWKQLRELVASYTTAFRNAGVVKGDRVAAIVTNSLEAL LIALAAGAVGAIFSSASPDMGPKAIVERFVQIQPKIMFIEPHVFYANKSRNLRDRLSG AVEELKAKVSCLDKVIVVNDPTWTQGGLIALEIFLDVPAQPLEFVQVMFDHPIYILFT SGTTGSPKCICHSGGAALLKNKLDLAMNMDMGIGSTYYQYTTTGWMMWNVLIGALSLG SRIILYDGSPLHPSPSHQLSLLESQGVTHWGTSPKFLGALKFDPASTQIARRLEGLQN VLVAGSPLATSLVDWFYADRFPSHIGLQNSSGGTDLVGAIVGGNNLSPVNGNELAAPV LGMKVEIWDLGGSVAEDSSNEQGELVITRPFVSMPIKFWGDDAKDSKYFDTYFALNHG VWSHGDSISRNPVTGGFSLHGRSDGVLNPGGIRFGTAELYDVISRFPEIEDSVAVGQR VKVESDREEERVVMFIKMRSPSAILDASLRSRITQGIRQTLSARHVPEEIWQVKDIPY SMNGKKMEKVVKGIICGEKITWGSIANPECLNEFAKFVPHKTNAARL PFICI_02402 MYETDVIIVGAGPSGLTLAVALSQLQIQSLVIEKQHDICEDPRA IALAGDAWRILSLVGISVEEMARISQPISELQFHDRIFTSEPFLRLEQTPDWAGHALP NTSVNLQPGLEQLLRDRAQSSDMITLKLDCEVVGIDQNASNVEITYEEKYTHRRQTIR GKYVVGADGKRGFVRKSFLEEKGIKQETGQYRYEATWVAANLKLTMPTPDTHPQFPLW DLGYEPEELWDLFWPKGWHFCNHPVMPVATGRFGPISEKYWRFEYELPPNFALPENVM THLEEQMNPHLTLAASSLRRNGRQPNSPVRFPWDCVEILRCGPAHFAQKVVNRWFDGR TILIGDAAHVFPPFAAQGILNGVRDALGLCWRLELLIKGPKKTASNMETSSFDSELLL STWSNERRHGVDESANQTAENEYALQIKSNALRWAIWCADTAMSWAMPFRQTLIRSHF CDRAGFRGAKGGFFLESEGGGVKTAQIFVQGIKGNESVELSDKLLRHHKAALTILVLH DPTSEEELARVHEVLKRLNIPTNFLAENVIILNDRISEQQLPRRLQGPLFLTPARTAM ISRAGYQQLPLYDPGSFRMRFQANAKYALVRPDFIIFSQAQTLEQLESQICQACSMVT NS PFICI_02403 MSLRLRMSIYAVASLYTSSHQQGYPLSPDDFVTRATHRANAANL TMDELKASILLCLYKLAKATTWEAVADMGRLTRMAELYYRIIAANDQNAGGSRSKLES EELRTVWWCIYSLDTFFSAMAIISHAVTDPARGNMTLPNAPVPDLSNPVSPKRRNLEH VGEPELSLTKDLKLWETMTMLFSRSSCRGRSLHIGACAFMRSVTDLRLSVKHGCGTAW KARFRELESDFCAATLSLPVWVFNPSRDFSNGETEREHRDRLETLFVWQCACLLHAGL AIQLDDTDATSTESIIHGRWQNVIARANEVLHVAQNWKPDYFEAIDAKSAYIIFVTGI IFTLDHGIRAADTSNITPSSHHIDLILLFLGQIGRYWPLGMYFIHNVFRSVWHLGEAY ADFQASEPPSRCT PFICI_02404 MIDGVCIAIFTLLFPTKLSSTKPDTSGQILHLHFQQTCVTFASE NFSMVPWTHLAHFEAKDGKRYFASIKSGTDTTQLQGQSVTGFSSFTDLADEKDGQSLT IEKLLAPVPYDGDIVCIGLNYREHAEEANLTVPLDPVMWYKPRRALSVPGPIAVPKVA ATNFLDFEGELVIVTSKDALNVSIEDAPAYILGYTVGNDLTARGFQDPKRGGQQFTRC KAFDGFAPLGPVLANAQSFGDAASKRIVTKINGKIFQNSDCNLIHDAATLVSFLSQGA FLPDLPLLLASIPNQTVHQMTDTRRVDS PFICI_02405 MASTRLPEIRNDPSKIQLERISHVLFDHPDLDVFDKFASDFGFI KVEHNDEDIAIYRGYGKDQYCYVAHKSTSGEPQFRGAAFLAQTRADFDKAAVMDGAEV TSLSHFPGGGQRVTVQSPSGFPLHIVYGKFHRFHSGPALVHKLGHYGFVVANWDEETR WYTGKFNLVPSDVQFDPSNEDLDVITFLHLDLGQRYTDHHTLFVSRAQPGEHDRMHHT SYEVEDFDTQILGHDWLADKGYKSVWGVGRHILGSQIFDYWRDTSGFTIEHYADGDVV NADTGMQRSVAGPFAVWGPEMNGTMSEDGTRPTAA PFICI_02406 MDAQVDESSKHLDFTIIHKQFIVGLAVISVLAWLLQSLFLACRD GLRDVPGPWPAKFTPLWRFMFVYNGEAHEKYRKLHAEYGPIVRTAPNVVDISDPSAIP ALYGIGSILTKLACANQSDFYSTFDVIHEKDFMSSMFSTRSSNEHKAIKRPVAQKYSM SSIRTLEYLVDPCSEIFTNAMEELEGQVIDLGVWLQWYAFDVIGAMTFAKRFGFMEQR KDVKNVISGIDLGLRVGSILGQIPLLNCLSWRSKTIRNAFGHFSLSDPMDIVTKMVLE AVEAYDAEAATGNERGDFLAFFRQQSKSSGESMAQKELMNHLTNNLLAGSDTTAISLR AVFYYFLRNPQCYDKLQAEIDHYDDSGKLSPVISYTESLQMNYLHLVLKEAMRMHPGV QFPLERVVPSGGVKICGQFIPEGAVVGVNAAVIHRDRKIFGDDADEFRPQRWLCDEEK AKNMDRHLLTFGAGARTCIGKNISIMEMGKLVPQVLRQFQLEWASDEPEWKIQTYWFA KQTGLLLKKENISHPGNADELSRINYIGLYSHRYI PFICI_02407 MKLWYAALPLFAASRTQAKAVFAHFMVGNTAEYTSDTWSDDFGL AQDAHLDAFALNMAYGDTLNDDQVPTAFSVAESLGFKLFFSFDYAGNGAWPEADVKSM IEKYSSSSAYFSDGGKPLVSTFEGPDNADDWTSIKSSTGCLFIPDWSSLGAKDATALG VADGLFSWAAWPNGPNNMNTYVDASYEEFMTSSMPYMMAVSPWFYTNLPGYSKNWLWR GDSLWNDRWTEVLFWQPEYVELLTWNDYGESHYFGPIRDNTVLDVGEAPFDYVSDMPH DGWRALLPFWIDMYKTGTASVSEEVLVIWYRVNPASACSSGGTSGNTASQFQVEYPPS EVVQDRIYFNALLTSEASVTVTIGGTAVSAEWSSKPWDGVGVYNGSAPINGLTGAVVV TVSGMSVTGKDITTSCTDGYSNFNAWVGSTTKSVSAVSPELNLSEQNCTSGWAVGNFL GLCATSCYYGYCPTGACLCTSMGPLNESPESTGVKGYPIAGEGSSYSGLCSFDCNIGY CPDTACGTTEVELTEPTVSPFTPASCTSGSYTSDPNYAGLCSYACNYGFCPIYKCTCD STGTLVLPPDTEDGESGEPIDSTDQSGLCEFACARGYCPDGACTYTGDDDTYVDDTGI VSHRTGSDSTVLPINSTTCPYWGDADTTEWNTLFCNVTADEDLILTSYSAAERWENAY AQHAYSCFESWYLNAEKADELGFFESWEETVLYYMGYKNYQMKCLIDSGTNCDQDISC SGNDYTLAAYLVSGSMVRIKNFYNDWYDALDQVASSFDSEDFKETFANGEEDSIVDQL NKWALNMIFEAAGSLIFRGLSTSFGSAGEKAEEYLGSSYESFTEWAVDKIMPSDNDGV KLSVIDSLLNDTIYTSQKALEDYVTEIFNGSGTSMAHLYNQIQGGTWLHIADEKVSDV RETTKKFVISQVLQPAWALATSSSIVIIVSDDKDDTSNPFAGGGLNADEAESVRYSYD GQTFWLVRMKECTSYGYQSDSCSSDPWAAVHGHAALETSDAWGLNKTDIIVSSNEGKK LNGGENGYVLQDAGDGAYFLNGAGDKSSWVYENGVQTPGVFSYPICSYDLAHINWSVA NSKGSSVDICSTYPCCSCDELGVTGGSCED PFICI_02408 MTSINAVFESVAQTLTVWTRLNGDPNYSEPILGQAFHRATCIQA SWAWLALPAITASLTLLVLALTVFATHRSELPVWKSSPLALLLHGPGGMDWVDEAMVV HPRPRENKADLRTENGMRTMAARIWVSLEDGDAALRLRQVGSRRDSLP PFICI_02409 MENGEGVPDGTLANTSGSGFAQQGSLDWVSLSRSSVAFTVDILG RFMAAGVQPFTIMVGQEIAKNLRLSTSGEKNIQDALNSLRSYRGLGDVIWFGFGLRSL VRTLGSTTEGKGLVALCSALGETFHEDFAATVLHSMTASYNPPSGFMPSLKEWQMLVK ACSGVLAHTKFPVLVDSFTRLVPRQRRLQQKLQARRSGQIPDALCPSPHDLSVTILAL GMLSRNHFHQISIKGGVGAGWLAAVGEWMYGFNVSIIDAKGMTMYQTKDCVSRPGLVI QLDDDSLATATATTVDLTLAVTSKTFRLRDRSGIMKLLSISEPPFYGGRLPWDECFRE AYGLEFAQLLKLEETIGTGFGCAARIFAGIMDFESPGVDLYHATQHKHYFTESGGRGF IRNSFEICPELHSLTQISYKQLDRSFKDAQIEYIRSMFEIRKACKCSCCLPQGESSSI NSLCRLAIFESVIIICQILSTTAMATKMGMRRDGMMWVYHNAHNAIADTPRRSGTPGQ DLVRQTEINRILNPTFLENEFLGCMMLFAGRKTTDENGANAFSHGGVCSFYSVLQDFV LDREVLGRISIVPGHIEWGGSSYPSIRDRNDISSMPEPVQSIQPMVSVSLGVTQQVES LQIDFLFGLEDNSMNSALAVGPASLIKAVLKSRGTAFHCRRASVPCATTFEIEPTRGS WSISNPPRLAPCLGITVKWAIREDEVSTVPWGLRLTESGKTEYFTSVGILPSIYDTDV AKAVAIVVATHLKKDVILADSQCNACCIQPRFGKGIENLFIVTL PFICI_02410 MSEDPQTWVFPPPGAASPPTSQTGNFGPVYPNDTIILDWTPRTE TPLIGLGCWNGSNHFDTDIRSDSISKGQTAPVRYTFNQRFTIKDDVETLYCHFTFTGT NNGNTVVWEFEKGKASEQRTFSSPASTNSTVSSSSNDATNSTTNSPSNNATLSAGAAV GIGVGATAFVFLVIGAGLLFWMRRRRQPFARQIPSTSSAAMTYEPVTQFQPSSQSHTT DVSMVGELEQPEYRAPARELHGLPSTIISELGDNIGTDEHIDGYKYRAS PFICI_02411 MVFENPQTGPITELFADWKVLLGILIFVYIAASIRSYVRLSHVP GPLFQSVSSLGFLKTHLDGSPHSEIWKWTKTYGELQRGPRKGYKANKNAQGPLIRVGP NSVITSDVKTVQRISAVKSPYSKGGWYRPFRFIKGQDHSFSTVHEPSHTAFRNKIASG YRGSIEMEQAVDRQVCRLLDLIERKFVSRIDAGSSEEDKTGPARTMDIAAITHLFALD LVGDITFGKAFGFLDEGNDSYGFLKWNEDFFTIASILAVLPSLAWFTQLWPFSEALPK STDPVGLGRFIRHSEEVIGARYQAGPDGRRDLVGLFMKMGVTKDQAVNQSLVSVVAGT DSVATAVRMTILYVTSNPRVYRNLLAELDKARADGLISSETPIQMSQAKQLPYLQAVV REGLRIYPGGTPLVFKEVPAGGDTVAGYRLPAGTQVGMDVWGAYRNKQFWGEDADQYR PERWLNQPQARLSAMTESLDFQFGYGRYQCLGRPLVFMEMNKALTELLSRFEFAVVDP AHPADIINAGFYLMHGFDVVVTPRGQ PFICI_02412 MGNRWPLPDSNLPSSPHTVSWSPPLHSNPIQPSIDNFTPWTEGS TTSDGATLSGPAAYSGYSAAYVPILPVHVSIPNYTAMESQELPTYSVPSNANSDPRQS MPPPALNPRKRKAPTLRAGDWTPVKARIIELHIDDNMPLPEVKIAIEEEFPFEATLRQ YRSAVTSWGFDKNVKKNVMQAIVRKRQHRRLVEPGKKDLNFKVHNFTVNPGKVDTWMK RNNIPGDAIYAPSPAASTPTEMMAWTPSEQASSPSGGPSSSHTPIAMAIQSPQGGYGS TIHSPTPSVSSILESHDSDFTGQSPAPWPTNDEAPTHPYLSADEAQLRLQLSLHREQS GLDDNLDALHTELKLGQVRLEQGRYRSAEETFRKLISRCRVLYGKSHRHTLRALQGLG EVVWKQGLYNKAMKIFQECFKTQKTLFGFDHPDTLRSMAWVAFTLTTTGHFSDAEAMQ RQTLELSTKVLGAEHPSTIDSTYMLAFTLRDQGRHSHAEAMFRQVSDFQTRVLGAEHP DTLQTMSRIAEALHNQGHYSLAEAIYRQVLELQAKVVGAEHPDTLQSTHMLAFTIHCQ GHYSDAEAMYRQLSETQIRVIGAEHPNTLSSISCIAMALHFQGHNSEAVALFRQVSEL QTKVEGEEHPNTLATMFWHAQSLAAQGYLSEAGTMHRHVLKLRTKILGADHPETVASK KVFSSIY PFICI_02413 MAAKGSKMGTYLKMLATGAVVCVGGPAFVFWIQPTDEELFKRYS PELQKKSLERRHERQQEFDDFVNQLKDFSKSDKPIWTVQEEAARAYRLERLREDARVA EEAKAQKEAMRKESGLSGSS PFICI_02414 MEKVEALLEWATEKGIQHNGILPKHLPGRGVGVIAIRDVKPGEV VLQVPTSTLRSIDTVPKNVRAKLPKDLSVQGLLAADLALDDTDKYNVWNAVCPSLADF ASMPLLWPASLQCLLPGVAKKLLSKQQAKLAKDRATVGAAFPDLDQDRYTHGWLLANT RTFYYLSPARRRRKRDDHMVLQPVADLLNHADAGCDVHFGDAAFTVRADRAYAAGDEI FICYGRHGGDFLMVEYGFDMDENRWDEAGLDEMVLSVLDEKSREMLDDRGFLGGYVLD RGQVCYRTQAALRTLCCSEREWSRFVDGMDDGERSQKKVDELLVELLGKYSTSIIGTM EEIDGLQEGEPCQRGLLAARWRHILRLVQGTLDRLRQDLGS PFICI_02415 MAEKAEQEHTVPVAGHKETATTDEAQPVHVDEVATADWRVEAAV RDRWHSIKANPKIIFIALFASFGGFEYGYQQGILSQSLVMTRFTENFPSVVESSTAQG WLTSVLQLGGIVGSLSAGLLGEMFSRKYTMFSACCWVILGSYLYVGATAGKPALLYAG RFFTGLGVGTFSGVGPLYNAEISAPETRGFIVSFYQLATILGIFLSFWIGYGSNYIGG TGESQSDLAWRLPSIIQGIPSVLLAIGIWWMPFSPRWLVKVGRYDEAKKTLAWLRKRD IEDPLVHAEYLEIRAEALFEDRVFRKTFPKLAAKENQSIFVREVSGYVHLFRSRDNFK RVATAWLVMFWQQWSGIDSIIYYASNVFQSYGFSDGTIALLATGVTGSVFLVSTLPAM FLIDKLGRKSMLMTGSIVMFVCMVTVGIIVAKFRHDWPHHTDAGWAAVVLIWIYIGAF GYGWGPASWVLVSEVFPLSIRARGASIGASSNWVNNFAIAFLVPPMFEAWAWGTYIFF AVFLFFGFFWVWFVLPETKNATLEDMDRVFKSHTGAEDAKMLLDAQRDVGLLDFLRTE ADLDKPEKV PFICI_02416 MSSLIDNKILRSNTPAQQARFLQKQLESRSWESISNHLLSSIES GSIAPNVFHVWMGVCKSADAIVAALRQDVSNCARLTAIRRFGKWLRKDDTFAAIWDAV GGVQGLSELLATFSVQHVSTMCETISFSALAPSGLTQRQQAVTQLYDTLTGNGNSQNP DERPLKWCYRRLLPSCTADKVLDDTGDRKPGRKFITVHGPSYEETALTAIFEADESKR KQITEYRHLFKNSRRFSLIVLERIASDQSVLHFNGDKVMSGLVQPTLTRLRRSHDRKS IAHVLRLAIDCAAKEPMVSKEISGSFLPLYFAAYLKRRSPNDSQANELLISLAALLPS KGHNNFRTVLQLMSNVEKKMRFDLLRLILLHAPGWKVDISIETDADNDKLASLYSKWP VDLFSLLDRSSALRLFRRLWRILPDDDKFTMFGDSLLPSRVRSYMSKNYNSLDLHIVS ASLESQMSAYPDEEASWFPAVQKEVAERKKKASNSRDPNARGQNAKSALDLCIAAKSL NLVSETLTWARRFNRDQNTVEYLYQYGPLDHDMVNDLLSGIPSHTHGQLPQLSEISEK VKQSDDIIFGLLETAAMGIQEPFFQLYHWSSVTNLLPNVLQERIRRTQTLQNHYKFSD GDIMHHIWEPTMEFLLKSERFLLQEQNKELRLNSSCGPFKSQWSVETPSSCTGMFLDE IAQRRDELWRDFRPTIHPATTDISGPWPRGLPVQNLCANLRAEDFNMPRMPYLESRCE AVVFCPLGMLEQIPTDYSEEDQEIREAIGQFQESYKFALSHYLCAAKDQADRDERLRK AWKHANGLFSSTSTPLERRLNDAEATAFWRDYYCNKTNIPDMEKDLSRLGLGPDTEVT ATDLPEPDVNGNPAEWAPWLAGRKPTKTSSRILPQICLDHALWNVSHGEPTIYSKFKD VTPQMTPSPRKKPEWSNLDSSTPQRREALIAAAIAYINTKYGSDSSLLLKPFPAQADP RYPGLYLDQEFLEAYKNENASRPISMLSQMGLQIPVSMLHKLALSVTQRLDSDEKKDP DLLRTTMALIRMLVSGDCPRIASQLVQHVVLDRNDDSSWHRRLLNIGFLHRLSAANAS ALMTEVSAKMYQRHEQLVAHNSKKRAERENSKDKSSVPKPLDEAQAAEEEAPPAHVKV SSIKMLAQILDDACFIDQSTACDVLGTLLNSSPHPDVRYAIVSSLINTLERTKQSKLK NRIYEALEKHVIPIVSSINERAPPTERDWLQAENGDGPLPELYDALPIDQLPEILDFL VRAADRWSKETPEYAEWITRILLPICERSASENARWNTLFVKRQKLNIAACTLPAMPV KPELLLTLWCEVPQARNKVNFELLRDYLFVKMHPDKDLWAAVGAVKSEKDVLGSNGGK HWLHLWDGTDTTQVIRRVPGVGRGGFRSGRGGRGGRASYPGQGIRAMTLSASGGRRTK KTVLGPGTHEALNQFVGVLLDIDAKAEEETIKRLQVFLNQLLTDCVNSSDVGGFETLI TFIGTPNSKKQDQWKINCLPVLDKAIKDVEGLRTEEWQHNPDRSPDELPRVLAIKTMV LKGVYPTRDQTPSQEEVSMFVAEYSDLIDELVADDVPYHERWDENLSRVATNGWASEQ WLPIGLAFVKRVDAKRPKMADKLKILVIDELIRGFARSKPKAQAGQEELDAIRGVYEP WVDSPAEWVRGRGKALEEFLADYLEE PFICI_02417 MPHPVSPPIMISKSGSAPPPPFVELLESIFNAKTSDESIQRAYA LCDELLNTVGYQGLIEYGVLNRVKTGAANKKSGLERESAQNLLGALLERITPKQRLSE VSFLRRQDGVVGCALDALSDKGAVVRDAAQYGLDALFRCLGAEALVVGLLPALTAYLS KKTGKWQGRVGALKLIQKIADKSKMEIGCTKEEALEKDLLREAMGAKLASLIPIVEGD MHDLKAEVEKQAVATMTSLTALLSNDDVAPRIPLLIDTMHHPSTQTLQKAIHALSQTT FVAIVTSPVLALLTPFLERTLNNPSTTQEVLRQSVVITENLTKLVHDPIEARTFLPKL QPGVKSVLDRASLPEVRELAQRALDVMDKAMSNDEAVVERTSVDDVWKVLGPEIKKAV GPLDVIAEDERVYICDMVVEDVNHRQIQRIEDRIAPYLKDAMKNEPSEPVGAAVQQHF LEEDQRKYGVPEKEDDGETEIVNADFSLAYGGMLLLSHTNLRLLKGHRYGLCGRNGAG KSTLMKSIANGKLEGFPPQDVLRTCYVEHNQGEDADITILDFMVKDPTIASEGRERIS EVLAEFGFTAGPEGRQSEKVGSLSGGWKMKLALARAMLQKADVLLLDEPTNHLDVANI AWLENYLKTHTEITSLIVSHDSGFLDNVTTDIYHYEPGKKLACYKGNLAAFVKVKPEA KAYYELAATTVQFKFPPPGILSGVKSQTAAIIRMSNCSYKYPGATKVQLSDVSCRLTL SSRIAVIGPNGAGKSTLIKMLTGETVPTTGRVEKHPNLRIGYIKQHALEHVEMHLEKT PNQYLQWRYANGDDREVFLKQTRILSDKDKEQMDKKIDLGDGKGERQIEALVGRQKWK KSFQYEVKWRFTLPKYNTQVSRETLLEWGFDKLIQEFDDHEASREGLGYRELQPKVIS KHFEDLGLDPEIANHNEIGSLSGGQKVKVVIAGAMWNNPHLLVLDEPTNFLDRDSMGG LAMAIRTFRGGVVMISHNEEFVGALSNEQWHVNDGKMVIKGANMLSLEDSRPGSGLNS AVASTAASSVVSSAVNSGAEDDTPLKFRAKKKKKKTKKELKEQEVRRRLRHIEWLNSP KGTPHPADTDDEE PFICI_02418 MTSPTTMGVTGFQFITSVNTIKRDDETRKRVRSHARRQKLPNEP SARQSATPKRASQKERVSKFRVNQRPSSSAHRYTPPKSSSPRSEASPSDTSSTHLPTD SQHLLPAASPTSTDAEGTTYDELISEIKIEQRQDGYQSDMPTDNGLGLIVASHLPAFS VLPIRTTSLTEKLFRWMTSICLSAQGKFVQQWFDQNDTPEYIKTYRSSFLALGHAMNP DGDWFDFITIDPAMTHGFMGLAAAMHCALVDWDDMTTIDFHRYETIKSINKRLVVEGK GDATVSDAVIVSVALLVHVEAFIGSLPAAAAHLKGLRKMVELRGGIEVFGHTLLLQRA LAWADFAYATASQSPLSFSFIPTLEPALDTCDRFVSRSMMINALAPSHLDGGLVIHNR EAIDLFELMFSITQASVQFGGNDSVSQPQNLAGLAG PFICI_02419 MSSRSGRSSHSGKKSRSSQQCEPTNISLPSHLDRFIVLISSNLV PRQSSNAQLIESLRTHRVNTLTELRRIERVAASCESEEEALAFQAPMTSAWSYYVGNQ FLVELRGLTPNYPMSADVVAEAVRRVRSDPGSNRSWNMAWLCLIKIRDDCSDVSSQRV LEYNLLTPSSIHSGLIPHYSNNEAWKREMWGGRDPSQEEADQLGACFEWEWMQAIENM LRHWADGPPTWY PFICI_02420 MENRRARPPARLTSRGSFRAGSPLPTLRSTLRKSGTLRRQSTTQ HYHTFPTPPPKTAGHRGRESNSSSSTSLNADAHSSATPLPISQLALLALLSLAEQTAL NSISPYLPAMVASFPGVDSERVGVYVGLLASSFALAQLTTNLLWGYLSDIIGRKPVML MGTSLLCGCFMLFGFCTRYWHIVLVHVAMGLLNGNAAVVPTCLGELTDRSNQSKAFTW LPVVYSLGSITGPALGGLLVGKVAGDTYPFLAPNIMGASLLALSVIVLFFWFKETLEE PGAAPDWQEKLHWLCCCMRRTKNKLKHSLDNSPEGQNGRALDGTDEQSGLLSSDDAED DDDAKGPSDPATWRQLSNRTTILLLITYLVFQVSNISFSSLYPLFASGKPPTGRGLHA DVIGVSLSVAGIFTILFQLFAFRPIKAKLGNLGTYRGSLLGFAISMALMPWVGYRESS PPFGFGDSKIWLYVEMGFILVIKNICAVGGLSSVMLLITNSAPSHATLGTLQGIAQTL SAAGRSFGPFVSGSLFSLSINVQPKGEALAWGLFAGISLAGWLGSYAIHAEGLESTDD GYEEEDDHSEDEEAR PFICI_02421 MPPSAAADSPEHVMPGSLWPRETVKGQLPPLVPATLPEDDSGWD ANLLGSFYQGRVREPERRHSGWAAVEKKWEQRQVQEAKQTDERPLSKESAEIMSQLHP GLSLPPPRLEADFRMKVVLSSNVATLAVGDGFKKLTTFTEGVWSGNVGTGSVVDGGQD SVDMSFGNSYGTQVEAKFKLKTNDEPPAIIECKARGVRTGSEAVMNALEHEEQALAID PRQYRHRMVINMRTNDPRYADKVNFEIWVGTCLWRGSELIYDAYKIR PFICI_02422 MPLFSRKEEVVEPEPVVHEEPKRSHGLFGSRRERSPSPTSTVAT STTSNSHHTSGTKSSYHTTRTHHNGAGSVGGGGGGGGIFRRSTDASSVASTTTGRRSL LSRSFGHGNNVEMDPSIVAAREHVLNAETAEREADRALEAARLRVREARNEVKRLELE AAEEAKRAKIKQYHAREVSKRGKQLGRHDL PFICI_02423 MADHRGEAASSGSIHTGGGISASTPLLGADASPPALDHFAPGDP DNPRNWSPWRKWLIVAAITPIDLSVSWGASGFSPATASFRAEFGLSETVATLGLSLYI AGLALGPMSLAPLSEFFGRSVIYVGSYAVFLVLLAATALVQHVAGFMVLRFFSGLFAA VTIANFGGSIADMWTHHETGIAMSIYLWAATCGSPSGFFAMSFVAEYRGWREVFWALL GVCGTFWLIMALTLRETRHTTILRNRVKAARKRNGANADDSKPDGLHRRSAHELFNVA LTRPFRFLLTEAIIIFAALYNGYLYGLSFLFNGAFRMVFGEGHGFSTLEVGCAFLGIA FGISVGPFTNLWQERYYQKRLASSSSAAVPEARLQLAMVAAVVFPISLFWFAWTTDAS IAPIVPIVASAFWGWSFYTLILMTFQYTEDAYRVYSASALAGIGLVRNIAGAAFPLFG RELFSKLGYQWGGSLLAFLSIALIPIPFVLARYGPVIRRKSPWASQHMDDGVDD PFICI_02424 MELPPIPGPKLGPFQSPNGNAGRLEIEFLNELGTGAHSIVWKVK IDGTLFALKLFKRGKYYNHLDWYERPHDEDMEKLNLDHDKLNFQCLPFFSECRAYGRL KETKNEHLAAKCYGYLVLDRSYSKRIRNAGITKRDLIEWQFWAQWEDDHDEHLPLPDD KDEKSLRDWFNNVMESDEPPLPTPYPVKAIVKELVEKKTTFLPEHVSRMMRDLQQLHR LGIIHGDIKEDAYVNGLIVDFSSARTVPHFLLDKKTVFRSIEWVKSYTRSDYSCFDEQ LEEYDMIPGRPKICTRMLQGKVRYNLRDRPDSEEMKLRCIKFYADRYRWKPARGDIMG LRQIQDWSAAWIRGLVDEGEWEDSEEEPEEDDWYGGREWMTRTELLYKPSFWRQFDG PFICI_02425 MSQSPGFVHDHCPRTVVNNEATKIVQNFYHTPDSRASEQSKTKD QQTICDHCPHIVVNNKAKLLEQNFYSIPDSTATEQNKTSYQPTILDVLTSGRRQPDSS ELKNGRNTDNPNFAITEEIEVWEEFTFENVMLAFGPYLLMEAPDSMGPQSLSESSLFT INEASLTTIFREYTLETVTPAAKATVNDSTYYPPSNLETPETMLHYQEFVLKKSYITE SYHKYVGKDITVTPDWVVVRPPPELWSFINSESSRITQNHKAARGKAKAQYTHEKFKA KLLDSLEVLLVGESKRSVNWTFQKSKDKLEQLKKTPNKPESSAAKLQCKNASSSEKCS EKDGRNMLRPIDQTSTYCLWANVPLGCLHSEEELLICNTRLIGGRDRGDGKPRTGVKV VRVVWQETYAPDTLTPELALYCATIAAHNSRARHTYSVGETYLNEDLIIWYRIADFND SKDTHAVDGNTRYRNKMSGAERPYSELKEHLHLKLLEREV PFICI_02426 MRSPASLALLLPLAVSAAPTSSNANAVLQELFCKVNVVVVSALK KDAAATSYCSSYLSIPTLTYTATATSTPASVYTTVPTTVTADAVTDTLTTTSTVTTDV TTTETSTEIVTETTTQTTSTATLTCLNSAYTASTPVGTILEKRSAAATKPTCIPTTWA SAVVSTACSCLSIPTPSTTTTVTQTLVPGTITVTSTDTLTPTVTATETATSTATNTQT ETVTTTSTTTLTAYAEATTIASNGIAYRKYTHSYNADSSSSGYTSSAFKSLTPDWSGV LQSLTFNTPNWSGGSQYLTLSDHSAFLATQAALLLQGFFIAKQTGTYTFSSSKDYIDN WGYLWTGDVAYSTWSDSNTNFQASRTGAGYYGGSYSVTLNAGDAIPLAWLWANGGGVS QSYFKLTSPDGTSTTDTSGFFVQACSSSVFA PFICI_02427 MSTLWSRMRLDHGSRWINEDIRPLDLEHHTWNFLAFNNFWLLIN CSIATYLTGSALIPLGLTWWQATISIVVGNLIATAALLVSSLAGAHYNIGFSVYSRTV WGVWGSQFVIWNRVFLSFSEYAFQAWIGGECIYCMLLSWDPNLEDHIPNTIPAETGMT SAQFVSYVIFSVVILPFLWIRPHRIQKWFNISSIITMGFFVILLIWALATMGPEGFGS TIGSGSELPQTQGPYSVAWLMIWGVMTTIGSIAAGILNQNDFARFAQRPAHAIWGQAF AFPLYSIFASVLGILVTAATQNRLGGEAVWNPPTLLASLLQKDPSAGTRAAVFFAGLA LTIAQMASNIAGNALAGGIDLSALFPKFINIRRGAYITAILSPVVNPWRLVNTATTFL SVLSGYGVFLAPMTGMMAASYLIVYKQKLRTDDMYRGDSDGIYWFKAGINWRAPVAWV VGVTPCLPGFIASINTSISVPDGAIELYYINYLYGFLSSAGCFALLHWIFPDPAVDAF VKGPESAKEIMRQHHLAWDRPLDGVSDNQDAASHEIEDKPTIHCPSIWPTASSTYPTT PCLWPGLQSNRASDRMDPQQLDHELESRPGKFTVESTFGSRQWRSRKRRPCDRCRQRK TACVIPSGPPCDFCKQRGLSCEASLANTPRRRPGRQGSTRSTEVSARLAEQYEDCVHS NDSAVSPPTDSTSTTQDDGTSVLPSPIVPSAPSSSTTPRARQLLTLEGNDGKTAHYMG PASEQDGFLLDTFRSAIINDQDEVDANIIQVYRGAYSHDHAPIHFLLLENEFPIYTNQ SMQNASDRIEALVDPFGPDLVRLYFHHVHPVYPILSKTRFLRQYTTARTTALPASLRG AVYALACVFWNDRPGVSTPLAFQQHELVALAYESLRRELEAPNLAKLQACLLLLHIQP PDVDSVETPSTWTSTSQATACAQMIGLHRDPEAWNIPAWEKALRKKLWWAVFATDAWS SVCHGNPLHVHASSFDTAPLQMDHLRIDEDVPEDLMHFVEPENARFQSAVAVRYLEAI RISQSLHELLTCAFQIQPTRELSTNIKSKELRLQAVRLQLQDWHDLLPRCLGTEAGKN SRPNDNNPLHISYYATEVLLWRALMHPATAKARADDSSSLQQWFAIALAEFEDFVNFF ADLDITCLHGFIPRHARSQLILCGNFLIYLFLLARKPADVRKAYQLLEGFQSSLLRLE ATSSSRTVLFLKPVTFRINSFFSQAADIMRQKCASPAT PFICI_02428 MSLYRIGVDVGGTNTDAAILDITAAHTPERGVLASHKAATTEDI TSGIEAAIRAVLSQSQVDQRRILSVTIGTTHFINALVEADARRLDRVAVVRLCGPFTR QLPPYSDFPVGLRRILDGGAYYLDGGFEVDGREILPLNPEQIKAAAKDIVKSGVLSVA LVGVFSPLDHQGLQEETCRKIMLEEAPELRIVCSRDIGPTGLLERENATILNASILRT GSRVTNGFKRAMARLNLSCALYLSQNDGTLIDADSAAKFPIKTFASGPTNSMTGAAFL AGMNQQTQPKSVTAESGAGNEVLPQILVVDIGGTTTDVCALLPSGLPRQAPGFVEVGG VRTAFSMPEVVSIGLGGGSKVDLREKDVTVGPGSVGHRIQEEAKIFGGSTVTATDIIV AMGKAQLGDPSLVQDIASSTVDGAYQSIKKGLESVIEKMRVSSAPVHILLVGGGSLLV TGDLDGVEKCIRPIHQGAANAVGAAIAKVSGEVDTIELVGGKDEKAIVDKVRQQAIDE AMAKGARRDDVEVVEIIKTPLQYVTNGAMRIQVRAIGSLAIPDTLPSLLPTSTLVNGA ENDEEDSSPKISVPDALEPTIKPSLKVDLATYRPDVRNGIWYISEVDLEMISTGCGIL GTGGGGPTHHEFLKSLSTLRTTSAGKMRVISPKSLKDTDIVSFGSWYGSPSVINERIA GGGEIIGGIKAANKILGNESFDALLLDEIGGGNGLSIFPPAVHFDVPIVDGDAMGRAY PTMYHATFSVYGHSMTPCVLSDARGNVSAVMHADTPTRLESILRTTAIELGLGCAVCA NPLAGSVIKEYGVPNTLSQAWFLGKAVHLARRQKVDHVDAIFAETPGKLLYRGKIVDV QRYIGGGYTMGVVVIAPAEDEDVKRHGKVQPMRDHHMRIPFQNEYLYAALSPDGSEDG QEVVCTVPDLISILGQDGEAIGSQDLRYGLRVDVIALPAHPLWKSEAGLKVGGPEGFG LDMPFVGVDQSFSEVRSVIDEYNVNQV PFICI_02429 METPSINGRMLEDIEDEDQSLPRKRRTRRSGALPKKLPAPLYKA TVKELADKVRTKSSSTANIDKAITERIKKCLERANHPNTPEAEAKTALHLASRWMAQN NVTQAELLAHEAPEQQKQYAGQSIVAIIRLDGDKYKSVRQQSYVGALMSAMNTFFDCK SYTTMGRSSLHLTFYGIAENTVAAAMAFEMVYNSIAEWARPYKGVASKNSYAHGFCNE LLRLAVKEKNDEMMQARKAESDATAARAREEENERLRQLSRLAPGREDRTGALSDSEP PTVKAEHGDTTDESDDERDCSEVKLPTTEADTDSDDFLEPDFDDTDEKPLGAFDDLEV EIKKSVKIERSPSVVFLGEKCVAPDFVSPGKTKDGILETDDAEPESKWASHSQLVTFR KTALQIADDYLQDQDLKLRKKSAQTSIKDRAAYNQGKEDSKKVDVHRKRITEGKEWQD QSSLAG PFICI_02430 MRQMAAESTWSSVFRRAKSVCYIIGVWILFPLMFTFALYSLLAP VFFDYSKHPTRPDTHKSIPVTGTREMIFSEHENYMNLSHEHDMLWMDLLTPNGGFVKR PDRHGVERKNGISMFHQLHCLGMMREAVQSLTERLAAAEAGMSSSSSSHSSRRAAMGG SHGLHDPEAEPDHWLHCFDYLRQVSFCNFVFYFLSMIFQVNLNAYDVD PFICI_02431 MKTTQALSTLFLAGLSAAVPVWPDYSLTGLSSLLPTGSSLTGSA DAAASSSSATAASGCTAYTVLFARGTTETGTLGTVVGPGLQKAVQSSLGADQVTMKGT SYPADMAGITSEATGSGPGSKAMTADATSVLSSCPDTKIILTGYSQGGMVVHNAAKQI KAAGKSVIGAVTFGDPYVGQLPTGVDAAHFDSFCASGDSVCGAGSYGCAASGGCKSSS TSGHLGYGSDVNAAAAFIKSIAV PFICI_02432 MCRQQVLQDFCTYAEHDGEDRWLGVARPGKITKCSAARRTGTCA AGVQDNSIRTKVEARCRPCEQLELQRQEQFTYQENYYNPMPDYDDIDDDPNYTYSNQQ QQ PFICI_02433 MRFLSTVVVSFSLVASSLAEVEGTCTEINQRKSWSALTDSEKSE YIQAELCLMSHPPITGLVENATNVFDEFANAHIDQGNNIHYVGHFLPWHRYYVRAHEI ALQQLCNYTRAHPYWDELTDFMTGDVSQASVFDATTGFGGNGTAESSCVADGPFVNIT LHMSNHHQRGDSFCLSRGLDESSLALINKTNIEMCFGYENYTDAWSCYNSNGPHGAGH GATGGLMKDPIDSCNDPMFFLHHAYLDKLWWEWQLADYPKRLYDMGGNNTAPDSILAV ADLSQPGSDILDYNSDPGSTTTLNHTLWINWIVPNYQGLIATEWRIQIMVIIGVIYIQ N PFICI_02434 MWFLFETVAALLLSAVPLGSAACTEKRQIVPWSTLTHEEKQAYV NADVCLTKLPSTSGFRGAVTRWDDLMWPHIYLTSVVHNCGAFLPWHRYFVLVHDRMIR EECGYDGPFPYWYETEDIDDIAGSDLWNYYGHNGVGDDHCLGDGPFANITLRFTYNNT IGEYCLKRNWNQKVFNLTNQAQIDACMQYQNYTPAWRCWGFNPHGAGHQAVGGHMADP TYSPGDPKVDLPNRLEEMGGANIAFTIINDPDNVEYPSPEILSTLGDNGNWTTLEHNL WMMEIGDFAPNITIADIMDVGGDVMCADYVDL PFICI_02435 MHSLRALGIGLLAGSGSALCTSRSYDNGTTVGDNSTTPVAVTKN GTYYGVHSSQWHQDYFRGIPYAQPPVGDLRFRNPQPLNTSWEGQRNATEYGHMCYGYG ATQFVLGEDVSEDCLTLNIQRASNVSASKGLLPVAVYIHGGLFKHGTGRDPRYDPTSL L PFICI_02436 MYGAEIAADGSANLGLKDQRLALGWIQENIAAFGGDPTKVTIWG QEAGAFSVGLQLLAYGGRDDALFRGAILQSGSPTLMFPSVTVDEWQPLYDAFVDGVNC TNAADTLACMREVDASALSEVFDSDLTTLERVNPVVDGDFLPDLGANLLNSGKFVKVP IILGTTADEGTWNYYGVKGINTTDEFLSMVAFDGMSNEIAEEIAQLYPDDPDQGIPST LEGRPGNETGYGWQWKRSSAYNGDRIMQAGRRMGSQAWAAQGVDAYSYLYDVLFHAKW WQTGVQEQDDIAFLFHNVTLSESISPEDQADQKDTFEPLSYLMTSMWISFFATLDPNN HPVNGTNVWPKYATVSPENFVFDVNATQLSRTALDDFRTDAISYWMDLFTAEYPK PFICI_02437 MNYPEAIQNLNCLETTFKQQLKPIHILCGISMQTPYKKTKTSKK KKKLLSRTKTKALDEKQNPGEMKILIMVEVMNRLDIIIHRHVGGWRHRQATWPW PFICI_02438 MRVSIQTLALASWLTGCHASQGPLASRDTDVPTVSLANGTYIGR HSPEYNQDFFLGLPFAQPPVGALRYVAPQSLNSTWEEPRNATAYGPECIGYGFDQWIL GNYISEDCLTLNVVRPEGYDEGDDLPVAVWIHGGSFKNGGGVDPRYNLSFIVEQSVQS NTPMIAVSIQYRLSNWGWLFSEELSAIGAGNLGLRDQRLALQWVQDNIHAFGGDPSQV TVWGESAGAFSVGYHLMAYGGRDDGLFHQAILESGSAAAVDLTNATQWQPYFDAVVDT VGCNGTADVLDCLRGLPWETLNDVFNSTTFPISTPGIGAHIDGDIILEQGYTSLREGR FIKVPVLMGINTDEGASFATQGINTTEQFINFVISTGADNATANATAEVYPDIPDQGL PATLKGRPEAYPWGLQWKRMVAFYGDLIFHAGRRMMAEAYARAGTTVYSYRFNVLVNG NLAQQGSNHFKEVGFVFHNTKGDGYNQPGGLLNPFGGKGPEFFELADIMSGMWVAFIT SGDPNTYKNYSSHSSCSKWPLYTVDSPRNVVFDVNVTSRLYPEQDDFRRREIEFVNDN WRL PFICI_02439 MEETTLGPEARLRLKRAELLPNLYGPGYYESELQKKENEIQKLL NQVTKLQQETQELRREKVNQKLAEVKLGREKDKLTHTINMMECRYQKLEASTQTLIRQ HQQLDTSKEMDLQQHQAQIHQLQKDGETQRQVIAAMEDDVDELKFKLQKEKQEFQWRL GAVASKALVESNERHVLRRERSRQNQRLKNQGEMVEHQTKYLEYLTNELNKSKAAQAD LARQSDTNEGRVKGWKSAHASAMQRIDELTDQICKGVAEQKTPYEPSSLLRGER PFICI_02440 MRGNRFTQPPNEERGPDPETLSRFIHAMNERRIKSLIEGLEDNK RELKEKNRELATEQLVSSNLRTVIDQQKTKVAKLNDENNDLRRALSTVGGQFFRQVKE TRSKITEVLDTTQPHTFGTNKFKGQEESSATTATTATDNYQLWYDTIVDPDCSTQELA EQLDCAATLMAMSRGEVLGVCEGAEATQAKPASAVTGDDDHRAPIHVPKKQRRAKKDK NKNGSN PFICI_02441 MAPYRTALTIVVLALLAPFLYDRSQVLVGFYKNNPIRLSKVNAL GQQDIKFADKIRSCEDAIIIESAGVAILPCDAGRERWNTVMGFFVPGPVPRGELYLYD YKNAAAPDSESLKRLEVIDYAPGDDYHSLGVAFDEPTSTLFVANHRHDGPRVDLFKLD LAALKATHIRSVAHPLIHGPNAITLRNSNEFFVTNDHHFTWAQSRFLTQAETYLALPL GTVVHVDISDPDTTKATVVARVPFANGIELVNKTTVAVASSSKAQVNLYSIVDGEQSG SAPALTYSSAIKLPYHVDNLSLTSDGRLLMAGHPHLPSLTKYAASRWICNDATELAKA DGTMKEYCATGQAASGASEWTEAGGLRHLYIDTEYPTSASVNYDPDRKVGIITGLYAK GILVWRD PFICI_02442 MYLESLLRRASTCDLFAWASALALACVITTAIYRLYFHPLAKYP GPFLARVSSFPSYWHTLKRDRHIWLWRLQEEYGHTFRYRPNSVLINTPAAYRTLFGPQ GNVKKALYYEVWPRNVDALTTWNSTTIATHARKRRVLNQAFSNEALKSIEPFVLSNAD RWCELIASEAARGTSGWSQSLNMADWVNWLVFDILGDLCFGKSFDMKEPKSELRYVPH LMAEFLVLMHPIAYSPFAGFWVWAKPRGLDYLLSFASPPALKNWESFVEKCLADRTKM EADLEKSEKTVEGRKDFFHYLFHAVDPETGKRGFPLDELYGEAESLIIAGSDTTSIIM SAMFFYLARNRVVQEKLAKEVLSTFESAAEIRSGPKLGSCKYLRAFIQEALRMTPPVS AEPSRTVMKGGTTADSQYFPEGTDISVGLYCLSYSKDVFQDPFQFKPERWIVGEDGAT EESVELAESGFCAFSYGSRGCPGKQVAWMEMTIVMAKVVFNFELRPDAENKLGAGDPM NGKPGRRNAEQYQTYDAFVCMRDGPMVQFKKR PFICI_02443 MAEDLEQFRARLNGAAISTYILVMLVVPLKLWCRTAVSGWKGLG LDDYLCVLALACANVFFYICMCGMWPYLARHALEDVPLPQVIDFLRFLFAGQLFYVIS LAMIKYTILAFYWRLFSLRARIPIFIGLFIVTAWAISIIFLVIFTCSPISAQWDLTIT DSKCISLGVVYISGSTPNVITDWLILLAPIPYVARLHAPLAQRLVLAGMFMLGCFVAI VSIIRLTILVGIPLSSSDVTYNTKEVIVWSTVEINIGLVSACLPSMKPALHLLGIDRF VPGVAGSPNHNQQQQQQQQTPGAGVGPFPPTIGAAPSERSKGPGGKKSKSSLGIGRSR SRKTVGVGLFSSLTGLTKLDDDEYEDDSFQMIGQAHARHGKTEINVESSGRRGGDQTS DEESESGRGQKHLQVDGGISVQKDWRVMRDEKRRSQRASQCIG PFICI_02444 MASATVVSKPLPTLPEGWSAEKDFKPIASVTTSTKRTIEPVGPH FLAHARRARHKRTFSEDDRIEAQNAAKKIEKDDDSDDSEPEDPMMLQREAKDWKSQDH YEVLGITKYRWKATEDQIKRAHRKKVLKHHPDKKAASGATEDDNFFKCIQKATDVLLD PVKRRQFDSVDEKADVEPPSKKEAQKNFYKTWGRVFKSESRFSKIQPVPQLGGENSTK EEVDVFYNFWYNFDSWRSFEYLDEDVPDDNENRDQKRHMERKNMNARKKKKAEDNARL RKLVDDCLAGDERIKRFKQEAHAAKNKKKFEREEAERKAKEEARLKKEAEEKAAKEAE EKAKTDREASKKAKEAAKTAVKKNKRILKGSVKDANYFAGSGDASAAQIDSVLGDVDI IQGKIDPDEIAALAGKLNGLKVADEIKSVWAEETKRLVDAGKLKDGEVKTLA PFICI_02445 MAAIAAYRHLLRAARIAFQGDQPILNAARAQIRTGFRENASLDP KSPEIDGHIKHAEDVATILRENVVQGRRSGDKYKLRIHEHTERGDNDTVKMAGGKTVK IGGGCCSS PFICI_02446 MAFLPKKEEEQDQVLPSDECTRLVVVDGSRVITHYVYKSQLCKK APVFIQLAEMPAVKFHGWRLETVQSFVTWLETGAIEVRGLTNGRIDVEEALKFDLCAN IPKYNMGAEGQDSDGAPADIAPWYTMFSGDPIAHTLGRVLDLYIFALQGNITTLINAC VLAWQRLLCAPHNVVLYSPLINAVLRRAKWDGPLNRFLIDWTAFIMHDGEWEQAKLVS LPGVAIAEILRRVLQRANYGVNTPHGLPDPNLDWCRYHDHSEIKDRLLCMASRTEDWD IRQGTRGSDPTRTSESLESKTPNSLLSDGVSMIQPKMEDTQ PFICI_02447 MSDFDRVVIVGGGVAGLTLANMLEKLDINYIILESHSEIAPAVG ASIGLFPNGLRILDQIGCYERVLALPQRLITTSHNRNSQGKSLSKISNVSEQLEIRHG YPVLFFDRQWLLKLLYDNLQHKERVALGMKVAKIRLVGGSVQVITKDGQVVVGSMIVG ADGVHSTVRDEMIRLGNTLRPGYFPVGEPERVPCFYRCSFGIAQHVPGYANGELNRIW AENWSGLIISGPEDRVYWFLFDRLPKPKYGSNIPKYTKEDEAEFVKEFWDKAITDKVT FGQIYSKKLSSTLTPLHEMVWKKWFFERIILLGDSAHKPNPISGQGGNGAIESVAELV NAIVRMRDAKLGGLGDFDEADFEKIFSQTQSARHEREKYLIADAHYQQYLSAHENKVM SKLFMNVLGPLGGDEMFFSILGKPFIDGARLEKLPIPSRNRAVPFNDELPARPLSGAI GQVATGCFSAGMLSLIWLASKSMRLPAAGLNDWTGLTAISRPWSGLGGGLFKAIMSAF SYPLEGQPPASRVQLIYFMTHLAAPILSYTVDGHRACNRLHPLSLPSVFLGIMQVLGI GYVAPTHALLEAAQGDNLPTTRFISPETSEALLPALALGYGLPTALMLAPGFTNSVKQ NITAIWQFSPLFVPALTSMWRRYIGRRQSRQQVPGPEKGDASSQRKDVADATQALKRE YLWTAGVQAAVHLATMAYACFGRDMSVADIFFRVPSPFKATWNLPDTGSQIATFLKYD FGIASCAWLVSGLYSTWDLRRRGYVTTREAAKAAAGICLGQILVGPGATSMLLAYWQE VTYARLSTRK PFICI_02448 MATRQTEQQSASQRKRERDKLNQRTKRRREREYIAALEDRVQRL EQDLQLATATHPRSSSGSIRLEQDRLGQDRLGHAFGQNTSDVDSRDHTPAQSASPGSL DNLDHPARTDSSVSLAPESNSEPRRAQSTRSHVDAAIPMITIALDPLTKLLDSPAWLR LPVMSRSPVPPPRLLLQNDRFSFVVDRLKSTPNIADSLPPTPKVLDLLFGGSRNELAN LIVSALAHYPILPPERFAISWLIYLFFRWYIAPSQESFAAIPPHMRPTACQLCIEHPV YIAAIIWPSLRDRLILNMGKYAFEDVFGLLSCTVRVRESFNSSFISRENDGEPQLDEA FHRRFTQESGWGILGRFWTQYPELVDGLDSGILVPEEHLLSI PFICI_02449 MLDSGGKWDYSVPGSSGYHIPNIKFNDPNNRPLRVIMIGAGVSG IMMGYKMQKECPDVDFKIYEKNETIGGTWFENRYPGCGCDIPSHAYVFPWAPNPDWPR FFSYAADIWQYLDKVCEVFNLREKMTFNTEVIAAEWQESSGTWKVKLQKEEPGKESSI FEDECDVLFNGSGVLNNFKKPHMEGLELFKGRVIHTADWDRDYQAEQWKGQNVAVIGS GASSVQTVPTMQPHVKHMDIFVRTGVWFAQIANNYGQNHEYSSDDKQKFRDPAELAAH AKNIEDQINGLADVFYTKTKDQQAAQDFCREWMAEHIKDERLLQGYTPKFDVGCRRLT PGDPYMAAIGKENVDVHFTAAERITAEGVQGADGVERKVDTIIFATGFDVSYRPRFPV IGRNGVSLSKKWAIQPEAYMGLTVPDMPNYLMFIGPTWPIANGSVMGPLEYVSRYCVM YIKKMQRDCIKSLAPKQYVTDKFNEHAQEWYKYTVWKDSCRSWYKNNDTGRINAVWPG SSLHFTQVVINPRWEDYDISYVHDNPFASLGMGHIDPPRSQDNKGADLSEYIQPSNID PKWVEAMNGVKNGVSNGIGNGIENRT PFICI_02450 MENNILLNHILCSLGFETYMTGARLYRNHQSHNPGWSGWEHAII IVTIPEDKTRYVVDVGYGGHGPRCPLPLPSSELQGTSSSGYVIHNIGTQDMRLQHNDL DAQMKRHWVYQIRNSPEQMWNDVYAFTEIEFTHKDFEVMNFLNFFTSKSPQCSLTTQL LVVKFLRSDDDKCDVVGKVVLDQAKLKEYRDGKHGLVKVCESESERIQVLRDFFGIVV EQEDKSFISTRSSLDTA PFICI_02451 MPPQIKQDLNRSGWESTDFPSVCENCLPDNPYVKMLKEDYGAEC KLCTRPFTVFAWSADRAHGRKKRTNICLTCARLKNCCQTCMLDLSFGLPVAVRDAALK MVAPGPGSEVNREYFAQNKEKEIEEGRGGTEQYEQTDEKARELLRRLAASKPYFRKGR AIEDGSASQSSGGDAAVGAGVAGPGPIRTRDSRAAAASGAGPRSGGNRRPFPAASQLP PGPQDWLPPQDRNIMSLFITGVEDDLPEYKIRDFFKEHGKIKSLVCSHMSHCAFINYE TREGAEKAAEACQGRAVIAGCPLRVRWSVPKAVGTMNREQRAEMIRDGRSAFGDVRKQ KAIAGRPGQRALTQGGEGQQAQQDMESMAPVAAPPGASEVNYASLAGE PFICI_02452 MTLWVSRHPNLDIPTQITSWEWAFESRDFSPLQQKQKPLALGGY SNAITGERLDFAAVKEIATKLSTVLARKYGLAPDQTVSLFSTNTIWYPVVMWATVRIG ARVNGASPSYTVEEMAYALKTAEAKILMTLPSSLEIAVDAATQAGIPRQHVFLLEGSA PGYLSVQDLIAQYDSERYSPVPYFRIPGGQTNKDVCGYLNFSSGTTGHPKAVMLSHHN VIAQCHQLRQLQVLPEDGRYRILAVMPLFHITGLVRFCTYPVFMNGESIMLPQFTMDA MLQSIIKYRMEELILVPPIIVRIVRDPVVDKYLPDLRRIVKRWSSGSAPCLPEVTEQL HRKFPKTGFRQGYGATESTACISSHPPSHFDFKYARSGGLLMANTVAKVISLDDPNKL LKPGETGEICAAGPQIAMGYLGNAAATEESFDADGFLHTGDVGHITEDGLIYIEDRIK EMIKVKGLQVPPAELEDVLMGHPDVEECAVLGIADPYSGERPKAYVVVKDGTAPSGAM GKLLIDLVKQKKVRYKWLVEVEFTESLPRNGTGKLLRRVLKAQERNGDRSRGLCVSDV DRVQAKL PFICI_02453 MDSRPAPPHGAAANDRDVPATLPQQSKWSDHRPTSPAEISILNE ADCAQYLGYAFPTWKKWKILIVIFLVQCSMNFNTSLYSNGLTGMSKEFDITIQAARTG AAIFLVTYAFGCELWAPWSEEFGRRWILQTSLALVNLCSLVAALAPNFPVLVVFRALG GLFSAGGSITLGIVADMYTAGTQQYAVAFVVLASVGGSIFGPIIGGFVESYMAWRWCM WLQLIAGIFVQILHFFLVDETRATILMVKRAKKLRMANELVYAPDEFRSWKERLAPKE LVTLWIRPFKMFFTEPIVLVLSLLSGFSDALIFMGFQSFGLVYKLWGFAPWQIGLTFV AIGLGYLVAYLSYFPAINRNIHQRERRPDDDFANYEGRMWWLMYTAPCLPIGLIMFAW TSNPSLPWILPVIASAIIGVANYSVYMGTIDYMVAAYGEFSASATGGNGFARDFLAGI LTWAAIPYYDSFKNISSQPLQWANSVLAFISLLLVASTMIIYLKGPSLRKRSAFAQKL ANSSSNDMGSLTSIPSVVPATHRMQL PFICI_02454 MWLINTKTLRLEQVVDETQCVFAILSHTWAPAGEEVNFQDMQNL DFASTKPGFQKIKKTCNIASRMYKYVWIDTCCIDKTSSAELSEAINSMFRWYRCAEVC YVFLSDLDPLPNNLRERDRRTQLVQRLPYCKWFTRGWTLQELIAPRKLVFFDKEWTFV GEKSDLEGSLSDITRIDESVLRDSSNLHSIPVARRMSWAARRQTTRVEDMAYCLLGVF DISMPLLYGEGSKAFLRLQQQIISENSDMSLFAWQSDDDRVFSGIFADSPDQFAHCAT LKRHQNVFDQMNEVAITNIGVRLHVGLRKLLGAPPQSTGQTLRGSENNFLLSLDCAEQ SSRTNGRKEWLAIYLKKFGQTYVRWNPHSTATSSSQRLWPRRQAAAPVYIHTRLLPDD IERIRSSLDTGIIIRYAEPLVSRINTRAGKPSSAYDGTTIHPEGRLEGDPKATPEEVV KGAVFHTRGQENFLGIHYLDLKLGDDAEDLVQIALLFSLQWTGTSLRLNCIPFDGDST IMQYAPQDCPFTEEECLDEVRDILLTHYSDLSGLLDQKSLPSTVGFYNKAKPGKTVII SVQEDKSLLPLSTRARKYLSAIVSVEET PFICI_02455 MPLEFDPSRSYEPFLRLPTPHENIIITPPRKSDGPRVVECLNDA KVCNTLNGPPFPYTYKDFEFWYDKIEKDCKKGLQEHLEMTGTTNVGEGTGQRKWTGAV PVRSIREVDPATGAQEFIGDVGFDRQGYQGDTSMTLEQQKERRELNESYEAGDERIEW DFGDFLASSYHGRGIMTAAVHAIIEDYAVPHMNVRRIRTRVFERNIASRRVFAKNGFT FQGLGPQPLILPEDRGGHEVFLGTMMWERKPQ PFICI_02456 MASPRFERLIRFVSTTGEVHFGEVPKEHPCDRTLIGEEVPLYKG TAPWDDTLELSGLTAKIQEILSPVIDVPCIYGIGLNYRKHAEESGSPIPEAPKGFTKY PDALAGPYEDIPVHPTAKDLDYEGELVFVMGKNVKNVRTDSEALEAVLGYTVGNDLSS RYWQEPVRASSSNYAKSFDKFAPLGPVLVSAKIIPDPAKLTLRTWVNGEKRQDSGIDD LIYDIPAIIKFLSTGHVLRKGAVVMTGTPSGVGSFLPDGPKFLQDGDEVEIEITKIGK IQNKIVFE PFICI_02457 MKVCTLVLSFFFSHVSTETLYVALYNGNISTLSLTNYADITTSA NNLAKNGYFAGSAPETKYELTQVSSTKTTAQNPAWLTLNTQNNVLYLLDGTTTGNGTI SSYRASPSGVTLLDSVECLIDGAHAGFYLNGIRLAVAHYTSSALQTYEVTSNGNMTPL QRFTYTMSAPGPVASRQSAPHPHMAFADPTGQYIIVNDLGADLVRVYAVNQTSGELSE RESLVAAPGSGPRHGVFSRSPISVAGVNGTTQYVYYLDAEIAGTVTAYGVNYLPDQGG LQFDLIKEYTTLAPGVAMPPIGKGISGEIGLSRDGDFLVISNRRDASFNGTIQQYPPN GTSDSINTYRVRQDLGGVLDFVQTVPAGGATPRTYAMNAAENLVAVALEFSDKITILK RDRNTGMILEQVAEINVSGDPWAILWDE PFICI_02458 MASALNTINALHAEEGAQHDTLKDANKGHRTAEHVENIMTDEAR PAISDGTRKPLADMEEPALDGGLRAWLQVAGSFLIFSNIWGFTFSFGAFSAFYEITYL PSTSASTVAWIGTSSNFLLIFGGILSGPLFDLGYFRTMLLAGAFIETLSIFLLSLSTK YYQILLTQGILVGLGNSLLYMPGLALIGRSFNKHRSAAMAVATCGAPIGGIIFTLVFQ QLIEQLSFGNTVRICGYIVLICYLISFPLLLWGVHNVGSLGQGEKRKLFDGKAFLELP FVLYTASNFMVFFGYLVPFFFMSAYGQIALGMSSSAANATIMITQATSIIGRLAAGYS AARIGNMIQWAICALGSGVLCIGWIGIHSSGTFYLLVALYGFFSGPLISLPPSAFATV CPDPKVFGTRLGMASALGAFASLIGSPIAGALTGSAAGSANFIGLQLFAGICKKQLV PFICI_02459 MAPSATVLQHEDKTNSASGALGKAKNGKKLRIRSYPKFESLVEE RLYRKQHLAATFRVFADRGFDEGIAGHVSVRDPILTDHFWLNPLSMHFSQISVSDLIL VNEDGEVVEGDEPINAAAFAIHSEIHKARPDVHAACHAHSVHGKAFSAFGRELDMITQ DALRFYKSHAVYNQFGGVVLDREEGKRIAAALGNGKAAILQNHGLLTVGGSVDEAAFW FLSLDKTCHAQLLVDAAERGSGHQKIIISDEEAEFTAQQVGSPEKGWLGFQPYYDEQL AKCNGSFLK PFICI_02460 MSPQTISQSSSTVPIVLGNSDESPRPTLAPTDSSPQWLKDFHAK GWTVVREAVPKEKALEYADRGYDWLESWNLGFDRKDPSTRKAEFLPWHTRGGLYFRYG ITHEQFVWDLKSEPGLIDKFAQLWKTQQLLVSYDGINLSIPEKERPKTDAIFTPWSHV DQSPLKTTFDCVQGILNLLPNGPEDGGLMVLDGSSAHYSELWEHFDHKKGEGWNERAW QAVDEEMCKWLESKGCKWIKVCADPGDLMLWDSRTIHYGAPPSSTNPRFAAYVCYKPS YLVPEEAKKSLKECWDNKWCTTHDPSSFRCKTRPPPEDHPSFEAASKRPIQEPVLSKR ARQLAGLELY PFICI_02461 MAPSEKVGIVSIGEMGVGVAKLLVAHGYTVLTNITGRSEYTQRR ATTASIVLLGSDEDLVSQADYLLSIVPPRDALATAERFAGVKTSGRIKPLYYLDLNAT SPKLARKTAEIFRQNAPGVKYVDGGIIGGPPRVVEEQDCTQSATPTDIGVGWARPSIP LSGPHELPNEHLAKVLNVTHVGPEVGSASGLKCCFGSIIKGLIAISIQSFSTAEALGV YGELQRHLEMFQPALQKSTTRMVTEIPPKAGRWVDEMWEIGRCFGEDGGWDNVTGDEG ADVYKRIAEVYRTIADDTVLGKERPEHRVRGKTADDVAVAIVDALKGGKGAKPKDH PFICI_02462 MLRAALHLTTVVGLLVPFGLAAGVHKHDQSFTPDYALHVTYEKV DVACRTKLLGLVNGTSPGPPLYMKEGETTWHWHGLAQATAPFSDGTPQASQWAIAPGH FFDYEIQPRIGEAGSYFYHSHVLFQASSIVGPIIVEEASGEPPYRYDEEKIVFLSDYF NWTDEAVVAEAGSHLINGGTYPPLGADETQTDEPWQESPANDKCKPEVFQVEFNKTYR LRMIGAVVASTVSLVIEDHDRFDVINADGAYTKPAEVDRIQLGSGQRFDVLLRTKTED EVTRLGKSLFWVQVESRYRPVNVTSYAFLQYTNSSVGNRTVPASAPATPPLEISNDIQ GWLEYTLEPLEDNGFPAADQVSRTVYLYSSQIFANSTPPFWAVNNRTWTDENQHLGGT PYYDMANNTGTPYLVDIYKNGEAAMPNYDLAVGKYGGWDPNLNVYTAKVGEIIDVVLI NEPTGTVGGFDVHPWHIHGGHVYDLGSGPGNYDAAANEKRLQGYHPVLRDTLMLYKYV DSNLVGENGTVYTPQGWRAWRIKVQDAGVWMVHCHLLYHMIMGMQTVWVMGNASEITV NSEPYVKGYLDYGGSAYGNASYDPLVYHHFSD PFICI_02463 MASDKLKELAQYSACDISDALLKFDVPNAGFLPDIVPLQTSGKI VAPATTVLFVSKTTPSFPGHGPEDHLPSPAASSNIPTGSIYSDLMAPGTIAVLSQPQG SVTATMGSVHAARMNKLGVKGVLVDGRVRDVQYLKEELDMPVWCKTTSLVATKGQCKA HGINVPIDIGGTRVTEGDIIVLDPAENGALCIPKDKVDQVLELVARLVELDRKTMADI ALGCSVEETSQKYVK PFICI_02464 MAIAMSIMVFGYDSSFIGNLLAVDSFKRDFGLDGVSTKEKNDTT SNLISVYSAGAFWGSVFMLFPLDYLGRKSSLAGSNALFVLGAILSTAANGNLGMMYAG RVICGFGIGGAVSVAPTYISELSPPAIRGRMTGLFESFYQVGSLVGFWINFGIDQTID TKKSIAWQIPMAVQLIPPGIVLLAIPWLRESPTWLLKKDRDEEALKVYSYIRNLPESH PYLHEDIAFVKAQIELERAITTDNSRRASFIEYLRCVCKESVQKGVRNRFLLVIMITM WQPWCGAVAVNYYSPTIFRSIGLYNTTLWTGIYGVIKAGASIIYFTVFIDRFGRKWPW IVSCVGCSVCMYYLAGYVSLAYPVQGVPETASEVAAGKGATAAILLFGFMWSFGANGL PLVIASEIFPPSLRSVAGPFASLNVWLWSFVTTKTLPYMYTSMGYGIYVFFGCVLICA AIYAFFYIHETKGLRIDQMDELFGGVGGQKIDYEKEVTEVEHKENVHTMK PFICI_02465 MSTSFSSIPLVDFGRLKNPQTKEAELAVLRDAIFHVGFLYLVNN GAEALIEEAHERLPEIFAISSKDKNHIDMLKSPSFLGYTSLGRETTAKVIDMREQFDF GSECSEYKDGDPFWQMLEGPSQFPNASVRDLVQRYMGAMESVSKEFVGFAAESISLPR NTFESFIGKMSRLKFVKYPQAPPGSQGVGPHKDSIGLFTYLAQDNVGGLQVLNKSGDW IDAPPIKGSLVINIAQGFEAITGGVCSGTTHRVIAPTSCTRYSIPYFQAVRLDLRLQD LEQSAASIVARIPVSEDKKKRAVDVPSELISPQYACFREAQLRNRIFSHPDVGKKWYP GLFEQYSQQPVA PFICI_02466 MTSINNQCRESVMPADGTQIGSSKEQPSTEEHATSSADPDNVDW EICDQNPKNWSLRRKWIMISVVSANTLLTALGATIPAPGVPTLMQEFHSDGNLLQSFV ISVYVLGFALGPLLIAPLSEAYGRQPAYLVGNGGFLIWNIACGFAPNMASMMVFRFLA GSFGVAPFALGGGTIADMVQPAQRGTAMGIWMAGLTVGPVIGPTIGGFVSAYLGWRWN FWILAIMAGTVLLISAIVVKETFAPVLLARKTKKLQEKTGHSNLQPRLQKNVTARHRL WLSIVRPTKMLFTSLILFLLCLYISLVYTIMFILFTTFTEVFEGQYGFSTAISGLVYL GWGVGSIIGQALYTLWTNHFVSQRLEKGSFKPEDRLPLMVPGGLLLSLGLIWYGWSAQ AQVHWIVPMIGTAFAAIGLTIVFVSHELPEKIV PFICI_02467 MLSGMSIIALLALATFSQVAPVLATYPAECTEENIQVRREWSSL ALEDRKAYIDAVQCLMDKPSQLDPTVYPGAKSRHADFIATHINYTFTIHLDAIFLPWH RGYVRLYEKALQEECGFNGTQPYWDWPAYTDKPLRESTLFDGSPYSLGSDGVFTNETL YTGPNQTYPSGTGGGCVFAGPFMNYTTPYRDFPQSLITDNVNGSLPSDSFDYSPGCFK RNLNDYALRVNNNYSSIAELLNQTTMGDFQNTLSSPEGASWFGPHGGGHFAMGGVGSD LFTSPTDPAFFLHHAQVDHVWWQWQSADLEARAFALNGTMTLSNVPPSRDLTLGDYLT WGPLSDDKQVKDLMLPYEGPFCYRYE PFICI_02468 MSSNAEVNVNALDRDLSSTAVTGSDGSRRKRNIPPVRGSRRAEP PPPTAINHGQSVQQPSPRSMDHMAADPSVGTPSGPSLASRSIRANNDEANVNSPGLSH GYSLYEDSIKPDVIEGLVEVFHHTIYPIRPYFHWPTFQSQIRQQLYRSDWGIFVVTMA VCALTAGRLYSGVPGPSHLDHVRSRVDALSSECYAAAVKALPRDMATATDYCQAMRAN AILASVCLQNGQLKSTIAHLGDYTTLSIMHGFYAEANWPAGLTEIEKQERRRLFWGVY QQDQYLATNFGLPSRQREAKTTVLYPAEVFDDEDISATFVRLRPDRVSFLRGWNYCTD LYRLYENMDTQLRAWQQVPEEEPRGTLNSFLARVRPTHHFASDILHLITTLHRDLPQE LRTVKAMTGNPQKDRCGFVAVNILLTTNNLKMLLVGAENPNVHLRCAIASELLDELGA VPVGFFNASSTGSLHHLAHIGHVLGSAIQAPLSAWSYLQVRNILLILADFLEKIEKSR AVTPSLGAKLRVQISRIDQCMQQTRQRNPESDLVPMGQSLLMGSPTNNSSGSLAHSND QQLSPPPTTTLAPSNQIPTLPPSISRLNRLLGVGDQTDSSQQQQSMSTLIGGSTVAQG FGFDSTFEMPHSNEIQNPGSNLVFPSLSTSSLPTDFFDGWPILSGQNDGFDPLNPFVS IGSTRG PFICI_02469 MAPSSPVGVHLVGSICGAQTAADSFRKCVSAFPSRLRRLPDGEP ATRWSFTGWQRDVFSHSPVVLEQYKDDGDTVVPQQRASPAEVAEVVKNMPPLKPKYDD FALESYAEFKRLRAEGTIPQGVRFLVCLPTVYCVMSLLRAEFAAAIEPLYTEALLGCL KRIEAEIPHEDLAVQVDVAAETMLIQTPPGEVIFHFDKYWNDDAFEGSVERIAALVGS VAPGVEVGMHICYGDMKHKHFIQPKDTEDIVRITNSVAQKAGRPINWVHFPVPRDRDD DAYFQPLEGLKTGSDTEFFIGLVHPHDEDGSRKRLEVAARHLKGKRYGVATECGLGRA PQDEFDTIAKISTAISGPII PFICI_02470 MSTETVLLVGATGNIGVSMAIAVLRSKRQVLALVRNQASAEKLY KYLGTREGVTTVEVDVMSDGGIQSVVDRVKTGELPSFQHVFSSGGGDYVQRDLSTISL EYFRQQMTYGVEVNFLAYRATVPYLIAQGNPKSTWTICTGAQGDWGLYQMPAIAQGAL FSMSAVAAQEQKETNVRFNELYLAYRVEVDDDADAHGVVRASDFGVIYERLLERPDIR GMRVKILDESDIADLKFEPKGMPVTHTKE PFICI_02471 MRSRSPPSQSSPWRTYFGPYNGYSSPTTPRTQEISKWMCAMQGT PYQGPEIQAASEQQHSHSATDDPTRPAVDCVPVEAAAAALGSESQTAPRIADRPDRRS SAEWLQSLRLWHHYTTQTCYVLPRSKHHVQVWKTAVLEAAHSHAFLMDGMLAIAASHY AFVYPQHRNEYTLISMEYQTRAIEWFATRLDHMNDDNCDAYFFLACVIFMLSLCSIAQ SGSMGVTITCSDIAQSLSLLQGIRGILDYKPIERWPAENTELAILFRNPRTAVETRIE SPFSARLDTINPLLDTLPQGLEVMNTRSVCLLALDTLRTTHRACKNVQPDEATVWRWP FTLPPSFLGLISGGQPVALIILAHFAALVRSYEHVTWSSKGWGINVMLVVERALGQEW QAWIEWPKYSLKNEIDIDSMDLDVNT PFICI_02472 MSGTGPKIVLPARYADEIKNIPSLSLMKAFAPDFFINYPGFEGH KQSYHDDKLIQDTVRIKLTQSLGLVTEDLVDETVDSINCAIGQHPEWTSRNLKDDMTE IVARLSSRVFLGLPLCRNERWLKISKAYTMDTFIVAHVMRLVPSIARPIAYWFIPQSA TLRKAVRDAHKLIDPEVQRRIDKVRQAQAAGQKPPKVADSLGWMYQVATKPDTDYVAA QLALTMAAIHTTTETSSAALLDLCEYPEVAEKLRSEVIQVIGEHGWSKTALYKLTLMD SFLKESQRVRPMSITSMNRYVEQQVTLSDGTVLPKGSRLMIAANFMDPEVYDEPEKFD AERFVKKRQESGQENSWQLATTTPEYTLFGHGQHACPGRFFAINELKVLLCHLLLKYE WRFNPEKGRMSPRFIANTKAIAGETEIQYDGSHHSSPNVPTLEACLYRCLAFSCDTVP KAASIDCSSYHIKGNGICVHTLDR PFICI_02473 MHDPRAASADSGFDEPKNPSTEETSGHEAAQESHIVWWDGDDDP KNPYNWATWPKMVNTVLISVLTFVTPLASSIFAPGIPELMVEFKSSNTELAAFVVSVY VLGFAFGPLFLAPLSEMYGRLIIYHVCNICFMGCTIGCALAPTLNALIGLRFVAGIFG SCPLTIGGGSIADMITQEKRASAMAGFSIGPLIGPIIGPVVGGVIQSKLGWRWVFWVL TMVSGAVTIAMAVFSRETYAPVLLQRKTEAVRKETGDERWRSKLDSGLSHKELLKRSL LRPLKMLLFSPICTIFALFLVMVYGYLYLLFTSVSYVFQQQYGFGTTSVGLVYLGLGV GSFIGLAVYTVDSNRVVKSQAASQGVAKPEVRLRLLPLGAFIMPCGFFIYGWTAQYKT HWIAPIIGLGVIGVGNLICFMAVSIYLVDAYTTYAASALAANTVMRSIAGAVLPLCGL KMYNQLGLGWGNSLLGFIAIAMLPIPILILRFGEGLRLKTKMDAL PFICI_02474 MASSPKPRRSRGGCWTCRRRHKKCDEVRPICLRCQHGDFQCEGY GVRLTWSEGDAPRDVQQGVAVRPFRRRRRMNRLPAQDGTEARLGGTGPSGAPSGSDVG AHLDEHEMYLLCSQYQDDMRQTSQRSMQREVEERLLKDFSTSGYLTLTGRSGPDNLFS SEIIPLCETRPALRHAFVAYQAGLEDDCQSVTASYLQLALSEYSLELQQPERLQEDAT LATGILLCSVSINSSYIWTPLLRGLYYVLQSRRLLEHTRRDRLTQHLLEVVGLLDLPH FTLNRLNKPLHIWDQFVAPYKSAGVEESTGLPYSLLNILADIALPDTEDRLLAWPGEV AQDLIQIHLWEAYRTAAILHSRMLKIGSGKWEWQGDTTQQPCAKPGLSNSILLMKAFA SVQAICDSKKDAYARPLASAVLYPLFIASICTHENTKERSIAKDLFHAFRHQWERLEN QLEWEFVLEIWERSRCHTRTTPLDLATQFARELNIEVHLY PFICI_02475 MVSPTLPDPEGHPPGTFLLTRVSHNESGTSAEGICLQPPPMDDP NDPLASRQSTQNWTSWRKGVNWALVFGISLVIFTSLSIQTNFWVQMSEDMNLTYEQLN DSLSANGAGCALGCVFVIPFSIKYGRRSTYILTTAVLAAVTWWSARMTTLTELYLTNL LSGLAGSVNETVAQMTLADLFFVHQRGTINATYLTAVMMGSFLTPMAAGVQASNSSWR WSYYTLAICLTVLLVVFIFGYEETKYVPVISGGRPIVTPAVVADSEGSAVGKDSDSQK GMAPVLRTSSTAAITSSAIPMNTYRERMRWTTTTPESLTKLFYFPLYTNAFPHVIFAS LQYASGVCWLVVMASIWSTVTTVPPYNLDTAHLGYLNVGPFIGNLIGSFYGGVVSDWC VRFFSRRNQGYYEPEMRLYHLLPPAFLMSIGLFLSGFGLEQLWSPTMLSFSGGLFAFG LGAIGDAAFTLVLDTYEALAGECFVVIAFWRNIIGIGIPFAVTPMINIGLTNSGIIMA VISFVISILFIPMIIWGKKFRTSNGPRYFQLIEDQQKHFIGGRH PFICI_02476 MDHEDLRNQVLERISLPVGPPSLHPTTSAWQEPPHPTIATVRSA ELPTETDIVIIGSGITGCSTAYHLLHDASASAAGLRITVLEARNAVSGATGRNGGHLM SDSDELFAEHVETVGKEQAIEILHFTEANITRLAQLVEELDPADHAAVELRTVTHATG LDADQYEAAKSVAASIKQNVLQRNLGFDVTSKEEAVKKYHFKNVAGASQQAGAAALWP YRLFTAVFSSLLRNFEGRFTLETNTPVTGIHHTGDQSLPYSLETPRGTIRAKKVIHCT NGYSAHLLPRLTGKLWPFKGTMSRQAPGPLFPRVGHHVAWSLVTRGHYDAKSQEFTTG LYYAQQNAQTGDIWVGGESQKVKDLLTHNDSFVSDAAKQNILSILPRIWEDTEPVGDS QVWSGIMGFTSDHLPVVGRLTPNMSGRTGDGEWIAAGFSGHGMDKCWLTGEAVASMAI HGKIPDGFPSCYLVDDERFDRMSAEQGAQGFVSQF PFICI_02477 MPPSQVPITGKARLVVESLGTVEGWHFQNGVQQFAGIPYATLPK RWTRSILKTSWPNGFHDGTKLGSSAPNPPEYNHGTDVLIPVAKVPHFDHPIEDELSCL VMSITTPPRQGEKKFPVMVYIHGGSFLYGGANEPVFDGVNFVSHSVNRDTPVVAINFN YRVGLGGFLASEAIRQDLEKDGFAGVGNFGLYDQQVALYWVQRYISAFRGDAGNVTIY GDSAGGMSVSHQIAAKNPAPFHRAIAMSGHLNTIPTWPLQHHEKHYRALLTYFKIDPD SSTSLDELRTIPQDKVSAATLHVEGIFVCTGNPCDDGVFHATPPSFSQISSPPEWLKS YMVGDVLDEGMIFHESFEEDNFSSVYETLASHCGPDAASEILNAYDITTNTPTDQFLV RMEDLAGDTTFKAHNRIAAHRSKIRQTFGYHFDRPCTHDSPLKGLAYHALDLLYLFLN FDEHFSTEQKQLARSMADHWIDFAYGKDPWPRISESASWMRYGADDSCQVVSESEDEP VRKYSRTQKIIDMGVYEQLIAAVDHIAVKRDRMGKFEWSPPKMGQ PFICI_02478 MAAKSTTKVLIKPLGFDRRSVAAAAETLSLAFATDPLLMWLYRD ASVMRWDSLTPALQKWQELRVRQMLVDEVAVEAVMEQESMPRSLGVCFLRPPASHALR RTSLRHWWEYLKVLWTRVWDQPKEPACDGKRSTTMYASHEDFFKKFGTKYPKSSMWYL SIAAVNPDAQGMGIGGTLMNWAIERMGNETCYLECTNYKNVPFYEKYGFKMLDEGVLR DEERPSHECSLYHMVRDKAQ PFICI_02479 MASEANTSPGNALYPDVPPMRPPHMEFVYRLVAEMHPTNHYEIE NLQGTGVTRSVGHIARGTVRGPKINGIVVENSGADWAQRIHSKKIFYKLFARYTLLTD DGHHILVDAQGVFRPGPGVDFDYDAGTKTRFLQDDVEYFTHITFEAAGDSPYNWMNGI VAVGVLESVDDAAIIDCWRLTNFPGKEVEDVYVGR PFICI_02480 MMQQITAGPGVAPAEHMAKHGNELLSFSDATTIVDMGCGPGQVT NAVLQAHDTRIPVSAKVIGADNNAQMLAQYNARKQAEVDKGNTSWQHAETVEVDIHDC AAFEDDSVSHMLCGFVLFLVPDPAKAIDAMKRVVAPGGVVAMSSLQSSEWVRLSMYPL KVRPDLKMDIPTNGCSSAEDVTRRLTMAGFKDIEVVEIENYLAFDDYDVICRFLLTKL PMAARIIAQMTNEEVLKTQELMMADLKVWHPILPAKMVGKVNVAYCRK PFICI_02481 MDSQDLHDTVADHVNFVPLRDNGWQGLPDLPTAGELNPPWSSGD ISQTVMGVLGRNDITRAYGSKDHYLETHYRLNREEGVAFLRSGVREFKEQPWMGDTET TMVYTKVAVKGYLMSALGPVCRLQFSTERAGKRIQWSVSSRLTPGTVVAISTATDSFR SLCSTAVVMGRNIEDLAKSPPTIDIKWAETEQMVFDPTEELVMIEARSGFYEAVRHTL VGLQHVARSNSPLLKYLVEGSMQDNSPQFIKNNGRMDLTSILHHIPDHGDQRQEILNK YSNFDVRGGMPNEIRKYTNLDGSQFRAVHRLLTKELAICQGPPGTGKTYTSVQALQCM VNNLTAGMRKNPEDVIVVAAETNHAVDQILTHLIKAGVSVVRLGSRTRDEDIKGFSLF NLRRNVKSVQSRDYNNVNAARQRIIRDIELAVAEIFSKELLDPSVLHEYGIITKDQFD SVSGESWGWIPAPGRPNGIMAEWLDNAARDVQIHIKQSVFDVDEPLDERHDGVDTAEW ELDVDDPTNDSSPDSFRLQGRFVEIKRKWGGENPESLAGNTPMLLRHLAKNDLWDIPE KWRGPIYEYWHKKLLDIRSDALLRLFANYTRICNNLKISGWKRDVECIKTKGIHVIGC TTTGLSKYRGLLAALEPKTLLVEEAAQSREAHIAAALLPSLQQLILVGDHQQLVPHCD VPGLADGFHNLTVSMFERLVEYLHLPYTILNQQRRMIPEIRSVLNPFYPGLGDHPVVM DKTLRPDVPGMPVNLYLFNHDWVESIDLESFSKLNTEEAMMVVRFAIYLLQNQVRPEQ ITILTFYRGQVKKIRKLLRQYMLPLFGPEVHRGVRLSTVDSYQGEENDIILLSLVRSN FRKNHAVAGFVGDMNRSVVSISRAKRGFYIFGNIDNLASATETSRFMWGNVQRVFEGL GRYSPAASSLPLRCQNHGNITYAANAEDLQTRHGGCFEKCTGNFQPCGHQCERLCHPM SHDKLICQHACERKLQCGHSCRNICGDDCHCEQNCAQFKRLKSLPTSSDQANSVSRFQ VANSAEDTAVNRWTNWQPSSDRVLERPAPSMTQTVETNTGFHDTFRPVRIGQDGQRLT GRPIIVVQQQARSQVQVLDKEQQQQNGISSNESGSTSSSTTQSATRLQAPSSLPQQQA YLSGEHDDSSNHGLSDAFFQKVTIRVKRDRVPNEWEVSSEDDEEDGDQYTQLRSHNED VEDQDNDDCESDLITF PFICI_02482 MKVSASVTATMALGASAAGTLNYTDSYTGISFSGYSDGKGYLFG MALPETVGTDAIIQLVAPATDGAGWAGFDFGVEMANKLLLCAWPNGDSIVTAARIATT YANPTAYTGDVSFYPIEDGTYVNSTHYSATFLCSGCITNDDDTFLTTDTNAILGWAYS DTAPTTPSDTSSALNYHSAGFGGFGMTLSSAMNANYDTWAALASSTASYPAASTGSNS TTGGNSTTGGNSTTSHSVTYADESYDYIIAGAGPAGLIVAGRLAESGASVLLIERGGA STYSTGGDATVSWNSSVTQYDVPAEAYYLSSASQTDEYCTDTASMAGCILGGGGMVNA LMYVKPQDKDFDDNWPTGWQSSDVSDAADRLYARSSGTINPSADGQRYDQGAYDVLST FLSGNGFTSVDAIEQPNEKNDVFSHPPWLIQDGMRGGPVKSYLPLVENLSNFKLSLNT KVVRAVRDSTWVSGVEVELEDGSYQIINVTESTGKVILASGALSTPRILFYSGIGPTE QIEAVPTDITLPDSSEWISLPVGQGIKDHTIITITLATKTSLSALASTNFTSPDDESV DLFAQGSGLLTQGGQRLNFWTSVVSPSDGLTRYMQGTCNSPSDDTIKIKLYVTHGLTS STDLVLDSTGASTTLSGSPWLQTQGDIEAYQVMFDRLIEMTSASNSTLTLQYSDGTTV SSNVTGSSYYEDVSSTYTTGSHFVGSAKMGSDDGRTDNGTAVVDLNTQVYGTDNLFVV DASFHPDLPTGNTQAIVMVAAEQAVSKILALSGGSVSSGNGTTTGSSGSGSSSDSGKG SKCKRAAKARREAAIKERDLKEKAAASTRRQIRGLIRKPYNKRMASRRTTLGHM PFICI_02483 MWLLSLLEDGQPLLTKFLDDIPPYAILSHTWGKNEEEVSFEDLR RKAPSTTKGYGHDKIQFCGKQAAADGLKYFWVDTCCIDKRSSAELQEAITSMYKWYQK AARCYVYLRDVKFDKHDPGAWKDSFRRSKWHTRGWTLQELLAPSSVEFFDVDCNRIGD KTSLIRLLHDITGIPMEALNGRPLSTFSIDERMLWTELRETTLKEDRAYCLLGIFGVS MSMRYGEGVEAMKRLRGKIQRPTFIGAPCKIPLGRNKAFVGRENLLRRLLQILPPSSE PQDCQRIAIEGLGGIGKTQIALEAAFQLHETYPDCAVFWVTAVTRATFENGYREIGRE LDITDIDDDEADVNALVVSALNNRSSNWLLIIDNLDDMDMLAATDNNPALSNHLPFST KGAILFTTRNHEVTVNLDVGLDQTITIEKMSESEAMKMLKEGLKARQTRDQYSTKVLL ELLAHLPLAIKQAAAYMARTGMTTKQYLESYRRSDTSRITFLNRNFADRGRHQETANP ISQTWLVSFDHIKKHHPLAARYLNLICFLAEEDIPLELFPEEDLETNQREEAIGVLLG YSFITERDDGDSFDIHRLVRLATRNSMEQTDADEYLTIIAEKLLQQYPYPERGNIYIC MRYTPHVEAVLSSKGTNLDPECKEELLERLSNYTKLQKDDIALKMHQDELRLRQEELG PTHEDTLTSMHSLALLLAALGRHNQAQQILRHVTRLREEQIGPRHPDTLSSLDSLGNV LYDMRRYEEAEQIHRRSLYRRQLRFGIKHIETLYTMNNWALALGALGRHEEAEKVHRQ EWHLCKELLGEGDPETLYSMNNLALMVMKLGQYETAEELLRDCLNLRRKELGPTHPDT LVTLNNLALVLGELEEFEEAESMHREELQLFRKIMGPKHPDILISMSNLAAVLERAGK DDEAEEIFQATERLRKEIESPTTPSNKSGTDMDVIISMYDDLEAEVKA PFICI_02484 MQNLLLGFRQFSRDGGRNPAPADIQSLSEISYPVADKALIFGAR LIMKDSDEENSDEEDNDEELRPKSTIQRGHDYGMVHGQKCSSPNLQVGGSCTRPVSMD VMGRVNLEHDPYCLRKHFTTRWAVLKDGDGAEVEWSDAEWFYADDVGANNTPVGLQVA DDRFSGSVRALQAHATDLDLICEFNFAAVKGRMRLYNLISAQLLLYRVVANFAAPPCT EVNGYKSAWSYTFYHREDPTCMLEISERAGAPSAKFLGSRKSSHEALQLMDWLTGTNY PHSYDYTLCGQVA PFICI_02485 MAILMAQVPLLEWRKALKPLQTSKRRVRFTPSQFITWIHPSRKS SRVSKMSTAAYSMSPLAVWPRLGAATYECIKKDQSRS PFICI_02486 MANSNTDSRANLQKAFDKSKALFFLDITPPNKIEPKTKVIAYIP NYIADVEDSKNALVTAKILPYREKFAEGPENVVRRFRNKELQCMSS PFICI_02487 MGSGKTRGRTGKRSPNYAPMGKPRKSVFKWLCLSILLFIAAAAM SIYIACGCLYNDDLYIVQLKSNDTVPVRVRLGYLGTCVSLDGDADDESSNRTACIAHI NYDNDQPLADQFADELQEKHVTINAQDLNNTLGELLSLTETLQEQVFPSGIPISFLVL FLLSTIFYWLLSASPSSNKAYKFAFALTTILNAYGLMLGFMLALSTLQACKGLKFPAT GENEFDQLGVYIKDLPRLQYLQWATCAICVLEQISIAVLFIRHSAHRGGDAVVTILPL YIPFRGKGKRRCC PFICI_02488 MAGKLTVKIPPSPFVRVSSSQDEEQQRPVMRSLRPKPQSSQPCR RLRDPTDYESDRSEYSESEDEDPYCSRRGELPRNMKKKKSKGVDGRRGSAADGEAEEK HSMRPSPGEYEALLESENNPYSEDTKLPMTTPAGLGGKFSHTKPKSSYSISSSSSSSS LNSQASVESSAPKSKNRWAGFWGGRSPSPESESSKTGQKIVEVQVEVARPKWDSTEET LLKLRREEEAAERAIEEEKLKALERLKSLGITDSGVRCIDR PFICI_02489 MERERRYSFDSDPGERAPLDSSNHNHQSPPSSRRYNNRNSQSHY YQDEPPSIYRDTVNTSPTRAGAHSNSDFTRLREERRRSRLAEGAAVATGAGAGTGIAL AAADSATGVPQPPPHRESLNRSDWTTGAAPTNITPGVDNFSETAAGGLAGIASTVAQQ NARQSGLDAMRGNDGYDYGYGHQPYGQQDYNQSYQTSQPQNSPYGQGSYNNYDQHYNS SSAYGQQGYDEGGYDRAYDQGYQTSNQYSNGPSSSSSHMVPMAAAALPAGAITPRSHQ SFASDPFNDSRYAYDQRLAPGLGHVDPHDIMDDGDDGLEYSRRNSSRGNVSGGAAAAG ATGAAAAEAYAPVGGSLGGAKEQSEWMKKQSGSSKKWRWAIIIVVGLIIAGAVVGGVV GGILGNKNKSKSTTGESASEDTSKNGDLNINSSEIQALLNNDNLHKVFPGVDYTPLNT QYPDCLSNPPSQNNVTRDVAVLSQLTNIIRLYGTDCNQTEMVIHALRQLEMEDTMRIW LGVWQDGNQTTNDRQLSQMWDILETYNDTYFEGLIVANEILFREQMTETELGDLLDSV RTNVTSLGLDLKVATSDLGDSWTSSLAAKSDAIMSNIHPFFGGINENEAASWTWSFWQ NHDSGFMKSDAADNIISEIGWPSEGGMDCSSDTVYDCPDMAVAGIDGLNTLLDGWVCD ALTNGTNYFWFEMFDEPWKIQFDTEHQAWEDHWGLMDVNRNLKDGVKIPDCGGTEVAA IKKKKRKA PFICI_02490 MPTTRANAASEAAKHEEFSARKPASEPLTRKGHAVGTKVGNDAI PEYHAEVFPAGTAPQEFSHHANTEGEVPGQAFNEFDTTRTSASDTLTGADSQTLNKGL GKPVKGQEENKMKGGHSRSSGRKSGGLAGVGAPAGIDSARLQGADLGKHHKGLGEHPS ATEEFPQSAEQLASERH PFICI_02491 MTVQNTYLIAYNALSFLLWGHITVSTVLQLPGLYAESRLADLYA DVLPLLSATQTLALLEVVHAALGLVRASAGTTALQIGGKNLVVWTVMVKFPEIITGSG ESSQWGVAFFLGCVLAWGCSEIIRYGYFVAQLSTGDTPRWLKLLRYNAFLPLYPIGLL SEAGLVYLALTEGTGVGQFYKGYLLLGLLTYLPAGPFLYTHMLSQRRKVLKQLSGKKN PFICI_02492 MYASRILLGALAAALATADTCSTVQLISSIEVDSPVSLDYITEQ ADYWSSSCASLLPTCIIFPKSAEEVATVIKVLNDNDESFAIKSGGHNPNNYFSSIEGG PLISTQRMDQVLLDIDTGIARVGPGIRLDEIAAQLQGTGWTFVGGRIGNTGVGGLVLG GGLSYMSAQYGWAASSVIEYEIVLANGTVTTVSETQNPDLYKALKGGGNNFGIVTTYV LQTYRQGDVYGGNLVFLRTPETDATLLKAVRDFTEYNDDDKAAVIVTAERSTANAIDS WILFIFYDGAVPPEETFKNFTDAGPTLNTLRTQTYSELIGGSNWVIVKASVVDIGTET IPLPSLENGDFMDELHAHWRNISGSVQLEPGIVASIAYQPFPKRIATAAKERSVDLID CDDDVDKMIIEMNYSFTLQSDYDKMADTLEATYTGVRDRVLEWTSAGKLPETYLPVFM NYGFFRQDYFGRLKPENAEFAKTVAEQVDPNGFFRDRTGGFRP PFICI_02493 MAETTQSHAFPSGSVAKLSIIETKARIGNIPISAFFGPPLDGYE RLALMPAWSFLVESSTGRRIVFDLAMNNNPETVTPGVEKEIASVEATVEIPKTVAQVL SEGGVSLKSIESIVWSHWHIDHVGDPSTFPDTPEIVVGPGAKEAILPTYPENEDSQFH EKMMKGKTLREVVFDGSLKFYDFDAVDFFGDGSFYLIDLPGHALGHIGGVVRTTTNPD TFAVLSGDLYHIAGELRPNVQKPLPAHISLAPPRGNTDAKESTVYPRSALERLQTARG RDVDEPFFEVVSAVAHDAALANEMLKKAQIPDALENILFLAAHDDALIDVANFFPDTA NNWKELGWKKRLEWAFLTHFAPSIQSQVGA PFICI_02494 MASPKKPVLTARETELAGLVWQCFEVEPKINFKKLADLAGFKNA ATASACWGPAKKKLMANAGIATPTKTPTKTPTKRGAAAAAVGSENQATPSKKPRKSVA KATKNGNGNGQRGATMDADVPDDEDDKDFDLAINAQLIDDQRTVHIKEEDDKNSIVGD DDDDVVIYQDGEV PFICI_02495 MAESFEIDPQAIKELQLLDAAAADVNNEITAQRWLKHVPIFAQR QKTIEKIPGFWATVLDNASIELEGAITNKDSEIFASALTHIEVSRPEIPAGAKATDSG VEHFGEPRSVGIAFHFKSNEWFADSVLAKNFYWRRGKDGSSSLVSDPIKINWKPGKDI TNGLTDAAYALWVAQKNAGQLDGVFAGELQKKRDAAARALPEYKALANLLEKEYSEEA EIAGATSFFNFFSYRGRWISAAENAESTAEVRAKRAAALAGQDDAEESDDEDGDYEFA EEDVETFAPGHEIALNIADDIFPDAINYFLADEIEIDSDVDLDSDDEDVEMS PFICI_02496 MYTSTLTVLLLVAARSVYAEGCATHTYGSCADGIVHWYDPDDGQ ICDPLDCGGGRAPVKTTVPCCAAYVGTASCNTEPSYMPCFTARSTSSSPISTAQDTQV TTTTTTNAAVTTTAANESGSGSQATVAPTGSSGSGSDVTPTVTTAATSTVTNGGSLTT SSTDSSSVSVATGAAGHVHHNPFMALVGAAFGVALL PFICI_02497 MPFITGQHQLGVTVQVNGQDLPEYTPLFQEGNWCIEGEPHEVPA GVKLEYHQVTRFIEGRPGQKLAVKIERPRGFVHRGHHVGYFIEIDGQPECFVHERGWN TGRSFKKVMDGIHWRGTVGGPFTRREFQFSDLTNGPGNRGKDEEGIENMGTIKVQAFH MEKSIMMEPGTEYLVSDRDLYYVDQLSDRTLRSDRQTLQDTEMLVEGLNVPWISDRYI DTLPDRRSRLAISQPFATFKFRYRTKEALEKKEFVIGGHSRVAYDQGPYLNEE PFICI_02498 MVNGNITFDDYLGLQQCLVEWADSYDSKDWSRLRKCIAPTLRID YRSFLDKLWEAMPAEEFIAMISDKAVLGDPLLMTQHFIGGTKWEKISDTEVVGVHQLR VPHQRYTDETRSTVAVKGHAHSTNTHWYRKVNGEWKFAGLCPEIRWGEFDFDKVFASG REAFGTEDTAAEGISAKHQQQQHGRVTVSAV PFICI_02499 MVRNRWALLLPGLLGLALAACSDSSCLERLENCGPGCVADCSRW LAVTVTPATITSTITVQSSTTTATGLARRQESQDNQGLCPPPPFPPYAGAVCNDLSEY VSACSCIGAGPTTTTVAVPTTTVTVTADGILNPTISGVTSTTSGSNPTTSTSTLIPTG ASAETSAASTTSDSPIILTVAPVIQPAVQTPAPGKRWLRRQTPTESEPPVGGFIGADS SDSGCASAQEFTIVDGELTGQGGSLRADIDASFTEFVPSSGGTISRTFVVINSTLHWQ NETFSGGEAGFCQSSDGVVYATFRDAESDLPVDCSPISIVVYRANRCVNGVIVPDPDQ SITSSDSMTASSSSSDSMVASSFEPSSAFTSPSTIISVTSSSSIISSSTLPSLNNFTS STGFAPSGTGPLSTALSGIFPSSTFASSTAPYSNLTSSTVSADTASSTATPSIIPQPP LFGFCLQVDGRNSSLDKQFVTSEGLGDGLYLEAKGTSSRSPVKFTLNPITGALSTSDG SYVTISGCPEPDAEFVSFSIETADAVNAAPGDFILVTCSPRYSPVMSAFELQCSADSG ESNYGPFTGTGTDPVELSMLREDGSDLSQSVTLVLRSGAECALNTSEPTVTSMTSTTI PSSVASETASQSPLDSFESLPTSVESIPTTFLSETSSETSTSVMLNPSDATPTDITSS SPSISSITPSETGAQSSVTSSEAGSTLIEETPTASSSIFPSETSTTSPGIWDPSDPPS TPMISIPSSASSTVSSETETTSTSSESIVSSTEDITPSSSTISSETITSITTIEIV PFICI_02500 MSHQSTMHENLSLDMVRTALLRLPVTLAPVQLAELMSRVALTRT DTGLCNHHDEKCRALLRTIPLALTASQVVELVRQSFPEGRDSCDRCREQAAAAEFHDE DLVLIQAGEDSVGNAASSDDKSHRTPLPTLDSRSLAKTSLAKLPPMIHASSSSQEDVS GTSNQGDTTKMRRYDRLKMEGKCVTCGGPRMNSRYRQKLKNAQCVQCSKPRGDARIII CSTCQAKNKASRDRKRTMAKV PFICI_02501 MQSARAAATRRLQAVTSSLTHSTPSIQRAFSMSQKTQEPVINKL SDLPIDEARWITLKKIEFTDETGKDRTWEVATRKTRGKAGVDAVAMGNILLHPSKPPS TLIVIQYRPPLDAYTVEWPAGLIDASETAEQAAVREFKEETGYDCKLLSISPVQAADP GMSNANMQMAMVEVQLQEGDELPEQRLEDGEHIQRVIIPLSEFYDRLVAYSKQDRMIV AAKLFHFAHGMHFAQTQKYF PFICI_02502 MSLYYEQCWAILSTIGVANVLYGLVVISITKYTPVVWIPIIVSI ACAIANGLCYYAFYADYPVVNKAIASGFADFFWLIQEVGISFYGYAILIRLLNRQSRA AFQAIFWAIALAITAIRAAILVGRVKITLVPDSDFRRVVNYLHVGYFTLIALLECISA FFLLREFSSARRASKDAALSGSLLQHLMRGTETRVASLALVGISRAIAYIFNQSLPEA LTTAGQVDRFFYTLECLFPMMIYIDILACKIKFSDHCSVEMPQMGSAQSAEGVWDGIR VANQHASRRPVSGSTSTLAEQHLVKKQPHMTTTFNFSRDITRKKPIEFTMYDDSSSVQ GVCYNMTPSLS PFICI_02503 MKGDPNFVVLKYPGWLDAAKFEDKILGAIVRYPFKPTNEYLPDS PLRYNKNDLVEGCFTNFLHDNTETKSRDASAALESLVGFKWQGSKEEIVRLQGKLLRV KRLQQHAQFWSQLKTDEAVRNAVPDWISLLNTWPPCLVVGVMIAEDVELDFSGARERR REGVIEAPVATVALTAAGVPSALLGVDVGNPQVSVGSGGKTATVFRASSAQRSIFALE LRIVTTAFLRRRELALKEDGPKVYSGRLADGEQNWDSEDSDGAVGVEDLILEIFTQKE YSEMN PFICI_02504 MANIALTRVQKLEGSQDNVPFDFFFLHRHPDSVDDMWKEVRISV FLQGDGSRAPRVRWLSSNKISPEVFCGLIRRRERGRLIFNAANDGLVYERADLDVEQL KRLFLLKASSVSLSTVLKKRKLHGDVKLKLLLSYLLAKAIWQFYDSEWMASSWSKHSI HFMRERLNGSSSTGEVLALIHKPYFATELWSPPKPSGCIPQNELSDKQDLMQRFPSAT HLHPKILALGIMLLEIELGEGIEIHRLDEAHGDDDPIENDDHYTAGKIILSPMWQRRN VYQAVKEIIEICLKPDKGKFGFQEGIAHSNLYIYVVAPLGRLFKEAWSHDTDPESCIP EPVSFKTTDFPAEARGPSDLRTFIPDDISRTQSLDSEPTTCPTSAAPVLTSTVPIRSR HDIGTNMSNLEDGELLGDEDGETTIAARRKNTDKWFSNFQTLLVKYRLLGRGRDERMK VAILDSGINVNHPDFSDEDRDRIKERTAFINSNADVDETGHGTHVAAIVLRLTKNVDL YIGKITDKPNVSQREEIAKALKVARTQWGVHMINMSFGFDSVRSPDIIGDEVRQCIHE GIMVFASASNDGGEGLRTYPAKYPGVVCAHSATWRGSKAERNPGLEKDLRNFSFVGEH VRPVWSAKMSKDDDRMKYASGTSYASPVAVSVAAFMIGYIQKEMPEYPWVIKPWSPEG IMAIFDMMASRVDGYDWVSPTRYLKYTKKAKIVGDLQQYLG PFICI_02505 MAMQGREKEPESTAMDLDEEKSDSPKSTDSPASWDAISDEWSVP DSTRDLDALGMDPVMAECLSYVRISLDQLARTSLAIRKAGDKYRFEKIDAELKDNSFE EFRNHLTSIILRSLVDPQEAKDFSAEEKMKQVSDHNALTSVQRRLIHANILRRHRIEY VTKSRHEGRQPVHDRIESAKDSSQLNKSANPTNSSVTGTQTFRQAQTSLPSQPAKPKI FEDGTAPSSVLEPALTATEVGSRLDVQRVMAPQTPSKVTRVTKIGSTQTYPDRPTLDS KGLLTCPYCDDILPSSYTRVEQSWRAHVAQDLMPYTCFIEGCKTPFEMYLTSENLVAH LLDKHSTTRWICSFCHSSGDAIASPSVQTTHCFESDEEWKDHVTKAHSDKVKAIQLPV LAELSKQPLVGPLNCPFCDFATCTMDSRVDDHILQHLHEFSLRALPERSVLALDDRSK ASQLSGSLSHVRDANYEVQDDPVNCTIESVQEQIFLLKTFLPDSHHTFEIVKDLISNG IGITSDRLPESQIHEFWGLCLLKVRTALISCVLAFKREGTGDMERNMIENIIENTCQE VFDSLSEYENRTWFFLSKDKVFMFNVPATPLFQLSTRQNDIKADLEFLLFQQQSLLYL ATDSQPKQQPRVVIAGPSGTGKTLIARTLVNEIAEEETGCSVFWIDALDFNHISSAFS KIFEMLNPQEYAVESNRSAVYLLNWRMHSPWLMVLDGIDRQTLLHMQLEGLLPSGLKG RLLLTTEDSSCLSLLGQGTEVRHTQGEEGKMPFEVTPTLPPLKPDDFDVAIICHSVSA FDVVPLLFDRICENDPRSFRDNHEPLRMRTSFGFSRCIFGRIYGCNAVLVLIDTIPAE MERKAIEVLSDFERLQLVLLVGTCSGLPQSDSKSPGQIFRGDVLISDELVSYPPGHFE MISNERVVSSKRQVQTKLATVLETDEGFRRLQGNAAFFLRRLQESSSVAYPGATEDKL FVSSYPHKHHDSRDCDCKSGFLSNGACHDVLGSSCNDIGCDHQYLVPRNRPQRGIQVA SGQEGRIQEPLLHYGRVLCSHASPGARRAWHSTLCLQNSVLGIADRYDSIFARLPCIT VLGVGDYGDGHDEMKWSQFAAASAASTAKAILGEYSLLPPCMIPFDDSPEDVWHEELI ESISVKIAHKKNDGNITTTALIGPAGVGKTTLALRAVHSFRRQQPRYSVFWVSARSTK TLSDGFRGIGLRLRSATLTVDDLELSDEMIPQVLTEMDRVSHGDWLLIIDDANRHTPG HFTERSPPYNHGGSILFISRLADLPDIHDTETVTSSGPRSGLCMNCRHSMAVPGHRFC PPCGREVKRGATTTDTETVTSSVPK PFICI_02506 MSPTVILMRHAQGYHNLGIRHHHLVDPALTPTGEQQCRGRAGEL ADQQPSISLVAASPLTRTLQTAMLVFERALALPTCADAIVALPTAQESFDYPFNTGSD IGALRDSCAQKGWPVDLSLVEDGWTDRSLKSPYFPAGTRLAERARRTRLWLKEKLQEL VQTGLEDATIALVTHGNFLHFLTDDWEAATKYHGTGWKNCEYRSYSFEPSSLASGNDE ARLVETERSRRRRGLSTPPPSMEEQKRLFYETIAAWHLQGLPDAFELDSMLV PFICI_02507 MPEEILLSKISSLRRQPLPLSGSTQGQSSEVLTAAVDEPSSDGE PSGTQDQEANNQVDAPGGPANSFPAINKDQNVWVIVLSCAVLNFFFTGFSGSWGVLQA ALLASDAESSSASTFAWVGSLALALTVGFGLLWVRLLPVLGARKGALLGELLFISGVI ASGFTTSHLDGLFATAGLLVGTGTSLLFTVTNCIPTQYFTGSAGGRLGLANGVIKLGG GIGAAVFSVALEAMNRRLGIAWTFRIFGFLAFAVSIPAACFIREKIPFRRSPIIDVSM LKCLPFVAVSISSMIYVFALYIPPYYLPLFAQSIGMSSAKAALVTAGYNLAAAFGRFA AGPLCDWIGALNSIMLIMLLNAITLLAIWPVSTAPAPLIIFALLNGVANGGFFTAMPT VFSGFFEPSKGTMAVSTGSTGWTLGYLLGTPIAGYLLQTSGSGKISPSAYRPAIFYAG GVGALACTFALVARLSFNKALIKRA PFICI_02508 MSSTSSYTQKVEMAFEYHDPNAGNSTETWTPLVNELVTPTRNSG QGEALLNSHTNVPGSTEPAQSTDISNRVSPTARALSALLPDFEVASLLIDTYFDRVHW FMLVFFQNDFRRRFRDLYNGQKRPTAHATDAFLALVSTVAAIGSQYVGAHRRGLLETY GVDPDNLRREMFATLKNNLLDIVSSGSLEAAQTCVLMGSYYLYQGQRGLAWPICGCAL RVALALNLHRARSNLSPDTAEANESKKRCWWAIYEVETFCCMLYGYPSSTSDDDCDVA RLDPHHRYRQTEPPTSQDDSRATLLSYKYFMSELSTLTQYTLRELYNARSKSQGGTKP TKENLQRQIRKVTEIDARLLQWKEFAGKIHIKATGPQFEDHICQLQALALKLAYENLR ILVHRPLLLYQMVSPRADPFQYSIGVCREAALEISETADMPVFSQATGTYAVSFVSMH LFTAGVTLSIMATLDPLSTQSHHAKAGLRRLMGMQANFKAASTVAAQGLELLQRLTKL LMEKELRFMLSTPTRQATPAQEPSTNRVTRPVESVAPVAPTIIPPSHQAVEFAPTNGM SGVVLTEPTTSPEWEFRQDPVMTEAFMDFENMMLSYSEQGLDGAQGALPASNEYGFTE TEQAWLWDLNLA PFICI_02509 MRTEFGVLGLASSSFVAVTALSAPAGHAWKAAGLGDSRSPCPML NAMANHGWLPHDGKNIDLATIQSAFEAAMGFSTESFVSITQSALAVSTTGNSSTFNLQ DTAHHNAIEHDGSLSRNDAFFGDDLHFNPLIWAATAARYGIRIPFTSPVITVETAARA RAARVWDAKLINPGFNLTAAGLSGSYGETSVFLTAFWNETAGGVPKDYARVLFGE PFICI_02510 MHAGRGCVVIEIKLLDVGPDGMVVNTDMPGDHVMGVTRAMDGSG TLTDRVHINLGSSAVVKKPPNMPWTEAASVPSAWLTAFTAIEKCASTVDTSRKHKIAI LSGSSPTGIYAIQIAHKRGWWVMATCYARYRG PFICI_02511 MTSKVAHYSIAGVSGDKPKGKPPMNPRPSSSIILLSPTNQVLLV HRVKTSSAFASAHVFPGGNLSEFHDGAVPPPDDPARHQDGRPYRLGAVRETFEETGVL LARGADGGLLSLPAAERDAARKRIYANEISFEEWLKSVGGEPDLENLHPFTRWITPPA TPKRFTTQMYLYMLPLSGLESTSHATSDITAAASQAEAVLPTPDGTEITTSVFEDAST WLARQKRGEVILFPPQAFLLTLVSQHCTEPAPTGSSETAAAQHYEAQRRGLLEFLYKT PTATHPKALKQATSQISWADKVISPVTALVLPDGRAVLSLERPGPELKGTGRGGDWER VVLVRFAKGTATEVEVRDREEVFAEQRHTERSEKEKEAKL PFICI_02512 MSSPVKPSKFEAYVVRSSSPADLPDLKELFKKSPRKAPPLRSGS NAAAIPATARTTFASAADILREAPEIDIDTEQITYSPPRKPKPARKPRAKSQKAKDAL GAGDDAGVVLSPKRFQSKSKTKTEIPFDDIPSAQPPLLKGRVTKKTATDKGKAKKKVE TVSHHFANVPEDTAEKDPTDNGHSKTSLESQQPPEPALARRIDWTPPRANSAPIVLDS ESDDKELLSSIEKAAASKDVFQNLFDEFAHKKREINEQGNQEASEASREVLKKRKRLE LVSITDDKPEKQSKQSKETSPVKPPTAKKKARTITELATAPYAPPEFTDVDLLAPGTK DSLLRYFDTDGQVTALVEHQSIAMDRNSDKPKKKPAKPRKKKGATIEDPILLSPSSAL KQSTAQDFLFGTSSQLMLEDSPRTLRNLQAAIKASMQDDPFAQDDPFGSSPPQAAKRT GLWHAGARGTDGDLLEAETIELIGDRVEAATAVIQTESVGKNDAKGDFIDIGDVLSSP PQTVNAPTNPKSHFWQYQKKADGIAEITSANTSEPPSSTQEPSTSKETDDALTPRPKY ELFTDAQLAKQINTFGFKPVKRRQAMIALLNQCWVDQHPGASLASSTLGAPASISTSA VRNNPRKQDSAASVAEAETMKKPRGRPKKDKSIVSVEPQVVSPKRGRGRPRKVSVDGN GATNLEEATASPRRKKSSKKEKEIADSENDDLSLSPHSRPESVFSSPPPMDLSLMEEG ETSLNLDPTEQEADMFAHITKAVTSAPRSRDPDEPSWHEKMLMYDPVVLEELTAWLNS GQLTRVGYDGEASPAEVKKWCESKSIICLWKENTRGKERKRY PFICI_02513 MENDKGEIVDLYVPRKCSATNRIIKAKDHASVQISVAKVDENGR AVQGENHVYALCGFVRAMGESDDAFNRLAQRDGLVKSVWSAQR PFICI_02514 MATMPLKRGRPPKGTFDDPKDVAAGTTPLKRGRPPKRQVPDVEP EDLDASNKKFKLANGSSNLRDPHPFSAEAEEHGIVLRKYYPHEMSNARALAYNNNEIP RPIETLLGALEGTGQTRKGIKAKDAVVHWFKMDLRTSDNRALWLASQKAKEAGVPLIG LYVISPEDFKAHLTAPVRIDFMIRTLHVLKQDLAALDIPLYVETVETRKDVPERIIEF LEEWGVSHLFANMEYEVDELRRDANLIKTCAEKGIDMQVVHDTCVVPPGLLTSGSGKQ YSVYSPWRRAWVAKIHADPELLNLFDAPEKNPANARDKVAALFDCEIPDVPEGHQLSE KDAQRLHAIWPAGEHEARARLEKFCDEKIGQYHNARNFPAGVSTSNISVHLAAGTLSA RTAVRYARDRNKTKKLDAGMEGIQTWISELAWRDFYKHVMVNWPYICMNKPFKPEYSN ITWSYNMAHFDAWKTGHTGFPIVDAAMRQVQSMSWMHNRCRMIVASFLAKDLLLDWRM GERYFMENLIDGDFASNNGGWGFSASVGVDPQPYFRIFNPVLQSEKFDPKGEYIKKWV PELRECNEKEVHDPYGRGAAKKVEKMGYPKPIVVHKDSRDRALAVYKEGLGRDKP PFICI_02515 MATDISHTPPELGDEEKSPNTTYEKSGLKTAHNLHGQDVELENG HLAELEVDMSRVLSKVEDEGDYEADTSPFPQVRAVVPETDDTAIPVNTFRAWLLGIIF VFLGAGVNQFFSLRYPGVRIVSLVAELLAFPLGVGLANTLPIGRFNPDRHFNIKEHAL VTIMIWPGVLSNVALLSSLHSRANAIADGWRITRIKFFMVVCAAAFVWYWFPGLIWTG LSYFTWICWIAPNNLAVNQVFGMVTGMGLFPLTFDWSMIAYNTNPLLSPHWAALNVFF GFAFFFWIVTPALYYTNTWFTAYMPFCTADVYDRFGDVYDVSQVLTNNEFDQTKYSAY SPPYLPATFAFVYGLSFASITSVLSHVYFFHWDEIKHALRGTLKLDIHARLMRSYTAV KWWWWAAILLVVLGMSIGTAVGYDTGLPWWGVILAYIIPAVYMIPCGMIQGVTNVDAN QLNVLSEFIGGYMFQGKPIANILFKILSTDVVGQGLYFAADLKLGHYLKIAPRTLFFA QGVATILGALTQTGVTLWMLGNVDDICSEDQQNGFSCPNGRTVFSSSVIWGLVGPARL YSVGAIYSGLLHFFWIGLILPPMTYFIWKKTRSDFVRKINWPLIFVGTYNVPPATGIN YSSWYIVNLVFNKIIYRRFYAWWTKYNYVLAAALDTGLAISGIVIFFAVTYGPNAQFP DWWGNTVWQNTADGLGLPWLQMPDVGYFGGANGTWS PFICI_02516 MRKRWLVPMLIESKKLKRVSQKVIIITHAQQELMNQPSLNFNAY LFETAWDFVGMYPPGPETLLISRSNIQMVRMMGYTDFPRENRILCWKRKSDCTRIIVF IDVEQALRSQCIQEPLENPQEGWCWIAKLEKPFNLECAQKLLMTMCNKQNMPLFELRD NLGQIIPCHQILSYLDDVQAQRRPSEGDVVPEASIRTVDVNELL PFICI_02517 MMMAVAVTIYPHANRPLPQWRYGITINGLLSIYSIVLRGCLGFV LTSCIGQLQWIWFSADRRPLYDAVLYDNAGRGPWGSLVWLWKHHIKQPLTAFGALITL ISMAIDPIVQQLVAPVNCSWQASELNATLPRRNYFGYESTLPMPPADSTKLERAVALE ISHPANCSGPGFLGINFVTSLHAGALNGPKILNITTPQLAQPSLDPACTSCIRNWATT PVDFNLGGMVKGTEGYSTTDTNIQLVFANTIFNSTNVNPLTGQQILNCDAATPEHDSW TCIGYGAASCTLSPCFRLYNASVTDGILSETLIDTIKLVAPSNEYPDDETINYFGLLD TQCPSPADMELLTAQNITVLGPEPQPSSRWQRFYVPGKKNATYSAWVPKNLTDPFQDD WLVPEDLWTQSCAYAIGREMALFMQKELFFKLGQNTDLSASMIGLPISVSTGIGTSDP WLDVLSSTLLTFEILGGSELMQRTYNYGNYSFALVEEIMANISESITRFMRTQEADPE YDFGAPVQGESLSAGTCLAVRWGWIAVPAAVTTCVLMLLTVIATGTAQSRLPPWKQSF LTWVFHGPRPQLHQQFQHQSGPAMQGMESASEGVPRESNRS PFICI_02518 MRVIKASNLELKEFVGITPPYAILSHTWGEGEVTLQQYRDWRSA HAEYFTNDEGSGRDPSVAPVEIALLDINSMFRWYEEARTCYAYLSDVDKWTLGDGKLG NSRWFHWGWTLHKIWPRPNRKTGKMVRA PFICI_02519 MTPSSSQPQDPQIWAIVACPNSGSTLVEHVFAASTVCAVTDQRV LRDGKGSESLAWDDLESFNVLAKAKNAGKRFVICMQELDNGLQNAECSSDASYSPSAH ATFRSVYLISDPVRVLDNWKKAGRANAETLVDCFVNMSRALQQAPSHTASSLVYERLV QDPSAEIKRICNHWGSENLTDFNQSLGSGSASFVDTDLPCHDLLSNAEKDTIEKQVGH LYLDFWKDDVQKLRAMFTKKTWFGFDLDDTLHEFRRSSGKATHKVLRQISMQYDIPLP ALQGEYSHILKEKTANAFSDGKTSFDYRRERFASLLANFSLPQDNTFLTEILNSYEST LRASLELKCGALNLLSTIKGMGKKIVIMTEGPQDAQERAVKDLGIDGHIDFLATTNYF GVTKVDGLFNKVMKHLCISPEEIVYVGDNEDRDVKPAMAEGILCVHLAETKHVALDAL PPRLNTLRKLQYILLDEGS PFICI_02520 MAAAPSAVSPFLPDNKRLTNITNVILDNLPSMECLDDNISLDKL KHHLLNHESTVLQRDQTPQTPDRLYSRSVDVEGSSKQYKQQVGHLDLENCWVLDLLLI AMSASALFVTIVLLRVHDGKRQPMWQVLSLNSLVSWLSTFSKGCLLIPASKSLSQLNW VWFAARPRELWDLEHFDAASRGFLGSASLLWKLRGKHFASFGCLALIAALGFDPFIQN LIHYYPEQIEDTSLSAFTGNSTSYNVLGREIDPSMKANVYNSIFNPNQENYWATPQFS CPTGNCSWDPFTTLDFRSLCSDISFDLKGVCINITNELNASVPQCKASLSNGAALYFT QSYGEESYGHSSSSLSKKVQLGLNATLMMVTASKMPLVYKNMSLVSVQYIMAISGAWN RSNDTVEAFGKTRFRATECSIEPYVRKVQAKVIKGVYHETTLDSWANVSFSNTCGTRF SPPPQLTGSAENDTIPPPEVTFGDTSIRPKTESVFYHVPSVLVSTTAKDATSSFLAQI LNGWVTFRADSFAVFTTIPSLSMSPNYAPREIVTALFNGNFDCPLNDRLACAMQNVAA AMSKTVRDASYVASGGYKNADMSQGRVWTTETFIAVHWHWISLPVMVWLLGVVSWAGT LIMSRKAGLELWNSSVMPFLFLYRQDYHGNNGLDPTRTLFSNPSFQKRADGVIVQLRC ESGEMRLG PFICI_02521 MKRRQDSEAASYAAATNTPSTSNLDPKIYTVAWIAPLEIEAQAA LHMLERRHRGRFPVSRGDDYVFHAGEIGGHNIVIATLPAGQEYGTGSAAALASQLKRF FPNLWFGLLVGVAAGLPDLSCRPPRDIRLGDVLVGLPEGKSAGLVAYDLGKETENGFQ PLRYGHVLAITEPIVRSAIGSIKIEAPNEAPLFLPYFEAIRKNEHANGTFDDPGQDRD VLYISQAGGASEPIERAPRPPSKRTRVWYGPIGSGDKLLKSSQKRNELRDKYGVIGVE MEAAGTLNRIPVGVIRGVCDYGDHHKNKEWQPYAAAMASAYAKAVICQIPPAMGATQS HIAVAHPAKGQLVPEDVDATEAGPAFEVFHNLHQSIPLSRNPRFTGRSEVLDELEQRL FTERQCSAMAIVGLGGMGKTQVALHFAYRIQERYQDYSIFWVAAVSESTFEQSYSDIA GNLGLRKKNDKGINQPYRGTATTSSFQNGIKEESDVKKIVYHYLCSSSAGKWLLVLDN ADDQELMFGSAEQPGIIEHLPKSESGRILMTTRSREVATDFAQFDVINLKEMNPTDSI SLFSSSLIEKANLQDESLVVALLGDLAYLPLAITQAAAYLNRTQMPLQKYLNLLRGAE NDMITLLSREFKDNTRYPNSRNAVATTWLISFDQIQKSNEDAINLLYLVSCIESKAIP QSIFPDSQSMETEEAIAVLCGYSFLTRRGSSDIFDMHSLVHVAIQVWRDKHHKTEQAF TQTISHLTEIINYDQRSNQATRRKYLPHALSALHGSDDCKIKPRYVLCIKVGNCLFEE DRIRESIERLEEACEWASGPLNNDQELRLACQCYLAAVYTYSRRPELAIAMLEPAVES MGPTDHRKSSIEVRLQFELAKAYGSRLRTKEAIDMLESLMKSRKEMNPDDQSEFDETL VFEQELARAYYHDGQMQKTIELCELVVDARERILLKTDLALLKSRNNLGMAYLNGGQT QKGMELLESVLETKEALLPKTHIELLITRQNLGIACLQNGQNEKAIALIEAVVAGMVT TLGEGDPETLKSQRFLARAYLQDGQSKRAMALFENLVGIYQRVSEKENLERLLSQRDL AWMYLEEGQVRPALHILEQITTVEQEILADDHPERLASLDLLRKARERLKEKDIVPPE ANAEH PFICI_02522 MGSLAAAGRPNGVSMAASRHISQQAKALHINGQRLMDSIHSTCD FGKAHPYGEHHTETGMARLALNDDDKSVRQWLIEQVKALGCTVTVDQMGNIFAVRAGK TANVAPIMIGSHLDTQPTGGRYDGILGVLSGLEALRTLHDNNFETNGPVGLVNWTNEE GARFPMVTVSSGVWAGSIPIEDAWNCLETSTLCKGEQRQTLKQELQRIGFLGDTPASY KAFPMAAHFELHIEQGPILENESQKIGVVTGGQAYSWYEVTIKGQDCHAGTTPLYARK DALLAAAKMIVYSNSVAKEFDGLITTGDLKAEPGSMNTMAHTVKFTLDMRHFSDDQLS KMVETCQRNFSRIAREECERGVEVEWTCLTENTAVEFDAGCIEAIEESAKEACAGLPQ KPDQKLWRRMLSGAGHDSCHVSKRSPTAMIFTPTRDGMSHTPTEYCSPEDCVLGAQVL LGAVLRYDAGRVV PFICI_02523 MGSSDEAKPADVATADLDLEVQVGQTLDVSDGPAQDGFSGKLRA FIKTIGAEEHGIERIPEDLRTNQPPRDLATLFLSANFNTATLALGYLGPTLYGLGWWD SFLAVLFFNVVGGVFPAIAAAFGPKLGLRTMVIPRYCFGWWGAKILAVLNVINQIGWG IVNGISGAAVLYDAGDGHLPLTVAVLVVGLVAIIFGIFGYRMVHIYDRYSWYVMLVCF IFVAGFGARHFVNVPMGTGPVEASNLLSFGTTIIGFQAAWLPVAADYGVYMRPDIKAR SSFGWAYAGLLSSQLLVELLGVGLGTLSQSSNPLFQDAYTDRGIGGLIGAVFQENGPH ALAFGRFIEVLLGFSTAAVITTNIYSLGLSVQMISVKLLVIPRLVWTLIGSAVFLACA IAGRDHLVEVMDNFLLICAYWIVPFLTIFLAEHFLWRRDFDYDPTAWNDRTKLPYGFA ATFTWFVCTTISVLCMSQTWWVGPIAAGIGGSEAGTDISWILSLVVGVILYIPLRMWE RKIWHL PFICI_02524 MRPDSETREYDVVIVGGGPVGLLLAYQLKKFGVTVCVLEQHEKE TQDAYGRAIALFPRTSEQLDQLDLIEPMLQLGFACRTSVTYKDGKRIIPGRVWTFMEN IKDTTYDFTLVLRQMYTEAIFREKLESIGAAYCQATECIDFEIDEAAPKGSHAVISTF VDKKTQKEFKIKSKYIVGADGGRSFVRKHAGIPFEGDSSEDKWIRIDGIVETDMPLNR SYGAIESKTHGNVLWAPLDHAATRIGYAYTPEIAAKYPDGVTQEVAEQEAINGMKPFN VKFKEVHWWTLYSIGQRIAQTFSTKGRVFLCGDAGHTHSSGAAQGLNTGIHDAVNLGW KLALQIRGVTKPDILETYSTERMTAVQKLINYDKDISLLMTNKWPSWYQGDPSADPSL VLGEIFEKSASFNTGLGISYPENDLNQNHDNISAIAPGSRPPDVELTMPGTEQKTRFQ RITRNIGKFWVVVFAGDVVSTRMSLLDLQESLKASKKLLDHQAIGWVTISTVVAPSTY EALGTDPIGATYYDPTTLAHQKFGVPLDQGAIFILRPDGLVGSAGLIDAKWIEGYFLQ ILQL PFICI_02525 MYSCGTIIAKRRRDEDCDGGRGYSHESSTNGSACYSPKSLHKRH RASIIDIQDYNGHMETADEMMMDIYEPLSPPLSEPETLSIPTTKQSKPFDAILATTPL SQHLLPEGLYNEIGFPSSIPNLISHIIEPRDQTSITPGTTYRNVKLAIEAIAHHVGPQ SSSEIKTDALIVLRDVAAIILDARPTHQSLEVKAAFERDDCIPQLMLQILQTMSTEDI ARLSQETTHGSNFASLLRAAHEKAVENYVAGFYDFSLVLEAIR PFICI_02526 MLETIPLEHIPSTHTVHAALFRNVANAEFLQSQLLGRNPDFEYA FIDATTVASRFHLLSAVYKAVTIEISGSMRTPNVHSEIVCSLSSNNNISEAYRRFGIQ PSTKDVVVVKVLISREDSPNTITAEDVQKHLAEHVQGESVPISDEELAKSTDWPKLRK YHKLNGVNYVDGIKDAEAKRKELELLVVSSMALRGL PFICI_02527 MADNCFSYDVSRPSRKRAAAGESASQPIVLIDSDEEEAAGEAFT VPAPKRHRVEQSLPEFIPLDISDDENIFHGASNLHRGRDLDAQSAPNASKHKRPHPRG DQHNSSRNAPLNPSMDERIDKMITEWTRDMSAGAKKYYVALKARQPGIFTTWPECEAQ VKGIAGWNGKTMYRTCSSLQEARNFARENLPNVLQEQDSRQERVNDQYNRPERDTVRS FRAEISVSNQPSEISNGQNRLKQGHSHRNGHDLTQSGIADSRPAISRGGLSAPQQSIS VKVDSGELGDADGNVEFDVADDEPELCKEQQEAVNHALSGRNVFMTGSGGCGKSVVVR HLLKKFRAEDKNVSIVAPTGIAAFQVSGSTTCTFMGWTQDTCREPFEQVRKNLWKKKT AKRLKSTDVLIIDEISMVSNFHFERMSRAFTEIKGYRNQENLEPFGGCQVIAVGDFCQ LPPINGLEFCNDCGMKMTKSTGRSTTYTCPECPRPIAYTEQDKWAFKSEAWADCNFAN VHLTKIHRQSDEKFVQMLQKGRLGRKLVQAEIDLLMNHPCNVENGVWLSSRRKEVTDR NESEFAKINSEQFDYWCIDKFDCAHDDLRGTVNPVYWGKPPMERRPLDKLSEHRYSNC LSLKVGMPVILLKNVDVQAGLCNGSQGIVVGFEDYQKAAPPTPPNDSNYEGDEEGYRR AHRRWSETTNFMKHPGLDKQVLPVVQFHNGMRCMIQPDCTISQLSAAEGRYSLLLRTQ IPLAQGWALTIHKSQGMTLERLVVNLASVFEHGQAYVALSRATALEGLKIEGGPSATN ALRNKLGPPQEVMEFLHEKFPHMFQS PFICI_02528 MLFTSTRALLLFTTAIGISSSTETPERCRTIPGDSSWPSSSKWD QFNQSVGGNLVATIPIAAFCHNTLFGQSSSLYDPDACEALRNVWYYPETHLVSSSSPM AYPFSNNSCNPFLDPDTPCTIGSHVVYAVNATSSEDFQATVRFAKDNNIRLVIRNTGH DYLGKSTGAHALGLWTRNLKSMELIQDYQTSNYNGPAIRIGAGAEVIEAYEFADSQGY VVVGGNCPTVGLAGGYIQGGGHGPLSSKFGLATDQVLEYEIVTADGELLTATETENAD LFWALRGGGGSTFGVVVSVVVKALPDSSFSTAYLTLLNNGTNEDALYSALVTFIETLP NLTDAGAIAIFLVNSQGFFLSPAIFPDVTSEELDAHLGAFTDALDSLGLAYTYKSSSY PTFLTTFNSVSATIMFNVSDQNLGGRLIPRSLVENNDTADLVEAMRYISTQSGNVFIG NAFNVKGGVSSPDDVAANPAFREALFNAAIETPIRYLDWPATLDNMNSITNDLLPKLE ALTPGGGAYLNEGDVHQPNFQSTFYGDHYSRLLQIKRKYDPCGVFYAATAVGSESWAE DNGSRLCRT PFICI_02529 MEKAYSRHDGQMTRFEEASRIAKHLGLRQPNQDDECYFHSLLAS KTNAEILQRCNETLLNLEERARACQGDHDSHADQALTKLIFRTETSEGGHLMQSWSGK RLCVFISDGAGQQETWTLIRELKLTIRKASSDGEIEVTVIAGKEIIARILYDKGFVLL RCQGRAGLALELLTAATQDDGEDSELDNATGGTDHTDSFDTARSILSTMIGIATVSNL IDRGVRASIPSGASHWLPREMLDSVVNLTALTRCEDGFIDLRQSGLEYYVRPVRPVYL DLLCPACWVTTNSSKRQMAQDRSFIAPLRLMPLSKEKKEYTAVSYLWSEFKGDDTLKD MQKSAAAVGAPTSLWVDRVCINQNDPAEKALEISRMGSYYAGAHTTLIYPASKVVDIP KVKLNRHLVAIPEQLRKHHGLRMWKQDTWHDRVWTYQEGALSRNPQVFAAEMNTGLSA SWLNFMSWAAEYEEPIKCDVALPPYYSVRFNRPWGECTEEERWSYRHTFQRSWTACSR HNWEPSANSIKVPLATLMDRTQLRKCSEPRDKILGILGLTASSESLKINMATDLRGIF CEAIRCGILGAEILLAGREDRGPRSWIPRMDSVVRWKPSQISQIGYICKAEQPSVDDD GNLTLRACEIKVEERHVEKGPQEDDVLGGYHQLEFTDFSGQVGGLLCMRYRPHIRGKA FILKPSEDAQERDFGKQILVFATEVGEGRILFHKAVKESQLGDRESMIQVGNTLGAGS SINLMIYIRAQQRDFDSWNMEDWTGDDLPPYLRPNILVTVHQDPGDLFSQPRQWIWST RYAWASCIPMNAGNFGLQARYNRSKYR PFICI_02530 MIPMVAADSVADLPSFTNYWNVTPPLNYSDALYAGWPQLPTLSD IEVYNGVAHGRTYAHHPEIYARNNSVYLIHSSALIDEDSMGQQIWLTTSQDGGFTWSP SAEILPSALLPNQSAELNFSYWCNEGIQQRALGGLTILDYNETLFAIGETTNFWCAGA LGSGNHGAGRVARPISYDGSIAGDPCWVEKTNWTDYVGYPGTVYGSKYGMKYCEDAAA LREILQKPDHVPAWSAWLYNGNMYTENGVNYMQENTFAVWVEELGVWQRFWRDITAVN NTMKIWVEYSSTGLDWYPVSEHRYGNRILETNIPDAKTKQFLLDITGPEDDKTHVLIS NPRNNTELVRQPLSIATARGLGLQQYRGVGVLRTNASEQIAPDTRDYKNRGFSYPAAV RVGDNLVVAYSENKENIWVSMIRIENLP PFICI_02531 MEDTNPRASGSDMGPVKEDALPTEPLDTPRSPSTEEKPGAIAPT FLLNEIEALKEKLSLLEQLALDSRVAGKEDFSQPRRASDVGPVQLQANRKAELRDYRR RAQCLYGHRKEFEADGGPGDWRYHSFYSSYGADGKKDTDHWGMATKNKYQRPDPFDPS HQCDMNPDIFAHETPDIFDQTIDFGARRERLRRNFEWDLDRIYHAEETQKRIREKEAD TAAERRRAEAIAKAAGHGSLASETSPESQLKRLDWLAFRTKPSVTQDGVCCIDVLVGE PAIANTQDSWYGFSSESIRKVPETRNQLFQDLTNSGNRPVPERIRIYSPLLQSILSKV LGHPVLVGEDLDFVLLRPFKALVYREEALRDWLLALERKFGGAKNDTHTTQTSRTADA ELKAPSELETGATRPQSAVADSVSPQTEDPTMEPATKNSDVDHNGIKNIDQKERGDDN EGEVEETQSEGAMDHLRILLQFIDSEIVPRRRHLESSSCKNVDFSDLWYLFRPGMEVI GNDGKQVYRVVKVSSARHRVVSAWEMYWSGDKEKDEKKNQPPFSIKCVFVDFNGTSLG PVSIDFSFPRFIGEREVTSFAVYPLRFHPHRRSDFSDSEWRAVSSLPEDQRLKTKLVL RGKKFLEVANVRQMYYAGPTIDVRDEVESQVVVDFETAFSTEDRKDWKPELELLMGSQ DDEDDDKRPCNAACCMGQNVHDDQYVDESQKTEYINHLFPHSPGIDTEPSVAIIPRSL GEIQTGPGSKTGCQIPDEDLLIMSYRVFAFVLRSRKWAQLDLTYITDLYTPDPEDIGL SDIEPPRVDHVADDTSRKTAFDQLVLEKGYKKMILSLTAQHFRDKESAVGATKQVDIV KGKGKGLIILLHGAPGVGKTSTAEGVAEVFKRPLFQITCVGDLGTTAKEVEAALETNF TLANKWGCILLLDEADVFLAQRTKEDFVRNGLVAVFLRVMEYYSGVLFLTTNRVGDFD EAFTSRIHVSLYYPELDQKKILDVFQINLNMIKDRFKRAKREILMDHFEIGGFATQHS VQAKWNGRQIRNACQTALALAEYEAQGSSYDQILKPNRPDAVVELKVKHFEEVQRAYM EFTKYINELYGNNADRRAKEHRIRALIDEISVVASKEAFGATANAPSPAPATAQGSFP PAYQTAPGYQQPPVGMQPNYYAQPAGVANSYNPQGHQQQYSGHVAFNPAMMGNSGPPN AGGIQNAGMQHPPRSQQSENNQQQPWMTQQPQTQQPQGVHGPAQPVGGYQMPSGTGSR EGFSAGQWPQGAPNTT PFICI_02532 MTTKEIDFTPCGISRKTTTDFIDHRMPAQHPAFDQPPLSFDPAS GKYIPNNQALVLASNNLSLDSGLQVAIPIPTISTMSVALPQGEPGFLGRQGEQLVPRE AITNIHSMKFWSTILPDALSLLCGSSDEPKGLSDAGFSIRGKQGWQDIHDTLEAAKNK YQDVKGPLGQMSRIRRKLADKSAPVSDLIKVVDTLVPDSTYATPIVGTVKVIVNATNK SAQVRGEALHSFDDILDVFSPVELFLSLFPGDQNIIEASTDLTIIILKAVEQAIVFFL SNSVKRGLKALFGGDDYGKDLRDSVNTIESKSNSLMDEASKSYMFQQDMRWKQTQSFH NYAVEKLGFMASGLSSMNDLFTIHIERKDRELEASRQALEASRHETLYYKEIALRTPS PLPGTPWPVPLQAQLPDQNTLRHMISSMDVDLRDISLVNDRKEEMSHKQRARAEQIVS STLFEQWAVSTASAKLLVEWDNPRADSIAGISPLTVFCTTMAQALRARPRFISALWFC GRHFDRSDAGGCIGERAMLASLIDQILRQHVFHPWDPQTEINLDLLQGSHQSIDELLK LLIWLVRRIPQTLTVFFIIDGVYLFERDEFWSDARRVFLGILRLVKDVPATVKVLFTS APGTTIVRGGFEQEGLILSVDELPNMAWAPSDERMIREMGI PFICI_02533 MAIWKFPEWIDAGRITAVLVALEAVSNPRGSSIPLGWNHDGITS FILSGKQGNFHTLNPPVSWMQKNRSTLGNRPLSQICMLGTHDAGMSFVSHADFPRGLV DPFVLCQSTPVYGQLVLGSRYLDIRPEISGGDFWTGHYSGKLGGRGESMANIITGVNR FLSDNAELVILNFSHSLQTDVSGDWRSFNRDEWHKLMQELQQLQNLFVVQDETKAKDL SLLTLDDFIGNGRGAVICVMEDDSLDLGDFKKRGFYKPSQLNVRNEYSNTDDAVNMVK DQLKKMKDNMSIKDKRLFLLSWTLTQQIRIEPNIAKLVLLLKNLKSIKTMAYTANKAL VTELLPVVDKNSFPNVVYLDFVDNLSYVALVMAVNDKVFNN PFICI_02534 MSPAVITVDHQDSLFPVSKNQKAIEDHATISEDDRKRLQASGIL DNAVSFSTIPIVGDAPTKSSGDGMLHLEFAEHALIGNTVTLKMKDGTSKIARDYPFTF YNGKLKLTYGQISALAGDFYGTYDPICESQSQRDQIARFMRAYKTLAKNPSSRQPQKA KDILAALQKEVNTVNTAIASHRDPSKAYAKLPDKNIKFQLLTALRPSN PFICI_02535 MLHSFGEGIRIQIIYLSAASLLIYRIALIFYRLWFHPLRKVPGP WLNAASSLPFRYQHHIQATWTRQTRDMHMRYGSVVRIAPDVLAVEGSVAWPQIYHHRS GGNPEFLKGKNFFFPGDKITIIGGNTTEVHRRQRRHLAHAFSEAAIYKQEPIIKSYID LFISRLRERAVVGETFNLVHWLNFTTFDIIGDLAFANSFGSLESSNYHPWVLAIFENV KAASYQGFLRAYPILSLLNYFRPAKSLQKELQNREYAAAKAQARISQGAEPQGGRRDF MTYMLRENRHGEKGISDVEIMANSGVLVGAGSETTATALSGFFFYAAQNPAILDSLKE EVRSAFSDESEISMRSTEKLVYLKACIEETLRVYPPAPEIPARISLSDYINRHYIPAG VNN PFICI_02536 MIVLIYESPRDKDYAILSHRWLPKEYRFEDIQNSTGIQSPLHES FAKVKKSCDEALRGGFDYLWIDTCCIDQSSSAELSESINSMFLWYRQARECYVYLDDF ALSNYAPISMQTLGTSQWFRRGWTLQELVAPSELIFFDCNWKRIGTRDDPALSSMLHT ITGIPVSILSRISIEKCHAQTRYQGHSFADGTCELCGTTDQLPMLLKSRSVAERISWA SRRQTTRAEDESYCLLGLFDVNMPLLYGEGRQKAFLRLQEGIVKASDDQSILAYSVPH GEAANIPQLLANSPQCYQVSYDTTEAKFMHVNLLLNPPRPFLDVQIGDLFTTPSLRIK IKEPLKLRHCMTYPSCSSVPAGATYFPAGHWETGGLKQRNTGRNILCGAICFIALDET AAFVVLWGFHGSDVVTSSRDIHSESSGQRPWCRVLSWQDIIDIDLKWYSTTAEAKIMA QLKKRVTTLSYAYDGDRTPELTDPKEIELRGRVDKWSRQEERSKAIWGTGVTTTVYVS IDAKLFLGRSLYRLRISTKPRE PFICI_02537 MASEDEENNHVVVPSHAIQALTNEFRGGVGEVQEAIRKLREDLQ SSEATTDASRRSLPKAEATIDPDHQAELARAEDKYDKLLEAYEQQALIISQLQSMRTR TGPKFGTPTDDEIINDFTRLKGAILQLVRNHIVAKGKKGMESIDPLWVQSQVASGLHQ NYFTKTARPFGFRSTEINDALQRLEINAEILDYDGRMPVTPLP PFICI_02538 MGKTSSLFGLARQRKQVDQNAIPLARKNDLILALDFGTTFSGVA YVYRHHGVVSRSTDINNIRSWPGDGDSNHKAPTRIQYLPHSDSKFKWGYEVNPLDDHI FGLKLLFDPDQPKPYDVTDICADPLNLPKPIEEVAADLMRALYNHATNMICTSIAADE IELDKLYEKQYVITVPAVWSDKAKQLTFEAAKKAGVYPLDLITEPEAAALYTLDWMKG KGLKERNAVVICDAGGGTVDLVTYEVDQLEPLRLTPLTASTGAVAGSVAIDARFQEEV AKTVGSTQFMALKTTKAYRAAMREFDVNVKQAFRGLDDDDVYVTFPTAQLPDNPAMGL ESNTMAFSAKRIADMFEPTINTIVDLVRSQIKSASEASEGRCQVRFVFLVGGFGSNEY LRRKLEESIPKVKIVQPEAARKAVMMYAQFPSCMDIG PFICI_02539 MYSSAILAPLVLYLAGTALATPTPVIPDKRTACAVSVDETVDAL GNLAVHYSDNKISLGDQDPTDLFKQVGTKCADAGSCDPNAWTGKGQEPGSPEGLEDVD ISMVAHGLYPVWIHNGLYDALMTALSKAVKKETKTYTPSTTCTSSIGCFGSSDKSIDV YTIPDWIAVYWYDPDDCNADPAFMGVQTSVKVEGSSGFCSTFSTLGGALASSIGAPGG AGVVFSLLGLSCSLAGQ PFICI_02540 MLQAPLAGRPLAFPSSLRIVKHQSYVFLVARSFATTRPALEPTE TAKRRLHSTSKTAQDISIIDTKSITDLKSQFAEEAKMAAKKKVVPLSVLPLPTVLRTL ATTTISSSPILLPPSLAAMNVLAHTENPILNPDKNAILGWFLKKTFYAQFCAGENAGE VRQTVDGLRKMGFTGVILGYAKEVVLSKSQTENLTACDHGIEKDECVEKEIGPWTAGT LETVRLAQPGDFVALKFTGAGRQALYALKNRLPPPKELRAGIDQVCDYARERNIPLLF DAEQTALQAGIDDWTLEYQRKYNNVPGHALIYGTYQAYLKACPAVLGSHLLAAAKEGF TLGIKLVRGAYLGADPRHIIHDTKEDTDKYYDAITESLIRREWNDILKQDVEYPLVSI LLGSHNLESVRRARAVRDSISIPAPTTYPSKGPVGELAIAQLQGMADDVSCELVCVKK RDDRDSAIEESTKAYKYIVWGTTGECMKYLLRRANENKDAVQRTRTGRDAMWGELKRR FWASLGRA PFICI_02541 MSLQSPSYEKVLKHVRERRYEGAESQTRDGRIKPTREEYERLWR ESRLSLPPMVRIPGAALAAFGMGMTLGLAHGSQMAGLRFRAEHAHKLPTTTTGWYLYH KSKNYHLMFGGLKEGFRNGLRLSLITSAMFCTENLFDVYRGSKDMFNTIAASLAVAGG FSLINRFSAAESARTARKGLIVGFVYGGVQDLLSLAKGRPVGYIEFLRRHVGGGSSAQ TQEEHIM PFICI_02542 MLALTRYVLLPLSFNSKCIPFSEWISLLTLCLAPLIVHVVSGSP SGSSLAAKRPHWYDYVCHYNPTSILWRYAAITDRRIRALYWSNSDMAASNAIFWTEIG WDGREHMIISAASYCIHLPEHPHAELVSSTTIKTVITVAQGIAAFYPLVDSLVNVKSS GSYVGMLGVDSIFTPIAILGLLRLFAARWLTEDYIYNTRSDIPLKTLPSVPEDESGST EHLMSPRPTSPMDVIARYRPTSYWPSRVFRTVYMLILVLFWAVSALYVVPINPAPNSL GRFMTTTMFSTAMLYVVFLTITVILYLYYFLRGMSTTTIIPCISSPFYRIYTYCFFLY IPALIAIASIETNRNPGGGYTSVNWGNLTACTSTLYPVGIEGSNIMGLTTLVNPDLPP VHEPLFMSNTSDDSYWTFGFQGYCSGLVT PFICI_02543 MVRRDKVDDIDLSIRFKYGVHSIFLFVDSNSQFSDIASELLEII KERFPDGLKADREAPPVAVPDDSSSIEFAVLTVPSDPSQGWTPLRAAPDDKPLAKGLK DNQIVAFAFRDEDAEDVDEEGFQVAFPTYEEEEMEE PFICI_02544 MSPAAFLRNVLRLLVPFALIITTYLYLYPVFLGCAFPLPDSQPA TAAFQSTVQQHIGNVDLSIAPFRLLALGDPQLEGDTSLPDTYRTPFNHLKSFALNIAF QTSHSSLRERIRQSLHDLVDLYLEDIPDALESIRKRIDLFGNDFYLAHIYRTLKWWTK PSHVTVLGDLLGSQWIGDEEFERRSWRYWNRAFAGGERVPDEVAVHPAEEYQLTGYLG NEGSNGTVWRNRIINIAGNHDVGYAGDLTIERLERFEQAFGKAAYELRFELPITNATL NATIMDTEKNPDSNRLAPEIRIVVINNMNLDTPAITPELQDHTYTFVNDVINTAAAVE YQGHFTLVLTHIPMYKPEGVCVDAPFFDFHSSEEGGGVKEQYQLSADASKGFLEGIYG IHKDINAPGSGKGRHGLVINGHDHEGCDIFHFINQTTGDSLDDRNWEVVRWHEAQQKA IPGKPGHPGLREVTVRSMMGGFGGNAGLLSAWFDEDAWEWKYEFVNCALGKQYLWWFV HIFDLILVVVVLVYPIFTALVAAGVVDDVWPDFIASRIRQSEKPQKPDVAKNVQVGNG QTKTAS PFICI_02545 MSFMLFNDNLCGHPFSNSFIAPSLNQCWRTTWGARSLYIANLPA CENGGTPTLWVAASGGCWTNWGRSSGGEGEQLDDDDDDTEKAIMAKRQNMPGMCLSSS DGDANSMYWYSFSDTATCHTLPCQQAIQYTKFVCSGAAYAVTTSDSTAMFAIKTPIVV LFLFQMVLVASMIIYNALEL PFICI_02546 MASFLKLIVKNEAMNTDPHEIYNWRVYLLAGSACFGAMSFGWDS GVIGGVIEMSPFQNDYGFVNNGKIDSVAVANLKGNIVSVLQAGCFFGALAGFPIPDII GRKWSLIWASVCIMLGVIMQAAASGHIEAMYIGRLLSGFGVGCASVVNPIYVSENAPR AIRGLLTGLYQLFIVTGGMLAFWINYGASLNEKGKVMYIVPLAVQAIPAILLFSLMMI SNESPRYLARKDKWEEARQILTRVRNLPEGHPYLEEEISEISEQLNYERQLIGDATTW TLLKEMFTIPTNRKRAILSIFLMVWQQMTGTNAINTYAPTIFSQLGVSGTSNQLFSTG IYGIVKVVSCTIFLLFMADSLGRRRSLLVSSVGQSICMFYIGLYVRISPPAKDAPITP QGYVALVCIFIFAAFFQFGWGPACWIYVSEIPTQRLRPLNVALAAATQWLFNFVVARA VPNMIVTVGANGYGTYLIFGSFGVIMFFFVWFLIPETKGVALEQMDALFGVNEEEKRA MANDAEKAAATAIEVSPDTHRHG PFICI_02547 MKSIVSHVKNLAHTSTASSPTDTETAAGSSSVAVFANMSLQDKV VLITGASKGIGRAIAQKAASQGAKVVINYSRDSTPADALVKEIGADRALAVQADVSKL PEIEKLVEAAVARFGRIDVLVPNAGIMPLQPLAAVTEDIYTRVFDLNVKGPLFLAQKV APHMPQGGRIIFISTGITRSSAVPPVYAVYAMTKGAVEQMTRALSKELGAKGITVNAV APGPTATDLFMEGKPEAMINGIKAASPFNRLGDPAEIANVVTFVASPESAWISGQIIG ANGASFV PFICI_02548 MLVTRGFGTCVAFACSWGLGRVAAQQFDPNVGSSGVSWDPASVG TNKSTNATYSNPVMTVNVGDPFITRYTADDGDWYLFTYTTNDNVTLRRSRFLTDNWDE IETRTVFTPDPVEDKGQPWSTSIWAPEIHNISGTWYIIFTATPDTDNPPPLQDAMCPF SCPAVNHRMFVLEGGGPDPWTANFTLKSMLNTYDQFAIDGTYFSFEGKLYHIYSCWEN AYSGWPANLCITAMSDPWTISSNLSDRRMISVPNQPWEQVPYGRPTRLATNEGPQQLT NPKTGQNFVIYSAARVNTPFYCLGMLELVGNDPMEYQSWRKHTEGCVFHQNTKTGIYG TGHASFTTSPDGSEDYVVYHAQTTPNPAADLYRTTRIQKFTWNDDGTPNFPLAENGPF NVPAGQQALTL PFICI_02549 MLKSLAYTALLVGGASCQNVATYVNPAVPTGVPIEGNYTGGLRP QVHFSPPQHFMNDPNGMFVDANGTWHIYYQYNPTGNAAGNQHWGHATSEDLYHWVNQP IALFPPEEMVYVFSGSAVVDVNNTSGFFPDQDNGVVAIFTLARYYEDGSAGPQTQNIA YSHDGGYTFEYYDGNPVIDSTSSQFRDPKVIWYEDHWVAVVAWSQEFVVGIFTSPDLK NWTHASNFSHHGLLGTQYECPNLVKMPVRDEDYNIVDSKYVMTISVQPGAPLGGSITE YFPGDFNGTHFTTLDDATRLTDFAKDNYAAQYFYGLPDDENAVNLGWASNWQYAQTVP TGNLENWRSAMTLPRANYLTNATRIGWVVINELYDPTPVLDAPLNESTIGNGTVTVDY SDVSSNALYIEANVTGLNTTQLTSYSTLNITFTSPASGEALRSGFFFSGDTPFFVDRG LIRGFDNVFFTDKFSVADVYSDGTGKTLASWSVQAFIDRSIAEFFVDGGVHASTVLFY PTQPLTSASVATRDLPAGAEVSVAVWSVASAWAEYENENGTVVGNVTQSSSSSTSSKR YKMYEGKFTA PFICI_02550 MFVPTLILSTTALAALASARGTIVVTVGPTIPTDAPEFVREDLF TSAVLNSTNTYRTQHNATGVTWNATLEDYASDYLNASDCAFAHSGGPYGENLALGCSN ATSCVEAWGDERKSYDFGDPQFSEDTGHFTQLVWKNTTDVGCSRKLCGDSGWYLACEY WPRGNVEGQYSEEVSAEEGSDATRYRPQVVAIVAAIFALLSVL PFICI_02551 MWPMSNALYPGLKDPAEQQRPGTSDTNQSWFTYGTRRYSASNYS RKAWSTRRSARTGRTGETEGGEDLRDLLRQSQKALTNLRGNEEADIGTQGFPDADSDV IPFNDPSWKKPLWRSLSKRTNATGATSASVVTGESSQPPSAEPAVASSSGHHHRRRSS SRHPPPPARAVRSKRIPSVASRMDPDDGEPDPVPAYQEVEVGRAVVPTLATKSQAAPT QRYSTVNSGLRNDFENSLSRLDLISSPSISTMSFTGLGNNGAGIGFARVDYDAQVEQA RHTQDSQSPQAVTFSNNPFSNNPFHARANEREPVSPLSPNRNSIYRNSYRNSGAFGQP RVVTFSNFDEVAITSSADSRSMTAPTVDTWDRLEGASEKPTAPAEDALPPPPNTTYLY GLPLAAVIFALSLAVFLVAMDVNVIATAVPHITAEFSSLDDVGWYGSAFLMTTCAFQV LFGRIYTIFPAKLTFLGAIAIFMIGSVLAAVAPNSTVFIVGRAVQGMGTSGILSGGLI IISQVVPLQMRSILGGVIGAMEGVAMISAPIIGGTLTDKLNWRWCFYINLPIGGFVLV AVFFFLRIPEQNRPQQAVKRTWAQTARDLDLIGAALIMPPIVCILLALQYGGSKYAWD DMGVILLFVLGFTLFLVFAYSQHVNADTAMIPFRIIKQRSILAGFWYILCTASALVVM TYFLPLWFQLVLNATSTQSGLRLLPVLIGVIVSVLLSGALVSGLGYYTPFMILGSILM SVGIGLMSSIYPDTSNTLLIIFPAIFGAGVGIGFQQPLIGAQAVLSKEDIPIGTSIIV FGQTIGSAIVLSVGESVFQNQLKNNIESYLGIVVKDAHSLMTEGTAQIEATLSPEQLP VLRDAVSKSLTQTFYVALAMAGLSMIGSLAMEWKSVKKIAKQQEAEEAAAAATAAEAT AASQGKSQGEKMV PFICI_02552 MIDRLINGFQALSYGSLLGLGLLFGIAAANYKALPFVSTLRILP AVLRLLKPRLPPWHRAVKAKIAAVTPPGVPFATAPALTSLAASPRLFRHQVTTSRAVA ADLDINVHKSNSTFFADADIGRTRLLADLLSDGLAGLGPANFILAGAQCRFLREVRPY AAYDVSSRVLAWTDRSFYVVTYFLKPRAKLPFEIDVLGGPQALLSAADDGLRRKVYAV LVTKFVFKAGRATVAPEQILRASGLLVDDDGSNTDGGEKTTTGVGLPREGMLHGQNVD AAVKAGLEYVARCME PFICI_02553 MDLYSFPTLPPKWDAIGRFYIAFGATWTFLVLCGMGFLVANRRM PILRVRGIGLSLTSIAFLHCYWILAQVVYPIGQSVPVILAYDIQYFFMGIWFPLGIAL FHASNTRFLYVAEMQKQFTLSHYRKRYTGCNGASTSWLCRLRNMQYTTRILIYIGFGM IFQVLLTVGMWFACKKYHPTYGIPGTELKGATIPEQLVDLGRGWEWWPSVMWQVIWTW MVAPYLIWKAWGIRDTLGWRTQTIACCLSNLHATPLFLASSYAPAFAKVNMYFAPSEW IHLSIMFFEIFTVFVPCYIVVRQKIQSRRAADANTRWENSSQMTQSTLVGSVVDPNEW KSMSQLEKGESHLTSTTINNRDSSGSDCLLTMQALDRVLVDNPVALQEFSALRDFSGE NIAFLTRLARWKASWTQLHAAACTEDELREAFTHALAIYTDLISPRDAEFPVNLSSQE LRDLEAVFEGPARIICGEARVNTALPFESARTGPPSSPMDRAVSRDSKDTSSGSSNND ARIDFGEMVDRVRYMGEISDGFTPRVFDVAERNVKYLVLTNTWPKFVHETQRRESFES ERSAATDVSETSLVARVADKVRSFL PFICI_02554 MSSTNFDSNQAATWSKYLEDAPPPVFPRRLNLSVAPAPDSLLRR KIALPKKRTTKVPVETLIQLAWSLVNVYYSDAADVVFGLAVMNEIDEAEIDDSVTAIP SRFCMQPEQTLAACLKDIESRDIPLICSEGITLDNIANLGIDMLNATRFDNQLVICGD SMSSGSVQLDRALNVECTLTRAGVMAQAFYDETIVDRTEMQRILGTFENVLQQLCEPS SMIKSLAEINPISAQDLAQVSEWNKAIPPAANDLMQNMVQTRIEQSPDAEAICALGVS ISYKELDQMADKFAHHLAAKGVKPGTIVPFMSEKNPLVIPILLAIIRSGGAFVPYDPA HQWEDTAGLLEACEAKFVVCSPDHKQRFDDHGIDAIVFDTAFFDGLPALGPIASTVTP QDPGYVIFTSGSTGKPKGIVCSHSAWCTNTLAHGPREFCDAETRHLQFSAYTFDISIT DIFTTLAFGGTVCVPSDHEKMNDLPAAINRMSVNHCTMTPTVAQFLSPDNVPTLKTLI TGGEAMPADFISMWSDKIHLINSYGPAETTSRVSSSLKSLGDKGSVIGTNMGAALWVT QSNDPERLLPIGAIGELIAEGNVLADGYLKNEEKTREAFIDAPKWLKEAYPERAHQKV YRTGDLVQQQSDGAYAFIGRRDTQIKIHGVRLEAGHIEAKIKQELPDNAELVVDKILV GEDRPKQMLAAFITLPDLTAPGEAKGTELLPPDAAVRDVVSRLHQTLLAQLPSYMVPN FILPLRAIPLGTTGKVNRRGLQSFARGLPIDVLNQYNGASATGTGERPRGEIEITLAN LWADILQVSADSVSREDSFFALGGDSVQAMKLVSEAATMDLHFSVADVFQFSILSDLA QFLEGPSANHEPITIEDIEEFELIGGPNKFRTLREQLSKVHKIPVNRVEDIYPCLPMQ EGMMAENIGSPEAYILQEVLKLSSKVDLAKLEESLEALVEAYPIMRTRIITLKQLGAC QVVMTDDEPVDIEYDDDLASFLVRDKKNHMDYGDTMSRFAIIQEPSGDRYLVWTVHHA VTDGHMHQDILGRLERAYRDEPLPETLPFNQVVKLHSERQTASANAFWGNQFSKWDGI HYPECEETYEPVITDYVSRQVKLPKEASGFTPSILFRAAWALVLAQLSNQTDLIMGIT QSGRDIPLPGVHESLGPCLATVPLRVLIDMEQSVAKFLNQLQSQYIDIIEYQHTGLQH IRKASNESAAAIGFHNLLVVQPVTRNSSKLFVPDESRNAGDELNFGLLVECNLSFGEV NVRVGFDKTLVSAISADLIAQRLEHVFHQLSSKSSRETPLKGLSIVSPADMKLLEKFN PEVEPMEQCMHWLIEEQARLQPNALMIDSWDGQLTYREANEYSDRLAGVLIGLGVGPE VLVPFAFEKSAWGVIAIHAIMKAGGACVAMDMSHPRARHEKIISDTEAKVIVASRFYA EKIDLVPHVIAVDQQALDQMPRRPASARTNVAPNNTAWVVYSSGSTGTPKGSILEHRS LCTTSRINSGLLTCNSSTRAIHFSSYSFDVCIEETSIIPMYGGCIVIPSEEDRLNDLP GVMERMRVNWADLTPTVVRMLNPENCPYLDVMALGGEALTQDIINTWDRKPGFRLFNT YGPSECSIQCTSSEPMQTLKTGAVGGNVGIPRNCKVWIVDPDSLRPLPAGSVGELLIE GPLVARGYLKEEAKTKAAFLDNLSWAPGRRFYKTGDLFSFNMDGTLNCLGRSDSQVKL YGQRIELGEIEFNVKKHLSDPDSSQVIVECFAPNGEPGRKLLAAFVQLVPNNATDMEI MDMSEFLRNQLAEVKKKVANDIPKYMVPSLFIPVISLPSNASGKTDRKKLRGEAAAFN QQQLATYSLSQAAEPSAKVALSSVVEQALAGLWADVLHIDLAQDPIGANDSFLERSGD SITAMQLVGKARAAGLALSVPVIMKAPRLADMALAAKRIDGVELKVPNIPTAKVTKSE ATTSVAKPSASVPVAKQVPSASTSPTQVTKTTETTVASTKIPSAPSRPRMISKAALSS QHWDMLLENHNIHQDAIEDIYPTTPLQEGLVALTATDGSSYVLRDIYELPHNVDTAKF QRAWNAVARKDSILRTRVVFLEGLGSCQIVMNEDLQWQSARNMATYLEQDRSESMGYG TPLSRYAIVDNGKNRKFVWTVHHALYDGFSMGLTFAGVDAAYNNHAAPHSRPFRDFIE YLQNIESDTSDAFWENQLKELETAPFPQPPTGHHCQADNTVSYAIPFTVERKAGVTIA TILKAAWSIAISRLSDSPDVVFGVTQFGRDLDLDDIDTMNGPTITTVPVRIRVDPQAT VKEFLAGVQNQGIDMIPFSHAGLQNIKKISDATRASCDFQNLLVIQPAEEEEESALFK KHENATTSNYLSGFGLVVECALGAGEVTFSAHHDSTVITAPQVERLLHQFEHLLNQLQ VQTGKINNVDMFSAADRADISAWNSNYPKVIQKTMSDIIMQQAANTPSAPAIASRAVN LTYKEMGELTNHLAHQLQSLGVGPEKIVPICLERSPEAILSMIAIQKAGGAFVPLNPT DPTDRLLDLIDQVEANVVIFSEQTRHLTSSLAVSGVSPVVLPENITGWGPLRSSPVLS GANSSNLAYALFTSGSTGRPKAVMIHHQSVTSSTYGHGVEMGFADYPRRTLQFATYTF DACIAEIFTALHFGGCICIPTEHERMNNLAKFIRDFKCDWAFFTPSFVRLLKPDEIPC LKTIVLGGEALNQECVDIWGDKAHLMNGYGPTETCVFTVTRTVPGPKSSKKAHPAATI GHPVSSIGWVVDPNNYNTLTPVGCPGELLIQGPNVARGYLKNPEKTAESFISNPKWLR AFGHTKSELLYRTGDLVRQDVETGMLTYLGRIDGQVKINGQRLELGEIETRLKAQGAN VESSVVLAGKTKADKKQTLAAFVEFIDAPGKNHSVMMEMNESMRSRMKELESSMRASM PKYMVPSLWIPVNHMPIMAASGKTDRKTLISLFKNMDYDQVAMYTLEAGEGVTEVREA ETDMEKTILELVARTLGRDPSTIHVNDSFLRVGGDSISAISLVSNARALGITLSTEQI FRQPRLYDMAANAAKEGQVAAESVKVIEPYSLLPEGKKSELLALIKQEYGIKEHTIAD ILPPTPLQEGLITLTLKDKEAYVLREIYRLPSKLDIPRFKAAWEAVVRDAAALRTRLV NLADHGCYQVVMEQSIEWHTPQRVQEYINSDKEEPFGYGVPLARFALIETDYTGCYFV WSIHHALYDGWSKGLIMRQVEEAYHSSSPQPTATKTPPFNRFIDFLQKTDPSETKDFW KAQFAGMEAQSYPRLPSAAFAPVLDSTLTINLPLVRKTGSSFTTGTILKAAWGLVLGR YTGSADALFGCIQAGRNVPIDGISDMIGPTITTVPLRIKLEGELTIAKFLQAVQDQST DMIKYEHSGLQNIAKMSNECREACAFTNIMVIQPGNQHEADFVGAQRIEDQDKGFLRF GMGLECTLRSNLIEITGGYDQRLMSEAQMRRLLNQFKAAICHLNDEPQNIVSELSLVS SEDMAEMAGMNENIPDDIHECTHDVIHQTAQERSDAMAVNAWDVDFKYFELDQLSTKL ANHLRSYGVGPETIVPLCFEKSGWAIVAMLGVMKAGAAFVFLDPAYPMARLDEIVSQV EAKIVLTSLDQAPIWKTSKIPVDVVDNVSIESLPSVPYRADTGVTPSNALYLIFTSGS TGKPKGCVIEHHSFLTCARAQAARSKMTPSSRILQGASYSFDVSIMEILTALTVGACV CVPNERIKKRSVVDVINDFRITWAFLTPSVVKFIKPSDIPHLKTLILGGEALTTQNIQ TWAGHVNLNNGYGPTECTIAATANTITDPNEDPANIGKALGGICWITEADDHNKLAPL GTIGELLIEGNIVARGYLNNPEKTNEVFIENPTWARSSSGKARRFYKTGDLAYFNTDG SIMFMGRKDTQVKVRGQRMELGEIETHLTLNKNIQHAMVMYPKTGPCKRQLVGLVSIA KLGATTNSNAAVELISSDDTATASAELGDISRKLSALVPSYMVPSVWIIVQSFPLLVS GKLNRKRVEQWLTSMDQATHQKICGIGETIRVQAPSNEVEEQIHQVWVAVLKLPAEEI GVMQDFATLGGDSILGMQVVSKLRALGYQITMTDVANGRTITQLASRIARGGRVAVAP AAPVVDVENELFDLTPIQQYYANFTLKDDYLSKQTNKRFNHTFRMGIKTPIKGASVKK SMEALIKRHAMLRARFQKDDSAACGWKQYISSEVETSYQFTAWENVDLEEVLPIIEQN RTSLDIENGPLVAVDFVTNGDEQLFYIVAHHLVVDLVSWNNILRDLEDHMSTGHFVAE KPYPFMAWAKQEKDYALKNFSPEKALPLRIPKADFSYWGMEDRVNIIRDVARHEIVLT ERDTASLLTNCPKAYGAEPMDFLCSALSHSFNYVFRDRAAPAIFRYSHGREQIGNADP SGTVGWFTTLSPIHVPINNKDDSISVLRRTVDARKKIPMNGLGYFASRYFHPAGAKAF AGQLEDMEVSVNYLGVSDNQQRSNSTSIFDMEGSIENGLGADGQEVKGFSLFALNAEV KGGKLHIYSAWNKNMRGQDAILQWFKTYERALKDVAYRAKKSTQSTRRHSKQGNSSST HLRPVAPNRTPSRRPRSRRFNSLERRLSIPRLV PFICI_02555 MIPSSTQRRTSALLRAQADGKAQIHGVFGGQGNNKNYFEEIRTI FSTHESAIRDLIEPLGHTLQVLSQDARVSEQYPEGLDVMRWLEDPETTPSADYLIAAP LSFPLIGLLQLAHLKAICIDLDASPKDFPAVFEALAGHSQGVVVAAAVSTASSWPEYF TAAIKAVTVLFWIGARSQQAFSQDSLPEDKAAQLEADGHGNPTPMLSVSNITQQYLEA SLKRLNQSIPDSNHAYISLINSVSNFVVSGPVRTLAALIQFMRAGAAKEGQSQARIPF SQRKPSPNFRFLPITIPCHCQLLDTAVPLIDSDLSGITIKSVDLKVPVNKMLDDSSLA SSGLSMTKGNDNLVPVLTRLITSQTVNWAGINLQGATHIIDFGPGASAGVGALTHRNV IGSGARVIVAGKTEKSQGSELGSLHELLTQHDEELVWTSKWSENHRVSLVETSSGKMV ASKLSRLLGLPPFFVAGMTPTTIHPEFVAAVMHAGYHVEFAAGGYHNAESLRKALYKL RDLMPAGRGITINVIYVSPKAIAWQIPFIRQLRAEGFPLTGMTVGGGVPSPDVATEYI TTLGLEHISFKPGSTASIRQVVEIAKRNPTFPVLLQWTGGRGGGHHSAEDFHVPILET YAEIRACSNISLVAGSGFGCAEDVVPYMTGKWSLAYGRKASMPFDGAMFGSRVMTCAE AKTSPGAKAAIVAAAGVEDKEWEGTYKGATGGIISVVSEMGEPIHVVATRGALFWNEM DKTVFSLDKKKRVPVLTAKKDHIIAKLNADFQRPWFGRKADGTVCDIAQMTYAEVAQR LINLMTVGGHRWIDGSYMRFVADILVRMEERFSTVDADASLIPDAELRVSPVATTNAI IKSLPAASNTLISSEDVDFFTQLCRRPGQKPVPFIPALDEHFETWFKKDSLWQSEDLE SVVGQDAGRTFILHGPVATRQVGKVDEPVADVLNGINNGVINHLGNASANTPIPFEES LQSSASDNATMRQVVEGSMDTSLLTGADVRELLCQQSTWASALFSSRFVVRGHDLIEN PIRKLFSTLKVDSIAVKANSVSLFADDKVILLISKVDKQLQVLPFTYITSEDKPITMA LRFEYRPETSYAPIWEVMEDRNERICTMYRQLWQGGAASASKSHSSHDAAVFEDGFVV DESRVKAFNRAIGYSKVHREEKVPMDFAIVASWSPICKALLQDPIQGDVLNLVHLSNA YTANGNIEPLKMGEEIATRAFVSSITIEDSGKVVEVACELRRGSRGPLVLTVQSRFLF RGSYDDFSSTFSRKVETPYELQMSSEADVGVLASKKWFILDDNSKLDYMNLTDLTLEF HLQTFTRWETKSTYKSVDTSGKVFVRSEAGELTRVGFVKHHAGESRNNAVISYLSRRG RVVDAQQKHKLSGAAPEAPAHVSEIRIPASNEAYSRASGDFNPIHTSPLFAQLVDLPG TITHGMYCSAAVRQEVEKYTAGGNPRRIRSYDVSFVGMVLPNDTLEVSLRHSGMQNGL KSYDITVSKQQSGEKVLTGTTLIAQPSTTVVFTGQGSQEKGMGMDLYASSPVAKAIWD KADAYFIAQFGLSILDIVRNNPKEIKVHFGGVKGRMLRQNYLSMYYETPAATKHGKPE RRPIFPSITEHSASFIHSSPKGLLFATQFAQPALTIMEMAAFKDMQSSGVVDSDCQFA GHSLGEYSALASIVDFMPFENLLHVVFCRGMTMQGAVERDSKGRSAFSMVAVDPSRVR RELTDSALRELIVNIQSKTGLFVEIVNLNIRNGQYVCAGELRALDLLQKVCDDIKALP SFSQPTEQSLAVIARRAPAYDSIVPQDVVLERGAATVPLAGVDVPFHSSFLRPRMEAF RRVLLDSLDAARLRPERLVGKYVPNVTGTPFAIDRDYFESVLEITKSERVRAVLDNWD DWMARAQNQRVAVA PFICI_02556 MSNNTQSSTPSRCGRPQSISYADLMKPDEDWRNLPDAAERRKIQ NRLAQRAYRRNMRDRTKEVERLKKQLQKLQEAQEPSNSDAASTTSSNADNSARESSAS GRSTPANPDRAFSADLASPPPSITTSGPEWMGGYYPVWSQNTPHEDDLRGLGLTTDGE HPMSFEPESYFPSLSGADEFMASSPGALRNRAVSTSNVHISSSQLHNQHLRSSSNPPL FTRCDSPSSSWSNASRSERSDSLQVPGMSPSPAQFMLDHHNSQNSLLALSPAAFHLYT NPEDLTLPIPDNTFSLDDMTPTAAYPTPPESNPSASWSMFDVKPQPGSRSPPGTTGAP LLHLAVESGQFDTLRLLLQRYDISINAKDNSGYTALQRAVILGRTDMVSVLLEHGADI SGSQGLSLRPEGNA PFICI_02557 MSSIPHLVAVTATKVLRRDDDDNSNGDCYTATPGPNGYVGDPNA CNAYYNYNPQYAPAVAVAVLFAIFFGAHLFQGIAYKKRYTWVVIMGACWEMVAFILHS VGSKNQQNVGYATAWQILFLLAPLWINAFVYMTFAREAYFFLPEGDRRIRGINATSIA KWFVGADVLTFIVQGAGGIMASPGAGAETIKTGLNIYLAGMGFQQFFILLFLWLMIEF HIRCNSWGPSGAASYGAGKRNWRPLHYALYATLGCITIRVIYRIAEFAGGIKPSNPIP FHEEYSYALDCFPMMVALLILAVWHPGRFLVGPGSEFPKLSKAQKKQAKADRKAAKYA AKHGGKAVPGHITDEYEVTEYGSRQ PFICI_02558 MSAPAAKKDIDWSTLGLAYDLEVNGHIETRFHLSTGQWTEPKLV ADTNISVSGLSPGLNYGQQCYEGLKAFRAEGDKITVFRPRFHAARMQRSAGSVSLPAP SEDLFLECLRLAVAHNAEFVPPAGEEAYLYIRPVLFGASTRLALAPPEEVILAVYVQP TRPYHGSAAIDGVVLEDFDRAAPRGMGGYKVGGNYAPVWRHAAKAKEMGFGITLHLDS ATRTLIEEFSTSGFLGHKMRSDGKDVLIVPQTENAIASTTSDSMVRLAEREGWIVEKG EVPFSSISNLDEVVAVGTAAAAVPVRSITRLSTQEKYSFRSSEAGNGKLVELSRLMAS IQRGNSADTEDWCWEVTGYPQLPSAAPPAVGAVSSQWSLLMSTVKSVSDGLWNAVHLS RG PFICI_02559 MASSSLGHTAVLACVGIAATYLLTRLFYLAFLHPLARYPGPFLA KFTNAYASYHGWKGDIHLDMWRSHQKYGDYVRYGPNKLMFNTAEGLRDIYSLTAAPKF LKSQGYAPMVHRAPNTLTIRGGKDHSRRRRIMAQGVSEKAQRGYEHRIANHINKFCDL AFPASDNDGADNWSEPKDMAKWCNYLSFDIMADVVFGAKYNLLGNERFRYVVETIDRS NVRMGALIQFPKFAAMKIDKYIFRDAIVARNRFVKFVLRVVKDRLEKGKTTSIDIHSA TSDDADVFANLAAAKDPETGEGFQPDEIAAESSTLIVAGSDTSSTAFASVLFYLADNK EEYAKAAAEVRSKFKTRDEVRLGATLASCNYTRACIDEALRMSPPVGSALWREATAGG ATVDSREVPAGMDAGVSIYSVHHDAKYYKDPFQYNPERWLVDDGTGSIERARSVFNPF SVGARGCLGKGLANTEMMLTIATILFVGDFKFADGEKGNIGRGQKGAVHGRHRFNEFQ LYDHVTCQKNGPWLQFAPRQVV PFICI_02560 MEHPPQYTPTEQELTQILVTELLAHQFCFPVQWIDTQDTILRDF ATERMVEIGPAETLVNMAKKTLKAGYESQDIARGLQRELLSYKKNVDAIYYKIQAEEA AASTSKTPAVVEAAPTPAAAVSVAPAPAAPVPQGVSVPDKALEPIDTLSTLVAVALKK SVGDISKDQTIKALCGGKSTVQNEIIGDLTKEFGSLPDQPEDIALADLGINLADSGVG SKLGACTNALVGKAASAKLPAGSNIASLRNYLDGRWGFKQGLQDRALLLVVARQPSSR LPGEKELHSFLDDVAQDVLRSVGVDPASLSSGGTQGQSAGTATVSSEALHALQKEQRG QDKTLLDLYAKRLGFDLQEAGIQGKKSKAMIEELQSKIDAWSAEHGEAYERGIAPKFD TKKIRKYDSYWNWVVQDILSLFATAMAGQAEKFEAQIRERLSKFPIRVTPQLLDVTRY LLRTLKEFPESPRKEVTQEWLQDLQRACTSANAKRQPSFKNSVVSTVPVLHIDDKGKI SVHEMPRISRTADAMSVMSLDDGDDASSNIDGFSYRSGISTPSVSEAVFSRHPARTMS GADSPLSSTYTSPVYVEGGPSPAGSYPGASSLKWTPELQTKGRSGWRRNDDITNGYLR WFQKASTEGVSFNEKAILVTGAGKNSIGSEIVAMSLAAGGKVLVTTSSYSKATVDYYQ DLYRQHGARQSQLVVAPFNGGSNQDIQNLVNYIYDDASKGGLGWDLDHVIPFAAVGEA GRAVDGIDDKSELAHRVMLTNLVRLLGAVKSNKAQRRIETHPTHVLLPLSPNHGIFGQ DGLYAESKIGLEALMNKWWSEDWNDYLTLCGTVIGWTRGTGLMSNNDVLATGIEEDLG IRTFSASEMAWHIVGLMDSSVASFCDLEPLMADLTGGLSASMNLKPVLDQIQDNINSK SEIKKAIAKEEAIESGEDISAVPEPKKLLKKAQIHVEEVNLPDFKELKPLAANLQGMV DLERVVVAVGFGESGPCGSARTRWEAECSGTFSVDGCVELAWIMGLIKYHNGPLQGKD YCGWIDAETKAPIADSDVKSKYEDYIVEHTGIRLIESQEHDLTTPDKQQVLHEVVITE DLEPFEVSLETAEDFKREHGEKVVITETPDGQFSVSLKAGTTLMIPKAVTFKNGVGAQ LPTGWDPRIYGIPEDIIGQVDPITLYALVSTVEAFLSAGITDPYELYKHIHVSDLGNA VGASLGGVKSLEKMFKRRFLDRQVQKDILAETFVNTTAAWINMLLVGSSGPIRTPVGA CATSLESLDTGYDLIMNGKAKAVLVGGTDALERDTAGEFANMQATINAEKDAAAGRTP KEASRPTTTTRGGFVEAEGCGIQLLTTAKLALDMGLPIRGIIALTHTASDKIGRSVPA PGKGVLTIASEKQNKFMSPLLDIAHRRRHLSHRLHQIEEKRDMELTWLNERLLSMRGR RTSVDTVVDDNITTDEFAEQCRRDIEADAQRAFKEAQNTYGNEFWKHDDSISPLRGSL AVWGLTIDDLSVASLHGTSTKKNDTNETGVVQSQLSFLGRTQGNVLPCVLQKSLLGHG KGAAGAFAVNGCLQMLNTGLIPGNRNADNIDADLRSRDLLFFPSQTYSTPTGIKAFSV TSFGFGQKGAQVIGVHPKYLFATVTEKQYEAYKVKVAARQTQATRFLQEAIYGGKLVQ LKDKSVYEDEMLEETLLNRF PFICI_02561 MASFVPKSEVAAAVGNVTAAMGTLEAALTDGEEAVARRHLRQEA MKLLSSLGDPNEDVWPRIYQVNVSAVIEIFTNLGLWDEFTKSTTISLATIVDKTGGDE VMMTRLLQQLTASGILKDSPGPEYTLTALGKPYLDENHRAFNSFLLQEVIPSIVAMPR TLAEHGFKSPTRESGTPFKWANGEELWTFLGSHPQRAQNMVKGMKSLSTGTLAGTAYP FGEELGKLDVQDGDVAIVDIAGGQGHVMEEVRKLNPQLKGRFIVQDLPSTFEAVPRPP PGVEFMPYDMFTSQPIKDAHVYYYRHIFHDWNDEDVSRFLQQLLPVLKARPRSKLLLV DLVLPDLNVGIYEAARDISMFPIGGLERTERQWQNLLAANGLKIKKIWRGTEPEACVE CEVA PFICI_02562 MFSLGTLAIGGTISRQVTNAIAINNTDFAWYWAPAELCSVLESS LGIVFVCVPAIAPMFGNVMGSTAKKSGQDDINLDNSRNPGTFGKIGRNPKRRTGPGDE TLLCETRLTHLGGHESDMASQRSGCETEDDSKIIGIA PFICI_02563 MEPWQLTATEALAKFKDGSLTVEDYAKSLLARIEKRDEAVKAWA YLNPELVIEQAKKLDQVPPEKRGPLHGVAIAVKDVIYTKDMPTQFNSPIYEGDAPKVD AASILTLRHAGALILGKTTTTEFASTLYGGKTSNAHDPSRTPGGSSSGSGAAVGDFQA PIGLGTQTGGSTIRPGSFNGIYAFKPTWNSISREGQKIYSLLFDTLGLYARSVEDLEL LADVFALEDDEPAAGAPFEVKGAKFAVVKTTAWDQAGPGTRAAMELGAKLLRDHGAEV EEIELPPEFNEAPEFHRILLHSEGRVSYLPEYKTAKDKLHPFLCGHVENEHKISRAAQ LKAFDGMAALRPKIDAIAGRYAAILTPSVPDVAPVGLYSTGSASFNSIWTGFHTPVTN IPGFKGEADMPIGLSLVAPRYHDRHLLAVSKAVGKIFESEGGWERKNL PFICI_02564 MASFTFGSFGDIITLTQIAYKLYDAIDSNGSIAQHISVSKKDLQ TFLGVCASIERAFRGGTAMSEDDVAAMRQVIDDCHECINSFQKYLDKFNDRRQRVRLV RRVQFSLFKKDKITQFETRMRHHGDMLGLMQAKYCSQDLKAHITNSLEPWDQKPMRFQ DALGRRYPVPLEVCNTFDGFLSFLEFAFKSDSSIHLAVQQQRFWLITPKSWDSQSWYI VQEGDWKSIARPGAQLGMSIVTLKRLKADDRYRKLIMPDTTNKLPEIEVQDKDVKEPE ETESEDFDSTTKDEEASDGEVTPPIQMDEVIASLKFDEPMPPWAPYDPNTNFQLLSPM EELPSYAFVDDDDELWLGC PFICI_02565 MTSLSKQSIPIFTKYLHNLSAIVRKAETFANEKSIKHEEVINYR LISDMRGLAYQVQSCCNTVAFFVERVSGVEHVAVEDNEETFDQLCGRIDRTVDYLSKA DTESIDKGVDSPIIMETKMGNFRFESGQTYFSEYCLANFHFHLGSAYCILRTQGVPLG AFDYLKDVFHKVE PFICI_02566 MAENTKARCPWAHRTLIVRAYKHLEDIIDLYMLGDLGHKGWEFD GAFKGALTEDPLHPGIKLLREIYERVEPGFSGRVTVPVLWDKKTDTMVNNESSEIIRM LYSEFDDLLPEEYREVNQPGGGLYPEALQAQIDELNDWVYNTVNNGVYKTGFATAQDV YEKNLYALFESLDRLEGILAGHGKPYLLGDYMTEADVRLYPTIVRLDVAYHTVFMCNL KTIRHDYPHLHLWLRRLYWDQTERTNGAFYRTTQPYIDHYSAGYANSRQRIVTAESVL IIPKGPAIPVEPLKDDEKL PFICI_02567 MFITYLKNSLVSGFERIKETLSLAEDKALESLPEPYIPTAEEIA KMPEKLREKATRALHGSDANPSMLDDPISLKAEQSDYVPGPDEEGAGQSASSPSPDSS VRSSHRETLREKAAKKVHGPNANPSQLGDPISMKAENNDLIPKPEEEGARRNRDSKL PFICI_02568 MDDRGWTWPAWKFGLKREDLFTTLHDQYNTFPSSIQDPEAFHHD VWEISNTASTQDEFHALLADRKELRLRELNETLESASFEIIANPKLIGTEQWQFAIQL FRTRSLDSLVRYFASYLPESHPWHHTHGDDDAQTSTTVSSVSDFLAHSIDTIDSVSTA DSGTPFFDDDDHDFLTHEPLTIHTTSLPASHLPPSPRSMTMCSNSSAVSPIDTDPEDH VLGVLTATRAIAYANEEFDATMDSALPSQCDEDETSQDDDFDDTPFSSVSDIADITHS HGHRDSYAPADYQGWSTVIVTIIYTSTQ PFICI_02569 MGDEYPSEERTEPFSESEMLLRLSRNVEVSKSFESFEDDTVPLD DLIIDDNHHQQGIRILVRPLTRTRSVSPGSDNCEWLALRAEVADAQRPKSKVLAVTQT SKDINFSLRIPGETGLDETRPPIWCELYYDPASDNQILLNRSDIPIALARLSLSLGSD DGATYDQEVIPGTTMELSPGSWRISLYGSDILDFRILAKRPAALWIPQEDSSPPSFVT SNALLNSSGKRSLSPDDSDDEDASTGKRVRTEESIGKDDDGVIMFYPRPTAEPLVFPL PHSPKGKEVSIPSGHALLQVQKDETVSIPGGELDQYFLTKRNQIATTAASSVFTAEHS QVPDGVITVKVLKTRGTNPNARPQDNHRNVIRQADIWLREYRSQEDMKHKSIVKLYGG DARYLSLYMEHIDGKDLAARGVWRDTANDVFLGTRNDALRILKDIAGALNYVHQRRRV HNDIKPANILYSPDRGAVLCDFGLSTRTSDPATNGGTPYYVPPEFIGQKLRGSASDVW ALGVTMLYVLKKITFPDARGRQGHPKQLYWMIAEINRRTHHSSTNKTPSAVYQMQQWL SEVNAAKAKLNPRDKLHVLVSQMLTPSPNQRITMAKVMNELSKDATLDN PFICI_02570 MQFSVYLLLAAAQLITAHFGIEYPEWRADTLTVEDGPYSQYDYP CANVPDGTGNRTQWPLTGGAVSLELHHAWTYVFVNLGLGNNVTNFNISLTPEFLNVTG NGTFCLPRLELPKGGSGSSIANGTNASIQVVTSGRSGSALYNCADVILVTDAPGPADG VCVNTTQSSVVVSANQSTDANSTGSGSASNTTSGAQTVSANNAALAVFLGVAMLFATG MGI PFICI_02571 MADEAGDLQTIRRKLISGSHILHYHNVLDAYGHLSCRHPSNPDV FIMSREAAPGTISSPEDLVEYHVSNAEPVNPSAAKGFVERHIHSEIYKQYPTTKAVLH SHADSVIPYTINGVPMRPCYHMAGFLGHWVNVFDIASAYQPGDKRDMLVRSEHLGAAL AQCFAFEQSVVLMRGHGFTAVADSIEECVLRGVYTLRNAAIQTASLTTLAAYGSRDGP LQPIKYLSPEEAQAAREMTAWSAQRPWKLWVKEVEACSLYQNIA PFICI_02572 MDKLPTELMLMIFSHLSQRDAAKLRVVSRMFNAVGMQYSHQKLC IHISPGHMDKISKIANTKDLAETVRHLVISTNYLVLRRPLSWESYKKEYFDAMGYYFD ESLRTTEKEVGKRYRKEERYNDRMRDIWGLNLDNTLRVVLSSFPKLKKLEIDDETLEV DADNPWQRRKTRRVVMRVNKLTRPHFTLLKQGHSLVMNSLFRIAGCLPHSLDTMCLLC DGKPRRVLPQNPNLLRSIRTLELCICLNKHYQLPFTSYMNLENLVMVGAIDYPIALAS KDGTRMSQLKQLRLEHCIQDGVDFSKFLDLHTDTLECIRMYSISIDGNRTAWEYLYLY VIRKTGAERMGIVGAKCLSVPYGHLPKVVQHYAALSWEGAEAAGRKIRETIEEYTIAV REKRVDKINKFESARLMKNMPFKPLHCIV PFICI_02573 MPEVYHAHPLYGYDRDFKGYGEKGLDPKWPNNAKIAVSFVINYE EGGERSVLRGDGISEPNLRENPGGPPRVNERNYNVESEYEYGSRVGFWRLFRMFNALK MKFTLYAVAQAVEEQPEVVTRCVEEGHDIASHAYRWIEYHDMSVDKEKEYIRKAVTSL KSLSGYAPRGWYYGRNSPHSRTLVPQVYEEMGETLEWMSDTYADDVPYWIDLNHEKES ANPKGCLMVPYSYDCNDFKFHTAGSGFRDPQGFFVHLKNAFDVLYEEGQEGMPKMMTI GLHCRIIGRPGRFAALKQFAEYISQKEGVWVATRSEIAEAFKKNYPYRQGFLA PFICI_02574 MSLQLLLLPVVGIIIFNLLRALITPLRSVGGPFLARFTDLWYAW RVRVGRFEFENLELHKKYGTIVRYGPNRFSFNDPEALKIIYGPGSQFRKSDWYSAHNV PKPEAYERWSMFSTTDPKQHSEQRKPFTNAYSMTSLVSFEPYVDDCANIFEQRLTEVA GTPVPIDFGHWLQCYAFDVIGDITFGERFGFLDSGRDIGGVMAALDGFFGYASTVGVF PSLHPYLFKLQGLLAGKEGTGFNYVNNFTQSQINKFRDDPKRAEVASLQDEGSMETLL AKFFKRNQEDPNRFTSYHVFIGSGQNVGAGSDTTAISLSATFYYLLKNPSTLQKLREE IMTKEEQGQLSEQPTFKETLNMPYLQAVIKESLRLHPATGLPLERVVPEGGATISGRF FPAGTIVGVNTWVEHRNPKIWGDDASEFKPERWLIDDAEKLSFMNRHWIPFGVGSRVC IGKNISLLEMQKLIPRVIRKFDLEIDGPRDKTWKTINRWFVKPQDFKVQVVLREKQTR PFICI_02575 MAMVAQNNLLLKAASKQLCKAFGIRLVKDTQIVQLAKNASFDAL FIDLEHSTLSLEDAGNLCRTGLQLGITPFVRVPWKCGDGFVQRVLDAGAMGVVFPHIQ SQSDAEQAVAISKYPPFGRRSMTGSLPTFGLRKTPASTIMAESNANLSSVLLMVETKE CVANIDAIASVKGVDVLLVGSNDLSLELGVPGEYKSREFQSALETISQACKKHGKMLG FAGVYENRELQEWAIQKLGAGFILGQQDSGLLARASVEVVNALEAIEGRQ PFICI_02576 MPGRYLAELKRRSGDDGEISRPKRARHVPSQETDGLLPRAPTDC SDEPGRLEEVSRDTLNGNKSTQSVPPIVNPLASGLAEFVTDNNGRKCFLGPSSTWAYS QQVMQMIKRHLPDGETRKVPFNPDGSAFVIESPAIKPTGPLTMQQLPSLDFGLYLVNA VKFRLGQLYHVFDEQTFMPKLYDFYSNGGPSREPLLEDRLWYVQYLTITGIGHALLFE FIEEKPAGFVLIARALELLPDILRLYQDPLLSIEILCGLALYLQCMDHRNSAYAHIGL ALRLALSKGLHREMASVDMNHAETRRRQAIWWTVYILDRKFSSLMGAPTSIHDEDVTV PLPGPVNSHAVKGLSVHVAVSRLLAQVVNSIYGVDGKVGPSFLRNMQGVLRSMAALVP ELERAFEIKLDSSRPISRVAATLNLYYHQCIVLATRPLLMCLLRNFLEQRNSEKVSRK EVTEPIKALLKASRDSATKSLAILAVLQSQQLLDVFVPFDLESTFSCAFVLSLMSTLP SIPAQDIFYVDKSFAILNYMIQRGNRVAEYRKGELENLFGFLEALRNSTDQVNHAESS DNTAESMQTPGISIARTNDALMHPPESNMGALESTLGPLNGLSPDTMLLIAGLLDWEP TDDLFQYPLSTEWLWAEPQVLHYTADAATKTTEGASGNS PFICI_02577 MNSDPEKQSPQEDGLSRSASNTNGRELHKGLEGRHVTMIALGGS LGTGLLIGTGSALVKSGPAGILIDYSIVGCLVFLIMAALGEMISYMPLSQGFGGYASR LVDPSLGFATGYCYFFKYLLATPNQLSALALIVKYWVGDRVSPAVFITIALVLILLIN SISVKTFGEFEFWLSSLKVVIILGVIFLLLILAAGGGPTGDCPGFRYWSDPGAFAEYK VDGAKGRFLGLWSALATAVYAFSGTELVGVTVGEAKNPRLNMPKAVRMTFFRILFFYI ISVFLLGMVVPYNSTDLAFAVGSKTSAAASPFVVAIKLAKITGLDHVVNACLLVFVFS AANSDIYIASRTLYGIAADGKAPRIFTFTSKNGVPIIALGVCGAFCCLAYMSVATGGA TVFGYLTNVVTIFGLLTWVSILVTHICFRRARTVQRIDAAYIPFEAPFGTMGSYVALV PLIVIILTKGADVFVGQFDYKNFIVGYIGIPVYLVCIFGYKLVKRPSRVTSATADLVT GVPTMTVAEERAQFEAERKEKEAAHSHSGMLVKVYRRTFAYLF PFICI_02578 MSTPVRKAAEILDSIQLESFANDTERYAAKEAARRLLSRIETPF ERGWTLTFETPVLIAALQTLRNLGLWSAWYRLCKENKTADEQSLEHIVSLCQQQGNAD INLLPLNVVEETDVDKWRPTAFSLALGNEASHLHHILDFGTDHSIITGVNLPRLLQKY NYHEPLDTKKADNYTDVNGVDFFGLCEREPHKADSFEGLMTALRNHKMHWTEVYDTRK IVENADLAKPLFVDVGGLHGLDTAQLLARHPDLPPDVLFVQDLPNVVAADIEHLDARI VKQAYDFFTPQPLVGARAYFFHAVCHDWPDAECVRILQNAAAAMTKGYSKLLIYEIVL PARDASSLMTTLDLQLMNIVSGLERTEGHWKELLAKAGFKVVSIARHFRAVESVIAAE LA PFICI_02579 MADNALVECRAEYAIGTLIFILRWFAQWRVRGALSWSWIDWFSI SAWFWFTSLYIELEVICVLGAPVGFTQEMREALTPQQRISYEAGAKIMFSCFFILIFL VWSLKGCLIFLFLSLTRDTRLYRYVQIVGIITALACLMATITQATHCLPYHRNWQILP DPGRECSTGYTTNIVIATGNVLTDVLLLAVPFLMLRDVNMKFWRKIQIGFLLSLGLFV IAMAITRCVLSIGDTAAVALASSWAQREALVAIFAVNAPLINPILSMDFWRVTKRSSR DAPADDSDRQSARKERLGKPKFNDFTLYKMTNLETTSRESTTDLVDRTAVSLESAPGT AKTQVSTC PFICI_02580 MASRLSITDEFLALAKVANEAKTTVELELMVAPEVFEQILGEIR SLVGILFELHSRTVESTLTDVQKERIHEIQADCRHVLADTEHALSEQSSNLFFGTPSD EPNEVAQVRERITSIIYALDEAITRPNCEVLDWLVPNEYNTKVQSDHMSKRATGTYQW FLDSPDYETWTNRKGEKLFYYGDIGVGKSVLASTVIASLQESYILADNVATCYFYCDS IRTKEQSVDHVILAMLWLLLRRMPTMPQPVWDLFKRHEKEYTRPTSDEAHEAFCSVAS SFSKVFIIIDGLDDCRLSEGCHKDTMTALLNLQAQAGANMLVTSRTMPRITEASSGHH WVEFRASDEDIAQFVRASKNRIPKSVWSKSSFKSKIVRNTVRDANGVFLNAKLQIDSL AETRKKKGLTKSRGSSVDKGSIYKNAWQRINSQRHSHKELAIKTLIWVVYAQRPLTTI ELQHALSIQTDESESSKGVPPTIEDVVWSCCGLLAIDQELDQVRLVHHTATEYFMAMA DQGAMWIQNPATMITDSCLTYLSYTAFESGECGSDVEFQKRLASYPFYDYASNYWGHH AVSTANIDRVRLFLKNSSLVEASSQVLFSVPQDQDDHGYSQRTPKGFRSVHLSAIFGL GRLLGSDGLWQDDDLGIDTPVSDGRTLLSYAAEQNQNALAQLLLAKGAKFDCQDDNLE TPLLHAAKHGHVNIVRLFLSQWSSFQSTDIYQNAALLHAAARGHAPVVELLLEQYGCY ASSIGWESKSDKKRSLRDAKFQGLDAVVRLLLKTWARMELPDKTKPTILSYAIESKHD DSVQLLSTPEQWNTYMLDELSFLVVENRSEEIVELLRRDLTFLPSVDVYLWAINNQHL ETILLLLERGPCERFGEVSWEKVLLAAIENGDLALTQVILDFSRHLISWRDGLGRTVL FYACDFGELVIVDALLDKYDADGNAQCTLGRSPLVSAAYKGRYDVVNNLLSRQEIKVE TIDHLGRGILSIASEAGKSSLVQRLLERSDIDPDSSGNLRRTPLSWAAAGGHSRIIEH LVRTDLVDVNYADTDGRTPIFWACHQGHQEAVLQLLDAACDTTIRDKSGQTAMHAAAK NGSDALCKMLLGKVDPDVFDYNQNTALMLALDNEHHKVLLLEKGSNVNTADGQGRSLL ILSVIHQQGPILRLLIKNNADVTLADGDGLGPLEHAVQIRDCESIHELLRAGSDTTMI SASQWYSAFSKQNDHLMLTQEQDGQKTITFHPPTKPPVWPDSSHADLRRRLL PFICI_02581 MSNSTYNIVPIHWGSRGIGIPDWFSEFTLCLSPLIAHILSGAPR PSYLTSNRPKWHDRLCLLNPISIVWRYAAITERRIQTRDWQPKDIAVANAVFWTPRGW DGSKIMRWRAMSYMSYLPQRNRVEFLSLEMLKTIILFLQGVQAVTAILCNYTSTGDFT SFDTISGLFIPLGIAGLLRVFAAPWLTDDFNFGISSHGAERPLRSTNGRRTMPLLMLD DIGYGYGQKEQYQSSGAADGHPWLCGILRGLFMLPVVGSWALSFIYVTPWIGSFGLKG VYTTTGFVFGLYYMFLTTPMLLIIGYYFCKGPLETTIIPCISRVWYKVYCVALTLFTI ILVVITAVETRKTVCGKYTSHATGSQHPCATGNTKLIEIGPTSDQGFGIIQAVSGQTV VTNLTGSCIGTLTPINH PFICI_02582 MASVGDVASLILRLSDLVEWCQGIHLGPKERQAFLEQIDLIRFM FMGLRDNQLLVIASTSEHEQLKWTIDLVDPKLEGLQSQLGRSSKRWKEYFKRLQRSTK KAEVQAKIASLRQTAQDIKSLQDIAKIGQDERERIRDWLEPSLAKKLHDTNYIQHLAN GTGEGLLHSQEFKTWLSAKNGVLWCNGNQGSGKTGQIKVIEQWFRDPKNRSRYEFAVV YCADEELRSVQDPMDVIMSLWSQLVPEKGLSQLKFTQESLKQLIMAHRNGGHLMLSRE DATRLKMDILCYHIEHSRPTVLILDGLDEVPSSTPLQQEIVRLLMEVQERSNNCHLLF ASRPYISIHTIFNHHAHHCTFFNYKLRVSELDLKKYVEEQARINYWKIGNQQDSIQRV VDVLVPKCIGEESFLLASFYMNEILKTKDLLQLDRTLKSLPKTLGGTYATGLRRLSKE CPEPQDGLPCKAIQALYWVTFAKRPLRPEELEHVLAIYEDESYTDLNRDGLMSLKAIE SLCSELLVVDSATAQVTVGHKTLADHLKHPETIKEWWPNRCPNEYMASILMKYLSFEC MKRSSGTGSKKDTLDRQLPLLQYALQYWGDYLADVRGSAAIWDQTFDFLTGPSQDWNR NIKMKAADLVAQRGETWVLRRTAAVSPGNLSPLHWAVNFNFLALVQRLFEHEKCHSND NPVSISPLGLAVSQGRLEMTRCLIENGADVNDKEPVNRDRRPPLYDAAFCGSIACAKA LLDAGADCSARKEDNEESVLWGIYWVDRIEIAKMVADAISRNEQATAEGLEFLVKGGF AHQLEQALRRGQDVNLVCHNGKRALDYAYELDNRELIDILKSHGAVTRLRWPAFQPKS SAMPQNLPEAQPAGPALIKQTSFKEGGRIYQSWGHVVLDVTIDETCQLPVRSLVFETV SSDQGWSAGTGKGTYLGSAHGSSFVVRIRNQDKEHEFALQHNVHASRQPRLHTNVWNL SDLEETFPVRAQCIKAMKHGSSVQIVANAKGQGWINKVQFARVRVYSEEVNL PFICI_02583 MSTGSVAETQQKPSQAPAFSYEPLEDAAKQIRLVEIVQQTNNCL AIHLSQHDVLNAPEYNAISYTWSDGGIIRMTDITVNGQAMRVTKNCRYALEQAHSHHH QPPPTQSYFWIDSICINQNSNIEKQHQVAMMGCIYAQAARVLACVGPHQDNSEDLAKI LEPMKDLRQKHPAQLNHWSKTNECNIDKADPILKSACLDLFWNPDTPDYEAIWGFDLK LLNFSERYYWTRLWIIQEVASAKELEILCGSDKFSRSDVYLLHWIAAYCSGFPGRSST PVGREASPNLQAFYGDSNNQSFSHFKFVLSCNSIDRVPALTALSFQSPFGCSRPEDRV YGMLSQIQWPETDPPIHLEPMYKPSVNFDLAELFLSFPKCSHENVMGIFKALEICHDL DKIEELVAARSRTSKEPQNSSKSSICQYDLNIWTYEVITENNMGQLTARLRCKDGVIN YSPTMLNMIDNAPKLLYAESRIAAVLCSEARKGDLLAKMPFGECLLVLRYSGSFDEYH IVGQGLLLEGYTIPRFRIQEEILMKIMDKHRRSFNHYLADHTWLVREGFERHRRDPVL CSMYLQKIYEGERALQNHKDDLQLRNERLGIIDTGLGMVRKLLQVQTSLLGETRRSRF ESRRNETPAPFILELEPLELLLLGCQDLEKDGSRNRRKMIQRLNTTIHGRAFGPPRPE DSE PFICI_02584 MGNVLSQFFFIPAAPITEKNCPDQTGRVFLVTGGYAGVGFELCK ILYAHNATVWIAGRSESKAQKAIASIKESSRGSSGHIHFLNLDLSDFPTIKPAVDSFL AQEKRLDVLVQNAGVMYPPDGSFDAQGNDLQMGTNCLGHYLLYQLLEPLLAKTAASSP TASVRVAWAGSIAVHISCPQPHGVELNEDGSVKDLGFRQNYGQTKVGNVFLGHELAKR TPQTGIVHASFNPGNLQTELQRHWVGLDRWVTVSRVSSTLRPSSHVSSPECC PFICI_02585 MPERRISWQPGFTLSRKPAKRPTRPRNRQQQFEIVVEQPETFHR RAKGQPATTTSQYQELFLGDSSKRRSDPETPNRASSNGVVEHDGPPVDNAPPHSSCAV ATDSAGDATRPGPSTTLTALSGIIPPTVEYSGLVERFRPILTRYNSEFCTIPLTFKLR INPFRYRMDLDPEPLFLVHAVCALAGHHVQSTSMLTHRHAALQLLRHSLDTLSDIEAM YSVLDTIVILFSLDETQSLLGTWSIHLQGAYRIVESCGGIQRIPLSSRLETQIAILTW WDAIIALLSREECIFPYNYFESVLSNLHKREWDFFGLCGCPTAIVKIVMRLARLSTTN HRISVGLDSPPDDDTVNEIIHSLESWSHVSNLTSLHDEESMHEDIDAMHCSEAWRGGL LLYIFRVFQWTPGSTVPMHIIYRARTVVDHVIACRDTAMLSRQALLPLVFAGCELQDK STRTGIVRLISDWDQRTRYHMFRGAIPILEEVWAESDEKGLENVWWGQIIDRQQSSEA DTLKLRICFG PFICI_02586 MAKDYLANQLNAKVHDGEVDLDDNIIAQFPKGAVVTSSRGHGAS NWNETARIDIELDGDQKSYFLKRTKGQVGGPMLHGEFESMRMIHAVIPAFSPKPLSWG KCTDSNIHYLLFEFHELKKGLPDPHRMSNVVAQLHTRSVEHNTSGKWGFHMTTYNGPI PQDNTWTNTWEENWIRAMRQLFAHERGARGPSDELDQLLPAYFEKVCPRLLRPLETRG RSIQPVLIHGDLWIGNMGTQAGSEDPLMFDSSAFWGHNEYELSYMRPMAQESGGWAEK YIESYHKLIPRSEPQGDWEARHALYATRVVVVDSALYKDKENFRKILIDLVRELVERF PEGYTGKE PFICI_02587 MRFPPPEVIASWPKPNYKDPENRGPALLIVELVALPLAIICVAL RLYVRIHLIKRSGWDDWLMVAAVFFCCGVTVSVILATQLYGWNIHVWDLTFREMIQGR KVSIAGQTIFVFASGLAKLSILTSYLRIAVPGSWFRRLTWATIGIVAAAIPAFLLLLW LQCIPAASYWNLFSVERDCIPEAPPLMGQTIVTVITDFIVYILPMPTLWALKLPLFQR IGLMTVFGFGCVVVVAGCMRSYWIYHVEVQTYDVTWEGFYLWIWAAVEVNLGVICGCA PVLKPLLFGVAQGKGSQYASNAENTSFGFSKLSKISKAKMGMTSTRRDDDNNGYITLE SLEAGKGDFDSSVSVSRPPKAAVPGIVVSDESHWATKGGYKDSF PFICI_02588 MSKLIVVFGVTGTQGSSVAETFLRLPGWRVRGTSRNPQSETAQA MAAKGVEVVQADFNNQATLAPALEGAAVIFCNTDFFVNMLDALKPGAAPLPAGCRSVN EHAYHVEVAQNVAVAEAAATSPSVMATLERFVLSSLSHASRWSKGEITSLWHYDSKAE VERVIRERFPDLAARMSTVQIGHYVENWRALALMAPQRQDDGSFAVLRPHAPNVDIPF VVARRDTGAFVKALVDAPAGTDLLGASEIMTWPKWLELWGRINGVKASFKQVPQADFF QSVPEPFSTELWDSHAYFEKFGHTGGDPKVVTPEQLGITKTLTTMEEYIRSEDWSSVL ES PFICI_02589 MFQRFKSAIDRTIAEEQARQSEQRTVSPSGNRQRSLSRSNSAKD SPAKRRAKKPGQDAANGDSLPNTDPAVFEAAFALDEEEAEAKTLVEQPLGDSEKNGST EGLSAEKKSTEGDSQVREKTEDASAAPNEKDGKQEAAPAPAELPLEVKTRLRKLEKLE KTYPELLRSYRIAHGRATSIEPFERALRENTPLTTIKDPAALIEYINNQNLQRDMVRD EFKRVSAERDSFKKNAEDADKELLALKEQLAALKATKPEETLQTDSATKAKDEAGSKE VPSPAVKPPVQSNENADVGEDMFSYEDEIPQLQAELTSKSEEMAKLKSEIGNLKDELA VAKENSAGLVESLEKATRELNEAKDTSAVQTSLQTQLDARVSEIESLTQKLEQAQSQL REVESSLEKEKTSTADTAKEHQNALKAAKDDKTKAASQLRELQKKIEDLTSEIDSLKK SKTEDLDKIEKLNKQLQDVPPSPSLVPPAPSDKTDAPAAATATGGSKKKNNKKKKKGA AATATAATGEPAATETAPATPTVATTEIPEASELREEITKLKAEIAEKDTRIEKLSVQ RKTEEDLREELETVQDSLLEIGGNHTDAMQKIKELESEKATLKERIKELEVEVASFTS NAESSSKVQSELDALKNEYDELKTKSQTLTSDLGAAQQLAQTRYKDLTDLREVLQKAQ PELKSLRQDSATLKTTKEELASKQTEIRNLEKREKELKAEVTRLQRVASEREGEMRNL NDRLSIEAKTRAKLEDEKRVAGRDFRRSEAEKIEISAKAEKTARELESLQSELNNVKP KIKALEDQLEKLSKERDSLKEQAELKAAQYNSVQGLLGTMRDQTSELSTQLKESRSQA ESLEEELAEVQKHLQERTREGETMRRMINEVDSRADAKVREMRSRMEAAVEERDRIED ESSTLARRRAREAEELKSKVRELERDVKTLASEKEQLETRENEWRRRREELEEVEQKA SAEVEDMRSAVSNLRSALDNSETQVREAEKQKADLRKLLDDARSRYEKLNKELKTAQG RLAGAGPANSSRSSIDSSRSGTPVNTGGAQPPVDTLYLKTILLQFLEVKDDKVRSQLV PVLGKLLRFDRNDEQKWATAVQNLSKPGR PFICI_02590 MLYQGDDSSPASFEKSLSTLSKKIGATQLQLDKQRSSSRRVKFL GTLYLSIAYLIYAIVLLLVVGQKNLGPWDWTGLAGGPVVLYVYRTLSDAYFTFRVDKL EEKLKYQQTERAKIIQKLKDATKYDTTLELLEKYGGEKPKPKRTKSQQLGDGDEPGQK GQQKNKMGGPQGMSPGGRLNIPPPPTANIQRPPSAAGPPQTQQLQGPYPPPSRPQSSS NGPHDFSASFAPNAEEMPPSYHQYDFNTGPPTWYDRVLDLMMGEDETAPKNRIVLICQ RCRLVNGQAPPGTKSLADVGQWKCMGCGTMNGEMDEGKKIIQDVLGQSQGDHVDEQSS AASEIDVESDTAESNERDAAKEVKAVRRSGRNKSQMVP PFICI_02591 MAAQVDADTVNMALFYGTPEEKQSFCDTLVTLLKQKGCIKIQNH RIPADMIHKCFAMTRQFFNLSLEDKMKAKHPPQANPNRGYSYVGQENIAAISGFGKGD GYGPGTTKDVKETYDMGAANDPLVDNVWVPEECLPGFRSFMESFYDEAFKAELDIISA ISLALGVSEEHMRTLHNRAENEFRLLHYPAIPASTLADGTSTRIAEHTDFGTITLLFQ DSTGGLQVENQDQYGTFHDVISSAPTDIIINIGDSLQRLTNDTFRAACHRVTYPPSVQ AGDGDKVIPERYSIAYFGKPNRAASLLPLKEFVSEARPCKYEDVTAWDFNNKRIVSLF S PFICI_02592 MARIKADQLHKKSDPSTQGNTGASADPIVTRLANADKVPWYRKP HLRTLYLLLFPTCMGIELTSGFDSQMINALQIVPSWVHFFGNPQGSLKGIISAAYSLG AILSLPLVPIVNDRLGRRWSIFIGSFIMIIGSVIQGCAVNVGMYIAARLILGFGIPTC IVSGSALIGELGYPKERPVLTSLFNVSYFPGQIVAAGICFGTNNIPNNWGWRIPSLLQ MCPSVLQIAFIFFIPESPRWLITKDRSEEAYEILYKYHAEGNHESEIVKAEIAQIQAT MQIELEASKKSWKDLVATKGMRRRLFVTSMLGLFTQWSGNTLISYYLSDLLSMVGQTS SVFKAKLNVGLACWSLVCAVVCASVVTRFKRRSMFLACAISSLVVYICWTVSMERAIA ALDAGTTNPAAGAATIFFIFAYNPCYNIGYNALTYTFMVEIWPFMERSMGIAAFQFWG RGANFFTTFVNPIGLENASWKYLISYCVFLAFEVVLIYFFFPETHGRTLEELAFLFED KALADEAVRAVEKSIAHHDEGTVHEDKAAQEIQTQTKEV PFICI_02593 MALVFHGTVIHSLGPTELEILEDALLIVRADGTVQSLDAGVAKS SVPNLLAARGLDDEVRYLSRGEFLIPGFVDTHNHAPQYAQRGLGQSMHILDWLDNVTF PNEARFADVAYAERIYGACVDGFLRQGITTASYYGSMHADATNILADLCLKKGQRAFV GKCNMNRNAPDFYRDATVESSLGDTEKCIEHIRKIDPESKLLKHVLTPRFAITCDPEL LQGLGQIAANNPDLPIQTHFNEAEQEMNFTKTLFPQFDNEVDLYNSYGLLNQRSILAH CCFVSEYELTQLKELGCGVAHCPISNMTVGGGFMAAPIREFLRRGIKVGLGTDSGGGF SASILDAMRQALVASNAREVMSQGRDKGLDLAEIFFLATLGGAQVCCLEDKVGNFRVG KHFDALLINSSRPGVMTMLEDWDSTRTIFDKFIMTGDDRNIELVFVNGRRVKP PFICI_02594 MRFQAPQLGALGVTFTALRAAQLLSLLTIIGLMANFINEIVSAQ RDAPDVLIGTLVVASTASLYIAISYILYYDGLLPLLVAGGCDFLLLIATIVVAATIGK PLSKLTCETLPAAGTSTIASGSQVFVASSSGVTYRSVLKKTVDYFTYVAVDQPHCYEI KAVWGLSISLCVLFAFSAIVCVGLWRRIKGAAAANAPKDIEAAAAAAPPMGTYEEKGA CFQVIPPPPHQAGDGGISGPLALSSRSLGTGVLNHGPAAAHPTFVPSPSAPIPAPQAA PILNFRGIDEDITAVPPPPPMIYVNRPASRGRSLTAQRKSIMMPAIPESPLTTAAHED SIPAKHKRIPEKIRTQNLEVPPLTPTSDDGYTPVNTPANALSSAFSPRLKTKIPSLPS PRDALGIPISKIKSFAARSVGRVSPVDADDLEPLSPSVVRNADGLPVPVQAREKPKRK TVWGMIEGWWDLNLLDRGKSLRRK PFICI_02595 MDFNSLKDTVSNLTLYDVKAGVRKVQNAVMNYTEMEAKVREATN NEPWGASSTLMQEIANGTFHYQTLNEIMPMIYRRFTEKAAEEWRQIYKALQLLEFLIK HGSERVIDDARGHVTLLKMLRQFHFIDQNGKDQGINVRNRAKELAELLGDVERIRSER KKARANKAKYTGVEGGNMGGFSSSSGGRYGGFGSESAGYGGSSSAGYGGYTGGGVFGD GGGFGGQESNEYRETTRGNDRFEEYDEYDEADAGGSSSRTRRPERTERAGVKKTIPSA PPKKAKEPEVDLFSFDDPAPAQSAPVAPAAATSGASSGLAALSSNDDDDFDDFQSATP ATQTSAPSNAFSIAPPMVTSSAQGTAQFAAPQPVSGPQNANISSMMSAISPPASANAT PAANYSAFSAPTNLMSPQASKPTGYQPAQPNYFQSVQSSQPAQQVQKTNTGFSSGSAA SGMSAFNKPAGAPAAKSAAAGGDPFANLFAAAGGGAKKTTSIGSGPKLGQLAKEKTSA SLWGAPAANTSTPKPAQSTSGSSGLDDLLG PFICI_02596 MSSTFRPLLNFSPRLCSRVSSSSSTLRPNLFTKSAFVSSSIPSI RYFSATTANMTKAWFKVEWEGPSVDANGRPTGPAKLQTGTINFNLFSDVVPKTAENFR ALCTGEKGFGYKGSSFHRIIPEFMLQGGDFTRGNGTGGKSIYGEKFADENFQLKHTKP GLLSMANAGPNTNGSQFFVTTVVTQWLDGRHVVFGEVADAESLNVVKALEATGSQSGA VKYSKRPTIVDSGAE PFICI_02597 MDPDKLSILKNQLYDACVQKLDGDDDKAWQKVFSQEDLQNMDVI PPKDLKTLALVIKELTDEFLFITISTTYGAAWRLRPESEAIKYHGLTQDQNVIYSLID NAGAEGIWQQDMRKRTNMRDAILRKVLKELESQKLIVSFTSVQAASHRCYIKASIKPS DKATGGPWFADGDLDESFIEMLLAVTHNLIKTSGTYLSLRGEATRSASSSPVLPKKVI NGSQSEAALAARGKKRAADDMAADDDPFGDSPTKSRKKSSHPVRIPMPAGYKNYLTLT EITQQLAKSGVTKGMPLKESDIQQLVDVLMYENRIEEVKVGKRLGYRTIRSSKLNTTA NAMTLEDDCLEPPSNGLTTAPCGRCPVFELCEEGGPVWAGGCEYFDQWLV PFICI_02598 MSTADELKALGNKAIAEKKFDEAVDAFTKAIEIDGSNHVLYSNR SAAYASKKDWANALKDAEKTTEIKPDWPRGWGRKGAALHGSGDLLGAHDAYEEGLKHD PSNAGLKSSLASVKSAMERDAGGDPLGGMGNMFKDPNLMQKLMNNPKTSGYFSDPSFI AKVQQLQQNPQMTPDLFSDPRMMQVLGVAMGVDLDMRAPGDMPPGAGASPSGAKEAEE DVEMPDARPASNPQPKKEPEPEPEPEPEADEEELAKKKAKEEADKEKALGTENYKKRN FDEAIKHYSAAWDLYKDITYLNNLGAAYFEKGDYQACIDACTKAIEEGRAIYADFKMI AKSYARIGSAYEKQGDLDAAIDNYKKSLTEHRTPDVNTKLRAAERNKIDTAKKAYVDP AKAEEAREEGNKKFKELDFPGAVAAYSEMIKRAPEDPRGYSNRAAAFVKLFEFPSALE DCDMAIKKDPKFIRAYIRKAQVYHGMREYSKCLDQCNEASAVDNEHHNGANAREIQQQ QEKALQAMYAARENETEEQTRERLQKDPEIMSIMQDPIMQSILQQAQSDPAALQEHMK NPGVRSNIQKLVAAGVIRMGR PFICI_02599 MLLHWLSFACVTAVITASAIPARDPPTRVIHERAETCTPKAGGS ASTDDVPALQSAITSCPSGTILIPASTTYHVNSVFDVKGCSGCTIQLEGTLQMASSTD TWNGQDAQILVDDITGLNIVSSSGSGVIDGNGQASWDRFAEDSSYDRPTMLYIAGSSS DVVVRNVKMTNAPNVFVSATSGTSKIQLSSLALSAVSTSDNLPKNTDGFDISGSYVTL DDISIVNDDDCIAFKPGANYVTATDITCTGGHGISVGSLGSKSGATDTVSNVYVDGVT MVDTTKAAGIKLYPGGTAHGTAVVRNVTFANFVVDGADYAFQVQSCYNEDADYCAASP STATLTDIVVSGFSGTTSDKYEPTVANIDCPADGTCDITMSGMTVKAPSGTGRYLCAN TPSNLGVTCTSGASG PFICI_02600 MRGTTEIILPGGCSFKVWGPSQPSYPYFPLGPDINHGQHCLCRD EYGVMDDDTVFMALWLRNWAEWNAMRVLAHELQDNQHSRWSAYDAFCQRYLDWAWVEW DLPSIGSRFSASHRTEQPIQWFWQSLAGARDLSHCLQPDHARRFVITMQQPPDPSWGY ANIMRSFEMDHGQRVASTQNASNSHTSHRTYGASSTPRPRSSFYADSVLSPEEAQFAV ASAEMEIENSMARFQHGLDAEVQRYRRQYG PFICI_02601 MGSMVGQTLEATILAEFDRRRKEGVIFYDDNPEIQTYNFNGFQF EFAVTAAISKKPYIKDNGDEPAPNGTTAAKRPPGYAPGSDIDISGYEIGDVSPTHLWA FNKFCMYRPHLLLLTKDGYRRQYEPLDLDDIQSAWGALESLGWNYFMFFNCGKEGGCS RLHKHMQLTPYLKDRLAPWPEKVVTAASAKKPAGVPYEYILRRFDADLGPKQITDMYE GMMNEAARILGLDPTAHIPHNFMLARNWMLVIPRTKAGYGGAYSNTLGMLGMVSVANR DELKCWMSQGPHKIIQELGVATSHTNGA PFICI_02602 MSNIMQYRRLPGPSSGDAEMQTVADLLPVEANKITRFDEAQIQK DAADTRIIVHHRYPDLVKNFLDHKREHGSDIERHLYKDDASWTWQQQVRRLVEKRPLM FMGGRDMTILRDGTSVGSLFREWDRVGTDAEVSSRWLKLKDYLSYDEIMLGSLLGVSG PSYFINDGNRYNNGQPMREGTFIPRGIVVGLVGARYERDDRMDSVFCKPAVRSPKQHP DLTRVFTDFFGKRPNPSRPFNLSMYKARMKITIELLLCEANDRAKAAGKKAYVHVVGL GLGVWSPPGVKKVPEAYIEGFMDVIEDDENDDDKYANIGTIDFSYITVPQSVRNDMQA VAAKADMDAIFSMRSPGALLPAHKQNELLIVSYAWDGNSFPGNEYWGGSLSASGDPAQ ACMSTIAELHNPILNAGFLDNIKTLP PFICI_02603 MDGQGSQTPQNQGDYGDDWARGLTAQFEQLMSTRRMNDLRQARA DRLRAESPALRESSSHSNLGSPFSPDHSRPTTSHGQSQGHSAAPPMPPSYSSLRHLPL IPTQPAAHDRESQKFRNLMIALSATPTKYENPGLLDEALQVIPLDRIYSEAEEESQVL QAQAESMGDGRKPEWGHQDCVIRALLRWFKRTFFTWVNNPPCPVCLAPTIARGMTQPT PEESARGAMRVELYECSNQVCRAFERFPRYSDVWQLLQTRRGRCGEWANAFSMLCRAV GGRVRWVWNAEDHIWTEVYSDHQQRWIHVDACEEAWDNPRLYTEGWGKQMSYCIAFSI EGATDVTRRYVRKSEHAAERKRCPEEVMLYIMQEIKQLRRANLSKDQRFALEKEDSRE DRELRGYVLASITQAVTNMVPGPFPRVQAALPVPPTRPPPNQVPSSDDHKLPVEQPGR QSGNAEWVAARGENGRRNQQFQDPQDPSRRGF PFICI_02604 MPTILITGANQGIGRALTEAYLSRPNHTVIGAVRNPESTTLKDV KPGEGSKLLVVKIEATSETDPAEAVDHIRAAGITALDIVIAVTGINPASAFASVNDIN IEALHEIFNVNTFSFVSLFKAVQPLLLTSSNSPKLLALSSSGGQIAEMEPTIPVKVGI YGASKAALNYLVRRVHFENPWLTAWVMNPGFVQSASGNANAKLWGMEQAPHSMELIIP ALMAKIDAATRAETSGNFYNFDGTPLTY PFICI_02605 MFGSYKAVLYALCASTYVTLGLGTFDINSKNNVAVYYGQGYNQK PLNVYCQDPTIDVIILSFVYLFPAQANGFPGLDLGNQCTGDTYPGPGWAGVNDTSKNA LHKCPNIQRDLNYCRKVSPDKKFLLSLGGGTDGYQLTGASNGAKFATQLFYLFGPRQQ NLVNSGYPRPFDYNNIGFSVDGFDLDIEHLSTDNAAGYKALVTQLKRLFGTVNQRFYL SASPACYVPDPNNQDMLSTVAFDILFIQFYGSYECSAARWAKENPAYKVGGPVAWAGF TFDKWTDWLSNTPSKNARLFLGLPGSKAAADPGYAISVASASNLANAYYCRPNFGGIS IWEATTADTSISKGKTYYQNLKGALQTASSNAARCSTDGMAMFPISMDNRCGVSGNTN FGTACQNQCCSQYGYCGQGDAYCGLGSCQPGYGSCDEDDE PFICI_02606 MSSPRASSPVNAPASGASIGRPSSPTPPGGARTAIRRRAAADQK EKIANARPSSTRAAGAGGSTSTMLRLYTDESPGLKVDPVVVLVLSLVFIFSVVALHII AKITRKFSS PFICI_02607 MWASIRVLVLMVVVAAFAELGIAADASSTTSTSAYTEALSQLPT CALKCLIAAVGESSCSATDVACQCTDAEFVSTAELCVMMSCTIEESLVAKNTTQTMCG APIRDKSTEYTVVTTVLMSLACIFVLVRLGYRQFFTATDLGLDDWFILLTLLNCVPSA VINVSMLSNYGLGKDIWTLTPDEITSFARGFFIITLLYFSEVFVLKLSMLFFYLRIFP SRSVRRVVLGAVAFDILFGVAFIITALLQCRPISYNWTNWRGEGGGQCIDISAVAWAN AAVSIALDLFMLGIPLSQLRQLNLHWKKKVGVALMFCVGTFVTIVSIIRLASLVEFRE STNLTWDYFGVALWSTVEITVGIICACMPAIRLILVRIAPKVFDVTLVRRSKYYYGNR KSKNITASQAARWRASTAWDPKLSQPSTDASKSAASRNSWMPPSVRHSWRVSRGDRIM ADGILFSRVAPPQEQHLDEVDEDDQNRLVALEAIGPTKPHSVVLISGGVRSPIHSSRG HSPDLDGPAHAL PFICI_02608 MLSLQSLAALVCNVIVHLLFLNGVAPLLLRSTVVAALSVSHTQS SRLLEARANESCRDPLVRTEWYINFSNQVMFLIFTKFIDRRRMSANQQDSYIAALKCM LEKPAEEYPVVPAASNRYEDFVAAHIFSVWNAHYVGAFYPWHRWFLFHFEKELQSCGF QGGLPYWDWTLDTTSEQKFLGSPIFDTVRGFGGNGEYVPTNQLTPAPTMLLASRPKLL AANRTGGGCLVDGPFSGLSSELGPRDNLKKMGRHCVTRDLGYAYMWNTTDLNLVNTAM KFPNFGLYGNKTEFSYHAGGHWAIGGEYATMSDMWASPLDPIFFLHHSNLDRAWWSWE TRDLDVRVDDISGPLFTQDYHNKEGGNYTIDSIIRLGVTSPVEVRIGDIMHIQQGPLC YTYDQLY PFICI_02609 MSSYENEVPSDNSYVSRPGHKNEALGVVSDETKIEDPVDSRTAD SDEQLERDDKEAINKDNIINERTRHAKPEGSYQEPGDEEGLPTDSGRSQGAY PFICI_02610 MSVLESKRAQASSGSAHETEQLPDYDDTLSIAVSDGFQAGTALP DLPELGAEEEVPPAYSEVHDRLSLHQAGFDAGATITDDGRVNININQTSRQLADIIAP TLRNQLTQDAKLAVEPLPPAYIPPSLGGQPGQTPPPKLNVVIQIVGSRGDVQPFVSLG QVLKNTYGHRVRVATHPTFKNFVEENGLEFFSIGGDPAELMAFMVKNPGLMPGFDAVK NGEVTKRRKGIEQIVWGCWRSCIEAGDGLGPAPRLERRQNASNDTETMFMDPVHKPFV ADAIIANPPSFAHIHVAEKLGIPLHLMFTMPWSPTRAFPQPLANIQSSNTDPVTTNYV SYALVEMMTWQGLGDVINRFRTKILDLDPLSLIWAPGVLTRLRIPYTYCWSPALIPKP NDWGNHIDIAGFYFLNLASNYSPEPELAAFLEAGPPPVYIGFGSIVVDDPDGLTKKIF DAVASTGVRALVSKGWGGLGADSMGIPDGVFMLGNCPHDWLFQHVSAVCHHGGAGTTA AGINAGKPTIVVPFFGDQPFWGAMVAKAGAGPEPIPYKNLSAENLAEAIKTALKPETL ERARELGEKIREEKGSDVGGQSFHQHLNVDEIRCAVAPSRVAVWRVRRSKVRLSALAA AALVESGFIQYSDLKLFRSREYNTEEEPWDPVSAVTSALLGDIGSIGMAVADFPRDVF KAAVGPKKSQGTSDIAGKSSSAAASQTDLPSEKDSSDAAAVTPGTPGTPGTPDPHIDR KLVASPGPANESTTSLVPSISAASAVSAKSNAAAERPANPKREPSETPSMNDNLERAL GAGKSISNIVTTGVKSPMNFCMGLARGFRNAPKLYNDETVRRPEKVTSFETGLKVAGK EFGLGLYDGISGLVTQPIRGAQKEGGMGFLKGFGKGIGGLILKPAAGAWSVPAYAMAG IHAEIRSLFAQSAENYIVASRIAQGKEDYENSTYAERDDIEKRWLATRDSLKSYYTWR QKEKGKGRDSSPRRPFPTAAEGASSANSLAIPTEAPKTGWRHTRNLSFDERKRLQDQK KAWVRRQAAAAVGLASGAHVTEDVTAARLASREDAQDPEFEQAIQTAVRETSSGDAVE DARIEQAIRSSVRELRRRSTVSLKSTVSNQSTEPRSQSSDVGSERNSSNTSHYGFPSE LKGQVPFSPDDFDDITDEEYQALIEQAVQLSIAEDHRKSQQVQGVLGRGADDDDDDFR QALQRSQTDNVATTTHDDGELRKAIEASEAEHAARLKQGHNGHDDDDEELKKAIEASQ ATETQAAPGAEDEEEFRRALEASEKEHQEELARAKASKTEEDIVMEYVKKQSLAEAQF QPKGKGKDSSNHDDDDEELKRAIEESMRATGKAGESSGSGSGTTSTPRT PFICI_02611 MSSFGNKTTSEEVVEAFSSRIQGRTFLITGTSANGLGATAAIAL ARAGPEHIILVGRSKAKVDPVIEEIAAANPNVKATFVQCELSDFDSVRKAGAEILENK DITKIDVILNNAGVMAVKEYTKDKQGYELQLSSNHLGHFLLTSIIFPKVLAAGAGSRI VNVTSRGHRVGPFRFDDYNFSNGKEYEPWTAYGQSKTANILFSVELARRLADKGIKAF AVHPGGILTTGLATHLDIESLGDIPAIAKRNNGWDSFPPLDPATFKTASGGAATELAA VLDPAFDGKSGAYVKDCQISEALDYATDAQNAQRLWRLSEELVGQKFDI PFICI_02612 MPPGRPPKRPGESEIDGADGQAAAKCKLPRLDRGGTPNDFSSVV KSKLSSYTRTGQACDRCKVRKIRCDALPEGCSHCINQNLECYVTDRVTGRTERRGYMQ ELEREKTDMLNHIRDLEKLLDTKGIEVKPYARPAFPQNPGYPATVAYDARGNPIQDAS ADDGLENWTQMGPSLWIKNHKPGSHFSHAARTLFGSKSTTADVHLGVSGDQAPLSSIK GTKLSILGSTIDLGLFEAPDMDEPAPGVQAKSPLYNKSVQAFLQSCTNVNPQLHVDYP PRADAFTYAEWYFLMLYPFIPVLHKPTFMNILTRLYDDPNFKPTVAEAVMVHMVFASI YLQYGLRNRENPEQKTKLNDLSNKHYHFALCKFFDLSTSKTFEDLQALTMIAVHTRAF PKPDCSSMVTWTCQGMAIELGLHRAMKKPGEGTNLENEMRKRVFWALVTMGVTLHGRK GKPMPIRLDEIDVDFPEMIPDELLTKDGVDTSRTGKCLYEIGVEGFRAASIYLDMYAT IYCTKRDPTIYPAIIEELEARITAWWDNLPDSLKPASTSSDMEGHNEMFALYAEYIFH EFRLCLRHPSVALTSDPKLMAENSKVCEKAAKDMLTIAYKLYKLKSQDSTWYSLSEYI AAMFTSLAAVWERRHETDAAEVAVLRQDMDTWLIILADSCELMAGCGLTLRNAVASIV DRTVAWIEHDRQGKINGSLPQVTQEMLKQSPQQQQQGYTGVPAGSSAIPANHPNPPSD PALNAGETPNPGMARNNYYPDSAAEASSYPPLPYSDPSTHEPSSLPYTAEDPYLYSQT GQVPTSQVQGQTQAQAQAQAQAQAQAQVQQTQTQISDHNPLSTFAAQATSLQQPSGDM MWRQGTPGGNTWQDWTAAVVDNQDHYSANALMSLGAGPRSNNVNDATSSGTSLGLGVG GINGQNVPTTANSTQWPLLLFHDGTGSMGGA PFICI_02613 MLARTFMRAAQSPVLSRAATRSIRAQNVSLPVSAVQLRGMAQNT KKPSDSPKQAPSASQPKSQPQSSESESSPSSKSEESQIPETPEEPQKPFKLPDLTQGI PSTLEYEREGATNKSALADLEELEAEGGGRHKGELPASAYISSTEKRRNRWANRMYLS ALVLGLGSVAWLGRDWDDDEAEMHRDIPNGWSPNLWWTRARTRLNDSLSYYHEPAFEK LLPDPDPMFERPYTLCISLEDMLIHSEWTREHGWRIAKRPGADYFLHYLSQYYELVLF TTVPFAMGEPLVRKLDPYRFIVWPLFREATKYKDGEIVKDLSYLNRDLSKVIMIDSNA AHVRNQPENAIVLPKWTGDPKDKDLVALIPFLEYIHTMQYKDVRDVLKSFDGKNIPVE FARREAIARAEFEKQFNAHKRKHTSGIGALGSMLGLKPSNLSMMVPAEGEQSPSEAFA QGKMLQDIARERGQRNYEMMEEEIRKNGEKWLKEEQQAQEKAQAEAMESMKGSLTGWF GKN PFICI_02614 MRRRATGGRAPRPIITAPSTASSSAVPSGNTSDAESAMIDSIAS LTSNIASLTVDIPLSNKPKKKKAPPFRFFDLPSELRLRVFDFHFANVGDVLDLDHDNY QRIHKKLIIFKTCRQMYREASYAFYSTHAVRIFPIWGKFFKSKKPLLARMSANQRSSL TTLELRLGPGWNRPPRGWVVNEALGLKGCVNVHRIKVFVECDPSNDIFKGFRRSDGFY EKFSQDILEAILHEMPWCRLVEFDANPSVRKNGAMMKGLLDTTSKMDCKLAWGPEKGW DDGEEIIPPEPIPNNEPIGIAAIVDGRMIPANTGLNPNYDPNIITFTS PFICI_02615 MASSIPAKLKAAGITPFVVRGNQLRTAKPVVSYWCDYWVVNQIL AKQLHNADEECLQFTTAMMDQLEEMKANNQGNTAILDDNEGRAYVEQFAQETLDRAMR PLKANKVTQQTAVTFEAAATFLQLINIWGAPGVEIEKKIKFAKWNAARILRAIKEGKD PNESNPQIEEEEKVDEDDPELQAIMAGQAPKAATVEDATDEEGKGYLNPNAAAAGSSA YSAGPVSAPTSPPHGTAPAPEQVSPITQPTPEGYFPSAPAAADDDPPLQLPSAPAALG DPDVSIPSPPATAPSNQSPTDQSAPNLPDTPQGFYRPTPSDLSTPFQPPQQPPPPTQP AMQSPPPASFYQPPPAQQPVIPTFTAPAPQPVPAAQTPQLPPPMNVVYNVDDMAQAQA QKHAKWAISALNFEDVPTAVRELKAALAALGVQ PFICI_02616 MYTSGPTITTIALSLLAALPNVQAGGLYTKNSPVIQVDAKNYDR LVAKSNYTSVVEFYAPWCGHCQNLKPAYEKAAKNLDGLARVAAVNCDEDENKPLCGQF GIQGFPTLKIVRPGKKYGKPVVEDYNGPRSAKEIVSATVDKINNHVRKVTDKDVEDFL SKNNDTAKAILFTEKGTTSALLKSIAIDYLDVIQVAQIRNKESKANEIFGIENYPSLV LLPGGDKPSVLYDGELKKEGMVKFLSQVAEPNPVTGAEKAKVKKDKKEKPAKKAEPKS EEPEAAEASTAPSESTPEAKPATKEPLALTGVIDNAIELTKACLNPKAGTCVLAFVPK EHGEDAEKALEGLRGVGIKYDGPVARRVFPFYEVQTDDANVASMLESFHLENKVEIIA INGKRGWWRLYEGDHSHDSLMAWIDVIRLNEGGKRKLPEIKIFVQEENDPITEGTVEV KIEEEVKVEAGEAEPESTSTSWQGAEPTPEATEAAEHERDEL PFICI_02617 MPLDTSTYNLALLRVDGRRWNDLRRQHASIRTQAAADGSSYLEM GNTKVMCIVTGPSESARRAAQSSSASTASGPGPNSAEVAVSVVVGGFSTVDRKKRSRT DKRTQELQATIAKALAGTLHLHLYPRSTIAVSLHVLSQDGSLLAALLNAATLALIDSG IPMTDYLAACTAGSTSSHAANDEGADPLLDLNQQEEQELPFLTMATLGASDKVAVLVC ESRVQIGRLEGMMAVAADGCRWVRNFLDDVVREKGAKMVREGAVQKGDIVDMDLD PFICI_02618 MAPDVMSTFDPASLRILERLDELQSQLMQSIETNTRPTLQPSVD VISRAPQHQHHLFPGNLDAILDWDVVKQYWDVLKDQSTASHTTSQTMMLATSTLNVDL DPSTCDGLLDNFFSFVHIKNPILSENQTRRLVKRVFSEGIGWDEESCLALIICANGAI ARSFFAESMSAARMRQSQAPALYQAAQKRLGIVMASHGLIAAQCLFLFGVYKMCLLQP HDAWRMFLQALAACQQFKFLAGETDAATPRASAHAEECIYWSCWKSERELRWVLSLPD FGELANDHPKSFPSLPETLNEGEQLTGWYFYLSEISLWRLSTRARRDMEIFHSKSNNP SLESLVDLSHELEEKGAEWSQSLAPDVNLDLFDNKNESEVLRFILRGHVTSYYEAIWW PFVYAVVNQGNASPLVVSCAAKGLAVHLECLNINRTGFRYRHHGTWLLLQSCLRSALV LLAASRSETAAVLLPAGWETLVRDTIDMLRYWRSENVGASDSIIVLLESLYHGT PFICI_02619 MAADTKPNISPPVGKPCSLLRVQGTDIVDSEGAKVILKGAGLGG HMNMENFITGYPGHEHEHRKAMLKAMGPEKYKFFFDRFLDYFFTEADAEFFASLGLNC IRVPFNYRHFEDDMNPRVYKEEGFAFLDRIVQRCAKHNLYVILDLHAAPGGQNQDWHS DSGINKALFWEFKDFQDRAIDLWVELAKRYRGNPFIAGYNPLNEPADPEHTRLVDWYA RVEKAIRAVDADHILFLEGNTYAMDFTKFPSEALPNCVYACHDYAMMGFPVPEQFEGT PEQKEKLRSQFERKAAYMKQQNVPIWNGEWGPVYEDPLKIGVEAAATINAKRFALLKE QLNIYRDSSASWSIWLYKDIGYQGMVYLDPESPYMKLIEPFLQKKAQCALDFWGWQDN GVKHIYDPFIAALEDMVPEKYRKRHYPNIWPFAQHITRAVRNCVLSEFLSDEFAELFA DKTEAELEALAGSFKLENCVMRDGLNQNLREDAILSTAA PFICI_02620 MAAPATMKQWAIQGTKDDFNGLKYEDAPVPKVGENEVLVKLQGA SLNYRDLIIPKGFYPFPTNLPVVGGSDGAGEIVEVGSKVQQWKKGDKVVTLFNQGHQF NPITLAASQTGLGGVLDGTLRQFGVFNENGLVRAPKNLDHVEASTLTCAGLTSWNALY GLKPLKPGETVLVQGTGGVSLFALQFAKAAGATVIATTSSKEKGEVLKQLGADHVINY KEDTNWGKTARGFTTNGQGVDHIIEVGGAGTLEQSLAAIRFEGIISIIGFLGGVKSKS SILEVLNNICTTRGVYVGSKAQMQEMVAAIEANNIHPVVDKKIFTLEQTREAYEYMWK QSHFGKVGIKIE PFICI_02621 MSENAQFATKVIALTGAASGIGLATAHVLAGRGAKLSLADVQEE ALQKAKAEIEQKHPGAEVITSALDVRKYEQVDSWIGATVERFGRLDGAANLAGVIPQS IGLQGLKDQDFAEWDFVMGVNSTGVMHCLRAQLAVLADNGAVVNASSIAGTTGRANNA SYAASKHAVLGMTRSAAKEVGVRGIRVNAICPGTIATPMLKSARDINGGKEGNESHPE LNQVALRRVGQPEEVAKLIAFLLSDESTYISGNDISIDGGWRC PFICI_02622 MSSITVEPLAVPETSGVKFGATVSNVDIENLTDADFDVIRDALF RNQVLIFKNQGHVSPKAQYELTNRFDPAATSYGHGKTIDAKRSVLHPDLKTIPHQPQV QVIGNGPVAEYEGLKDFRLRHPHHRTFHATQIPAEEDLDFTRFYRWHIDAALYGLAPP IVTTLLAVKVPGGRRQTCRYDDGTGDVLDVPLGTTAFVSGYTMYDILSDADKEFVRTS KIEYAPHPYIWMSSAKARSTGLGMISEGKEVPMDDLPPIEEDKIQILPLCWRNPVTGK LAVQVHPSAIRKLHLADGTVIDDLEKVREIIYRIQRPGIAPERVYPHDWEEGDFVLFH NRGVLHSVVGAFAEDEVRLFRQCNVAASYLPEGPRDVSVAA PFICI_02623 MAYWFESPFPLVPTPFAALAEGEQQDVFVATATEMTLAHNILIR GLNSIYRQAPFIKTLEQQDFVGYAKNFVNVLKVHHEGEEESFFAEVEKMTGEAGIMEK NVEEHHEFHGGLEELQGYLTRIADGAEAYNGKHIVEIIDKFGPGLSEHLSQEIQTLLE LRRFGPDKMKGLATALAADGQANLKKIGLAGGVVYVFLSHDKTWENGIWADFPPAPPG VKTLVMRGLYYWHSAWWKFSPCDQNFMPKAEPYAKPE PFICI_02624 MTAAGADTESQDDVPSRSSSLSRPPSRTGKDLPSWRKYLILFVV SWMTLCVTFSSTSLLVATPEIAADLYTTSEILNVTNSGVLIAMGLSSLIWSPLSDIIG RRLAYNIAIFFLFVPSIGTAVAPNMAVFTAMRMVSGFTGTYFMVAGQTVIADIFEPIV RGRATGFFMVGSVAGPAIGPCIGGIIVTFSNWRAIYWLQTAMSGLGFVLSVLVIPTIR KETDAIEDEKKEPWNACRVLERFNPWRVFKLLLRPNILLADLTCGLLAVTQYGILTSV RHVINPRFNLSTPLVSGLVYIAPGVGFFVGSVVGGRFSDRTVKRYIAKREGVRLPKDR LNSGLSGLFIVLPISMLLYGWSLQKEFGGLALPIVAGFWVGVGLMGTFNALNTYTAEV SPAEKAEVICTKYIVQYIFGAACTAAVVPLIDAIGVGWAFTLFVALDILGGVLVLFVA RLGPKTWV PFICI_02625 MLQKAALRSANLQQGELKSKPTACAKCHARKVKCSGGQPCSNCM QSGCENECTYPKRDRQLRVSQNYLEELLAENERLRRLDRNAQPSKSGSTSPSAARATP ANADDNDGPTRNPLFGDRPWFHDTPGAPILIGEAADAAFATRFSQALSDNSFPHIQRI SFPTDNALLGLGVSACPPPSRGKARFLMKAALRIVSRHYHVVLKSVVLGHLEKFLHHP SSLDLLLSSKIWALLALGEVYTARSGTLDNFPGLQYFAQASRALQVVQERPSLDSIEV LLLLSLYNLVCNRRHSAYCLAGSAVRQGVIMGIYLNVPESQLADRVMREHRNRVWWTA YEFDRLLAVRLSQPFSIQDDEIQVDLPADFDLPNTAQGDFAPAGDLVNRIKLMKLTSQ ITKLLYGRKAENESFLHRVQQALKDLQDWFQSVKGTLNDDEQHLSRAAAGPKRSLLLS FNQCMIVATRPIALYMLRAQQNRWSSDVAQPSPEIPDNVQALTEACTQCARHSYSLLA ESWVDGSFLTMDYFDTLYLFSAATILAVSSMLNTPGSSKDRDDFEFSLQLLLKLKSNG NCAAVEFSQHLESMKTWMARQMPAQSDVGSGLDIAVTGLGDAGMGFSSGSTPYNMTAG MALAEPSMQAFLAQSDPNFQQIDLSILQHEPEGFYWPEG PFICI_02626 MATNNRHGGIDESDSISATSAPAEKKTEMPKDMEAAVPSEPAPS YSQEDGVEINYKTLEWWQASVVMIAETVSLGILSLPAVVANLGLAPGIVLIIIMGVLS TLSGLVMGEFRKQYPWVQSFGDAGEVMGRSIGMGKVFQEIFGWAQTIFQVFVMGSHIL TWTICLNTLTDSSTCTIVWAVVGLAIFFLLNQPRTLAFAGYYSFASFLSIFTAVMMTV IDVAIERPIGSGSIEVAQTIGFTSAFLSVSNIAVAFCGHSCFFGVMAEFKQPNDWPKA LWLLQICDTLLYLVASIVIYIYVGPNVPSPALSAAGSVTIRKAIWGIAIPTIVIAGVI YGHVCAKYIFVRVFAGTKHLHKRTTLGLVGWTGITAGVWIIAWVIAESIPVFSNLLGL LCALFASWFSYGIPGGLWLWMHYGDYFSSGKRTAMFVANVFLVIVGFVLCALGLWSAG EAIAADSGTSPWTCASNAA PFICI_02627 MTPRRDLEPNMFALSRQTSRASGRKSYKIWVDTWYWEILCLLFS IGLLVAMAVLLRVYDQQPTPYFQYGITLNTVIAVLTAFSKAALAVPTASGISQLKWQW YRNERALGGRCVRDIQIFDDASRGPWGALVLLCKLHVRTTASLGALVVVLALVLDPFA QQLITYSTRLYQDLDAPEPSIDLARFIIAGTNLDSVIYSSIWSEVDAPSIYKASECES DLCLWDNFDTLGFRPLCKDRTEEVVLEGCDLRCGNSQEFHTSNCSIYFPGDDSNVKWN FSVVQDAPDWVGSISGDDTENRTILEYPRHAIWTLWSGQAEGEASDWGTLFNVSPDRN LSGRNEIMIMAYAEIDFDPAKRPDGLSIKRAQVCALTPSVETLSVESHRGRLDVHVPK YTLGAFHEGGEPGDDLVYWSPDGSQDNILTYTDIREAAFFLDSDTLTLLCTRVRDALV GNYSVPQLVDVNRVCAAARPPADDGRNLAIEVDFAKSSSNQNLDKIADKVGGLEWAMQ RVSEFLTHYSRTQPDEAVPVSGRAYSSRNSVEVWWPWLTLPVAVAAAGTVFLALVIYS SRGADEMLWKSSTLPLLYHGIDHRDLMANVKSSNVRADLTSSMDELSKRAKMRLRRSS IDGELRFHHS PFICI_02628 MAPSSDFRIILVGGGPVGLVAAHVFAAAGIDFVLLEQRPTIVPP EGAGIVLFPHTQRVFEQLGLMTRIREIAHRFSENRIVNSWGWHYHTTYTAKWTEENHG ADWLFLHRPELLRVLYEALPDTIKNNVYAGKKVQDIQVTADGVIVQCADGTQEQGSMV IGADGVHSRVRNCMKKLAQQHGANATTSRGDEESLTSTYRCLYGNTGHVPGLELGPEW DLHSSGIAMQLFGARDMCWFLFYDKLPRTVKTRQRYTEDEMDSLAQRVADVHVTDKVR FKDVWDARKWVMLADLPEGIIKDWHWKRIVLVGDAANKQTPNIGQGWNCGVQDVVVLT NELRRLVNNGTSADIREDDLRKLFETYRDTRQPDIEACVGIAAGATRAVTWDTWLTWL KDRLLSPWTGGGRNEFRQAIGSMISKGQILDFIAKEDDLSGLVPWQHAP PFICI_02629 MHQSLRSLLYSAAVVAPALAMPQGFPQDARFGQHSHAGHHCGGS SVASVPSQAATSAQVSVPSQVTTSSAVSTPSQVATEVPSVSTVVSEAITSLTSTASNV VSSTTADATSEIATSSVVSSPSSSSISASASAPAGTVVAAEALTASYCGEPNSYKILS GTPWIVYSMNYNYQSISGSCCTGYYTTTGSDDDQVVHWSSIWDIDEAVDTDVVKGYSF IGLTQNLETTLDSISSIPSTYTWSISNTTAYKGNVVYDFMTSDTKGDSTSSDAQELML WLQWEGGQVPIGWAEGAVATIDGLFGKDGWQLYQGKNDDTGITVSSLLAPADSMFDGT FEGDIKDWLLAMSEQGIFSTSTYVNVGNAGMEPYWGTVTFDNNLSLRIDL PFICI_02630 MSSTTTDYKVSFDSFKNVINNELVTTANTRHSVNPSTEEALWEV PVSTQEDVDKAVSAAKAAFPAWSKLSQDERAEYLSKLADAIEAHKDEFAVLVGKETGK PPQTAGFEMFLVMGLARETLKLRLKEEKKIDDADRTAIVRYVPMGVGVGIVPWNFPLT LGVGKVFPALLAGNTFIWKPSPFSPYSALKLTELAIKILPPGVLQALSGTEDLGPLLT AHPDVAKISFTGSIATGKKVVAACAGTLKRVTLELGGNDAAVVCDDVDIDSVAARIAF LTFIHNGQICMAIKRIYVHESIYDKFLAAVVAVTKTFKFGDYTDAEAFAGPVQNRMQF DKLQKFYAQIGTEGWKTALGGEPGPKEGKGFFMPPTIIDNPPEASSIVQDEPFGPIVP LLKWSDEEDVIRRVNAAKVGLGASVWSKDVPRAQRIVEQLQAGSIWVNTHFELAPNVP FGGHKESGLGMEWGEVGLQGWCNPQAYWIKHSA PFICI_02631 MGLIYAVARAAYNLWLHPLRHYPGPRLSAASGIPTVLMILRGQP HKEIANLHDQYGEIVRIGPNELSFVNAEAWENIFDHRKAGQGENVKDPAFAAPFSGNI IGAGRDDHRRMRRLLSHGFSAQKMSEQQPLIRQYIDLLIRRVREVGEQGSKAVDMVSW FNWTTFDIIGDLAFGEPFGCLENSGYHPWVAAIFSAVKVNTWLGLVARYPSLSSSLAL FIPKSMKEGAEAHDNLTRLKVDKRLSLKTSRPDFVDAMLSKGDLSMTKEEIYDNAGLL IGAGSETTATALSAATFFLTMHPNVLQKLTQEIRTSFESEDAINIQGVQKLDYMLAVL DETMRLHPPPPVANLRQACPGGTRIGVWHWAMFRNPNNFLLPNSFIPERWLGDKRFIN DNKQSFQPFSYGPRNCIGKNLAYVEMRIIMARLIWNFDMFLAEESCDWLEKEEVYTLW HKGPLYVKMKPRLI PFICI_02632 MSGKQQQDTSKLSDYDIFIRAEEEREKDRLKSMPALSFQKADYK PPERQYEEMRASEVPHDQRKEDRERKRMERRQKSTNGKDNGKLYDNYKIRDQTVRWND KGCP PFICI_02633 MSDCGTLGSHEGSPESFTSSTCYAKSIATTHIGDEPRAEESKAA LHYTPELLSYLTHFVSLLFTAVVLQLSFREVYWADEDGWRHSGWSLGLSQPVMAGLLQ FAAKLHEVCILASLSAIAIDIIRRRLLVSRGVPFGFVMGGYRFGSASSIFSGSFWGPF TDAIRHGRFELLGLGLLLGLGAIYANAIGPASAVLMVPTLNWWPAYDLTALMVGNGPF YPTSITADNWMIASCLRQSEDLPFGCPGLGASDLQNADYYTTPEDLANRSLTQRYGRA RRNLYTSSAISPSWNSTLHISSTIHSVVMEAIGLVGRFVSTFPDMNSSISRVGRPQLE ATNSAGVMSPVVQTECASARLNGILDGTDTLLFPTSILSRMNVTSQIWPVPEEYWPFA DKPPLDGYLEPNFTWVDRAIFQLSDQTSASIGAIVAIPFDHPRTQVNFNNYGHDDVFL VACVLDARWAATDISYDPGTSDTVVSNLTDMSLFTGAAADTDLRFRYGISDSIYIPTD WADLLNAPVAPPGYEGIWSSAIQNYLKRFMTGRPDGNQNAISDISKFLSLTLVDGLSR SGTENMTFWVMNRNSLIFDWTFSDLSGSGDFEFPSETVTQAGTTVTFKVQRYGWGYGN NSKTARFAISILLIHAVFAVVYMIFTIAHWFIYRWSSRSWKNMEQLFALAMVSTQPPS LRGVSAGVGTWDTWKIDVAIREGQEEGQDLNDRIELVFGERDGGPIGRRERQIRRRKR YQ PFICI_02634 MSTAATATLNGSAEKPVTVQTETELSLLYRILRTVIKPLRPRLV KPSKKTQPAGSPRLLSRPRSKRKFNIRERRHPDSGIWLYDYEPQAPPNIKIKAPSTIY YFAGGGFQSPPSREHWTFLGELAAGLTLDHHVTLVSYPLAPASPASESLPALQKLMAP VFAEAAKKGDKITLMGDSAGGNVAASLAFWWAEHVASRSGDYRLKATLQNLVVVSPAV DLRNTNPAMHGDLDRLDPLLGAAYTGAVAEAWLAAPPEHPHLDSVAEDPNVSPLLHEP AAFQRLADLGVRVHGVYGTNDVLAPDTELFRHKCEENGVRGRWLVWVGQMHCFPLAGA YGMREGKKAIDWLVQVLKSDDDYYHH PFICI_02635 MPWTSVRLGAAPKDTFIALGKDGRYGMTCTYKVVYKLFIHANVA SSKTAQLEERLNSLVDLLKASNSGELPASLRDPPTEDPTCIPSETGPLGPGTWRSTTS PGSTPATSSEDPAGVVPATYNCYAPPTCICRAPIGASYLPVESDEALLSVFVTKLSPT FPFVVLRPGISAQEMERTKPILFGAIKMVASVRNLKSMMAQGYIIMKHLTEHLMMRSQ RSLELLQAILVVTGFYHYQCMMHTQLSNLVALATSLVADLGLNKPPEIQERTRALVLN PQVPSPRTNDEKRALCGMWYMSSVVSFTFQKIDAARFTPYMEQCLRDLEKEKEYETDS LLAQLIRIQHLSDRIGQLQSKDQLDDSLPGIPRAPVSAYLNAFQTELDKFDAQIPRYL RSNKLLMVHFHTAGLKLWEPPIVDAKLLETLSDSFTSLSLGAPSTLDTFYRSNAALKK WFELWLSFEITDYYYLPMPTCAQLLVAVTLLSRWAKLAGVEVPQSPVDPDSPDTLNAR AEAQGLRDPSSFSKPSPVEPDSSKFPDAEPAVASAVARIKEQIQSSPELQLDVVGILR AMVVRFEQARSEVSEAQGGSWDNNLWDLAAKKISITKLKLERWAEIVSTIGAEGVLLS KYQPGEGPASSSTPVSDAETAFGPIETAEGPMDGVEKSQTIELAPHMGFDPWQNNTNF AHDLFDGLGLDQNFFYGDSGDYGAAILNNLSFGGYTHPGNAP PFICI_02636 MEPSTLNVAPSGTKTQWAKPQDWEKFRNTITALFMCHSLPVVMR VMREEHQFHATPKMFQSQIYQKWGLRKTKPGEAKKEAKERKRRRQDSEDAESVGSDSR SREAADTSSSTAGRSIESTSPTAATHRQTNVESGRVEPSVPSEDGAVPLGTADAVPPA EQPKTRKPDYIVPDLQGAVPKGWKDFLDQSRIHPPPFLPSNNNQDVSSSFVSELHGDD DEPQSAEAMPSARPRSLRSSTKSRRASPNLPETELGLSPPNSAKRARYLARLTEPLGT IRALESHPSDLLPERSMFYARHFISSTYATGVWSLATGDTSRYEADSAKLEHWYNDFN HGFDCLREKKVKKAFRIMKRCFANTKGVIEPQDPRVVIYMCQQTIRCMFYDRLGRNLA QTLLKYIAELCHVIFGAHHPLFIVFSQLSTLGSFEFAQLIRPFMDCYFDHLEPFLENF TNVFGHITEMRGLTTSLMEATGMMGIYEAKPVLDRLILHAQKKNLPTLHLKAELASTL SRNRFFNEALSILRDVRESEEDCPYEKVYAGIILIITLLRMKDLDGAIEVNQELVDEL SRPPSTYPGYPDNMTVNWVQYLESRESSLLLLLGKLEKNLRNAGRIEEADRVQKRLDG EIIQEYGVEVDQDPEGSLYALT PFICI_02637 MQFKTYMTVLALAATAFAAPTPQSTANGLGVNGASESKRAPEPV PESCTSNAKRTGYGEVCTSTTTASKRDAEEPALEKRTGMGIISSGGDEA PFICI_02638 MSKLFEPLDIGTVQLQNRCVLAPLTRYRCDDDWVPLPMTREYYT QRAAVPGTLLISEATFISEQAAGRRNVPGIWTDAQVAGWKPITDAVHEKGCFMYCQIW HLGRAGWPDVHKSLGHRVLSSSAVAIDETRPVPEAMTEDDIWTVISDYATAAKRAMAA GFDGVEIHGANGYLADQFLQDNCNRRQDAWGGSVENRARFHLEVTKAVVEAIGAHRTA VRLSPFSDYLGMLMDDPLPTFEYLVRELRSFKLAYLHLIEARITGNDDGDCGGSNDCS SLIKAWENKSPIVLAGGFQPDSARRAVDETYKDYDIAIGFGRYYISNPDLVFRIKEGI ELVKYNRLHFYTPKLAEGYIDYAYSPQYLAQTK PFICI_02639 MFSNLLLCFSFLATVPQITRAAQVANFNVSAETALEYGCDATCY EYFSYGLEYDASYFGSLYDEDFYATADNFSTSEPGDLLKFQPIDPDNLSDIPDGTTAY RFQYVSVDVFGNSVPATGFIAFPYSSRSNDHKFGTVAWAHGTSGVFRGCAPSAMPNLY EYGSWAYLINRGYAVVATDYAGLGNNYTAHQYFAFSAQANDLYYSVVAARQLFGSQLS DEWVSVGHSQGGGAVWTLAESDLLREDPANAGTYLGTVAQAPGLRGKDLAEEGYYAAL NSTGTDNSRAVLGELGWGAFGLHRVIANESFSWVHEKFRKRLALAELAQACYLSMELL VADLEVDDVFNISDASFQAEFSVAQNYSLVGQGKSEQPVLVVQGLADVSVYPDITELS YEGACEYGNVIQMQYYPGLDHVPVIAASAPSFLQWIDDRFAGKTTPCSCTNTTIQPFD ATYMYAPADTD PFICI_02640 MSPEQLDSITTLFSRLGMDISTLVDEPIVSQLILFGLPSTSRVM GESKPITSFENLGEARKELHRIEIAYDAYFEHMSQDQACWAAPNLDSVRWPWQRAHLV KGDQDIYRAICRRFTWWNKRFDLLQASKSCEWTPAEKEDFLILCILQKVWTVLLQGKH DVRSRFSDFNHADLEAIVEKAELFIQTRTLHHHVFAYDADIIPSLGLAGIFTEDSHLL GRIIKVLERLNRREGMWDSREMADILRAVLLAKQNHWLPRKISHGSAQSLLSDLLSFE IPLLSPTSSIAVWARSTLAEGN PFICI_02641 MDQDSLDRDELTRIASILSRQETAGAVSYNTDLRPDAPSIDDSL NPDSPKFELDKWLTSFVKQVVDAGHNPRGAGIVFRDLSVHGTGKDLQWQETVGSVFKS VLRPGDLFTFGQSKAKHILHDFDGLLRQGEMLIVLGRPGSGCSTFLKTLCGETHGLSI DTGSTINFDGISHAQMQKEFKGEAIYNQEVDRHFPHLTVGQTLEFAVSARTPSHRIQG MSRAQYCRYIAKIVMAVLGLSHTYNTKVGDDFIRGVSGGERKRVSIAEMMVAMPSFAA WDNSTRGLDSASALKFTESLRKASDIGGRTNAVAIYQASQAIYDLFDKATVLYEGRQI YFGPASKAKAFFEAQGWFCPRRQTTGDFLTSLTNPIERTPRKGMEDKVPKTAADFEKY WRDSPEYRALRIEMSHYEEQFVSTQGDNPTLKTLQAQKAFSQAKRVRNGSPYLINTMM QVGINTKRAYQRIWNDMTASFTNVMVSAILSLIIGSIFYGTPDATAGFYSKTSVLFMA VLLNALTAISEITSLYAQRPIVEKQSSYAFYHPFTEAAAGIMSDVPVKFASAVVFNLI IYFMAGLRREPSQFFIFFLINYVITFVMIAIFRTMAAVTKTVSQALSLAGVMILALVI YTGFVIPVPSMHPWFSWIRWINPVYYAFEALVANEFHGRQFTCSDVVPPYGTGNSWVC AVAGAVPGESFVDGDAYISASFEYSYSHLWRNFGILMAFLIAFLAAYFTAVELNASTA STAEVLVFQRNGAREKSLAKAREHGINDEEKGSSDGSSTPTGTFDRQNVQDVIKPQTD IFTWHNVTYDIEIKGEPRRLLDNVTGWVKPGTLTALMGVSGAGKTTLLDVLAQRTSIG VITGDMFVNGQPRDASFQRKTGYVQQQDLHLPTATVRESLRFSADVRQPASVGQAEKY DFVEKVITMLDMQDFADAVVGSPGQGLNVEQRKLLSIGVELAARPKLLLFLDEPTSGL DSQSSWAICSLLRKLADAGQAVLCTIHQPSAILFQEFDRLLFLAKGGRTVYFGNIGAD SKILLDYFHSKGAPRPCDPQENPAEYMLEIVNGGGNSHGEDWHSVWKASDEYRDTITE LDRLHGEGHSSKIDSTVKQDAEYTEFAIPFTHQLRAVTVRIFQQYWRLPSYIFSKWIL AIASGLFIGFTFYHANSSQIGMRNVIFAVFMVTTIFTSLVQQIQPLFITQRELYEVRE RPSKAYSWQAFLLANVIVEFPFQIISAVLAFVCFYYPVMGPDQSSDRQGLVLLFMIQL FLYGSVFAHMTVVAMPDAQSAGGIVTFLTMMSTIFSGVLQTRTALPGFWVFMYRVSPF TYWIAGIVATQLHGREIDCSADEMLVFDPPQGYTCEQYLGPMLQQATGTLQNPNATSN CQYCAYQNADQYLSGSDIYWTDRWRDFGIMWAFVVANIGIAILLYYIFRVRKWSLASL RAKRGH PFICI_02642 MSDISVAHADAQIITTKKARYGRYVDTKQWDRFSEIALPHATFR FLDTDKTPLSVGKTQLVFNSTSEFTSFFSDAFARANTLHMFGPGDLEQVAYDEIEAIW AMEDEIIIKDSLGLYELRGGGYYHETWKRHKGDWFIKSLELRRTYTKSSWLAKDAKQS SKF PFICI_02643 MSGFGRAAPVSFGPNTVSYYGAFLQPLTINPEGLPTLPSACDHI SLTPLSSTSKLVTLAGLTGYDPAGADNATTVPEQAAMAYAKLKTCLAAAGATPRDIVQ VKHYVVKDTGDPNVDSLDIVDRGWGDLWVAFMDKHANGHRPPQTVIGVACLAKKEILY ECEVWAIVNPEDSTPRPLGGGFRTQGALQVMPHN PFICI_02644 MVKAAIAGGSGGLGKAIVSAILATRTHEYIILSSRASPDDNTYT IDYDDIDGTKELLEAHQIETVISIIPLYTKDGFDSQLNLIQAAEQSNCTRRFIPSEFG LYIDTAQTDMHPSLLYKHETVHQLEKSKLEFACVHNGVFMDYLVWPQVPSHLQIQALW VSLAHKMAAIPGDGNNKLVLTHSSDVGRFVEALLGFHEWDQRYFISGDRTTLNRVVDT AEEILGCEFARVYDTPETLSRGNCTLLPPPPLSGTGIYQATVPGSVEYHIAQLGMSVV ENMADLPPRTSLDQLFPSIRALSVRDAFQLWKDVK PFICI_02645 MSLATTNPPGDESDRVQRRLRSNQSAFAEPWSGLASSTPRGRRY SVSRPRLEVPAETWSTTSSERFHARRRSGSSASPIESDRRTVVTVEDPLSDSDSAYDR DNDTDKEQNADERPAIATAGELLSNAGEWETLNDDLVQTSFSSLRNVTREHHQVPDMV KSQDYLKIKRVQQELRSSHIHSQHKTQGWFIPYDKLRAILTRRRVRSILLQTATFQTT PSEILDMIARSICTRIRKQNGRRTGYIRIFAILVLIDQVRYIVDFLNELITDADLPLR PSPYHPLGSNLVMRNSDDDDVDSVLICFEDWKPEDMDNFIGQQEKLISPRFRMRGDQL CLHRLPSNTILPFVECGRKAYLGGFGRVSKVKIHPAHCDFDPRTLPHASSLYGPSYES REHRHVEHEFALKEIQSDDYTLFRAEIGVHEKFSASRKGHEHLIRLLAAIEHGTSYYL LFPWAQGTLVDLWQRFEASPDSPDHVEWLIKQCLGIADGLQRIHLYRSSLKRNDQDRE RSLSKNKGTHGDVKAENILFFDPPGKDTLLGNKHLVVSDFGLTRFREASQASDPHGFS RTYRAPELDMQHATSRKYDVWSLGCLFLEFTSWFLLGFHATRSRLNAESGSFKSFVDV RIENDEPYGTPFKEDKFFNLIQAPGIGNIRAVVKPSVLEWMNKLRQMNECSEPIRSFI DMIEFEMLVPDKQDRMSMVEIRDGLRRIYNQCRPSEGVSISDVLLYTDQANNHRQPEI NHVIDSSFEPQSPLNGGKHEPASEMNVSEASSPIDHSQKLIEELERIHEASMGQLDAD ETATQSSTNAVPYPPYQQQPMSCHSQYHDTKDMDIPQCRGADGLEPGPSSIPSLHHLS TPTSRRGPSGMIIFTSPNNKDALPYITEIAPSAEVSRRNTQESLTLAGSDVDGDDIVR PGLPSCQERGEIDPRQSYENTPLLPSLHETLQQDGSRVAVRGPRGPILDRDANGYKQV AAGGSSWLLRIFCGCYMERRRS PFICI_02646 MAPDTRKALYTRMQRAFQRNAKDLGQKRYLPPDCLSQILTHEIV ASLLKEAHRRDFSHEYIASVMQKAPKTFALLVYIDAVEKFASLYRQFDDSALPIGFEG PSESDGSGVSSFHWHVASLSPKTGAFDDQRRHPAFDTWSDGLIENFEQRQWIFLAVEF SASKLHHQFHPMQPLPILEGDFQKKSEGHFSLVYKAKLPISMQLNRSSRDLARPEHVA VKKFRPDVREFFKREFHNLEKIDTITHPHLIRPLTTFEQGEDQFIVFPWADGGNLREF WGPKFRETSVLWVLKQMHGISQALKILHGKNGRHGDIKPENILRFRGSSASENSLVIA DVGLAKFHQLATSQRDHASSITASTVRYEAPEMNIDDPKLPRSRRYDIWSIGCVYLEF VIWITYGDAALKKFNAMGHHYKFWDFDGKYDDSRVKGGVKTLHPDVQDMIKRLLSDLP RPSALRDVVKMIRDGLLVINLARDARPPLTVRWIAPHLFDVMDDIWTRAQTDQTYALA LIDAPSSSTPLVDATARDNQDDTRANLQASADHESLTFDTPFTNKLQYRIQQAQNVKD VWIIKNDNTFARKLLHQLEWSDIKPALPEPRLCNDCQRIDFQNLEGFRLDPKKLQQRS SECDFCDLCYKSSEIEQRSDGIVRFKPTDRSSLISVYVDPESDTDLSSSLPIGFPQLP DPGSAEETLLLKHWLRNCDENHTCIPLNDVHYMPTRVIDVFADSKDKLRLVETCDEIK GRYVAVSHCWGNIPDSKKFCLLNENIEALKENIDYAQLPQTFRDVVDVSRRLEVRYLW LDSICIIQNNNDDWASESTKMESVYSSSYVTIAVSSAASSLVGLPKQRDKRPCVRIGD LYFAKDIDDFETDVQKAVLNTRGWVFQEHALSRRCIHFTTSQIYWECGDGIHCETLAK LSNPYAAFLGDAHFPNIAQEYFKGGKILHFQGIFQMYSKLAFTKRTDRSLALQGLENR LAKTMKTKVKFGILENMLGRSLLWRAATPRSMERITFDQDRNVPSWSWMAYLGAIAYE DVPFADTEWCTENIRNPFGADRFVFARDFELDDSEVVWHISYDLADTVHTIAQKCVII GKEKNNRETENRMHYVLIIQPSIVREGCYERTGPVSVIFGGTRVGNRHLFMPGNGLEV FLDNLAAHSVTTIDTAQSYGNSEATIGHVQAGDRFSLDTKWSPSPSEPGLPWATKDQI TNSAQESIVKLGVKQVDVFFLHRPDPNTPISETLDAVNIVYKNGIFRRFGLSGFPASE VEAIHAHCIEHGYPLPAVYQGSYNPFSRSKEIALLPTLRKLGMAFYAYGPSAGGFLGK TVAQVEEMASDSARVSATCRPYIGKPMYVEALARWNDTADAEGVSAAELAYRWVACHS ALSREHGDALIIGASSPEQLEETLSGIDRGPLSDTTCAKIHEIWESIKVPT PFICI_02647 MSDHDILDIPSVLTNISSCTISQPGSDEYEKSNNSYFSAFENEL KPRYIAQPNTAQQVQDLIKELGFHATSGNIQVAIRGGGHTPFAGSANIHNGITIDLRG LKGVALSADKYTVTIGAGETWTSVYQELEKYGLTVPGGRVGRIGVSGFLLGGGLSMFS TRTGFACDSVIEFEVVLSSGVLVRANAEERADLWIALKGGLNNFGVVTSFKMRTIKSC DIWGGVTYYMPGTFNEVLQRACDFVHNEQDIDTHIMCSAGYGYGHQAVSCVMYHTGGI ENPPSLQRFTSIEPQISQMCTMRTAKHLDFCDELSKFSTDGIRQYWASITVKPDISMM EMFHDKWQEALAKIKDAEGFIFSFGFHPLTKALLENSKKAGGNAMAIPPSDGPLLVIL INPIWTLPEDDERIFQTVGALVDELRSIATEKGLLHRYIFTNYAFKEDDVISGYGEES VLKLREVSRKYDPEGMFQKGVLGGFKLPK PFICI_02648 MVVRWHASTCTDPVVSVKDSEVSCQNCSATYLFNQRSVPQTTAA GPAIPQDRPLGRLRWPPSVPYTTDEDLDISHSTTATIPDTDKAPVSSEPTSENTGHSR IYRQLDVGQFRLICLYPAENHGDLIHLDLEQYNLDDCPEYEATSYAWGGEDGDSKPSG PIFIGNFYDVALQTKNCLSMLKYLRPTRGVRMVWIDAICIDQSNIREREVQVAEMQNI YRNASRVVVYLGPDTVLQPTQQHRRRSWLDESQAFEDNDDRTSKKLMDHRYFSRVWVI QELLLAKSLLIPLYSSEYYADSACIKRLSIDWNSTTAPWFEHIASGRIFSRNELHLLL DQTWSSEATDPRDKLFGILGLVDTAVCDQEPRSTADSCRNVDYSLLPDYTLSTREIFI GLVAYVMIILDQRHALGHAAGLKAAPGYPTWLPDMRDPFIWRSQIDAAQRDYDQLQDW YRVMGLCCQQVWSITSDFQGDFDWWRSKSTDNQYQLWNTTSFAELRAMMSLGNSTNES LSIHSSTAALSIKLIRIIQLSSRPRRIADFGSLRLFEFVMAKHSLLLCTDLIDLDLLL GDIPIWIFMRDTDGAIGPILFFLRESQQKVRGVAYELVCSCRCWNLLIFRRPQLPVSS DAAGADLPEGKGADGQSTGTLLNDNIQIKHAEDCFYDSRPILSLSNGWTMPLPYETLY SAASIIKLDSQLDEGLLGAIPDGNLRVKDILPLFQLLTDAPSLYKINYHQFGELYVSI MSQFSTIHCSTKSPCDIDYRRLGDENLANNIPQDTENIFFTFDAAMWAEYTRILSIGR RTRMYNFDVFVNQKVTKVIEVSAESSPECFTFEGHDIIYRKRVGEKPMRNWMGWSLLG AYGIEQKIYSSASVNDQATVYICIPVKRIATHMFLHHLRNRLCDLTKFRTFTGQDETT MALNFKEEYRNIFAHNWPDSLREEIGLIGEPCRVQIL PFICI_02649 MGSLAPPDHRRVAVITGATSGIGRDLAERLHARGYNVVITGRRV KEGEAIAAQLDPSGKTVLFVECHVESYASQLQLFKAVWAKWERIDAFIANAGMIDKGS RYNLDRRNARVDDPPPEPDLSCTDVDFKAVVYSTELFTHYNRHNPPDVKGKIIVTGSI VAIYPCPTFPEYGCVKAAALQWVRTMAPMLLKHENITINTVLPNGYDTGIMPDFKEAY LDEHLTDKECLMSAYDVFLEDEAHQKTGQAIETAYRSHYYHDVPEYKSGNVIARTDKP YEPWFQWMHHAKSGLPGAYLEPLRKMS PFICI_02650 MPDKGKTYESITIQNSGQIGMIAACSVCIVVPTVLVSLRFIARQ RYSGLPLDASDICIAAALLFVLALCIVDYLMVFKGGFTFDLTEIAERFGPDALTIFFK CMAAWPLLWNSCVLTSKLSVLAMYMTLMPVPRMILAVKCVGTFVILYNVSGFITGLAI CRPYSKNYDWQGTVEGSCGDVKVYYEWLSAINVVSDVVILLLPLPFVYNLQMALKKKL VLFGMFGIGFMTCAVTIYRQTLLPSLDNANPTGTGLLAFLFSTVEIAVAVSLACVPFL RPLFRGTFGGSSADNSKYKTDASYAFSNKGTKAHHSQGFKELEDDGSEIQLQPVDLER EIGVTVETTWKVEPAGGDTARSSVANYQATASSNNRL PFICI_02651 MAASSPDMENQIETSNRSSRDASNIDAGEKPSDSPDFGPAPDGG VTAWLVAAGGCTVFFCCLGFTNAFGAFEEYYLTHQLSDKTPDDVAWIGSLAAFLQFAT GMIAGPIFDRFGVNAFRPAALAYVFAMMMLGLCKTYWQIMLVQGVLMGIAMGFLQIPI FATIPHWFDKNRAAAFGIVVAGSSLGGIVMPIAISKMLNGTSLGFGWTVRIVGFMILP LLAFSCVVVKTRIPLRTSKVSIPFAQVLGNKTYLLLVASMFFLFMGVFTPLFYIPTFA TTLGIEATLAGYTLAILNAASFFGRVIPGVFADRMGRTNAFALGGIATGIIIFCMNLP TNTAGLIVYAVIIGFWSGTVVSGATAALTVCIADPQQIGAYLGIGLFIAGFGSLIGPP INGVLVDKYGGFFEVSMFSGAITLFGGLIVLVAKATMPQGLLSKA PFICI_02652 MEPERRRRRPAVSCVLCRRRKIKCNRESPCNNCVKSGRNECVYE NPPPPAPRQPRSDRMSEAGTDSQLSLSSTNSIQSVVQSHGSDPASRGPTPATTTTGSS SSPSAAWDIETLKNRVRQLEGQLSQTSLTPTQRAATPVPVNIETNTTSLGGTFHIHRD NASNPVVRGVTHKSRKFGQSHWVNIVGITLFKDLIVSIEPLLRDPSCPFMTNHQRGKA LARTIKAQRTPPWPTTITSPLPPKNVSDELVDCYMRTTETVYRVLHISTFRGDYDAIW ETDVRPDPVFLIVVKLMFAIGAAVFDERFTLRASAIQWVYEAQTWLAEPNIKRRLTLQ GLQVHILLLLAREVVDVGHDSVYISAGDLFRRAVIMGLHRDPKHMPHKSILVSEMRRR LWNTILEVCVQSSLTSGGPPFFGCNDFDTEPPGNFDDDQLGTEDALPKPDDQFTQVSL SRALRKLFPARIAIVKSLNDLHSTGTYEETLRLDDQLRSLYKNVARTLQPFRSSLGWT SSQFGAQMIDHLVQRYFLSLHVPFYGPSLNVAQTAFAFSRKVLIEASLKIWYNLYPQL LNPNGPSATDGDLFSGSDFARVTAAGGGVPRLAANQAALLIGTELEAQVKEHESLGGP ISIRPDLFAVLQKAVDWQLHCNRIGETNIKGHLITYMLAAQVNALMLGTAKGETGPLL VKAAIEAEELSIPLLEAIASEKQDELSTDASYSGSLSTPASMDWDLMMTDALLDAGGD MDTMGWQFSDDNMKGDTFW PFICI_02653 MSGSALAVYGLDASDTPQDPYYPQADPTGPTFLDTGACVCALRT LPAVDSNATAWQCIGNQTQNVYVATTGKWFNTLNSDSNVKLPIYDASNGPNNSTTYGW DDSKSQLVEVTDQSGFTGYDRACTAVNQTTFSTAFYRATDEIARNVTPVDAAPCWRAG AAPIQLQNLTSWESQGCIEGFLCANNTANSLPQYCPPLTECQELRLAGAVCEFNGQNI GMGPFEPTICRAGYYCPSPGNEIIQCPSGSYCQPGAAKPTPCGVGGLCPAGSTYNRNV IPIVFLLLVDIAMIIVALVFVIRRRFHKTASAHAATLKSRPTGFGAYAAKSSGYHALD DEHDHENDQDTEMQPMQPGPIPRSQTWGFNAVMEMGFNRGTRAEQMENATGMSPELRS FVESMRRATDAAQFGLSFRYNNLSFQPKRSSKMILQNVTGSIDRGSVTAIMGGSGAGK STFVNVLMGKTNHTGGSVAINGIPGKLKRYKKLIGYVPQDDVVLPELTVYENILHSAR IRLPRTWKDKDVVAHVNAVIDCLELSHVRDSLVGTVGKPVISGGQRKRVSIGMELAAA PMAIFLDEPTSGLDATAASSIMGTLKAISRLGISVIVIIHQPRMEIFDMLDNLILLGN GQLIYEGPEADVQQYFEGNGYQFPPHSNHGDVVTDIITGNGRPYKAGGEISKESLIAY WKNSQQSLSWNSRPVSMAPVDSSAMNRVLKTRGASRVKQTWLCLQRAMLQQYRNGLVF WSEMTLASIAGFLIGLAENSKKGILFTGMYKAPFEILSVATDYKSAPETALLIAIAIG LVCAAPGVKVFSEEMLLHRREAEAGHSRLAYFVAKSIAVLPRMFLGCFHFSTWLLLLA VPVMNWGSAFLVNLLYFYCIYGLASFLSMFLRRDDAPLFATMIALIVGVLCGASPSLA KVQTWHLEWLWRASPAVWLAEVYVGQLVSPFADLYNIERAAQATGYHLDWFMNNLAVL FGIGSLYRILAFIGLFTGKRVRI PFICI_02654 MACPHVESISLSPPTPSQSVYREDCTQCFDSIDEPAGLDVCLQC FNGGCPGVKTHSKLHSITRSHPLVLNIRRTRKQLVKDEPPAKITKLAIAAETEADRYD THTAARCLECDVDIDLFNPKIAPVVNGILKANTFSRQEEVKAWEQELTSCEHILLLQQ QESRQLQSDLGHCSKCDLKENLWLCLECGNLGCGRAQFGGVGGNSHGLAHATESSHAV AVKLGSITPEGTADVYCYQCDEERVDGELSSHLAHWGIILSDQIKTEKSLTEMQIEQN LRWEFSMTTEDGKELQPLFGPALTGLKNLGNSCYLASILQCLFDLPAFQDRYYRPGSD LPIVADPAQDLETQLCKMADGLLSGRYSKPDSDVVASEHSPEVPHQKGLAPSMLKHLI GRGHPEFSTMRQQDSFELLQHLVKLISRSQHPSPLRDPTQKFRFLMEQRLECLSCKKV RYQTNEQDSIFIDVPLEKLPAVEGQGEQYKPVTLKQCLDNVTAPEAVELTCASCGSKD GFTKRSLFKTFPDTLIVNARKMAIENWVPRKVDVPVLVGDEPFDLDEYLSSGQQANEE LLPEDSTSAAPAFVANEQAVEQLMSMGFPKNRCDRALYATGNSDANIAMEWLFGHMED PDIDDPLVIAAPAAGGGALADDPEKIEMLTGMGFSVPQARKGLRETGGDIERAVEWVF SHPDDMGDFGEDAPPPEAAERTEAGTSTLPAKFQLHSIVCHKGTSIHAGHYVAFVRKV LDTQVPKWVLFNDEKVVEAGDIEEMKKTAYVYFFNRVVLV PFICI_02655 MFSRVASTTARRVLTSSTTPRLASASRQLLNPAANVARRSYHEK VLDHYSRPRNVGSMAKTDVDVGTGLVGAPACGDVMKLQIRVDPSNNTISDVKFKTFGC GSAIASSSYLTELVRGMTLEEAGRVKNTEIAKELCLPPVKLHCSMLAEDAIKSAISNY YTKNPQAKTTNLAGTAQAIPAAGEAAVAAA PFICI_02656 MHYIRLLRPASVNASNPKSPRLSLTLTITTDLGDTFLAPDSPVK ILFTPKLQASSDGVTTVQPVQPVNGPAIWKDGMRVLKVKLPWKKAWSHGRINLCIEGL VSSGNDSISSRDLQMSLPWRRKQFAKSNPGLIAPLTVELDEGRGSDVAVREFIYNFPE SDDVPTMFLNLEEEIGESIARHIWDAGLVTAALLLDGCRVEQNESESDHIIPITRKSP NVLELGSGVGILGVALGMAIRPMASAQGIELEKATVLLTDLPEAEERARANVERYQVG AHAHSQPAEILYENLDWDDGSKGKFGPLVLSRCWDCIVLSDCTYNVDSFPVLVETLSA LHAHNQKYSSKHDDITAGSPTTKVILSTKPRHDSEKALFGMLQGAGWKHHLFKSIPLP RLGDETETVEIYSIEKGPGSETTGSKKRRSDDAAQETEKKFAKIDS PFICI_02657 MEVFTRRALPAFRQAQPFCATKPITRSAITWAIQDHWEDPKGPQ GIDRPSPKLADITPGSPQWLRDLHKNGWALVKGAVPKERALKYAEKGEEWLEGFNMGY KRDDRSTWKSGNIPRHRYGGLFDHFSFAHAQFVWDCKSEPGVRDIFAKIWGTDKLTVS FDGGTLAFPTPEEADHGKEPWPHSDQSAYRPHPHCIQGLLNLLPNGPDDGGLAVMTGT APLFEQYFKEHEPPEGGWIKRDLFNWTNETLKWFEDHGCKWVKPSMEPGDFILWDSRA AHYGAAPLSDNKRMAIYKCYKPIEFMSEEQRQRKVEAFKRGYMTSHDPTDFVLKEHQF ADWNILHPYGPPTINKETQQAIGIIPYE PFICI_02658 MAPKVVRWGILATGNIAQTFAKDLLVDPATRNVHDIKHTIVSVA SASGAARAEQFLKDVEAPQTAKGYGTYEELVNDDEVDIVYVATPHSHHYQNVRLCLES GKPVLCEKAFTVNAMQAKELVQLARVKNLFLMEAMWTRYFPLSIYVRETITSGRLGTV IRVYADNSLNLKPHESFPKESRMVNPNLAGGGLLDMGIYSLTWIFQTLYTTQPSDTRK TPDVQSALRKYEPTGVDETSTILLTFPRDSESGGDMHGISTTSFMVSNDSDGKNTSGP AVRIHGRDGELQVWPPLWRPTRTRLILADGTVEDKTFSIPGPGKGSNW PFICI_02659 MDEFSIKGKTAVITGGARGLGFAFADILSEAGANIAILDVGTPK EGSLESLSEKYGVKVNVNAAGVVTDESFLTTSDKNLASTFNVNFIGSFLVAQACGNAM VRKWEKLGKPKPADSASMGSIIFIGSVATHISTYVQQITCYTASKAAICGLVKPMAME LAQYGIRVNSLSPGSMRTDMFLQVEKVFPDLTKQFNQESMFGRVGYPKELGPALMYLA TSNWTTGQDILVDGGVSSWKHRGSW PFICI_02660 MESTYHALAQRIHGIWTEKRQQGQHRIIIALAGPPGSGKSTIAH QVVNQVAEMYPDLAISAISADGFHLSLAELHALPNAAEALARRGAPWTFNGNAVVDMV RRLRDSPEETSRVPTFDHAIKDPVQDGLTVTPATKVCLVEGNYLLSNEEPWNAIAALA DAKWLVTVDAELATGRIAKRHLAAGIEKTMDAALARTLENDMVNGEYVLRSSQGRYDL LIKSIERVELNSSNGNANNA PFICI_02661 MGKIFSKQTGSTVHVPASDESSRSPVTLPPSSIQRDVPPSSTSV PYGSSSRQAVTIQYTNPSYTTSTSHLEISPLNTNFSGAEHDESTVYGNAGSLNSPVGN MKMPPSTHRRASSWDSSFPKIHPVTQLEVFRSGSFGDKDGVQIVCGHVLKALRQVVAS SEVSPDDEIIISRDSWIMEPYELIVYNKVRIEEHRRETTNDVAKKHLEMLLDFLNTSQ LGVCQKFDEVQSHKCQNIRFKHLFLLYTPRTTVFKVDNDAWRAYVIEKSETMVGMKPT SLRIHAWFLDFNNAGDKLIPHRATFEVSQFSSERPIKHLELLPEWFIESQNSPRQELI DRGWKHWSYGKAPSHKEYRGTAWRQAPYETPLMVIVDYTTSSRCVQTGDATIEPDSTS SRCSACVGDSLGLFSFPHAVESPRGSHVCVNDVTMTHSRSEGSPTEDSSMLLFCPPKL WAFSLRFKTWSLVSHSDLKEVEPQENPFDDELYMDSTRKKSLSGIVSSYLNSVSSHGD NYSPTRKVRGLNILLSGSSGTGKTFTAECLARKFGIALYTVTTGDLGVEPTVFDQRLQ ETLTRATNWNAMVLLDDVDLYSKERTGYNNERAALLPTFLRDLEYSKCLCFISMISQE GVDPAFSSRIHLAVPFPKFDFESQKAIWHSLIEGLCQDGHSKFELSRFVNGTLRGLDQ GEHTNMNARQIKNCMDVALVLAQNEGPGAIVMPHHVETVLELGMAFKAHIMQESGTRL EVIGEDLFI PFICI_02662 MDCLSSVAVVGQLLGQAIDIWQQIDLVRQTIKAAPKLLNDLTVQ SANLLNILRDIKGSAELHTAAIHTQVEYIYTISLELQQILKRLALLNRQSIIRQSLHV LSHRTRDESRLNDVLSRIEKAKTELLITMSLVHVEKTGRLSEGLQRIESNTRRDSFGF SPTIKVDRNHTDGTAGQNSAVAGVEDCKVPFNASITDNTALGQSRQNNLILCGPGSMA LLQAVLLEA PFICI_02663 MPHNAVATRKPLELEISGKNSSRNNRITFEYGETVRDVSDRIRS QLQLKPNMQIIFNSLEGPHQGQLQGLLSGDRMSKHFPRGGKVRIDTKAQVPSEADFSI QGNKTHQSGKQNNIIAMQAEKDKAAIKENTASDTSKQNNFITNDAKSVIDKFNFD PFICI_02664 MGSSEYSVYTGFWTNWTDGKVMGATLTLNRSNANLLIAFLAFFL TIVTTRLWAIISFIIHINLSSPDPRDALHHQRQALLRNNGGPMGASLSLIKLAWMWRK STGTYRRVLPLLLGALLLALGFAVAAGFSSRVSRGSEVLLLPKSCALYYPPNDMIYRS NYLDWQSIMDTEAASYAQSCYSNVSTNSASSCINHPYVQQRLPVTVDFQAPCPFDSDL CALNTPGMILDSGRLDSHEHFGINAPPGQRFQFRRVLHCAPLRAAGHTDTFEYTTNTS FTRYFFGETDATKYTYEYPSVNNIVDMTMNGDNDTRWRNSDYNLKLNYAFPHNGSLDT PVATWSFRPLPGLYKEDADMMLIFLSPNQVQFQYPTADPWYNNSQTSISYKMDLFEGG ESFEAEWWYAAEPASPLACHQQSQWCFGNGAEAKCTPLAPISDLPSYARNITDRSSYN RAGWVDSIFNTQGNSEFPSIAKTLGSKSLTSRFALNLGRQGTLTANQWQHDVGFWYDS MLARLQQAIVRTVMGDIPDEPEFIKPAKTDEARSICANQKVIDLVHVSLSVFGLAFIF VSGLLIIIISYILEPLTRCAGRRLGKNSYARLEWYSTGVFQLQRMAHEALGVGDWDYC GDDIPTTAAGTTLALLDISDENHPFLKADTARNVQNAAKTTEKPSHTVQSTETMVVAA DTVDSQRGSQELNSKEVASTGNTDRPTSDNEDPSRILDPPALQMPSSSRLASATDGEL HWNGNEALSISDSHMTTDRRSGLSPQSNSPSTIPQISFELSPTRSSAEDFALA PFICI_02665 MGREVLIPSHAKAFEAGSHTSRGTVPLQEETSCSPAVQENGPSQ SEPVAIIGFAMRLPGGIHSSDDLWEFLSSKKSALGDVPENRFNINGFYDPAGSPGTIP VNKGYFLQDVELQQFDTNVFPIPRMELERLDPGQRQLLQVAYECLENAGVTSWRGSSI GCYIGEFGEDWADVSARETQHRGGYRCTGLGDFAFANRVSYELDLHGPSVTIKTACSS SLVGLDLACRAVQNGQCDSALVGGTSLIFSPTTYLALKDQGVLSPNGQCRSFDAAADG YARGEAVNMVLVKRLSHALRDNDPIRAIIRGTGVNIDGKTNGMLTPSPTAQAALIRHT YKAAGIKDLSQTAIVECHGTGTPVGDPIETEAIAQCFGDKGVVITSVKPNLGHSEAAA GLTSLIKCILALEHNQVLPNINFEKPNPKIPFEKCKLHVPTEVESWPKDRAERVSVNS FGIGGVNAHVILESPRQFGIEGKLNSRRLVNSEPINGVNKNGDATSGIQIDGTHTNGN HTSGTHTNGNHTNGTHTNGAHTNGTHINGAHTNGTHTDGTNVNGTHVSNIQPKINGHK HDPRSVLLFSAHDADSLDNQIDEYRKYTESHSTSLSDLAYTLAFRRERKPHRAYIVTD DASNLGAVSDKQVAEETAPRIAWVFTGQGAQWPEMGADLIDSNATFRATIRKLDEFLR TLKPPLPWTIEDELRKGKDTSRVHRAELGHPTCVALQIALVDVLRSWGIVPDFVLGHS SGETAAAYASGALTAEAAMYTATRRGISNVSSERKGSMAAVGLGKDEVEPYLLPGVDI ACENSQCSVTLSGDTDGVEAVLKSLKEDKPEAFARMLRVEKAFHSHHMREYGPSYEDQ LKSFVHSVEPEIPFYSSVTGKRLTGDGELAASYWRANMENPVLFNSALRSALGDETGK VLLVEIGPHPALAGPIGQILRDIGRSDIHIGTLVRGKGGLESLLHVAGKLYQHSAEFD ISAICPPGTVVKDLPRYSWKQDTSHWGEPRVSRDWRFREHPPHALLGNRVTEMASQSS WRKVLALEDALWLTGHEVNGDVVFPAAGYIAMIGEALRQLSGETTYSLKNIRIASARV LEMDKPTELVTSLKPISDTSDSSPWYEFTITSSDGTGWVKNCYGQAMASVDKSLYLDA SLQRTTSFPRIVGEKDWYQVLRRVGFNYTGLFEGMSSVSAATTSSEAKATVAAQAQGM AADDARCATYTLHPAVIDQCFQLFTVAACHGLRRNTSQLAVPTFIEQMVVSPSAISLD VTARINKLEESGSWTGDLVACSDAGPVLSLKGMRTSVLTKGPTEDQVPVISQLEWKPH SDFVGLENGLHPRAPRLREWPLLEELIMLCSFDHLEQVKPTEHTPEHLIKALDWMKLH TDQYQSGANVLISRDLAIQDMTQEQRLARINAIVAELSDSPDAVFSTAVYRLFKEAPA LFSGEAHALHILLRDNVLSEFYDAMSFDSADAIRLMANTNPHLRVLEIGAGTGGTTAR VLEALTSSFGERLYSLYSYTDISAGFMTAARERFASAAGIEYGVLDITKDPAKQGFDL GTYDLVIGANVLHATPCLNTTLRNAISLLSPGGRLFLEELCPDSMFMNYVMGFLSGWW LGADDGRVNQPWVSPERWTKELVNAGFQEPEAIVLDSAAPYHLNAGIIASRAARRSTP PMVALLCYAVDGPYVEEMQRSLAAFDVAVETCIFGEPLPACDTISLLDVQEPAIHNMS EETFETIVGYFGPHKERLFWVTQASQVNCEDPRAAMVLGLARTARNEHSRDLFTIEIG NNTTTPIAAEGITDIMRRAHNPELNPKSMDPDYEYAIDEGEILVPRLHWQTAPRAYAE TNEEGSHGGKLKQLTMTTPGLLHTMMWRDAEIKSPKDDEILVETRAVGLNFRDVVLAL GIVEGNPSEMGFEASGIVRAVGPGVQRFSVGDRVVFLSDGCFATHLTLQESLCVKLDD TMSFIEGATVPCVYATALMALMNTSNLRRGQSILIHAACGGVGLAAVQIAQLIGAEVY CTVGSESKRAHLLDNYGIDASHVFTSRDASFLPGVMRATNNRGVDVVLNSLSGDLLHA SWKCVAEFGIMVEIGKRDFQRRAQLAMETFEANRTFVGLDLRGLSLSRPERAVQLLER SIELIRSGALKGPTISQVFPAAKIQDAYRTMQTAKHIGKIVIEMPKDLLELTQEQEDK SMLESSKPAPSFRSDRSYLLVGGLGGLGRAVATWMVEHGARNLVFLSRSAQEGPELQS FLEDLRSQGCKVLLVAGSVSSMDDVQSAVDKATAIQPVAGVINLSMVLRDAGLSEMTF SDWTTAVEPKVKGTWNLHDATASSPLDFFLLFSSQSGLIGLWGQANYAAANTFLDAFV QYRHRNGLAASVIDVGLMGDVGYVAGNQDILQSLERTGMYVLQEQHLLDAITLALKRS HPPQVSTGKNTHRSVGQVVLGLNITKPISSPTTRVSWKRDARMSIYHNLEQSAETSNS SSTPGSKPLKSLLEPGQSEDERTGIIAKALAGALANFLIKDEDSFALDRPLESLGMDS LVAMEVRNWIRQQIGVETSTFTIVQSPSLTHLGNHLRQALDNESKE PFICI_02666 MLQHLEKISLRDLLGAAGAAAAAVVGCSILLILYGIIYRIFFHP LAKYPGPFLSKFTNFYSAYHAWKGDIHLDVLRCHQIYGDRVRYAPNRVLFNTTQGLRD IYGHGAHVKKFDGYKVLSSQAANTLTLSDKAQHARRRRVISQAFSESSLRLFEPDMIA RIDRFCQFVGGPAGAVTHLTFDLMTALSFGSDYHTIEESEFRYVVPAIEESNIRLSVL WQAPELTFGHLDRRLLPRSAKAAQQFVVFLRRLLKDRLHKDGADRKDIFSFLQKCTDP DTGEALTPKELSTETATFIVAGTDTSSTAMAGLSHYLAGSSHAYRRAAEEVRSTFTSI DEISLGPKLNSCAFLRACLDESLRLSPPGGGPLWREVEASGAVIGGDFIPQGCEVGAG IYAMQNSPGNWEDPGSFKPERWLEKTDGRQPYFPFNIGPRSCVGKPLAIAQIMLTMAR LLWGFDFRRADLDKEPGESSDMNTERYVLKDHVTGQKEGPFLCFRPRT PFICI_02667 MAENGGVKAVFVPPDGGPRGWLNVLGSFLLQSSSYGYVSACGVF QLYYKTVLLPGYPSQTLGWITTVAVCLIFGACLPTGYIIDRYGIRSVTAPSAGLGLAS IGLLSLCTKYWQILLCQGFALGFACCGMMLSGVVCVTQWFSTRRGLAVGVASAGGGFG GVIYPIMVARLIDQHDFPTAVKWSALPLGMGMLFGVLICESPFPSRRTKGRQAKREAP EEPSAAIEVPQSEESKAESGVASQGPSAAGSLDSGDLERQAQKSGVLRSLRSGGLAWP CFAVGVFFCMFSLLAPLNYLPEMARETGTSLSLSQYTLSIINAGQMFGRILPGWLSDY AGPLTTMTLVSGMSAIAMLVIWLPLNFYPNYAGIVFFAAFYGLSCGGCMSLASPCVAA LAKGKVHDLGVKMGIACSFMAIGSLVGVPIIGLIKESTNSFDGLIATAGVTMVSIFLT VLEMDCPLLNASYFNILRV PFICI_02668 MANITLCDDASFGPAIQGCRGDFDFTLLFEQTILSILPSSLLVV LGVARLRTLLSHRKREVLGTKFQRFKLVGIVCYGIVQTALLALWAQSSSHQTRVSLAS AVLSLVAVFPLAALSWAEHAYSPRPSALLNVYLLLSVLFDAAQTRTLWLKGSDSTIAA LFTTSLALKICLLIQESVEKGRFLPPAWDRKSPEEKSGIYAQSILLWLRRILAKGHRH IMKPDDLYPLESGLATSKLSQLFRAAWASGAGRSLVNRTVLALLQTLKWSFLGPVIPR IVQIACTICQPLLLREFLNYIQGFGSPMISTGYGFIGAYGLVYLCLAISTCWYWRLTY KGLVRMRGCLVAAIYEKTVNIDVARYDMTAPVALMSTDMERLIQGFKDVHEIWANTVQ VAISIWLLYGELGIACVAPAVVTVLSSCGSFLISKYAEKSQVKWMEATQERVSKIGKC IGDMKGVKLLGLSTGIHAMLRSLRSKELGAARHFRYIEVLTAIIAFVPLLLSPVFTFM VFLLQSRSSGTSLNSTSIFTSLSLLQLMSQPLANLFQSIPQFIASLGCLGRIGSYLAA RDNHNTRMITAGGIHGDTVSSDDVAAYKKEAQGENHNAITIRNGNFGWIDAKPILREI DLDVPKNRLTVVVGPVACGKTTLSKAVVGELPWSEGQVHLEIESQNIAYCDQEPFLMN GSLRDNILGFSHFDNNWYEHVCQAVDLSKDISSFPGGDLTQVGSRGVALSGGQRQRLT IARAVYARASLAVFDDVLSGLDATTKDHVFQHVFGPQGLLRKMNCTVLLFTHDISALP QADHIIVLSKDGRIAESGTYEKMAQSSEYIKSLAIRDNNNDLDKTTTDTVGVPKELTF PGDVPDLDMSNDLKRRLGDPTIYKYMFGHIGLWRMLVFAAYQCGWAVFSTIGPVWLNF WASAIASGEDRNTYYMVVYSVFQTLGLVFLALFAGHTLISIAVKSGSALHEVALNTLM RAPLSFFSTVDMGVTLNRFSQDIILIDGELPLALLDTVSAGLVALVQLVIIAVAAPYV AIAYPFLLLLLFAIQGFYLRTSRQLRFLELEAKAPLYTHFLETLHGITTIRSFGWASE SLALNQKLIDASQRPLYLLYMVQRWLQLVLELMIAVTAVVLIAVAVRLSSSTTFLGVA LVNLMTISAELKRIVINWTNLETSIGAIARTKSFQESTASEELGEGTDVPPTAWPRTG DVRLDSISASYKADEMASLAFQDVSIMIKGGEKVAICGRSGSGKSSLVLALARMLDLT DGSIYIDGVNVRNLPRNTVRSALNIVPQDSYFFYEKVSQNLDPSGLASDQDMRSALEK VELLDLIETNGGLHARFNAELLSQGQKQLFSLARAMLKPSRIIVLDEATSSVDKHTAS IMQHIIREEFAVQTIIAIAHQLDTIMDFDRVVVLDSGRVVETGSPQELLKRDSIFKRL CQLQGI PFICI_02669 MTDRQVYSPVDRGSLSADGASQSFLSPEEKDYVFDGRRPAKSRF NLVLRTIFFLFVLVLHAGLIVLLARWLAPSFLGSSSNTEPHGITSTHGSHGSHMGHMG GHKDNSCASEDDNRVYAIEETGRDSVKNPNAEFTYMNPCGNSAAEARERGCKFGLLYG AWLHPQCYDEETEENFRKYTNWRFWLQPNRTQEVTIEEASKGEHDFLLVEWEFHQRHC AEMNRRLFTAVSRRGLHTIDSYLSQWEHWDHCAHAQMEVNPMHGLSALLWRKFPDCGL IRWNY PFICI_02670 MQTSFTAILSLFLVANTLAAPVDNAAISKPTIKRDTEPFNVVKR DTEPFNVVKRDSEPFNVVRDTEPFNVVRDTEPFNVRETEPFNVVKRDSEPFNVVRDTE PFNVVRDTEPFNVVKRDSEPFNVVRDTEPFNVVKRETEPFNVVKRKENFAPFNVVKRD SEPFNVVKRSGSYPEPFAVV PFICI_02671 MTALASRFPFVPNTGDKERSGAATLQLRATDKVGLAKYMRNSQD ELARLANESAIQKTLKSYDIAKEIVMIERSTKMHSPAGSPSRKSRHRVYRSYGNDEKP ESNTTSLA PFICI_02672 MISAVIRKTAVCIVALTVEVTAFWRLPCSSPVVVERADPIMDPG VVSTHAHTVMGSNAFNFSMNYADTQTATCSTCKAKQDLSSYWVPNLYYHAENGTFIPV KQSGGALIYYLQRQDEKDPEFEKGLISFPRDFRMIAGNPLNRNHTNSIEESAVSFVCL GITEPATPELPKHNCPNGLRTQLTFPSCWNGVDLDSPDHKSHMAYPSGTDTGPCPSTH PKRFITIFYEVTWNVDDFKDMWYGDKQPFVFSHGDPDGYGYHGDFLNGWDVDVLQKAI TECTDNSGVIEKCSVFDLRTDDEMAACKLLPRVNEDVTDGVLAALPGCNPIQSGPGEA VYQHDCGAVDTIGDPILPFTDVSTTLKWRYVGCVKDPAGQSRTLDGASQDTTDMTVDK CIAYCDAAGFNYAGLEYKTQCFCGNGVAADRMPANGTIGECEYKCGGDGGQICGGYAQ ASVYQKCSGECQNAKLE PFICI_02673 MAMPRAKPDTFMVKYVYPCGEENLTEFRLSLLPFIKAVMNVEEP MRLKPTLTIYFSRSLQHCNRCSDSIPDSESETEKEPKPTIFYDFDCGHDWTQALTRET LTILENRGVIRTGNRTAYLRVRASHCRDCRNRAFDALFSDLYTIAAPNGAPPPTNIRE VLLDQLRQLHEAYTIDVLTPNMYKQLLGLVAQAFVDHLGDQSMASWLGNEPFNAFFVD DLRKRIREERYEASSRR PFICI_02674 MSDYTIQKEASRIFHDVLLQDARLGLPKEVIEAASRTIVDEETP IAKPFLPAPTKASETSTALWALLATYGNVLAQQRFGITQTVTVNSDLATIFIFAFMLT KFGDKSIGDPDIVPRYQKYDLAKQYLPWRRLCTNVYPTKDGRWFHTHASLDANKTLQM LGLPTSDDEKDELKIIGRYCDKLREYDAAWLDLEANEHWRQAGCIALTKEEYLASEHG KVVAHDPIYLVEAFQDERLPPVPWPQVDNSTTFRPLEGIKILDLTRAIAGPTIARLAA LFGATVVRISHEQLSELGAILVESNLGKVDVNLDLKSDAGRQTLLRLLEDADVLLDGF RPGALDRLGFGPKYVHELARRRGRGLVYARENCYGWGGPLSHRSGWQMISDAVVGLSW EMGKFVGLDEPIVPPLPNADFQTGIIGCLGIMNALDRRAREGGNYLVSMSLNQYNSFL LSVGSQPADIQAGLQEKWKDMNFRHYDNMNRILRKLIPAFPEKVPELFSPAYFEKISA DWGTPGEELTILKPVVKYEKTELKYDYGSTEKGKHPAEWPSQQL PFICI_02675 MASASDSASATGHAARVSQEQHVTAAQGHHNHNPEKQPDGPEDN VARQMRDLIQDAKQATEKEHRMTLWQGIRLYPKAIGWSVLISTCICMEGYDVSLVNNF YAFDQFNRKYGEQLPDGTWQVPARWQAGLSNGATVGEIIGLLINGWVSERFGYRYTVM ACLVLIIAFTAIFFTAQTVVTLQIAEILCGIPWGVFQTLTITYASEVCPVALRGYLTT YINFCWGIGQEIGIGVIMGNMWRTDEWAYRIPYALQWMWPVPLLVGIYMAPESPWWLV RRERLDEAKQALLRLTSMEKETEFDADETIAMMVHTTALEQKITKGASYLDCFRGTDL RRTEIVCMVWAIQNLSGNSFSNYSTYFLEQAGLDSSKAYAFALGQYAINCVGVFGAWG LMKLGVGRRSLYLWGLVLLFFMLLILGFLGMVPDRTTASLATGCIMLVWALCYQLTVG TVCYSLVSEISTRRLQIKTVVLGRVLYNIVAIVCGVLTPYMLNPGAWDWGNYTGFFWA GICGLCIIYTYFRVPEPSGRTFAELDLLFEKRIKARKFATTQVNVFEQDLDAKLAEQY RDQIAIAHLEHDLKLH PFICI_02676 MDQNPQKPRDDASDKAAPRPVEEPYRYPTASESPREQRTTHSPS HTHSISRSEPSPIEKPFVSWSGSDRNRDVSDSPIARSHSAQQPPPQDRQLPTPTRSVG SLSHLSLSDPFSLMNETEAHLFRHYVQHLGVCLDLTDPLRNFELVVPQRATMSPTLLK AIFAIAARHLSQTSDYDPLASNRYHDECLGYLIPMLDNSFTVSDENLFAATIILRMLE EMDASSGQDNHGHLLGIHAFVNVGDQIMLPGSLSAASYWVGLRQEIYSAMSTQAPVKI RLDHFIVDRSFEPTDDYSWSNRAVVNLADVLNFCFSETAQPNSRWTYLNDQCTRWDET RPPSFEPFYFREQGVADAFPQIWYHSSCHVVGVQHHILAQLLLAQFDPAIPRVGTKRA AAVKAIAKRVDYLMRQICGIGSCNQWTPPALFTASMGIAMFGDQFENRSDQEAMLDVL KKTETNHARPTKAIQQQLMRVWGWMTDYEHG PFICI_02677 MAPGALVDHDTTARDGQALEDLSDQIDAVNVLKDQKKAAAAAKA KAEEEAAFNSKSEFDSEKDKTQFRQYEDACDRVKNFYLEQHTKQTVAYNLKARNDFKF REKHHMTIWEAMEKLNTLIDDSDPDTSLSQIQHLLQSAEAIRKDGKPRWMQLTGLIHD LGKLLYFYGSQGQWDVVGDTFPVGCAFDERIIYPGSFKENPDINDPIYSTKHGIYSPG CGLDNIMLSWGHDEYLYHVVKDQSTLPAEALAMIRYHSFYPWHKEGAYRDLMDEHDHQ MLEAVKAFNPYDLYSKSDGIPDAEKLKPYYLELINEFFPNEVIEW PFICI_02678 MHPPKGTNDDIGESRQDVVLNQQKVSSDMGERKSISDAKNIQRT DGISVSEDKAHQPGNHEEKDPPPKGPTNGGLVDTVDKTASGTAASMISRLTWIPKRCR YDPDNPPQFSLPMNMLMGLAGTFTVANLYYPQPILNILAHDFNVSFETASNVATLSQA GYAVGLLFVCPLGDKVRRRPFVLTLIWATATVWLGLCLTNNFNAFIGLSFICGVGTVT PQLMLPLVGDLAPPHRRASSLSIVVSGLALGMLIARVLSGILANFTSWRNIYWLAFGA QYMILILLFFFLPDYPAKDPGLPYFQLLWDIITMVVKEPLLLQACLTGYLLSAAFTSF WTTLTFLLASPPYNYSSLEIGFFAFIGIAVITLGPLWSRLITDRFVPLFSVILGLSLE FVGIVIGTFIGKFTVAGPIVQAITMDTGANFAHTANRTNVYTHLDPKKRNRVNTAYMV FSFAGQLTGTAVGNRLYARGGWTWSGGCNIAFIGFAIVLCFLRGPHEKGLVGWGGGWS VRKDVAKKEKDEENGNSTSPTPNQEQMAEEAQTSAPQNPPADGHEDKK PFICI_02679 MAATETTPLLGNGAQGKKQPVARAKAEQKSSFFGPANRILLAGF LMAFTLGITQVPIIYVFRVMECDVFYSHNPPFDGIGDRCHRREIDAGTATQVSILGMS TSFSGVFNLFVCGYFIKLWGPRWAFVSQTSLLGIRVATQVMGVTIGGRTGIIIFQAFQ SIGIIGGPRGFQLVLNTAVSEIVPARDRTAVFGRLQGSIMLGTAFGYLLGGVLGDMYG IRRPFEVAFFLYITSSIYGALFLPEAPTEGHAEKKNPRGVGGFFAPLKVIVPQKYIQE DGKLFTSYGLIFLALGIFLGVFASTYAPTLLQMYATSEFGFGTTENGWLMFGNALIRG LFLIVMFPKIISVGRTWLNGPSENSHGNEVANGDEDDVPTDPRDFPASPGEEVPQEPI KAPQPEEEEDEDVGTTFDLLFVRWSLVVDSIVTAIAGFSSQGWQVYMAGFLLPFASGS APAAKGVMTEMCPSHQRQDAIAAITLVESAAMLITQGLFGLIFAFFSEIGQPRLTFFC NAGFALVATTVLFLSRFPPPGSKRIDEINDDEAETEQPRVM PFICI_02680 MRYRGNYGIKDLRRDLDGGLGWAHTTESIPTVREAKIKGSFRHT SSQDKTDPKKVVTTSFFKSRGGKLATCHAHGDGTWSIAFTSLGQEELGKHNVAAVGVE LDVNVRVEIKEDGLYHHGEMKNKPSACRGHVSLNNDEAHRIHQASDEKETESTISGAK VIAVSSPSEKSGSYERNHTRADIKARPTSHYYRGRPQLSEEQQHLASELANEGLTKKD ERLIFQRLKTLPWEEQERILQHSKVLKSKS PFICI_02681 MADLNVDEVLKKLTLSEKVDLLSGIDMWHTKALPHHGIPSVRTS DGPNGVRGTKFFNGVKAACFPCGTGLGATFNVELLEEAGKLMADEAKAKGAHVILGPT INMQRSPLGGRGFESIGEDPFLAGLGAAALVRGIQSKGILATIKHFVCNDHEHKRNAV QAMVTERALREIYALPFQLAVKGSQPAAFMTGYNGVNGTYCSENDKLLDKMLRTEWGW EGLVMSDWWGTYSTTEAAIAGLDLEMPGPTRFRGDALKFNVQTDKVREHIIDDRVRTL LEFVKRSYESGVEENAPEKTLDTPETAALLRKIGNEGIVLLQNEKNVLPLKKDKKTVV IGPNAKIATYHGGGSASLAAYYAVTPFDGIKEKLGKTPEYTVGQYSHLMLPPLGFSTK SLNGNDGMTMRFYNEAPDVTGRKAFDELEILKTDNFLIDYEHPDLKSELFYATLEGTL TPDEDCTYEFGLVVCGSGNLYVDGKLVIENTTKQTLGSSFFGCGTVEEKSFYEVKKGQ SYQIKVEFGSTPTSKLGDQAVLMRGGAVRLGGCKVIDPKAEIERAAALAKDADQVIVC AGLNADWETEGADREHMALPPGMDDLISAVGKSNSNTVVVLQSGTPVEMPWIKDVSSV VQAWYGGNETGNTIADVLFGDVNPSGKLSLSFPVRGSDNPAFLNYRTEGGRVLYGEDI YIGYRYYEFAQRPVLFPFGHGLSYTTFAFSDLSISEKEGKLRIDVRIENTGDIRGAEV AQVYVAPKQKSKVNRPVKELKGFAKAELGPGESKVVTVELDAKYAGAYWDEERNKWCV EAGEYEIIVSDSSEVKDAKAVKASFKVQKTTWWSGL PFICI_02682 MAPPTILAHKSAFLTVQTLHLSQSLAPSTAWRNRAHNDHNNDAE GASNTNSIPQRAIDDALFRLNQNLQQHVRRVYPPQATRQVAEQIDSLFLGVGEDDGGD DARDGDEERALAELDELREGIDLTADEAICSLPATWDSHRPEQAEADPADAQQYETLQ DRLQTLSAQRAETKARVERLRQMQALLRPFETNVQENLVTRNGEIEKELERMRVLLVR VAGRIGQLPDKESDGDEVMEDLDVLERKKVDTLLSGL PFICI_02683 MSVRFPLPSAAAYLDRDDYTTSTDSGSDEEPVRRRPVIAQVPVV LPKMPRKKQQAPQDAIDEFWSKFNTKTPGKATTVIPHNSYIRRAAKHARKTGITTKAS YEEAAEVCKAKVSKIVKECRRINQKYRDPHFDLEWDLKWGKRDTLETLHNVKDPDPSE FKPKSVKRVPDIFDNPQFYIDGPTASDVRQGRDGDCWLMAALCTLSNKPRLIERCCVA RDEQVGVYGFVFHRDGEWISEIIDDKLFLTKPDFEESFLERMLWEDRERVESEEQYRK AYQSGSGALYFAQCVHPDETWLPLLEKAYAKAHGDYAAIEGGFTGEGIEDLTGGVTSE IYTKDILDKEYFWKEELMKVNDEFLFGCSTGMWGIGWGDRRGIVELHAYSIMKAVEID GHRLLLLKNPWGKGEWKGPWSDGSKEWTAEWLVKLNHRFGDDGAFWISYSDLLRKFQA FDRTRLFNTDWKITSLWTTLPISWTLDYHETLFAFSITKTRPVVIVLSQLDDRYYQGL EGQYSFTLNFRLHKAGQEDYVVRTISPYCQRRSVNVELELGAGDYTVLLKVNATRDMD ILPIEEVVRNNARERRDKLVAVGMSYDLAHGKGVVIETREEKEAKKEAEKRKREKEKK ELREKLMEQKERTYYFQVKELNKRRERRARAKAKAELKKAQKAQQARQVDAVVETKPS NTTPSKATEKHVQIQTPSPTTPVPDEAESKHDSGRESVASDSSSDTSFRTPSTGSGES SLEVAEVPERFQAKIAAADTENPTKSPEEAVQSPPSPPEKVQTAEKERSLGEDKTALD QKAASEPTRAIANCPKCATIQPESEDDSSSDESDISSVSDISDRVLEYQLDSRPKPPP PPPAQPPVPHPAEPEDEFESNPWNAMATVGLRVYYKGFDDDKDDEIVKLKVVRSIPHA ERHSNKDKPDNGDAKDIEVDKDTETVNSDDRDGAGGEVELGLDVDDSAKDATLVGDPE RRRKSIVPA PFICI_02684 MRTLLDAGCESNSELSREPENSTGSHINYQLDYELAEQSPYRFS GDLSFSVASNQLTTGCDIPELSNTAESDASFKYITNRLHNCKTHHDSCRFTKEWYPSR LIAIQQKDEKIRLRVIQTAEEAVTGPYVTLSHCWGQVQPLRLTNQNFEEFGRNIPMAM VPSLHKDAVTVATRLGVSYLWIDCLCIIQDSDEDWRRESSVMGSVYEYALFNIAAASA TDSSGRLFTIRDSQSVSPDVIALRMRRAQDQVLWHMDRELDPWSFRRSQEFWRYPLFK RAWVLQETLFANRAVIFTQDEIHFRCAQEFSSERMPPEHPLLQNTQFSNSTNRELVSV PLMKFTLPDHCFEDWRKIREHYSSTAIARASDRLIAISAIARHFGSMKKQSKYHAGMW DDQFIVDLGWHTLTPQRRPDVYIAPTWSWASICGRDSSRINYPRLTSRQGQIQIPKVL EIYTKPSGHDEFGAIDNGWLKICTPLYYMGCRKGKEELKSALEQRYGAVGVQRSFLNL YPDHQWEHDRAPLNVFALPLQIEVSSQPVLEAHLLLLVALTPAENVYKRIGLCYFCIL FTDEFWGLPKSKEPERFLGRMERRDEEIHSHKKCDDGWHTITIV PFICI_02685 MTPPRRRLVGVSTKMYFSAARTRQYVDEVLQLVSKSPELLDEVD VFIIPDHITLTSVIGQLDRTKILTGAQDAFYEDSGAYTGEVSPQVLAEVGCRIVELGH AERRRIFKETDEDAARKAAAAARNGMVPLVCIGERNQGEVALAVDECRAQVESVMAAV PEDAEVILAYEPVWAIGAASPAAAEYVVNVVNDIRGLDCVQRRSGTTRILYGGSAGPG LFEKLKGGVDGLFLGRFAHDPAQFYKTIQEIAYA PFICI_02686 MATPKWKIAVGCDDAGVSYKNKIKADFENDPRVESVTDVGSHGT DDKTAYPHRAVDAARLVAEGKCDRALLICGTGLGVAISANKVKGIRAVTAHDSFSVER AVLSNNAQVLCMGERVIGIELARKLAKEWLGYQFDPNSASAAKVDAITEYEHVELAA PFICI_02687 MSNRHIFGSPDGLVTKCIKGIIAYNPALSLDEENRVVINTEYDR SKVSLVSGGGSGHEPAWSGYVGENMLASSVAGDIFASPSTKQILAAIDAVPSDKGTIL VVTNYTGDCLHFGLANEKAIARGHNCRMIICGDDVSVGRKGSLVGRRGLAGQQGVLKV MGGAAGAGESLDDVYDLGVAFSDQIVTIAATLDHCHVPGRTEHGTLKSDEIELGTGPH NEPGYQKLSPVPTPEDLVKRILVYCLDENDPDRGYVKFGKGDETMLLVSNYGGISYLE MGALVDEILEQLARDWGTEPVRVVWGTLETSLNAPAFSVSVINVTAAADNCKYSTNDI KSFFDVRTNTSWESMAGSQAKRRSRREQIAEPVLHTKKTVDDAKDLKVDPKTLDSMLR KACSNIVESEPDLTKWDTIMGDGDCGETLKTGALNLLAALDSQNLASSGSVVTVLQEL EEIVEGKMGGTLGGILGIFFVSMRTALEQNIDQGTGSEGIPELWGKALNVALGSLRRY TPAGEGDRTVMDTLIPFARELLSSNFDQAVEAAIRGAEATKSMKPRLGRATYLGENTS RDSVPDPGAWGAMVAIKGLRDGM PFICI_02688 MASKPDIAFIGLGAMGFGMATNLVKQGYNVTGFDVWGPTLDKFK AAGGHAATTPSETVKGKDYVVCMVATAAQAQSVLIEGDSPALPALSKGAAVLLCSTVP SSYVQGLAEQLKTLGREDIVLVDAPVSGGTYRAADGTLSIMAGASDAAIEQTRFLLEE MSDPKKLYIVKGGVGAGSNLKMVHQVLAAVQILAGSEAMGFARHLGLDLPKTAETILK SEGKSWMFENRSPRMLHPEFRPLASALTIILKDTSIITSEARRIGFPTPLSSAAEQVY FAGLGKGYGPDDDSGMIRVYTEGVGKVGPVAATAQTEEDKLALVVNLLRGIHLCSAAE CLAFAKRLGLDLDQVLDLCVNAAGGSVMLDKTGPEILGVFGASSAPQGTESLPKLTQE LQDAVNEAQRLKVPVFLGSQALNISRLALSHRPKTAPEATLGQVVRVWGV PFICI_02689 MPRPPLSQNRSLAILKAAREGGYAVPGMCCYNMESIIATVRAAE AARSPAMVLLFPWAMQYADEALVKAAASAAHGASVPISLHLDHAQTPELVRRAADIPD GFDSIMCDMSHFEREENLRLTSELVAYCHERGIAAEAEPGRIEGGEDGVAETADLEGL LTTPEEAQVFVDTGIEMLAPAFGNVHGEYGPRGIRLEYDRLESINQAVGDKVFLVLHG ADPFDQEIFTKCIKAGVSKVNINKGMNKHYARVQEEMRGKPLTSVIEAGTDAMQKAIE QYMHWLGSAGKA PFICI_02690 MSDESQPPIAAPDESVVDAASHEAPSRRRESMSQRSEDSQTAAD FLRDQMQLEADAREALPYSIDNCTKQLGPLRQNVFACLTCHPPPAELGADSDYEPAGV CYSCSIQCHGEHELVEIFQKRNFTCDCGTTRFSAEHPCTLRMNSETNTKGGVHSEPAD PNNKYNQNFRNRFCSCAIDYNPHEQKGTMFQCLGLGTHEEGGCGEDWYHPGCLMGLGP KWYEASKPTSTKIISDSNLLPTISEDTPPQSNTPQQTGDTAAAEEGEDDDEAPLPDGF PGEDDFEHFICYKCVDANPWIKQYAGTAGFLPALNFNSPGELASSSAKKRQRDDQADG DDLQNENKRIKSEESTGLSGGSSAMQNDEAKSSAQEASKEEPKDAVTGSDASCKCDSL PQAAPGQFSLFVTENFRNHFCRCAKCFPILQKHPQLLEEEESYEPPLTDAASEHGSTQ GSGSIYERGESALRNVDRVRAIEGVMAYNHMKEKLKPFFEKFAGSGKAISAEDIKEYF AKLRGDEDAIQEAGEAAKSDHRQEQSGY PFICI_02691 MSSANRGPAPRGNRKRARTGGDASSSVAASSPMPSSPPAFNIAH GGDDDDDIEEEAEIQDDIDELDEMAEDDIDLFREGFERDYRGKDDNDGYEGIDIDDEG DYDELNLGDRRRLEAQLNRRDREVARRRRMPAAFLQDEDDDGDIDLTAQPRRRRHHYD EDPDDEMDQDIMDEELSLEALQDVKASSLTEWVSQPAVQRTIKREFKAFLTEYTDESG SSVYGNRIRTLGEINAESLEVSYDHLSSSRAILAYFLANAPAEMLKLFDDVALDVVLL HYPDYERIHTDIHVRIFDLPVHYTLRQLRQSHLNCLIRVSGVVTRRTGVFPQLKYVKF DCTKCGVTLGPFQQESNVEVKITFCQNCQSRGPFTINSEKTVYRNYQKLTLQESPGTV PAGRLPRHREVILLWDLIDKAKPGEEIEVTGIYRNNYDAQLNNRNGFPVFATILEANN VVKSHDQLAGFRLTEEDEQKIRNLSRDPQIVDKIVNSIAPSIYGHSDIKTAVALSLFG GVSKIAKGSHHVRGDINVLLLGDPGTAKSQVLKYVEKTAHRAVFATGQGASAVGLTAS VRRDPLTSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQQTISISKAGIV TTLQARCGIIAAANPIGGRYNSTIPFSSNVELTEPILSRFDILCVVRDTVDPSEDERL ARFIVGSHSRSHPTSQSTEDSMEVEPESQAATERQDSQAKKEGEIPQELLRKYILYAR NPNHCSPKLLHMDEDKVARLFADMRRESLATGAYPITVRHLEAIIRISEAFCRMRLSE YCSAQDIDRAIAVTVESFVGSQKVSCKKALARAFAKYTLARPGASGSSARRGGALSRR TAAATA PFICI_02692 MSVDLPAHLMPRCVVVFESGKPKEDRMTLANFITGVAWMLLACP VEARLPTSGRHSIELTQKDALFGYEESYLSEQDAYNLFSGHDTPVLSSGECRTFPDDQ GWPAQSEWAAFDDVLGGALIQTIPLAAPCYQDWGVYDEQTCDAITTNFSDPYFHEADP TSMMWPIWQGRTCLPSQEVNNTCTLGAYPAYAVNISSVDQIQQAIAFARTHNLRLVIK NTGHCYLGKSGGAGALSVWTHNLKDIELVSEYSSTAYTGPALKVGAGVTVREVYTAAY NHGYVVPGGICESVGYAGGYVAGGGHTPLSGLYGMGTDSVLEFHVVTANGTFITANES SNPDLFWALRGGGGSTFGIVTSVIVRAHPRVNIVTSSFSFSTSANVSNETFWLGIRAF FEDFIPYTDAGTYSWWSITSSNGTTQLSMNPFFAPNHTIESFNQLVQPWFDRLTELGI PFTPNTTRYEDFLPAYNDNFGIKRDANDALATKDSVGSVVSMPGNWLFPRGNWEDAAK FNATWDAVQAHGEAGLRIFGYHQAPRNRLGVDNAVSSAWRETIAFLITGASVPPGAGP EELHQAHEEINAMLEPWRQVAPTSAGGGSYLNEAHVMEPNWQVAFYGEQYPELLRLKR KWDPSDVFYATTGVGSEDWEVRTKAQGVQTQNGRLCRL PFICI_02693 MNDVKTSEEVDTCVAILVGAFVAILATISVAFRCHVRYLNKIYY KADDWLILISFIFTIGADIAMLYSSSHVSNRLGYDESNEDTGRPANSMSSKITFIGAA LYFTITSTTKLSILLMYQRLFSINSDFRYQVRVLIAIVVAFWTGCTIAGLVSCISYER AWVRGLNEAAHCQHYNAFWTASGILESIIDVMIIILPLRIIYRLQMSKRNKLTLIGVF LLVIL PFICI_02694 MGFNASDKTTGEHCEVTDPEMLDDPKSQNNYIDDYVPDTEEERA LVRKIDLYILPTMWLMYLLSYMDRTNIGNAKIGGMEKDLGLDSGKYSIALVVFFVGYV LWEVPSNMILARTKPSIFLPAIMFFWGCATIGMAFINTYEALVGLRVLVGLLESGFAP GMLLLLSSWYKPEEQARRFGVYISAAILSGAFGGLIAGSITNNLNGAHGLAGWRWLFI VEGAATAGWSIIASFILMDFPANTKRLSERERALAIRRLQASNARVDTEDTPKLSHLQ ALKVALTNWRVWLFVVGYMAVVGSSTLSYFYPTLVAGLGYSTVVAQYMTIPIYVAAFI VTIIVVFFMDKYPKWRGLVLACAMGVACLCSIITCVVYDFHARYALLVIMASGLWASN GLSLAYASSTFSTMSAEVRGISLAFVNAMGNLAQIYGSYLFPSVDSPKYLMGFGVISG LCFTGVASYLALFIWLKRYPQKN PFICI_02695 MSPSAEGSAAPSGAVDNYPSTLPGPNHNWKISLEGKVIAITGAN QGIGLGLAEVCLANDAAKVFSLDIQQPGDDFAALAKQHPGKLEYVQTDITSEESVKNA IDEVATRGGRFDGLIANAGATKHLPALDFTLEQFERLYKLNVTGSWLCATYAARKFIE LKTKGSIVFTASMTSYRPNRAAPSAPYGGTKAAVRNMTHTLAMEWAQYGIRVNSISPG YVKTALTYYVESSPDWDLKMKYYGGMPRLALPQELGGAYVYLLSETATYTTGIDIPIA GIVGGW PFICI_02696 MEWKKTNTTYKILSDAEKGGYGVVAPIAYNIECILAFIRAAEAK KAPLILQVFPWAITYSNGLLIHAAAKAAREASVPVAIHLDHAQDEKLIRHAAANLPFD SIMVDMSHYEMEENLAKTRELVAYCNEHKICTEAEPGRIEGGEDGIADTADLEGALTT EDQVEDFIATGIDFLAPAFGNVHGEYGTRGPVLEYDRLAMIRAKAADRVRIVLHGTND FPEDVMQSCIRGGCSKINVNKLVLDDYLKYIKEKAATTSLTTLMEDGTKEIQKLMEWQ MDVTGSTGKAA PFICI_02697 MAAVQKLRIAIGGDDAGFDYKAKLAQDLAADPRVSEVIDVGPAS ATDKTAYPLFAIAAAELVAQGKADRALLICGTGLGVAISANKVQGVRAVTAHDSFSVE RAVLSNDAQVLCLGQRVIGIELARRLTKEWLGYTFDPQSASAAKVKAINDYDSRAAPA IAA PFICI_02698 MEPSEAPAPGVTTGAKPAKPKRIRKSRSRGLRTKTGCLTCRKRH KKCDERVPVCGPCSISSRDCVYGDQGPSPTSDGQPSQQVKQGPAIQPTKTSSPTLTFS SRREVDIPRTIPEVPTPSAVPTAGPSPRNLYPSPVDVPPQQPLQPPPPPPPPSTIPLA EAYQYAYSPDTVASELLTTDMASNRWLDLLATDAAQADAGFSLAPSPAPEDSVSNTGH ESQPIGTENQPISGSVSIPAPLANQAVERHAWSLNRDIVLTSYEATLFRTFAERASLW LDIFDPRRHFSTYATRLALRNVGLMKAILALCARHREISEANAGITRDSMVISTYEML DSSDTNWKRHLKGVFWIQRSQDVNGASGGLRQSVWWAWLRQDIWAAFRERRRCFSFWR PLKDYCDLNQDELADRVVYLLSQTVNYCSKWDPSPTEPDTTAKRIRIGEALINELERW KTYLGPLFQPLPTADPSPDSVFQPLWIHPPKYGVALQVYSFACILITLHRPAASGFDG YLRTQKTLSEAVATICGIAMELRDEGSQIMSAQCLFGAGLCVQDTDKRNVILSLIDAC EMRTGWPMASMKKDLLAEWAK PFICI_02699 MSDKHFFPETAANTLVPRYLRALTAANPHLGLIDSERVVYSKEN DPSTVSVISGGGSGHEPGWSGFVGDGALSAVACGDIFASPSTKQVLAATRAVPSNEGT IFMITNYTGDKLHFGLAAERAKAEGLCKNVAVIPLTDDVSLGRTKSAVVGRRGMPGHV VPMKIVGAAAKRHGFQKCVDIAKAVNAEVVSIGSALDHCHVPGRAKHETLPADVVVVG AGIHNEPGAQRLSPFPPVEDLIAYCLKLLCDPNDPERAFVKFNKDDEVVLVLNNYGGL SNLELGALTDETIIQLGRTWNIKPVRILSDAFETSLNGPGFSISLCNLSNAAKECSTS VSELLEFLDQKTSCVAWPNVAIPFKETTASATESAASAAEQKPKFSADTDIKIDPSLL DSIIRTACKAAIAAEPKLTQWDMIMGDGDCGEAVQGVSESIIKTLDNGIAKEGSILSF LFSTTEAVDDMGGSLGAILGILLSAFTSALQDNVKSKGGPADASTYAQSLAQAVTTLK KYTGAREGDRTVMDVLIPFADAFAQSSDFHAAVQVAHDKAEGTKSLKAKFGRASYVSE AEGQDIPDPGAWAFYEWVAGMSKAIKQQ PFICI_02700 MFFSKLLSASAFLALAGIARSQQTGASYVDPITGFTFWRYVNGN YTFGLVFPEDVTTDFIGQFSVSATQGWAGCSLGGTMQGSLLVVAYPNGDSITTSLRQA DAYANPHLVNGTDAAVHPIASGTYVNETAFTITFLCKDCILTDGRTFYSNATQPILGW TQSVNAIADPSSDNSTLNFHDNYGNWYFNMEDAKSANFDAWAAQAS PFICI_02701 MADRSNTERTPLLGASGADGANGREEDDFAHLNQQIKSRRRRRW ISLIASIFLVIGFVVILILSGVLARSRGKPGMASALCLTPACIHAASEILYTLSPEYK ELDACTSFDHLVCDGFTSTHDIPEDRTSYSTLSVMSDNGQAIIKRILQGAYPGESKHS SFSPRNLVVQVSSKDEENFNVMKDAYGACMNETVIKEIGVKPLVDLIGEVAHSFPVTE KSFGEDEILAESDHASLSETILLLEEWGISTFEGLGVGADDKNPEVVIIQASPAGLTL PSPEYYEDKDTLEKYQAMLEEVFVGLLPSNATRKHSSKLAKSVVDLEKKIAAITPPPE DQQDVTKYYNIEKVGTAGKLAPALGYDKVVEKLVPANYTVDTMLLAFPDFLANVSHIL SETSKATVQSYLIWNVITAKSSYVQGPEVEPITRFFNVLQGKDADTKSERWKTCVRVA DSQVGWILSRFFIEAAFSEEAKQFGDQIIMDIKHQFISKLHGLSWMDDSVKELAVNKV NLINQKIGYPTESPNIIDPEALQNYYHGLKITKSFFNNSISSTAVEVNREWSKLGKPV DHGEWDMLADTVNAYYNPVGAEIVFPAAIMQFPVFDEKLPSYVSYGAFAAVAGHELSH AFDNSGRHYDETGRYTDWWTNHTVEEFEKRADCFVNQYSNFTIQDPQGKQLHVNGRLT LGENIADAGGLSAAFQAWKNQQQSNPADQSLPGLEHFSHEQLFFVFYANFWCGKIRAQ QAVQYIYTDPHSPTFARILGTTANSREFREAFSCPVKEPTCELW PFICI_02702 MRHWIVSTLLLLQAIAVAFGNEQKVLGNEEPSEALATPDNAAAE PVAFQGGWTPYQNGWELVDAALAEFRKIKTHSHRKNKKPAGLFGTVFRYALKALPSLR ASAPPAPEEHAGPVITGPLLEGVRLLQQSADLNNTDAIFLLGELNFFGNYSHPRNFKA AFDHYYRLASVTGNSSAQFMVGLMYSTGIGEAVEKDQAKALLYHTFAADQGYTRSEMT VAARYTSGIGVPKSCDMACKYYKRVADKAIAWIRSGPPGGMHIMQESYRLADEVGGVY GEGASVVSSGIHAFRHSPNSDAYAAIDDVIEYLDLMANKGDFKAAFNLGRLYYEGQRE LERDVELARHYFLSVATKHWRVKDGRVSDSSKPGLEKYASKAAGYIGRMWLRGEGMKQ SYGNAKKWFERGIEHGDAQSHWGLAVMALKGYGMTKNVARATELFKAAADQDFGPAQV ALGALHLDQGNNDDLRIASHYFESAARYGNVEAMYYLAEMMHHGVGRDKTCTSALSYY KLVSEKAEPFVSSWAEANHAYDDGDIELAILDYVLAAEQGYEKAQNNVAYILDSAQSR LPLPSWLKTQAPKSKLLQDSALALIYWTRSAVQSNIDSLVKMGDYYLSGIGTDIAIDK AAQCYTGASEHFQSGQALYNLGWMHEHGVGLKQDFHLAKRYYDHALETNDEAYLPVSI SLLRLRIRSAWNTFTNGDINSIRDEPSNKKDWSLSEWVANFLSDERFMQYDDAYDDLY DEGIVGSDGQGFDEEDLEGVIDSLVILFVAAAIVALVWYRNARQQAHRQAEDNAARGR AAGRPPAPQPGAAPQPNNPGLDPFMPLGAGGIGH PFICI_02703 MFNLTCVDGFRYPGLEEVYVTDLIPLTQGPATISSDQKLCLFNP LSLRPGPLKTLQTNHGNLTCAKAFDISGSIVATAGENGSVSMWDLRLDEKQAEVARMT DNQVPVLSLACSSSGFSVVAGTELRQSQASILIWDVRSTPTPRQKYQEVHSDDICELN FHPSDPNILLSGSTDGLVNVYDTRITDEDEVVIQTFNHDASIHHAAFLNDTDVFAVSH DERFAVYSMAEDQEKGSALNSFGDMREVLGCQYIANVSAKANGAGAVIGAGSHDQQMF ELVHLTRGAEWTLDRANSVGLPGAHSSEIVRSFCFYDEAQMVFSVGEDGYIKSWKPSS PFICI_02704 MVKPLSFKGDKKPKKRKRTEVESSDAAQSGSGEVVSATATQEAA DDDSWVSAEALGDVVGPVLFVLPTEPPTCLACDANGKVFTDSIANIIDNNPATAEPHD VRQVWIANKIAGTENFRFKGRYGKYLSCDKYGFLSATSEAVSPLETWNIIPTADTPGT FQLQTQRETFLTVKEATKANAAPEVRGDATDINFDTTFRLRMQARFKPKLKASKEEKA KEKISRKELEEAVGRRLDEDEVRTLKRARREGDYHEQLLVLKVKGKHDKYG PFICI_02705 MTVAQPVVVSLHDLQNGAVSDETLQEAFGPESLGILVVKDVPQE FAELRHKLLSYASYMGNLNEEDFEKFTNEAAKYLVGWSKGREQLKNGGADDLKGSFYA NCAFYVDPSSEAPKPTAKFTLEDFPEYLAPNSWPPREMLPGFKPSMEALCRLIIDTAV LVAKACDRFAEKEIPEYPKSYLEHVVKTSSTTKARLLHYYPMTAEVMARGDQEDNWCA VHKDHGCLTGLTSAMFIDENKVNAKVPDFGTSIPESLSPLQELPQSPDPQAGLWIKSR KGEPVQVKIPRDCIAFQTGEALERITRGKFKAVEHSVRGAFAQGVARNTLAVFTQPNL EDEVDLDQHLLFGEFARGIIAKNTV PFICI_02706 MDSSSRTSIIRDLERGKHDQYNIERPPIEEYDSDSIPSISDLQR TIPSTLGWWKEEYVYCGVCIAAAAGLIAILSRYDGHLEPHFGSDNGLQLSTIVIAIMT VYRVALGSIVETALSQCAWIWVSAARQERKEKTEPARLEDFKMFDEASRGLIGCLSLI WRLRGAHLACVGAGIIILMQGFETFSQQMVTFEETPTPQLNDTNSFAPPPARSETWHN VIFKGVGGDLDLGLSTKASFYDGIMASSVSELPVFCGTANCTWPTFPSLAVCGNCTSV EPQKDCPEDQPCAFSLSSGTSVTAPGGDSTGLHFTVAPLMNTSAASTSQAYISAFDVL SVSKGRTEMTAEAWQCAMWFCLNSYDAMVTNGQQNTTTVATWSRTEFAAATSAHDDEY HFIDIPEEIQTNSRTRYSVPLESIDVLESFMASKMIGNYSNIDNHPDYSSDWIQAMQN ATSDMPALMARLTLSMTNDIRMSGTLDPNNRGNMYEYAGAAYTQTPFVQVNWYWVIYP VVLMILAFLYLIQTV PFICI_02707 MSSASEPPQAAVEPKTKPAGKVRKRAPKACLSCRARKVRCDVSQ RGRPCMNCYLDSETCVVTGRASRFRRSERPGENVQASYPPYETDNSNRSKTAEDLVRS RNNGEPASPPASQDGTTQPDGHRDSCAGHNHDDMGESVDQEQYRALVPPVATSGTPQN MAQTPFSVPNVHTPIITAPDMASFLAADAPLWVGDQRVQANVDITYSYFPFLRINNLP NIMPQDVNYLESQGCFRIPTREILNEFVQQYFLHIHPILPMLNEGDFWAMYGDSGHGG TGEKMSLLVFQSMLFSSVNFVPKACIKALGFPSIRAARATLYRRCKLLYEFDTETSMV YMSQAALLLSHWSPNFTHAFRKANSMWLGIAIQNAQSAEAHHYSAMPTYSAIDNPLEN KKQNVLKRLWWCCIIRDRILPLGLRRSLKITRAHFDFDTHSGLGYTDLADEIERSRVY SPGTKRCLIEIFVQLVELCTILTDLITQVFPLDDSPGWGKQGAPGDDDKIRESKTALR RWYKGATLRFPMFGGDAVPRTKVVGGKDCQHDSVILFTNLMYMYYHSARAVLSHHELL QTAVTAATPNLTASLKEFSNIYENRHELQDAASGVTECLKELIQLRLARWLPISAVAC TALPLVLHIIDVKLSSYNKNNAPFGSNPQEVVKQHRLNILIEAMKTYQPQYDGVDYVS ETIRHIVTLAQLDTPATPSAANHINDWQDILASQPGSYLRLAMTMDLSLSKGRLPEES DFPASLRGLFTAGYSSIKALMDAGKPTQAQLPLSTALPAEQLFSSMLAIPGTIQSLSS DADTDSPRSPMERSAIARAAAGASSEFAPHIGRDEDISMTEQGFVDAALGLGGGLVSE VLNDYTMQNDSPQSSSSYTDATGNDDEANMTDWIDQAWGHDLHSGRTDTGDQETAQAL LDALRGGEGTGVQCV PFICI_02708 MALPNRNSSHAKLATQDGATSDNKLDYTVKYTLTDAEIAALSTR LLAERPPQIPDALVMYRLEGTDELSNLGRHIEREVFEERFGNTDADMQRVYGAYEASS VFFLVMDRGLHRPAGTMRNIHNSPEAGLLALRDAGAYAGLTVDTFMRAYGLESLDTVW DIGTLAIPAAYRDHGEHHIVAMLYRGAHLRGRLEGMTHYVALVDRHLYRTFKMMGFAF YPMAGLAPFAYEGSDSVQPVCGVARDFFPSVERKMHDAGEDLKPVLEYFSKRFVHGHN VDHRLLFSHAMWPGGTSPLSQASKRKREGLALL PFICI_02709 MSLNILENLSSTYVAVFLGILVSWYVASATIAWYRLRHIPGPFL AKFSYHWLAKVARSERQYQIYRELCKEYGPLVRVGPNELTTDDPEVLRKMAGARSEYG RDSWYKGGRFNPYHDTVFTLTDQAAHRERKTKIVGAFVGREAPLIETGVDEQVLALIK VIRDNYLWSPGKDQDKMLDFAPLTSFFTMDAISKVAFGQEFGFLKANDDLFGFLREVR ENWPRLAMALDVPYIRNVLFSPSFLSRFGAKETDEKGMGKCMRVAKEQVEKRFSVDAK DERDLLGYWIRNGLTKMECEVDGLFLIIAGSDTTASVIRITMLYLMTCPQVYQKLKDE IKAAIRDGKASYPISQDQARRLPYLQAVVYEGLRQRPAAPGLYPKAVPPEGDLIHGKF IPGGTAIGMNTASLFASKKHFGVDADVFRPERFTEADEEDRLEMERLVELGFGHGRYM CAGKPVAYMELNKVFFELLRAFDFQLINPTNPWKSRSYSVFIEENMWVKVTESEIATK A PFICI_02710 MPQSPAQGVVVNLQGVQETTLLTLAARARDAASPQPLLGDQYSA QILSRIDANYDWQRAMGNELMQKVMVSRARLLDVWAAEFLDSHLEATVLHLACGLDSR SLRLAPIWNTAEKRIRWIDVDLPDVVEMRRSLELPVPEGDYELRPADVLSDDWLQSIP DDRPTLIIAEGLVMYLGPEDGLRLFQRVTQRFKAVGGQVLCDLAGSWTVARQKASPTM QMAEMYWAVDDPETIADAVRGHGCGKFRVTEVKFAETMTEKLGSQIPLSTRVILWVMS WLPWRLMVYVRFSF PFICI_02711 MSKIFITGSADGLGLLSAQALASRGHQVYLHARNAKRAEDARRA CPQATDCLIGDLSSPAETKSLAEHLNEKGPFDAIIHNAAIMHGGSRGALFNINTLSPY LLTCLVDPPPKRYVFLSSSMHQGGDASLSNIEQCRYSDSKLHNIMLAFWFSKKFGPAV ACNSMDPGWVPTKMGGSGAPDDINAAVDTYVLLAEGNGAAEGQSGKYWYQKREKSCKP AASDEQKQDQLIKLLQDISGVSPQK PFICI_02712 MALPVAGAVAGAAAIASYLDAKYHIRHDVRLGRNVGAMDRVIKF VGEKAANEKMTVYSYFEDRVGTPEGDYTFLIFEGKEWTYTEFFNAVQPIGNWLLKDLG VKKGEMVALDGPNSPEWLMLWLAVEGIGATSAFINHNLTATSLKHSVELGKPRFLLAD ATITNLVSPIEAGLEASGIKTLYYSPEFLQSFKDTENLPKELRQKISPLAVSCLIYTS GTTGLPKGTIMDRAREIRLTSQSPEHVMPLKPGDRMYTCLPMFHGAGHGLCVLPCIGA GATVVLSRKFSHRTFWPEVHSSQANIIQYVGELCRYLVNAPPTPLDKGHNVTIAWGNG MRPDVWETFRERFGIECINELYAGTDSMGFSNIQNRGDFSRSTIGVRGKLWHWWNGYR EKRVLVDPDTQEILRGKDGLAIEAKAGEAGEMIHQLDAQNPDAGSPTYFGNHGASVKR RVADVFQKGDLWFRSGDLMRLDVDGRLYFVDRLGDTYRWKSENVSTNEVSDVVGEFPQ VAETNVYGVLVPNTDGRAGCAAVVVREGLEQLDFAALAEHCLARLPRYAVPVFLRVCR ELEYTGTMKLQKGRLRSEGVDLDVIEKAAQDKKEAIDSMYWLSPNGREYVPFRRKDLQ QLRGGSVRL PFICI_02713 MTVQYTTDMADPRTTLLFKATQDSTGHQNRRSIDFSDSDLYSSD AELDDWDQCEIKDDSEDEWIDVSDARDFAQLYKKKEDLKAGEKRQKTNKGAKLDESWE LVPVPGNDRGTDKGTSRKTGPGDEDQRLHLLLQAMTLEDKKYWDLEPPPRVFAPHKLS PDLDYTPEMPAPGEASTRSTLADPPSEAPQRRRTMLLKPRLRSRPSIPAAQLLRMEAA SREVHKWGDFLEADKRSKLEDSSSSLYTHAPSP PFICI_02714 MANSYTTEHYSYGPNVLQSYDVHIPKGNGKSQDIHSGKTWLVYI HGGYFRDLTVNSTSVQPAIDILEARNTTNLTNTIDQVAGITSLNYRLSAFPGVQDPAT TPPNEIQNVSWPDHLNDVVAGLRDLNRHHRIDGNYVISGHSVGAQMAFLAAIQTLNDT TIPKPVAVLGVSGIYDFPQILRTNPEYTNLTLNAIKDPALLGSASPAEYPSSLYAQLK LRAVVLAHSHDDGLVPWDQVDTMYAVIKDVAEKEAEIVTLQGAHNTIWGGVQLAKAFA GALALLGNKPGGDAAC PFICI_02715 MPTTTRVGTESAANKALGGVPTVHYFDFMSRGRGQTVRLFCEDA GIAYDNLLYSMEEYPQFKEQLIKKLNPTGNIPVVELNGKILVQSYAILRYFARLLDAY DGKTPEDKYFVDVITDIVTDWRTLFVTAFLSQNKEDWPKHQQGDRNHYLAAIERHLQE NASAQTGSYILGNDFTYGDILLYQILHDEGLVQDGRKELQGYPRINKFVEATEARPNI KAFLQSDRYRG PFICI_02716 MNGSDFDDISSSTKTASFGVSDIEDAQRRAKAVQTVMDCFNGWL DSSRCHTDTVEGECHSKTNIKSPCHASWVDAPDGSCNKRKHDSERDSLSQSDQQKAPK TKHVKSSANLKFACPFFKKDPSRFTRRQACSGPGFSSISRVKEMLEEHQRAEVPCKIC TNNFSDGINEAQYIRLRRKPSGQKTDIERWEEIYRIVFPKASTIPSCYYELKDTTTSS SKDYSLGLKQMENALVAGVQRDLEDRFEQVETDLKASFLLMVKERVELTIQEFLDKNG SSPPTELPALTTQAESPVDLDLEPPALEFDTELAFDFQNFGPGIEDSQFTMDQSLMTL YHGSPGRGLGLQI PFICI_02717 MSSGRKPRASNPRRPSARKARARRTAPSPSRRRQYRLRCPFHAK FPDKFRGYNSSCQGTGFETNARVVEHLKRAHGPVELSDDAVTDPEATASPGPPQAVAA DRDSERVTNEQFDLIASLPRNPNIQEKWEKIYNIIFPGDEAIKPAYMVSVERLRDHAR NPPDSLILKLIGAGMGASHQEIRLFLQNCLLFIDIVGGSPSSLPPSIVTPPQTELGQL SLSSESLVGTSSAGDMKDNTGIGEQFCFPETDCSPGHGFESTHDYTFEMIDFEALRVG QDYTDKEMAEALE PFICI_02718 MQGDRRRRFAKACDSCRRKKLRCDGVRPLCARCQDANTPCHYAD VDKPETRRSRARDSTRTPRRLDDNSGVGSGMGSTTPNVHWSSPAVIEAPSSVASTLPR RSNNVGPTTPAGEDGGACSLQSAHPAGTSRTQDSAGDPDTIVGRNVDTRFFGPASGIS LVSIRESPREFDPSKRRTTKSRFQEGSWSSWTHPTIQGVLEKRVNRTLPSWTEAFSLV SEFFSHEHQAIPCFHPPTFMTFLGQQYSGAPSDNPAWWASLNAVLAISQRRRVENGQC DPNGEELVWEYAANALGSTLDILMRNTQLISVQALLCIAWFFLGTPNPQPSFMLTGSA VRLAHSIGLHKTDHAASMGSIEQETRNKVFWVALTLDRELCLQTGRPPTHDLQHFHVQ LLADLLHDDSEIITTADGSKLSLTSSHAKLCLIQDRIYNELYSSQSSTDTSCVVESVS KLTAQLQDWCATIPGLRTDTPMYNGEHHGLIRLYYSYYNCVVLIHRPLAREYWLSSRP MMASDLSENIMSSVRECLAAARGIFHLLQAIPHQRKSFYWDVVPPILSATIILATNAM RNNISDATRSDLEAISKVIELFENLDQMKNDTYLFQVRTLCTELYQSVQHAFKSSEGQ SASQLRQQDWAAQNVVTGNHHQSDIMQVEQSFQGRTMPGSNFLPMLPGIEGGNAAVGI EPESERNPSAANAWPPGILSFPDLSPWGMDILSGGLFAPFPLDQE PFICI_02719 MSSPAPTFDNVEERAGVRSFLRRQVYEKPTLPENVDLQGQTAIV TGSNTGIGLECARQLLDYGLGKLIIAVRNESKGQKARETLLSGRQEPLPDVEVWNLEL SSYDSVMSFAERAKALNRLDIVVLNAGISNQFYSKVASTGHEQTMQVNILSTALLAIL LLPIIKAKKHQGHPGRLVFVSSDMASWATLKEKDVVPLLPALDDPKNMDLGRYATSKL LGQLFVSELSKRVSPSLAIITMPNPGWAYGTGLGKTEGWTIGDTIIAVPRRILGRPPS VAARLVTIGTVAFGAEAHGQYIESGKMQPKAPFVYSKDGERVAKTLWDEIIAELSFAK VGDILKEL PFICI_02720 MASNWMSSNLNVLGKQKIEHIMFPGSHDSGMSRHGKHTTFGTPS VTLTQTKTIKEQLRLGIRYFDVRLDISQGKYFCGHYTKIDGVPTSALIGMGVLGPPPV KALALALKAAFPRWEGACGESLQEIIQGINEFLKENKELVVLDISGYGGFAVDEQCRD FTQMEWTNLFKELQGIEKLYRPKDADRNKKLKDLQLDELISSSSGACVVINHWRGEKY VGGYDLKGIFPRSSWGENEPPMIKWSKELTDGAVFLALAKEAIGGSGYNIIMQFWNAW PGFMKTASLKSFFDPMAKADGEEWYKVSIIDGAKSRNKSLPQYLKDFAKTTAALRDSG TKFPTVFMTAPDDYRAIVIDAVEDTNLADGCLAINMERAKKKVARQDDEISTGADALT WDTPIESRPSSSQALEAFYKRIAC PFICI_02721 MSTTYTIMIQNAHGQNGDYAIFNSPPAVTTDTGSPDVYTNIWAS SFIPNGGNTTLSTTEDFYAWAGTTPATPTPGVTVQTGSSQVAQIGIHGNPGSTFPMTV TSGVPVLGAPTLTARQGAFEIDTDMSFVTPNSTYLVGLGKINPGLGAVGPVASILADN NSRITVQPIMKFFVATSSYRAGQIVNFATATMDAGVVDFSTGLGIGKNTAVITHDNTG AFTTRYLTQSQTVLALDAAKNKSLPSFLKQLAGNDKKFQLNQVIETLRTILSSFDDDT TSNSDLIPDSPLHWIGRVKWPAAVAFSVAAAGATAISTALVNKGYKLEVSSTSDAQKN TLWTWSITPPASNGASALGSVNVVADWNASVAADMQNDQHKNEGVNPATLSNARLNVH LNEQTPHGAGNHGSALNGGSDDANDNIGNHFSKLHLRAIDA PFICI_02722 MTYLCLNKTLLAFRSVLNITVGYTLEPLPPALYAKYAHPNPYGL NGRNESLVIGLFTASWKNAADDEQVENASLALVEAIEIGAREQEANVSFVCLNYAVPW QDPIASYGDDNLEKNARDCK PFICI_02723 MGSPSKHAPHEESDESEEEVLQEISPDDINVAIFCALVEESTAV RYTLDREFACKAYGKQSYVYTVGSIGAHNVVIAEPAEMGPVNAAHCAAHVSQQFPNVR LALMVGIGAGIPSNNLDIRLGDIAISVPRDDHPGVVQYDLGKYEAGAFKRKGALNKPP RILTSAIRALEGDELRNKFPIRRILKRIVRQNDKFRRPDSEDILFHNTFPHVQKGSDC SACWASDDKRVVSRIPRQLPNEPVTHRGLILSGGGVIKNPADREQFRRGYESAICFEM EAAGIMDELPCLVIRGISDYADTHKHDDWHYYAAAAAAAYCKAILSKVPVEEVTETPR MREVVKKVDEIQRTVQETRVTAKEIHAIAHFDKIRKWLSPPDPSTNFNKAREQHYEKT GQWFLDSEVYIKWKTERNSFLWLQGIPGCGKTILSSSVVADLQDGPSRSLVYFYFDFS DVAKQTLEQAVRSLIYQLYHKQADLRQEVDDFYSSCDNGDRQPLRSALLELFKSMIRR AGEVWIVLDALDECHTRNERAVSGLMSYIKGLRDSTTNLHLLVTSRPEHDIQSAIRNW ARDSEILPLESIRVKDDINLYIEVRVGQLSRWRERPRIQKEIKTVLSNKANGMFRWVS CQFDVLSGCLDPESVQRELASLPRTLDETYERILKTIEPNRLNSAIRLLQFLAYSNRP LRLEEAVDAVAVNISKEPMFDPEYRMPCPEEIVGYCSSLTVLVKRKDQRYRTDIVEIQ LAHFSVQEYLTSERLEKSVAPRLSHSSASIALTTVCLSYLLSLDYSYRPEEAEKNYPL AEYSAQYWPTHAAITEQSTNSTLPIIRNLKELADTNK PFICI_02724 MWPFPSYPEHSPSEVNGKTYDYIIVGGGTAGCAVAARLSEDSDV TVLVIDKGYVKDNMVSRMPLLSQNMFLGDLLQVQSNRWSEPIAGANGRRNRLWAVEGI GGASRMNGMLWTRGFPGDYAAWSELGLQDWSYEKLEPYFRKIENAIVHPESSFRGHKG PIELRQYSFPFKWTKYLEKAVEELGIRPQKDINDPSAPAMGCFNLDTAIDKHGSRISA LTAYLIREVAYKRRNRLTICTGAAASRLEVNVETGTVGGVHIQSVKEPGNHYYVRAHR EVILCSGAACTPQILLLSGIGPADSSKKHGIPLIKETPAVGATLSDHYSIPIMLEVPK KETFHLLQSIWGVWHILLWVFFGKGLLSFTSMSKTIYFHSDAIDRDTMEVKGRDSEGR DMLDASLSRNVPDIEVMLMPNSSVEREVEGRTLMSLYPTLVQPRGSGRVELASTDALV QPRITYPMFTNEHDIATARLAVRFLMRLAEKLQQSKYPYPAKLAFAPGQDPSMLEEWE KSAPIDYLPLPISIASSVSGQQAPQVGSKANRNISPQTRSGKDKTWKNVTNDEIDDYL KRVSHTSLHFSGTYPMSNDEKNGVVDQKLRVHGFTNLRIADTSVFPKIPSCHTMAPVL VVAERCAEMVKATWAAKKSQS PFICI_02725 MSILLTGASGGLGGAIFSQIVSTPALRAHHGIYAVRDAASSKST FKKLSSSSPFHSYELVSLDLSRLQSVREVAAAINAQVEVGSIPPITAIILNAGYEEAE RQTWTEDGLDMSFMVNYLGHWLLTLLLLQSVDRERGRIVWISSWAQNPEDPHNIMNGS FKDNRYKTMVFDDLEPLAKGTWSSNADDNTSWAAAYRRYGASKMCGVAMIHELQSRLD QDPLLSKISVLAIDPGAMATGIIRHSHWFVRVLVFRVFAGIFGTMLVYLYPNGSWRTP RKSARDVLAAAFDCGPPPLTAQPKGVYLNGSEAGQYSSEAKDPKKGQVIWEGSLRSSA LEGHETILRQWR PFICI_02726 MAETTLAKDQVICQTPSVDQVEAVTRHGDPSGDLFNDAKEATEA EHNMTLMDALRQYPKAVGWSVLVSVSCVMEGYDMAVIGQLFALKAFQEDFGEPIEGGY QVTALWQILLGNAAYIGVIIGIFINGIISEKIGMKKTMIAAYIAIAAFTFVLVFANSK ALLLVGQFLCGIPWGIFNATAPQYAAEVCPTVLRAYLTTFINLTWVVGQLISAGIFRS VQGIEGRWAYGIPFAIQWVWPVPLVVGMLFCPESPWWLIRQGRIADAEHALKRLSARG ESSVKKTLAMMLRTDQEESQVSKESYWDCFKGTDLRRTEIALCAWPIQVFAGISMNSY NTYFFQQAGVSSETAFSISLGYYAIGFFGTVLSWFLITHFSRRRIFIVGLTLMCLVMF SIAFAAIAPSSNQPSMWAQSILLVVWVFLYDVSVGPLAFCIVSEVGSTRLRAKTIAIG RCSFYFWFTIFGIVTPYMLNPGAGNLKGKTFFVYGGTCLISAIWAYFRLPEMKGRTYE ELDILFGRNISARKFAETYVDAYADANENAKDSTSQTAR PFICI_02727 MPKRKAAAAAVPEQELRRSTRRKSGAATAAAADAPAPAPQQEQK QQNATKANKGRAKREAEDDDEKTQKPPSKSRKTTDKHEDEDNDTKAPSPASSSKSLPS IVSQTRRLTIAKKTPTTAVTKAKAKAKSAPKAAKTAGDAKDKKDTVNGGSSEKSYWLL KAEPESRFENGVDVKFSIDDLAAKTEPEPWDGIRNYVARNNLRAMKKGDLAFFYQSNC KEPGIVGTMEIVQEHSPDLSAHDPKAPYYDASSKPEDPKWSVVHVEFRSKFKQLIGLK ELREMGKMGSPLESMQLLKQSRLSVSRVSQDEWTYLTGVAEERGGASKTA PFICI_02728 MAAISDKANFFLEQSVPQLREFESLSIFSKPEITSMVKKRSNYE HVVLSPGSLPSDYADYIRWERSLEALRAKRCKRLQIRKSSSHTGEGRIFGLYERAVQR HPGDVSMWKEYLKFAAEVKASKRWRKVMSRALRMHPNKPQLWVMAGRRAASNGDMQGA RGFFMRGARFCIRDATVWIEYARCELEWLGRLEARKGKKGGVQKAVAEQADYGDDAIM FGDEDSDEDADENGRLVLPDPDAKGIKKVFDDGAVQNLANNPALDGAIPIAIYDIARK QPFFGAVVAEQFFEMFAGFSNVSVQPKIIHHVLDSMTEAYPNTPSTCSCHIRQPLIGI DVNSAAYPKALREALNRLNIALGTTTNKSQLAQKTIAWIEPILAVEDLDAGIRMVLEH TVKTLPKP PFICI_02729 MAPSTYKDKPSGALLSFNAKWISWMHTLVAYTAFLSALVLGCSL HYHKIVKNEFYGYPDEWFPSVSATIGDRYPERAFFQFFIAITSGPRFALVGLWYLLTA KPGKTLPKFVGSMGLLRTLTCGGWTYITSTDDHDWHDIFMISYLVITIPWTFGCIALS PPNAKAIKYRKYLATAFFSTLVPLVYFFIQHKVHRVAGAYTTYAFFEWSLILFDVAFD AVTALDFSTFEVVVRDVGGLSQGEKARVPTTNRVLAKQKTRATGGLFDAKFSLKELFD TAAEVYHGFVFWSTLTSLGVVIWYFPLWHMGISGYEALIMSTTSPFLLANKSFRSFVL NNLRACHMLSLAGLVAWLVKDPVYRLFTVGFGVWMSCLSWAATLFSENVHATRLESRI FAWTVGLILSSTAKFAWWTNNPIWPIMHAGNGGKNEIGLTLAVIAAWRFTRRAPLNTG LAKDDRTGGSAFLSALGVGGLFFGLHSLLSDTSTMILWVWEGYPVRGPQSAAHGWLTL AAMSLGLITGITRPKLVSTWSAFGVGSVGAAILTTRHNWIGYAGALTTAFYLMAIAVP ILTQAAKKSPATTFGWGFFIYNFVVLFHVWVVAYAFVPGGPLVREHTDWVMSTMMVLI GAGVWDLTAVNASAQQSPRPAPTQSQHRKYHDWALALTNILFLISAYYRLPSSDYKPY HEESRVMTAGIWTIHFSIDNDMYSSEYRMRDLIKELELDVVGLLESDLQRIIMGNRDT TQFLAEDLGMYVDYGPGPNKHTWGAALLSKFPIVNSTHHLLPSPVGELAPAIHATLDC YGELVDVFVFHSGQEEDPEDRRLQSEYLAELMGSSPRPSILLSYLVTQPLLGNYNKYV SQTSGMHDVDPSDWDRWCEYILYKHLHRVGYARVSRDSITDTELQVAKFVIPTNDAEK ALVSNMDRDYTMRNRRVDEGSIPEGWRFPSLFRGEGVRGHRYHVFDEPRYYTP PFICI_02730 MRRSLMLAACLAGVGAVASPDDIGYVRPSLTASLFPSEPNADTT NFFPMEKCGEFELEEASIDQMQAAMASGTLTSVQLVVCYMVRNFQTDDYANSLLQMNP DALAIAAQMDAERAAGRVRGPLHGIPFTVKDNIATKDNLETTAGSWALLGSIVPRDAF VVARLREAGAVLLGKATLSEWADMRSNSYSEGYSARGGQARSAYNLTMNPGGSSSGSG VAVSINAVAFSLGTETDGSVISPAERNGIVGFKPTVGLTSRAGVVPESEHQDTVGTFG KTVRDAVYALDGIYGIDSRDNYTSGQEGKTPAGGYAQYLTTKCHLKGAVFGLPWNSFW VYATEEEQTQLLEIISMMEAAGATIVNNTEILDYETIVSTNGWDWDWGTTRGFANESE YTVVKVDFYNNIKTYLSELENTEIRSLEDIIAYNYANDGTEGGYAYGTKVGSAAFKSG QDGFLASVATKGIQNETYWQALEFSQTSTRNGIDYALNYNGTQLAGLLVPPEVGQTYQ IAAQAGYPMITVPAGIFSDTGMPFGLAIMQTAWAEAELVRWGSAIEDLLLKSGTQYGR TLPKWHSYLTRNIPVY PFICI_02731 MDKSKVDYSLYLVTDSTPAILGSKDIVAVVEAALKGGVTCVQYR EKHADTGEMVATAKRLHAVTQKYNVPLLINDRIDIALSVGCEGVHIGQDDLDLATARK LLGDDKIIGVTACNVEEVEAASTGGADYLGIGTVFATQTKENTKSIIGVSGLQYLLGY LRTSKSKVKTVCIGGINASNASRVMWQSSSLDRSLDGIAVVSAIVAASSPEGASRELL KLVKTPPVFALRSPTLDQASRSAEEVLSLVPEVVRRVDAAKPLSHNMTNLVVQNFAAN VALAVGASPIMANYGEEAADLAALGGALVVNMGTVTPEGLQNYQKALKAYNDAGGPIV FDPVGAGATAVRRAATKTILNAGYVDVLKGNQSEILTCTPAGASLQQRGVDSSADASQ TQDKLAATVRELAQLRRNTVVMTGATDLVSAGGAVYAVGNGHEYLGVVTGTGCCLGTT ISAMVAAYPEDKVAATVAGLLLYEIAAENAAAREDVKGPGTFVPAFLDELFNLRKQIA RGDDGWRQKAKFSIVA PFICI_02732 MDSRFPVFREELYNVQMDVKQLAHVQVSHAERLARLEKHQANES AIKSAWNSPFPSAIGGTPQHGPIQMPPVDLFDDFDEEQGQNLLGSLHLDAEEEPVRRG AASRANSVRFDESALQGSSWAQNGRQSGEFVPIRPGSGMGNMMERTYSHKSDGRHSSA GYSVHSVHSHHSVASGRGSSLGLDTNYATTGSEEDSPIDAPAPPPGFFILGSVPSIVR CWLTTNFAHETLLYADICSGSQQSVLDYSLIKELELSDEVHKDLDGVYRIRLPVYLTE ATVTHPSSRNSSPTPQMPNLIVGFEVVGLEQAEFPDLKKGIRIFIGSETLREHSADIF FSQNRMTLYGSEREKLSVPFVRPEDHSVFKYIRTVAVLPEKPRLNATARPFVLGEPKA AEISNESVNEIQEKHERGALDKEIQSRAPEVSQQPTTDRVTSNLPEAANDRDTQGKED TDVYEASNQDLSVSGDNRSETTSDSQRREPTASGIWSSWRQGSSTNSGDPKENAPLSG YQPPGRVRNMKVLKPSKASTSLSTRAGVTSEALPKSNGENRRKSQPADTTPTGVLRWG KLDRGSASGSDKDSKPAAINAVHRETRSVSSTPRTSANPVGGASAFSWMTPITKTKPS TTTAD PFICI_02733 MSSLAAQLAQIAANSKTTLDVRAQKAAHSKSLIFEPRVAASQSY HSLYKICREGFDELCQLDARFGAFASTIFSEQSQDEDRNQMTAAENAELDKRIESFLR LVGGRLRLMPAIRSVEWLVRRFRIHEYNTAAVLSTFLPYHSIQVFVTLMSILPAKIPD EYRFLSPYIRSLTAPPRSVLVYQATHHADFLTTISEHTLETCRHQQHYPALISFWGGI MIEAVNGMLDKMRSGRKTIQKSNDQAILHLIGPILSEAMVLKKVPSLQIASYMVIVAF VCKGNLDDATITALMEQLVTGWTTETLRPGLVCLSIMAQYRSPKQMSRKVTKALLNVT GLPEILDDIRKEHKIEKLSNGFCLALIDRIMKKGDTTGLQFIEAIVPKQILSNQQLSV VFKSLMLAAHRVDDSVDENGMARQELAATIVRLSQTTGAPAEVFAKAIMDTEIDVEAL ELRLDTKIRPKALPQTQEGPEETVDANGDVPMAPEDFDTIFDRVNQHATATATSCLLP TPPDVFTDLCSLYLSAVPDRGNLATFQRAAAVQRGEAPNNPFFFTFLIRIWCGPYPTL ARSNALDMAKDRIKSGDCESVDFQSLLPYCVASLSDPAKKVRRSAADLITVLDGLAAS NPEPSTHWATRGLYGKAEPVTVLEKNAVRKLLQSVLMPSLEECVLNAEHIHAVVQSSL GSSSRSSADGSEKDKKSHMSHGIRLAVLTFLAGHVVETPLLPVKLRLLQPINQVKGVS GTTRTTLLLPLLKWWASLSPETASQLCKEQNVSESAMDTTAVETVVPNDNDGLEYLLQ SVQTPALSSRENLLHAVFLRIEKMWPSMKTETRHVVADRLLAMAQENASGERSPVATE AADVLRNVELATENLLSFLDSLQNAAKMANETPPNKRRRTSSSESRGAVSQADHGLTQ ALRQVTFVLQLVEGSDPAKHPHILNALFNTLSELQHFRTLLGSELGYLQNLVLRSLLA IVPAYRDDKTLKIDGSSGHGDLLVSCIQKSSSPAVQNTALLLVASLASVAPELVLHSV MPIFTFMGNSVLRQSDDYSAHVINQTVKEVVPPLIQSLRRGKKSPVAGAAELLLSFVT AFEHIPSHRRLGLFVSLLEVLGAEDFLFALLAMLVDKYGSTADVLSFASQVFHQFSVE VELQTVIKLLNLTGDLFQSRPTLSTALLSTSEDRDRDPQKIALAQLDLPPRLLSSKKL ITQIAKMTEKDDMEAAKIRELYSTLLEDLLSLAESLKPYKALHDRCGDSLPKLLNLLP IGEFVKAVENLLDRPDIVIRRKVLRALQVRIDREGQADALSRTALLAFLPQLTAIIRT SDDMAYKYVAVECVDVIAQKYGKKDPEAVSAAAMTIAGDQCLGQSDVRLRSLGLLCLA SLVDVLQDGMVPVLPVATPKCLVYLKESVADAARNTALHNACYTFMHALAQHLPYMLS GTILQQLLAVSSESAAAELDTDAIDSRVQCLQFLAKTVEAKAIFTALEVNWAVASDSG FNAIMEYLDILGLAIDKHSKSDIAKNVSSLSNIFLSALDLRRVEHGRGEISKNSARNL ARIEDTINAVALRMVYKLNDAAFRPIFSSLMDWAVGPDLPSSDLVGRNLRLLGAFSFL LSFFDSLKSIVTSYASYMVDPAVATLQRLDPKQGGEQKDLWRKILSTLAKCFEHDQDD FWQAPAHFSVVAPVLTSQLLHASSTGAVDMMTHDLVPTLVELAAAADSQDHHKELNAA LLKHLRSESAGIRLAAVKAEQKLTERLGEDWLAMLPEMLPYISELQEDDEEDVERETQ RWIVGIEGILGENLDAMLH PFICI_02734 MDAVLRQSKAMCPFLKQASPATLRALSTTARPQASPCGGAMSKL QLLGSRCPIMGKALAVQSARAGLSGTAAAIAGIRAFTNNAKNGKAKIHTSRPNEARAM DGVFTPRDARIPPTSPLKQHQQQNAAAQQASNKSNNSTTAKFDYDGFYQDELDKKHQD KSYRYFNNINRLAKEFPEAHMAEKTDRVTVWCANDYLGMGTNKKVINTMQKTLDEYGC GAGGTRNISGHNKHAVELEGTLAKLHSKEAALVFTSCYVANDATLATLGSKMPDCVIL SDSLNHASMIQGIRHSGTKKMVFKHNDLADLEEKLASLPLHVPKIIAFESVYSMCGSI GPIEGICDLAEKYGAITFLDEVHAVGMYGPSGAGVAEHLDYEAHKAGRPEGTIQDRVD IITGTLGKAYGCVGGYIAGKAKLVDMIRSLAPGFIFTTSLPPAVMAGAKTAIEYQMEH KGDRFLQQMHTRAVKEELEARDIPVMPNPSHIIPILVGSAELAKKASDMLLQDHQIYV QSINYPTVPRGEERLRITPTPGHKKQYRDHLVNALEDVWTKLGIKRTSDWAAQGGFVG VGVEGGYVEPPLWTDEQLGIDNKVVQEIKATKPADQNFTELLLERENLGRVASAAA PFICI_02735 MTISAYGVWRGTATKWEPTQQDNDHGHITFTDGDSDDLDCAVDV KSKDSDSRIVFWNVVSFDDSHPLAAKLAAIDKGYQAITNHTSSGLGLDYLKSDLVNVK QGRILDYQEKGPNNDILDFLNPILNAAVSEKADMYLYGSKYSEGSGIHDIHMNQGDSG RFAKENGVYQDGGMIFNFGSGNGDLSGWQAVFLAFATQATQTDSKGDAMGPTFAQTLG S PFICI_02736 MAASYDQVVLFGDSLFQGATDILEGFSFQAALQKHCIRRLDVVN RGFSGWNTEDALRYLPEIFPAKSSDYTGPRLRYLLVLLGANDACHPWSLPTQFCPQEE YKANLKKIITHPNITAHDTKVLLVAPPPLDETRIYQYDIEENGLDKLTRSAANSAQYS QLARDVAAEVPGTVLIDLQDALTKHAITLTPEYDAKNPQHHNGDIPLLGYMDGNDGKG FRGALGRLFPDGLHLSGEAYRVFFDLVAPHIGPFPELLDEKDVKSEFANPFPDWKILA TEREEKKKAKSQ PFICI_02737 MSDEKSQRVMATPPSVSSDYEGGSQPSIPQGTKHNISSRQAQMI AIGGVIGTGLFVGSGQALAIAGPVFLFVAYCVICFLAFCVASATTEFNAYLPVPGASI PYYATRFVSSSLGFAVGWLHWWTWGVTVAYEVTAASIVISYWPNDVPEAAWITIMLVV IIAMNLMPVHWYAEGEFWFASIKVFTIIGLLILSVVLVLGGGPNHTRLGFWYWQQDPV NEYLVGGSAGRVCAFIMSLTLGAFSFLFGPEYIVSASGEMKNPRKDVPKAMFHFTWRL IFFYALSALAIGLICPSSEPGLTAGGSGAAASPWVIAIQKAGIRGLDSVINAAIMTSA WSAANGELFMASRALYSLALVGNAPQIFARCNRYGVPYYSVLLTSCLSLLAYLNVKNG SSQVFTWLVSLVNECGFISWIICCVCFFRFRKACKVQGVTNLPYQSRFQPYGAWFAII FTTLLGLLNGLPVFFPGNFTATSFLTDYLGIPIFVVLYFGHRIYNRHEPWAKPAETVD LTSGLAELEAREVTLAAASSPEEKSTMKTGLDKLSAVWGWK PFICI_02738 MTRLIFALLAAALLREGVAAPAPAAVTGLDLPTPPIPSGIPTLP TVPSGKPTGSKPTGKPSHTGKPTGKGKGTGKPTGKGPKPTGAAIEERQLLPTSLPGLP TGGFPFPTGKPTGSFPVPTGKTWLTRMFPKGKGGGKPTGSFPIPTVKPTGGFLPPRN PFICI_02739 MSKVLLITGATGKQGGAVVDALLQLQTNAFTILAVTRNATSAGA KKLAQKSPSIKIVQGDLDNCPALFDEAQRVESRPVWGVYSVQISMGKGVTTESEVAQG KALIDESMKRGVEYFVYSGVERGGDERSWNNPTPIPHFQTKYQIENYLRDVTAKNGNG GGMSWTVLRPVAFMDNLAPGMPTKVFMAAMSNWLQGKSTQWIATRDIGVFAAKAFENP EKWNNRAIGLAGDELTMQQMSGVFERATGSPLPMAYSFLGSVLTYMVTELKLMIGWFA DEGYQADVRARRADHPQMLTMEQWLKEESSFTTK PFICI_02740 MASRRGSGLPTVSRLIAGYGSLSVPTLLEPLADNFHHRVLPESL DMPARDKESFAQHATGVFSIFEKFQMIPMSTYEDRESGTVVVHTRMQGTMKQNAMEWR NECIMLIGLSEDGSQVVEITEFVDSAKATQMRQKHAPEVFNGNPPATGRLENLIPSFR QIAMTCIVYQLGLSLTLFLIGKLPKVW PFICI_02741 MVSIAPEAVVGTTIALSYILLGNAITQSFMGVPALLVDFPAPSS PDHAARARLLGRQWPVFWQVGNVFFRPISTFGIFGYGYTAYCAGSSSSYRLGDWKMYA LAATCHLVTVLHSAANMQPINEKLDALKEPKGSQPGVEVAKAESYARKWISYNTVRLI MPMVAGSVALWQTIISL PFICI_02742 MQLVTQLILGAVLIGFTVFKAYQSYKEKNDAKYPIGPRQMPFVG RIHDLPIQFMWLKFKEWAEIYSGNKGFYMTDMLGAKFLVVSEEKVIEDLLVKKAKHNS DRPVIRSLFDSKSSHGSMEYLPLMGRNQYWARQRKLQHSYLTEASNAQYYGIMNHEAK RWLARLIENPDNFQFSLEDMASKVMCTLTWDDPSLSEYCTKSAWGLLTQMSPAGPITN VLTPLWHLPWHFNPWLQAERKRHDEQQAWWMERLLTTRKKVEAGQQRPCWTRQYLEKM ESKNVLSGDYESSSALGMLALVGIFTVAGPLSYFLVSMVHHPEWQARVQQEIDEQCEG KLPTIADMPKLPILRACLKETMRWKPNVPTGVAHEMEQDDVYQGYFLPKGTRILPLDW AFLRSPVKYPDPETFRPERWIEPGWPTYQEPLTQFPTIKGMSSFGWGQRQCLGMTLTQ DELVVACGALMWCFNLKQKVDPSTGQNLPVPLNKSNSLLIIKPDPFQMAFEPRSEERK QEALRLWAESEARDLQERADFLGQAASLPEDHLDIETTTIVTFADEKAVRKPQITISE KQEKMAHWVKTVETGHASLMD PFICI_02743 MGSLGESMDSKPVGSYSPTGIEVLIVGTGLAGLTAAIECIRKGH NVRILERNQSINTAGDMYFMGLSATRFFKHWPELAEEYDSISLHNAWIETFKHDGERM IPPSYVSERLRAQGLDPKTPPGTFQMRPLVYKMFVRQVEKLGVKVQFGKKIVDYREDE SKKKAYAVSDQGEEFEADVIIAADGVGSKSQKLVGGQVRAMSSGRAMWRAAFPREVLD KNPQVKEFFKLVGPNDSEPIIRTWLGPGTYAMTLSRPDTMIWIMNHDVTGSEEENWNN TIESDEVLQNMDKGVGPKPWAPMFKELIKLTPSNTIINFELFWRNPQPKWCSPAGRVI QIGDAAHSFLPASSNGATQAIEDSISIASCLQLGGKDNIPEAVRAHIRFRFTRVSCAQ KLGFSNAELLQDTDWSKVKLDPRRAQPRLPSWVFSHDPEKYAYENYDKVLESMRKGIP FSEDDSIPPNYPPGYKYEPWSIDEIMDDVKNGREVNVGPGNWE PFICI_02744 MMDGLRSRFQSIRSNAASKTSVEGWVLEKQPMTFADENTWSNRD SDVTPEEYRTWNSWTILGFWLSDALSVQSWSAASAIIAVGLTWREAVYCLILGTLTIT IPLCLNGAAGAELHVPFPVVARSSFGFLFAKFPIIVRMVTALFWHSIQTYSGSTAMTQ VIRSIWPSYLDIPNHLPESAGITTQEMVSHFIFWSVQFPVLLISPHKLRWFFVAKAVV VILAATGIVIGMARMANGTGDIWDQQPTVTGSTRAWLIVSSMSSMTGGWATMATNVAD FTRYLKKPKGVYWQALFVPMILTILGVFGIIGTSCAKVVYGDYIWDPLTLASNWTSPG GRAGAFFVGVSFCLAQIGTNLSANVVSCANDMTNLWPKYINIRRGVIITTITAGWIMV PWLIISNAESLLNFMSAMGVFLAPIAAILGCDYWVIKRKAIDVPALYRRRARYSYQNA CGTNWRAAVAFFIGLVPNLPGMAAAVNTSLDIGGASYIYDMFYLYGFSSTFAVYALLS WAFPAHETLISHAVHQDILVVDGTQVINDGVHDPWSKIRIKESSMVDEASV PFICI_02745 MSFTSYSGNSRSVVSDTARRADFSEIPLISLKAPKEDLIAQLTD ACARVGFFYVKDHDVPQGVIDQLFQTATEFFSQDLARKNEINYKKSRILRGYEPPAEV RTDETRKPDLNEAFNWGYEKSLDPLFAGSDDTPGPEWKDNPMSGPNAWPDMPGFQGSI RAYYVQVLQLARNMIRLFAEVLHLPPNFFDELVSTPGAMGRLIHYPPQPASDPDALGI GAHTDIECFTILCQGSVPALQILNAGGEWIEAPPIPGTFVVNIGDLLARWTNDRFVST VHRVWNVTGQERYSIPFFFGVNYDATVSTLDSCLAEGEKSKYEPIQAGEYVWKRLSVS RVDQKELRVQGTQAAAA PFICI_02746 MSARPNHKDARAKIDDDNDDATGLVFTTAVPYAYKFPRRRTALV LIDIQRDFVDPDGFGAMQCGNADIFASVRAVVDTSQRALAAARSLGLHIVHTREGHAP DLSDLSAAKARRQVDAPGGHHTLGIGETGPMGRLLVRGEYGHDIVDELRPRPGEVVVD KSGKGSFWATDLHRRLMARGITHLILCGVTTECCVTTTAREANDRGFQCCILSDCTGG FDANYVKTSLDMISAFDGLFGFTSTSGELIDQAKRSNLPTPPTTPPTWDGKSLDLATL SSMYRSHTLTPTEMVESIYEQIKEYGKKDPSIWIHLRPKEAVLKDAANLEAEHAGVSK HELPVLYGIPFAVKDNFDVASIETTAACPAYAYTPNTTAVSVQLLLQAGALLIGKTNM DQLATGLNGCRSPYGTPASVHGHGKYISGGSSSGSAVAVAAGLISFALGTDTAGSGRV PAALNGIVGYKPTKGTISATGIVPACKSLDTASIFALSIEDARRVWYVLDAYDPRDAC AKAPSALPLALVDYRHLSKRGFNFAVPPTSALLTCSAAYRAAFEKAVARLQYIGGKKI TLSEELYQPFRKATDLLYSGSLVAERIACIGPDFVTTKLDQLHPTTKALFSAVLERES KPWDVFADQIAQAQATRQVAELFSKHGGRIDVLVTPTVPSHPMITEMEAEPISLNAKM GEFTHFGNVLDLCAVSVGAGFVEDDMPFAISLVCASGMDGNMFDLAEAFERT PFICI_02747 MIAKYAAALLATLSAPVFAGPVYRLVDELDQDSYQQAQQRDDTA TRMLSNINIKTSKGKCLFVDQLSGDSAANLTPIQVAGCGATDGQGFDIITQGKHNDAA NSILIVSTLTQACFTFDPTQTTGKQVMLFSCGGVADGSGNVTTSQLFPGDGSAGSLTL APENQATSCLTVKGNSVEIADCEDGNEAQTFILDDGTASEAGNEKVDAPATTTAASSA NAIATSPGEQLITVTCQAVTVTQPPVTVTATESVTVTVTEASTSPDSTTDTTSTTTLF ITVDPISSTNSAESFSSTTTIFVTIPFVEVVTPSSAVPTASSAPSSKAPSDPNTIPQI SINPSTTVVVTGATTAPTVSSSAAVVATTASSSKAPSDPNTIPQISINPSTTVVVQ PFICI_02748 MKFSVTALSAASLASAAVLPEATGKTNYDGYKLFRVDTPADSTD GLSSLYSLGSSLTDSILLQGCNHDDHLDFAVPAHELEAFNALSLNGTIVEDDLGAAIA AEGPLVPYAAPVAGALPDDSWFDAYHSYADHLTFLSDVQAAFPNNSEIFTVGKTFEGR SITGIHLWGSGGKSSKPAIYYHATVHAREWIATMVAEYLIYQLVQGYSGGTTSNFLNN FDFYIVPVVNADGFVYSQTTTRLWRKNRQTRSGISAVGTDINRNWPSHWAVTGGASTT PSADDYKGEAACDTPECKVLTAHAATVAAAHGTTWYIDFHSYAMAILLPYGYSCTVNV ANLSKQQSLANSMKTSIASAYGTSFVAGPICTTLYKATGGSTDYMTDVTGTTYAWAIE LRGTSFVLPPAQITPSGVEIWNGVKTVLNAIL PFICI_02749 MTTSLDAQQGRYSHLNGSTTDLLERFAVSELCKGWPVYRDSSEW KNYRDIFDKDATVWTTWSKGRSVDDFIAISKQGKGQGDFIMHRECGTLVEYAPEHGRA IGKMKATITQRFRTPASLATDDCPVGTEFDVDCDCRFIFFCAKDPGGDTNGAGAGAGW KAKYVKLFYEKDKIVPVDGLHAPTFPKELLDSFPEGYSYLGAAQSMLGYPVTKHLPTA QDHKSWFAMYEKMEEWLTGKDVDLLVDDDDSVDHLRKEKVSLNGLANTNGSKTVTIS PFICI_02750 MASSSTCSLKAILLAGLLGLTMAAPLGKPYTTPTVEVACSECEG ETVARAVEINARIVDEDCSECEGEVTTRNVEEDCSECEGEVTARNVEEDCSECEGKTE ARNVQEDCSECEGETVARNVEEDCSECEGVVTTRNVEEDCSECEGEVTARNAQEDCSE CEGVVTTRNVEEDCSECEGKTEARAEVECSECEGEVEARAEEDCSECEGEVTTRNVDE DCSECEGETEARSVEEDCSECEG PFICI_02751 MSAVKKRVAVIGAGPSGLITIDALAQEKTFDVIRVFERREGPGG CWIAEGNKPTPGLTDFETLAARSADPPLSIPGTLPARTARSGQPRFSESSVYPYLETN VDDVAMSFSQEPIPEVRSDWSTSMHGADTPFRHWTVIRDYLESLAERKGYRDLITFNT TVERAEKVDEEWKLTLRREHSQGDAEDEWWEERFDAVVVAGGHYSVPYIPRIEGLEDF ARSRPGSVIHSKHFRGRDAFQGKRVVIVGASVSGADIAVDLTSTAKLPVYAVVVGRNF NGYFGDTAFQHPGIDKRPTISRVDAAAATVHFEDGTSVAGVDHVIFGTGFSWTLPFLP GVQTRNNRVPDLYQHVVYRHDPSLLFVGAVGAGLTFKIFEWQAVLAARVLSGRARLPP VEEQERWEVERIKVKGDGPKFTMVNPDFEAYFEDVRRIAGPAENGIGRQLPPYDPSWY DRFMAGHERRKKMWRRLNEQAAGDAGDQQSNPVSLDVTDSRL PFICI_02752 MRFSTSAAAAVAVAIPGVSANLNQLAVAAGKKYFGSATDNSELT DTAYTAILNDTTEFGQITPGNGQKWQYTEPSQGTFSYTSGDQIADLAEANGQLLRCHT LVWYSQLPSWVSSGTWTADTLTSVIQTHIANEVGHYKGQCYSWDVVNEAIADDGTYRT SVFYNTLGTDYIPIAFEAAAEADPDAKLYYNDYNIEYSGSKHDRALEIVGIIQDAGAR IDGVGLQGHFIVGSLASQSDLTNVLQSYVDAGVSEVAYTELDIRFTSLPATDSGLQQQ ATDYAAVVNACLAVDECVGITIWDFTDKYSWIPNTFSGQGAACLYDENLSKKPAYTTV SSILAAAATGGSGATTTTAAATSTSTAATSTSAATSTTASTLTTTVRTSTTSSTAAAT TTASSSTGAAHYGQCGGNGKRKSRRSSS PFICI_02753 MTDSDTTFRIENNSYPQAGTVFYRPRERDQRRPPTLPTPRIRAR TRRPQSIHILSYPSGYVPRDLRPESHSRSKKSAKSSKQREKQRLHDQSGRTSPGASRS VLEKVFSPLKSHLSSNVSKDVTKLNIPGTSRPSTSSWRDTSSSHSMIAVPHSGASQMP ASTMVDTRRSPMLGTALQNSHRNSIMSMRSAKSIMSSAVTEVPKPVASGSGVSCSIVL AEPNIFLTGFEHDGRPRHETANSTALLRGKLQLDVTKNVKLKSVTLKLSGKARTEWPE GIPPLKVDQFEEESLRTQVLTFFHAMHETWETEYGNQCTYSIKGSAETSSNTNLSVPA QGQVSLGLRNRPNGNLTAKEYKRLSLANSQARSFGKGDSPGGNSVQLKGYKVFYPGTY EYTFELPIDHHQLETTKLQFGSVKWELETIVERAGAFKPNLHGTKEVCIVRVPDQLSL EMTEPISISRQWEDQLHYDIMISGKSFPIGSKIPIAFKLTPLAKVQVHKLKVFVTESI EYWTNDRRVTRKDPGRKILLLEKSAGKPLDKQFENSDIRVLSGGELSPHERHEARVAA ARRRSVEASQRHTAPQPLPDPADNLLGDLDLGLESYWGSTEIEMNVQMPTCEQMAKDK SLRLNPDCSWRNVNVYHWIKIVMRISRLDPEDPAGKRRRHFEISIDSPFTVLNCRATQ ANTSLPEYSGLDQPMYRQQATCGCPDATILATNPSPASSTGTIPSVDPTPLSAEISTL PPPPAAHIGTQAQAGGAQPVQRPMHLMRYPSFNPPAFDEDEAPPPLPTPPPQYDHVVG TPSVDGLADYFARLADYDDGDSDSADEDFGTPSRIMDRGRVNVANPRTPGGRMVPSRS LDLARPSMMNLHMAGALNERRG PFICI_02754 MLDSAIAENLRTERTIPVNTPPGFNPPFPAYTARFPKNAKELVM AVIGAQYKSKDQDDGQAIKKVSSYTTSVSVPEDCRPGFQELAASTDRRGFHNVAVLSY WSSKAAYDKWTEASGFEKWWQGLTPEGQSHGWFREVFFPTMDRFETVFSNNEVPEGAA HMREGISGAIQEHVYWGSMRDRMPLSQTDALVGDKYATTSRSDATKKRVSVRGKKNLA VIRSGQDWSGTVPDERKLYLETMHPTLEKGMNFLRDSGDEVGCYDCRFMGIVDPVTGE IGKDRTFGLAYFDDLKSLERWSREHPTHMAIFGGFLKYAKTLDNNVTLRLFHEVLVLT PEQQLFEYIGCHEASGMLSAV PFICI_02755 MHISHGGATEEVGDHDLPCARIPHGRPFLRKYWDEDYVASLENQ VKTLLAALDAKNAGRSVPNGDSVDQPAPKGELSSTVLTAPVQHDAGVAGDPGPKAKNK ANLEPDARSLAAMEELSVMMWRTNIGDGVTIINDSAADSQHRVDTSAQPGLQDFITPP PNVLVYCQDTVLIHELATLFLDNINSEHQFTPYKTTEFLAGYPYQSFGQTFLHSAILS TGAIFSTRPNAKEIAEAFSQFAESLVFTCFRQSPTLQVVQGLCMMSWRSLALGRDHFG WIFISMAAGLSVHLRLHVLALDECESRSWRPHVEDIRTFWMFYLIDRTAISILGRNCA LPWRRVNVPNFEASLDPKAADIAQISFMWQCKLWFLHDEQMDQIFTSKFEAIPAQQQA HLLVATHEALSNFFKSRDRRLDLRGQDTSRHVLFFHMAYQMALLITLPPFLRCFAMSK GNPQTENSANPNYIILILRSLTGAASMMIRLVRMYRDAHPEQWKTANPVIIHHLLSAA IVLLMNATSQTTSIKTQSTRWLKVCIELLVNLRTPWPDRANKTIKVIRVLADRWGVLG ALPLQFSYPVDVTTPPEVSSTSQDSPPAVGILPSAVPSTQPFQPNPYSVPAFSGNDMS SMGTYSNFDFTVPTTISFGVEAQQQFDDIFADNGGNWLFGGEQDPGLTYWNGNAGSY PFICI_02756 MSASSVRVAVTQAEPVWLDLQGSVKKVCKLMKEAADNKAQLIAF PECFVPGYPCWIWTRLVDFEMNVKYIKNSLKVDSPEMETIKAAARDNGIAVSLGFSEN EDDSLYISQVLIGADGEVKLHRRKMKPTHMERTIFGDASGHCLSGVAKLPFGRVGQLS CWEHIQPLLKFNTMSQREQIHVSAWPSLTPHTGGPDLWSMSAEGCHTLSATYAIESTT FVLHSTAVITDEGVKVQNTSGGALMSSAGGGTSAIFGPDGRKLTEPLDPKAEGILYAD LDMDEITRIKMFAHCTGHYSRPDLLWLSTDNNIKGLVQAAVAKQVAKEVTSELVADL PFICI_02757 MSIDRNLREDVGGIVVSHHVRSLSHISDVVQRLDSQDARERYRE EEADNKIQHADPTSSGSNTPNQTLVSWGENEEGNPNNWPQSKKAPLVISIMVLVVNST MGSSLPSMAIPFIMDEWHITEQEKKVLPISTYLIGYVFGPIIWGPLSEHFGRRNLTLV TFGLFIIFTMACALSPNWSALLVFRFFTGAVASSPIAIVAGQLADLYNDPVARGRAFA WFMAMTVFGPLLAPIISGFCSTSIGWRWTFWVGLIYAGFSFCIVLFLVPETYGPVLLA RRAQKIRKETGKQNIFAASELERRDITTILTRVLTRPIRMLISELIVTSTCMYLALVY AIFYMSFQAFPIIFQKLYGLTPGVTGLCFLPIGVGALLSLPVFYLYDRYLHNAQKQGK PWSTKEEYRRVPLACFGGPLFVVALFWLGWSSRTNVSFVVPMMAGIPFGMGFMLIFMA LLNYLTDAYEIFAASANAAASATRSLLAVVLPFATTPMFEHLGIAGACSLLAGLSCVM CFIPFLFLWKGEEIRSRSKFCIMLKQEKEAAAEKARRDSQRRQKKEAESSDEKVILGT EKGSGTEIDESARHELV PFICI_02758 MSASFTFGSFGDIITTVQLVWRLSQAISDSHGSAQEFRELVDEL NLFHKTLDELLNFWQSRSRSAELDRLADSLKPAVDECREAIESFFKRQFRQYASSFSP QKKSRKSIGDIFKRIRWSVLEKEKVTQLRNKLRRNKELIDWIHAIASGIGQEQDRDLV AARLESLANAEAQAANQLDKQFVKVLGYLETHTTVATKTEKNVVAVLSELRTANSRIS SVQAEIASLSRVPDAIDPYRYNRVLIEDALGSTIPVPLDINPSWQTIESMVRDQFRNR PGQALVMKKKYVLHDLATGTDLNLKADFHHLVRPGQTLAMAMTFGADVDSTGSANPRC SFIFRKVLDFSDMDDDAIDKWLAQPEHDQNSKAFLNDEYNGNDNLSLLLAERENMEGP DIFKRVRYISRWEDLEDSRGSLSATMNGIRFWGIGDNGWAIEAVWNIRALAVAEANWQ VHERELAPIFGENTCWYRVQFAGYTRKTSVPVLTVITGSQDTCQRIVKALRTLDFIRD GRIQLAVLGTDQSLPQDWLDGIRRRYELTEPEPHHVAFDKTVQDIIRKRQRMPHN PFICI_02759 MLQSAAFSGLAAGLANATNHTFGARSALVPDFTQPPPTNLSIYT NASLWEQWRPKAHFIHPSNSVGDPTAFWMDDNGTIHLSALYSFIRGIENSTYFTQSIA GSTTQDLLHFKDHNTYKNPVSIGAGNEVDFLADFDGSVIPHGYNGLPTLIWTAVKGLP ISWSIDYHDGYESQALAYSEDNGDTWIKPEEGANIPVITAPPYIGNVVTGFRDPWVVQ GKQFDDLLSPNGTWNYTEAPWYISVSGGIHGDGPRLFFYRQSELNNFTSWDYLGPILQ EKVNSTFVQPGSGWAGSDESDGSNYETSQLTTLGHEGDDEDGLGLITMGAESGRKDHS YHWSLYRVGHWARSEHNESVILNTTFEGVVDWGLGYACTGVQNKTDLRRYTLCVIGED QIEDLKYQTEYSGALTLPRELFIKDIENVVDSSLVRMRASWAVKTGHLKTAVPTENNG RIGAKSDGTVDLTTLGIRPAKELAAFRAQAEKSFVVNQTEVVFAPSRNNSGDEITVKD GEHQIYRALEQSPDSRHFELETTISFPNASLRSEDYGSFSAGISIFRSVKGVEPGSDR FEDVSVVYRPANESIMIQRNTAITNSTVNRAPEVGKLRLWERRVNGTTQLDDLKMRVF LDGSTLEVYVNEVLAISTRAYYWFPDSTRVGYVYNTPEGFSKNDADAKVTFKETSWWQ GLVDAYPERPKDKWELIRQLMGYPEPANNPNNDTIIYQGVGDAPAFPVLP PFICI_02760 MKTTFSVAGAACALFGGLFVGVAQAQSNPDPIGTIYDGPVPDDL YGSNYTYPWPVKQFNFYNQHQNLTMAFMDVAPTNSCVTDNKTAVLLHGGNFCSVTWSD TARNLSAAGYRVILPDDIGFCKSSKPLGYSYSVDQQAMNIKSLLTALNITEPVTVMGH SMGGMISARFGLMYPDSVHQLVLVDPLGLEDWVAKGVAFVPVDQTYEGQITQTFDTLK AYEAANYFANATWEPQYDTWVHMLANIYGGSHGTEFAYVMSLVTGVLLSQPYVYQLPN LQTRTYLMVGENDVTALGKAWSSADVAAVLGHYDVIGPAAAAAIPNSTFHMFPGLGHA PFLQDPVAFHEVLFSWLD PFICI_02761 MSENNIPGPSRALEIQIPCIVFMITTPLFVGMRLWARIRSKAGF GWDDWTSLASATFAMIVMAFMLASCSYGFGQHIRNLTPQNKIMTLKMFFVSQVFYKLT INTTKMSILFLYLRIFLQRWFRIACYILLAVVASYMIAAFFASVFQCTPVPRAWNKTI AGTCINITTNWYANAGFSIATDLTILALPMQPIYTSNLRMRQKVAVMVLFALGAFVAV TSILRMQTLDFSSSSLDTTYDLDSSIWTMIEENIAIICSCLPMMRGPLSICFPAVFTP RSAKDTYESGGVSNGDSTTGSGGLRSPRNQWTQLHGYPDNRAGINLNEISAIQKRLSE DSTGQILPATNNRSHKVRTPSDASGIRKVLQYQVSYTQREVNSSNGEDKT PFICI_02762 MSAQHGGGQEVSQIGGAAAAATAQYNSIIQDMKQLLHIKFKWSG DQNRRIKNLVTELLVWGVLIEIETGTLEWFAVKQASSMSMIQAHLLRVSTALADFMDY ANNPARSCQQENPASEFGRSVRELQVSVEDFCNSSVEILSAMKLRSGQRPMPPVVQGP IDPDDQIDLSRWQIRTEPLCRSLFASSTKTSIRDPVDQIKLSRWQIRTEPLCRSLFAS STKTSIRK PFICI_02763 MPSDPLASDGDHGGGSDTQTTQEAPLTAPDEGENDSTTAGTQIH ELHKRLREMESKMGSVDKLYNLHKSTAEAMEFSTEDPTISAWSNPAFDPIVRRREELE FYTDVLFFMRKLRHTHKAIVERERINKERMEALQKPDDTTVDDRIEKICLDAFKEGKR LKLIQMEWDDYLLRGAYDSPIPIEENCLTPVHVISGEPDSRLILQFPTAMRSIESAIK DRAQVERISPTFESGNLFEQEPLPERIRIHSRSLVAIFHEIAHMKGPPRVPMVNIPQI PTVYLRPFQDILYIQQDLRDWLAMLEKHFEKCDETRHFASASNSSSPAKPHDDPVSSD KDETKESLTYRLSHSVSALLHLRCLMEFIDKEIKPKQEYINSPECTRIHFHDLWHLFK PGDEVIQQDGKQAFVVLRVQVPKHRVEEPWNRWNKADDSSDSSSSSSDSDDDDDGDDG DDGTDGPFRVHCAYIDFDGKYFGPVSKRFKIPPFGETKSIRSLPLYPLRHTKDAQARQ ALIKRGQMLLEVSKFKAMYYMGVTIDKRDEIDSQVVIDFNEALADEERRKAWEPRMGN LRTAADHLGRQCTAHCCFPRDVRGGSQAESSRTEDHIKSLLPHTLMGVTSLILQSRSL QEVLSSLDKLTETEYTIMTYRVFGFILRSRKWAQLDLNLLRYENANARNLTVDAFEGL ELPDNHREVLKSLVVQHFRSKQSTFMKNEQTDLIQGKGKGLILLLHGAPGVGKTTTAE GIAELFKKPLFQITCGDLGTTAREVETELEKNFSLASRWGCILLLDEADVFLSAREKM DFKRNGLVAVFLRVLEYYSGILFLTTNRIGDFDEAFSSRIHMSLYYPPLDEDKTLKVF QLNLDLIKKRFVRQGRSITFDASAVEKFARDHFKAYKYNRWNGRQIRNACQTALALAE FDSQGGTMNIESEMDKSVVVELQQKYFETVQKAYLAFDEYLGDIQGTQGDSRAIDYKL RARTDTPYQAKRNAFPQHESYRVPRSQHILHPEYPHTQRGSANVSQSNFGPQGDPFDQ NYTPTTPNANMQSSRGYEHYSPHRVPMQSYQGQARGGSMTSREAYERSPEASQQYQTD HQYAQGGPRGQQFDSPRGSNRIIRSVEDERYSSPGYDDSPLPNRPAQRPSQAYSYENP NDGGSGQNLNPSMQGPSSYNPNTIFRSRRDDGGS PFICI_02764 MSDRVDEEASPPPGPSITLHSPAIDFIENELPDYHPAFDARHVR FDLSRDQFVPRTPYLNGTLVRESIPSSMLSVPSMPPPSQQLTRPAPGQINEMGFWVEL FPKVVQMLQEDPIPSNLDDSKWGIRGLSTWADVQARLDMARREYNFQHGSQSAGSFRR AVRNGLDKHAVAVKQAARFVPDVDLAKPIVGAIHVVIDAYRQASEVKKEVTKSFDDLP QVFENIEFYLRTYQNDESIKRASCHLLRSIFRSIEYAIAFFTSHQAKRAGGAILSGSD YQRNLRDCLNEITAHCNSLQNQAGMSLAHRVTSDNQQMMRQNDAIIHGNEVVQNVLGH IYQQQGQINNFGVQFCAYVLNQVLPILQDCQTARRAPSPITRSPSPMPQSIEFQPQWL PQDIWYRLHMPSIDDDDLRHVLTQTELIIHEDRGRIQQLLENQIFREWMARSNSTRLL VHGDFRTPQDISPLSVICTILSYAFRNAGSNMIGLVFFCGQHQAWDEFQGASAMMRSL IAQLMTQFSFDPMPPTQQFSLQDLDGDDIHVLCDLFTILVQQLPPNRRIFCLIDGINL YEKERYLEEMSIAIMNLVNMVDQSSQRLMPTFKLLLTSPQPTSEVRRAFDSEFGPLLD TRSWPMAGGNISMARMQDQLGLNMDWRS PFICI_02765 MPVDENKNLYHEAPKPTDPAHASSANTNHTTKSGTKPTSAVEAI TDPDFFPTLEKAPLAQKVKSTLFLAVLVYGAVAALWLFGFTLFQQEQPPNPQEAAGGA GPMIVEIPLALPDDAVNETALTDSLAAAAREVGLMTAGFGTVILAMLYSTGTTNVDFW SLVMEYGWWTLPVMGAAGLLFVIMQEDSEDIKYVS PFICI_02766 MTSTDVVERPTTATGSSNEKGGRLTPEGDKHAALQDTNVLHTDY PGKPTDEELATLRRVPGNVPWLAYCLCAVEFCERASYYGCVIIWTNYINRALPEGGNG LGSPPAGSQATQGGLGLGEKVANATSQSFNMIAYVLPLYIGYLADTRFGRYNMIFWGI LLCGIGHVLIVVGGARDLLANGNAKIPFFIGVYILAIGAAMFKPNVTPLLLDQMSSHV PIVKTLPSGERVIEDPEHSTERVMLWFYLLINIGAFMSTATSYCARLVGWWLAFLLPL ILYLPLPFLLIWLKPKLVMHPPGGSDLPNVFRVVKTCMKNGGIWRIGKPGWYDRAKPT YQLEHGFEVETRWNDQFVEDVKRTLQATGMFMFFPVQFWNDNGIGNAANYQGTMLTGN GVPNDVISNFNSLSIILLNPILNFGLYPALRKANIHYGPVARITTGFAISTLGGLGYT LIQWKAYQTSPCGYYGSSDPACVDEGLVSPISLWWQAIPFSLGGFSELFINVPAYGIA YSRAPVNMRGLVSAINLFNTGIAYIVNLAASNAITDPHLIWDFAAPTVLGAFVTVFFY FYFRHIDKEEYVLSTNVVNDSTGARPHFVENDLNESTLRPAPIADNEAGIISQKQ PFICI_02767 MALVNGWLPPTRNNFEFIMLCWNFYPLMGSLQWLTSWYSMGKTS TKSVFNIPGRVAWFTMEIPSILTIVYTMNQLAGKDGIANLPWQNKILASMYILHYVYR AVLFPFIQPSMSPIHVVVWASAITFQITNATCIGSWLAAYGPTTQAEWHAQGFSMLRF GIGLVTFGIGLSSNYLCDEELREIRRKEQRRQAKLADQGKKGVEKHYSVPNNGLFKYM LYPHYFMEWIEWLGFWIAGGWSCAPARAFLLAEFFAMLPRAVSGKKWYQEKFGEEKIK GKYAVIPGIW PFICI_02768 MVSPKPSSSDANNDVPPSIRIHGPNQDVWSPSDPEMDGGNVWED LGAGHPHQGNGSNPATQDVPEALRPGVIPKSSFDTTEENPWEEPRKDTSKPKITLEHT PTVLRPGGKLETNPFKRKPVSTAVSSSNANVTPARPNADPVVPTETFSKLQVTDSDSN INPWKPSADEPQSTSKPPIPSISRQDSEKNIWGAPPIGQVSEDLRENSPTPMSLPSGR ESPAWDEVNRAKPSLGSVPTRSTEEQEISQDAHAWDDLGDLIGPNASVKPSLSRQSTW ENFVDGEEEQKESTPISPPPIVAADEPVAEAQPVTETEPAVVTGPSEPAPPPLPPRRA ESPTQPATTTTSNATDRTETYQIKNINWFDANASQNPRRSPILIQNVNGPCPLVALVN ALTLTTPADLPNTVLVETLRTREQISLNLLLEAVFDELMSPRRTKSDAALPDVSELYA FLKGLHTGMNVNPRYVPTPELVNLHKRNSTHVHPSERDDTVPGTFEDTRDMKLYSTFS IPLIHGWLPRNDDPAYAAFSRQATSYDEAQNLMFREEELEDKLSNSSAGLTEQEQQIY QDIITIRSWLSSSATQLTRWGLTVITKSIQPGTFAILFRNDHFSALYRHPQTHQLFSL VTDAGFYTHDEIVWESLVDVNGERTEFFSGDFRLVGGPEQGLSQNVQEHWYDADDSGA QGGEWQTVRNRNGRNAQGRSDPPGSPMSGDHEQEDRDLALALQLQEEEDERHRNEQAA RRRESQLSEQFIEQQGRGGTARTGPLGRGGNARGGSVRGGLAPARTSSASINVPVRGG SNTARGGRPVQQVRPLVPPVNTTHRPTNPEDEDAPPSYEQASRAEPYVPPTGHPNHPS STPSSATTARRRTTLSGNGPPQPSPPQAGPSNPGGMGRGRPMAAHQQSASVGRDKDCL VM PFICI_02769 MAKMVHPWVNRVSILGLFLSLGNASAVYAPSASTKNGTYLGKTN VHYNQDEFLGIPYAQPPVGDLRFRAPASLNESWSDERNATEYSPICVGYGSDSNFYES SEDCLTINIVRPAGVPSEPLPVLVWLYGGGFYEGGTVDPRYNLSRLVQKSVEANKPII GVSLNYRLSAFGFLWSEEVKANGSANNGLRDQRLALHWLQDNVAAFGGDPDKVTLFGE SAGAIAIGRHLTAYGGRDDGLFRAVIMESGGPLERWPYATPDPDAYSEELYTNLTTST GCAANATATASPLECLRSLPFEKLNAALNITDTWISGTGLGPWVAVMDGDFLQDYQST QIAEGRFVQVPVLYGTNTDEGTAIGPAGINTDAEFRAAIAEGGPDNDTIAMVEVLYPN VPGIGIPAAHALTAEEEATYGSQWKRVAAFFGDMVEHMPRRTLVSACARANVTAYSYR FNIVPAGVSAVIGATHYQEVAWVFNNIDGAGYTTNPFNASLVDRDAYVGVSTLMSRMW ASFATDLDPNHHGLTGYPVWPTYTLEDEGIGANFVFDANVTSFVEKDDYRVPGMAYIA SKAREQWKF PFICI_02770 MRPTKSLCSRSLALAAFLSSITPCLAGYGATTNFTTWFNITSYP EEQAANVSTYLYEALSTAGDDLYTFAAHRCILGQAYPELSDLQQVDGFVQPTRAFDSV FFIGSSYVSSWIIDTGDGLVLIDTQDNPEEAAGVIVPGLEYLGYSGSDIVAVIITHEH ADHYGGARYLQETFDVPVYASAVAWDGMAADPIAPAGLVPPVRNLTIDDGQDLTFGNT TFSFVLTPGHTPGTLSFFFDVYDGGAPHVAAIYGGGGIPSNATAKDQQIASFAKFGER AAARGADTLLAPHQTQDNALYNFDILRHRAAFYNVSDGGNTPKNPFVLGNEAYLRYLK TMALCVRIEAARIGQYLSA PFICI_02771 MAATSNHLDSSNRELDMTDSISTAAPNTDTSSNKKRHQEAMPGD DFETASKRVEEPLDAFGDETNAEIQYKTMSWWQASMVMVAENISLGVLSLPAVIATVG LVAGLISIIALGIFASYSGYVLWQFRMRYPQVASYTDVGRVLAGRLGYEIFSAAYVIY CLFFMASHLLTFTIALNVLSNHGTCTIVFGIVGLIVFALLTIPRTLKNVSFLSIVSFI SIMSAVLITIIALAVSPKAAYEDMQAVYHPNFPTAFNAICNAVFAYAGHVAWVSFISE LRDPKDFPVALGSQQLVQIIVYTIVSLVIYRYAGVDVSSPALSSTGEVVKKVAWGIAL PTIIIAGVIFAHVNAKYIYLRMFAGTKYLHSRGVVATGSWIALGFGTWTIAWIIAESI PNFSDLLGFVSALFGAWFSFGVPGFLWLYMNQGLWFQNWRKIGLFFMNVTLIILGFII CGVGLYSSGWSMAQDSSGLVWSCADNSS PFICI_02772 MAAVDKAKQFKYATLPPGYIRTLELQPSEHGSTALRCRLIAQRI PDDPYEALSYVWGKPTVYHSSIFCIDGNDETDQGTLRIGANLTKALVAFRLSDRPRRV WVDAICINQEDLSERRAQVRMMGDIFRAARQVLCWLGGFENLHLDEPVSLIAINFLRQ FNRDQEGELRKVQNYLHHDVKTREDEVIHMSWLAIKKLFDIEYFHRAWIIQEVGLASR ARLSWGKNDIWVDWEEIATFVKFLDDNGASIVTHFDLKSWVCNHICMVWTMKPDGQPL YDFSEVLHWARIHISTDPRDYVYALLGHPSAIVGGDLIIEPRYTVSTKEVYTTLAINT ITRTDNLHILAFVDHGEEFETETGTLPTWVPDWHAPNLVAPLRYPTQAAPKTADSIVL DAEANSIRCMGVIVGEIIAISDMITPKELPVTTYDTEVEKSVSFLFDHLYDELVAKTG VTLPTWQDFVFSLSCVLTGAMRLDKGAATGEPMWQQRADCAAYLLKFEEIKTRRNKGG FLQSLSPEDREAFQASASSGSAAIFIQEATWNSMCRNVFRTSKGSFGIGPRIMKTGDL CAIVPGSVYPLILRKWGDQHRLVGPALLYGFMNGEALEGARNGSLEQAEICIV PFICI_02773 MPAQNHEVVRDGFSCIGEDFSVLLTRASRRFAIPRCEADVLRQQ FLPKVTPEGQRRIANWARNTDIPDAFVRAQLKHYGVQYDESEISGDGADLLTKAVAAG KCDSVPQHISDLREQMYQEFIVKPSTRELGYHPEWIAPRYFLTSGQPDRSKTTTVVDI PCDRSDFQMKRVCEAAAKVEGLHFAKGSLDKNKHVFLGWDEAAVKKAAEDFVVEADAA AKAADEQKHQQRIKSQGKYLCTLDEPERVAQGFSPVGKYEIECEEITDQWPEDSKKMT MNIHPTNVQGLYKAQFDFGILVGVMIISRNVEDIKKYRRISDDEDESEDDEENEETNN APTGSKRKAPPVTGPRKEAKVDDAEPSPFKYELRLRARETGEGDIFPDPCKGTIIFGD DKFTWFKGSATLPCVGDEPFRGYKVADKPVDKRWKWETFSW PFICI_02774 MKFPIPQILLATSVAGAFTYERLDKNDTLLLILDLQEGLYQLAR DFDHTLYKNNMYAHAELGRVFDLPVVMTTSAETGPNGPLPGEFLEWYPDAPLIKRQGE VNAWDNADFRDAVVAANKSQIIVAGITTDVCVAFLALSLKEAGYSVWANVEASGTTTE LIRDTSNDRMRDAGVNVVSYFDIICELMRDWRNVPGAVEIYPLLDKYYPVYGVLARAH RFAVQNGSVSEAEAALP PFICI_02775 MSDPSNNHAADPSGQYPNVQWSGYPQNPQQAQQHPHSSPVVATS HAQYFGSSQLPASQTHHSFESGQWETPYQYQQPGNTTSAPLIGYYHQYGSNSAPFNGY HYYDQTVAYPPLPQQPWPSADQFPAPQVAGYPAASQHLDQGAKRKRTPDGHFAPDPAV SSLPLHDQEYLAMDANMKRSKIKHEYNDAPAMPVPAGMAAARSLATSAIKQEPNDAEP RPPLMERPLSIPGAYPESSGESEYGFSGRPLIKSEQPYPAEAYQQANTTTSAAPQTVS EDPPLCPEQAELVDLICSGRNVFYTGSAGCGKSTVLKAFTKKLRAMGKKVRILAPTGR AALQVNGQTFWTFAGWTPGHMKKPLDDLKAAVHGKYIYERIKKNTDVIVFDEISMVEN HILERLNELMKQAWFNPHRERQPAFGGVQVIVTGDFCQLPPVKPFQYCMHCGKEMLQK EVYGRKTYTCPQHREEFLDEHKWAFSSKAWQECNFVHVNLKQIHRQNDQEFIRMLQKC RIGDPLSSVELNRLMDHPCQVHHATSLFATREQARELNEAKFKKLTGVNYSYWAYDTF NWNPKHLHLRSKGALNMNRGPPTTDECKPIKALDDHRFSDIVELKRGMLVVLLTNLDL GAGLCNGSQGIVSGFEAYHPNKMPKAMIGKKDDPSMKIMGDHASLKEQAMKAFIESKG AKFKQWPIVRFHNGEKRMIYAECSISELGDEQPYSLLARTQIPLAPAWAMTIHKSQSL TMDRVIVDLSRTFEEGQIYVALSRATGLSGLKINGDATALTEGLGGNREVQRFLREKF GALNALCS PFICI_02776 MESYIEKARRYAQPPPPGAPQGVAVPNSKLPGRSAVYRHWRRGD ELPDYFDPDLSSGYDMFEQTAKRQPDARCLGYRPYNGSTKSFGPYIWYSYDNVLKRST DFGKGLAELLEANGVGGRQRPVGLWCANRPEWQFVDLGAMSQSLYSISLYETLGPAAT EFLINHAEIPVVCASLEHIPALILAAPRCPSLKLIISLDPLTEEIDAPGTSRKELLSS LAAEKGLQVHDFRDVEKAGQASSRSLNRPRPDDIFTINYTSGTTGNPKGVVLRHSNAV AATVTCLTVYPMRSSDVLCSYLPLAHIYERFVEISAMYGGGSIGYFHGNPLELIDDLK LLRPTIFTSVPRLLNRMGSSIKAATIEQQGVKGALSRYIMETKMANIENDDPAKATNK HMVYDRIWGRKITAALGLDRTVHMMSGSAPLDGGLQQFLRIVFATEIVQGYGSTEMFA ICLAQSAGDMSVRNCGGPAACVEVCLLDLPDMGYFSSDQPCPRGELLMRGPNVFSEYY KDDEETSKAFTEDGWFKTGDICAVDELGRFSIIDRRKNLLKLAQGEYISPEHIENVLL AQRPWLAQGYVHGDSAEAHLVGIFGVQPDIFCNFLNRTLGKECKPEDTDQLEAVLQEP EVRSAVVKELAMVGKSAKLNSYEIPRAVRLMIEPFTVENGLLTPTLKLKRPQTAKKFD HLIKEMYAETRKTSTLMAKL PFICI_02777 MWPESLVAGQLEEPQDEYGELSLQHLDFHFGNWVFDAFTADETG TKEHDLMPPLVMIDFDYVKAATKEATDQARDQSLTSMLRLTRENLRDLAMINRKNSEG LPEEDPEKNPKIDVDLRTILTITYHVPNPILRLRRWMGMALNAIRDRDGEYYATKLEI TDGTEGDDAIRQLVRRLILEPNEEKQMT PFICI_02778 MSFPGDASSQVSEEDRKARDGDTIVREAFERHGNYKYVRNIGEG AEGAAYLIQRKSPSETEPRRVVLKVSGGLEDLAYEEWRKTNAPWIRRPDYVVEVPVDT REWLQALANSTHTAKWVHIDPEPTKFDPDLTAKNVTVAYS PFICI_02779 MSKTKKAAKRKRQNDAQADLSNKKRLSAPPTPESSDGAEQRVEP TTALSLHTVVSEDEVDITVDTLRTLAAHPAVIKSKACKDLRTAVYDFRQACTTGINTI AETNLTSRISAALVDGKYTDALVLLAEMRLRGESPKLGALCRWVRDLDVTSGFSQRNV ERQQRSQSQLERLRLLDAISRVTGTNDLNPSISLLSSSDPFILHKQWNLLDQAATSDS GSSSAELAKFCPPGIKNKFKILETTRGPDRKPPNLHDAVLYLSDDNAVPLSPTPPNTT HHKHPAVPNLSLMKDVLSHEECKTIVASMEAVGFLPDAPVRDDGSEASILAHNVYWIV DQAFHDLLWQRVLPFIPADIGGRKARGINRRFRVYRYVPGAEYRVHFDGAWPPSGIHP TTGKYQWDASPADAKQSSFFTFLVYLNDDFEGGETTFFTPSLVEGVMNAHPVRPMMGS IALFPHGESKGALLHEGTGVIKGAKYIIRTDVEYDVVPSQTA PFICI_02780 MATYGVHRGSISYKKLDTSKQEFRLLEIRPAASVEDRIEARLVT VRLKDEPEFIALSSLYGSPSESENVIVNGRPITITLHLAQALRHIRTVLFPGSARNGD ERLPPKRQQRRPPFWLRQLMKGVSSILPNPEAEKDVPLRVWLDVICVNQYDEVERSRQ VQDMRQIYTAAQMTVGWLGMKTEHTEAGLEILIDIDRCMPPRWGDPGDREEHPENYSP KHAWFQKVDYIWKDVEANTESQLPSCWQGSNDLMNRPYFARRWILEEIARARYPAFLV GDTILSWRTILRLHRMLEEVKYADSENFPKKHQHLVPTLPIEAVQALLDDFARRSAME DTQALDNSTSSQEGKSATSSLH PFICI_02781 MPSPLPDVATSSPLSSPDSFATANATPPNLHDVTVTDISTPEDD GTQVNYRKAKQLPPVIKQHCQIYLEENLHVLGMKMLERLQSCSGSEKWNTYGQNGDNS NGSGSVYCPPPNQLAFLGTLTIHPDLTTRPREENWTDVSSAAMVYLRSVLQTVGPINA RFQEAFQFGQSTRRSPNGDSSDAMYDAGGAPQLHGRYGKNSVWRRGQDFFNVVGWAFN CSVLYPNRWEYWRQWLEFMCDVLEADILERARIDEEEHDRAGGEEGECSYAMLSNSIL AGYLNQCERKRTNGAKSLMAVIFADGQTSSARQFQEIWDKEHKGISRDRIVRKRKRKV NIEKGDFGGLLDDDSVYSSQNSEPPPTPQKRRSGSESPALQTSYVESIALRQRLFYLL SYLCNFLPKPPISLPDLYEFYEREIRHLPLSIFTAFVDSTTSSLRTDSQISILQNILS LYMPNTSVKPSKVDPERYDVNGTSPAILERCFLPYAAYALAAEENAKVSVLLEEIVKL VWTVGTEPFSDKLLDQVNKGIKAREAQVKKKVGGTRARRSEPTREDPDLLEAKTLLQE SSKRLLQLAELIMDEADEGQTDEADEMDHDMAEVSYMTARSS PFICI_02782 MSPIPELSPILRAWYRWKMLRLPWRKRFLVGLDLRGNTYWTFRD KRVDKNVDPRTLRWRRIVQYPRSTHLSDVTVPPQWHQWLRHQREDPPSLTEQAADVAR QDRMKTLAAEADARWAAKPSLTDMPPGAGPKSQPVPALDTGKTQPQEQAAEQNLQEKG LRDAPAQDKAEVKDDPWKKAASGPGENWQPTAWTPTSSRRR PFICI_02783 MASVSSLDKDLRMSRSARYTPAAANEAQSWIEGVLGEKLAGKDL LEGLKDGVALCKLVNLAIGAPGVKFKKSAMPFVQMENISHYLRACKSPPLSLPDHDMF LTVDLFERKDPAQVLQCLGAFSRAAQKANPSAFPTSIGGIGGNKPREGVISPESTGTP GRSRALSNVSQSSSSLSVRPAALVPHKTGSSTGSGRWGSNNKSPTIDRVGSPGVSSWS KKFDEGVTSPAWNIAQYGYMGGASQGNLGIAFGGRRQITSAAPLVPNAAEKERRRKQQ EAEAERQRQQMQEEEQRRKAELEAEEERNRLAEEKRWEEETRKQRDEEKRKADDEKRR WEEEERQWRLTNEKRRQEEEEAEARIVEERRKSQSSHSRSNSQLQGQFLSQYQAEQGI KPQGAGGYAERIKALERELELARQREQEYEEERQRQQGTKNRNRSRSRPRLQDRTPSR QDSWSRDEREVLKTQWDRHQEEQAAGVKAPALPSRPLPDPKVSSPLKPQRTGEAPPPT LPLRTQRTGEARPLPGTPEKPKPNSAIKSPPSSGRPLPTPASPNWANRNNRTDRFLAS NPAPKSPPVQQTYSRELGSGAEQDAEDRRRMQSQSKTKAGGWAGKSLLEREMEMERQR QKEWEAAQEETANTPRSREGVDGIGGGIGGRWDVSQWSGFTGGDSQNNVGTGIGAGRR QIVGPRPLPNRP PFICI_02784 MFLVYGYDNHAFTMDLSHLDAHDTWYLLDDFHEAFSPMKLSELP GRPLEPDRRHNNEWKRIFDRFGEPQILHNCTIKPEGPKGIHVQTVSGRDVDAYHEVQR EMALGCLSTPSFEVLSEKRSYKEFIRGVFFRYYMNHIKHWIENEAHIYSVAVDGRVRG GCLVEEISRRTLSWRYSDLPHGETAVNGLSGVQDMQDFWNADQALNMVRTEHDVLKWQ RLMNDDRPFWYLTGIHIGQGQFRGSRGLETALLRQIIRAKGVDSAIFVLCEHDNVGLY RSEGFVKVDYVQMRARRLRENTAKRAGDPNRTHLYTTLSGMWRPADRESSRCAVDRGE KPRLYRPGVAALFTGHASRFI PFICI_02785 MRDAPTSTPAPLITMNGHEMPGKSEGMVGPTGMWTTPTSQGMRP RPATIHEGFSYCLGEDYSTIPSWETSSIAMTPSDTMSRPVSMHQDLSRPVSMHQDFYP VTTMESNPWGMKSCEDSMEAHGLDTDMSIGQALTTDDAIPILDLRYQGGPMEQEGGLN FETGLSHRRMSGSSFTMSTSGNLSDMPSYDEFSSALSEAPSFSDYPPTSNRNSMMSST QLSPVASPRMTPQSRSELVRTQSRGRGASPSPRPGGVRSAPYTVDSSARNKRWSTGNY GTTPSRRPSPFVYHHTHDPFGARMASRHSSPTISGNPLPLNFGNLQAIQHVQQVQQQP FLISHAPAFRNSMLLPSQLPSQVPSNGFHPELHHHFETPPPLMSHGLFRMLQSNADPH SLHGHYTDLSDPPDLFASLHEEQIPPPPEDMNPEDPDMVPHEQELRFEGDLYTPRWVR GHGNKREGWCGICKPGRWLVLKNSAFWYDKSFTHGISAATGNPFQEPQETRRMDGNPD VWEGLCGSCNDWIALVSSKKKGTTWFRHAYKCHTHPKIKDAPKRRRESSHSRALAASQ MARPKVEVQQPGTPETPQGTTASMAVTPTPMPALPATTTTMTTPQPMQQHHHQQQQPQ VIEPQFDSLNMI PFICI_02786 MPPIPIYANSPVATKPSGVTPQTASAGASAGQEKTIPASITASP TQSRTSSYPEAKPGAVPSLPAPTGSAAAPSRAAYIPPTPTQGLVDKSDAPPPPQPGAQ PTASRTAHLPPPPKDGEKYVSPQSAPAPSYPQQMSIPPPTMAYPAQQSGTMSAAPPPP TMYNNQVGASSGAQSLEHPPGYHQNADASELDRYQQSAIRRNESGYEYGSDDEGVWNS AKKWAQATGEKLVAAETEVWKRINKQ PFICI_02787 MSRESLPQDIILLICQELTWQRDFGTLFNCSLVSVRVASLALEQ LYSIHELSPANTAETFNLSKVARLWKSLLLSSIGKTAYPYCTWIRSLSLGNYGSLLED IWNNKAVDLLEPLEDMKQFLVLRGNQTWKTKITRNRGMPFFDFQRSMTESGDSLTLYI KQMADLSKTAVSLNHLEASSLPADLLPVWIARIPSLQSLQLQEASALTPEAASAIARW CPKFSEFVCLLCSGASADENVASFLQILPRDSLQCFEIISYNDIGLQTLRALNAHARS LKTLTLGSLPGDVMNSLNALPSCTAIEHLSLENQRHNPWHLAGNDNLLKQVVDWIGSC KNLRTLKLSNIGDSLLIIKDVLASPNVQLLALDLQGFSSQGDILDAAAWSALGRQEIL EDLTIGGLDGMPDALVVHETPVLADSICQLRHLKKLDLKRASIRAIELRQIVTALPQL TNLGFGGEWIDDQILESVSNLQNLKSLLVNALSVFTFDGLRTFATKLDPEQHKGIVVE INNQIGKWKFDRDQEDWLIEYFTTELEGRIDIGVFKDPDEAHEDDFTSASD PFICI_02788 MPLLRFTLSEDGVGALRDALACLNKFSEEVSLEATKDKLDLTAM NSSKSAYAKVSFATNRFFSKYHFEGAAQNRNKFFCKLYNRALLSIFRARSGDPLHEHD KNTTIERCDVAVEDDSEKASRFVVKIICRNGMTTKYRLPFEVTSPVHAIFNSEEATNH WSMSSRTLRQLMDHFGPGIEYLDIHADDDQTVNFTCYTEKIANGDEVLKKPLHTSIAV ERDEFDDFEVSEDSAHVVISVKDFRAITQHASPLNSEMTASYSSPSRPMQLSYRGDGI KCEFLLMTVGDRAAPGQKGRKGKANAKGPRPPQLEAGAGSRASSRAPSAPQPSVEHVR RDRPMPPLRPSNSRLSQRPPPPAFDDDDSLFVAQPQDNDNQWEPVNLNDEDEEEQSAR LEWDASAQPTPSATRMRLSTARETSGGNTAAGRDPGGTPDPSEFEPTQRLSDVRKYGL FGE PFICI_02789 MSGLFALGSNGSGQLGLGHKEDVSVPKPIIFHCEPPSDAIVKVA AGGNHSVILTESGKAYWSGDSSKGACGITTIGTADNAQFEELVLTEETGTTLGPIVDV ACTWESSTFIVRDENGKATKVYSCGTGEQGQLGFESQGIIEPRLVPNFPPEGLEVVRI AAGLAHIVAVLSNGDVYGWGNGRKGQLGQLVSLPDGAPPPPVIHKAPCKIGGTSGVLF KVADVVCGQHSTVLISGKGEGRILVLGPDKWGLKSDAPTSVPNWTAISGSWGGVYIHK DDGTLIAWGRDDHGQLPPPEVPKLTRIGAGSEHVLAVTEDGSVLAWGWGEHGNCGPKT DGRAGDVKGRWNVIAASSNLPNGSRIGAIGAGCATSWIYIHAEGLHL PFICI_02790 MAATIPLQVPPGMENFAIGIIGMGAMGKMYAQRLSDAGWKINGC DREENYESLKEEFASRSNVEIFRNGHFVSRSSDWIMYSVEAANIDKIVSVYGPSTKMG AIVGGQTSCKKPEIDALEKHLPADVDIVSCHSLHGPGTDTRDQPLVLIKHRASEESFK KVEAVLRCLQSRHVYLTAQEHDHITADTQAVTHAAFLSMGKAWNSMKEYPWETARYIG GIENVKINIMLRIYSQKWHVYAGLAILNPEARKQIEQYAKSTTELFKLMLEGKGDELR ARVYAAKERVFGGGAGRQYKSDDEPLLDDETLDQFSLGKKPELLLPNNHLSLLAMVDC WSALGIVPYDHMICSTPIFRMWLGVTESLFRNQERLDEAIRVAVEDMSFRSDDLEFTF AARGWAECVSLGHFETWKERFVATQRFFEPRFAGAIEVGNEMLRVLAKRRRGSR PFICI_02791 MQLIQKTYILGALVAPVLGFCGSHTHLSARAEEGEVKINTFGYF GSIGPENWAALDAANSLCATGTRQSPINMADGQFHMLSASDITLEMPDQPEGAEFENL GTTVEVVLEGKGGKLSLAGVEYELKQFHIHHPSEHLDNGTSVEMEVHNVFESADGQLA VIGVYLESDLGSLVNVATRARRQEALPAGTTNFTIMASSALPETDAILSPMLETVFEK VDEIAAPGSKVTTGSLVFSEYIAALMSGGFQSYSGSLTTPPCSEGVNWLVATQRLKVS PAAVRRVSSVVKFNSRITQNLLGEPNILNFATVNSAAQA PFICI_02792 MRTYDDTFSGQRIYPGKGKLFVRGDSKIFRFQNGKSESLFLQRK NPRRIAWTVLFRRQHRKGITEEAAKKRTRRTVKAQRAIVGASLDVIKERRSMRPEARS AQRSQAIKDAKEKKAAEAAVKKEEKAKRATTASKGQTQRIVGKQGAKGAQQKVKASTR PFICI_02793 MTANPDTIAALVLQHFDQLPAKRKPLVRDNGVHEWVPLSGIVAQ GKDGKLTCLSLATGMKCLPHAKLPRAQGNVLHDWHAEVLAIRAFNRFVLDEARLLLSG GPSASSPFLRWRDESEMRVSSLASSSSSNGDSQERQHQERQQQMSEGSVGATTTGAPK WHAQPFAWRDDVALHMYCSEAPCGDASMELTMAAQDDASPWEIPASLLSPREEEALPL GPGSNASFSPSSSSSALSTAAAATAAAQVQTLGPLPGRAYFSRLGAVRLKPARADAPP TLSKSCSDKLAQAQCTSLLGSLASLLVCPSGAYLESVVLPRSQLSDTGCRRAFSGRDR GGRMAAVEPYSDRWQGGYRFREFEAKATDHEFKWSKRSVEARVGAEKGRLAASNLAVA WTGHGLEEATLGGTIQGRKAFDIKGASFASRRKLWALSLEIAGMLGLGMVQSREALMS KTYGEVKRSNLLQARRQVKQDVQAGALRGWAKNEGDDGFGL PFICI_02794 MADVQEILKKKFGGAAPARTGGKGTPRQKQRRNVARSANDDKKL QTTLKKLNTQPIQAIEEVNMFKSDGNVIHFSAPKVHAAVPSNTFAIYGAGEDKELTEL VPGILNQLGPDSLASLRKLAESYQNIAKENKEGGEDDDDIPDLVAGENFESKVE PFICI_02795 MSQFSDSKSDNRWPKPVPRPVPTQNPRSRPRSNNASHQRNHQSS SQVVRQDWKQQREVTVMLSRMPPTTTATDIFQSLETYGRVASITIPQARREERTRHAY VDFLPAPDNAFWSSGSITIRVGGGSRKVQINLEPPRRPRLIMSPTRPGLKYSEYSILN MLSLDFGFLSDEHVIDVMKTAQHPKFSPILIVNFRYKRIEIRFTCTIKDPRREDKSIV GIHEAVGKMEAQSQAVEFRADIQFLHLQKAFFVDTSPEHWCLVLPQNHPPKFWKRRSQ ISSSHQTDDNRWSALDTWVRTTEISYSDWTTQLPITACDPFQHIDMGKWTTYRLNFDK SELPTWLQMQSALKDFNIHFIPTDADTFTWQPGRNSNFREALDDPDDADTADPLALLF QTNKIQLSWEVRWQLEAAISQGIFIEQSITPEFLKKLAEKDNLQTEGGQSLQLTNGNT VRKRNMAVNWAKYALEYATEAGRPIYNPMSLFDDRSAMTHYTSNLLPEHCTWVRKVVV TPSRIYLASPVPETTNRVLRHYEIISDRFLRVQFTDERNEGKVHPLPNSDSSDALFSK VYRTLRNGIRIGDKHFHFLAFGNSQFREHGAYFFSPTPTISCDDIRDWMGDFSHINVV GKYASRLGQCFSTTRDPKALNVGSSVTHIPDIEANGWTFSDGVGKISSWMATEIAKKL KVYSNGRVPSAFQFRHGGSKGILVVWPQNFNEISIRPSQKKFTAQAKNLEIIRASRFS VATLNRQTIAILSCLGVPDEAFITLAKHQLSDYSTAMSDPEMALRLLKQFTDENGMTT TIAQMIEDGFMKTKEPFVMSLLQLWGAWSMKLLREKARIVVEHGAFVFGCIDETRTLR GQQKVNEANFAKDRSKLPQIFIQVPIPGHPNDFRVIEGLCIVGRNPSLHPGDLRVVEA VNTDHPDLKALRNVVVFPADGERDIASMCSGGDLDGDDYFVMWDQQLIPQEWNHPPMI HDAAEPKIVKQDITVKDICRFFVEYMKNDSLSTIALAHLVWSDRSMDGPKSPQCVELA QLHSNAVDYSKTGHPAQIPRTLRPQQWPHFMERNPDKSYHSRKILGKLYDLVAKADFS PDLEASFDGRILRRYNLSDDLLTRARGMKTQYDIAMHRIMTQREIKTEFEVWTAFVLS KNRFGSNYKIQEDMGPVITSLRDRFIQACLEQAGAEDAATQDRDHKILNEFVAAMYVV TWEEVQIAIQEWHDTRTIAGTVVPKRSQAQKPLISFPWLFERELGRMVIDCSIENMEL AVLPPVPQIVDAENIKEAEQCIAASLKDGRLYHRGSEVFTSAVEDDISISNRSQSSSP SRIGRTSTSSPSISNEDTMATSSSEKATETEEIEEIEEIEVEVENDISTGMDALQKLA LKFSDDDDDDE PFICI_02796 MSSTRLMKHLYHAGRRRFSSIPTRRSAGNGGSSRASSGPSQQLP RWSGGSVFALTATAGVVGFGLAASGLQPWPKSHVLLFDSKVSSPRYASLHELEIALEE IRHEIAAAAGDGEDEDIISTDPDDLHAHGYSEWSSTNPEGLPVAVAYPRSTEQVSTIA RICHKYRIPIIPYSGGSSLEGNFSAPYGGISVDFAYMDRIIEFNKNDMDIVVQPSIGW QDLNEQLARMESGLFFPVDPGPSAKIGGMIGTNCSGTNAVRYGTMKDWVINLTVVLAD GTVIKTRRRPRKSSAGYNLNGLFVGSEGTLGLVTEATLKLAVVPEDLSVAVVTFPTIR DAASAAAEVMQTGIPVAAMEIMDEVQMRVVNLGGATAPKVWEEMPTLFFKFSGTKASV REHIGLVQKITKRNGGSNFEFAKDQREQKLLWSARKESLWSMLALRKDGEEVWSTDVA VPFSRLADLIEVSKKEMDDMGLFASILGHIGDGNFHESIIYNRQKKEEREKVELCVKN MVRRAIEMEGTCTGEHSVGWGKKESLLWEVGPDTVAVMRLIKQALDPRWIMNPGKIMN LP PFICI_02797 MGRGPKKHQKRLSAPSHWLLDKLSGVYAPKPSAGPHKQRECLPL IIFLRNRLKYALNYRETKSILMQRLIKVDGKVRTDMTFPAGFMDVITIEKTGEHFRLI FDTKGRFTVHRIQAEEAEYKLGKVKRVQLGKGGIPFLVTHDARTIRYPDPLIKVNDTV KIDIATGKITDFVKFDTGVVVMVTGGRNMGRVGVITHRERHDGGFNIVHVKDAIDNTF ATRESNVFVIGSEKPWISLPKGKGVKLTIAEERDRRRAHALAGH PFICI_02798 MATIEPRLIHLLNEPTPKPHHAELPPIKGLSLPKPTAGLQHPHS IDPGAGQRSDGHDVGSAGQLALQSIPPLLTLTEDGAASSTSRATAERHPPHHISSQEL RKILDIEDTPEGTEDAITKKRPSKEDFMHLPQPPKKQKASAEMRFPAMPPMIVGLVQP PLDAGMLPSMPSYDSRPRSEPPKALSIGKPPELQTTSEEQAAPSPPPDAERTPTGRLK RRAAKPRRKWTEEETNHLLLGVSRHGVGRWTDILEDKDFSFNERTAGDLKDRFRTCCP DELRSSARGKQVAKQAKSDAAVNAKPKKGLMSENILNEPEEHAESDQGPSAQNDSDSA QKQRKSRAHRKKLEDLAELGIKGPFKKSQRRGRRPFSEQDDQEILSGFEEYGPQWTKI QRDPRFHLSSRQPTDLRDRLRNKYPEKFATAEKTQLQVKGNGLLEPSVDMNIGHTFDK SKSALLEPQLIRSNEREDMPRWPLSTSLMDTPEASQASHYAAWTEVAGGAFPPGGMGE MDISRLILSDTHAAHEPPASDRRGLG PFICI_02799 MASQLPTFPRVVFTVIEPISLIAGAVAPFISPDWFIEEQISAAA PIAHTDNSRLVALQLGNAYGLLFLLGVAVLYTTTELKVVRNYLVALWLADLSHIGVTV YLMGLESFLAVGDWNAMTWGNIGATAFLCLTRSAYFLGLFGGSTHVREATKKLQ PFICI_02800 MDYSQHFYGSGGGQPYQFLGIPPPTPSHSHSVASDEFNQQSPAE VYDQFQNFENYPQFANNRAHPHAFQARQTPPTPPAQQHLNTRNHRSSQSDAVSAAKPE PAQDAQAAGSNSDDEDMTPAQSRRKAQNRAAQRAFRERKERHVKELEAKVAALEAAQQ QTSTENDQLKRDLQKMSTENEVLRATSNMSQNGSMSPASNPPTTTGPMRFNPTDFYSD LLANHTNKTPSHRIVESENGERLLAAGATWDLIVNHPAFKKGLVDVGDVSERLKSKAR CDGQGPVFEESAVLKAIEESVASGSDELL PFICI_02801 MTQPREQRASVPQILGQSSTPTPTPTPPPRQTAMYIPPQPQPNP FASVQIDRAATDKIKDGPKYTTVGSLVNPNSTPQFAKPVRRSAAITIRNAQGDALDLS HAWKDAPLQYSPLQQNTDRAISPPTVNVPSVIPRANPLSTNPTPSRTQIPRVSPPRST VDNTSHWGEYRTLFEAGEKDSVERSENGMGNPGQPMVKVGPVMTTFGIDSPEPALIDD IEDDANEDESLKQMSVKTLTNLASYENPQQRTAQKILSRARETMSARQLADPSTRNRN SIMEWDASVEAEMRANPSPYSSILSKGPGAPRPLTAGPPGLRQHKPTNIEQSTTARRS APLADDSASVQGSSFLPQAISELGRITNQESSSRISNTFTPATTDTLGTQKLASSIVY DTLDAEDARKYYRNGVLPVNFNDTIRPSTVFGYESSSERYRFGVAAEDGNIQPHDKDI NTLWNKCPKLYKSMDTFLTMLRDKRLQLGMGPEKRREENAQEFLAMIRNARSQLQPGP EEGEKIPTENEATLRMLFDEVDRMSAQNPQSSMADESMETIMQRLFGGIPPPPAIPRV HDPKDES PFICI_02802 MSSPSRQTLLDTTYRFISLFTQPFSPSAALGLRAPRCIHHIGPS VPNLTFTDNDTAVASWANLQWAFRNYRFTGSGSFRSSSSISNSKEEEEEEEVAGNAST PIVFVDVEARTTIFRVRGEADTVDGPYRHDIVFMLTMDAEGRRIEVIDEVCDSAYVAG WMAKFGDEQFKKRSEEWQAREEEANK PFICI_02803 MSSQPPRGPRVRGGRPRGQPGPTPVVAPKAVPSTKRIVWTVAFA AVTIVGAIYGAGLKTQQEYKAEKQQIIESTTEDRISDLENRRALLMNSRMPLERKLTA LQARMKAKEAEEQAKQAAAQVPGTNAK PFICI_02804 MPPLDRLIRALSSPARIASSGTNSAWSNHSAGKDDEFLLGALEL QHLPGSTSTSIVPPAINSRSASPAPSEDTAQSPPLAIHQPTGLPEPTLRFRHRAITRS DSFDSTSSAQSRSSSRSSRSTVSSYGDEVARNKRPIKTRRESKSCWREYWD PFICI_02805 MTTPRVFIVRHGETEWSLDGRHTGTSDIPLTANGVKRVQATGRA LVGDDRLIVPKQLAHIYVSPRTRAQRTFELLNLGIKDPLPWKEHGDKPECRGPDCDAR VEITEDIREWDYGDYEGITSAQIRDQREKQGLNRDWDIWRDGCVGGESPEQVTERVDR LIKDIRERWQAPVIGKSKGEAAHHGDVLVVAHGHILRAFAMRWVGKTLPDGPAFLLEA GGVGTLSYEHHNINEPAILLGGAFVVS PFICI_02806 MAPTQVLCQPPSLFSLVPQKLDLHIGNGKWDTRLRAIDTRSLAV ARLLSRRFDAIITPIKYEYLCLNDLIIAPQAQTHLPDALDKVFKHTRHVEARSDLDPR NMKRLLDRIHRLLTVRWTYVGADFHVGDFWMPADVISAHHIQRNRTKLYIDDLPLRNF SSDQQDAYLRGIPTNALTSLKLASPAPPLTNRLESLRQLITRSTNLETFVYQDRGQGT RFSFADGEPLPAFKELVLRSYDWNHSAEEVEEYWDFSRIRLLKLIDVPIFEFLRSIPF EDLADLHTLQCDDFSAHLADRRQDATRGLYILIKKIKALQNLQITCHTQSFPIDALSA HANSLRVLRFRDHVGFGEDDHRCPTMWQGDLAALSQQLVDLHTLELDMDTTYCDPVLF VRALCGFPRLHTLTLHVQTVLRAFEVVHPDVDRDYDAAVRIWQSLVRDKTGTIPWRSI TINVGGWKRHLVRRLGEAWRAQNARGIFAERCFVLERSAEGEMTIREEVAIVR PFICI_02807 MAEEHRHRGQPRNDQHESQYPPLPGEEEDRARGLPHHPRPAMAA GAVTLPSIQDPSGPYGQPPARVWDSRSASSAYGASPSSANGYPPPGNAAPPSSHSNYS PPHQSSYPPPPSSYLPPVQPHPTDARGSYPPPPPPQAGRMPPSIYGTPPQAHHSMMQQ QSPYSRDSYGYPYGADRGYPGDYGQGGPGQAAMHHPQQAAPRQRTSIACRYCRRRKIR CSGYQNSPGGKCSNCMKMNQECVFQPVSSSASTAFVPVSALQNIPAGTQLYGAYGQPL HGSGAPPYPPPGQYDQPLPSPTGSFGGYPDDRADASRRRQRPPEEDHAVRLPPPTTFP DDNIRRRSPSSSSSPNHLAPYGPLSTQPPQPGYDGRTPPQPVYDGRTPPQPLYDGRTP PPRGSPLGAAVPPPPPATGPPPTTSGTSSNSVMSLGSIMDSNHDIDRGMLGRLNQRKK PFICI_02808 MASTVEQNKAHFKLKELGCTSTILSLLAPPGPVSLSGKTQLADG NGSNVAAQYDTKFEKSLQQLTEAIQSRLDFIGVDWADDDDDDDYGLDDDDEHDDKDGS DEKRAEEDTLTPNSARQVRLLDYACGTGMISRALGPYTTQCIGIDISENMVGAYNARA ENQGLTKEDMFAVVGNLAAPDDPEPAALSGPEFFNFDLAAVGGGFHHFDDPGFTAARL VERLKPGGVLFIWDFLTHEQDHDHATHGVIHHGFSQEQVRHIFEEAGAGENFALDDLG SGVVFPHGHGHDGQPLKRRAFVARGEKKKKNENA PFICI_02809 MNKVFDTIRRKGTSGNDSEPQKDSPEGIAVQCVKAFCESGGSNG TGDEVTYLPSIVDAAESSPQAAAECAKLIRKYLKRDYWSKPSYQYNAIMLMRILADNP GPTFTRNMDQKFVDTTKEVLRSAPDLSVLQMLMETLNSYENHKSYDEGLALLLEMWRK EKERAQKHGGRQPQPPPQTYSGPPPVNPQADNFHSQNYFSRNHTNSRLPDPVELASRL EEARTSAKLLQEVVTNTPTSEVLNNDFMKEFADRCTSASRSIQGYMTAENPAPDNDTM ESLIDTNEHLQAALSLHQRAMLQARKQAGIGAANEPNAIPALAPDHMTAPVSNGAGSS RRPSPHPTMDDSDEYEAPSMPPPKRKGKGKEVDYDSVASASASASRSHTPPAEDDPFR DPVPERSGAHAGGSGAGGSRYLDDEPRLAYEPFNPGFTTTQSYLGRQESAIGKEAMHG ATAGGGHSSGTAKLSRVDSTEGEEGIYDVSPQRSKDPVYRY PFICI_02810 MASGIKGRVLRIADEVGFITLKDSCRDTKLLCLQRFVRMYAFGI SFLVLVDFLSSKSFTESQIGLFMTLTLLGDVLVSLVLTSITDQVGRRRILLAGSGLMI ASGVVFAAVDNYIVLLIASIFGVISPTGNEIGPFRAVEQSVLAHLTDKSKHSDIFAWN ILIGTAGAALGAVSSGLTVHVLENVLGSTKIFAYRIIFYEYAVAGGIKLFSTMLLSKR VEVEPVKVPEPESELELEQGLLSGEDDSDNDDESNSANRPEVRPNARVPQKQRTSLSG RLRSLLPSISPASRAILFRLVFLFFMDSFASGMATSSWITYFFTTVHSLRPATLGVLF MITNICATLSNLFAVPLAKRLGPLKTMTFTHLPSAVFLAVMAIPPASGAGTWIAMTFL TLRACTQSMDQAPRQAFLAAAVLPDERTAIMGFVNVVKTLAQSGGIGLAGVLADHKWW VVLFGGAGLLKISYDLLLLWMFLRVKDRVPAMN PFICI_02811 MVTADGDLLPKTSGSCNSFNIADENEATYLGLIQNEIQPFFPNF ASALSRQSPLEREYGRCAAVDLSHKSGDRRTLFLSSDELRHFLLTTPTSPKPGSGDGP LRRLFILEDLSSNYISILGSHLRIPPSFFAGHYDDPASPSGFNHRNPFERYTTSQFRL RYADSHRAEVNVPPHEASSTYTYNTNVFRYLHVYNPNGPLYDEMRSVHVLSFWSSPVG TDGAWAAVLLVDPPLGSHVKHLTSKQLVPVRRELRDESSMPRHYLFPELNALRQLPED ISAWTASHQRPQFVSVFDDTLNNFASPGNWSTRELDDPRKAVEWPRKLVIGIELSYLR RRHLLLLHLQNTNVKPNQTHRINYLANFSEGVLSRWDDQYFDFIAGSRTALKYFIREL EENMVSMGIIAKHGITPGSASAFGTGAAAAPPPPPPPQWEVDGWLSVMDLAHAVDASL NSLATTFMQYITIQEARVSGANAQSLSKITVLTMLFIPLSTMAGILSMSDDYLPGKSR AWVFWVVSIPLLFSLAYLYWRQQFLEVLVKKRSNLAHLFGKGREKLNDNSYV PFICI_02812 MEHSLGYIFGKLWLRLRENDVKYSQIAFDEPFSGRPRNQALRDE KFEAWQRQWHRYKHHLEWLWTLSPWFIAAAFGKTQRRLPKKTSTSYLNGVRGLACIIV YMFHAVGLHDTFFFHAYGAEPAENNRYFTQLPIIRVIWAGKGMVAVFFVLSGFVLAHS PLRNITNHSSVSDGMLITGLSSSILRRGIRLFGPTIIMIVLTCLYPDSWVEEDTTVLE HMRRYLNLTIPLLNPYDWDRYFPRSFDQTWTLPAEYRGSMMVFLMCIATARLTTVARK VVIVSTAFWALYHMRGDMYCFLMGMAIAELRFNPLTDDFAFMRAKRVSRSLRCTLAVT LFLVSIFVLSWPEDGDGGVEPYKTMNGFVPEKWRGGNEAFALFWGYFAAPGLLFALEN LPSAQRLLSTTPMLYFGEISFSFYLLHWSAFQWPGRHLKDFLERDLEWSRDSSIYTML VVTFILLVTLADVFWRTADEGCVKLSRTFVDWLGIHDTRKSCAVGTSTVGLHN PFICI_02813 MAALLISPARALSSEEPSTDVADTFSHVASLSSTPPTTVGDGMS ITSETSKQEITVESTQIDIVVSTHNHVATISAPTSDQILAPQVELNVEATAALLENDV PATPSTDAPPMLDTSTPESSRPRRQRHSLPVYNLAKLSGTAVHGKRRANGDIVNEKKR RTISGPLPVDPQQEQDESPAHPDVEALTKEAPSKKAPKKEVSASPMVKSAKNSKKRAL SPEPRRATRSTGLQAETLATKLSTLGKRGKKNFVQNLSKMSREMRRLQDTNEFSGIDV KPVLYTTWAKGKFVNPDAEPPAKKQKKAAQPVKDDIPEELAAVPEIPAPQRKIKKWMT HGLYSGQDGPLDYSRHLTPNEKKALVDLPELIPSQTVNTALPMPIFNGLRQLIQGRDY KLPFDICNPLPSGQPKPASWKLMTRNRFIGEAGPLWKKSPHFKDYQSKCVCTAEDGCA ESCQNRIMLYECDNTNCNAGAATCQNRAFARLQDRIKAGGKYRVGVEVVKTEDRGFGI RANRCFEPGQIIMEYTGEIITEEECERRMEEKYKDNECYYLMSFDQNMIIDATRGSIA RFVNHSCQPNSRMIKWIVSGQPRMALFAGDRPIMTGEELTYDYNFDPFSAKNVQKCLC GAPNCRGVLGPKPKEVKPPKAPKEELKKAVKKGLKAGKRKLQELLGDNADGEAGAAKK RKIKTATGVKAKGSKATPAKASPKVARAKLLKKSVSKVSVKSPQSGKKVASVKRMSSI VKTYTKSKAAGKLSATPKKATGKKTTTPKGTAALKKSPARKSAGTIVAAGAGNKKSSS AAKKSTVAKADTPKRGVGRPRKQPGASVSPRKGVDIARKAVGIRLVQSPSKAAQGEHR TAIAEETAEAA PFICI_02814 MAGHKISGYVLSLWQVLFATFRKVFTRLFHIIFWSNRKNNKNNK TLPDQQQPAVGSEESTPAAALEPAPETTSPGTDRQATQSSSANATNPSLATAAPPSHL SNPPDLEKGDAQSGKQHQEPHQRQEEQLDEEMAKRSNKRSGIKANGGAQPAGTIANTS DAQQRDKENMGPGKSLNQTATTTSSSLAEPTKVPLGTSGQVNTVDTRGRPPQSDIQKL NGARPSSGISVQPSSSTTSSISNNRTDSALGTLTAAGSQTPVETNVTPTERKFEKLGA GSHSQIGAQTSDSVRNDDPTAARTDSTAPLDAQVKKATAAIGRLAIDDNTPGPEKELT KDTAAVEAAGVPIVAANANPGQPLIALKPLAPLVTPPSQVLPGLIEPDTEEGKRERAI HLNFMREALAMGDAALSINETPVGCVLVYKNRIIAKGMNATNITRNGTRHAEFMALSA LLSHQSDADVKDVADHDDALWGDVDPADGHIYPYGQKLHPSPKVDRSIISECILYVTV EPCVMCASLLRQLRIKKVYFGAVNDKFGGTGGVFRIHINSKPVVKASSDKPYQNGFGP QEIERAAAQRGRAAMMPRDEDDGDGGNVEPGYPAEGGFLRDEAVSLLRRFYVQENGRA PQPRKKEGRAARLFAMENAAANGGVVMTDADGNIVHDPSGTGTATPVDGETTPVMAA PFICI_02815 MAMSQNSYSQSLMGFSVHQPAPGALLQFFPEMGSQQLDEMIDAY VPGTASIQDKRTTVSLEFCEHSMVTGEMYKFFLVYPAAGSSTASPASTMQDSGYASSF NTSPVQSQNMWNETTMDWPFAAASPKKARTSAKKAAPSSSRTQTGDFSHIPGMKIMTK EGVDVTNAASRGCKTKEQRDHAHLMRIIKACDSCKRKKTRCDPSHKKRGSSIAASPEP RVSSKKVKKAAPPPKKKQLSPAAQAEITFDSTLDPMMAESLSFDADLTAAAESTVNDW DQFITFNDEITDVPQDYDFFFDTTSYYSPMTTEGSASASSSQILTPGQSQPVTPAHAS DMVGGTEIVATDLLGLGSSYQKPTLPYLQDANVEAGNNYVDFALYSPGSSCLDEDPAM STELSASRSPEEYIGDQRSTSSIPGVQSTSRGGVNISSQQFSPRVVDRRNASTLGDVQ SVLARDGLTQSSDQTYYDPGHDRDQGFRQSGQQVSDLSWNTRASPHPIESRVPGGTVS PSDTLLRLPPRPGVHSTRLAGDMVHAASVLANGIEANSSRHPLATSAQTEQLLVTDRQ RASTLRTADVSDSAVAAAGLTASSLSPRTSSGSGIGHQLTRPVHQRLRKGLASDRTDS AVSPSARTSTANDEDLVFGTQIIASSRRAQQDISGTIASSRRAQQDTSGIVANVANER VLGQDLSAVQSHIALSRGRELQSQVVAVASSKIRAAVASASSNISATKSGTAGTPAGL AAMQNSSGPSPVNGLQSTATMLPKVSKLADNATFSVQGVQETSAVALISSFFALSLAF SLSPLAVGYSPSLVSMILVVLSFAAVSSLQQYSISCGVHLGLFTRNLCLAGQLSGNAA NNAKSKIQETQSWLAKSSGRNNAPSRAHATRHLINSNLGVARLVLV PFICI_02816 MPLDLPLQTRRPRANSQGTVEKIPVEASPKNQSIYNSIRDDEER HQKQRHLQQHVIAEDFLCPPDTLAPPMARSITNDSQISASSLSPASMTEPQECNIPVI EVSPTTKRRPRGRRAGPLGAEKRYKTAIKRKLGLVCDRCKQKKVVCDHYDLSKLEEAY QAHRSATQTEPQKLSPAATDLFGLENSRAPTPNFPAEEDIANDLNGLPAQPHNRQADL YNYISSFSVDNVSFSNNNIRSTYTTTFDQSYSPGLPSSGPPSQIVSLQTPVPVGSEIP AFPSRWKCEYKSSGLDFCPPEDACSWTGPLQELEAHFVAEHFVFQGPEYWCECTICGT LAFGWNSPKQCSESCYGRSWRRCLYGTTSATTATLTQSVESESVFSYDARSPFG PFICI_02817 MHNAAASPSAQEPSSSVVFEHVCLFTRDIKRKQKRWQDGRLKFH AFNKRIMVYDDRGNFLGDSHWREDYEFGDGEEFQLERGAVLVQTAECVSSHRQDLSEL IDKRVQEKIQRQSAAAARSPRPGTAQVSPQTGKDHFQLRHTPLLSVLGTPSGHHGRAL VPSDSPYEQKQKSKSLQLLQQGQNETPEPARLRRREASPTRKSGYATSLFGATLTLSG RPMSSAPSRNRPRSIQLQPPEQPEVLSSNVSNQDRDQDEGSAVLPQPRNQGNDNAPLA ERRATTVNIPRAQSAVQAENKVKPRTQKQVNQTNVITSDVVDLTTDIDNQRRPKPSHR ENLAKEPKRLLAKRIEKLVATDMILREHVEKPAPPEIMPKEQPATAREPRIELRMAPS KKRGLLFLSEKSSTKSHRTSKRPRAETDSDHDALSRTTSEPSVNSSTGTDQTASINRE PKSAPTRHEEKTYGQTPAVTAPDSIDHGELVELSISSESPQQSARAPAGTGSNGRARQ ADTASYNSDAMSSTRCKNSRDQSAIQPLPEKELAEKATHGEISQGREQIIVQESFRGL PFSNQQRIGATHLRAGDFDEIISRRPQNAPPPRLAKLRNSIRSREVIGLFSEDFQPSA FTTENGAGDRAAKDNSGPWSREAHDLFEYRRPE PFICI_02818 MQSMQRQFGKLMNKAPGDNAKVSAVLNDYEDADNVLQKIIENTK SWRDSWVALTSTQLGIVTEYEGLYDPIEGTGRTNVRTAVATPELQLHRTFSLKEAYAE LKTELTDELGQIESSIIAPATSARDCLAPIKKTIKKRDNRRLDYEKAQDKALKLQRKP GKSSKDEVALVKAQEELARATDEFQVVDNHVRETLPPIVNAAFSLVPPLVNAMVLIQN RLLGLYYTVLHNYCESHNFPSPPPPMEDVIATWQAAFGPARKDVESISCVAHGKAARQ PMHVNIEAQAEDNTRRPSAAGPPNGFRRASSNLIPSRDDGPGPMPPRPTRISSTPVAT PGPRPTFNNTNYASNSNLGIPTEFTTASGYGRSPPGGLSPNSLKSRSDYFSLRPPSSA STAPSTVSTNSVSPGVVKKKPPPPPPKRIGLNKLPEEYVVALYAFTGQSAGDLSFQEG DRIKIVKKTGTDQDWWVGELAGRQGSFPANYCKAT PFICI_02819 MPSLGAQQPPQPASGSSAHTPVASYIPSGVHANPLPMGKYYPSN YEHRQPSPQMHPVRPSVGNSTSTIKSDPQIPTVRTEGPSGHSRQESEAKRRLQQYQRD MIAQASLAINSGNLNAAALNAISLRNIGFSSAPTKPSKPNLAPLGSPGPVTPLDLETN DDGYLGVRFRKSEDQHARDDISCALRAEGQRRQRESDRSPALSPVF PFICI_02820 MGLFGMALSYLLFSVLHFFQFVMGLVVIGLYGKYLDRARKAHVY ADGKWVYAVVVGALSSLTALLYLVPFILRFAVVPAWSFIIFVLWCAVFGIFGKMYIHE DPEGNGDITLMKNAVWVDLANLILWLLGTIGMAAYWWAHKERHSRFTGRANLGRNAST RSSGWRK PFICI_02821 MGDLKARIKAKLLRRQSHSPSVQSSKSSSKSWRPRSQRTNKNSA SSEQNSHDADDYYEDSPHLGNESPRSPWSAHWHRSRRRHSGGHQPASPEQTADTVDAR RPGKSRPSTVDSATLDVDEKLVAAAFPPAKTASSFSSPAPAEQRTTSESNEPGPMVVS TAELDGTNDNSLAAVAVDPSAAETLTSSEDATNKTACSSLPSGDQQTNDLTNVNSSSS SPPAPAPAAINTTNTTHPIIPSIVEQSATPTLDVSLSRALDSDHDGSVASASLSGPST LLNTSSAETFPTSTTSILSAPARDLQHTALGTEIGEAVSGDFSVPTTPSIASAGLQPS AASSRPDVSRQQSILPIRQQALIKTLLDTSHAHEPEEADQLPPISPNMVTRKIWVKRP GASATMITINEDDLVDDVRDMILRKYANSLGRQFDSPDLTIRVVPKDEGVRQLQPDEP MGRTLDAYFPGGQTVNDALLIDVPPRRTPKASPIPRYYGEDNSRPHESGTDYFPPFPQ APHIHHSNPAAMPPATIHFPQSMAIVSNGQLPPLPSPGGTSRRLGSARPKMQRLHTAS PVSTAGNSHVQHTQHAPVPIPPSIGTHAYSSRPQRSRTHSGASSDQTNHAAHAHAHPP PTAPPLPTPPVPERVATPPPRVASPRPAARPKKKRTAETPSLPPGMLNGSVPPINVLI VEDNIINLKLLEAFVKRLKVRWQTAMNGRDAVTKWRTGGFHLVLMDIQLPIMNGLDAT REIRRIEKVNSIGVFSSSASKAPEGIQGEPDEKDKLPNTEMFKSPVIIVALTASSLQS DRHEALAAGCNDFLTKPVNFVWLERKVMEWGCMQALIDFDGWRKWKDFSQKSEQDDPA KKAKAKKTRQSLTAPTATAAA PFICI_02822 MPPRCSCSAIPSSITSTSSLWQASIKAAGRAPAQQTQINASFST TASREYNSKARRQFWHWLSRQGVQFKKPSPDGRTNYLNGKNTPFPLNPNFASRPVLTD GARDLIWSKVIEKGETVKAVSAELGIDINRVAAVVRLKEVEKDWLAKGKEMAIPYARA IANMLPLKSYKVDERNTPLEPINELHVHPHTMKQLYWPTSESRQFTREDAAKAFHRNM LSADARIPHPELVQMERDLLQKMSPAEARAKFIESTRDAERKAAEARAKKAQQEEQTL QRVNTKRFEFRFKKINAEDVGNDGRKITAIGARYGRPSYDRVKGAVKIPTSVP PFICI_02823 MVASAEFTSADPGIPSPPFISVEGIANFRDIGGYPIAAQPGKVV RQGIVFRSSEPSKVTDAGIAKLQALRITDVYDLRSRQEIDRDARNGHGRQPKEWDGAT RIFAPVFLDDDYSPEAIALRFKNYADKTSEGFVQAYQDILNAVSSPQNQAQPYRTILK HLAASPNTSSDGSTPAPILLHCTAGKDRTGVICALVLSLCGVDDNVVAHEYSLTDLGL KSRHPEFLRHLMKEPALAGNPLGARRMIGSRKENMIETLKKIRELWGSVEKCVVDLNM LTEDEIEQLRKNFIVDASSQQGLPLDWQRHENLVAAAQHESDAEAEKIAAEAQI PFICI_02824 MPSYHQSATYSHSSSSYGYGHRHAMSVNDSAYSSRSSSSKKRAA LGGGSGLRWICPNCPGSNNSWEYDSSCPLCFKPRPAAPATFYN PFICI_02825 MADRPHQRGGRGGGGGERGHRGGGRGGRGGRGGGHHHGDKDGHH SHSHDNKQGERPKKENILDLGKYMDKRITVKFNGGRECTGTLKGYDALMNLVLDEVQE VVRDDEGNESTRPLGLVVARGTLLVVISPVDGSEEIQNPFAEVSED PFICI_02826 MAHISDSEHHKRKRHEDDNGGKPHTERIPQPPPPQSGNQAPINY LARVNAGKLKLIQGDTETFTDVLTLINEYEGVLSRHESFASNLGAKLMGPRLLKAMEG IFDGPIVTIPTQTSISLDPITWVDIVEFSKARPAEFNLTTNPNGSRCCQFFLKGVQVE ISEDDWRVIVSGTLDRNRLIPPQPLEEDETAEFATLEILEQRLKVLIKKADEVAGKAR QLNYHLSGRKAAIGSRRSTQHGTGSTSSGFQAVNQAGPPSGPNHHGYDLHADLLQQFV THNPSNRLPSVGSVPPTPGTIATATSTPRTSIQQQVLTSVSNRPSPGAYPSEIGPKDP DDEQRALVTARVDKLARGDEIVPPCDRCRRLKTPCIKHLTACQGCTKKHARCSWKALT DEEVAWLRHESGDGSGVGGGAGEDTGDEHRERRYGSQSAPSSQQDPNEPRRLSDRSDD PGPSRSVSRIAIGRSADDIWRMGTSSSSRRDSMDVDVDPRDTHGLRPTGRVELHATAR ELHGHGPSGTTPSGRESSITSPRGGGRSGP PFICI_02827 MDSRKTPGLLPTATALRRQLVDDDTDDGDSHDQSSSQELPASTS AVEANHSLELTRDDTSPDHFNTESYEDFPSLSTSAQIAALPKRQQLNKGKGKAMLSPV NLEIDTGGFIVEAPRVPQSPPAMAEARLARFNFGNAAFEATPVPLASSSSSSSSALST PASFNQPSTSEAEISRLRRAPTAFKPQHARCDETCPFANLFDSAKKQLNVDSPSFTPA QLLGGTKKSTFSSQTANATPFTPKGAANTTAQAMHQDGDTGFMNPAAVREFTPQTQNY DLNTSSTSNGINADSNTGLYEAFSMVGMNQALSTQFNPYAEDHNPLAAAAGASYYPNP GLYTAPVQPLQYHLYAPVGPARSDLAAYQRQAHDFFISNEFREDLQKKSAATRQVMQS SQLPEVQPYHSLVPLEGPKSGQSSLFGNVVCWVYKATSKKNGHVYCLRRLQGARVSGK ESTNTPLSKWKRISSGGIVTPVEVFTSRDFGDTSLIFIHNYHPCSKTLAEQHFTGGRF KPSIAESSLWSYISQICNALKTIHNGELAARCIHPTKIILTEKNRIRLSACMILDVLE YDNPRPLAELQHEDLVDLGKLILSLCLNQNQIHNVQASWQSFQQTNYSAELKELVFWL ISPPSAEAPEQKHIGFLLQSIAHHLFDSFDASLHAYDEITSEIHKELENGRIARLLMK LGTINERPEFDNDPNWAENGERYTLKLFRDYVFHQVDANNNPVLNLGHMLSCLNKLDA GIDEKVFMTSRDHQTSFVVTYKELKKQVTNAFQELQKAGQPKTAAPRGVF PFICI_02828 MSDDAGIDISQLSAEQQEALEQYTAVTAQEVKDAVPLLQRSQWN VQIAIAKFFDGEGPDPLAEAIAAQDSIPPPVAARHENLQESFLADASPFGLPASRRNR PNPAPRVVPPPVTTHNPPLLLAIVFGPFHLGYRALSTLFRTFVYILSFLPAPIRPRAI TTSVTSGWKGTAGRRMLLPRDTAARFRREFEEEYGPETGLPFIESGFAQALDTAKRDL KFLLIVLMSPEHDDTESFTRETLLAPDVRSFLADPANDILLWGGNVLDSEAYQVAQEY NATKFPFSCLICLTPKEGSTRMSIVKRLVGPVSSSTYLAEIQSAINKYSPDLAAARAE RTANEAARNVRAEQDSAYERSLAVDRERARQKKEAEAAARDAEKRALEEAAAAERRAQ QKEQWRRWRVTKIVPEPDASVKEVVRLALKMPEDSGVGRIVRRFAASTTVEELYAFVE CYDLLSSSEEIDEDREEPEGYKHEYAFRIAGLMPRIVYEPDSTATLGEKIGRSGNLIV ESIVDEDEDGDADED PFICI_02829 MAAATNGDAAAVLEDIKPPQGVVLPPKEFRTILEKTAGFVARNG IVFEDRIREKEKNNPKFSFLNPADPYNPYYLWRLSEIKEGRGNVVSAGRAGEAAAAVE EKPAGPPQPPDFKFSARMPNISAQDLEVVRLTALFVAKNGRQFMTALSQRETGNYQFD FLRPNHSLHNFFQHLIDQYSMLLRASGIDGEGGRQQQERVAELQRNVDDKFHVLTRAR QRAEWLKHQEDEKHKKEEDAEQERQQYAQIDWHDFVVVETVVFTDADDQANLPPPTSL NDLQYASLEQKAKVSVGANFRIEEGFPSEEDSTYNATAVPAQPSYNIPHHPAAYPAQP VPGVQSYPQQTPASQPAYQMAPPPRANGYEDEESARIREREEARARLHQAQADAKGGA APLKIRENYVPRAAARAASKQNTQLALCPNCNQQIPLNELEDHMRIEMLDPRWKEQRA RHESRYATSNLVQADAANNLKRFASQRSDLFDGVTGQPITEEEQARRKRAALNSYDGN PDNRSQPHTAQMQSTNVEEQIRAIHQKFKPQG PFICI_02830 MAKAAAAAKPKSASLTNSKPVVKKNDDYASDGVADNDVFLLPFS DYQVMFLVTVVGAIVRLFRIYQPTSVVFDEVHFGGFASKYIKGRFFMDVHPPLAKMLI ALVGWLAGFDGDFDFKDIGKDYLEPKVPYVAMRLFPAVCGILLVPTMFLTLKSVGCRT ATAALGASLIIFENGLLTQARLILLDSPLVLATAFTALAFNSFTNQHEQGPTKAFQPA WWFWLLMTGLGLGITFSIKWVGLFTIAWVGSLTLVQLWVLLGDTKTVTMRILAKHFLA RVFSLIIIPATFYMAMFGIHFLCLTNPGEGDGFMSSEFQATLNNKGMQDVPVDVAFGS RLSIRHVNTQGGYLHSHPLMYPGGSQQQQITLYPHKDDNNIWLIENQTQPLDINGEPI NGTGAWDNVKNMTENYVRDGDVIRLYHISTHRRLHSHDVRPPVSEAEWQNEVSAYGYE GFPGDANDLFRVEIVKKQSVGDLAKERVRTIQTKFRLVHIMTGCVLFSHKVKLPDWAS EQQEVTCAKGGTLPNSLWYVEYNEHPSLGPDAEKANYVRPGFLGKFWELQKVMWRTNA GLVESHAWDSRPESWPILRRGINFWGKNNRQIYLIGNPVVWYTSTAAIITYVLFKGIA ILRWQRSCRDYDDATFKRFDYEIGTSVLGWALHYFPFYLMQRQLFLHHYFPALYFAVL AFCQLYDFVTARFPLPGIRSNPIVNKTGAVAILAVTAVAFSLLSPLAYGNAWTKSECT RVKLYDTWDWDCNTFLDSYEAYDKITASAVKAAQTSQPAAVNQEVPPAQQAQQPIQDA PNGGEGAAGISGAPQFEPQGQKVIAREEHVEYRDAEGNLLNEEQIAELSGKVEFKTRY ETRTRVVDSEGNEIPNAEVPVAPPHPDVEGPDSSTKKSPEAPVEDAEAQPVIQSKDGE KEKEAEKPKPASEGNDATAQ PFICI_02831 MAAPQTQRAPAAAVQQRPLPASSAVGAASSAPTGTATTTTTSSS MPLSIPTPPASSQPRPIYGHSPLVIHTSDSSPSSRDPATETDSLSPSPSQSQFTYTPT PASGSGSSPANSREQSQTQSLSSSPRRYPTDLTTPIPEECDETESECPNEPITPVSGR QSREFHAAEPRNYYTSSLASSTEATTAAHQVENDLSKPPLLVHTAATPPRSPGGERAP PTNSLTAAQAQAQDQYQPQDQATSPQKDKQSSTARRPSTRGSTTSPPSIILRRGSSFS VKMASFFRRTNSNVTEAATVEFKDPNYSASQGNTNGQASTPIRITPNTSQQRFSWRRS SATTRSGTPPSPGSPLEMPPTSKGIGAQMSLPSEGDFLKNNQKKNRISTGLFHRRPQV NFAGNGSKPLRRVKSVERKKNHTAHHGEGDLVGQPFVMVPELGTGMKARRLSLSLPDD FTVDVVELQKEFEHQHKLFGRHGKHLGKGATSKVRLMVRKGSPGELYAVKEFRNKKSD EKTEDYEKKIKSEYSIAKSLHHPNIVETIRLCTDHGRWNHIMEYCSEGDLFSLVSKKY LQDDDRFADRLCLFKQLLQGINYLHCNGIAHRDIKLENLLITKDSKLKITDFGVSEVF SGIHPGLREARGQCGQQMNGQIRLCKPGICGSEPYIAPEVLSKEADYDPRALDIWSAA IVMIYLQFSANLWEKASTDPRVKGSQNYALLVQGWERYNKKKAANPDLSNDILPRFVP IDFSCQQSKPLKRILLNMLNPDPTKRITISEIVNSRWMKNVECCQLESYDDPVRFIDA TKKDATKTSAGKIYCHNHLPLQSSGGGLPPMPGKSGY PFICI_02832 MLLTAALALAAVSRLVDAAAVAIDTRAVVDGEPTTVDRTLLFQP PDNYTDPRTLYARTVELSDGKLLATWENYSPEPPPVWFPIYESLDYGQSWTEISRVQD QVYGFGLRYQPFLYELPQAFGDYPAGTVLLSGSAIPTNLSETNIELYASRDQGLTWEF VSHIAHGGVALPNNGETPVWEPFIYVYEDTLIVYYSDQRDPNYGQKLVHQETTDLVNW SDVIDDVTHSTYTDRPGMPVVTKLPNGQYFYVYEYGGTSITTDYSFPIYYRIADDPRQ FADAADHYVNASGYIPVSSPYAVWSSVGGENGSIVVSSGRQPLFINRALGDPDAWELY DDFDQPAAYTRSLRVLAEDDDFLLVAGAGVLPPSTTNNVTVSVYKISEILGL PFICI_02833 MAGYITTALLAGFVTTTAARQCQNLTIPIVASARNGVFDLAAPK TNIDVVNFVLDATQPGHNITAELLTGYATVSGNYSIAATYCQPDDGPGTTLQVLTHGV GFDRSYWDFPTNNYNYSYVNQALNRGYSTFAYDRLGIGESSHGDPINEIQSYLEIAAL RTLTTMLRESAVPGITANFSKIAHVGHSYGSVETYGLVALDPTISDGIVLTGFSKDPN FIPEFSLGANEILANTFARLSNYVDGYIVTATVSALQADFFSPRDFDPAVLEAAFQTG QPNSIGEVLTMNGAYDAPNPYQGPVMVITGERDVPFCGGNCSATEPSIPEMVQHSFQN ATYFDAVIVTGSGHGLNLEYTWPTTYSNILDFIDKHVK PFICI_02834 MASPPNNQPNSAEGCWKKAYDLLDDTLRSSINCVTTDKHDVLAA VLKTAAQKREICIHKQWKAKLPNGEVIIVRDVVEKIAKWVEAFIAVGDVAVQYDPATA ALPWAAVRFVLQAAISDTKIEGALVADLETISRLITRYGDFEKIHHLRLSLVKSQMDN SLTRLYADVLRFLAMAVRYFDANRFARGVKNVFRVPDSSLMDNIIRSEAELLKIAGLS DSEKLYYLEASVTRLIDQSSVYQKSLDEAKHLGLLRWLSSSPFTRHHETISETRMPNS ATWLFKRTEYKTWRNSSSSSMLLLHGIQGSGKSKICSAVVDDFLHERVSNQLAAHVAY FYCADCEFEPERAQASGVMRSILRQLTIANASQSMVHDIILSDFERRSAQAKVDGMDL QKKTTKDCVNLILEVTLRDPVTILVDALDEVREPDRPALINALEEIVVKSSSIVKVFL TSRNNSQIFSLLRSRDTSTEVGSLRQLANPHLKEIEVASDETLPDMKAYVARELTQAV KGRRLLKADPSSELWDLLHEKLILGAGEMFQWVNAQIEYLCQHNREADIAASLQSENW ASLEDTYGQILNTMLSKKTSERDIAVRAISWLLYMREPMHSDHFLTAILGQEEIFNAD RAQEELLAICSSLVWFDSKCHTFRFSHNSVQEFLRAQETFSPSSAHRVLAVDSLKVCR QGPTPDVNPVPSRLYGYAAVYWGYHCSRAIDSHDDDGLSNEIVSFICESPGDLSLSFA EWMNCIDEIAKGLPDEHPMKTISDALPNPEGSPLFVAAAFGLDCLLTEAVLGAGAFDW DQRNASEHTSLYIACAFGNQSVARKLLAQGADPNARCGKFGNPLQAACFNGHGSCAQA LLEFGASVKLEGAFTNALEACFRGQNEAIAMMLLKHKSTIECEEDFHSAMEWAAQAGF LEVMGLLVEQPPSGKVKVTMSEKLKMKTAGVIQNGYEGTLAAFLKNKPEPTELLPDGP IALAALYGHESMIDLLIGMNLNLEDECKLGSPLRCAALMGHERITHKLIELGADVNGC GQHGTALQAASMKGHIRIVKLLLRNHVDVYQRSLKHGTALRAAASYGHHNVVEALLYS EVDLKRYKTLNELEVALNCAAAGGHYGIVISMRGRDHKLQKMRRPPVKACAGGLHRRG FRRWKQSFMN PFICI_02835 MQRQNHLHKEKSTDQYTAASTGRVETQLPLVNPLAFHMTDWVLG PDGKPLFMGTSSNWAFGRRVLNMTHMRCTGHTLSNEGLLFDSAVYDLKWDGNRFRSSD YAFEISDLPTRDHAIYLINSVKFHCGRLFYLFDEDIFMERFASFHEDPHGFAQRSPLW YVHYLLILAFGKVFLAQTRKSQQPVGTELFVQAMKLMPDFTYYNADPVEETQVLCCVA LWLQCLNHRPAAHRVIGQALRTALSCGMHTEMRSPYLNKDYVERCRTVWWTIYVLERQ ITSLLGVPPGIAEESISTPFPELPGQTQKLIALRIQVQLSQILAKIDQTVYGRDGKLD GRYIGATQLVLRDIAEVTQRLESSLDLHANEAMSGISRVSAHLHLQLHQCIVLTTRPL LYIFLQSRLGQSRVAPMQWIQSESVKSLIQICIDSAQQMLRVLSLLQDQGLLENFLTF DLDAAFISALTILMAAAVDSSLLPDHSPWSQCAYTILGGMALHGNKIAELNLKELRLL ESELDQILAHDSQVLPADSMLASDPQPNEASLDLSLDTASSDPLSQHLGLSFSDWNYE LSSDQLINIADSLDTDQLGWPFGELAG PFICI_02836 MSVNQVPPETIPVPATPHSPNSKLPVVVYRGALLDKTLEGALQA VDTSEWPKGGHWKIAQENLAATPHYHSITHEAYTVLHGHGTYSLGKSPLDAEVDSDGN NVGVTLTVREGDVFAFPSGVTHCVTDVSPDYEIIGFYSLNERNSTEEPYDMEYALDSP EVTCKKREICELVPTPVHDPIYGKTGPLPELWRRT PFICI_02837 MTFSMPIDHSANDSQEAKKQESCGLEPTRSIAEAEVHTSLDDTR NGEFHRSFSPRKVHASIISLGSNIGSGVFIATGKALAQGGPANMVIAYFMVCSCVWAV LQSLSEMTIAFPVSGSYVDYVDRWVDPAVAFGAGFAEWIAWTAIVASEAQFFNILLQY WTADNFPPTATCKFSFSSFTIFLAIIIIIFVLPNRAFAWFEYVTSIIKIFLFLIIIAL SLALVLGAGPQGRVHHGETWTELPAFLNGFSMSQIPLGFASCALLATWAVGDQVFIGV MAGETQSPRFSMAHATKLVPFRVNIVYMVSVVFITILVPSNDDRLLSGSGVAASPFVA AVQDAAIPGIASLLNAGMMCGVLGIAAEAVYLSSRVLRTMAHQKLIPERLARVDAQGR PRLALLITTIVAVILTYVQVTSGGANVLNWLLSITSASFFTNWMIISFTNWRFHCALK SQSDALFTEIYAWKSTLWPLAPSWLMVISVFLLVCCIFCGVVPPGSTGFSTSNFFQYT IGFWLIIVFTVGYKLIFGTPWRDPKTADCITGRRTLGTEEITQLDEYYKMSAWRRGFT YVQLW PFICI_02838 MQPFNNDDQILDAIIIGAGFGGCYLLHSLRQQGFRAKLFEESDG LGGVWQSNCYPGARVDCCTPFYEFSDPEIWAEWEWTEAYPSQKEILRYFEFVDKKWNL SKDITFGARVIKATFIPEQNRWVVQTNTGHCATARFLLPAVGFASKTYTPRLEGLETF KGFACHTAKWPKQEVNLQGKRVGVIGTGASGVQVIQELGPIAQNLVVFQRSPNCALPM RQKTMTPELFDKSTYPEAFRQMRLSFAGTNAAPVPRRALDDSQEQRQSLYERLWQEGG FAPCHGNYSDFSTDIHANHIFYKFWRDKVRQRLHTTDPELIENLAPTDPPYPFGTKRP SLEQNFYEVFNQDNVSLIPLLKNPIKRIVPDGVLLADGTAIELDALVLATGFDAITGS YARFDINGLEGRRLADVWKQGTRTALGMATSGFPNMFFLYGPQSPTASAAGPVTSEIQ GDWIIKTMLHMKRHGLTRVEAKCEAEVAWAQHATEECYKTLLPLNETTWYMGGNIPGK KKEALHYVGGLPRYSEALDACTRDNWSKFVIT PFICI_02839 MEYLQGKTNVCLSGGADGADLEWGKCAESSGHEVIHWSFPGHRS QAPESQIVRLDDEQLRISDEALNNAAVALEKDPPRRPTVARLLQRNYYQVAWSQACYA VTVIREDAPPGGTAWATTMFSQLHPDNHNLYIFDQEKNVWFQHNGTALVQIDSPPRPT GIWAGIGSRDLRQSGIDAIRKLMGVLD PFICI_02840 MEVFGVIAGIPSVITIIQTTISIVKQFSQKKVLFHNIDGLQHQL DSVKSILDDLRRRKPTSFDQSSLRGITAHVAELEKHLTGLNTVLNRQHVAKGSLRRLA GQLKLAVTGFDKTIKEYRDGIEETKTSLILLLQNGTQNEISSMGTTQLRLQLESNLSS CDDDFIPRKLDSTCEWIWYQNDLKKWAEHTQGDEPDPFRRLFCLHGPTGCGKSVLSAS VATKLRERGKIVASFSFRAPYSTHQTFRSLLETVLSQLLPHVSDADLDQLPRHFIGSS SLSGPRLKEALSSVFRLVKSDVYLMIDGVDEATDTWDDSKTSNLSTIIDFLEHHPNTR VWLSGRESALRAAIRKHPAEIQIREELIRGDMEKFIEEQLDQSKCARVSLMRDVIRES LKENCQMMFLWVILFFKGLKQDATESEVTDSLNIRLGNLDAKYCGLLNQSMNRTGGTS ADPSVSMKRAKRLFSLIIAASQPLTLTELDCAYATEYGGTQSPGYSKLTRDGIIDDCA GLVVETGGEFHLIHASAAEFLTRPVNKWGGINGGVVHFRIDQTSAQKMIFQACAKYLI SLDLGYPMYDENEGDERLPAKYPFFTYASKSVPTHLLDVMHTPFDPESRTVLQNFISS TQFCSLIEFVVRTIEMDSWDTVAHWTGLLVALTERETCPDGEAPKASGDLYSNIMTSF EQELNRRATLFGQDDRRCQKWASIIGFAADFYGLDAKVVKTNQETQFGESSITVPMPN LDSATKGALSALPAFLAKTEHKRNMLISVQSGRFALSQFRSLRSLLVLRLDILPVPIH IFWAWTHWRTKHENRPAAKCAMERALCRVAGKRDYYEGWCLLLLAVMENCDDPKAHSV DSCLALSLLQESLVVADGLNSHPHTEMLILYILSYLSRILLSHEMWDDGLKISRRLEI SLCNNEFAQKGPAWCKIIYQGEMWSRQALSLLRYHSIALRNAKRFDDSERIIQFVLDS YSKRNRCDHPKMFRATETKAATLWLAGNVEEAYTIMRSSLKRLDDIKRSKEHDSIRFT HLWQLAWCLMERDMREEVAILLSDVETASVMDDFNNAQNMLELLARAGCVDRAMSLYR CWNEKNKANSLSTLDWMASWGLNEIVEAMLDAGTSIESQDEINGYTALSFASVHGNTS LVQLLVERGASTHHVDRDGHTAMELALMQGHVDVVKVFVERGASIPFQHPEIWFIKGA VDSGYAPMVQYLVDQGANVCERGRHQETLLMRASAFGRVDIVKILLGAGAEISRRDDR YVTALQYAIRHRHDSCTQLLRHREASLKRQTSKRCKRHRIYKIPGWHKRWVPNRRYR PFICI_02841 MEVESALLDTVQYLLGEGKATPCHHTHGHPRPFIENWPVSAQLQ MLKQLLCERAAEPELPSAISNNVRTIYEYLHAHRFLTSIETLKPSRTITGSNQKKIHL FCWRGDITTLSGVTAITNAANSQMLGCFQPSHRCIDNVIHFWAGPGLRKECYDATSQG TIELPVGHALTTKGHHLPVPYIIHTVGPQLRGGAKPTAEQRRQLEDCYVSILREAELL PATNTRKAVAICCISTGLFAFPPLEATNIAVQVTSRWLQEHDTTITDVVFNTFTEADT IIYQELLGSAHSAPLITYAPVDQSFSSLGRARDWLLSADAILISAGAGLSAADGLDYT SPALFSKYFPAFKKYGLTTLYSVFGFSEWPSEQHRWGYYFTHLNMVKSWPRSSMYTGL ISWLRNRSAEVHVRTSNADGLFLANGWSEDALSTPQGSYAVLQCLKNCRPDATAPSAP FLEAAIPFLDPVTQQLTAPDKIPQCQFCRGKMNICVRAGNWFNERPFAAGERRWRQFK ADTRGRGKRLVILELGVGQSTPGVLQWPNEDMVDKDGGKTLLVRVGLGVDATVPLELE AQGLATYIDGDIQALLSSLCSGIPR PFICI_02842 MAEKFKDFRILSTTYKTVGEHPLQVNVLIPRALTEGDCPVILRF HGGGFVTGDSLHPDWFPTFQVELALKHNAIVVTPNYRLLPESNGADIMQDMDDFWTWV TRELVTFVQGQCPKVRPNLDQLMTAGESAGGYLSIQVAMDHPKEIKACTAEFPSLQLE SSNFTEPSADIKFPLAIVESHVASMTGGQVVSSDPSISRFPLIMSMTDNGVFLDYFGR DERLLPFQRVEKGGEIPPLFIIHGDDDEIVPVEGSKAFVSLLQDKRPGCAVKLSTAPG GHGLCETWHMDHPALEGGLNFAITSWLS PFICI_02843 MDENKPQVKDTERQATQVPSSGEVEDLTDEHRAYLMDRHGSLAL EPVPSMDPADPYNWPRSTKITNLVLVAFHAMMGTFTAASIQCAFVDIAKDLDIEVQQA SYLTSLVIAILGGAPVLWRPIANIYGRRPIFLISLICSMAGNIGCGFSRSYGTVALCR AITAFFISPAAAIGSAVVSEMFFKTERATFMGAWTIMVTIGVPIAPFLFGFVALRVDY HWIYFILAITNAVQFILYLFLGPETLYRRDFAVKPSNPRLKKSYFSFGRINPDPLTIQ DFLHPFIYFTKPVVLIPAVAYAMIFLWSNIMPTIEIPQIFPEKYEFNTQQVGLQFLSF ILGSIIGEQVGGRMSDVWMNQRRKKIGSAPPPEYRLWLSYIGHALAITGVIVFLVQTA HTDVYNITPLVGTTIGSVGNQLVTTVYITYAVDCYKEDAAGVGVFITFVRQIWGFIGP FWFPQLIEAVGMPGTAGVATAMMVVFSVLPTLVLQFLRKSRQ PFICI_02844 MSRMPLDSFLPSGALLDPNEHPVTFPGLVPSHQGSVISPLALPR NANQQVSYFDDRQSLAGRHLSTHSAQAQLQSQYLSPLRSPPTAGASNLISPTLSPLTD SGEHTASTQLRRVLIQNRRLLENWEAERAHLEANRSRAEEIYKEERAIMDEDRLIWAE KEAQYLARITDLERENIALRESITRNTREKSRDSTARSPLGLGLEHSERPGVKFRSLQ DSTSPIATPVIGLGHTMPESRPFVPLDPRMQGSSTQTSTPGDGPETKENNIPSIDVQE VHPDLEGIPLRSTAVKKSTFTDGKPPSPPLSGSNVTGSNPNSNAGSPGSGSRSKATPA EVTQETLQAPEASRLTMHAGHTPNHSLSTFATALSTNATNTAGSSGASTPTHGQSHGG VGNGAAYTDGQQDHAAEDPKPLLEPSERDPELRGPLSLRNQQAFDEIFLGKVADKLLD SIQTDDATPTVLKHGADEPEVVQPVPAALDEDDEPLKPEASEDVPLKFKSNSNFGAPL GTLQGF PFICI_02845 MAPPQTLGSQPIPPFYRLWFTIVDPIVCLGTSFMCFWDPDLGLT SVVPVDIATRNPYQDFLFHQTGGLYLMLAIHLAVLLRYTSDLGVWKINQAAVLVVDFI LLWSQYYSQRQQGRLALDAWRQEDYAAAAITIFVAVLRTCFLLNVGFEPGKTHEKAN PFICI_02846 MATRPSRYRSSTSASAAQSISKAVEVLQKLLEGVTTVLVVETDH GYPDADELVKHISRIRQHLAAAAPPSSVQDDFRHLRGFHRIFEVLRAYSGFYNPQKRS EQEKKSLFGLLDAVLGVLSAAFRGHPGNRRYFRTRVERGGWEALEQSIASIGLGGSDL DFWTSGQLFGKLLAFALNDPALDQLCSTATLHNIDVQLDDVSSSYEVLPREIISEDND GKALDPVSQVQKAVNEVVGSKSTLQNPEVIRTIVDFWVSMPRGKDTNNGLISVLVLQV LEAIVNVSEYNLSLVHESGISSRLMQLAFDQDSFLDDAESAIVLRICKRLMVLGVGRL ADAQALLTSSSIPTADFCLEMVQKNQNPPFIQFDLSLHGHSSIDVPNLGRSFPPQSSA GYTFSAWVRVDKFDPRSHTTIFGVFDVTQTCFLLIYLEKDSRNFILQTSVKSQRPSVR FKSFTFEEGLWYHIALVHRRPKTMTPSKASLYVNGEFVEQIRAPYPSPPPPMNSSTES FASFTSSSTRTHPVLAFIGTPRELATYLGHGLITTKISLASTHLFEEALSDELLAVAS RLGPRYQGNFQDCLGSFQTYEASAALGLRNDMIAAGKDGSSDILKVIRDKAGHVLPEQ RLLLSMMPSSIFREKDPLGDSQLFRALSRGPANTLVQMTLKNGIGVAINTAQPSVNDA LMRSNGVSVLTGEPIIAVPQYLDDTMWRLGGFTPIALKLIERASSSESLLQAVELVFR CANSSWRNSEALERDGGYAIIGIILKTKLGMNTPSAGNDKITEKLPIESIEKDRLCFQ LLSLLLEFVGYTHHDPLESVIINPLAYRILLIDFDGWRKCAPIIQELYYKQFVTFAVM SKYHQYNNRRLLRMRIVKRLLDALKAEPLPSAVLPFFLTALENLVKCNYNTEVHRSIA LFITYAFHTPPASRPRTPKPGSAALKTKNLSTLRRPTLEGETTAAKSSPYLTRKAIGI KILEMYSQLLCEKGNLMIIRKFARTVTNKWLLQLLSEDNPEIVVHGCKILARLLVAHG TTYTSKFSGKTGGFFIMAHRLKRWWDIPTLWPICLSILFGHDVAEVDFDRTFDFFSLI ETFGKSRVVHPEALPIITSMLQHGLKDVLKNQEDPDSPSGDRSPSAQINSISPALITR PRGRSMSLLEELETRPSQSARDRVASNAAALQTVLRFLSDLHARSSDFRDFALNSDYV RLLLSALYPALVSTDAVTPETELNSRDSALTFEGSDVIIRPMPGSSAPAPIVRTASIQ DPTQDTPANSARGTPLRKASSFILLTSQKSPQANSSRLAHVMSPKKKVASQSIGHTVL EGMTELVVNVFVDQLLSRKEFPGFGLFLKVPPGFQEHQAFFESYILQRTINQMSTTVK LDWQLLHEPKILTNMARFSLHMVEAIFEGWFMNGTESMLDFAGLLLEYLQKPEIARLK TVRLCNQAVSTIRSSFLKLILLRLSEMDDSQTTEMEANFSMNKLLYWQMVVLDSLSVE DDYMKLLWYQLYLKLVDSREDVRLAAVNLWRIMLVQKPDECSILFRHFVIPEQGNLTR DFKKLTELDNEAFIAWVDDHRPLLDGAFFNGLSRTWEEFVSLENQRTTDTARSRLSKR RETLKRWQVELLEKNKVVVHHDMANSAWMKSIYGSEHYKYQRSMQDQQDDVIYFSSAF GKMSENLLRPGAIFYQPRPTKWRLDRTEGRDRMRVRILPDYSSQNEVFQPKRKTNDSQ SASSLRLNTSATTTESPSVNTAATPVSAIPKPAEVTNLEVDAQEVPSETPEQQTDVSD NQSVVPEDEFEMVDDPNDPDGQDGFEDKNRRVMRRLETHDAVQQVYNVSRIIGLETCE GILLVGKNNLYLMDNFFQCADGEIVNAWEAPEEERDPFSQIVMGKKTGDKRASSARRS QDSRNWSWQDVISISKRRFLLRDVAIEIFFTDGRSYLLTAINPATRDEVYNRLLNKVP HTTGATSLPSPEDTWRLESLRVSEESAQSLGSKFGSLFNSSPWNPVMRRWQRGEISNF HYLMLINTMAGRTFNDLTQYPVFPWILADYTSDELDLNDPATFRDLSKPMGAQTPSRQ SDYALRYQSLAEIGEQPFHYGTHYSSSMVVASYLIRLPPFVQSYILLQGGTFDHADRL FYSIEGSWKSASRDNGADVRELIPEFFYLPEFLVNMNGYDFGERQGGGGKVNHVQLPP WAKGDPKIFIAKHREALESPYVSQHLHLWIDLIFGHKQRGEAAVENMNVFHWLSYHGA KDLDQITNAHERESTTSIIHNFGQTPHQVFTRPHPSKEHMRFPAKRLDTSCASLARIP HPLLDSHERVAALHYSTKSDRLLCSSPFRLNLPPFYDKYLEWGYSDNSIRFYFTENRK NAGINENLHIGQISCIVVADSKTLITAGEDCVVSVYSIHTSSGKPVDLQLRSSLFGHK TPVTTIAVSKAFSTLVTISADGHIFLWDLNRLEFIRKLPRCPRAVECASINDVSGEIM ICSGPNVTLYTLNGELLLDQNVCIPGEHDDYVQSCSFYEGSGSEWLENFIVFTGHRRG RVNIWKRNVSKNGKWLFEPIRRLDHVDARSETGANVEAAITCIYPTPQIVYTGDDDGR VYEWNLIQRER PFICI_02847 MSNPTPAFFNGPLRYIRWSARERPAYLYSVALGAFGLIMLATVP PVQKRLGYERAKPIPMTYPIPAGPRKQLSGYED PFICI_02848 MRSLVSFLLLLLAAVAQAVSSSGERLLVILDDVAEKAGYSKFFG DLEARGFKITYETPKSETLNLFKLGEREYDHLIFLPTKVKGLGPSLTPNVLVQFINAE GNILVALSSKNPAPTSIVSTLLELDIHLPPDRSGLVVDHFNYDVTSAAEKHDVVLLPA PGPLRADVQDLFSPAAPNGELLAFPSGVGQTLGSGSLLAPVLRAPSTAYSYDPKEQAE MVDDLFAAGSQLSLVTTFQARNSARVSVVGSADMLSDKWFDAKVKKHGSKASVATLNR DFAKRVSGWTFGETGVLRVNWVEHHLNEEGQSNQSNPEIYRIKNDVTYSISLSEYVWD AWTPFTVPEKDALQLEFSMLSPFHRLPLKPVTTSSDSTTYSASFKLPDQHGIFNFKVN HKRPFYSNVEEKATVSVRHFAHDEWPRSFVISGAWPWISGIGATIVGWLGFVAIWLYS KPAQSAEIKKTR PFICI_02849 MRGLITLSLACVAAAAPSYSSYNEGAPVIAAADAEHIPNSYIIK FKKHVSHDAAQDHHSWVQNVHTTSEEYRQTELRKRGLFSSGSDEEVSAYSGFKHAYKI GSDFLGYAGHFDDSVLDQIRKHPDVEYVERDQIVRTLEDPEPVTEKNAPWGLARISHR ASLSFGTFNKYVYAEDGGEGVDAYIIDTGTNTEHVDFEGRAHWGKTIPSGDADVDGNG HGTHCSGTVAGKKYGVAKKASVYAVKVLRSNGSGSMADVMKGVEWAAESHLNAVSAAK NGKRKGFKGSVANMSLGGGKSPSLDQAVNAAVDAGLHFAVAAGNDNADACNYSPAAAS KAMTVGASALDDSRAYFSNWGKCVDIFGPGLSIQSTWIGSKTAINTISGTSMASPHLC GLTAYYLSLQPSKDSEYGLASITPEQLKKDILKVASKDKLSDVGTNSPNLLAFNGAGK SNYSDIIEASE PFICI_02850 MQRRNSTKSQDLPRRKSTSSVKNVQLIHVHPETAEHDAQSAAVE AFVRARDRASNSVAYWPPPRSSERPALSRHQSSPERHSDTHPIRRQQSVRFVQPKGSA SNPKDETAPYTPSKQRRTRVIRANTSLSQTGQTQRLQPQSHASASGMVSAAKGVAGNY INALLVGEEHYTREDETASAPSSHGQLRKSKSMYPGSELGVTPGARSVKYSEISALRT PRSMSVLRSRQESSSTSTFNHNTSPIAAKNEPSAASTIPRLVKSQSSAQLRSRSARPE KIFKKTLRNLSDGTTSLRVKGTKDSSLRFKARQVSQNFKHKLKALFSTIRGDTEDVTI PPQQVEARRTYVTDLRDSDHASEDRHSDSTGKETTTVSRVSSGIPSFHNIPLEHQLHS RQGSLESLHSERRTSDERSRVTSWSDSDGTTCGTVNSSQTTQGKQRLSVIKETGAYAA SHQRRRPIYNEWTDIQEDTIHSNSTTNSSANNLRGQRLYSALMKRTGMEVPKRVQISH NQSQKSLEVFVEQDLVPTRGSSRSFSSSKTTIINDANKTGPPSGITHTMQFDTVKNDS DPTDDPFISCNNIRLETTNSADDVSSALRDTSLQRSSSFSTRSLTITNAKKPASPIKT LSARSSAFFGSPECHLFRTQSPYRRAVRGSMQAAAEASLPKSPAFNPWKMSLPEVRIR RPSTSESENDQKMAYEESIYSNDVALVDTSCSTHGLVPNLLQPSEKHGHATIFLDPPK YQSPRISIAHRSTSSTSSSDWKSWLSANMSELDGQCSGLEHPQSIEKVVSQPDLTWGG GHVRENAQIDGDGEDESASPRKVEIFGSADQVSCAVKNDPQRPRGQLDDIRTDGLAKA APATKQRPVATSYPPVNNILKIKPSMAHVQTDKVNENTPNIGTCGNRNTSKPRTVVQT RSLSALKTQDQNVAPL PFICI_02851 MSAAIPKAPIVPIGAPEVGQGGYQGLNERSEVLPAGWKYPDPRA KPLDAAIQVDHDVPITMRDGAVLRADIYRPPPSTSGEAPAKVPAILCWSPFGKKFNGL MSLSLMTPWDLGIPAETLSGLEKFESIDPATWVPRGYAVVNIDNRGVGDSDGHMVIMG SQEAEDGYDTIEALAKLSWCDGTVGLAGNSHLAIVQWFIAALQPPSLKAIAPWEGCGD LFREQFARGGIYAGDLFDHLIVNYMLKGRNGMESFKEKFAQQPLADAWWNDKRPDMKK IKVPTYITGTWTNTMHGMGAIRGWLDVDNPNKWLRWHGTQEWYDMYGNKEGMEELVQF FDRYLKGVDNGWEKTPKIRLTALRFGQNDPIENIVEEEFPPARTEYKQFYLSSDQKLD LQPPKESAAVSYNSETHDSASFTYTFEKASRLLGMPKAVVYMSCKDLDDMDVYVFIEK LDASGQPMLNLNIPWKGVPVKSFDEFTPQQKTEVVTYKGPLGILRASHRAIDESRSIH PNWPYHPHEKEEKIEPGTVVRLDIGIWATGIQFEAGEGLRVSISGRSHAVSNFGTFDH VKNKGKHNVHLGGEYPSHVILPFI PFICI_02852 MGFNSDAKTTAVVVDTPTYEYKKVDTTNHRVPKPSGPNKIFEQE GVTYGDWRDDLVRDGYVVVKGAVPKDRIEAYGEDMMSYLENFGGGLGFKRDDPSTVEE KHLPIITEKGMILGYGAQHESFAWGIRQEPGVIEAFEKVYDTPDVIVSFDAVNISFPN RKDIKPNKPWPHQDQDPEKPGFRCLQGLVNVFPNGDKDGGLIVCKGAHLLSEEFHEAF KNETNRIWAWTKEWYGFTDEGMAWLKDKGCEWIKVNAEPGDLLLWDSRTPHYNLSPTG TAPRFCTYTCYMPAADATQEDLIRKKGAFDNLQGTTHWPNAMHVADLPVKRNGEDCPY NTRKPRKAPEMTERGYLLTGIPYIQPTSA PFICI_02853 MPPSPLATGNSNPNPTSPEAPPKRRRLNFACNYCRSRKSRCDEQ KPSCRACLTAGIPCVTVDKRRPGVSITRHEAGATPGSNSTQATATVTSPTVQTNFRRA SLIDAPAEPAPVPTPSSQSQHRRPSTVVPLTPRSANELQEETNAGRPWNPPPRRVPDG GTDFAADMHAETDHVTQTTKFSGRLPMMRPSSGSCTSELLTDWLDLAFHRLGLRKRLG PLLTPAESNTPFQQPLLVQETPPFPDHATSQELLFLYLEEVNAVFPVLDAAKTGQMLD IALQLGPAQFAHEHGFLPLLLIYLVLGLGALSHERKEWRDYSASNLDFCRSFVGHMIG WNTIQAVQLMFLVSLCLKSHDQISAAWSALGLCVSMAMSQGLSRPASPPRRGQTQHSV NTTKSHHEGDRLRTWWCIYCFERILAFELGRPPLILDESCQDLDPGSWSFSTNGRSHP RPSFLRILGSFADLLGEISRLATHSRNTEETAGSSGLDIAVRDKVKTIGDSSTRLIRW ADDLPDEYKPRSDFIYDPGIFPFTSFIALQYNQALLMLMRNSLLVSNKAIQMAIDAVA PGTPFEHVIRNGPTLAINSARRTVQLLIEAADSDMKPLLPSISVPLHALYILSVNIIR NPKSRMAKSDLNLIHDAADFTKQHNELFTADGKLHAVLDKLELLIRKMMSSSSATTPR VMAAQRAGVAATQAGNVVQQHSVPEGSETQHPAGPGHPGPPNTFDPPSYEQMDFANMD FSAAFGESPAISLESIDAGFSPEVTHDIGWDWVDFTQLFPETL PFICI_02854 MGVEDPKVPAPEAETIEAVEMGREPRVPGAHGAGTVRLLDENTV VLIPTPSPDPKDPLNLPAWRKFMVIFIVGVYSAFAVATTSGLGAVYPEVMAAYPGQES QATDLLTYPTLFMGIGNLIAMPLCVAIGRRPVFLLSMVLLVASGIWCACSKSLSSHIA GRDVFSMAAGQSEALAPMIVQEIHFLHERGSKVAWFVGVQTAGTAAFFVATVYIVPAI GLGWWYGIITIINGIVLILAFFFVVETKFDRPEDALEGEVHLHLDENGDPIAKGGREL LFRVTTAQNHVLQPEKFGPRTWRHDLKIFHVQPDWKACLLFYKDTALGLALPTILWLV LLNGAFLGVYVYQASTFAQILMAPPYSFTSADLGWVQLAQVLDCVIMVPLLGYGSDRV VKLMSRWRKGIFEPEYRLIVLAIPAVAVVIACVLYGQAGQFPNLWSWMTIVAPYHLGY FSFLGANLVGITYAVDSFPERAGPLLLLICAGRGFISFGLSYSTVPLINLTGYNGAMN VFAIICGILSALGIPAYFFGGVVRRWATRTFWKPVENVD PFICI_02855 MLFGYFWLIFPLLALARPEDCEDSEDLPDFYHGPKRPFPHEPHF APKGPFRHGPFPKPDEPFTDPDLNGAPFIHHGKPSYNEPSYNEPSYNEPSYNEPAYID PTLDESTHDDKYYGQPDTNQPPWNDVQPAPIYRTQTKLQTTYTRTLTETFTETFTTKR TTSTTSTSTTSTSTTSTSTTTSSSTSTTTTPTPTPTTFLLRSLGSTNVPDGTTLFNQP VLSSGVEGIATFNNLDGIFTINDQGYLIDVATGNIANVDSADTVPIFFDSANDIISAG YFPCQCVVVVVNNDPPVLNCDCNGSTVFQTDGSAQLNIGPQLNPDLSSVTVLVDYGV PFICI_02856 MLQSRFASQAVMLTDFFFQVLAVDLLNPSPAAEAKKHKLKALVP APRSFFMDVKCPGCFTITTVFSHAQTVVICQGCTTVLCQPTGGKARLTEGCSFRRK PFICI_02857 MAAPTEQRIAVPIDDPNADTEWNEILRKHGVIPEKPPSPTPMIE EAILEGRRIAHENRLEGKDLDELDELEDLEDEMFLESYKQKRMQELNNLTKKAIHGSV YPLSKPDYQREVTDASKNGPVFVNMTSSMGTNIESRVLSELWRQAAKEYGDVKFCEIQ AAKAIENYPDKNCPTILVYRNGDIVKQIVTLMTVGGVRMGMMEIDNLLVEVGAVEEKD MRVVKRKRAAEDAREEQLMGKTGLRSGNLRHATVDDDDDDWE PFICI_02858 MADEPALPRFPRWDSGSQSFNARKRVRSGDDAAAAQLFANSSDP AMFSSDDDPNVENYTQGGPRHRKKRYVGSWFQQQPASGDSAFSEESCASQHHHNRLPR PPRGAAKRTFERTFDSGVWMGSDASTDLELEDSLPPPPASRLPQLDVNRGRQTMVISR AEELAREKIFDAVENGTEDVDLTSMNLETLSNATIAPLSGLECIPTVAEGVPFEQKDP SLKVYLSQNPLTKMPGALCNLQFLTYLTLRNTKVTEIPPAIGNLRNLQTLNISLNRLR YLPGELLDLLSYPSKLKSLMIHSNYFYSFHGDKFTTEKEESELENVEHIFSLDDRSMD DERSTMKLWLDRQDGFQDGREYPELAKHRNWTWRARIVARTPVQFEDSRGFITSKYHL PDHQTKSGAKLETEDLAQTPEPPLVGASRKSSRVPSLLELALKACSRTTQLPHLPSYL PEDAPAHLPELLRRIAAQAEANANMGDLPCSKCKRRVIVPVAHWIEWWHLYKLRFHTE AGMPTSVFDSNDAVPFMKRACSYTCLPEATEQGALLPGSVRYSIERSES PFICI_02859 MVSSTPLGTAESRSAAYFEAIPWCAARLRSASDPVTYWPVPWDR DGATKFGDRFFSTTLSTELTIPHFVFFHATPDPSTPENALLPELQAFLHLESGIGGYP GYAHGGFVCTVLDEITGLICTLNRTRGALFRGPAMTGYLNTRFLKPVKAPGLVLARAR ITKYEGRKAWVRGWLEDETGTVLAEGEAVFINLKNRL PFICI_02860 MTVDAYDHPTAFRTRRTDVLIVGAGPAGVMAAATLQRYNVDFCV IDKRPTRTQTGHASAFQPRTQEILQTMDLLHDLDGKGHRLTETSFWMRNGTGVLSRSF TGAEVIHPTPYQYLFNTDQGMTEDIFEKYLKSQGQCIHRFMELLHYEYNYNDHEWPVT AYIKNNASGAIEAWLTKYILGADGARSATRKATGIKTTSQGGEVEWAVADVLVDTNFP DYRRRCAIQTPDGGCMLIPRKDEGLRIFLQVPDNGARTAAIGTNGHPTHNTLLDDAAF KLTSLVQSHINKVIHPYKMDVTDIVWISKYHVSQRVVHHFIDHGQRVFLLGDACHTHS PKAGQGMNVSISDAYNLTWKLALVLKGLASPILLETYELERLEIAQQVIQFDAAFARQ FAQKDKFEDHSLRATWEMGHGFTSGCGYQYPANLVVDPEMGSRVDLSADEPLVPGKRL LPVSLVRHIDGTHVRLLDVMPSNGRFHIFVFAGHRLLSPAVQQLGESLCFPESPLRLF NQTFYDKLPRFRHEDITTTTIPAANRGYVIDLFLIHAMSHASIDLEDLPEPFSSEWLM RVYADSHGAAHRQLGVSDEHGALVVVRPDGYIGLVTGLEKLGDVTAYFDKFMLRRTPR DDYASCRE PFICI_02861 MAGIKRQYSPDSVGEDERASKLSKPESNNASAVAHAILTKSTDR QVRIDVKLGSTRKGARNDPMPSFSIEDMRKDLENYDSTLFNGEFVQGDDEDQEANECD YNNEDDADEDDDEDDEDDEGDEDDDDDEDDEGDNYSDYGEGHLWLETIRGTALSAQSL SKNSKIGSCLARLIRREEMRALFWNEMEVPSRELSKLAFELFDRYGRLRSDYCDHDVR KGTGVWGKELNYGDLLLFEEIKIDRQWRRQGIARQIVKAILETTRTKVASHVGFFALV RPGYLTREATSAQEPPEKQEEIAQCFWKSLGFRRVGISSWLAFTDSVEHPSRQLELEQ DCQKPEAAPEDNAIPRILKELYQKLGHPETDENECISNVQVALSSNLESQKCGPCGDT LLHFAALRRKPELVKLIIAGAPQTVTLRNQEGYTPAEALQRELEEQRTRQGDSQLTRV VSDDFTGYEASAIACLAAFQNFSAVDLSTLSPRDIEAAVAVTAEQISRPIGFDILAIQ KTLRLKYGCTCGDCLGGFISPRTKFALLCVAEVQYDMLNDDIGHRTGPQWVDSNNHLL TELPSSVRNNLKTNKSMRQGFTNMINYFAECLRRDRIPSEREVLSVLRSYQSEWPPVT KGFLQRGGSVASVATMIFQTAMYQDKWSGDGHCWQMFAEQIDKLPSCRNDHEFAFVSG TCGYKRVQPDCSQLIYDPNDVSCW PFICI_02862 MAPQNRVGKRRTSLFDAINRIEDDSDEQIFALMRQIGESEVASE GFRYHSSATQAVQDRVLKAYEMFLRKLRILPSQEETDQMPEDEKDGIMFPIDENKLLK QLRFFVIFVAQETSGRSADSLSYFALAKYRAALLFWNKQQVGTPNRSLIYNKITESLR YIAKEHGIIKGSLNPNRTEIGLPELRQLIDHDLSTTSYIAVAEGHQLAWCLMRLCCLR PGSIGWTSQDHKRDKKYLVWRDIEIFRGDVAGSFNVTITFRSLKTNFEDLEKANQKAP SLDTVRVTLPSPRSHDHLIFSIPHRLLVIALRRGILAGFDDLDSLLAGTTRQIHFKKQ TLDQPVIRAGKARGLGISEDPASAQSLSEYLRTRGAAIGYGEQITSYSIRRRSATDLA RQIGRDDARMLMNHDPDSRVLEKYYLNLSGFTDRTSLGLGLTGTEHDQSETLTAENHP LAIGAIGYNNPEAMIKLHGAALNAAMANAMAQHGPITGTSHEKKLTLRRLRYAVKKSL LSDESQKLRETMSTIEHSKRVQMLNNSKMTDLIIETSRLAMEDKAALDDDSNDPLVDE ATGFFKEASDHEDSPEEDLESLLVPGDDAVERTVEDEEDGEAMNDSPEILYEILLDGT MSQHQDWKNNPLQYPKCIDDDAVSQKQQV PFICI_02863 MARGSRTEEEDGAWRPSPADAAGERADQELEDDLEDGAPKTKKQ GKSSRASASPRQKKTARRTRHDDEDEAWKPTDEDIEDEELEVEEMVNNDLPPPKKQSP ATPRKKTQRPKKPIQRTKTDDTYQPSDEELEDEEIAVQEMVNDLGEDLGEPEPESKPK KKKSPRRKQQQHRVDDSWEPEDEEMEELDDEEYVESADEEPAPSKPEAAKKSPKARPK TPRISKKRPAESPAPSPTAPKVAARPKWQLTAASNQRPPDSLVKGQNSKAPFTFAAYR GEGASMLAMNWKNGTPPDDFVGFAIEYREPQSSEWRSIPNYLTFPGEAQREGPDAFSS RYSPHQRFRWVHFPFSVETPGKFHYKVTPVFMKGGEGDHALTYGEEQEVAIELMAETY PGKMNIAFTRGFVSSKAFLKKFGSDGVGDLLPLKADDGLDFKPKNAEMEEKALTWMGF EAREVILSALDAAIEDTTAEVRMLAYDFNEPAIVKRLQKLGKRLKIIIDDSSSHDKPE DAESKAADMLRKTAGKDNVQRQHMGSLQHNKVLVVDGKKTAIAIGGSTNFSWRGLYVQ NNNLVALQGAQPVKVFRDAFDNYWDHPNDTDGFYKTASSQWTDLGLGIDAKVTFSPHS SSEGTLDGIAADIKACESSLFYSLAFLYETPGVIRDAIEKKMGQKGVMVYGLSDKSVG GLDARKNGNPPVAYPEDFEEESAGGSGARMHHKFVVIDFDKPTARVYTGSHNFSNAAD SKNAENLFLIKDQRVATAYMIQAVAMFDHYEVRDHVAKKQAAEKKGERHTIHLRLPPS KKSDKPWWDQYWSVKQKINDREMFGV PFICI_02864 MSNYWGSNPSWHEPNEDEEAQGNNYPDYLADDTVEPHKSIDGDL GLSTSDSNTPVAETTLSRVSNSCPRYAIYGGAQDSYSAADSEGHALGYSRPLDSSAIS SGSYITDLSSLKRVDTLSSDASTEPLQGSVGGLSDSGDIRREARRRVPAELEDAYNRH DPPEQVGSRYVFRQPVRADKMLENEASKSLLEEAPRKEGRKGESKKMPKETLLQHERK DQAERSPSITQTPALNPPSKAMPSPLLQMPHDVPRDVSYFCAKQAPIKQVEDLFESRW GKSGLQLLDTVLDGMANGKVAKRLDYTSSGMLAISIDFRSNPSEHGQATLDLLGDLPA ITTTVTQLSFLAAVFRLPKKSGLALSTASLVHTSGSYKLSLHPLIRAVDVHTEETPCW FNLFPVGMIVPGFPVSPRPEGIGLEIPFSLMTVLAQVSFKMDYKNGHIFVGHSSILFP SKRLQDGVQWDYVRTENPEATIQTLDSCIDRVTTTDMEFLSKLRTFIGHYAHATVYLG TEELLQNNVQPCGLGTSSSPIELARELSMTPGVQVLGIASFTAGTKWVLPKSLEVSLR DNRDYYDRLQNSKRRGVVLDDNETFTGWLVFEIRVVLHLVLSYLRLSDKKERMRDNNA TLNYASPSRDEGSNAFRHIQTCGGHVLYYDESRRPKTFSSVVDDFLKDIETIRNAARL RQAQSGFAISRPNLRSWDFGDLVLRKVDVVQRELKERRSCWWSICDESSVLVLFGRDF GQVIRPMPGSISADWQSIPKAVPACKNGC PFICI_02865 MENPKDLTPRQLERAVDDRVFDSWDGSDRIVGPKTKSPKLSELY LHVGVFKKDLPDPGINRGMFDTDIISEVLAYLGLAKKYDPPACRKAGEKAYVRARLDK PGDAIILDWLDVKGKWAPYNYIDFETFNNKPDPNTVAKAYRKADTAWARACDEWNAEL PFICI_02866 MVRFPTSANAPPAGAAQTHEQQSVRSWPAEWQNESLHDEEGVQA FYSSSYDNNKPAPNAGRNVHLWQASVHRRKDGKLGFMSCQHPSPDPMNASDQGKLHVA ITKTTETEDSTENSVSIDVVPVEDVPQMEAKGWLIWEHPRKPITEGVVDKNPRSEQGQ LKDQSQASREGYFEALQVADLAT PFICI_02867 MSGRDHLPPHPDQDPTETMAWVVAIQLQHVTKTQALLLAKIDKV ERDLTDLKREHRRMSGGESATTESASRRVSGTASSTDTRSKAKATEVDVDPTTGAEPF ASGYAEFVVNRLRAIDEKHPAIPSLKRLNSDLEVELYLFLGRLHKQEKQDSAADKKRT KASSKAGPSQKSRDGLTSNETTPRTKKRAAAPMRPTRPAKRTRFIVEGSNEDDDQEDQ YKEEEYMVEEDEKDKEL PFICI_02868 MTGRKPGDLDTSFIKSLRRANQLQCHLKDCAGKFADSDERLKQH FTTTHQDILDSKKMTLAQLIGDCRKANQNEGKGVERGNQVSSGHATKDISAKDSRLDE PTSPTGGKSPENQTATPRSKATKPSNASSDPAFGRRPQTTHRGLYDPNNPSKETTPVK LRQSNRVRKQDTQSQAPLAEEATSEEDHITPLIKQPETRPISQEQLVAEVKGIYAGLV MVESKCIEVDNAQSSQNGGKLNNEQWQALIALHRTLLHEHHDFFLASQHPSANPALRR LASKYAMPARMWRHGIHSFLELLRHRLPASLEHMLTFIYLAYSMMALLYETVPAFEDT WIECLGDLGRYRMAVEDDDIRDREVWTSVSRHWYSKASDKSPTTGRLYHHLAILARPN ALQQLFYYTKSLCVPTPFTSARDSIMTLFDPILNATAQKSRLPPIDSSFVKAHGIMFS GKCQDDFFPAVEGFLQDLNNHIGRSTRRWMEAGYYIGISNCCALLSYGKDDNSIFQTI RPPRTDGAADITMAEPDDMPEAFKRALHLTQGTQEVVFRRQADPNILPYFHTVLVFMD HLIAYPSAMSLVEKSFPWQLAASLLNFLLSSYRNYERINSSEFPQPENEVPRPLPEDF AMKGLLWVDKYYPTDFFRNDKIDDDEKYFEVASMTEERIERILWLGCRMATRSNKWLT YDSITHEFGVGSDYATHLTSTSADHISTVMDDTEMTISGETPETVNSELPTAATTKNL GQADQLMMDVEEASQSGPMFTQ PFICI_02869 MGAYYEVIPKSLFKWILEQKMFWVATAPLSAAGHVNVSPKGGAC FGLIDEKTFYYMDMTGSGNETISHLYENGRVTILFNAFEGPPRILRLFGRGRVLERGT AEYADFVKKNTVGTHSGTRTIILVDVHQVGTSCGFSVPFYDFKAFRPVLDEYFEKKEK RYLNGKEEESMPRYWALKNAWSVDGLPGMKVGQETMRKEGIVPLKKMVGNSSLENSRR PVNGFSVAHLVLAIVLSALLSAFLTLHGADVALNIKQRLPARQ PFICI_02870 MKAAKPLVLDGRTGEGGGQLVRLACALAAVTGQAIRITNVRGNR AGAARGGGLKSQHVSSIAWLARATNAQVDGLAVGSRTLEFAPKAPPTALAQRSISIDV ESPAASAMLIFQAILPYLLFAGNAAAAAAGKGDGIELEIHGGTNVSFSLSWEYLDQVL LPTLEDQFGIVIKRQLKQRGWSLGPAKKGCVHFQFNPLRPGEKLTSRVPWNKRYTEED FEMEKIDATILTPTHLHAPLQQALARDLDRLFPNVDVNLVVTEDSGHESRMYVLLVAH SKTGLRWGRDWLYDKKWKTKAPDALAHDMSKKVAGDLHDEIALRGAVDEFLQDQLVVF QALAQGRSSFPRDERGPEDIALGLGGLDIEEEGDEETRMRKDKTHEPFGQGSTHTTTA RWVAAEHLPQINWYNKGRVCEGAAIGFD PFICI_02871 MPLRPKPLDTRVDRDSALLSPNGYDEDASSLQSRSDQDTDSEDD ELVARARNSRELRARDRLVLMQEEEMDELVTASRAKHDGLQRRGSNLAIPNPLQMFKV PGRDRSRSPMNGSQTSMDDFRDEKRSARRSRRKQKKERLLEDAQHGEDGELMYEMESG GIREGSSTGDSSDREDSEEHDRRNLRYHSDKKAEKRRRWKKWLFIHTILIVGFAFLSL VAWKLSVDKKRALPPLVSNGTALFAPTTLIVSLDGFRADFLQRGLTPRLSAFIKEGVS PPYMNPSFPSVTFPNHYTLATGLYPESHGVVGNTFWDPELQAEFYYTDPSRSMDPKWW KGEPFWVTAEEQGLRTAVHMWPGSEAHIMSVEPTILDHYNGKESLDNKAARILEFLDR PGMEDPSASVENMRPQLIAAYVPNVDADGHKYGPNSTEIRTTITQVDNMLDQVFVGLE KRNLTNIVNVIIVSDHGMATTDISRMIQMDDLIDMTKVDHVDGWPLVGVRPKEASYLQ ELYEQAVEKGKTNPNFEVYLKDKDMPERYHFSQNDRIAPLWIIPKTGWAIVSKEEFDL EEAKTKGLVYHPRGLHGYDHEHPLMRAIFIARGPAFPHEPNSRVEPFQNIEVYNILCD SVGMKPIPNNGTLRLPLKTVGFHEPETALTEPEDPETTNVATEESVPISTSPVATSAA TESIEQPTATVGVDPVEPPQETEPPTTDNSSDDGDEPKEFQSWQDYWDWLTGKVDDLW NKLTGSADGDESS PFICI_02872 MSAPRTKRQFAGAASDPAQRRITSFFSSSGAVSSSTCPDDALAR GGGLAAVDSSVQANLLSVGMRVRKAVPEGYKTNGYSAFSLWDENNNTTSRSNREMTAP TKTTYDATGRSRSGAASVATTPRELLPFCGIHKIGGMDTQKPNAADDYSFSLPSTEGP LGLLDPDSMDEVPGLTLSQESVESNNSIEADTFGSRTRKRFFADEESADFPDFGASSR SLAPVDPSNGRRLATPRKTRLSTKLDGPGPVGQENVMVLDDFEEASFLDPNVEVDMDD L PFICI_02873 MAEKRPADGDSDQPVKKHRFNSSSNSAKRHGQGVDETYGQRFAF GNLDAATIPKDEDLEWEDETDALAYLKSVRSQAEGIPHVLIARKAGPELPVSKDGAVD RSIYEDGRGDFRGFYHDGAYTAYPDGYNGEQNDNDEEEGEEGEEGEATDKEDGESSEV KSEESSLGGPRNSNSAEIHDAYFISITRRYKMLRDILQSEPPGTAVKTLSASHPTQVR GFGTTPSPYKEWAPRLRNTDPLPVQIASMHKDSVLRLIRIILNGNFFRRGQELRERTS RWIWALLARLPERGELDYTEVGWVRELGKRAVMFMLSLAEADVLREQYGVEGGADNED NGDVEEIDVVSNEDIGLDGNEKVEAVSTAPEDPTDSKNEEITSESPLQTSDAISTAPP SQDKLDASVDIPTPQSDVEMQIDSDEEDGEVSAEPQELQKPTADVEAAKARLLAQLES SFEEQVQDHQTGSIADTPVATSGEAKSGSDGKNEMREANLRATLNMILTVAGEFYGQR DLLEFRDPFGRMIGV PFICI_02874 MGSKNLQDIQQAIDVSRQAAEAVDRDDMQRRAASANYEQDGEAA NLDEVIGVLGQVLQGSLSATRPERGTLEIGLVDVLRERFRRTGDARDLEKSREAFQRA PFICI_02875 MPTNEVQADTIDENQRKHALVSSWFLGPQAENLDTLQCVFKKVL ERHKQTRVELTDDKEKYLFITEDMMKLKDYQESIERLSMDSADLSDKLAKHSVPFWSP RYNAHMNMDTTLSSIIGYMSAMIYNPNNVATEASPYTTEVERQVGQELCQMLGYNNPH EDPAPWGHITCDGSVANLEAIWATRNLKFYPFSLKLAIEKGPLRFLSTVEPPFIVETC NAGSKEFLKLTKEELLNLTPSTVLSIPTLLGERYSISSGFLQDALRPYLVQTTGKDDL ERKFDINHGKYMICATKHYSWPKGGAISGIGSESFVDIDVDNEARMNADDLRTKLDKC IETKTPIFGVVAIMGSTEHGACDPLADIIKIRDEYQKDRGVSFAVHCDAAWGGYFASM LRDRYRGGPGDTPYVPAIPLSLYTKTQLQALKHADSITIDPHKSGYINYPAGGLCYKD GRMRYLLTWTSPIVFHDGDDQGSMGVYGVEGSKPGASAVAIWLTHRSLGLDKDGYGRL LGEAMFSCAKLYCHWATMAPRYKDELEHKVPADALIIAPLIRLPSERTAGGDVEAQKD YIRKKILGRDNKTLFEDKEAWKLLCELGGDLMINAFATNFKIGDEVNQDVGEANYLNQ WIFSKLSVSSEKDVVSERPLFLTSSEFGEEPYGRCLETFKLRLGLKTTDQEGNVKPSR GDLRFLVNVTMSPWPTSPDFMSAMVKDFRKVAERGIERCLIRNTRTPGFHGFVVQGLE KTYFTHIAMFNKANHRKQLVIAADLPANVYALYKEERGKNPGQFYTIANMEKEKLDDL LAGLLKPDTASKLKFRLDKGIPAVENPLAPVEEGFTLSNVRVVVDESMAFAALDADYP TKMPFYLYGSKREVHMDHVLKTAPNAQISADLVETDLTAHLTDEQLKNGLVVVLDDVF EASLQPLPTTVQQVDKKKHILNLNAPGFSLTKEFDHKASVYKTYEEAKSGGGKPIATG IISIGDTVYADWDDVNMDPAAENEDHQH PFICI_02876 MSKYNSSQTHSKAKAWLRKFSSKVKIYGDILDVFVQHHPEYVSL VWGAMKLVFTSFVNHDKTITKLAEGLSQVADELPTIEFLSQLYPTERMRTAIAEVNAY ILRFLIRAHDWYQEGTWKHILHSVTRPSELRYDDILDAISQKTATIRNLASCGQQGMI YAMRDQLGEVKTRLEQLATAATLQSASMVSMNSKLTDLQFSQIMRSIPESSIMMPEKV LDYLQAQAARRRASQSRALMLPYHFVNSPKLRKWTQSDASAMILVKGNFHSRQPLRYF CFDIIKQLRDAGIHALLAIKIPVQDPQQAIVTCADILRYLIRQGLQITQNLQTESSMS LTCTRIHGNLAEGQLFQILESILCDVSEQVYLVVDLELLNQDFTHPQGFSWLEAFLGF FERLSARKPTHRVKVMLLNYGSDFPFALSSEDFAKFVLRAKAGSNVVAQQQAWRSGQP RSRGSFRLRPRKGGSTGR PFICI_02877 MELSRLEDIDGFDAISNFSPSFVEGLSEAITSDNRDEEILDLLD IQIEVEFDYLYDYYIEGYFSDGILEAPDLLDEAIFLEEYHSRLKEVYDEVKAQINLGL LFLERNPGNGLEWKLDQTFGEYQEQEKVHKLFEQFKESSARIAAPSTRSGVVLEDNDS KTSATETRNTDKDIIAVSAGGQRNPIPSDSGIGTRVRGGGSTTEIDSLDCNYHERDGQ REKRVKFRRIWNHSTISRDETSANAFKLLYAILSPHIVSQGDQRHDHDRFSSVTGTEV LLEYQQSHTTQTGVSNPQLGEIPRQGTLSDRQDWNTLNAQKVRLQQTRQKLLSNTFQQ TSTLNDKITGASVPVINMARKDQESEAPTGQGDQNGNQVIDHDARGIDLDDSEAGHQN LKHRIASLERENKRLRTSQAVTPRCQVLYFVNNRASGPQPPGKAPPSTAYTDKPTWSV GPNGEIVLTAHFPIPDVSGFLRQSPDIAFVVCYYYSHTSQDNEVQNAARAKRMLPQPK PTSEMLRLHSLDMIEAVESFLALQPKFSNEFPSLNIRGPLHAPYLFWYHYRSATALDV LSPTHKNTMQLLTEWIEEHYAEKFDHVDDQLKRGVISEDTMPFLVKPGNVLVWKEQHE INAAVAKSWAYRKSPMTVVQERIGKEQDWTGDGSAFEKRKTTWTVDSWKFNYDGRFYR KELPVNIDLSTYQPQDELQIKELKIHPLEYAESHFKDALENRGTLFWKCRHQHLVSYE SLPREDQRNEQNNGERFMVDFETYRQLHSSSSTFKMSYPSIDDDKCQRMNPETMASDE PPSAPDIYVFPNTIPGYNLRSKKWVDLNVDMIRNVTWNKKSFDHLVVDDETKELVQAL VKHQIASQKSTDIIDRKGNGLIILLHGGPGTGKTFTAESVAEMAEKPLFRITCGDIGT EPEKVENYLDSVLHLGKIWDCIVLIDEAEVFLEQRNLNNLERNALVSVFLRVLEYYEG ILILTSNRVGTFDEAFKSRILLSLHYENLTEGQRTKIWKNFFKRLKEMGEENDRNESS LSLSSLTEPGSRKRKFQDETQDGTEGVDFDDVECYITELAKHELNGRQIRNVITTARQ LAVSRNELMRYKHLEHVIKVSSKFDKYLKTVREGFSDDQVARDEGIR PFICI_02878 MAVDASKTIVVVTGANRGIGLEIVKALLKTEPSSSSNGGAPYHV YLGTRDLEKGKKAAEFLTADYGNTVSALQIDTTSAPSISSAVSTVESEVGRIDILINN VGIIYEEKDRISNLRTTLETNVVATYAVSEAFNPLLLAQPSQGKKTKRIINVTSDLGS ITWRSDSSNYAYSLLYSEYRMSKAALNMMTACQSFELKEHDVKVFAFNPGYTVTELAG PVELRREQGAWEADVPGKACAKIVAGERDHEAGLMVQVEGTVPW PFICI_02879 MGQPSFQASNAIIYVTYGAFLILGTALAWKMRNQPKADFLSGNR TQSALPLALNFIAAAMGSGILFSYPELATLAGLQGVIVYALASALPLMIFAILGPIIR RKCPEGFVLTEWVKQRYGIVTSLYLSALTLLTLFLYMVSELSAIGQVVTALTGLDGLP VIIVECVVTTIYTSLGGFRISFITDNIQGAMIVALIIIATITVGAKTEIKPELIESSG LLDASLIGWQLVYILPVAVLTNDFFLSNFWLRTFASKTDKDLWIGVTAAMIVILITLT LVGCTGLIAAWSGAYDPSVDDDGSVAFFLLLEQLPSWVVGIVLVMTIALSTAAFDSLQ SAMVSSMSNDLFRNRLNIWWIRGGVVLIIVPVIVLALKAPSILQIYLISDLLSAATIP VLMLGLSDRFYWWRGFEVLVGGLGGIFTVFIYGAIYYDDAQSGGELILLEQGLYTGDW GAFGAFVAAPVGGILWGFGALALRLAFQFVSAKVAGRRFDALDRPLVAAHRGDWDDET APVSADQDHVAINTKITGKFF PFICI_02880 MAGGNTTTDDEAIVDDKLEQRRPVVAVSLLQPRIAVVLGVPKHW HYPLSICRLLSIAPAIAWGLRFALRFLIEDFLRSDAYGYGLEALSIDQLGRVKATERL PLELGERRLRLTETALSIIWVRT PFICI_02881 MAGTQAARLLQPWLSQSTSLYTRQSIFTPSSLRTPANFVASAPS KCIARPFSVQTALNKKPPTRRATSAKKSPYSRDQSLPVSLDNMSMLVPMTFVPPPMSK WPRGFKQFMHMGYLVAWNRAINYVYMIIHKVYSKPGWRTRPLFKMRKSEIKPAARDLH TRMNSAIASGDKAELRKVCSQELYEKLSGIVDVRPKGQSMKWELEGGRAKLSVRDDRI AMIPMTPTENRTIRQAVVAVESTQRIVTIDHKKGGAEVPGTAKAKAMKENIVLTSYVD QNTWQQTPWKIWGTLPDSTLEGHLDEVEAYKKMADDQAK PFICI_02882 MSIENLKTYDPFAEADEDTGETKQASNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVNDTEMGEVIQLQGDQRKDVQEFLVDKKEGL ELDPKTIKVHGF PFICI_02883 MGFQAVEYPAGSPPVFEDLSGNTWEPLFHHDCAFGRDNFLEVMM NMIRNPNVNSSWLFRADILYEDGPGGTEPSENDAVNRPQIINFKDFTLEKTLVRRLIP RNTQRDQPLDQTCMVYHGGRSGETEMTLVAYNPHYSSASEVPFYHPKVQGLAFLHEWD FSTNQGVISLHYHFFDLEERDPKLARTALSLLTVLYKHGQGKVTGYVKRVHHDTIIPQ IPVQTTYARLKQKYARKLIESWAEVTDPAKHVFEDFGIAAFLIELWAEMYRGESFPGF IDIGCGNGLLVHILRQEGYQGWGFDARKRKSWQQYGSETDGTSGLKGHPSLREHVLLP HFVQQEPNETDPEENDGSLLHDGKFPGGTFIISNHADELTPWTPILATASKCPFIMIP CCSHNLTGAKYRAPAPKQQNKSQSAYASLVDWVSQIATDCGWEVETEMLRIPSTRNTG LIGRRRKQDYEAIDLDGVMEKYGGTHGYVDNVMKLVKQKPRSH PFICI_02884 MSYGNYGKKDEDADLGLVKVDRVQVFQEARLFNSSPIQPRRCRI LLTKIALLLYTGEKFPTREATDLFFGISKLFQNKDASLRQMVHLVIKEIASSAEDIIM VTSTIMKDTGGSTDAIYRPNAIRALCRIIDATTVQSIERVMKTAIVDKNPSVSSAALI SSYHLLPIAKDVVRRWQSETQEAAASTNSLPTNNSTMTQYHAIGLLYQMRSHDRMALV KMVQQFSQPGTIKSPAATVMLVRLAAQLAEDDPSLRKPMMTLLDGWLRHKSEMVNFEA AKAICDMRDVTDREVEQAVHVLQLFLSSPRAVTKFAALRILHNFASFKPQAVNVCNPD IELLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMSEITDEFKITIVEAIRTL CLKFPSKQAGMLAFLSGILRDEGGYEFKRAVVESMFDLIKFVPESKEDALAHLCEFIE DCEFTKLAVRILHLLGLEGPKTSQPTKYIRYIYNRVVLENAIVRAAAVTALAKFGVGQ KDPEVKRSVNVLLTRCLDDVDDEVRDRAALNLRLMHDEDEEAARFIKNGNSIPVVTRE QADAEDRTKKLTATTPSLKPPKTGPTKAASTGAEAQATAAAAQQKYSQELLAIPELKE FGAVLKSSPVVELTEAETEYVVNVVKHLFKEHVVLQYEVKNTLPATVLENVSVVAMPS DEEELEEVFILQAEKLATDEPGKVYVAFKKVNGEGSMPTSTFSNILKFTSKEIDPTTN EPEDTGYDDEYEIGDFDLSGSDYVVPAFAGNFAHIWEQVGASGEEAEETLQLSGINSI ADATEQLAKTLSLQPLEGTDVPVNQTTHQLKLLGKTVNGGRVVANIRMAYSSKSGVTT KITVRSEEENVAALVIASVA PFICI_02885 MVYVRQEYLPNLKKYKYSAVDQSLVSKYILKPFYTNVVIKCFPM SMAPNLITLTGFSFVIANFFTLLWYNPTLDQNCPPWVYYSWAVGLFLYQTFDAVDGSQ ARRTHQSGPLGELFDHGVDALNTSLEVLIFAGSQNMGHSWYTVAVLFASLCTFYVQTW DEYHTKTLTLGIVNGPVEGVLILVFVYALTGYMGGASFWQQSMLATFGVPTSWGIPEA IYELNFTQWYMVQGTIVLVANTLESARNVIKARRARGDRSRGALLGLVPFFGTWTLVA AYLWLQPVIRTKHLVPFVVFAGLVNAYSVGQMITAHLVKLPFPYWNVLTIPLAWGVID SVGPLLQAHLGWASGWPSALGDDVYQVAFMFSMLGMAIGVYGSFVVDVIVTICDYLDI WCLTIKHPYVEGKDDKDQSQQVLNPAANGKKGN PFICI_02886 MQFSIFTSVVVVGLAAFVQANPVAVSPRDVGNQLVKDASAVVME LAAVAGDAARQMVQTK PFICI_02887 MLRPATPLAIMSFAAFVLLLLSVLSTPIIHAIPLGTYEGVDFGV FGFCEGDDCSPIEIGYDTGAKFSSTQSATFDLPNSARTTLSAILIVHPVACLFSLIML GMAVAAHWHGPSHSSRYLLVVSIFGILTVVVCLLAFLIDVLLFVPHMAWGSYIVCAAM VLTFLSGIVSCAMRRTVVSRKARKKRIAENAEMSGENYYNRTGQQNATADVASTMSPT VPVLSGANGPADNKLPTFATYEAKKDDRSSDERVPLTSTTPSQRSPNGGFASTEGTYV DRNNSTPSVRRDQYGNPIQTPQDAYGVARGPSADRMNSRGRGGPYRGRGGYSRGGGYG PNNGPPPPGSRGGYGPGPGPRGRGGYGPPRGAYGPNGARGGRAPPPGYGNASGYDRRP PGPNMYGAYDQARDASPGPQGAAYDANPSMPSVSTGTTGTSGSYQAYNPNRISEYPRA ESPPPLPGMEETDAPPAVGQAVEMNATTGSPAHPPQGFGQFPNQQIRDSDADVAGMLA LQQARVNTPRRHDTYMTASSRYSQDENAYVPARQAWAQGPGRNSPRMPSPLHIPQRPA DMPATTGAPQPSTAAAAAGGAYYEDVDPRFAEPAAVPRADPNTYEELTPAGARSPAIS EHSTFTSVSQRGVNPRWKPGPGAPGQQIPRRPVNRNEVNILNSNPDFQLPGGRGAGGG RGGMGNGAYPGL PFICI_02888 MAPKQQNRQYELILLGATGYTGALTADHIARHLPTNLRWAIAGR SRAKLEDLAAKLQKIEPNRVQPEIEVVLFDRQDKLDEVVRKAQICISVVTYCHVGDQV VKACVENGTDYVDTAGGVVQFGRWFSQYHEKAKAAGVALIHACGAYSAPHDLLAWLAV RELKREANLPTKEVILAVKQMSMDPSGGTVESMFSKSKVDSNNPTDSDDPWFLSPTQG TAIPKTTNMLGVREVPYLGLLSAATYGARQDRAIVHRTWGLLDNGQDYGVNFRYSEYA SVSSTLHAVGKVLSGLFLGLFLSLGPLARLFLPREGEGPDLVEHGKAVSELEAVALAD GRDDKKAVARFRFPGGPYYVTAAALAQGAASLLYKRELEGGYQGGNLTPACLGDDFVD RLRSVGTDIEVKIL PFICI_02889 MISRMAGLCKSPLSHNLPASSWRTLSRDVASPVTLRRLPPTWRT QHQQHISSPRRHVQTSSQFQPMRPPSPESLGKPKAAREFKRSRKWTRRLLILAGVGGS LYLADRQLFASGVGRSLRTFGNGLYVALDYKLNFRAEPLTGGTVGDLHRRSAERLFEL LRHNGGLYLKIGQAIAMQSAVLPPEFQRMFARMFDDAPQDSWKEIEKVIRQDFGGKSA EEVFGVSFTGEEGKGLMEKKARASASVAQVHWARLPDGREVAIKIQKPEIAKQVGWDL WAFKVVMRVYTWWFDLPLYSIVPFITERLLLETDFESEARNSETMRQLISSEPSLKGR VYVPVVYPEYTTKRILVTEWIEGIRLWDKAAMTGRWTGGYGQGSPGVHGAQLSAPNVQ AIQKQLRENPETDKLKPNRETWRGRNGKGGLGLSPKEVMTTIVDLFSAQIFKWGVVHC DPHPGNIFVRRLPSGRAEVVLIDHGLYVYMSPQFKHQYSLFWKSLMTFDNKTIGEISE EWGIKAPDIFASATLMRPYEGGDNSTRAEILREMQGKTQAERSFEAQQRMKQGIRDVL ADEDKWPKELVFIGRNMRIVQGNNQFMGSPVNRIKMMGNWASRSLYEDPNLPWAERMA NVWRHMLFKTVLLATDVAFYTFKLRQWLGLGGGMEDEVEARMKDMAKDFGVELQHEVF EG PFICI_02890 MSQDPSRRTSFGMLLRRSKSGDLGKGGRKHREQEQRQQVPKSPP RLPDIYNGLPAPDLQSFGGDGRPDSLAIVSGQAHQPRYQPKYPPSGRASMDPGRPSFS SMPPMPPMPAEPVVDPYARTESMTHRGRYSYASTAATSINSPRRVRRRKDPTPFNILI MGTRGSGKTSFLEFLKQAFALPEKKRSQRANEIGEEVTMKATASGNFIPHYLESDIDG ERVGLTLWDSEGFEKNIVDLQLREMSAFLESKFEETFAEEMKVIRSPGVQDTHIHAVF LILDPARLDRNISASKSLSKDPSLSPTVGVLDEDLDLQVLRTLQGKTTVIPIISKADT ITSKHMAILKKNVWASLKKANFDPLEALGLDEDDSDSSRIDEADEDAEEDAPEDDQGS PDEAEHSEESTGSDAFPIQGQTTPGHKRQPSSAYKNKQSAEEDTNEVPLLPMSIISPD LYEPEVIGRKFPWGFANPYDEEHCDFTKLKDAVFSDWRGDLREASREQWYEGWRTSRL KHREPSVRRR PFICI_02891 MGHAAGLRAGTRYAFSRNFRQKGSIALSTYLRQYKVGDIVDIKA NGAVQKGMPHKVYHGKTGVIYNVTKSAVGVIIYKKVKHRYIEKRINLRIEHISLSRSR EDFIKRVKKNAELKKQAKSDGTTVQVKRMATQPREAHTVSAKDNKPETVVPIAYETTI PFICI_02892 MAPLSYSKTAKVPRRPFEAARLDSELKLVGEYGLRNKREVWRVQ LTLSKIRRAARQLLTLDEKDPKRLFEGNALIRRLVRVGVLDESRMKLDYVLALKVEDF LERRLQTCVYKLGLAKSIHHARVLIRQRHIRVGKQIVNVPSFIVRLDSQKHIDFALTS PFGGGRPGRVRRKKAKAAEKGGDEEEEEEE PFICI_02893 MPPPAPPSRRNDPSKGGGAAGAASKEENVYIPSFISKRPFYAGE GDESSNDYLEHQRLTQQKKDDIASSKWYDRGRKAGPAATKYRKGACENCGAMTHKAKD CLQRPRAKGAKYTGKDIQADEVIQDVQMGWDAKRDRWNGYDSREYKHVVERYNQMEDL RNQMSGAKKEDGEEDGKDDGEKYAEEIDMGKHQSTATRQLRIREDTAKYLLNLDLESA KYDPKTRTMVDAGATADKAAQLYAEEGFLRGSGDAAEFEKATKYAWEKQEKSGDTSQH LQANPTAGEFYRKKEKEDAEKKKAERIKALQDKYGGNQHAMPAALRDIAVTESEAFVE YDEAGLIKGAPRAIAKSKYAEDVFVNNHTSVWGSWWSNFKWGYACCHSFIKNSYCTGE EGKQAWKEAEKQRTGANLVVDAEEEVRAPPVPDTEPVRPAIKKRTAEEMMEEELEEYR RKRTAADDPMAKLLGKDELVS PFICI_02894 MDLFRVRLNCIDHYQAVHTKYDPQLRNDFRPSQASKGPKVPVIR VFGSTETGQKVCAHIHGAFPYLYVEYNGSLEPDKVGAYIYQLHLSIDHALTVSYRRNA YAENPKFVARITLCKGVPFYGYHVGYRFYLKIYMLNPLVMTRLAELLQQGVIMKCKFQ PYEAHLQYLLQFMTDYNLYGCDYISVRKVRFRAPVPEHDEDGGSSHLWHSQSVSAAHI TEDFDLPRVSHCPIEVDICVQDILNRHEIKERQLHQDFAEMRNQPPASQKLVASMAGL WKDETKRRKRRMVNPASGSSPFPAEVLVSMSADPRDSQAPGWIHEKEYREQIHELIEG ECRKAAGPHLDFDNFVKPVPLEETVNTLLQSVEDLYPKNLASALGLSGIVPKDDEPPE SSVVVDEERILDFGGADDGMFPDDSDEEAARLSKAVDPPQVSAQISLPEAHAPQRERR VNERTKAKTTNESTIGSTSTSSRSSANESTGYLPPMLSADGIYVSSLKTGKIASVPIT NILAAAAMQNGLVSKDSQAFSEPEAPSCSAKRPRTSEDVDQNVKRRRVEFAFDAFDAS QHGPRKSQPGLGAPPDDQQSTQRADETSSQISKIKSHSGISKVPGNQTLKFPVVKNPN DPSTQLRLSQQSQHTSSQPSQEENQLKKQVSFGPITPTDDSPTKSDFGSSKRGALSSS GNTIKADIDRNPIRLGLAPRPNTQFFVLAATPPSVSEVNSTIHSGGRPDVIYQDAYYS NEKDVPLRMREYAGQEYRLLSNTLPYLPDFDETGSSPATFGVKAENSGPRASDEQVYS KSRRECSLRSWEFAEPPPSYTEIKKWGIANQQNLARFPEIGIDIQDPEMRPYLSQIEG ATPKKFKYSQKKTSTSVQFEAQYMSTMSLEIHVNTRGSLVPNPEEDEVQCIFWCSKSD ENFATSDHASSDIQSGIIVCSADGVLTRKLRRQTQIEVTEENSELDLMVRMVEIVRSH DPDILTGYEVHGSSWGYLIERARHKYDYNLCDEFSRMKSQSFGRFGKEADKWGFNTTS TIRVTGRHMINVWRAMRGELNLLQYTMENVTWHVLHRRVPHYSWRTLSDWYLSGKSRD LSKLLRYYLTRTKLDIDILEANELIPRTSEQARLLGVDFFSVFSRGSQFKVESIMFRI AKPENFMLASPDRKQVGGQNALECLPLVMEPQSAFYNSPLLVLDFQSLYPSVMIAYNY CYSTFLGRIVNWRGANKMGFTDYKRQERLLELLKDYINIAPNGIMYCKTEVRKSLLAK MLTEILETRVMVKSGMKQDKQDKTLQQLLNNRQLALKLLANVTYGYTSASFSGRMPCS EIADSIVQTGRETLERAIALIHSVDKWKAEVVYGDTDSLFVYLPGRTKDQAFDIGNEI AKTITDMNPRPVKLKFEKVYHPCVLLAKKRYVGYKYESRDQVKPDFDAKGIETVRRDG TPAEQMIEEKALKILFETADLSQVKSYFQKQCEKIMRGAVSIQDFCFAREVKLGTYSD KGPPPPGALISTKRMLEDARAEPQYGERVPYVVATGAPGVRLIDRCVAPEDLLTNSHL QLDAEYYISKNLIPPLERIFNLVGANVRNWYDEMPKVQRIHRIEQGGALMKKTLESYM KAAACLVCNSKTKDEKAICEACLTNAPASLLKLQSQLGTEEQKYLNVLSICRTCAGLS PLDDVPCDSKDCPVFYTRMKQAARYRTERGLVEPAMKLLIEEVERQDLEW PFICI_02895 MGSWTAFLLTYLLGGVTFIPVVLAVAWFALPQVLSEPLEEQRSK DSHADDIVQPGDITKDLDEVRKADKGGDSKPRYADTTDVAAGYFAVCREYTPMGINAK PIERATPVGSATVAAPSQSVYQAMYRSIFDRKQGANPLENSNGVSVRPKRAGNVFYVV LRHGHLMLFDDDEQLEVRHVISLAHHNVSIYSGGDKTPEGELFIKRNALCLSRKTDGI EAPDTQLSKPFYLFCENCSAKEDFYFALLKNQEQVFGATKKAPEPLQFDVKNIMSLVQ RLYSTEDHMQTRWLNALIGRIFLGIHKTKDIENFIREKITKKISRVKRPSILSRIAIQ KIDTGDSAPFITNPRLKDLTVEGEWGMEADVKYTGNFRLEVAATVKIDLGSRFKTREV NLVLAVVLKRLEGHVFFKIKPPPSNRLWFSFQTMPKMEMTIEPIVSSRQITYTVILRQ IENRIKEVVAETLVLPMWDDTPFFKTEHKHWRGGIFEGDDAVEHSEDYEEIAAMLGDV EEVSQIEETGMPSSPGIGDFPPIEKSHSVPALETTQPAGFWNRKASVKKSALAHASAT SSSTTALDSATGSPAAEVPPRPIRSGSFSVPSPTISTYPTHADALIPSSTPPDRDDAA SIMANLAARAQTTTPSTQTPLGTPTKTASAKQSLNDLSSNEASEAEQSTEQTPTVPPR RGTTSSVESNSSETSFTNSPIPSVKGSVRSNTGSIGKNFFGRRDTTLSTDSGNTNNEQ KRNTLAAVSKAAATAKQWGWNAIQKRNEQTANGRKLSDTPAVDLNQPMGRGQPLPPPG MPLPGPDRRSKVTPIAPKRKPIPPPELPSRNGSATTAEPGSERRPVPVPPLPRRRRVS QVHGDDGLEEHVLVVEAPADSEPSTPLQEEHEQGHETTPSYMQPWIEDEGEQDSSGSQ SAPSEGLATETGSQEQFAASNSTSKEVPRSDAADSSTAEDEDDYSNWLDNKPDYAAER QDAAITAGQTH PFICI_02896 MFPQRQIFRAVPRLMRSPVVQRRFASTENQFIKEREAVKEHAAA TTELWRKISIYAVVPALILAGANAYNLWSEHWEHWSHMPPLEDRVEYPYQNIRTKNYP WGNGDKTLFWNDSVNYHNKDKAT PFICI_02897 MVVRITSWNVNGIRNPFSYQPWRERRTFTSMFDILEADIVVMQE TKIQRKDLQDDMVLVPGWDVYFSLPKHKKGYSGVAIYTRNSVCAPIRAEEGITGILTG PNSTTSFRDLPQDQQIGGYPKLGQLSSVVDEVTLDSEGRCVILEFPAFVLIGTYSPAT RDETRDDFKQCYLDALDVRIRNLVAMGKRVVLTGDLNIIRAGIDTANIGERLRKESLT MQDFFSSPGRRLFNQLVYEGEVYGARDDGREEPVLWDLGRLFNPSREGMFTCWETKKN ARPGNFGSRIDYILCSDNMKDWFIDSNIQEGLHGSDHCPVFGTLSDKVQVSGKEVHLR DIINPAGMFKNGERSREWTTKDLLPLSAKLIPEFDRRRNIKDMFFKKPSTNTPKAASP LASEPASSSQTPLPEEQDLATLSQSQPRSESSAPSPSKSAPTGLKRTPSKPLSNRPQK KTKNTLGKEPSLSKGQSSLMGFFKPKSTGNLSKSPNEETGQKSDSLDGACDFENGNAQ VKEDINGKQKPAPAAAEQSEKVFDPIESKESWSKILGKRVVPKCEHGEDCISLLTKKP GINCGRSFYMCSRPLGPSGDKEKNTQWRCRTFIWSSDWNQSQSQAG PFICI_02898 MHCKRKPVEEIPRPKKRQRLHEQPPRGFKGQESGILPACSATQN PGVSQVLYMPELLECIFLQLDMQTLLVSAQRTCRTWRELISTSPALQEALFFKPQDGP AGFDGQDGRDQADNTGKLESRNVACQGVRKNPLLASVFPAWFQDYQYKGPSPHRRNGR RPRPGDGENELATLSSVSRLPIWTSYSSQPFAAATEQFLHSPSASWRRMLIQQPPAYR LGYWATLDHMAPGQQASIKHYPSGLHMGQLYDESVEAAAATIGYEIRCLLWGAEGLQH VLREYVGPKEYWKSTNWPHLDFAACAPYDEEAKDKLDTLLMDTDVTILVQQNLFALCM YRARNLEPETAWCCDPTRGPVVLAGRRDFDDKIREFRRIFACGARNLGVVAKGWEDLP KGKTLWEWEKEIDIDNDL PFICI_02899 MPRKKTTAPSEEPPSSPPTTRRQTRSRTDAQQSAPSASQRKEKI QGDYQPSTLPRRNAPARAREGSPESVMMPDMSSQVEGGTQSQAKAASSLAATAAATAA AAAANEASQESEEDQVQDLIDFTIHDLASRSNALHQFLQKVEAVSPNEISWARLAKIQ ESFDEQRQKITSSEKYFISQNEVHNSVKKLAGTEHFERSAVAMALANTALLRKLSLEI INGKLEPQLVLEELDDFFPGIFRRQVTADDSLSDYDKAFRIRCLVLAHDITTKTKTSP TLLAAQLFCDPDAVDEIKDAQRHVQTARELLQNGPYQEIGSTSPANMHELKQKHAENM AFLCTKLSQKSRAENVRALENAYPPMDLWKDLSEWAGEVYQRSRESPEKKKGKAPVYI AQASQGSRPKPAAASAASSQIPFRASARNETRTMAPASQTQSGAANGSQGNRRSVPED ESDSEGDELDQSIVRTGAPSGSYLDGSAYLNRRAREIIRQHRATPPSNQMGHKNPLAN VDADTILDQFQAFLASQGMSGSQTSSQKRPREEVSIYGEEDEGEPFFDHSRRDGQSPP KRSRLSNSQYAPSVSGYREASVSQSIRYREPSMSQSFIANDEGEMEFGPEDIPILTQK ARAATRMHRERRPAQRRQKWDAVDSAALINAIPEFACGWSDMEKQNLFSVPRSQQQIR DKARNLKVDFLKADAPLPRGFDGVVLGKKEIDAVQALGKNPFRLESDLDDYSRPINTI WDPEMDLEEHRIRYRATADHMAD PFICI_02900 MRIRQRQAQLLLLLLPATALALSSSTSDSTSDLVDDSLSGSAKA KYDIGTKDAPVDGKDGKPHEGPFVDTKLTESSGSKKELPVVEDIKLDRSASKSKDSTD PDSVMDDPLHTPPKKGTTGTEGGVTEKDKARIAEERITGEKAEKIPETPKEAPPLPHS EHEKIVSGKESSKDVTLDKSKGSKIDAHLDHYDVSGLEKPEDLPDKLRDSPNPVPHSA DKDHLDVTKPSKSTPKKDWKMDDDGNEGLIQPFHSFVLSLAMILVSEIGDKTFLVAAL MAMKHDRMLVFSAAYGALVVMTVLSAVLGHAVPTLIPKKFTSFLAAGLFLVFGVRLLR EGMAMDPNEGVSAEMQEVEQELQEKEHLAMKQGRRRSSISAYAVEGGYGRKSRSQSRF TPPRSPSTSPARSPSPRGGVLGNVGGGLSNLFSLLLSPAWVQTFVMTFLGEWGDRSQI ATIAMAAGQDYWWVTLGAMVGHACCTGVAVLGGKAIAGKVSLKIVTVGGAVAFLVFAF IYFIEAFYA PFICI_02901 MSQLWQPTSPTTENAPASPPRAASPKDSTKQRNPGRRIAQIVKL RPEYLAEYKKCHAAVWPEVLKQIKECNIVDYSISWDDASSILFANFKYVGYDYAGDME KMRENPKVREWWAMTDKMQESLVPGAKSSESGEPSWWKGLEEVFYCP PFICI_02902 MRPVLLKTAFQPASVTRSAGSVSRRAFSASRQLNGANLIHNSVR RPDDLHSYILLSSSARAPLITLWTTNWCATCKVVSPLLRELIESGVGEAEGGVHYATV EYDCPDIMSGGLGMTYMINGIPTLLSIDAGEPQTETKTADARKLGDRAWLEEWIRNEA RRHGGRGGGGGSGSIFGGLFGSLK PFICI_02903 MAQDAKQARRPWQGIFSKKPAGHGSPSSGEEEKQPRPVWNMGML NDKETIEVPGSVLLLAQGRNEPLGLRNAPARTSHSSLPTGVPVPEPPHPAADEKKTTQ DGQIILDPQPDDSHNDPLNWAVWRRDSALLSLGLYCMIGGGITPLIAAGFTNVAEDLD VAVSRVSLTTGLYMMGLGIGSVIASPTAILYGKRPVYLFSAILWILSTVWCALSPNFI SLILARIVQGIAVSPVECLPSATIAEIFFLHERAFRIGIYTLLLLGGKNLIPLISAVI IQSLGWRWVFWIVAIVVGFSGILLYLLVPETFWDRSPVPKDRQPKKRPSFFSRRSSRN VVPHRAHHEDSHPTDAEKVLSEPAHDGIEALPSPTPSHLHRHKDMHVGFAPSTRAPSE EIQRDGDVAHISEADHKTSDTLRPEDAITPNPQSPQDEKLQGHLHPAGYSRHDDISRA EAGVATPDTASESLSLRHVATRGQVYTHNLRAAPAKSFRQQLQIHHGRLNNDKWWKVA LRPFVLYAYPAVLWSAAIYSCSIGWLIVISESVAIIYREGSYKFGALATGLVYISPFI GGILGTAVAGRISDVIVKAMARRNGGLYEPEFRLVMAAPIAVTTVIGLMGFGWSAEVH DHWIVPTVFFGVVSFGCSLGSTTSITFCVDSYRQYAGEALVTLNFSKNIFHGLVFSLF VTGWLETDGSKSVFMWIGIIQLIFVFSSIPMYIYGKRARMWTVRRNLMEKF PFICI_02904 MATKIPPLLEPYLGLPPEASLIVLSGVLGASTNWLVQRYLCSLL GNSTGRVPAIDGNNGENGDTCVVLVSFMRDYAFWKEGAGRLGLDLDALSKRSMFKFVD GLSGLFSGASGAITTKAPVAGPPGQHVLRKATLEELQQVVQSAQQTCASSNIVLVLDN PDLLIAAAGNTISGEGLRQTLLHLRESVSSTVITLSADEPLISAQTTSLEKNHASFAL SMAHEAEMLVTLRLLDTGTAKDVSGVLRVTPGGDATGFVSEQRELLYFVGSDGGVRVF ERGQ PFICI_02905 MTKGTSSFGKRHNKTHTLCRRCGRRSLHVQKHTCASCGYPAAKT RKYNWSEKAKRRKTVGTGRMRYLKDVSRRFKNGFQTGVPAGSKGPGSLKA PFICI_02906 MNYLYSTVNQIRDKYTPVSHTSTFRKTGQITPEEFVAAGDYLVF KFPTWQWAAADNESKRASHLPADKQYLVTRNVPCHRRLDDDFAGDAGHEEAVVGDGDD FKLKGQGDDDDGWLRTGGLSSSQPLKAKDVRTVDDAGNVGIIEDEDEIPDMEDEDDDD EAIIRDSDAQKKTASRRTYNLYIMYSPYYRTPRLYLSGYTGGQPLAPQAMMEDIVGDY KDKTVTLEDFPFFANAVKMASVHPCKHASVMKTLLDRADAALKIRRDKLKAGKNVGHD AGMEGLVDEINKLDVKSAEAAAAESGSNDEWEEVEAEEQDVAIRVDQYLVVFLKFMAS VTPGIEHDFTMGV PFICI_02907 MGWVQNVDEKVAKSAVGKWFRLDGSGHPKERKGSRFFTEVRGGI AAFFAMAYIIAVNASIVADTGGTCVCNGGEADPICATDTDYALCSAEIKRDLVTATAA ISALASFCMGLFANLPVSLAPGMGLNAYFAYTVVGYHGTGTVPYSVALTAIFVEGWIF FALALFGMRQWLARAIPKSIKLATSVGIGLFLTLIGLTYSEGLGLIVGATDTPLELAG CIESLQDEDGLCPSSTKMRNPAMWIGIFCGGILTVILMMYRFKGAIIAGIILVSIISW PRTTDVTYFPYTEVGDDAFDFFKKVVDFHQITRTLSVQEWAIGSYGGQFGLALITFLY VDILDCTGTLYSMAQFADLIDPVTQDFEGSSIAYMVDAISISIGALLGTPPVTAFVES GAGISEGGKTGLTSMVTGICFFISIFFAPIFASIPPWATGCVLILVGAMMMKAVTEIN WRYLGDAIPAFLTIALMPFTYSIADGLIAGVCTYIVINTTVWLIEKASGGRLVPADKA LKEPWTYRIPGGFFPPWLRRLSSGKKDFWREDPIPVAEAASHRPSHETAETETKPKTA DGSAKNTTTEELGLHEKGAQGTTL PFICI_02908 MAEKTTTTAEIRAEGLDAGQLARDGLTATDRHGSALMTFDAKAE ARLRLKIDLLVVPVVALIFLFSFIDRANIGNARLAGLEKELGLKGNDFNAINSIFFVA YILFVTPLNLVCKMVGPGWYLPVVTLAFGFTSIGTAYVHNFSQLAGVRFLLGVFEAGI MPGTAYYVGHILSCTKGRHM PFICI_02909 MLPDVVMAPLAGAFGGLLASGILNVKGFGGIPDGSWRLIFIIEG VITVSIGFISLFVLTDRPETARWLSQEEKDLAVARVKSERIAVTQTLDTPDAKKLWSG LSNPVTLITGLIFLLETITVQGLAFFAPTIIKSIYPHATVTQQQLYTVPPYAAGAVCL VAVCLLSWRVDKRHIFLIVCAPPVMIGYIMFLATGDATTRYAALFIIASTAFTPGALT HAQVSANVVSDSARSMSVATNMLFANFGSLIATWSFVASDAPDYHIGNGLNFATSSAW LVASVVLHFWMRRDNKKKDTKDVEAELRSLSQKQIEDLDWKHPAFRWKP PFICI_02910 MATVSSNPLKNDNAFNSDSDSDGQARGGRRALAQTGPYGQQQQQ QQHQHQQGMPQLPSTSGLSAPAGQLLRGATDDEGRNTKAALLVGIKLDLEAEVHLTAR VRGDICIGLY PFICI_02911 MVIGMLLAITTCPAMLGTQEAIRQSQSKNRREEHRGQRCNLIVG CVQPSTRSREINNRIVVLRESKLYVETGVEPDEFSDDKEPVPGSHPFCGYFLPYPDSN HEGVVSTITHVAPILNWIYIDKNTYELKYGVRDFAQPNLTGPFDCTRQDRRMTFEGWE GFVAVEETPGVWALYFDRDDDGLRSKFPPSTRILEVELTRREKKVPKPAEDSAKTLDE MMRQQQQQKQDQDQSEVAKPQRLSTHIQTK PFICI_02912 MLSKRLEELSQNPDDPNGIAELSVTALGPRGSFYICWKTPSGQY RQDGHGLPQELQEWLFPVNGSARDFASLQVILGPGDGDFVASDCNGRVDRKTAEYVLK PLERARTFKSYDGATGSRPLSMVINPTDTTHANIRQRRAATVGSSSAYSESDRRTSVI PEEASSAAGRKREETPRLASLVPLAIRVNRFRRRPTSMHYNGSQPLMNIDPPQDTATA LMEQSSRRRDSQNKLLPLSSQRASTLTASDEKPKEPISRYVDACVQTEAAVPLSPIQT TFQDYNQHRRHARDSSSASSSSSVFTSFSSEPSTRRSSFATEEQSYKSYQRPTFGYMP NPIMMGRMQDYFRATGYRLGDALQA PFICI_02913 MGKLYAIGDIHLGHPFNTEAWKLLDDHPDDGLILCGDVGESAAH LELAFTAAVRKFKQVWWCPGNHELYTMALKTTLRGEEKYAECVEIARNYGVWTPEDDF VEWRGGGSSEDDAAIVAPIFTLYDYTFRPEGMDKDAALQWASEADTVATDEFLLHPDP FPTREDWCNALVIKTERKLEEANSRNLPLIIVNHWPLREDLVHIPRVPRFKLWCGTKK TEDWHKRFNNTKVVVSGHLHVPRTDWKDGVRFEECSLGYPKQWELPRNNGLNINHLLR EILPGPPAPASGTAGPEWRRYG PFICI_02914 MTEARAATDASPPSITSQVDESFSKPRPRAPRSPAQAAQVRKTN RRQEFLERHPSYFDSLEHELADPILYDKLIRRFQTAQEREKEGKTKGYSRVLEVDLLR GEAKLSQLAAEGNGERNESSHTPSDSFSLGLRTPTAEPETKEEGRERWNSFLRERFTS GQDEDFDYDTVDADDSLDVLERRDEEEAWFNDEAPGWASDGKDEFTTDRKQLQGETGI QDF PFICI_02915 MTATTINRFEYERTIPKSTDFEFFDQNYPPSVLTLRTNVNGDVS RSWDLPFRADGEEQPDHANSSSGQIGNPAALGATWNGSLINHFDATATIRAPPRTPAE QQAFAYLINCYLDVPPQSLDPGYLDVLNLVIRRQNRGNCLETCLSTLALAAFSRRPAS RSATVDTQASYSVALQAVNGSIGNPKSIYDDELLASVIVLALVECLIGDNVNGYYNHL YGAMTILKSRGQRKFHDDLGAELFMLLRHELFRSSAIRFLEPQGQYPYDWAMSVMEIV DDPSVERAQAATLGSRQNRLISYIHKMFDEYLVATMSSSPVHSLLTVPSVFASDPVVD NLVDSDPNLASTIKEYLQMASNAFSVTEISGHSIEDFYPQPCDVQPVSNNEIVWPGQF QGYTWKSREYANLGLCLVGTRLFGYNVAAKVFEKARLKDPSIDTTEFEVIAQKAREAI EIIVGSGPYICSPQDIDGVGSNDYMWLHQCPPFLVAMESPFATAEQRRIIEPIFQYTF EVKGIRMAGAVLNQYRQFQRESAANHT PFICI_02916 MASSNEHREGLSIASKQDPLPQSRELGAVMPAPTAKASPFAKSW PHLVAGGIGGTTAAVLTAPLDVLKTRLQSDFYQAQLRATREALGHQRLGPLRSITYHT AETVQILRDVHRLEGTRALFKGLGPNLVGVVPARAINFYVYGTGKRAYSAWTGLDATN PVVHLTSAVTAGIVTGTATNPIWLVKTRLQLDKNNAERRGDVSTRRYRNSWDCIRQVM RNEGPTGFFKGLSASYLGVSESTLQWVLYEEIKSKLKLREERLVQSGRERTWWDHTVA WTGNFMGAGAAKGLASVLTYPHEVARTRLRQAPMADGKPKYSGLVHCFKLVWKEEGFI GLYGGLTPHLMRTIPSAAIMFGMYEGILKLFNTKA PFICI_02917 MTSQQTPQSPASPRGMASMSRPIIQSMPDTRQQSFEEIYGPPEN FLEIEVRNPRTHGMGRNMYTDYEILCRTNIPSFKLRQSTVRRRYSDFEYFRDILERES ARVTIPPLPGKVFTNRFSDDVIENRRQGLEKFLKIVVGHPLLQTGSKVLAAFVQDPNW DRNAW PFICI_02918 MFPGRGKRELHAPWRGRGPRNNTNQPTTPFVNNNLGGGAPIHSS TSASSSMSRFGQTTTKANKLQTTEDSDSSDTDTGGAPLRPASIISRIVQKAKSPLSAD IKGKNVVPANSHNEPKALLSNPQADQTTTNTMTPQTPGQPTTLDKPLGTNELLEMIRK SKPEFFDGESELSMGANLSRSQTFTDGFINEASTSDTHTQPQTVGTGVAQSRWGPATV QQAVPPVLHRNTLRSSRTEPSIGELSMTTTDTTEGTVYQGGMGFIYGHQEQSYDNDAW DANANPADMAQNIQDQIDYIQRMHEALQSNIERSQMALQNNAPQQMQSNVNDGPFGNK NHVVNHRRTQTAVGPRSRVAPRALMSVPEVDREVTPRPRGHPQKCQLIVAPQMAVQAP VQTPAQQDVGGRSEALDELLSYDTQTLANVMLEPRMFPFVENSSLYTNKRKEAGVLKI TNCPFSVSRNEIIAIFGRAMRLLNDNEEPIHIILEKVTAKTLDAYAEFETPADALRAL ERIQENIAHNRPPRIGSRIVKVEFSNQAALMSDIFPVAHGVTWQGSHPMIRTDSPYPI DNFKCFTSEEENLQLSRHFECYGRTPFSRDCPERFIEAMISTLKKMPWYMTKFITIHQ QHYIFESCQNLMCTLLDELTSHFYPYAPRRPGFERLTEQLWQRFIDAIMKCPGFTIVQ KDSMALIVGMEEADKRRYNLPRWAESWTHLYSLSPKPGTPLDLLEFYIHVLRVESTRA VQNEPINVKVQLAELAEQTDPYFGYFWREINYPTGPAFDEMTLHHAANLEWGAMDRII RRFLQNAQQNGNSFSSGIDACHNYSPHNGYSSRQITNLLME PFICI_02919 MSQSLRPYLQCVRSSLTAALCLCNFASQTSERHNVPEIEAQTSP EVLLNPLVIARNENERVLIEPSVNSVRISIKIKQADEIENILGYDISFLITNFHTEEM LKHKLVDFIIQFMEEVDKEISEMKLFLNARARFVAESFLTPFD PFICI_02920 MPSVPIVIKHSGQKYNVEVDLESNGETLKYQLYSLTGVEPARQK VLVKGGPLKDDADMSKLGFKSGQTIMMMGTPGEGPHVLERPKQPVKFAEDMTDAELAQ QEGATPAGLQNLGNTCYLNSTLQTLRAIPELQNALVKYEPAPANSLTGELPQLDLTRQ LRDLWKYMNETQEAVVPHAFLSALRTAYPQFAERSRTGAGFAQQDAEEAWTQIISSAR SKLKLSEPSASEASFIDRYMSGQFSSKLECDDPAAKEAGEEPVRTKDSFLKLNCHIDS NTNHLSDGIRNGLVEKIEKKSEALGREASYTRTSEISRLPKYLTVHFVRFFWKRETQK KAKIMRKVTFPHELDVVEFCEEPLRKMIVPVRDKVREIRKDEEDIERSRKRRKKNNGE PALEDIPGGMGAKLDKKDAQVDKKDEKKTSGDGDVEMTENFKTDAEVDAEKDAALLAA KKELNALIHPDLAKDDGANQSGLYELRGVVTHQGASADSGHYTAYVKKQGSVDPKTGK KKEEDGNWWWFNDDKVSEVTPDKIEALAGGGESHSALILLYKAIPLPTAEGVVE PFICI_02921 MEESFRFTGRATSASAAARRNAERATALRGNLTSPSNMSSSSDS EGGGAPLHSGGPSVAPTEDASICTAEDTPKTTRGVAALTSSVPALTIGHNSSATYLPP HLRGSRDEAQDQMNQTGSSFQLGNAPTDGDVFGNSDTEGLDSTQGYHDAAGLHLGLPD NARHHVSSDHDMVATTGDDDGDMQPAPGSTLRRNFTSGAMLGSPEPRDLGSVTRSASA QMLPASRSSGSLMASDSRHQVGGVDAQAFYSPGACVFVANLPEGVDDVHLEAEVTRSF SEYGTVFVKIRRDARNMPFAFCQFTSEADAKVAMAKGKGRMIFGRACRTEPVRANRTY IMHRTNGDNLEVEEARDALADRGFYPLEKCEMLPPDIQAQQGMSRSVLVKLKKFDPTK ELQSAFRHHSRYRVIPFDEQKGTQVTKLDPAELWLQRYEVDRRSIFIGDLPYGYPLLE QRLQTVLSEFGDVADIQLVSREPRGDGRTPTTFAFVEFGRPDMAATAVDGLKGHQLFG YNVRVERKVCRDANIRGTQRFSAARGRTYQSPSYERGQGRFYNALESPETPLRSADAP RRLAGPDTATVANTATGRYDWSSPTLGTGNNYTTSPYPMTEHGQNATAYNPASPPMPG QYAPHGLMGHPMSPQMATPLAHMAAANAMSPYALYASQYSWMSPYLADPQYAPYALAH LANEANTQANAGNVAGTEAGGEDDDQPDTPTRIANGSNTRGRNARREGGGNDA PFICI_02922 MGANSSKPADGQASHVWKASGPLGVSHEIVESLQGSTETDRSRA QTIELAVQARVAEELKKLSSQESAALTAAQKKALEFTETDAQKEAASNSTPAVSKEVE ALRARLDKRKQLRQLPESVEKSRSEVVQCLIANDRRPLNCWKEVESFKEEVRRLEKEW VEKVVR PFICI_02923 MRNLPQPPQSFLQRKGKILDQLAIPDSEYTDASPKGSVDAGIRD LIDEINGLPGFVTTSSCAGRVSVFVEGKKSADVTGQDNDEGLTESSQDDSARTATVAG VGGKGGGGNWLFVSHDPVEVEQGKDLRDVFGINSTEAAERLTTGAEDSTRLIHFKFEP MILHVLTASPEHAQLLLRCGLQAGFRESGALNLIAPAGEPVTPIVAIRTMGLGLESLV GIQKGNQIQCTVSPEYLQTLHAISHERFAENTKRIQRFRAAVLEASQPPKKKDGTELE DAATRRERKRAEGLRRKAEMEAAKTGKPDLDHADALDLSLNSDLT PFICI_02924 MKAFLLSLASLFVSAVSASYTLPSRPPTVGPFLKQVDNSTWVIG NELWNVTQGQTYGTKLYYKDHDCVGDAVGHYVSYNGAASNLNWTSASVVNEGHVYGSK YIDVKFTATEGDFHWVIFSGLAGAYQYFVNHDLPTLGEFRTLWRLDNTTFPNGKTDVR DEALPPLDEYLADLKVQDETWLKPDGSGYITKYDFTSWIRTQTYYGVYGDEFGSWYIN AGKDYYNGNHLKQELMVHRESATGDAVQLNMIHGTHFMVSSSDVFPDGKLWGPWLWYL NDGSKEDAAARAKREFASWPYSWFEDEDYQTRGSVRGKLVLSDGRPASNAAVFLGDNT PNKTALDMGTEYYYTGYADAHGYFEFRDVRVGTYGLQAWSNGSSIADVTTSLLQNNVV VSKNRATDLGALKWQISKKQTLFQVGDFDRYSYGFAHGGAPHQHALSDLCPADLLYTV GESATADWCFAQTKLGNWTIAFDLDAGYPTNRTATLIVSLAGYSSGSSAAVLANGVQI GNLTSGAGSASNATQGLVNDPCLYRSGTAAGEWRYFEFPFTSGLLSSGANEVTFQITR NSTWHGFMWDSIKLEW PFICI_02925 MATSKSEGEPITPDDSDLPRPQFPAGPPSIISSRMTDIASEDGD AFDERQTSSVSNAQRRSYLATESRPGTAKTGLSSSRGAWSQSTPLRRGVQRGSLSGSI SGSVSGRPPSAASRSHVPSLTSHAFFHPMSSQKLQAQRGASRPATTSQQHGTMDEVAA GHVAQQRDSMSSSPVHRIAREPVDDADLEPPPSRGTEMTEQETYDRITANTSPTHGNT AGSLTDSVRPLQRKKPEGPDLSIDVNKAQNKSGANTSVPMKSPRSFRSSLFISKGDSA GNPTNRSMPGAEKLSSGASSPQLTPMATASTSAQNKSIKSKAPNHGKNHEYFEGNTFF CIGGRLQNTRHRPINIATASFVVIPAVLFFVFSAPYLWHEVSPGVPIVFAYIFYICIS SFLHASGSDPGILPRNLHQFPPPSENEDPLRLAPPTNDWTLIKSAESSTAAMEVPTKY CKTCNLWRPPRAHHCRLCDNCVETQDHHCVWLNNCVGRRNYRYFFTFVSSGSLLALYL FGASLAQILIYAKKEDVSVGTSISHFRVPFAMVIYGIISSIYPIALMGYHIFLMARGE TTREFLNSHKFLKKDRYRPFTQGSMLKNWVAVLCRPRPPTYYQFKGRFERGDQRFAVQ KVQRQRMASSHGQDVEMQDVPPSSSGFQGPVALEQERSQQA PFICI_02926 MASMIARRAALSSKAFSSRTFTTSARRMATDPALKDETKRNPEL YVRHIPILHAIASDAPNEQQVAQNWAEAILGGVMVLALGGAGLYFGRTPTKSTSEQSV GIAGMPWESGSEGKYTYHPGGDTSVQPKDAPSAINVVVIPDVNLPKHLHEKYNKWGKD GY PFICI_02927 MADSPAKATTTRPQLGSMRSSSYLQDNQQLRGPNKPESHFGIDT VVEDLNNTSLTPPKAFSPFNGVPSKDDPPRIVDGGSHEYAHPNCAPVKGEKSNRLIAT LTYKAKNPRAASAAPQLPRQSASNSDIPANFAPTTNIETFPLEPPAAAAEPESLDNLY GSYISPLCITSFLHLMSTFPLPPGVTEINSSHRCLDDPEHPNIVELTLSPAPSLDYLP LSDLRKHELIYRFEREWNIDVALQRDTIWRRHPRLVVFDMDSTLITQEVIDLMAATIK EPADLADKIADITHRAMLGELEFEAAFKERVALLKGLPESVFEQLHPVLDVTKGVPQL LRAFKKLGIKTAVVSGGFLPLTSWLAKQLGIDYAHANEVVIDDAGKFTGEVKGAIVGR ERKKELLIEIAGKEGIALEQTVAVGDGANDLSMLATAGLGVAWNAKPRVQMEASARLN GETLVDLLYLFGFTSEEIGLLIA PFICI_02928 MAELLGVVASAITVAEVAAKAGGAIPKLKALWDEIQNMENELNV YRASVINPILLNDGAMRKSTQYCRESLQSLSHLVDDMSVQIQNQKKLRRGLAKAKIVL KKHVLVDLEARLRKALALLSLAQQSYMMSIMKLQPHIIVSQLTAQSQRPEQVLLNAGS LMNDNCEAAEEVPDFVVHSDYGGSSHSIIKQEYNYSSWARRFGPVAVAWQLSERTFLG NHSTNYSLRVQLPSWLLKTSWEVQLSRGLGGPSIGLRAWRTVPAYSPAFEAVDSGDVK GLIGLLDTRQATLFDRDPDGYTLLHVGDTVYHMPAIISINNSQEAFRSSGLECVRLLE DSGLSVYETDNFDRTPLTALTYGEWNIPRTTQVKERISAWHGTFQSNNVLDGDDYDYW DGYSAMILKDCSDDTLENLLRHNNDAQNTILDSIHWPMFLFLQWPYRSAVPSSTYAFV SRNETILKYMLDDEIVGNLAYAIGDRSWPLSESEKADWGDILKAVLGDESFDQAWLHS TTVCWEEATDDRPFNMQWPWSDATPLVSLLQGYCIRHDLQRGKSKHAGLANALHFWLQ LLQQNKVDLIDYGLKERQMIQQNRPEIGTYQAFSRTYGVPERLLDIRYGPNPQDWYLI WDLDVEQMAGQFWTSLREPEFAMPGGWVDD PFICI_02929 MESTNMSSYTSSPQVRPVDAKAQPANEVESGYGSAASSVAGSTG ELPLVTMTPPHLNFLNEQLERLSPLERLRLVRLIFPNLYQTTAFGLSGLVTIDMLAKI QAEDPQGPAIDLVFLDTLYHFQETLDLVERVKDKYNVKVHTFKPNGTNTTAEFEEQYG EKLYEVASELYDYIAKVEPQQRAYADLHVAAVLTGRRRSQGGQRDKIPVLEVEEETGI VKINPLVDWSFKQVKAYIDEHQVPYNILLDKGYKSVGDWHSTSPVAEGEDERAGRWKG QAKTECGIHNKKSRYALFLEEQARKEQLEARKGQEELTAALEKVELEQKSEAPVEASA PFICI_02930 MYLRSLIPAFVVVVAAEPITNILSANSNELSTLTSLLGTVPELT NALSTARNITVIAPSNAAFQKAMAAMPELATMVKNTTFLTDLLEYHVVQGVVSSSMFS TTPLFASTALQLPVSTPAGAIKSQRVELIKSNADALVISGFKQASRVTQADLFFDGGV LHIVDSVLAVPEVTSVTALDVGLTSLAGALAKSNLTSGVDALRDATIFAPSNAAFVQV GNVVEAAGPDLLSDVLGYHIVTAGAVHSTELLQRVRAAGGKTVALNTLEGGTLAVREV NGQLYVNNAKIALADVLTQNGVVHVINNVLNPHATTLVPDASRATPVADFAGASAVRE APFTSAVSPTTTIVPVTVAQAAGQHAAMPTVAALMGAFGAAAAAAIVNM PFICI_02931 MAENGDINTILRNSLSPDSATRTAAEQQLSQASENNFPLYLATL VQALADESAEGQIRVAAGLALKNAFSAREFSRQQELQAKWLQQTDAETKTRVKSLALT TLSSTNSQAGQAAAQVIASVATIELPRNEWPDLLGTLVQNVSSGAPHQKQASLTCIGY VCESQDPELRSALISHSNAILTAVVQGARKEETNNEVRLSAISALGDSLEFVGNNFKH EGERNYIMQVVCEATQADDSRIQQGAFGCLNRIMGLYYENMRFYMEKALFGLTILGMK NDDEDVAKLAVEFWSTVCEEEINIEEDNAQVESSDQMRPFYNFARVATNEVVPTLLLL LTKQDEDATDDEYNLSRAAYQSLQLYAQAVGANIIPPVIQFVEANLRHDDWHFREAAV AAFGAIMEGPEEKVLEPIVKQALPVLITMMEDSNTMVKDSTAYALGRITEACSEAIDP NTHLDPLIRALFAGVNDPKMASSCCWALMNLAERFSGDLDASANPITPHFNASVSNLL DVTARPEAETTVRTTAYEVLSAFVLHAATDSFPAIASLSDVIIKRLEETIPLQSQVVS IEDKIALEEMKTSLNTVLQSIIQRLDKEIAPQGDRIMQVSLQILSNTSGKSTVPEAIF ATISGLANAMEEDFAKYMDAFTPFLYNALGNADEPSLCAMAIGLVSDITRSLNERSQP YCDNFMNYLLNNLRSPALGNQFKPAILQCFGDIANAIGGHFETYLSVVAQVLQQAATV TASPDGSYEMYDYVISLREGIMDAWGGIIGAMKMSNKTQALQPYVQSIFQLLNIISQD MNRSEALMRSAMGVIGDIADAYPGGELVEAFRSDWLTAMIKETKTNREFQPRTIDTAR WAREQVKRQLGGQQAVMAQP PFICI_02932 MAPQGLSTINLTSLPKRAEGKVRNLYDVDENTLLFVTTDRISAY DVVMNNAVPYKGAILTQITKHWFSVLTERVPGLKTHFITTDVPTSLTPEEAAEIKDRS MVVRKLEVVQIEAIVRGYVTGSAFKEYQKSQTVHGIKLPAGLRECDAIPDGPIYTPST KAPLGQHDENISPQQAKEYLIKQYPGGKGEKIADRIAELAVQIFKAGQEYAAERGIIL ADTKFEFALDPETGDIILADECLTPDSSRYWPKDKYEPGRDQESFDKQFLRNYLTENG LKGKPNVTVPEDILAATSERYQDVFERLVGKKLQDVIKA PFICI_02933 MPMLQDPSKKYKKFVPLQLPNRQWPSKTLDKPPRWLATDLRDGN QSLVDPMNGEEKWRFFKMLVDLGYKEIEVSFPSASQTDFDFTRRLIETPGVVPDDVAI QVLSPCREDFIRRTVDSLKGAKKAILHIYLATSECFRRIIFGFTEEESIDLAVKCTKY ARSITKDDPSQAGTEWSFEFSPETFSDTSPEFAIRICEAVKAAWEPTKENPIIFNLPA TVEMSTPNVYADQIEFFCTGITEREKVCVSLHPHNDRGCAVAAAELAQMAGADRVEGC LFGNGERTGNVDLVTLALNLYTQGIHPNVDFSDMGRVIDTVELCNKIPIHPRAPYGGS LVVCAFSGSHQDAIKKGFNVRKQKGGDAAGHWEVPYLPLDPQDIGRTYEAVIRVNSQS GKGGSAWIILRKLELDLPRGLQVAFSRVVQKRADELGRELLSDEITNLFEETYFLKDH PRFNIIDYSISVDRSASPAPPAEGKTQDTKNLGRVFEGVISIDGHEYKLRGRGNGPIS SLANALKGIGIDLDVADYKEHAIGEGRDVKAATYIECTASGTPQKVWGVGIHEDVVQS SLVALLSAASNFVLSRPGSPIASKTAPRRTLSRELDLDGGIQAPHATNGTNGTNGTPS EVVNGLEAKTNGL PFICI_02934 MGKANKSLKEFINNIPDSVLEALPTAPGKMYSDTNFRLDMQGMT TAGEHNLQVQVNNQTTISTLKKHAPKTVAGPVLVPKGNTTDAATIRASLLNELLI PFICI_02935 MSRGSQDGLAWEETLFDLVPRWTREPSIEAIERTCRQKLNIGSE DTCAVSMFASGAFNKLFMVTYADQSALMRVSLPVYPHIKTRSEAATLRWVRENTKVPV PQVLAFEDSNDNEIGFEWILMDLIPGSPAHKRWRTMSMKQKEDFTTKMVEFQADLFRL GRPESTFSGIGTLNFETKEQDSEGVSTSIIPGELVSHSFFMGDHLHYDVARGPFLSSY DWLSSELKIIILEQETVIEKAEDEDDKEDAEEILTSARKLLSLLPKIFSPTQPGAEAT VIYHDDLNLWNILVDSQGSITAVVDWECVSALPIWMATRMPKFLTDSSREEEPKRDEY MDETASDSAEREKSSDPNLLDNEGKDDLYWIHRMEWEVTQLRKVYSTKMRELWPDWPL EESNLKVDFYEAVLQCAAGIFLKQVDKWADGKESGQSLRLTDV PFICI_02936 MPDGRERTVRFRESDSSSRTSPRSRDSGLAQDYDVQRFNVGALQ EALDSCREEKGRYKSKANDLDAQLTAFRSTLREKELEIRSLREENATLRHQRDTYEIE VHDLRRRLAPLSDSGYATSMASSESSDGRSKSRSKRRQHQGQDNRLLERINTVVYPSE DYRTPRGPPVTTRGFGPINPNYTSSPSWSVVPRSTSYETTSQFSSGDYIPEPLLPKPK VESKSSSSRKHQSRVSTLLPIYEPILRQIPQPIPQRFQEAINRKDLNSIAGLLRESFD EVASGAFAWIDELRQLGLSLDVIAQELVEESSDSPWIFSDFDIPSSPSFKSDFHVENC LCADRHSTTRDEKALLPTLEANESLFLENHISEVAVEDIIDILCGLGGVRPSVSDDRT LDLGHVEFDAEISRGTVLYHTQGLEETLTRVLDHLSVAAGSLQQVGGCCNKFTYLSIS SSATEVHLHSLDLQVIRDLRSQIATPNPEDISRLLPGFSFVNGGNDEIPAPLLLSIVV QFLSTALLSYSRAHCGPISPAFLDRGVDQIMLLGAAERTNDYDGPCILGSLVNLACFG DMLGQPAFAFRYYSRISEAKSTITSKEKHNLIASPCDLLDTWGPGQLISASDDHEVIH AIYVGGGCITATGQDASRALLHWSREPRLEELPQITFSRSQKAVIGAWVTVNASCEAR QQDPLRSASVFLHELGTYRDYWEKAERQLGFGLQAGQSPIAALQLNQTWVKMRGLTKK SRMLARLYKSDFEELYSVQISVCTGVARRVSLRKMLADLLSTYVAALISEPPLWSSLV EDFDIITALESKDLGQWAAALPHDHQKTFECLVIAVLTSMRDTGIDRKGENLVIGCIQ PGIAFQCFQVPCTKENYWARMLADSDEVATFAYITMDCLETSHLKCRAPSSLWANSTG LFWTAVACCEQQSASSVASPVQGRWELRHSETYIMGAVDTPLLVKVDRPNQAAEPRLL VSVSLIQSERLKRLFWGTKIGHKRRLRERRVLDPVAEEVFVLVGKRDVSTLTS PFICI_02937 MSDPTIYTVAWICAIGTELVAAKQFLDQTHDDADQLPNHDDNTY VLGNIARHNIVIAALPQGQYGLVSAAIVARDMVRSFPNLRFALMVGIGGGAPSKRHDI RLGDIVVSSPGSGMGGVLQYDFGKTIQDESLQTTGHLNQSPQCLLRAIPFLEADYESD GNGIDEKIVHVLEKKPLLRKKYGKPDSSTDRLFNSTYKHAGDNDQECTATCVDTELIV RSTRSEEQDGPNIHFGLIASANQLMKDAHIRDQLSSEKDVLCFEMEAAGLMNQFSCLV VRGICDYSDTHKNKAWQGYAAMAAAAYAKDLLRKVAPNRIEAERRLADVLSGVDSKLE DINSQMKATHTEIESIQKDKHSNDIFQWIDPPDVSSNLNKAIKARHPGSGLSLLESKA YTTWKRQKNSFLWLHGIPGCGKTVLASTIIEDLQREQCQNPSQALLYFYFDFTDSRKQ SFENALRSFVCQMHHQSEKGQKHLNSLYSQVCRNGSVQPSSDALQKTLAGMIGDTKEV WIVLDALDECTFRYETLSWLRSFTQGSSMQAIVHLLVTSRPEHDIKADIQGYASDEEM ISIQDDLLEVDIRNYVKARVREHEGLSRWRGHKGIQDKIEASLIERADGMFRWVALQL DALEGCFERKALLKTLQNLPETLDETYERILANIPSAHMHYTRRILQFLTYSDRPLRL EEAVDAIAVDVEEGVIRGHRFDPEDRLPVPEEITRYCSGLVVLVSRPAIYVGAPIVKE IQLAHFSVQEYLTSTRIPSEISGYLQKTLASSSIAKVCLAYLSELQQGKAEYEISEDF PLARYSAQYWAKHAVLGERDNECISKLATELLLDHPQTRDWCLFYNRTSSWRKPQEGT PLVPALYYASLLGFPRCFKILLGEGANPNAQGGYYGNALQAASKKGHKAVVKILLDQG KVVQGQGGSHTDEGTSDIWSEEMVQKLLNKEAAEDKQAGVYGIALMAAFCEGHQEAKT ILAKLVASQLFKKTRSKKIAMWYCVSISQS PFICI_02938 MLGHSWGEMLAVEWIAASAYAANLRRLVISNSLASIDVCRVGIT ALRKDLPEDVQAVLDHADQTEEFETPEYESAIEVFCKRHLSLTKPWPSPEVQAALDWF AKDATTYGTMDEPSELYISGSLRNWTSLHLLDRVNVPTLLINGTADQAKDVAMQHFFD KIKKVKWITLDNAAHFSHVDQRAKYMQHLGDFLAA PFICI_02939 MAPQATNSAPIVVIGAGVIGLTTALSLCRAGYTNVKVIAKHMPA DFETEYTSKWAGADWVPFSSRGTREMRWDLESWNELSKLARTTPGAGVHFQGKTKYYR NKDLQATELDLWFKDVVHDYKLLAKNEVPAWADWATFYRTLTIDPSIYLHWLQSTCIE LGVQFKRASLAHIREAFSMVSPEPALVVNCTSLQASKLGGVEDKWLKPMLGQLVIVEN DVDGIYGLSGDDDMDASLGECCYVIPRPGGGGTAIGGCSYASSSKDPDMRLAERMMKR AVSIAPGLVPKGAGIEALRVIRHQVGWRPHRENGPRVEQETISDHQFGQLHVVHAYGL GGFGFQSSYGVAAEVVDLVGSCLSAREDGSSRQI PFICI_02940 MSILRRRFAAFDDFTPTPVLAIRETAMATATESAASATNTIDPY THSLNGVSQTVNMLFKEMLWWSLGILCLIVLTIRLAEIAWSKLRQVSAMSVPGENQVY WKHSQWSWMPALKKHLIYAPLWKKRHNREIKLSNAISIGTLPSRLHFIILGGYLTSNL IYMFFLKWWTVNKYALCAEIRGRSGTLAAVNMVPLIILAGRNNPLIGILKISFDTYNL LHRWMGRVVVIETVIHTIAWLIVQLADGGWDSVNLRLITESFIASGFCGTIALVLLLV LSLGPVRHAFYETFLNVHIILAGIIFACTWVHCVTANVGTLPQLPWVIAIFLLWFADR AARMFRQVYNNWSSRGFTEASVSAMPSDACRVTLHLPRHLDVLPGSHAYLRFKDVHPW ESHPFSIAWVEHFPCLDGKDGQEKVTERDIRRGTTSVSFIIQAQTGFTRSLYNKAVAS GEQTINLRASMEGPYGGHHQIDSYGHAVLFAGASGITHQLSYLKPIIEGFNAGTIATR KVTLIWIIRDYDCLEWIRPWVDEILRMPRRKEILKIKLFITRPKNPKEIQSASATVQM HPGRPNTMTVLKKEMEEQVGATYVSVCGPGALADDVREAVRSVQDDGTVVDFVEESFT W PFICI_02941 MLQNRQAAYTPGSPRSEAELAINIKKATSNDETAPKRKHVRSCI VYTWDHKSSQSFWAGMKVQPILADEVQTFKALITVHKVLQEGHPVTLREAMANRGWID SLNRGMHGEGVRGYGPLIREYVYFLLAKLSFHQQHPEFNGTFEYEEYVSLKVINDPNE GYEAITDLMALQDKIEQFQKLIFSHFRNVGNNECRISALVPLVQESYGIYKFITSMLR AMHSTTGDVEALEPLRERYNAQHYRLVKFYYECSNLRYLTSLITIPKLPQEPPNLLAE DEDSSKLPARPKQEIERVSTPLPAPKTEEPDEIAEFWKGELDRQNREYEEQQRVLQER QQQALLAQQQAQMQAQRDFEEQQRQLAEQQRREQEALLAQQAQWQTQGRLAELEQENL NARAQYERDQLMLQQYDQRVKALEGELAQIQGSFGQQLTSRDDQIRSLQEQVNTWRTK YEALAKLYSQLRHEHLDLLQKFKTVQLKAASAQEAIDRREKLEREIKTKNLELADMIR ERDRALHDKDRLSGSNKDEVEKLKRELRMAMDRADNLERSKGNELSVMLSKYNREMSD LEEALRAKSRALEEAHAKLANGSSDLEALLREKEEELEVYKAGMDETLLKLNELELNQ GGNDDTTDEMLKHLIDANLDKIKEIIDSVLQAGVARVDDALYELDSSMQAGNQNASPA FVLSQIEKASASAMEFATQYNNFIADGPNAAYSDLIKAINVFAGSAADVCSNTKGILR LAPEEKRGDALMNGARQAAQSAVKFFRGLQSFRLEGMDDMQKLDVVINSNNDVQMDLQ KLNKLVEQFAPVARLANSKGDIGDLVDAELSKAADAIAAAAERLNKLKNKPRDGYTTY ELKVNDSILDAALAITNAITRLIKAATVTQSEIVQAGRGSSSRTAFYKKNNRWTEGLI SAAKAVASSTNTLIETADAVISGRGTPEQLIVASNDVAASTAQLVAASRVKAGFMSKS QENLEQASKAVGAACRALVRQVQALIKERSSEEDQEDYAKLDSHTFKVREMEQQVQIL QLENALNAARHRLGEMRKISYQED PFICI_02942 MRATPALYHKGFWGRSLDEFKRLSKIALTSEGIKGPLGPKPLHS FAEPDSIGDTKLMCDVDIGGFSRASLDWIPASPADGSPAHARFHGSISTALPPNKPDI QRSGFAAWRTRDQPPNLFGRAVWDIDMYTYLAMRVKSDGRSYFVNVQTESVVPSDLHQ HRLFTKRPGEWETVLIKWNDFVRTNHGFVVEPQTEILRQKVKSLGIGLTDRVPGPFEL CIERMWATNNIREADGHEEHLTEEALSKEAARQGGLKSKSGKSVKWSD PFICI_02943 MSRFLTPAKIGLLALIELYVEKAVPISGMVDVLSFVTSHLIDAD TTRPANTSSRWAKAESTVRLVISIADFEKVLSPHAAASGIPGRSLWYVFLDKLWKINS LDALHDFFERRGELLAKTKEEQRRDAEMEIEPPSPETIVLSRNSPFGAFVRRTQLEFS RLRFEHAYQLWKDFVKYRQTTAAYYRKKTPSFQRLSFDNVLLEGEHTWGPGLEAIANV AYGDMLRDDPSSTLPVSTDDIEKLLEFQIEQMQKYGNRIPLEIRHQFHDLLNDSYMIP SLSHYLSYLDAWRAGDYSTSFDCLHRYFDYTMNHRDRLFYQYALMNLAVLQSDFGCYK EAIAAMLETVSTARENRDMTCLNFALNWLFHFSRAHPEVTRELDSNNMLGDGKESLAF LRAKAKETGMWTLWSSALVSEAKMNLANGDSIAVALEHMVRSSQILVERNMKSMMGSQ MSLNIALWDRLGVSYLSTTTCEVFLRCHARHSVFDDELKVTSRIASMLAFRGRYDEAL ERLESLDPNSLRSWKPSQYWHKFRGIIKLRQDLHRNNLDGAEHLLSQLLQNKQEDLEP DLALVIESLHIECLTRRGDLRAAFEKVERLISELRDENSDIALRVRLLLIKADLLDRC GRPQKGFTIAVRAANVAWRARLIPFLWPAIGAISNILVSLGDFEPAIQLLTAILPRSL ECEISAMTAKLYSVLGDANMGMAGKMAPKSNKRREYMTKAVEAIQKAFDHYSSVQDIT KQCEMMAKKATIMRVAGEHVLANDYAAAYLALRRDAAQTKI PFICI_02944 MASTNVASMEVSKEDIAMELACSFVKKYNINAEAMLKLADHLDE KEVKSQVPIVSFPKLVEMLLDVNREVPGWLTVSDVRLNHYTSLQFRIIIKVVEARYWL GETEQVLEHLLTWRIVFALPRNVHRQMMSKKDFQTIGSWKVFEYHHYSFGKAMLPYFY EDMPDSYKSLRETYFPDGIDDAMPAFRKHELQARAAIIKLEKRIKLLRDAKAITDDCS LQTKSHRMMFETTKAIMKTTYMADRWEQFTKTQKEYYQLPGVDVGLPYGVNLHTDYET NRAFVIENPQQRFEPFWWTVDALYGPIRWRPGSPWIDFLRNIRQDHPAFRTMRVSDLK PKEKPDEEDAGANDDSSDADLPPVEVRIPDSVASSFMYYASMYQFYKAQYDVNDPNGV TRPITYTDKQAERVAKRLSDGTGEPWWKVCKFEDPDEEMIDMAIPDIHQMTGRPRFDA LGNLRFDYMGAVLRELKTGSPVSYYTLEQNMVTHRRNCDDDTPYGEDLQ PFICI_02945 MSSLVPANGQQAYIGLGYLSPELCWEHLQVQLSPFTQVIAIDAR DYDAWTQDTRNILVQMFMHHARTHDARYVRDAAGGRVFLGAVEHLTTEHVVVLNIPGS DLPVMLPRASIWAGPNSTNNAQNQYSFTPSPPQNHQQQVHQQMVNQFGTPPSYSMPAM MPMGTGMQMNVPISTAPISTPAAPKTPEQQEETPTKAPSSRKRKSTTKTPGSRKRNTS NVRIKKSDSDDGEDKNNSSPSGNKVKVNLVQVPELNTALAKGEEGQAAKD PFICI_02946 MCKDMKIQRPELKNNEISKVLGDMWNAMSEDEKGIWRARQEKAR EEHEKKYPDYRYNPLQAAEKEERERKKQEERDLKKKQKEEQKTAKKNKTSAATTTTPT SAPANNVSGFHGSPTGQTTTSEFQHPYHTGSDMTAPIAENDDFSVGHSDPFTSPLSYG QANPVTSANATSSPGQAQYQNNAVDSHFQSNDTTANSIPYNNDDAQMPEMGTEFNFDF GDLDLSNFDLDHLNFAANLANQIRNESSDNSVMASSATPNNSVTANNEISYPEIVTTE TINIEPPILHHETAVQETVDPNEGPVFNYNVEDALLAALGEGANYLQLGFESPLDNHN AAVGPLFSDAVAAAPTTVGGATSMPPPVDSHATETLQPVLRDETFVADDIPTTFAELL ENPGPSYQDYFKM PFICI_02947 MAPTMRAHTQTRRGNRAGYQEHDDFEGLPVRQWRQEWVNIAPPP PVETTQKNDIWAIELPHGMPKDSHLLPTHTQELLRAARSGRLYKRPAPAEEEEADGDA APEKSDKKEEDLSTKGYMVKVWKQVPRNAEGPTISHLAKRRKGTVTLSAALPVGNPSG PTVTKATVRRIDAAGNPYNQEVILAAGQAVDGEIISTTVVQAPTASANTESAGATPVR RRPPPPKRKAKGPGRGRKKKLPLPGPSIPQVGAPGVVAADGGVKPEGVANDVKQEGGD DNKPQDTEMAEGDDDEDGDDDGDDGDEGDEGDDDDGEGLESEKGTPARKEGSTDHELK HSPSVDPPVISAPLVNPDAMDISTDEPVGAPPQAPAIAAVAPIQPPSLISPIYEGSPL KNVLVPSPTESVPLNLAPVSAAPVVEAATATWPPPPTPAVAAPVPEISELQTSSSLDP LPAPVESVEPIIAQVVESTSQETPAPEPQDHLDTEMTDISQSQPEVIADTKVVTETHT SDGQVVVEEVVDTTNISPNEEIPPEVPIAAEEATSTSEAVPAQSEPETVEAAPPFITT PVIREASSVEKESTPLVAAPEPSDSIMGDAPPVIVQESDQVEQPEQSEQAEQVDEAMD VVQPEQPEQPQQSRPSEQAEQPGQTEQTELPEQSEQTELPEQSEQTELPEQSEQPERP EQPEQLEQPEELEQPQKVTPPAETLPTSVAQITEVTDIASAPSIEIPIAPTEEIVATT LPALNPLQTEATDKAPLDQEREPESPDLLGGLEAVLDRHGETGNEQQENTSQVSAPVV DAPPEQPTAEIVESMASAPVAAEPVASEAIITEPIAIEPAAPEPKLEDKVDEPPQESL TVAEENIEEKIEAEGQAATEEVEVLSETRPEELPEANLQAEDKPEENVEKKPEGELDE TAA PFICI_02948 MGEIWDDILSSNKEQEALAKAVEADKDRCITEHKLRHPHLCPEC WPRVINRMRDRYLNSSTKEWFSGRRVFLQELDTMFAQAREKKATLDAIEQRIQAEKVD WVRDKLKSLGLASATDRPDTIKALLNGQEKPVPQLISELRTVFTNDKMDSEKLFEDFM ARVSAATSPEAKIEIYVETLFQTKHDPEGAAKSQKYIDLVRNGTSVSEVITLMARDRQ SQGDMKEQQQFYEKRKVELTRAKVANDAAKAKKAKVKQDKLKAAAAAAQEYDLPPCAK CEGVLDTQSLEFCPLCVTLNELYEIPECSSTYFCSDECWQSGILPHRAKAGHACAGGE GFRSAEDSEADMHAAGFCKECIHDHKVETYFCSLRCFDDNFQAHREIVHFPKRDTDGE IYEDEKDLAYTSSDRLHYRAKRIEDHWIPLDDAVREWANKLGARYNEQVPSTSKD PFICI_02949 MPPQTQRRRRRDSDDEEEVEPRRRHSRRQDSEDEDDEELDEDGD VDMASASDEVGLVKKLVRYALACEYARIPIRRDGIRDKVLGNNPRAFKKVFDGAQLNL RQVFGMEMAELPVKEKRTLREKQKQSATQRQAAAQGTASSKTYILVSTLPSAYKTASI ITPSRVPSSSEEAEYTGFYTFVIALIALNGGELSNVKFFDYLGRMNADRNLPFDKTEN VLVKLVRQGYLEKAVEKTEGDEDTITWHVGTRGKVEVPPESVAAFVKEVWKTDIPRDL NKRINKSLGLQGGAALPEDQEGENGAEGE PFICI_02950 MITRGLSSGAICLGCRLRLLGQSVRPNLSQSISQQGRSSSLYQN QRRRWLASDAPARPDERDEQGNKSGQNDWSLLEQASRDQANEEQAYRDFLEQEDEFPD VRERRPGRRGSRSLPRQARRDSDQNSSPMMRHLDLRKKVLSGRKILTETSASLGSDML GKPAYAIVMKDTGKYRAKKRPVGLKKSAQKDEMKDIEALLDNRRIPATEAEVRQNIDE LRPPNSELILRQKNFLKLQTNLEEGFLKEQLQQYILSFQSDPSVEPTTTSSVATQKSE ETKLKDQYPWISNAVPWMPVDPYGGVAVLHDHSSRLLHAYLPPDAGPKTRAAVRIMRE CWGLQMEEVANGLGEARIRLQTKHFIPLMRSSQKLLRSITETYLEEGEALETLVPTNE IRIRAPRYKYETLLFEIDALIGKLQSHTFPISHVTSDPTTVTEELLELVGKVTNTHVR LTDTRNRLQVTWIQLSTQYKPEVETLSHVVFRFLRNALYPDSATRTLHTTNAEIALAA GGTRLIADHSHKEKWAWKDRLSSWARLASPISAPNPEIKRMETTSTEPVLPNFSIPIE QFDYTRSRSLEVTAETLNLSSSESPTAEGVAIQESSRFPYQPVRWSDQPKTTTVGKFG HALTMYDKKADSTGTFSNQPGLGDLAARPYNFSPVVPHPLTMADLSLSPANENDFIPT ASTIVVRFFHNHESAFRALPLVAPPLELRLSLSEPSDTRKDPEITGVHSLRVVSSTHH DDVLFPASPVDLRITQTRSVSLQGSPGALQDWQPIADFLARSHLDFGAGKLEMAKQQR FQIPLRLFHKAAASNTNTNNKEHGQRQEEEASPESLRSTLYEFAGLELHRSVSVPYPE DERFKLVYTSIEAGQGGGRRAELSLEPASRAHLPLSTEAGEYHDDFLRACSRFAQTAK NWSGYIADSRVKVSKS PFICI_02951 MGKEKAHINIVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETNEYNVTVIDAPGHRDFIKNM ITGTSQADCAILIIAAGTGEFEAGISKDGQTREHALLAFTLGVKQLIVAINKMDTAKW SEARYKEIIKETSNFIKKVGYNPKEVPFVPISGFNGDNMLEETTNASWYKGWEKEVKG VKKEGKTLFQAIDAINPPSRPTDKPLRLPLQDVYKIGGIGTVPVGRIETGTIKPGMVV TFAPSNVTTEVKSVEMHHQQLPEGFPGDNVGFNVKNVSVKEIRRGNVAGDSKNDPPQG AASFNAQVIVLNHPGQIGAGYAPVLDCHTAHIACKFSELLEKIDRRTGKSVESSPKFV KSGDAAIVKMIPSKPMCVEAFTDYPPLGRFAVRDMRQTVAVGVIKSVEKTTGGTGKVT KSAAKAGKK PFICI_02952 MSYGKRKLSDADFHEGRRSQQPIFAPGANDGAPGPSQAAPINPG AANIYDQIQSFNSYRQQASASDNSGPIRRSEFIRDFESHTPTEQEDDGAEEGEPQSQA GHPTMVHQPRRPKENHVPALEQQAVRFDYKNLEPVEKYPSPVYRDPYGELFTCQITNR AIKYTLESDDEILSTVLIQGEQLREVRQDRPCPMPIHRYPVQIWKRVGCFGFVQSKLM YLLGSELIIGRTCSTGFTRWVFVGTTEELPQEAKRLPAVFGPLTHTPSVPDSKLEESA AALVEYLNEDSSVDDPVWLKLPYYDGFGNLESYLLYTSLT PFICI_02953 MDMTMDSPEHASPSVDSPGSPHTTTTTPVASAHNGQKAASNTGP AAPIKRRAPIACRRCRRMRSKCLHDKNPPCTSCLEAGVPDECYFPSRGDPDEDRQFRH PRQRADRRPKSEVARVKRESIVSPSAHDALNHTKVPKWENEWALLPDVDIIKEGVYAF TTHYFQLGFIHKERFPQQIEQNPSSVSVFLLLSILSISARFNRKIQARYGDSHKAVDW FMKSAERLAMNELYQQPTLERCQAFLLLSIAQQGCGMSNSSYINMGVATRMAALMRLH REETYERITTSSLPEEIIRAESARRTFWVLHSQDNLHSGPYKPFSLASSDITALLPCD EEEFKAAVVPQSRAALEGTPPAMQNPQLTTLRPRSLFATLIQTHHLWGIIARRAVSNE KSSRPGNDNSEYQRMASSLRRFEDNLFGDHRFGIKSLKGHRQDNEDLAFLGCTTGLRL CNIVLRKTYMDEIIHATRSNPRDQTVRMYRQMGEELVENVRMLYEQVDAQYVEGRPSE ERVGHQIATFSVYSCGLLAAYMHKFPQLDPRRHPDEVRMEGKKIYERMITLLEEAQTI WSLASSWLAGFRKWFDDPNTNRISFESGTMTDGQDPQPHALLHPPTSTSAWQNKMTPL YRSRPNPPQSMDRRHAPMAVPEPATLPPLQQASISSSQADSLSLPPISPYSHPQQPPP PYHLSQQSISPANQHEGFYALYQAAHHQPQMPDAYSNTYMTDYQAIPIPDDGFGFNLQ QMLDPSWSAPNAPAALYNYTPSEMEQYSHNADGSLAWGYKSTKD PFICI_02954 MMWSSSVDPVRGLVAAALCASVLTLLYTRLFRKRYPYPLPPGPP GKFLVGNLGQLSVDHPEEDYIRWGKEYNSDVIYTNVLGQHMISLNSVKAANDLLDRRG ANYCDRPRFTLFEVMGWGLTLTFLRWGPQFKLHRRLFQNTFTQSNVKIFRPIQLHECR KAVKLLIKDPEDWEKITLLLTTSIIFRIAFGQEITDKESPYCAMSEAANDATTNGGIA GATLVDIFPLARFIPNWLNPSSSLRHARASRPAIQTIHDKPWEANMKDIEAGTAGPSF MQTHWDKYLENAKTGKPQEMTIEDIKGATGAVFIAGGNSTWGTILSNMLFLTKYPEIQ KKVQKEIDALLLNAEDGKPRLPTFEDREALKALDNFMMETLRCLPLNPLVIPHKSLQD DVYEGMFIPAGTTVFANATAITKNPDTYAEPSQFDPDRYDRGEPHPSGNFGFGRRKCP GNWLALASVYMFLATFLTIFELEQVIGEDGKPIVPEPGVSIGLGGHPTPFKCKVKVRN PEMARLIMKEDH PFICI_02955 MSSTTIANAPFFSFIHISAWTQHQSSSPKPQRPFGHLRSFSSFS QASNSSTREQSPARSATSPRTTGMSSPSREPSSLEVPQQPRRKHSNQSLADDEEFTTS PDPRKNSTGGSETPVHHPDLDNEVAALSTKLINAINHQTSLDDSLASTRQELEEAREK NRELEATIALQNEKLSGDVWIKKSTMEREKAQLLLRLAEERRAKAEVDREKKKIEQEL ENLTQALFEEANKMVISAKEEAQREQDAVQRKNDLLKAQLADTEGLLRSQQEQLVELK LVMEQMTVERDDHSGTTPSSPGFSKFDSRDDDRSDITLQSAITEPCSPTFPTSLTHLL QPVLRTDLGAYEDFLALIKTSKSRPMSRLSNSSYGGLNPLLSLASSGSAPSNASTASL ATAITSSTSSQTPSTPASSLMSAASVSTPLPPLKETKFFKRTLAEDVEPTLRLDTAPG LSWLARRSVLTAIIEGTMVVEPVPTNTSFTAITKPQFYPCSLCGESRRDAPHLRLHRF KTSEADSAQRYPLCTYCLNRVRSTCDFLGFLRIVKDGHWRADDVDSERAAWEESVRLR EQMFWSRIGGGVIPAVQAHGLSPGSICEKSPRTSHEAPSVIVESVREATPEPSLEAAS DDTETTTKPEALQEESASQPQQPETDVSDGPADSVLEDAQVEATGESEPVQQQSTETL VVEKPEADVDDIKRLSITIPGAFAT PFICI_02956 MLSKLIIAALVQAIMAKPITPVTQTTTMRGQRTVTHTRPTITYA TPDESIIFEDFPGATATFSSSSEPEFTLDPRAAKFAGSISVDTIDVSITHHSKTLELP TTTSDLDIPLETVLEKRVQNVVQAHKGHSTSANATWV PFICI_02957 MSLLRSPALTNALGMANLTALGAVTLYFKSHHEYNLQEHEARMD ELEGTLRGHIGLIEDSLERLEGGAEATVPRGSKSERAEKLYTSRGKDEKK PFICI_02958 MRVTSWTIAASWLGGLAAALAIPDTHVVHEQRTSEMEIGLRKRS RPDGKLQLPMRIGLKQSNLDQAPQWLMDVSHPKSEKYGKHWTSEDVIEAFKPSDSTVE AVKQWLVENGISEGRIKHTDNKLWLAFEASLEEAENLLHAEYMIHENKKGRLVTDTES YAIPKHLTKHIDYVTPGVKGVDITSRLRRSEDIIARDFEHAIRRSRNRLRPFAALDES TVSLMDTDAAATCATAISPACLRALYEFDALNSTSPVSSNNSMGIFEEGDYYAQADLN SFYTKYASYIPAGWGPALDGIDGGTAPVAVSKAGGESNLDFELAIPIIYPQTTIDYQT DDAFYAAGGGNATGIFNTFFDALDGSYCTYCSDGECGNDPDLDPTYPDSHANGYKGEL QCGVYTPTNVISISYGEQESDLPAYYQQRQCNEFLKLGLQGVSIFVASGDTGVAGYAG STGAAANGCLKSGKVFSPTQPNSCPWLTNVGSTYIPTGNTVNDPEVATKSFGSGGGFS NIFPVPDYQAAAVQTYYDTANPTYAYYTNGSYETSSGVYNRDGRGIPDVAANGDNIAV YVQGRLGTEGGTSASSPIFGALITRINEERLAAGKSPVGFVNPTLYENPDVLNDIVTG NNPGCGTKGFSCAKGWDPVTGLGTPNYPKMLELFMSLP PFICI_02959 MNFQGLGHDYVRKNRSDYTYRTYSSNATAPTSASQITVIHAPLK QRSEESAPPSPPTVVPYLPSPPSTPEPDSPVPTRRPQRTRPRARARPQPQQRRRAPTY HVAREPVVDPRPIVRFHPNQVTMTASPPPTTDWVVSDFRDELVRNVETSVTPGVDSTP YIIHALETMTQPRDDGRIMSAARSSSTSQATNPILRYLPSSIPGLFQPKAAYIPVSTA DPDPNAPRNILTPEEELAALRRHRKRTNSEDPQANQPMLPLGRRPSWLRPLSSGTIRV PYSVSSNEDDGHPWGPEDVIKFVDRMDELEQTPPPRRSPRDIDNWQSQSDVFTDDPEM ASMFPRLDYKPWTLRLPCLFILAGLCVLMVAALIFCAIFSDRQQGLMAYSGTIYDGGY FLFRIFPQFLAALILLYAQIVITAAFRVLPFSALAAEDVRSRRNVGFLPLYPKTFLWP QYVSTWRVRIPIIMTWLLNFTIPLQSCLFTVVYIEEQWIWCTVQGVAWVLVALYVFML LATLLLAGFWHHRRTGLGPKWDMRTLADIIALLAPSNSAQQFSGTENAVRRNDMRHML FSNIERLGYWRSPEAPELNLWYGIGSPAHDEKVNFESLGDYVHEKGPRGAPPNVMPSA GGIRNRYLPWCFRDTQVSFWAVATGILLITLFVICFNPKTDIRSGFVPLISAAPIPGA FSAANFLYSFVPALLGMIIFLVFQSLDLTLRILTPWGELSRVEGSLARNSILLDYAAC LPLESTWKALKHGHWKVAFISLFATFSFLIPVLAGGMFMALTAPDHIVRMYPNVPVLA IILTLLTLMLLALVALIFNRDQFRLPHAVTCLNEIISFCYAEDLRADPAFQWAQSHRL LKMKLGAYMDNAEQSRWFFSAGYGKKGALGIRRYGRYTGDTPYVQAAKRRAREKREMA GRILRRAAEKDLAIDRQRQYEISRPVPKGNSTMISP PFICI_02960 MSMADHRLADLDVMQYNNVDGARTLRDRPVSRIQLGKNFSYPRP DGHAIRHEQSDSRSSVTSSGSLPGMTDSSDSEASAEDDYHYNTSASELWDSFWPAGTN KSHHRHKHQNSSISQSQTPDRFSLDYYKTTIVEGPDDDSVTITQASQEQPDTNTSQWP LSKPPLPRPNLKPARNTTSYSVYPKSTPLPTRITQLPPRISSMTPEPPSRLLKGSKSI SHLKHRVAPPSLVLTPSTTPNTIISSQADVAAADSPARLRPSVSSYNIREKSEYHATT SPFPVPVPPIPDQLRSAPPQIERFVSVFDFDSDAESTSENETLAKRIARGLQQKKSLK DIGSKKADNHTKGHKKSASEKSSLSPEKKTAAAMLASGGSLGRKRGGSLGRMLGLKSN K PFICI_02961 MVALNKLGSRNLPSHLHFPPLAQPPSFLFTTTTQQTWFLAKEPI SYDRHDRNDPSSTTEPQRQQRPSSLLQTPPPRVLTITPEHRSQTPSSQHRLRRTPRLP ATPSPEPARPEPRQPRPTPAADHPQPVVTLGDRNQNVQAHTQEKGKTVARPRLPPRQL SQDLSSEDNEEEDSPISLRQKNVLDLPDPESIFRISKRTHRAVLYTLEELLRGPFKLT PDIVEESASMADLLGGNISTSNGNVPSSSRMPAARAPAGSPSSGIRGPRMIMQERAAR EARQREERERLEREHAAEEARLVEEATQREAERREIERRAAAGAGAEARQPVPVGDAT HRRTARAGSRSGPDPSALYPDASRPAGNVAPQPSQRQTETLHGQPPAADEAAGALPQP QLQPGQTSEQLGAAGRGRNSFPSAFERWETLSAHWEGLLSFWLRKLKQNADEINNDPV SKHLARNVEDLSSAGANLFHAVVELQRLRASSERKFQRWFFDTRTEIERHQEVTAMLE AALEKERLSRADAIREALEHERGNSRTQKQISELRKELQISKEEARRAWDELGRREQE ERDRTASLQQGHPTIVGGVQVVPMTQGVSRHSSRRDQQAYGSGEPEYSQTSSSRPEYG EAPAVQPVVTSSPAPGPSYQPSATVHHQGSYGSEGTYSESEYTMDAHGNFVRDSRRDR MPFHAPALDSDSDAGIDEFETPGLPPATQPPSSTGAEPQYSQVPDYSSGGYNSPSWEA GARHHHPTRLSDVLEEEEERSRTSASQSQVSRG PFICI_02962 MSRSIAPSSGALRSLLQSQQTTRQQCRRFLSSTAATARRPTAPE ASLAQQQQCLRSRVVTIEQRRFKSRSVEEQKSRYRTGPFSWKAGLLFVATSGGLVWYF EHEKQRMQRKRVAEATKGVGRPKVGGEFELVDQDGKPWTSANMKGRYSLVYFGFSHCP DICPEELDKMARMFDLVEAESPGAVLPLFITCDPARDTPKVLKDYLAEFHPAFIGLTG TYDQIKDVCKAYRVYFSTPKNVAPGQDYLVDHSIYFYLMDPEGDFVEALGRQHSPEAA AKIILSHTKDWRDPTKR PFICI_02963 MVAVHADCYTLFEAECQTEDRLKRLWVAIRARKPWANALSLQIA PPKADSKVVSQVYHWATACGIPGLKLLPPEIIRMIADFSEGAAFFRCIAAVRLGRELK AAPQTLPLSMAVPLRNVLSWTRGEMPKISPSRSSSSSSSTNELSFTRLTYDRRGIRRI ENSSERETGQRRRRTGTEAYTVVKLIPGEEVLAAFEYGILRLKYSSHVYNFYIWDIPD HPDINCLARPLGQEARLRTIDLQKITGLTFFYNQVGRLYWIHGHTTTEVTAKNAFDKV HKVVKSSLCWTYVPIAKGDRITAIGLRLRSRGSTATDFSTSQPAFVFRTQLAGDFAIG PQHDHYVDHVASDLSPSLLIYKSMPSLEVSDVGAHPPSEPGGSSSVQFRPLWADKPAW DNPGSQYFSAAPLGGVTRMQIFQVEECYFWDSLIFSGILFDYENGAQRAVGECLVGVA PSTIYMNPKRICVHKAQDGEAIVNDTEASFNCCEIEAGSELEHDHGEEHGWFCYTLEG YMSWWHWADGHSNFSIAGATAIPRSHTVFVEGIEASGIDPSGNDD PFICI_02964 MAKLNRKAAHLICFCEASLAGQAAEAIGRGYDPAAVYDDLVASS PGTDVVDVGSDLHNSEVMNSFLNTADATDTGIVTEAALRSVYDAYAAVLARCLTERWM EPTIKLNSLLYTWEILNDRHHYLRRIVLGYAKVRNPEERIGQWEADFEEVFDEQYHTT GFSRPLVNACDGLDTCSGVKELIAKASREDAHTRQLLAELWS PFICI_02965 MMPYHQFIPPSDSAAEYVPPPDILGTLERTHEGNDVEIPGPVLQ AASLSDTRILRGLLKSGFPATNYIVGPLNSPWQSALVNAIFALRAENAKILLGHGANP NGFPDWCFLQASSRFIRGRPSDLTVTGGCHLPLRAEVLDHVKRSDDTSALASDQTADL TEAELKLRRIGRSRFWSEVDFPLTDYPTNNPSSSLSAATAVRDNLLYLHLIEHGADES AWKNNGTLGNQVAGVPSSWAVESPLWIVVRNKDHEFLQFLLKRGHKPDHFPSSLITRP MNAISYAIATNWRDGFDTMAPLADMSLATPVYQCHLVHFAIATLDLGMVKHMLSKYEG SEAIVLRSIPKTALGHGLLHLASLPLDDTFLNMHSLQCYTSIHDFRTLETAWRPLQLQ STAPVSSGRRARGGRGRSSNRPPQFSLAPPHDFEEQEEVIRYLFSMLPSEELQSQDIY GNTPLHYLASVRHTNGRLIDWLKDLPAGEAAWTTSNSWGFSAQNLLESGKASESDWSR IHMPFWKRG PFICI_02966 MSSPIANGAIVTFTNLGSRTCIDLAGGNSGNGVAISGWKCHGGS NQKWQLQQVGKTGPWPVYMIKNQYSGTYMDLYLGGTADGTPIYGWQGSNTAGSGNPHQ RWRFVTGDPQNGNVVLIENIGAGTYVDLYLGGVANGTGINGWSLAGDLSSGNPHQQWT VTVTQS PFICI_02967 MAARSLLGVLTSVTPAPELGRDIYTNQGPLIQQPVGEAAFGGSI LSQAISAAAATVQPLLHVVSSQSSFLRPVQASSHVHYHVERTSDGRTSATRVVRATQG GGGPCLYVAIISFQTHGLVTPANNEHNALKYAEPLPDLGGLHPHSIPKQDFPQLFQLS DESLQVLSKFGVSADDPFDWRLLPLEKSVCKSNSAQIHTYAFVRAEPRSTHSGISHLA AMAFLSDISLLELSLMANWESVHEDARALAMSTTLNSQITLHSPTARIDEWMVCESGI SWVKGGRVTNYQRFWNAANGEILMECTQDAIIKHGRAQI PFICI_02968 MASNKAFVFKKIPKGWPVAGEHITTEQAAYDANVATPADGIVVQ SLYSSFDPYMRGRMRDPAIKTYNNPYPINGVIDSRSIAKVLRSNNAQFPEGEIVIGRL PIQEYVAVEGEPLKTLKKLKNPLGIEDIRVFLGALGMPGLTAYSSLYEIGKPKKGETI FVSAASGAVGAIVGQLAKHEGLRVIGSVGSQEKLDFITKELGFDGGFNYKTEKPAEAL ARLAPDGIDIYYENVGGDHLAASLDAMNMFGRVVFSGFISEYNSDPYPLTNISKVLHK RLTMRGFIVSDANMGPLYDEEHQERVQKFIKEGSFKAITHETVGIDNAAEGFIGLLAG KNFGKAVLRF PFICI_02969 MSKLQLLLTDPFTVQIVIAKYLLPWTNTSLSTTLNDDPTSNNGQ TLAQSVAAGAPPPAQPPIETTGRGLLTLENAGALQMGFWVPNRVYAHVKFLAPLRTQV SKLYESKQLKDLHPVVADFKEWVTSHSVYRMWVRAMVEQANTFIATLDRVTKDQIKKD GDTLWISNYDEIFNIMNAIIQTSPAFNNTVQVGCPMSGFLAVGMGTQAGVALFHDATF NGQFQKVLNAWNAFLKSPKSLDKLDITNPEKPGSWISKEAFKAGVWDDIQYDPTQPGY GFDSWNSFFTRPFAPGVRSFDGNGTNVVNVGCETTPWAYVQSADQECNFWIKDGQYSL IDLFASKSDIASLFVGGPVYQGFLSAVHYHRWHCPIDGQLVLSWVEPGTYFAQRPNQG QNPGLWEGMGSQTYIPHVATRAIFIFKHPKCGHVAMVCIGMVEVSTCIIEKDWIVKPG DDPKPISRGTEIGHFEFGGSTHMLLFEKDKVTLEDWAKGPPSVDVVRMGSVIASALGS N PFICI_02970 MSNNNQSDDGNLTCIPSEICRLVAALLENCDIKNLRLVCRVLRD NFPLRIGRVFLSANPLNVQTFRSIAEHEVYRHGITEIVWDDAQLVAGLGQEEGEEMQQ DQGYPSDEENGHSAPRWFSLACRKNLGDERSRKGVEERPAWRARMQQLEAQLPVHESW AIYQDLLRQQQQVLADGSDIAALRYGLSRFPALRTITVTPAAHGYLFAPLYKTPMIRS FPYGFNYPIPHGWPMPRPEDLPYELRPWSVKAEREQWRGVSIILRELAQQPYHRVNEL LFNVHNVSTGVSSRILEQPCEEYENLAALLARPGFRSLDLSLLADGDEDGAWGTLRNG RLHKALAKATDLEYLHFRTETDFDQFDMPDQDFPRLRSLLPLEHWPKLQHLRLTKVLV DHDELLDVLISLPTSICTIELSHLRFMEEGGDRGYQELMRGLREDLDWRNLAPSQRPR LVVHADANGSRHFRYQDLDAEVNDFAYGQGDNPPGDMYASPSAGAIRSAFEPELEVFT LHY PFICI_02971 MDASFVASETSSAEPEDIEVDADASRIIIPQKAEPDIRINNEDS WSRFDALIDQKDPQSHEFVVRNHHVFSHDTYILPTLRPFLEKLIKTRSHPTKGFWSRI RDTTSLSFRKICTKWIGSRVSTTARKEPGVLQWPNDVPDGRYLLVSSNPEGDDEGYEY GAISIILINEEMGRLR PFICI_02972 MRLLQLGEGDELLLTRDLINHTLPYAILSHTWGQGDDDEVLFHD IINKTGKHKPGYQKILFCGRQARRDGLDYFWVDTCCIDKTSSAELSEAINSMYRWYQN AQRCYVYLSDVLPSSGPSEKDLSHWKPSFHQSRWFNRGWTLQELIAPRHVSFFSSDGG WLGDKQSLEQEIHKITSIPVPALQGVSLSTFDTEERISWSVHRTTTRAEDKAYSLLGI LGIHMPLIYGEGEESFDRLRAALSSQTFGKSQATQTTGLDSQADLRKLLLEDQSIYSH FSQRFLLENFDIAGPYQRRALSTGTQFHPEDNGIWTFSKADLVFIKTKNTTNGKVEVH RSTFDSRFQDKISIESCFNIEDNGTWLMQDYTGDGTPDLVYIKTKNTPDGNVEIHAAS GDTGYKQLHHRRTNMRTEDDGTWTMDSNGDLVYIKTRNTGSGKIEVHVLSKASNYQTF SVHQATTFNIENDGVWCIQRSPWLSNGSEQATGSAPSRYNDLYYVKTNNTGTGTVEVH MATGCSDWSQHVIHVGSNFLLEDDGFWLMAYITQQGADQQHPDLVYIKTRNTGSGSVE VHVNRY PFICI_02973 MSEATTPDPKANSPWSPEDVSTLLFTIMTQSNDDLLIKGWNDIG QKLQAIWGDKYSLAAAKFRFQKMRLAYLEKTKNNDVTSSDNAVDPAKATTKAVTPRKR TAKSKGADTEQGNQDEAGETPKKKRGRKPKTALPQETPEQCDADVAMDEA PFICI_02974 MFLSSLIAASAALVSTVAAYADPGACSGECWTHDPAVARRSDGT YFRFSTGSKIGIYSASSLSGPWTLQGSAIPSGSSIDLTGNDDLWAPDVLLVDDTYHLY YSVSSFGSQDSAIGYATSTTLEYGSWTDHGSTGVKSSAGKDYNAIDASVITAADGNSY MVFGSFWGDIYTTQLNSGATKNAGSATQISYTSEGTSAREGGFMYYRSGYYYLFWSEG QCCGYDSSKPASGGEYKIMVCRSSSPTSGFVDSSGTSCTSNGGTVVLESHGTVYGPGG QGIFDDPTYGAVLYYHYVDTTVGYADGDKKFGWNTISWSTGWPTV PFICI_02975 MQVIGGGIDFTLTPSIAVGHRYSTDSADGKPTNLTELYISGVSG RAEYRKSHDSDDNWTGDPNTWDLFTGLSFISSPTDVIYNPDLETKKTFLLSQGDDSGL SVYYYQWHPSDEWSNPIQIQKSDVQPWATPAVVAWAGNDTRLDVFVVSRANNHLLHIS KDSETDTWSDYEDLGGFGTTPPTAISRTLGTLDVLVRGGNGGLWHRAYSSGGIWSAWQ RISGTVKIQGQPHAISISDTTIDVFAWGTDGAMLHKRYSSVDQEWSPADGFNVLKEDG LAGPPKAMTDGTGDIYIFAYSTQGELLWMTLGSDAASKGDVVSLADVPDII PFICI_02976 MFNIARHPELFDPLREEIQRVLASSSFTKQSLQELKLMDSVIKE SQRLKPIVLAPFRRLCTTDIKLTNGWTIRKGEKIIVSSAHMQDSAYYDDPLEFDGYRF MRMRQTPGEENYSHLVSTSEKHIGFGHGQHACPGRFFAANEVKIALCHLLLKYDWKLP DGHDPKVIAAGMALISEPNGRLAVRRRVEEIDLDSLSEE PFICI_02977 MSLSISTMYQEYFEVYPLQRIVAYVIATLLPFVALWLAKAKSEV PILNPKRRFEATSSRVRQEFIADSIEIMKAGRALYPHEPYRAYTDFGNVLVLPPELLP ELKSHPNLDFLTPAQDDGHAYVPGFEPFGVDNKTPFVITKYLTKALTKLTRALSKEAT FALRESLTDSPAWHEINPARDILSLISRLSSRVFMGEDLCRNKDWIEASSKYTAMAFS SGDTLRQWSRPLRPIVHWFLSDCRETRRQLNVARSVLRPLLEQRQIQKREAEARGETP SVFNDSLEWFEKEYSKGYDPATS PFICI_02978 MASMDSKSPKTVQGHEQHLGINCLGTFLLTRLLTPTLVATAKTA PANSVRVIWVSSLAAEMYSEKHKAFEMENLDYHVDKPILYKYGVSKSGAGHTASSSPS DTRPTVSSQGHAFKLMNSLILYPVPNGAATQLFAAFSPEITLEQSGTWVIPFGRLATI RKDLLDATKTEEEGGNGGTHKFWEWSEEQVR PFICI_02979 MAKDLMTTEYEPIHPEGLALGLMVVTIIMTILSTFVVCLRFWVR KMSSSYFVDDWLMLAGWIINVAHNASVIVLSVSGIGSHDDIITVGMQYKMGLWTIIWQ FLYVLDGALIKSSIIMTMMRLANKKRYKYILWGLFALAWITWQISWPVAIFQCKPVAA AWGEPGDCTSGQSVILNVSYFVSATNIFTDMATALTPILLLRHVQLAPRVKLITMFIL SLGVFASIATTIRITYTWAYTTPTNRFYEIGKIVLLTVLECDLGIIAGSLPMLRRLFP SLASSAKSKSSQRTTDVNLVTIGGGRRARYKLENTLDGTKVGAHDHHFQDKELGDSDQ GSTDRIIHITREIEIEQTSVNGHHDSLERGDHSDNSVNGESPDHQFRTAVSNGRLRR PFICI_02980 MMETLPALPADITTEWLSSKLGHKVKSIENTSNIWGTASKLFYT ITYEDDGDSAERPTHICIKGVFDPKMIEAQPWTVSLAQRESEFFSDIAPQVKNMIFPT GWWAGKSEKQGIAIMNDLTKEDCSFAAEVASYPVEKVMNGVAQLAGLHAQYWGQSQEN LPWIWNNYDPAMKFMCTPWHSVVREPGRPQLPEYLMDGQRCNEALDRYYAERNPRFRT LLHGDTHIGNIYFTADGQIGFLDWSAFHFGSCFHDIVYFMTAMLSIEDRRAHEMEILD HYLETLHRLGGPKFDRHNDPEVMIEYRRSFMTNVIWLICPDGLQSKERVAALCERTVA TYNDHKVIDVILSQPKPAAAGAATA PFICI_02981 MAPIISEADLASIRETLSLFVSGVTGGSKIDEDALTVTNFEELR ARVVSELESTSLRDHMDFLNSKNQLNLACHVAADFAYGRGLDYQVLYAMVTIFFFHVE DVLEHNTDVLQNFLLRLARGECLGDPVLNWFARELGPLLRKHFEPLVANMITVACFDF LNGFGIETIMRDVPVSPQAPQFPEWLRFKTGLSPMYAPLTLARHSDVSLVNKTDGSLV KYVQAIPDVIIFTNVVNDVISFYKELAAGEKGNYIDQRADREGVSVVTALRINAEEGI AAYRRVLVILANEPEYRANFEAYARGITHFHTSSRRYRLRDLFGDLE PFICI_02982 MNHGDFGYGSTEVPRVGFHGPYIFSMSGADVRYPSDFDISLLDD LGLEGYVKSSARGVVTGTAYGTPANFQRVVHWYNSKYQSWAETQSDGSFKSPPLPADT YTQALYQGQLLAATTTVKVKAGATATASITASNPIITQSRTTVFQIGDYDGSPASFVN ADIQPQHHPSDKRMNWTSVPFTVGDNSKTFPMALF PFICI_02983 MLPPSLIYFVWFFGAVEAILNATESATQYILQNDDLYVAVNKSN GQVGKILLQGENLLGATGKGPYVDCSCVPSGFWGPGNPDTSSYRLITGSDASHTPYGG IVLNDNYGNQSIEQYWFLREGETGIHTFTRVYYYNGTGLQTLGELRTLFRPNTDLWTH LYANQDNWAPRPTSEGVPAQDATGYVGNLTDMAYVQQYANFFTKYAFADQWRNHKVHG MYADGSTSKGNVTYGAWLVHNTVETYYGGPLHSDIMVDGIVYNYIVSSHHGARTPNMT DGFDRTFGPQYHHFNKNGSLQELAADAAQYADPAWNADFYDELANHVPNYVVSSRRTT FEATISVPKTAREPIAVLAENHQDFQSNTIHGDSLQYWAEISSSGEIEIPRVKEGTYR LTIYADGVFGWFIQDDIRVSTSNKTVPHFTWSEEEAGTEIWRIGTPDKSAGEFKHGKQ PYKEKELQPDQFRMYWALWDFPSDFPDGVNYKVGESVPEEDFNYIHWSVISQPGNFFR SEPYYDNVNNWTIRFDLAAESLARVETATFTVQVAGAKTGSRNPEWVNLPYTLNVNGA DVETYIIPWNVSTSCGVRSAVSCHNFAHKFVFPTSILVQGENFFVLSLPFNASSVETA MLPWTTYIQYDALRLELE PFICI_02984 MVQPLNLFLVLSQVLAAYAIVLDIPHSPRAGRQIVDASYQAFSI EFFSFLDYSSNSTYSNPLNYNLVKNIREIGKRPINVRIGGSSQNHVWWAPDQEEGLRA WFWPDSTGYQKTYNVTIGPKFYDAFDAWPSDTEFTLGLPFSYYNYSYLESNIEIARRA HVKLGNRLTGLQVGNEMKAPAFRFLEPQTPSDYVPHWLNYVYNISNAVFGKQNERVWT IGAFQAPTWINCSDPLSIDCWSIRSILELGINAEDLGVAADVHEYMETSSSNYVDRQF LMNHNNTIYYPDSNAELAAYAKSKGLDYWLGETNSISGHGKINVSDTFAAALWNIDYT LYCAQTNISRLGFHQGIGWKYSAWNPIEMWVYPSGVMASYYAWLVVQTALAGAGKQVE LLISEKHLVAYAIYEVGRHRRLDTNLSSIVIVNLEDWDSTNDATSRPYVEFELPRNIS RKAQLSRLTAAGADVKAARAITFAGQLVNETGMIEGDRHVEKLDRSGKVKVLSSEAVL ISFAH PFICI_02985 MVVFSLYKCLSVFSLLSSLCTAQEVQATQNLERRATECIIAARG DGGDDAPAIRTAFSKCKSNGHIIFANATYYINTVLDTRGLSDVTIDIWGKLVWSKNTT YWLANSMDVGYQNMSTVWFLGGKNLNVQGHGTGTFDGNGQTWYDLVKGESNYPHRPMG LTIWQAESSRFSGLSFVQSQMWWRTYLFVQLRATATQLETRTELTEFLDNITLRGWYI YNSDDSVSLKANATNVLIEDSVFENGLGFALGSIGQYDGKYESIYNVYLRNITCLKTR YGAYIKTWTGEPVGVPPNGGGGGLGCKSCNAIPHNIYH PFICI_02986 MMKSFFSRAVLVLVTASSLAFTSARSTFNINPSWKLHVGDVSGA ESTSFDDSTWLDVTLPHAWNEDDAFLVSIANLSTGIAWYRKTFIPPSGGKYFLEFEGI RQAGELYLNGEFIGRSENGVMAFGFDITKLTVPNEENVLAARINNSYVYRDVTNNGKF QWNNIGFYANYGGINKNVYLHVTDQLYQTLPLYSNLNTTGVYVYASEFDILGKSATIT SSSQV PFICI_02987 MALRSNANLIRWMHVTPWRQDIESLDRLGLMMAMPAGDAEGDVD GHQWQERLDLMRDAIIYNKNSPSIFFYESGNHGITEQHMQDMKDIRDTYDPYGGRAAG SREMLNSSIAEYGGEMLYINKALDTPLWQMEYSRDEGIRKYWDNFTAPYHQDQSYALE WDRNQDSHAVENVVRWNEYYQQRPGRGLRCNAGGVNIIFSDSNTHYRGSQNYRTSGEV DAMRLPKDGYYAHQVMWDKWVDIERPTAHIIGHWNYNTSTVKDVYVVSTADRVELKLN GKSLGNGTQSDRFLFTFPQVQWASGEIAAYGYNATSGKSITSDRKSTTGQSASIRLFA NTSPLGFRASGTDVALIDVEVVDSAGIRVPTALDTITFSLSGEAEWRGGIAVGRSDNY ILSKTLPVENGVNRFSGLASASLVLQSTPFLSSNVLSLELPSNGLPSDLSRGPTPLGA SYTVSRKTLTVSSVIAGSSEDTAEETYDDDETTIWRSNSSTSTAWIRYQLAASATVNA VNIKFRSFKSTHAISVSVDDTVVWSGRSTAGLGYWTAEFNATEGSTVQINSLSGALEI TEAELYGPI PFICI_02988 MRMRMSIMSVRAAGMMAMLGLTPVPLGIMAHPLNSTTPSLNDWM TCNGKLYFGTAASPSHFDDTRWMEILKNHHHFGQVTPENSQKVRPVISLFRLKSLTAA PKWKSTEPERGVFNFTTADINMREAQKNNQLVRCHTLIWYNDLPDWLSTTTWDNATLI AVMENHIKEVVTHFRGQCRSWDVVNEALNDDGTYRQTLWYNTIGPAYISMAYDMVAKY DPDAKLYYNDYGIESINNKSLAAMELVRGLKDQGNRIDGVGLQAHYPVVLAPSYDDQV QVMQAFANLGVEVALTELDVFIEIPETQSQVDTQAQIYADSVRACVDVPACIGVTVWG FYDPYSWVNNDTVPGYGDPDLWWANYTTKPAYTAIGDILGGC PFICI_02989 MRGFFTCLSVLPALLNLAAGISIKRASKDPYFLLIGDSTVAVNG GWGDGFLSYLRNGAEGENRGKSGSTTASWKANGRWADLLTTVADEKDAKEPIVTIQFG HNDQKSLTLDEYRANLVNITTTLKEAGATPIIITPLTRRNFDGDEVQQDFVEWRGKAI AVAKEVGIKWLDLTQASTNYINAIGAENASYYDLSEGDGTHLNTAGQTVFGRMVADLL LEKRTDLSTFFTPNEALSDKISAGEFATGDE PFICI_02990 MASSNSLHLSSATFAKLSPHPFLLANLQPDDASSPSTRTNKRQP KQPRLPYINTSSLSHAHGSAIVRTGDTTVICGVRGETLLTSAIPNYRAPDDSSGANVD EAKDYDLLVPNIELATGCAPNFLPGVPPTTLAQTLSTRVYSLLHNSKLIDVSQLRIYS NPEQTDRDQDGRPGEAMDADETDEFASDERIVKAYWVLYIDVLFISFDGNPFDAAWAA ILAALRDTTLPLAHWDADREMVLCQQETSPLSLRGFPVATTAAIFTAKERQKKGGGKY WTLVDPDRLEESLCDETVTIVVDRSNGDTKFLGISKSGGTIVGPELIRDLATVAESRW EDFRKATK PFICI_02991 MATIAREFVAGATENLTRLTKRIHIPLTATSPAGLVEALTVDPW DKSGKYALGWTYFSLALMGCVLLVRIWHWWQDKIRQAIYKQEVERHYEDLYSMSPGFP HTGMQTGQTGRHFFPEEPEKKKKDFKPKTDFSSIGPVLDALALFRWVFYRPIPDIVWR RHRFTFSSLAVLAVGAVALAFVTLYTFLLQPLYWQSIQYGSPPVAIRAGMIAVAMTPW IVATSMKANILTLITGIGPERLNVLHRWAGYLCLFLALVHTIPFYIQPVWDDGGMATF SRLFPEGSGVIYGTGIACLVPLVWLCVASLPFIRRIAFEVFVTLHVPVGAVYLGVLFW HTKNYLASWDYLYASVAIWALCYIARFFNLNWTKPWRLAFMVGDEAAITLMTENAIKV TIPTQMKWKPGQYVYLRMPGISFFDNHPFTISSLCSEDFPSEYGEQFRDCVLVFKPYG GFTRRVLETAIQKGPFHTYRAYLDGPYGGMRRELAAFDTCILIAGGSGITSLMSQLLN LIKRMRDGKAITKKVVVIWALKRLEAMDWFREELRICRDSAPPESVTCKFFVTSAVRQ RPMGPGHHRAPGHLSHVFHDKLDGFVAGVASKRNSAWIRDEAQGNPDYEEELRAEDED RITALPAQQYLQPHYIPPQRPQPVNRESAQEESLARLEGRGDEELHQQDSISQVPSSD YPEEKPKRQFHFPPLQTRPEMKPHFNFAPPSPHRIETSDNQPAEGQPPQPAENLPGPP ELAHLRTTNLPGQNAPRPTSTFGPPSGFDFGFPETPTEFQKSLMRFAFPVPHQIDGGW SVEYGRPDLGYMLKEWATGGPDGRGILGRRTAVFVCGPPSMRVGVANTVARLQAEIWG DDLLEEIFLHTENYAL PFICI_02992 MWLISTVDLSLVFHYECPEMQYAILSHTWEEDEVSFQDFKDLAS ARLKKGFSKIYWTVYLARQRGLKWAWVDTCCIDKSSSAELSEAINSMYRWYQKSAICY AFLSDLPSNGEQRLEDFQKCRWWTRGWTLQELIAPGEVNFYDQNWGYYGKRTDLQEKI KSFTGISLIAYQGRLNDLSVAQRMSWVSRRTTARTEDMAYCLLGLFDVNMPMIYGEGD KAFIRLQEEICKNSSDPTIFAWTIQPSLRTYKESQKHYGLLASHPAEFAGCANIFHNF TTQWSDVNYNISIGGSREIIFDRLSLRIDEDYGLLVHIGLAESTEFGTFRIFVPVKKT IGHHVRSFPDRLIGIPEEGQPIPPGPEIDEPFLKISVIKDYEFSHARLLYISRSAVSC PKRLTYDDSVRIDELHEQALLIKVDPNMQISAAYPENFWIPDKRAFLGT PFICI_02993 MSASYARLASTGARLPGLASWPRTGSRSNATSCCKSVISGQRSI QTFRRPDVRSHVTDQSIYAQAGYRKYSLGSKGKVPPFAFAFDIDGVLLHVAKPIPGAT ETLKYLQKNNIPFILLTNGGGKHEKDRVAQLSEKLDVELSTDNFVQSHTPFQELVHGN EGLGDKNILITGSDAAKSRTIAEAYGFKNVITPADILTAHPTIWPFEPLMEELYAATS RPLPTAQPKIDAMFVFNDPRDWALDIQIITDLLLSEKGVLGTYSPKNGQASLPNGGWQ SDGQPPLFFSNPDLFWSAAYHHPRFGQGAFQAAMAGIWREITNGEELQRTVIGKPYKQ TYRYAERVLNSHRAQVLGQSSIEPLGRVYMVGDNPESDIRGANDFKSPDGTSWESVLV RTGVWSAERGEPRHRPKMIVDDVAAAVDWALKQEGWR PFICI_02994 MAGFDTLEVNHLVGKMDGYRVGANGYCSPPQTPPDTSYLGCVEC ESCWSSNTPWVQSAHEAAHSHHDFVLTPSTDPDIAVQIEEPEQEALEESHHRKQFSAG VGKRLSGRPPIIGANSSRTSLLSQSSLEPLASLASNQSSIQGQADPDASGVHHHHQHL SQRLVAQVAEWLESERVKKGNRKSRRSRYGRHRHAPTDEEAVDQSASSAAAPVESTPK HNRSYSIDSQNSDISLDRLQKILDDSMSALGLSSVPHYIPRSHSKKAHKKRSLFHLHR TASSDTEFHDGDVLVPKCDAWLDNSKTMSYGGGKAADDEVSISSRKEEKERKAWVKFK NEIIRITHTLRIKGWRRVPLDSGDNIDVQRLSGALTNAVYVVSPPKDLAEKTEPGKKA PAKLLLRVYGPQVENIIDRENELSVLRRLARKKIGPRMLGTFENGRFEQYFNAITLTA ALIRDPETSKQIAKRMRELHDGVEVLDEEREAGPGTFKAWDSWIENVEKAITYLDEKI LSGDPGPIRGPADSWKKRGLVLGVEWPKFKETYMKYREKVEAYYGGSQGVKDQLVFAH SDTQYGNILRIQLDDQKSPLLQPHNEHKQLIVIDFEYAAANTRGLEFANHFTEWTYNY HDASKPYACNTAAYPTPDEQRRFLKAYVDHRPEFPHSGSTPNLRPLDSPSTEIPGISV GASHMAGSTSSITDFMLDARAPPGGWKENEKRQTEQTEKQVEQLMEETRLWRVASSAF WVAWGLMQTNTESLQESDKAAQEPQIEGAQPASIAAPEESGGESDEFDYLAYTQDRAL FFWGDCIQMGLAKLEDFPEDVRAKIKFVEY PFICI_02995 MADSEDNASDGVQDVAMADADLSTEPTNGPQGDAAILRSVEQPN SAHTSFSFEIKPDPDAGRLPASSPAASTTSKMAKSATKKKGTAATKKGPKKPKSAKSK APKKDNSTVADGDDNDNSSSDDEIDNGPYCLCRGPDDHRWMISCDACEDWFHGECVNI KKELGEKLIERFVCPNCTDGKLNYTKYKKTCSLAGCTNPARLYGKKETRSVFCCNEHC DAWWVSMVVSLPTKAASRKALEVLTQEDFMGLLTSTADRGGWKLGDKPFGNIQGLWVN GLPTQPGVLSDEDQAFLQNSAAERLALGNEIVVYKKMMQLIDWANQRRQAAIEAKEFT KDSCGYDYRLDVVSVRHAFAAWLDSAEGQATFTAGKLEAPPAEATGEDSGSGSLATRG MCEKKRCKAHNGWYKILTTAVRNQVKETTTAAADKLEAEEVLRQAAENRFERQQLENN WVQVIQ PFICI_02996 MQFLIALFAFLPLAVLGFPLHPSSSNETLWASASASDTGLMRPS YLTVTMNGLPTTLSTLTTSSSLNLGHTQPSRDHESPAITIVIDQIRDAAPVKTVTESG QSDLFTFVSFSSTSSVDAPSTTEATLSSFTTPTASATFPKANGLQCSDRNCFMYCECS NEGIVSCAAPPLENRQCETNCECVPAPVPSVTGDVQPHERVVWSTTTSCNTNLQICVA KATASITDSMTLPFTTPGSCNGDLEVCMAKKTNSVTGSMTLPFTTPASTSDTDSMMLS FTTPSISGATDTVTLPTTTPRGNAAPTMLLAESSTTRDLPWESTIPQSPPHLNETSGE VPSEFVAL PFICI_02997 MAASIYTSQPGAHYGYPQPPPSPPIDEASKCSLPSISNLLVVAD AGSPTTESSPVSLQQSQQASSIKSETRPNSSHYGNNASSRAAMPPTPPMSTDASFEGH ASPSTRSVSQVSVVSAPNYYYESTPPLETEIQRHQMTAATIPRVPVQAPAYSHQPYAA PSYMSQPSLAYYQPAPSAQPQISGLYYQRPLPQQAFPPMAVSVPLPPASGPNPWQHHH YISPSSAASFPQSQDRYICQTCNKAFSRPSSLRIHSHSHTGEKPFKCPHSGCGKAFSV RSNMKRHERGCHNFEASSSGMR PFICI_02998 MDPFSFVTSESLDYPLSVRIINLEGEEAPPKPSELFRRPDLRYI GSNTSTHSDLYVTVQVWAGSKPLTVPVQTSYKSFKNERSWNEWLDIPIPYRSLPLNSR LAITIWDVSPTGGKQAQGHAVPFGGTTLPLFDKDSQVQKGRLKCQIHRHRLADGHDGT TTPWLPAQQRAGARQAATTLDKEAEELERMDRLFKKYEMNEIPKVEWLDQMVWRLSEK RGAAATRNSIKSLQRQTNSQLGTGNKQQNNSTDSNGHDLSSNPSKFTLTVELPRFDFP IVFTDHEYPPPPISSVQHLSASQSHMDLKPPPEVQFGPGINGPDDGGHRVVRIYDPEV AARDNPAEAKHRRLVRSQHRHGTLDKDLKPNAKVRDELNVIMAYSPTHSLTPEEKDLV WKFRYHLTKDKRALTKFVKSVNWQDQSESKQAIQVMSKWIEIDVDDALELLGPTFDAS AVRAYSVDRLRKADDHELLLYLLQLVQALKFEHVPTNTDEPALDTSSLASFLISRAVE NFTLATYFYWYLVVEYDDKSPEQGQEVRTMYAKVLHDFMVELEARPGGTDTRKTLLQQ AELITVLSKISGEIKTSSETTSRKVDKVKAFLADPKNELLVIDPPVPLPLDPSVLVTG VVPDETRVFKSSLSPIMVTFKTAAGGKYPMLFKTGDDLRQDQLVIQIITLMDQLLQKE NLDLKLSPYKILATSTTAGASQFVPSQTFAAISSKYGNNPALAYLKQHNPDPRAYQGV RKETLETFVKSCAGYCVITYILGVGDRHLENLLLAPDGHFFHADFGFILGRDPKPFAP ALKLSKEMVDAMGGFSPPSELYLQFKQYCFLAFTALRKSSNLILNLFSLMVHANIPDI KIEPDKAVFKVKERFHLDLKEEDALRLLESIMEDSLTGFMPVMIDRLHAFTQALRT PFICI_02999 MSSTPSSPISPDRRSDKENSQYSSNSRDKNSRLSESSKRKRGLT NGSGKANSRRRTEEASEASGDDVADGNDSDEYDPDQPIEERRQVQRGLRKLREDARIN RDKYLQPGEDGVRALIQRANALSGNVKQTAEAAIDSRLLVETVELSYKKTALLTSGDA GQGVDIDSFVTQSLRYMRLGAGIQDDTAPELTSTQRQRRQPGRRNRQESDDEDEIGDD GDLCNWEHLGRFSSLPSIRRSAVSGFLLGPLSVEKKIRKIAKRSAPFRPGALRETRPE VVDANEIQRKEDSDLTVICGKIYKRLTSVQIEAQTAAEKVFDDASGEEDEVNEKVQAM MDSYGLKSTGGLDYFKCVINPRSFGQTVENIFYVSFLIKDERMKLEFDEDGHPTLEPL DTSERGESNSKGNGSQKHQAILHLDKAQYNAIIDAYDIKKSIIEHRTTQGDDDYGSRN WHN PFICI_03000 MAVQFQQDFNNALQQPQGLIGQEWRKDLLKNLSDDESLSAAQEL YKAITGLTTQEEVEQTASEWLNRCIQGVCERAFKSFESNVNDWIGGQIKDKEWAGVSY KEWEDRKTELREQADSNQGYHEEVDNLKESITKLKDKIQAQAKEIHEQKEEDEITRRN HADEVRDLKKSHETEKAVHQKELNTAMEQLDQKDAEIKSERDKLENVKRDKAEYERQY DTIENEIRAVKDERDRAKEEMKQAQDAADKLQPVLEREQTQRKEAEQKLEAERKSHED LKEEIQEAKTRINELERRARRSTSPTESSSESNGGSALSAELDGMNMSSPSTSPTDTS PAASDAGGSREERLRRDYVMPILRQVANHRELKDFEPFEEPVETVDNENKLLATLGAL VSHYGYNHVENEDGAVFDFPFEGLQQWLEGKERAAGGAGSERAVADTVTTIQSSFEGE IARLRGLKAQNAEKLDKEINDLKNLNSKLTADQSASEEELKKLRDAHSQAQGQDTDKT NQINDLTQKIAKFNEKQESSSEELKKLQDSRDELENQYKVASQHLDGLKRLNSDLIQK IKSQEDAEKKENEDDQKKKQQQVEALEKEIAELNEKHQKASDAFKALQNTHNELKKQS EGAINDLKNQLSESESQQISELQKQLAELQKELEVAEEDFANLVVENEKLAQENGDKE QENYDVMDKYEDIRSENNDRRKRLQELGTQLADLTAKEESLTKDFNDLKKKHDDLLLR YANIKAENMSKTDEIEDLQKQLEQVNVRQKSLDQELEDLKGNHDQISGDSDAKDKKIE ELESTVRRITNNSKKDWVALSRLQHQLNEQTNEWRLRGSAYAQLHEEWKEATALLEEE ISDLKKKAETGGNFDDWYQATSILRKEISDLKRKQDETAASYNASKASQQKLEEKNKE HIEKLQEEIAQLKRENNQHVYGPEVTEMQRQMSAKAIKELKGQAKEMEDEIEKLRGTR WRLQERVKVRVKQLKEEEDKVKILEVTNQELIKKNEKLEAEAEDNEELQESRNQLKEA QFALDRVKRKEAQLRDELRKNREQLKEQELLGQTEDQLREALRKSEELLKEKEQLIEK QKQNKSASSDARPVDPDMAARVEIGRLLLRYYRAMQAAIATYKTKKRRMIDEGMALNP GFLEDMDVSLEADRRRLQERLDAARTDAAAVSGPPSDDAPRQQIAILERELEKYGGII NEINAGNTLANEAFEISAVVELSPHAADAERLFDERQKTRAETETETSPGTALTEPRT RGGADALAETCCYCFPCTPCDWLIWVLVASIAFFIFAFVSQQRQKAEWSEANALSRSL YIVDRNYNNPTAWWQIAIFVLLNIKAFYLK PFICI_03001 MSNKLIPKTPSEVMVIRDITPNVVTLSVPFARFGMIRVGGRATI VRLTSGSLAVVSPVALTPEVKAKVSEMGGQVAYLIAPDIEHHIFLSDWAKEFPGAKLI GPKGLPEKREKANDEKIGKEKFSFIYTPENHQDNNIDADFAANFDVEYLDSHPNKEIV LFFKPEKILIQADLLFNLPAIEQYSRVPEAERNSHGLVNKFFNSIQSTEGEAKGLKRF LWYAMSSADRPAFNKSISRINSWDFVTIIPCHGETMVGNGKPLFEKVFQWHLQGHK PFICI_03002 MVPSPLSLDSPSSGRRYPLSISDAISSAASPLHSSFQLSCRSPL SPRTQTWRGDHARSIERFGEGSSLAPPRFLHLGAETLSASKGTNGGPKSALEVDDDGS SYTAYSPADQTWFVPPHTSIALQYEPRQDEGKLGVVSAINIIVGKTVGVGAYTIPPAI FDGVGSVGMTLLLWAVGSVISFCGLAVYMDLGSAMPRSGGERVYLERIFRRPRMLATC MFAAYAVLLGFSAPNAIVLGDYAMYALGISPGRWNVRAIAVLAVTALCYAHARFPRAG LRVINILGVAKLLIIAFVIICGAVGGLRGVGRVEDLQGNQLGGRRLDLPMDRDWTLST AQRNFRNIWSGGSAQPYDYATALLKVIYCFRGYSTANQVLSDVKNPVRTLKVAAPAAL GIVSFAYLALNVAFFLVVDKEDFRSSGIIVAGTFFRNLFGEVVGGHILPLFIIISAAG NIAATSFAQARVNEELAKDGLLPLSGFWTTKKTQQPPIGPSSKATPVAPTIHEQPTVP RRGLLLHWAVSVLVIIVPPPGKVYNFLVDLGGYPVSIISVAISLGLLYLRHHRPSPSS SGGEQRWTSPYRARTGAVVVFALFNCLLLVFPWVPPADGQGEGAGITYYAYPATALAV IGSGALYWCWWISRGSGRHGSSSGVGGSRSSSNSNGVDLGRRRRERWAAHKAKQSGGE SRGLLLGGDEDDLSLAPDLSQGAASSDNVGMRK PFICI_03003 MPTALDPVIAQALASGPGSKIPFRGRTDHVHTTWAKTFSWMPEL FIQPESLEEIEHMVNVARKCHRRITTVGCGHSPSSITCTSSWLVNLDKYNKILASDKD KGIVTMQSGIRLYQLSAELERLGLAMPNLGSINHQSIAGAISTGTHGSSLRHGLLSED ILSLRITLADGTTKKCSPTENPELFRASLISLGALGIITEITFRAVPAYTLHWRQIID KDVKMFNRWSGDLWTHAHYVRVWWYPYTRRAVVWSASETDEAPRDPPVSYYDGALGYY VYHNLLYLGQYFPKILPWVEWFVFGMQYGFANGTTTEAIQPSAKALLMNCLYSQFVNE WAIPLSKGPEALRRLSSWLNHLTPDDPDYVPHNIPFSAEGLYVHAPVEVRVSDTSNPE LDSVRSNTSPYLDVTNHDEPTLYLNATLYRPYHADPPCRARYYEAFEWLMRDLGGKPH WAKNFEADGRQIEKMYGQSLEKWRDIRDDVDPDGMFVGPWHRKFVLGHSPRLPFEEVE ISEKPKWSGGIEYKGITASQIKGPNDP PFICI_03004 MRSSLLLCAGFLAPVTVFAAPATANHDLASFSPTTAVRDSCHGK RENLCSLNAPPALCQPNASVTVEETALRAYQFYRAFVVDGDPKTMFSLIDSTYKQHHP GYADGPNTIWPIFCSGNKLGTEQNTTWCFDASTNMSYAQYSTTDRWRWLDGCVHEHWD QGETIPKDKCYKLNSTGIY PFICI_03005 MADYHYDQRRGYGHPQNNQRDAAFSNIFGAAPPPGRSQTMTSSS ADMHAARSMPQDGRTATMSSSMSGMSHDMRRQPPPRPQRGYDESASGRTRTMDTSMAN GYYQNQRNPSGGYPPPNQYGRGPMPGPDRRPYPGPPPQHGGAPPRFDSRTATMQSSSS GYGPRAPAQRYYQGPPQHQSPSAAMRDDPYRSQSLASVPRPNMYQQSPSHSQQQSLHS FRGAPVNAPSASRTTAQGRVVPERHDDRSMSMTGYPPPDRDAHQTMSGRVIPNRARAP SNETPTMDGYSNYNGYGPPPGTATRTMSMASSTVAGDHSRTMSMASTITSSDMPTDMK DLAHRPSISSRSTGETDRPPTAKVRTPLVYPALLSRVAEKFQQKIITGDRTKNELTYK NAFSGSEAVDVLSYIIRTTDRNLALLLGRALDAQKFFHDVTYEHRLRDSSSEMYQFRE TLMDEPEDKPPVNGVFVLLSECYSPTCTRDQLCYSIACPRRLEQVSRLNLKMQPGLKR EDDAVANEDDIDQTDEQKLWINTVPKEIADSVGDREQKRQEVISEICYTERDFVKDLE YLRDFWILPLKSKNSPIPAARREKVVRNIFTNIIDHPALHTVSSRFAKAISERQQKNP VVPNLGDIFLEYVPQFEPFILYGSKQLEAKFEFENERSNNTFFSKFVDEIERRKESRK LELNGYLTKPTTRLARYPLLLENVLKYTEDGNPDKEDIPKVLVMIRSLLSRVNAESGK AENRFNLRRLHDQLKFRPQDRVDLRLTEDGRELVFKTNFKKTPNDPTDITAFLFDHAV LLVRIKQVGKTEEVKAYRRPIPLELLSIREMDEVIPQHGMKRSSSSLIPLRAGNQDNK KTEGYPVTFRHLGKNFYEQVLYAANHTQRKKWLEFIDTAQQRLRARADFFNVNEMSTG FFGPGNQVNTVTPYDGGRKLIYGTDTGIYVSDRKNKDAAPRRVIEVQSVTQVDVLEEY GLLLILCNKTLMSFSLQALEPNEPAMSKRGKKIQSHCTFFKAGICLGRHLVCCVKSTA LSTTIKVYEPNDAMSKGKKQKGFGKMFNAGQDELKAFKEFYIPTESTSVHFLKSKLCV ACSRGFEVVSLETLETQSLLDQADTSLDFVARKEGVKPIHIERLNGEFLLNYTDFSFF VNRNGWRARPEWRIDWEGTPQTFALSYPWILAFEPNFIEIRNIENGAVHIVPHRNIRM LHSSTHEILFAYEDENNQDVVAAIDFWKNNRKSEMPTQEKGQGVH PFICI_03006 MAESEAAPKFAPFIGMAGIAAAMVFGCIGAAYGTAKSGIGIAGV GTFRPDLIMKCLIPVVMSGIIAVYSLVIAVLIAEDMAPPNQTTYSLFNGFMHLACGIS VGMTGLAAGYCIGIVGDKGVRSYMEQSRVFVGMVLILIFGEVLGLYGLIVALILNTKS RG PFICI_03007 MAAEVMMQARTERLSAEDFETASIRSAAPSYVSDAPSYHSTLPP NEALPSYSPPARTGNSTPTNNSRQPMVPPANGSSLLYGPGLPPIHDLPPRSRAPQVND FRIPTWSTMHSNPTARHYQAVANRRASRSSRGGGGHGHSNSDMQVQGALRAVLGRLNE QEDERERTRSRPLEDPYLVGEEAAARARRERLARETGDDILIREDQRWDWFLGQIQDW DQRRESWRQFEQSRRSRRRW PFICI_03008 MVLLTSSQVSVALSSLIILSFTTALFLSGYVIQQRTLRDLRKAI RPDPRPSPKIYLPDRFKQSTTELEDGTVVNIENHDDAPSGNAAQQKKGDFVIEVRPSV PEDVSQKPLNEKESHSDSDKGQSKSDQNGKKKSDNTKQQAEEEEPISRAERRRRIKEE IRELSQGETPLYYQRRLW PFICI_03009 MFYSHEILANHQYGVATIWIVATMGNKSITKRVSKRAIEDVDLA RACEKIIEPGAPIALRLQGSLLFGVSKVYQRKCQYMLSDVQKIQGHMMSFLKTFGANQ LDPEEVKARQVTNILFAELPENLMIMDDPDFFPTGNILPDPTEEMFFISQPTRQSTQN TNKTSSQMSPHSLQSAGSSSGNENFQLQLDFRESSVPGSHASQQGLQGLSSAQKPVRL ANDDDPFGDGEEPIDLGFSVDEHGNIIESLDSVPAIVNEPVLPDMPSLAGVEGAGVQH QQHSDDQNDIFMMNEDPLPQAEGLPAGQATEGSSKKNDVVAPNSEQNQSPAPSRRQKK KRNIIHADHEIEISRDTLRKWQTDYLKNCGPQEPQDIAVQQARENAIHLTFGLGIGNI GQSLNIPGVIHPLAMEFSGDPLFTALTGLEILEKQVKRKRGRPAKKDINDEEQEERRV RARLEGDANDDELQRGGVASDEALDFGLDQSPPEIGREAQPAMDENPSSVMPWNRGSS IRHGSSIQRGGSVQHARDQSSPLGRRGADQEIVRYSSDVDMGGMEFGNGDHHSDDSFA MGPLTGAEAEPIEQLSTQDQNQRMREALDREGGHFLGFVETMMKEHGERYYDDDAELQ RRWLDFDDMFVPNDTTRATAAQAFYHVLTLVTKGQMLVKQDGEGKEPFGRIHTGLEGF S PFICI_03010 MESLGEEPYDVVICGTGLQQSLLALKDTKINLTDLAATYDERAL SRSNKRILHLDPNDYYGQHEAALSLQEADSFAVSHGNAPAAADEGAAKPKDGIFRNAS TWKHPEAEANGLGYPRAYSLALAPQIVHTRSKLLSQLVSSRAYRQVEFLSVGSFFIYE PSRGEEGPAKLSRIPSSREDVFSSSSIPAKSKRALMKFMKFVIDYNSEEQRESWQPHA DSPLSEFLTSQFKLDDNLRNSILALTLTIDGNITVKDGLAAISRHLTSIGVFGPGFCA VYPKWGGLSEVAQVACRAGAVGGGIYMLDTGMKIKPDGEQDLLSLDLTNEISVQVRTL FSSMDVAADGQAISRLIAVVKSPLRNLFKAVVEGAPTPAVTVVAFPSGSIQSSDASAD YPVYALVHSSETGECPNGQSTIYLTTVASTSSKETLEKALELLLASSGDEETPISLYR LYYEQAKSATAPSTEMMGSVPHFSFPSLPLELAFGDANLDVVLDAWKVASGEEAQESE YMIFEDREGADAHDDDMYE PFICI_03011 MSQSEAPEWLPPEPGLEVVQPDTTEKIPVAPKRSVFERLFKHQK ICGSRRRTFCWILPNGDDIVTSSDKHIEQQADADLDRDAVLSQCQWDHIHGSGDRD PFICI_03012 MRFSTSSILAAVLCTTAVTAQVAGLNKLTADVTKFLGDLTSANI VAASGDLLIVVNDVKVLGQGAGGLGSIGAGTTPAVGTAFVNQVVNTVCPALVNLENSI PDLPFPVNLLTATATGAVRAIINTILASLRALPTPVDTTPAVTCLNAVVSSATKRDTV EPIPVAFAA PFICI_03013 MLSFWSRFATPLYQNTGSVARDHLASERTFLAWIRTGLGFVALG IAVERFSQLDLSELVAPHLQQQQQSLEEVEHERRRKAQDKQHSHVLVGTLMALGSGSI LYGTGRYFGNLRNLERGLFRPAFHGTAVLGAAVAGLAGGVFGSAVARRKPEREATGRG TL PFICI_03014 MPSTEANTVPDTQLGLTEDEIQLLRYHQTQAAGSSSSRAASRAS SQGRLLLDSTSLHALSSHLNRLMQQIQQRIEYLSEQSSIVTMQQYDRAGNIIDNADAE IARFNAIMQQIDELELDFDRIRHIRDIVRQYRSRVESLERELEHSSSSRRDGHHHHHR HSHSHSQRHEGSSSGRRHRH PFICI_03015 MPKTSPRPDGLNTAAPQPAQATQPAKRFQLPALNLSLGSLTEGT DIPPPIPSPVQEVPTPPKTPPADDAPKKTTNGAANVQTNGLDTSPKSDVSSSTNGGLK RPVDQGPVSPTYSSRGSLRRYLSKNLLHNAYDEQASISSQVASRPPSRTASVAAEERK AKRGSGWFRRLRSNDSKRNSMQQFEEVHVEKKGPPPPTIPEMSAFKIDTAIGDDLFKE IK PFICI_03016 MASVRCFRASEVLSTCSPRPAQCASSKIASAPLTQRRYKSGPYG YTQAKSLVFSKFGEPNDVLRLHTHSISPSLPSSSLLLRALAAPINPADVNTIQGTYGV KPSFSPLIGTSEPSVIPGNEGVFEVVSGAASSEHGDIKKGDWVIPAKTGFGTWRTHAV AEAGSVLKVERDGLTPTQAGTVAVNPCSAYRMLRDYADPALREGDWFIQNGANSGVGR AAIQLGRLWGYRSINVVRARETPEATEALRRELQDLGADHVLTEEEFQSREFRDKVAE WTRGGREGIRLGLNCVGGKSAQAMAKSLGASANLVTYGGMSRQPVALPTGLLIFKDIR FVGFWLSRWADRNTADKSRTVNEILGLVREGKFRDTPVVDVPWSWDTEEASLREAVQG TLEGFRQGKGVFVFGET PFICI_03017 MALPKRIIKETERLMTEPVPGISAVPHEDNLRYFDVEIHGPSGS PYEGGKFKLELFLTDDYPMVPPKIRFLTKIYHPNVDKLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLAADVAKSWKEDENKAIATAREWTQQYAKSD PFICI_03018 MPTVMDQVKKKAKVIRTADESETFEANVTIHVDGLVGSATISPS GRDVALASPEGLAIIDLDSPYNPPRRLSSHGSPWLVVDVQWSPFAARDYWVASTANHR CLIWNLNLREDAANGAIEHSLQGHSRAITDVNFSAHHPDILATCAVDGYVHTWDLRKP RKPALTFCDWYAGATQVKYNRQDSNILASSHDRWLHIWDERKTSKPLKSINAHASKIY GLDWNRTRDSSVATCSLDKTIKLWDYKVSDDPERVMRTDFPVWRARHTPFGSGLLAMP QNDPGNLYLYNRERQENENADSAVDPVAVFPGHGSCKVKEFLWRVRGGIHDGVDQRDF QLVSWGEDNELRLHQLDPELLEQVGHVRGGQAPGNLKVTRKGAAYKSYRSLGDTSLRE RRTTTMSSGPRPGSGGDPYRRSALGFGIHSTGHRPGSTSWRGPPMRAKMTSGKSIDRK PDQLGWMKGITMSKKKRPHIEPPQRKDSKDSSMFGSTYHDHWEEPETLNEEIVRLDNR LPNVNWDSVDMNTLIVDASLKGPWGPEGETIYLKVKVDVPHGYPKSKAPKFIIEKTAL MSDQTHQKIEAEVNQVAYQFAKKKRNCLEVAFSYLLGETDLSSSTSFFKNVRDLDDEL DAMADESSSEDEDNDIPAGGSASMSQELTASTELDRDAALAPLNIGIVPPEPRLCGAR WSNDGRLVCFFPSREERAKALFLTSTEAAKEKPKGEPTFAGFGRLQQESPEPRQRHID GSSVADEQSDTEDDDSSSSSDSENTYMHKISMWYLPNKRFRKTFSGSYSMRSSGGGTG VDTGTGTGTSRRRNARPRNVISVYDFRDLLPSRQDLAREYAIFGDGPEVCYHNARVAA KYGRPDLAQVWEYAALLLRNDVPLELLDPAQRKDSILVVAKNIVTRFKDQRSKKPGKS LTGRVKWGHHPLGKDVIKDLFDHFEAMADIQMLAMLSCIFSEAAAEDSVAYAESRLTQ PETPLPMKAPSFSLDYFPTDASLWHTSPAYQSYATSAVTTPGTVHTPSRMPGSWGSQE NYWLGDPGSNSYSCGETPPNRTPKDTLSEHDATQSLSTSPEIRNLRRGNNSLAQGFAS SFPRPFTTSTAPSSPPTRKRPSPGENFLANLTPNVTWGGNTVIAPVSEPTTTRNSISD DGQKRDEEQPLVCTSIYVQMEDQSQFDDDGWLSVPLLEPPRAAVYEHYRHTYAELLFM WSLPLPRLEILKFNVLKTSTQANNSSQLGALDHDSFHDFQSNDNLSHTTLTLTQNGSK SPIALGKKEHLQSIVNSSRGLDVVGLCRIHETHLEPVKSIYANATSGGAAGTCERCKR TQLQLNCVYCREPLDAMYVACFGCGCAMHDSCLDEWHSFGEMECPAGDECNCVEQAFS GQVESWAVLQGALRQGKVHLQRPSDESVPRTPNGQKTDFSEKSDWESIASGSQLPAAD AQGKPVLVGDGQLPLSAARISLGNRLRKSAGTWGSTASLRKKSGSVSSTLGRK PFICI_03019 MSGLIVGCEILCDLPSQTDRFFGREAELTGMTQLLQSAESRRGI VLCGISGSGKTQLAREYVSREQDKLSAIVWIDAASDQTVSQSFSQGAERIHLRYPQFH QNSSHISDQSVVLEWLRNLRSRKWLVIIDGMDNPITSRSLFQPFDNLLSASGAICITS TSPNAAKACRLSQVSVEHLGIGPASSLILWRALGTIEVQDENLKQWARDAAGILNGYA LGLELAGNLIHEGIVRPDTMPPSIFETKYKQLSTIDPLIWNWEKRHTFFDVFDTLYAN LVAKDSVAGDLLTLCSIYGPWEIPIEFLQNLIIEKTGDATRIQEPWNRLEELFKDEIK LGLAIKKLHEVFLAKKKHATDQSLLSFSLHGSVCQWRYATIGDQRTQWVVLALQSLTT YLQSKTEHQRKGKSRESETFDVSRKFHPMSTRCLKMLDVDVPGEQLEAPNGVYAKQYY NICSRLAPLLFSCGDYSVASKLFEGAIKYLRTTQVPDCDEALLPLLRGLARCSQKAGD LNTSEECLQSAETVAVKLYGISSDEAADIYTELRDVRDHIATEADNRKRALVASTGPK MTRQHLELENIYTTDEHELAPGPVPLHEPLDVPGYQSAFKDDLASMADLARHLDASFD PDTRIRGSTLLFSASWKGYINTVRLLLERGANPDLANDYQVTPLHVASQHGWLEIARL LVEGGANVNCTTNEGRTPLHVASFNGHIQVVYLLLEKMADVQAKDEDGMQPLHQALLS KSIEIFSLLLKRGADPNATDISGETPLDYTTIHGDVDEVKLLIESGANIHAVDKDGKT PLHRAAWPGDVDKVKLLLDRGADPSIKDNNGQTPLIWVIEKKSSFVPPLEAVVEVLLT AGISCVNAIDIHGKTAISWAVTNNNVRLVKMLLDYHADPTVQDADGNTCLNRACESNY VDIVETLLRYGADWKIRNYRGRNALQTAKHSGHDSIVALLKTRAGVPRTKSERLKSWL GSHT PFICI_03020 MVRLNPLDYRIALIAVLEIEAQAALHMLDHRHDGEFSLDRGDDY VFHAGDINGRNVIIATFPAGQNYGTGSAAALASQTKSYFRNLWFGLLVGVAAGLPNHS RNPPVDIRLGDVLVALPKGDSAGLVSYDLGKTTEAGFELLDGGRVLAKTEQVIRSAIG AIKINAPNDSRLFLPFYEAMQDEEHSFEFSKDQTFRDPGQDEDKLYSIGQDGTGHEVH RAKRPDSKRTRVWYGPIGSGDKLMKNSRERDRLRDQYGIIGLEMEAAGILSSLPVGVI RGVCDYGDSHKNKQWQPYAAAMAACYAKAILMKIGQGEEAPLAAGQSVQ PFICI_03021 MAIDIPRTPLFEATPDTPSVAPTILCISAELLDMILESLSKKDL YSTCLVNKHLRSHAERFLYFKVCWKWCSNAPEAFNVTHPARPYLQIKTISCGTGAPEV HTVNPPRPTIPFLQSISRRAALEASMQSISRRTDALEADTATTPPAISFLQSISRRPE LADFVQEAALTRYPEIWRPEYDKDYATLRSIILEKTEIPYQLSWVTESQSGSLDASVA LILAQARNIKRLEIPEALLMTNSLTGMVIKSDLFGSAHQLTTKPNVLCRLSNLEVRCW KFHRQYREPSNQLDPLFWFYLPSIQSISVFIDNPTTFSWPGAFPPTPSNLTTLELDIL REGHLGRVLSVTKNLRRLAWTWSFDPDTAGPFMTNTIDLTQIASDLSHVQHTLTCLEI KGANMPRQSTYRHSPLHLKGSLEPLASFCKLEDLRVPFAFLTRCLAAEKMHPIHHSLP SNLQHLEISSDLMTLGVDGVSYPFSRPAIQAYITAWLEDYKPSAPHLLGVDLRFMGTQ GSRMASMLAEWMQRMRDVPTDTASYNHMPTALEAYYNPPIHNVLIRYDPSADS PFICI_03022 MYIQHTIAPLTKQWSWITGNIGHAGVELHENYGAVVRIAPNELS YIEPQAWRDIFGRPGRREMIKDQAFFGQTQDGAYNLVTAPYDDHARMRKLFSPAFSNT ALVAQEPLLAQYAVQMATKMGEAQSRDGHVDVVDFFNFATFDIMAELAFGEPLGMLEK TDYVPWVRIIFDGLKYVVFRAVLLGVPLLGPLLNWATASTLKKKAEEHAMFARNLVDK RLSYTDHPSGKPDLWSFVLKHSDDGKGLTKNEMYNNASTFMVAGTETTATTLSGVVYY LGRNLKIYKNLVAEIRSTFKTSDEIALGPLSEMVYLNAVLKEGLRLYVPGGGGMTRIV PPEGAEICGQFVTGGTAVTMNHYPAYRHESNFARPREFLPERWINTDDAPFAKDRRDV YEPFSYGPRNCIGKNLAWLELRLLLTMMLWHYDFELLPGNAGWTNQKSYLTWEKGPLL AKLYAVKR PFICI_03023 MSSTFIVGGTRGLGAELVKQYASQGSGQVFGTSRSSSKPDGFPE SVKWLSNIDLTKSTVADELTGQLKGEKPLDTVIIAAGRFTTEDFFDKGPNWEEEVTMY TTSSIAPVFIVHKLVHTGLLKSGSKVVLVSSESGSITLRHESEGGGNYAHHASKAALN MVGKLLSLDLKDKGIIISIVHPAFMRTEMTKGVGFDKYWDDAVTPDESAKSLIAWTEQ LDISKSGEYWAPRGPGDIGTAEPVLGKGLSTPLKLPW PFICI_03024 MSADLSAGQSYGRSAGLTLNLSSNNPFRNRAASPNSGLSPNSNS FSPPPPHSPFDDPPAERPVSRNPFFDNTESTRGQPLKSPASMASSAPDNRKSPTAEEL FDKLIIIDDDDKPAGQPASKPAPPRPDGARGPPPPRGPPGGPRGRGPPPPGHRPTRSQ EEAMRARRMQEKAGQSSDRKNMDSPMKRPTERRPRRNSDSSLMEKPLTEEEKKAKEAR RRERERRHKERSKTGKPSKRLDLIDQLDATSIYGTGLFHHDGPFDALIPHRNRNGTRH APMQAFPKDSLNMALGGSGPLNAKPDHKTLMGQHDEEAYLDYNASRKNEAPFYEPPVG SSKEANVFDPHARASVLHGDESLGLGTSTFLEGTPAAQTAIQRREAETAQQSMEQGLQ RKKSLAQRIRGINRGPRDMNNRGRMTNPDGAYGPRSGELPSGNSTGERNPFFSDFDKA EERISVRRQDSGPMSPGSPSSPPRGYNLERRSTADASAPEPSKPSGGGLLNRVKSLKG GRRQRPEIPTQAAPTYTPPTSS PFICI_03025 MDQEPPVSQVSSHFQIEPISGSTLCNLEDARRHQINRRGTLRTG CTEIDEQVLVDGFERGAVVGISAEEIDFGLVLSLQTIAHDVVHGRHGAPALSRAAIIT TLAPQAILPTLRDIVKSQVQRKLGTGHANVNAQVRGCLERISVSRVFDIEGLWEVLSE LELESPAFLPEMNTEAIREEEEEEESDAAAAPQEPESSPLSSLGSTPDYELPPPSMEQ VRRTEVMDSEDEGDLSSISPPSSSPAAPLEPAAEKAASPKPVPVTESTPVHDHAPEAN DRIPGAIVITHFSTLLGTLFAQRDKSSAHTTLQLFSSHLRYLARSSESLIMLLNTTTN SPHNPVSSTTVASTGAAPLPSDGAPGKRSSAAERPMDPTLRSIFNPPPPSHGGYGAGS IALSKKNKPSFGLTFSQFLDLHLLCTRLPKTRADAEAVFAPTTAGAAVAAGDYTITGS GKSRYGWVVEVLLDESGFWSSSGKRIDREQRWGAVDVQDGIRIVDTFEKVQRPPNTEM FRLAAGFGGRRV PFICI_03026 MKYSASLPLIVAAATQAFVIPNEAITEQLALQQPEPAHDHDQWS SSWLDSIPSLDDIRSSAEDTFSNAIDAVSDGAKSLLDALPDFDFDFEAADFFSPLVDV ERERPGKGHKGHHGHHGEPHGPSNLTIYQAIHASKYSTKFAALVDEYPDLVQTLNSTK HNITAFVPVDKAFEKIPEHHKKPPKEFIEKVLEYHLVPGLYSAKRVLVSHTLPTLVKE DALGGKSQRLRTSVSLFGLRVNFYSKVVVANILVSNGVIHGIDSILVPPPPATRIIQL FPQKFSTLLLAAEKTGLSKDVDDIKTTGGTLFAPTNWAFEKLGPGANAFLFNTEKGLG YLKALLKYHTVANETLYSDAYYGRSEVDAETAQFHVDLPTLLDDKSLSIDIARWGGLI DIRINGFTHVSIQDGIAKDGVIQVVSSVLIPPHGEHGSYVDGNEIAVEELMERLEPFL EDENKDGISVGEL PFICI_03027 MRWQNILVAAVSGLSAMAMAAQQHKDTATEYSYRMAASIISRGQ GIMTGSGGSSELLQAGFTQKAFTALLVKYAETGQNETRDKNGFMHKVRQYIIDSAESV VPFVGNATKDALSYPMDRLSNGNALLALSERAYPGANLTTAALRAAARALRDSIDLNR RNDEGGLWYYTYPYWSYLDGMYSLAPFYSQWALVGPAGNRTNATTKPSLDDMVLQLDL LWQHCHNETSGLLVHGYDASKTAVWADAITGASPHVWGRSLGWYAMALVDTLEILTTS SSSSHAQPASPLSSSSSSSSCIDLGPYSADILAKFRSLASAIIAAADPTTSAWWQVLD QPGREGNYIESSGSAMFAYALLKGSRLGYLDDDDDDTAQREQAVDLGTKATTYLTNNF VVDNGNGTLGYNGTVSVCSLNSTASYDYYIGQPINYNSVLGSAAYVLASLEVERLGS PFICI_03028 MSTYLLGLQLYKKHSLPFASLATPQGSQHSRLRRQTEAAKGAAD QAATDQPLGVGMDSQGPQMAIEETPLSVEMNPQEPPKTILDLPTELIGMIQQELPLYD AIKLGLASRRLHRATDPRLWAKVRCSIQNDKNRGVKYVAKGDDLVHDEERWAFLKGLE PQVPHLELCHYCRIFHPRATLQDQSLWRAAPTGVEWALTCDNKEVHFRRLGIEWGFGF RDIYAIMTNHALSRQHGIPLSDLCISTDWTFVKAYKNLHNASRSPFKRFVSYTKLDTE AIIHHGHLQVHRIQRLWVPIHLQGTDVLIRYGAGDLAGDFKICMHHGPQSGEMISNFT IPLREGLKYVLAERSVSGSNQDGPLPRIIKRCEDCPTEYSISFHVHGEKSVEIVLDVW QNLGDCQFAQATGWFQNLAHNQFPMIPGWLNCWGMLNPRVCDIVTDEAARTSWHQSAA HYVADASVFPTPAWKSEVAHASSTLVLQEFAQLQSQVAASGHSHGVNLGVVPQLSLGH LRNIRSSYVNARYEANLRRRLPPFLHKFRLHDGQEVPFDEIKYTRTKYYGSGSARSTD MSR PFICI_03029 MATSTERVVVLPGDSVDPSLIPSHPKYPLRLGPGLRHIPPSEIV PTIAGQLVTDRKKNSIWVESSGGRYTPAVGDLVIGKVDKGATDLYYVNLSDYTSNATL PHLAFEMATKKTRPQLASGALVYARVSLANRHMDPELECVSATTGKADGLGPLTGGML YDISLGMARRLLMRKSLEEGQIAVLEELGAAGLAFETAVGRNGKMWVNSESVKTILVV GRAVKETDEKALSVEQQKKFVRKLIKEMS PFICI_03030 MAEKKTGVAPDERTVIGLSFGNSYSSIACTVDDAAIVIANEDGD RQIPTALSYVDGEEYNGNQAKAFLIRNPKNSIISFRDFLGQEFKSIDPTHCHAAAHAE DKDGSVYFTVQDKAEGEPSTISVSEAATRYIRRLVQSASDYIGKKVTSAVLTVPTNFT EKQREALIKAANDADLEVLQLIAEPVSAVLAYDARPEADIKDKTIVVADLGGTRSDVA VVASRGGLYTILATAHDYEFAGVHLDQVLMDHFAKEFMKKNKDVDPRENARSLAKLKA ESEAAKKALSIGTNASFSVESLAGGIDFQATVNRLRYETIARKVFEGFNRLVEGVIKK AGLDVLDIDEVIMTGGTSHTPRVAANFRYIFPESTIIQAPSTSPSAINPSELLARGAA LQASLIQEYESDDIEQSTHPAVSTVPHIANAIGVITVGSDGEESFTPIIAPETAVPAR RTVHVEAPKEGGDVLIKIVEGNTHIKVTKPEPKPKENGKKDGDDDEDDSDEDSEEEEE EEHREKIWKIGTTLAEAAVKGTKKGSKVEVTVQVNADLAVIVTTREVGGKGGVRGTVK AP PFICI_03031 MPSLLPIVNKARKPKFDLHLTIYDLNNVPLVSGTSQIKWHLPHS MHGDHRGRTNKCPIDSINHRVTYDYNKVVPLRITIDRNNQLSECPIEFEVVQEFSASG GRDDRITLGVVRLNLSEYVEESENFPRKSVSSRSGSSLEYARRDILGPALQGKRRLST ASAGTAPIAEEQEHETADEGIVRRYLMQESKINSTLKLGILMVQIDGDRNYVAPPLKT APMFGGIAGIMAGETVEPVDESPLGTAPTTTTQNKSRDASELQDMYRRALAASWNSQP GELAADECIEDIFSGGSGWKDQTGSSSRSEKDHSRDRNGEDVSSTSSGSEEVNSGTLR PSDVLKVRDQFSRSRGRHSRSRSGASERSLAATFRSSGRARSRNPQQPQQGGSHGQQY QHRHLREDPYESPSLDHSASMASLTPTLGSMGSAGSGSGSSRDHGFARRSYREVNEFD VRDDLVSWALPGTAAVST PFICI_03032 MAPQQGRQPGDKLKKRPAEASLTSSSVKKPKTDASGRQQGNANA GQQNGRSEMKHSKTQNGPSNTKDVRRPAPNFRDVRAIAAQPADAALKDGELDVQAFLN ARGFEIKALDESMRRTQVSQTSRAFQKVPFTMRRRAAAHNHKKVPKRLYRRAKREMEL DNTPTVNSKTRKPKSNRARLRAETALRLGKLAERKRRQKLRKKGKDGKLDPVTVQTRV ARPKLRRNTLNEPPVMAKKFRKRQLNKTWLPTHLWHTKRATMTPPKDPLWRFAIPLTP TQKCYRPTHRALREKGAMAWDMSYMSTIGLSGAERSVTQVLKSLGLTAESLWDESGAR WRSGAVHWNGTLTRMKETSPRSIGPATVIWDPKVQEEDVEMTSESKPESKVVRRIFIR LHPSAFLETFNELLRLAKGLTPRPYIEDLRYEIGSIEVSGPDSTEALLGVLSPYPSKA EATQEPHAAKWQNLLGVSPSVLPVGSLLAFSVMDPRLRYPPRRVQNPSSATQLHHTST AWHRELTPKPIALFDRDIRFKACQLPSQKALNRRKGKNAPGSFLKPTQADPEIPVVLL ASRQVETPGKWTLLMPWKCVLPTWYALMHYPLSSGGNPSLGGLQEIQQSCFEQGLPWF PGDFPATDAGQAWELEQREARKKAWDRMPKGKRVNYQTLDLGAGRKGEIGEGWACNFE SMFDSSQKSTATEKPDVVMADQDDQSAGGAEIRQPKAADPSEHPLSQMTQLSKVKFNA VLAGKADTLPPNALVTVKLKLQGRGVPGPCARVYRLPTSKATSMATQAEVPATDPLVT SSNGLPSNLADKWLATLPQKGKQPRATPKVKHNSTANIDLELRKRLLAQELTAPPNTD GEGINGHLLCPNAEDLMGFVTTGSFSLREGQAEAIGSLSAEKALEALNRCKGKNDGTS RLVVVRNAGQSIGWLARWELV PFICI_03033 MLSAMRQLLWLACAVGVLAGPYNTFDGPGFPACNDVASVHNVTS VDEMVSIVKEAAANGTPVRASGKGHMWYDTMCQDDPRTVIIRTEYTNGISDLQLEAGA DSGSVVIEAGVTFLQLAEWLHERNASIGYTLVNWNISMAGAVAMGAHRSSIREDSMVA AGVLSLDIINGNGDIVTVERDESNDDWLAASTSLGLLGVIARMKFKIYPDFKVFAKQD IYDEDDVLNGDIYGMIAPYATANFWWWPYLKKFHHRYYDEVPTTLSDQQGFQNTFSLT DIEAGAAIALLNSGKYLETSNELAETTFFTLWSAPNFHEKETDATILFWPVYGWNYDV LIGGLYPGQKPEWEYGLHGLTLELAFPVTQANAMLKRVRQFFDDEKTERGITMTSTYR SGINIKFGKPYYDFLGQVTYNTSDGADWTQGAIMFDFPHFKPSVGDGEPYNADFYPRL ANVLINEFPCRPHWTKNTREVFGNATKNLDPSHLSRFKAVREKFDPNETFKSIVGEIL GLYD PFICI_03034 MDIEQSSRKRKLSDLELSDDDPDTSLPAKRPDQELFPEIPVNTV DTFRSDLSGAGDATDFCELFEDNHDNGSDQPTNNTTPAIDDAFTPASIDDSEDTAYSS PVALQHPTVWAKTRSALCDALPYFRCHEGGNYHIDRVTLGLLLDSNGSPRDYMDGTVI ITAVGGGRVAIDKTGKRASVEDQDESARNYQYLKATMPNQNASDESPGRTVVVIAGKN NDHANVSEEYCVLGEYQVTDLWLEKFPDSVQTSVAVASWMVRLEKVEFNKSSWFKPKS TSHDVVAGQYKCPSLECISCGKESKQIYDKGWACLHNTCARAFIFQVVSNGQVEERRL GFNEIQYSAEFLYERTLLPAEATRQDLIPPLPTIDNSISFGTEAEFKRGMVCPLCKCA SRRISWHGWKCENTESCNFTHMVQTRPYPLQEIARETRKVKSRYKQGSFTDSLVKCYD RLLEGYATQVYTFPDEAGQTCGTAIVLRATEEICAKEDGPDALYMAMQDRNVDLKLER SAARHTGKRHEELCSHFTSNFGAPYKFGVAVKTVAFRDAPEPILRGVSQLSWAQRVSI EDTKSMIEEEGITYSSTSMTLKSEPFNELLALGYFESSVINYHDDGEKDLGPTVATLS LGSPSIMRFRPKKKSKVGPEVKNLRSLKPAVVSIPLYHGDIVIMHGTELQKNYEHEVK PKGKLRFAMTSRYIMSNSWSEERRQDTMEKSKLPLDFEKLAYGGVTIDIQS PFICI_03035 MRSEAELPPPPGPSKTFSIDFTMPADEDPADSIDELRQRHERFI DDLIPSSEEEEEDDDPAMLSPSNGPVFDFASLPTPASTVSSGTRPMVNLGIKPQFNLD SAEKLLQMFRAMLPSCPCVILDEGSDVRTMARDSPFVLLAILAATSCSTSLQGHSLYD EEFRKVLGLKFVTGGERTLDLLQGILVYCAWYPFHLRPKHRQAFQYLKMATEISSDLE LDQEETFADRLEGGLNLEDLASVRAFISCYYLVTALSNSFSRNSGFPYTAWLAKCCDL LEHQSNIEQDHVLVWLVRYHHISDEMTTLQRSYRKQGSQNDPHRTLIYRGLESQLREW QSRIPTTIAMMPSIMISSLFCNMHLVAAPLMDMRRPKANDNVGPLLDAKRLLAAVQAT RAFFDFATALPADAMAQFSSVDRTRLIVAVIVAYRLSFPVEACPDYDAAQARLILDFG AYLEKLCRDPAEGTLEPSGRKRTDVCTAFRVVLTSLKAKYEKKVAAAVAKEEIRMKAH ECPMFDGSLDDYISLWDGHGAPIGGASYSISQSSSSGVLTDAVLEATPAMTQGKPLEF HDLWATMTSDWGAGDLTDLGLGDAQLDYSSFE PFICI_03036 MRDRNIRFYLAVFLLLAFFIHILNKRYGVLEETGLTLTSNVNTL ASFRHQGPTCRISSLDLYEARNQRCRTRNSMLEAMSTGGRVGRDAPYTAKGCDMIWYS TKEVCQILGRFSQVMLVGDSMLRHIIGALNIFIREDLGYGAVTDWNFSNEERQNCFCL NQFNVKTCSVQGIYKTSDVLTHDPESLACPKLIPGWKMDLSIEQINRFPIPPDELARL RASVHAGQPAAVRPRPRAFILGQGLWNDLEVEDSIHWLDAILAVINRNAEYQIPTLLV TPNAAGKDKPDRWIATQGNEALARFEEAMADAANKQGIDHLGTWNMSIQATLYDGVHL DMRGNLLKAMMVVNWLAFLDG PFICI_03037 MSTALPFSEPPWLLGLPSPIYKDTHRKWQAAIRPFIEKHLLTKA REWERAETVPEHVFTDFASVNMLIPALPAPLPVAWLKRLGIHELLGGLKVEDFDYLHS LIFADEMARSGLAGPPGSLTTGFAFGIPPIIKFGSQQLQERFLPELLRGEKRSCIAIT EPDAGSDVANITTTAVKSKCGKFYILNGTKKWITNGVWTDYSSMAVRTGPEGSGAAGL SMMVVPLKNTPGVNMRRLKVSGQVSAGTTYIELDDVKVPVENLIGKEGMGMRYVMTNF NHERLSIAVGTTRTARVALSAAFEYILRREAFGKPLADQPVVRNRLAKAGALLESQSA WVEQFAYQMTQLPKDDADRELGGLTAMLKAQAGIVLKECADTAVLLFGGNGYTRSGQG EIAEMIWREVPGARIPGGSEDVMLDLAVRQLIKLYKAKTQALEGGSKL PFICI_03038 MTQDQGPQGAASSLNLSRPPFAPLISNRTSPFAPASANQAITAT STHPTTTTSPAKSAMATSFMSPPPSFKNGSFSMYPCPPIMGSSPFDRDASMQPVGTPS QVSTVLIRRLPLEITEKEVELMLFMAQDSFEVQLLPPEQSADPGFCTATAKFRSPASA QKAKELLHGKAVGSADLIVDVLSGSPPSSRRNHNEPSGSKASSNASSTNSSGQSARFN GSFTMQAMDKISPSMGKLYGSAELPNPAADEHFQTLFSPQSPIGNHLTERARMSSRDI INQDVGDDEAEDLLNNLIRNPDNVHSQGRRATAPQLPVNRLASLSLNTNPVGQPSIPQ YGHPHANNITPLSAHGNTMSPTVMGNASFPSTGPSYPPRQNYPPVNPADQNPPCNTLY VGNLPIDTSEEELKAMFSKQRGYKRLCFRTKQNGPMCFVEFEDISFATKALHELYGQL LHNSVKGGIRLSFSKNPLGVRSGPGQGGAPPMNGLNNMMANAASGFTTVNGPPPGLPG PAGLGRMGYGTTSSMANGHGSAYSTTSYSSGMSNGWSNGGYPGPLSTGGTSSPLPSGA SSGFASYMMGK PFICI_03039 MVTFNTGGVGKSCLTAQFVHNEWIESYDPTIEDSYRTQVSVDGR QVVLEILDTAGTEQFVAMRDLYMKTGQGFLLVFSISSASSLSELAGLRDEIIRIKDDD NVPIVICGNKADLEDQRTVPRTKGFSLSQRWGAPYYESSARTRTNVDEVFVDLCRQML RRDDAGESQQQDEPEFDPYSLSYYKKRRKQRREREKDPHRPKCVIL PFICI_03040 MRPESGVLPPPNLAAAVSPASTISQEPDDCYFTAKAVPIRASVA LSGATSTSHLSYYYHHSPATLSTGNFTNNNSSPSPLLSPGASPLLSPNSARNSSAFVT PAEFPPPPGHSHPSFVFRRSQTASFASPSAAGGTPSSHSPAVAAKSPPVDGLRVNSTS SMIWDSSSPGLGLSLTRPPPRVEDDGSRESTTWLSSPSLLPHPPSVNGKDAHIRPLFS SARQSALSTTGTLGTTPGPTPPPKEYHPTLSPVTNDYFSPLAPMVTSFQPPAPQPPLP PQPTQQPTTLTGLSRNGKPLPLDLPGTTTTTRVGGAGGAADSTLSPISHPPPTATSQS SLLSPISQHSPVSNPALSPLTVHTLAHLSNTALRSPSLTFIDTDDEKQPMQGTIGRFG STASRPLTGAQRDRLLQLKRDYDRRQGYGQGDEEKGGRWGVRSRDGSSSSSSARTILL ALGLGLLALGGIVGIIVALTVAQGGHS PFICI_03041 MDQPFPIGGSVRRARERAEAGLPREKIQYTGGNRPQAPPGLQSR DGGIGLAISRPTPVPQWPLAGPLASPASSDGSDRYRPPAGKVPPQRPPRPSRVPSILD GSRVQDPTPVFQYTPQQERASQLSVPETPATSSSRPTTTSSVGSIPDFPLPVATPSAL PRRSVNLGPPPSSRRGNSAFYSTNSYVSPIPEESPRTRSHASYASSAAIPESYGTLSP GLQDDLYYDDVIAEESVYSDDDADERGLVRSASIGKRGKPSIITTKSAISEKGEMVPI VLPMRPSPKPQQSTPFQDGTGFIEGSSGSSSENDSSDRLPTVGTALTTDNMLGAYAAA SAQDPSAIRKVASPKPYRMSGLRRPPRLDIDAVRQAEARGSLTSLPDLIKRATRLAAM MSEGRRPASRFDLDFPEEIYGRNVGKDDLEKHQSGLSDMLAAFPPPAQAANGRRSMRQ STTSWPLPFTGRKSYVGSPLAEQHNMDRTPPPEGRQDRPKRRCCGLPLWGFLLLMFAL VVVIAAAIIVPLEFFVIQNRDEASPTAQPELSDCQSQITCANGGTNIVTDGVCSCICT NGFTGNDCTTLSSEGCTTTTLSSTDSTLSNVTLGQAIPRLVQEGQTNFSVPLSATTIL SKFNAANLSCVAENALVTFDGSSTRTTSTTTTNSAAVLDGDVDANVVEAQEAVPVTVT IMSGVAITLTLDSAATDASIIVSTLTEPLSTGSFSTIFATTLTNSATATATATTTIGT DTTATGTATSTATSAAATSTFSVSDQALDFARVAVLFILQSETVQDAVTAQSSLQKFF TSAASTDGVTIEQARNLTVGGTNTVDLVNFAVSV PFICI_03042 MSHASRNPGSKPGSAASASRTSHSSSISRRSSGMSRHSTSAHSR ASTCSHSHSHPSASVHSRPRDSVRSSPPSSVHSRPPGSVHSHPPSSVHSHNRNGVRGS ALHHQYEDQDGYDEAYGEPNLEAQLRFSAELTAGEPPVEVYDSVSQVGHRLRNNRHHA QLPPLSMPPPPLPRSQVQHSPASSRFHGSRAGGGERRRSVGRAVNELSTGSSPQRMSN TQRRPSRSSGGGGGGGGGGGSGGTRGEKRKEKGAKKTGIKVAGGGEVVKLSNGKLALV RKPKQSSKQ PFICI_03043 MYRYLAPVAALYLAGSARAEFGNSFYLGPFPDGQVITKATYSMA APDVPTGYDSSDSSLWLSVWVGVQPQSDDEDNENLVQPLLNWCLDNESCGCAADEDQW CAAANTYTPSGQEGEDYVVVPTDATLDFEIAVNSSTNLIDQKIWINGELVSQKSDSSG MQPGVIYSANECSDANCGTLAAFSWTNMTLTLSAAVDSIEDYLALNVATSSGFTTTDG GLTWQVDEINMGTDTAWS PFICI_03044 MGSGKDLGELPPYSAVESQQWHKRRPRSLRRSRGLKYLALACLG FIVYAQWRQLSPSSRAGATTTLSIEKLEADLAVCSKLRTKPQDPIGLGRERNARYVEG HKPTLIKNATVWIGEPEDGTSDEDARAGKGFSWVTSDVYLEHGLIKKVGKDLDLTSLA SDVIVWDAQGRKLTTGIIDMHSHTGVYGIPELRGNQDGNEMSSDITPYVRSIDGLNPL DDQIEIIKSGGVTTSLILPGSGNNIGGEAFVIKHAVGKEDGRNETSITDLLADPDRNW RYMKMACGENAKRIYGKVGSQGPVSRMGESWEFRHAFETAANLIRAQDDWCAQADANG VHTMSTYLPQELKWESLSAALRGQVHINTHCYTIPDLEAFVDHTNEFKFPVRAFHHAH QTYLVPEILKRAWGPSPPASALFADNMWYKAESYIGSEYAGKILYENGLTPIYVSDNP VINAQHVLHEAAKAYGYGLPYHVALAAVTTAPAERLGLGQRIGKVKAGFDADIAVWDS DPLSLGAAPVQVWIDGTAQFENPVELDKSFLAPSSSPRGAVDIPEEPITVSDVVFTGI STSLLPEAQEGKFDGDNITVAISQGKIQCIGSCANELQVAAQSGTKVVHLKNGYISKS FVAFGTLIGLNAIDSEADTDNGKSTEHFSRGVDGLRLDSKKLQFAHRYGVTRAITAPK YTGQGTHFGTSVGFKTNALHVLAEGAIFVDDAAVHYTLDNQSKNSGGSISAALGSLRH KLLKAVDAGNISAADAFTEEAYLKKVVNGEIPLSITIHTADGIASVLKLKKQIESASG STIKLVIIGGAESHLVADEIAAANVGVVLSPLLSYRNTWEQRRALTGAPLSNGTAIDK LLDAGVVAAIGLEEDWLIRDMAVLAGVAYTNGEGRIGKKDALDLVSTNVYKILGLATP EASGDFIVYEGSPLEVGAQVKAVGSGSSTVTLIE PFICI_03045 MSKILTVFGATGNQGGSVIRAVLADSVLSKEFSIRGITRDVSKP AAKALASKGVDVRAADMSSKDSLAKALEGSHSVFLVTTPDFMSGGPSQELNHGKNVAD VAETCGVQHLIFSSLLHVTDETNGRLKHVEHFDMKADVERYIRAKNVPSTFILPGYFM SNFTALQMIRKGEDGVYSLAYPVSDKAEFPLIDTESDIGKYAVAVLRNRAKVIGKQIL AAADYYTPTRILSEFEEVTQSKTRFVQLDAETYKSFLPAPMAQEMLENHLFIEEPGYY AGKSLQESLDLLAKVNLKPTTWKDFLIKNKAAL PFICI_03046 MPIAKDFFALVAGAGPGTGRSIALRFAKLYPTVLLARRRENFDG IVNEIEAAGGTALGITADVSDPAAVQAAFATIKKEMPNSKLAAAVYNVNGGFAMKPFL DLKAEDLESSLDGTVRAGFTYAQHCLPLLLDAVSQAPHPPSLIFTGATASLKASAQFA SFAAGKFALRALTQSLAREFGPRGVHVAHVIIDGLIDTPRARGFAGKDQVMISPDAIA ESYWYLHTQDKSAFTQELDLRPHSEKF PFICI_03047 MSGFLRTRNTAATTAGHDEYEVPMVATVCSIILAVVSVTLLSAF FGIRFIQIREWRKLPLVGWVIFAIYIDSWLFVAISSVLRWGPGINMNWDMCSAAIFLC LACYLSTKLIYFFLVEKAFVVWGDRKSRMKSKLYLFNSFGMLSVFAIIGIFNFIYRIT YLDNGKCVIGMQRPVLIPLVSFDLAVNIYLTILFLIPLWKTYSFNMKKTTGNAKLRDL VVRTFIGAVTSTITCLLNIVIMMALDGEPGWMCLMSCNIDLLFDAIIVQYVTSRDNRG TQTTRSYEKNNNHTAVTVERSVAIQHSRTRKGGGSSGGGMLGMGSSNKGHDIVEMEEE IGMSDMGVAPAGSTAAYRLSERDSKGDYASERSTSSVNDMEMGNSTNVIVITKDSY PFICI_03048 MSPPGMLAVTMQPKPELLEAQFHEWYNNEHGPTRLRLPQIFTNG LRYRQIDGKEPTYLAAYDVTAMSQLETETYLTLRANRSPREAETIGQVAVVRYFWDFV TEKKSPLFLPIEKLSNDEAEGLTLVVVQIELKGDQAKSEAEVVKWYEEEHIDMLSKVP GWLRTRMFRTSTLESGGSTYIWALHDYTKENGLNGPEFKAATSTPWKEEVMEKYANIK DRRVFSLFYVFGPAPRDLSSLSQLPAESSTFTSADAKTATTNKPKPVIASYITAPDGL SIPYRLEGNPDPKAPVIAFCNSLLTSLHMWDKFVELLKVHRPQYQILRYDTRGRHSIP SPTPVTLDKVSDDLSYILEALRLPKLDTLIGVSMGGATTLKFALKYPELLNKFIACDF NVASSAANTEAWKGRISIAETPLEDGKPGITQLAGQTVERWFHPESMKKPETVQWLTE QVATNDIEGFRYGCQALWDYNMKADMKGCKVPGLFVVGEGDAKGALVKAMDGFKGELG ATGAELAIVPNAGHLPMCENPEGFWKAVETYI PFICI_03049 MADAKPLAVSELSQITPRSDAMAFPDQTPEAASSPVIVGNHSDA LELMDQSLHINSGTGSLALMDVRNHIDHASFDSIGFQFLAENLSSGSRLGTGAQTYPT TSMNQCLKELSDLNVELHAQSAAVLSKSDDTSLTPYICLDPEHINVTALTPVEASLNA IEKFYSILVILEKLSPSQPSPYNAESDATIFPTLLVDAERCAPIQELETPLILAIISC YVQLVNIFHDFFGRVDRHIKRIRENQTLGKLFQLGTFSALDGRLQGLVFTSMVSHYFD RTERLLGILPEDRPQRVPIRQALLYQPCHLELLQRELAKGGIAGSCDSTQLREAVENI RCTLVADPSW PFICI_03050 MSTDAPVQRIHFSLPPGLERFVRFKDLPYDIRHKIWEQLIYSPG IHFLKFERNFPLILPLDDSSSSEEDTTSDDGSTGPSGSQNPRRQDLSKTRPKIPKTFT ATLKPIFPLPAADLSYHIQKTKTLTQISLSCNEGAYEVNRIISRPDNLTLDNGRLISF ANSSDIVCIDYPDIFSTRGLGSWAQSLDTSQLNNVRRLAVRYHPDWDKKYHFCRICGR YHQWLPSPRKEQVPRRHLYQFATLFPRLETFYLLDHLIVRQPPGIDVEEDSRWLGPSD RARLMAEFAASDNNEKRGERFQSGTGRVYYEVDRNNCNECKVHSHVFNMLEWVQENYV EMCEKKPRGKHQDPKSVKFAVLACEWETTKLVADKIEQPEKTPTRAQNHKRKRARKQK RIFSQEVSELEQDMGSLKLETNQNSLPVVFGDHGQSSYLFSFRTRVSFLSQ PFICI_03051 MEVYLAGLPADLSEYQLKKSLGEMIGALKIQDWTCQKAPRKKFG TLSFLRSFDGEVFLRQHGELPTSLLDRQGRPRMKARLVIMGNYVYCRRSFRDVDQFMI RSLQKDANERRDIEAMPEAQKHPDEIFAVSALICGYFDYNSRQELVFAPEVIWRCPSG IGKFSKDELLISYQLTTNCNSKIRIEIPYRIIESILPSTTPTTLTLTLLEPPRFFEVT KPDLADTFAAMQMNQGINTLKPTRRRLTELPDGLEDHNLSKHGMLLGQCLIYQLLVSP HEFRQKLQSLRRSEILPIYPSMYNFPQDLAKNPSFNEGMRLLHRTIQRYVTAVKFEIL FQIQALVENGYILPWTGSKLLEKIYKHSSDARTEKDRRYAISGLAIKNLFTQIPFPAP DTDPLWFNADELFKQLENNESEMRLGLTKELISEKARNNLTMVYKARVTPTHISLHGP EPEAKNRILRKFEENVDHFVRFQFSDEDGQDIHFNSKFSNEAIYERFLQVLNKGTQIG GRKYDFLGFSHSSLRSQSAWFMAPFFQYGKLQTYFNVINDLGQFSDIYSPARCAARIG QAFSETPFAISLKEHGILDYVIPDIRSDNGQGKRVFTDGVGWASAKVVDAILAALPQR KEATCFQIRWGGAKGMLALDTRQAGSAFAVRPSMVKFESKDIGNLEICDMANKPIPLV LNRQMIKILEDMAVPNDWFFMQQDKELERLRKITATAFNVAAFLRKKKIADQLGLPRL IRRLDLLGIDYKKDRFLCSVVEAVILRELRLLKHKARIPVEQGATLFGIVDETGFLKE GEIYVSFDKTDLIKTDYLTLDSCEMIVTRSPALHPGDIQLATNKVPPPGHSLEHLRNC IVFSHKGKRDLPSQLSGGDLDGDIYNVIWDQFAVSNCKRVFAPADYPLTQPRELTRQV KREDMTEFFVEFMKTNNLGVIATRHMILADQRPAGTVDEDCVKLAQLHSTSVDYSKTG VPVSMDTLRAIKFNRFRPDFMAPAPPTHLQNRTEIVFDAPTRPAADEYNDEEEDTGPG FRYYLSDKILGRLYRAINEQKIWKESVRIDRKQGDGVEVWNRFQSYVMEQCEDKLGGV TWASFMGEAWDIRHAYEDAIAGACVDFSDHATVSITELEVFTGNIFNKSGVQTRRQRD RSLQIKDEFDRIAAWIESLIRKQPIADLAAQEQQQEENDGNQDEVDGASDINSSGEST WTTTDPESTALELSIACLHVGCVKEKGRRNPGMPRGRASKEDGKSSFKIVAACCVLKE LNIATRRADTRSAALAQGGGFVGVSGRP PFICI_03052 MNPQIPRYDVVVIGGGPTGLGAAKRLNQLVRHLVSRKILDMTLM ETGKTPEPRQLGALREDFKRRGLVVDLHHQRGLVDYGGHVVFSHYKFFDECLDEALPR EQDWCRHQRISYIRFKDRWVPYPFQNNLSALSVDDQVTCLGGLIDAAAQAKTAPKPKT FDEWMLLNLGEGIADCFMRPYTWKVWATRPTKMQCAWLGERVPAPDAKKAATNVLKGV VQGNWGPNATFRFPSAGGTGHIWEAVASTLPQDRLHYNKTISSVDEDQKFVTFEDGEV VQYGHLITTMPLDILVSKMKHADRYSTCRRLAYSSTNVVLVGIRGTRPDAIGSTCWFY FSEDNCPFYRATVFSNYAPGNVPTPSTKLPTIRLADNSRLPDPTPRAGPYWSLLLEVS ESVEKPASPSLLEDCIQGLLNTGLLGAEDEIVSLFHKRCHHGHPTPNLERDSVLNEVL PALRDLNIYSRGRFGSWKYEVSNQDHSFMLGVEAVDNILFGATELSLLYPDVVNGRSN NERTLQIGTMKQTAPNGFRV PFICI_03053 MTDILGAISASLDLAIFITKQVGKYKDAPETVRLISDDVLRLSR ILEHLRDNLQPHPVSAVRLAPAGLEHGMESVKRCERVLGKIKATLKSIFRTGDWNLPR DEVKQIHLNTLTRISFVVRDSDINKLRAELKECKWDIMLSNSVNQLYLAQQYPELYAR DSEKLDYLQELCEYIVTQKLVNQSSNKVPVTPPSMPPGGMDDIFTPNAVHVPGGDKGF GSNLPPRPPSSRPLQTLEIRKVHKSQIAEAVLISERIRWLHDPFDEGFINIHEPLGEE RMRHLIRLSRDLLTPTSSSVRYEVITERRDSQRRKEEYERKEDEYMLKEEKLRIEEEL RRSDRMPYAESASRGGSSEAHAIPSNNLVADLISQWIIPADPDDRQASKCDRYFEKGE RMFYCPVCAYDEDTVFCSDCFIAADHERHGVEARRSNGRGYCDCGHANSVKRRMVCTI HGVNEPKNETQYR PFICI_03054 MSKVQIPPSTATVQVSIVDTTFGGYAPTAHFMGPPIDGFETFRA VAYAFVITHTDEKGTERRVVFDLGTPKDLVNDFPPAVAAQLAQLSSNLTVAKNTSDVL SQGGLDLESIEALIWSHAHPDHVGRPSLFPSSCDLLVGPGIKQAFFPGWPTIAESPVL EREFQGRQVREVDFSSSNLKIGGLGAVDYFGDGSFYLLDAPGHAIGHMNALARTTSDT FILLAGDSFHHGSELRPHPGAALPESVSFQGGKCCDTAVFKSIHPNTSGIEVPERYVK ALQHPHGGCSQVPFHTISQKATGETLSHDIEVTRETLRAIQGFDADPNIFVIAAHDAT LYDVLEYLPKLANDWQKKGWKAQTRWYFLEDLMPTFNGTGRLE PFICI_03055 MPPSTMEEVGEENRNGATTNASFQFSWNDDQALQAMDVLEAIPG IKTICVTGGAGFIGSWVFRSLTLTYPMYHFICYDNFEYSSSLNNLHALDGVSNWSLVR GDVSDEIAVTKCFFKHKIDAVMHFAAQSHVDLSFDAPHAFCKTNLTGTVVLLEAARKF GVKRFIHVSTDEVYGEVSPGQPDHKESDVLCPTNPYSGSKAAAEMMVCAYAKSFGLPT IIVRSNNVYGPNQFPEKIIPKFTILLHRKQKVPLYGSGEYTRRYIYAGDVANAFNFIF HRGEIGETYNIGTVDRVSNIEVIGKILAASQKVAGINHSASLNIEKWIQSTPNRPFMD RHYAVDFTKLTDLGWSQKVALDDGFRRTVSWYERYGEQWWGDISSHLGSGVFKTEPSI QSS PFICI_03056 MTESDKFEVLEKIGHGSFGVIRRVKRKADGLVMCRKEISYLKMS QKEREQLHAEFQILSGLRHPNIVAYYHREHLKLSQDLHFYMEYCGNGDLGRVIKDLQQ KNQYAEESFVWSIFSQLIMALYRCHYGVDPPEVGKSVLGLGATAKPKAPVGGMTILHR DLKPENVFLNEDNSVKLGDFGLSKIMSAHDFASTYVGTPFYMSPEICAAEKYTLKSDI WALGCIIYELCAREPPFNAKSHYGLVQKIKEGKVENLPKVYSQDLYSVIRQCLKINPD HRPSTADLLNMPVVRLMRKEMEVTDTNKILRAKEEGLKKKLAELERKLANVDADKSLM RHEIEGQLRREWEVKARLEIDRLVNEEMESLRQRFEAEVSVRVQEELQKKTVSFAAVE SHHFNSSATKSDYPHSSAGLSASGHSTDGEFPSTTDITELSIESPEPVQPLKKSTRTP FGRAQTMFAGPLGTPMDIEMASPSPIPLAALSLSPRRMAATKAPTSHPQTIFAAGDSD RFRDADPLVSESEDEDDEPMAPSPSRQPRSIKNPFGIKRPNLTSNKTAPMGQTKTNSS TLNKGLSSFPPINAQKKTECNAPLRERNNTPNRRLSKIPSVASLVGENSSNMARKVST KDWADTSPNKVPAKNNVRGRTLIELQQARAGGRAPDVSPKRTNSLKSRAGAAEKTLEP AAVWDPERDEMPSPFLVRRKPMARV PFICI_03057 MVLRKFELETKLKDDIALVKSGVLRDENPLDDSAEFNELLAACR HGDLKRTQELISSGVNINGKDKFDYTPLIIASLCGHFELVQLLLESGALAERDTFQGE RCIYNALNDRIRNLLLQYDFSKSTDPLQPWAAHVTELLNREMPKTSDITINTSTDSFQ LHKFLLAARTPYFRKKLTDAPETTSWKLPSSIPADSFKVVMRYLYLGDLPRDLVGAWS TVSEEEVLTGIDKISKQLEVTQLWDAILGGNDRRLARQRHQDEVARASKQVGELFREK IIGHKMLVDPKKVADVKWRHDNSIFADCLLRADEDGIDELDEEDQEQASAIPIGPGAA SKPQSQNGERKHPKSVLYPAHKAMLIRSPYFETMFSSQFVEAQDSEFLHIIKVDCPPA VLELVLEFMYTEKVDCPLDLGLDLLYAADMLFLDKLKTRAAIAISTLGSGNNNVLVDR THPEYEGAGEVEVEPINVYDVIHAAWDLRVQRLEEFAARYIAYRLEDYIDDDEFAELI HESAQRIQKREETDTIELLDDIRYYLSERFRLRFEDAGLDEMLDEEGEIDATLAEALA GTDITNGEVTPKHAADDASEQILATGPKTNGAANGTTDGINGVVRTLDGELVDDEFSQ DAINYQILLQKIDGMLEKLKLDA PFICI_03058 MSHTAHHIHEQFEPRPDAHKLAGDVNGKVDYEPHPERSIKISTE HEQIMQKIINLYSGSASEDDMQVYDKDAVYDDPFSYCDNRYKIAGQWYGLPMVFSGLR TLKTEVVKDTPTEIIWKMQHEYTPKGIGKSKAVNSLISLGLDDQGKVRYHKDMWNEKD YSHDGLGKFFKTLQADVTPAMSRPPKTL PFICI_03059 MFIETAFLAASLAGVASGAQPGAAAPLAAPMRDLEWGQINFLHT TDTHGWHAGHLQEGQYSADWGDYISFADHMRRKADEKGVDIIVVDTGDRIEGNGLYDA SKPKGKYTSDIYKEQDIDILCTGNHELYIADSVRREHDVTVPNYRNSYLASNLDYIEP QTGNQIPQAQRYKKFKTKNQGLTVVAFGFLFNFDRNANNSVVQNVEDTIKESWFQEAI REKPDIFVVTGHIGLRMKEFELIFNAIRDQNWFTPIAILGGHAHVRDFRKFDDKAYAI ASGRYMETIGWMSVDGIKKRSNDATSSAASPTFKRRYIDNNLLGLQYHTGLNETTFPT EHGKNVTNLIAQSRQALDLDKVYGCAPQDLWMSRAEYPSNSSVFTWLQEKVVPEIAVR KDRQNVPRLAIANTGMVRFDIFKGAFTTDTTYIVSPFISKFNYIPDVPYRAAKKVISL LNNGGPVFTTAEAGHVLDTKWLASPEQWALAERPGMESSSPEGAENAQIFDDSTQKPL FKDESSSKKPELVQGYTTKDDLGDDGDDAIHEPIKFYAVPNCIQTEIDFPEEGEPETV DLVFVDYVQPWIIVALKFSGGDYSTDDVAEYRTETVTDLIFEWITKNWGTDC PFICI_03060 MSIHVRRCRSSIPRGICSVLWASRLSQRQSLHTGNAYITQNPDE SLEAAKRDTHFAVKDNIAARGLPTTCASNILAGQEIPFSATIVQQLQRRNLTVIGKTN MDEFGMGTHSTASAVGRVSNISPIEERSAGGSSGGSAVAVSAGTTDLALGTDTGGSVR MPAAYTETVGFKPSYGMISRWGVVPYANSLDTVGFIAQTVGEIESVIASVRAEHDLRD PTSLTQATRERCMAQERQVPPSVEQRKGEWLRGLTFGIPIEYNIEELDPRIKAGWMQC AQKIRDLGGRVVPVSLPSTKNALSAYYVIAPAEAASNLSKYDGIRYGKRAEGATTDAL DGVLYAKTRGNGFGPEVKRRVLLGSYTLSSKAIDNYFIQAQKVRQLVRKDFDRVFKLS NPLCDEAPFDLSEMDESISLENKLGPTQVDFIISPTAPTPPPRLEDVAKQTPLDSYMN DVFTVPASLAGLPAISIPMDIPVDTTSEEEKLLNFGGLQIIGQFWDDMTLLAVAQGLS PEISSRVANVTKEL PFICI_03061 MTHRADPSRFLDERHSAPLCPNGMNPATLLEKAMIERIIDSFYF KDACFGLNEADIVDRVVSHVTFVGGTYGSSQKPTPFLCLLFKLLQLGPGDDVLGEYLS FGGERFKYLRALAAFYIRLTRRSEDVYKTLEPFLEDRRKLRRKGAQGTTLTFVDQFVD DLLTKDRVCGTTLWQLTKRELLEDLEKLEPRVSPLGDIEDLLDELDQENGEEGQADSG ENGASDEGEVEEVEPRSRSRSRSRSRDSNRRRSYSGDRDDRMDVDERTKRRSSRSQSR SYPRSRSPDSRRDGSR PFICI_03062 MLFRFREAQAADLGIIDAGRTRRPKIITEVDSIPSCEKWRGQTL KEISRKVSKIQDPALSDYQIRDVNDEINKLMREKHMWEVQIRNLGGPNYMRGGGKVYD ESGKEIPGGGKGYRYFGRARELPGVKELFEAAKHKDKDEKPLETRDDLRKAVDAKYYG YAPDEEDEALLEYERQKEEAALEALKKQGGKGTAPPDWEPLPGDSGDGKAWELPTLEE VQEELMDRRRKRLLDSLQ PFICI_03063 MGTEAELATFKSPHGVIIKTQRLKLRPVYPLTDIHVIHRMRRNP NAMKFMSTGVEIEDDPFKSVSFDRMRIMTAPGSFSFAVELLLSDGQTSGDIIGIIGLF RPPACGYLFDEPYWGKGYATEAVEGFARGYFEHFPEGVPGLDPANRNILLAYVYEGNH ASEKVLKKAGFREIRKEVGEAHTGEPVTATVFMIERPEKVPVPAEVAGLPT PFICI_03064 MCKNYWNADACRRCGFVSNVVAPGKTDPFTGQINTSGFMCLKAQ ERNVERNRHTQHLDKPLRASNIARCKMRQLCRDGGPGLDGAKDKDGDQEEAGGEGKQE NNGSEGDDIMLIYMWKFCTLGCKRQHAAHMCKWTSPLGWCTGAGDSERDEKDEAGQAA EPVSNLPLAPYQRPLASPVLQMVMKKNLQAKARKL PFICI_03065 MSGLELPGFACNIMQVISFSREVVSLCSNVYQGKHPDAELADLA ASLQAVSSDVQTSFDTINPKTADEKRLCDIATRCAIAARDLEEEVSFITSRQKQGSLA STLRIAAKTTWRKRRLDRLEKSLKEHERVLDTHLTVRVCKKADAIALRQKEGFDKLGA DIQHFASQYALGHQKLRELVRTDLSLSQKESEKVMKAHVTEEITRSQVALLSHIDSKA EVTTGTITQNLHDLNIQTKDNSSCERLLQSLKYPAMDDRRNHLAEPREGTFKWVFSQH ELSNDDNSNGVKTTRAAYRDPPWDNFGDWLKSDSDMYWISGKPGSGKSTFMKFLISNP LTREALRAWRPDVTILSHFFWKPGSMIQRNIKGLFASLLHQLLAPRQDILQAVLAGSR DLEQKDSNTDWSVKELQSFCLNTMRSYGSYICMFIDGLDEICDEDGVGALMEILNTIK LIPDVKICVASRPEQRLKRYLSRFPQLKLHDLTHEDIQHYADQTLRQSWRLRQDLSSR VLDEEILPELLRKAKGVFLWVRLAVRGLVHGIENDDSGSDLVLRLQDLPIDLSQMYND MWTRLNGNTNIHRESTAKLLNLLIAYRYLIASGYSELRSSTGIPVIDIMGALDLPMQS RLFVDEQRPTTETIIHSCNQTRELMEVRCIGLVDIHQSDIRWHRKRVSAARLRLHASL SVDFVHRTAYEFLTDTEEGHRILSYDSSSSWERHLQLFKGKLLAATIAKGTSLGDMMS MIAEVGHSVRKEETHDLLKLCWKLYSRGLTSDYGNRLGRHFLSLATHPYLEDFLISSI NKSLRPSALATQVLQEMLTMETYHWRQVSYFGCLTSLGAVPSLKGRLFQRMANGDVDS SSIARTISSLQMILERRIENYRYYDESFPDSFTNPSLGEYMQASQNYLTESSWMLLDV SKDHMRLHGISRIYVGGIVRRFSESRDNNKQHIFKGHSFVVELKSFLMLESLHRKQQS HQGRREPFTLVNFLEDPEQGPSCVRLRYFMFKAHTTPDDVGIEGCCRIRNRENVQPIE QLVKSWLLGETEGNPGESVREAMEHVCDEVAAGSTAYERIYGDLWEHLADDGFGMCKI TKEEIDERIRHMQDEDPEAPGHWL PFICI_03066 MSQGLSLGRIQAGFAQATQEITVAAANLNFDFSLVKLEAPAEYK PIGNILTPSRIREAEVGPIHVTARRLGALFEGACPETPNLIKAYGTRASEISKEVSDS DSGRNHGRDRDWIGNEYGGVDATSIWAAATSSKAALPIHLLACIIARMWSHTEATSVW AEIVAERKREITAKFEEGEHIPTILASAIQQEITRDHLRKWDTSARAWLQTADKARQR QYTQFLLIVNNLSIAVHQGHTLLYSNVINVWNSALTATEGLVSGRPHAVQNGPVLLGL SAWHIFPDMLVFDNPTGSVSVSMNDPLVNPGGVLSLGISDSASKGEQGIYWSLSLSHH RYYGEAVRRTRRLESDGSRLTFNELVLVCIGSLLKAWSVPKQGINNSIRVLEALRLVL PHSQGENPQEDWQTIIEQPLKQFTDGEKGAILAMSLGRRRPEFVPSPTRRKPFFGVLH LPNILQLLKDTEMRIKFLRRLANRVPGLDNSNSIILASNNHQQNGFQFVTVFPHDVTQ ADSTGNDRNVRQKLFRRWIDVPSYIRLTYRDAIRLARQEFNGLSGPSTVGETKASMTR GLSRPQTDLKLKVAPFHQGEIPMSSWSGYGDRQTSMINDADAEEVEIDAELDSDSDLD SNVAQNEESIRSRGSKHKDGGNCSDSQNTDDGDSNRDLDGPVRRRCESDETQPALPIM SQENRYREANHHRHQAAESTSDAAERKALAEFQELCSQYLAEREHEIPDETIEFQNLM REDPPHWRYDQLSNVIGSRRYVGESFGEFFGEEQGSVKANPASGEYALVYAKGKGESS ASLSGIGAAPTATLDDLLWCLEHDLVDPDRLKKHLQEEPAFGLLRVLAAVGEIYREPT SGGATISCSIVDNPFNPPILSMGFGVDDWTHATILLNDTTAMALIGYFETGNNFIEIM RDADQIIGLSGGDSIFVRTAILNDPENNYPDYSFTRLLGNTGKAGFSILTLPPKLMAR KLDSTSWRVETKSFNGLPFDSFKQTSLHLSFTGWQMPVANSLPAGQIDADINIIEAVV SVRDAGRWVADVDIYRALSSPRLQISTTRSEGCEHISEGQDEVNHYEEDRDEMISIEN WNQVLDQVDGSAVVRCFDNLVARLAVVSALCQHSKANDRPVVVCSKRMCFRCVSGHLN EGHDSTYVF PFICI_03067 MMYAPILSLCALLSAVPHALACLGYEGGVPTPTSTKTNSAVIVV AAGTTFDGGWAKYDRGSGACNDQAEGGDADAVFLLKSGATLKNVIIGKNQAEGVHCDG PCTLEFVWFEDVCEDAITVVGGPQEMKPRISTMLCSNLMSSIQKNDKAGEHSWIIGGG AYHASDKIVQHNGCGTVNIINFYAEDYGKLYRSCGNCSSQCKRNVYVEGTTARDGGEV VGINSNYGDTATLKNVCTDASHPCQMYTGCSNGCEPVKAGYCSG PFICI_03068 MALPFATPASLSPSFVLSYCEAGDVDQLCNVYYEAFKEDPGNSH WWSPSRDKMMAWMRTRIEKKMADRSIRHFKVTDVASGDMVAFARWDIPEGSEHFGGWL GDCSSSNSSSGNDGAAVAAAAAVNVTKIVESEPSPQDAEQESQEVAASAPSVEQQVVD VPEGADPFLALNFFGALKKASAQWYKSDMLGLSLISTDPKYHRRGAAKALIVPMLELA DSHGITAYLEATPAGKPVYEKLGFRQVDSLDFNLQELTKGNLDGWYRLSIMTREPRAK PQ PFICI_03069 MKSSLIVQLFLWGAATVSASPVHNSIYKKPLYKRLKLVDLAQFG EGFHTITLEEAKANAAKNNAEHAGLLSGITGSNSKAANTVVHNTAASTDSGNNATAVK AAAAGNCTSPATRVEWRSLSDADKLAFVGAIKCLMNLPPSGAYSSAGSTSRYEDLVAV HSIMTDSIHMVAQFLPWHRYYVHVFESMLRDECSYAGPMTWWNEPLDAGHFSTSPVFG ADTFGSAPLKTSDNQGTCIDDGAFSNLTLHIGGERCLSRAVDETATTQCSTDFVNSCN SNNKYSDMESCSEFGPHGYGHNGIGAVMSFVSISPGDPVFFMHHGFVDHSYVLWQNAD LTNRLNQVNGCTDSACSSQLTADYVLSSQGLRPEVKVSDVLDTQGGYLCYVYDS PFICI_03070 MATQLGILLLSAASVMASYASSPPVYPSPPGIGTGKWEEAYVSA RELVSQMTLEEKVNITRGFTVSDNVCAGNTGTVPRLNWPGMCLHDAGNGVRATDMVNS YPSAIHAGASWDKNLTYERGLYMGLEFKAKGVNVMLGPNAGPLGRSPLAGRNFEGFSV DPYLSGRLNAETITGTQDAGVIAVIKHLIANEQETYRRPYFGVEAASSNIDDKTLHEY YFWPFMDAVQAGVASAMCSYNRINNTYGCENSKLMNGLLKTETSFQGFIMLDWNAVHS LDSANAGLDMLMPGGGNWGDNLTAAVHNGSVSEDRVTDMAVRITAAWYLVGQDGTNFP TPGIGMKNLTLPHDIVDARLPESEPILLEGAVAGHVLVKNVNEALPLKAKPKMLSVYG YDATIPRTKNTDTVFQLGYFSSPEMTQAVLGTEQHFDQAAKGGTIVVGGRAGANAPSY IIDPLAAIQQRAKADGTWLNWDLDSTNPGVNAASDACLVFINAISTEGWDRDGLHDDS SDGLVLNVASKCANTIVVVHTVGVRLVDQWIDHPNVTATIIAHLPGQDSGTALVQLLY GEAGFSGKLPYTMAKNESDYSVYHVCGKIGPNDTDPQCDYTEGVYLDYRYFDEKNITP RYEFGYGLTYTTFDFDQLSINSTGLNAALDSHADLWTIITTVDSTVTNTGPVAGAEVA QLYMAIPNSPPKQLRGFEKVHLQPGESATVRFELTRRDLSVWDVASQQWKVQDGNYTV FVGASSRDVRMTGTIQV PFICI_03071 MAYHDDTRNEKVMDMKHEENVHTAAERGLAATDRYGHSLVHIDK AAERKLRLKIDLYVIPTVALLYLFCFIDRANIGNARLAGLETELGMTGTYDYNKLLSV FYISYILFEVPCNLGCKWLGPGWAIPIMSLCFGIASLGTAFVTTLPQACGVRFVLGVF ESGMMPGISYYLSRWYRRSELAFRLSLFIVMSPLAGAFGGLLASGILSLPGFGTLHKW RMIFAIEGIITIGLSLISFFTLTDRPATARWLTQEEKDLAIARVKSERVGQTSVLDKF TKKKLWLGFWNPAVLSTAFVFMLNNITVQGLAFFLPTIVATIYPTYTTIQKQLYTVPP YIVGAFFTLLLPALSWRTDKRQHFIIASAPLVMLGYAMFLGSTNPTVRYAATFFLTSS TFAIGPMANAQVAAQVISDTSRSMSLATNMTFGNIGGLVATWSYIAWDAPNYHIGNGL NLAAASTILISSTLTLLWMERDNKKRDQKDIDQALDGLTSEEIEDLEWRNPAWRWRP PFICI_03072 MAFQMPNFLARLFRPLSTTSRMSITGDATNGIGGGGGGLTSLPE GAEKATVAAGCFWGVEHLYRKHFGGKGLYDARVGYIGGDVNNPTYRAVCRGDTGHAEA TQIIYDPTKITYQQLLEFFYRMHDPTTANSQGPDVGSQYRSGIFTHGPEQERVAREVT AAVNDQWWKGRVVTEVLPAGRWWDAEDYHQEYLHKNPSGYECPSHFLRSFPDLK PFICI_03073 MSFTITVRQGPARLATKLPELTKSAFRSSAPIRAFHQTSTKQSS SFFTSRTATTSSILRSTKRNVFQQARSYQYQAGAAPADASSLSRRLLTGGAIFGGTLI AMNIMFNRETREDGGMPAFERSYLNQTFMHTGLGIGIIGLTARQMVQSGFVYRMMVTN PWVVAIGGLALSFGTMIGTRMIEPDNYIPKYALWTAFNATQAAFIAPLIAFAPGALIA RAGLYTVAMMGSISFVGATAKQEKYLYIGGPLLAGAAIVAVSGLAPLVIPATAVRTLA FTENIWLYGGLAVFGGFTLYDVQKVLHHARLAERGIIKQDPVNESISLELDFLNIFIR MVQILMMQQNRRK PFICI_03074 MIRSRSPRSPPAAYRALAHHPAAGQMLDSVSLIRSFNVETNPTR PMRPSPLNASPIPDMPLDLLDRMRSFPLFMSAPEDFLVAIGKHLRPQIHAAHDHVLRE GDPAKAMYWLVRGVVAVTSRDGEAVYAELKPGSFFGEIGVLMDMPRTATIVARSKCLL LVLKKEDLQQELPGFPDMEKAIRHEAHERLTILNKKRQESGSKKKSAYKLAREAAPGE VSTGDEGVIKEGSVVNHKKRKSPSPGVIEDPSGGSAIGSGTVNVRQTLRELPLFATLP PDILHFLGMSAQPKTYPPFTDIVQQGAPGVEIYFIVRGEAEVIHAPLKDQISAGRLKR SSYVRPRLRAGQYFGEVASLGLSRERTATVRSITTVECLMIGADALEELWRKCPADIK KQVEETAKTRIQPADEDTEMSDASDRSEPSTPVRTAPNMIFTTPSKPSSPSKDDLDII KPKDPDPYLSVDMENIRNRRRGSLAPPTPPADSPSTPKANGVRQRIVELATPVKFAFS SFSPDSEGPSKKARISSIRSAQNDGVLPDDLLVATWQWLDIVELLRLRQVSSHWRRLL ATSPKLCRDVDLRPYNRRLTDSVLINIIAPFVGPRPHSVDVSNCFHVTDEGFSTLWKV CGKNVKIWKMRSVWDVSANQILDMSEHAKGLEEVDWSNCRKVGDNLLARVVGWVVPEQ APQGRQQVVISSSRRGQKPQTQTITLPPAGTVIGCPKLKRLNLSYCKHITDRSMAHMA AHASNRIQSLSLTRCTSITDAGFQSWTPFRFTNLTHLCLADCTYLSDNAIVALANSAK SLTHLDLSFCCALSDTATEVVALGLPMLKELKMAFCGSAVSDNSLACIALHLNDLERL SVRGCVRVTAVGAESVLDGCSRLTWLDVSQCRNLENWVNGGGVGRWGYDERACRGKEP QSISEMERDWVAQSPPMMKRMSLIPPRNLGGGFRNGRARKPVTFVVEKGPGELR PFICI_03075 MKQWITNQDGLENIKLVDAPAPDAAGLKEGEVLVKVNRVSLNYR DTEVVMGLYGHHDSMKQGASALVPCSDICGTVVKVGAGVTTLKEGERVMATFNQTHVK GQIQEKDMGSGLGLPNPGCLTEYRVFPDYGLVVVPGYMSDEEAATLPIAAVTAWMSIN GFQPVGQALTGSDKVVLLQGTGGVSVSGLQIAKALGLTVIVTSSSDDKLARARAMGAD HTINYRTTPEWQKTVLEITGGKGADVVLETGGAETLAKSFECVAFGGLISCIGYLSGK EDAPGSRMNTNLLALKRNVTLKGILNGPKDRFEEMLGVYEKAQIHPVVDRTFEFVEAK EAFQYLYGGKHFGKVVIKVA PFICI_03076 MASQATARQSQTMRAVVCDKTGPVNVLHLRDIPIPSPGEGQVLL QVLGFGINRAEMYTRQGHSPGVTFPRILGIECIGTVVAYHDTASQRTIKYPLGTRVAT CMGGLGRQIPGSYAQYTCVAEANMRPIPPTNLPISVLAALPEMLQTTWGSLVQGLDLK AGQSLLIRGATSSIGLCAIQLARRLGASRIAATTRSLDREQMLRDAGADEVLIDDGKI ADQILMSSGSKGPFNVVLELVGATTVRDSLKCVVPKGTVCVSGMQSGEWIVDDLDLFM DLPNRARLCVYGGGPEDFMMMPFEALIRDVEAGRVKIPVKTFQLDEIQKVHEILEAGG GGAKMAVVVDEA PFICI_03077 MATESPHDGSSPMEASPDYEPDPNHDSSNTPANSTPRDAPGAAI AGSMPGISHSASGATVIDPSVEPSSTLNPRSCVTCRRRKVRCDKHMPCGNCRKARISC IFPAPGRAPRRPRPRDPNAPPKQTSEREVELVKRLRKLEGIVEELSGQIEVEARHPSS AGESPEESGHDAERRRQDSGGVLSPHSLQLSGYPTVSAAPGRLITSGNSSWGPLQSPT MGVNKHFGRLVLNDKGKTRYVSNAFWSKMNDELAQLRAETQKLTDEETLNSEDDGSTP DVDHPQNELLTDHHAFVLGYRSSDVDLRKLHPLPSQIPFIWQVYVENVDPLVKILHVP TMNPIIRKIRSDMDNLPAGLEALMFSIYYAAITSLEDDEVKTNFGAEKSHLIRQFRYG TEQALAKANFLNTSDLVVIQAFALFLVLVRRYDDSRFSWTLTGLLIRISQSIGTHREG THFANLKPFEIEMRRRLWWAICILDLRSAEDQGTELTIAEHTFDTQFPLNINDSDISP DMTDFPKERVGATDMTFSLIRYEICSLARRLHAASNPMNPCPRDTQLSLEQREQMLLE MYGRVEDRYLKPCNDQDGDLLHWVAAAIARLIMAKMSLIIYQPILFPANGEDISQEVR DRIFLSSTEVVEYNRLLNTEPKCKQYRWLFQTYTQWHAVAYLLLEVCRRPWSSSVERA WLALSNSFSDTDPDEFQKLAQHKGVWLPLKRLLLKAGRHRDEELARLQADPEVAQQLE DSSYKTPSATFSNLPSTVRETIAQDRWRKLVGLPVPERKPHGFCFNKDVPTSNTQTQA LPEAQQNGQPQPNAQQPNFTQTDLDYISGVMTQPEFNPADFWSVAFTEGSANIARQAI FGNGIQTGTLPTPQQPQPQSAPLMPPALTSNGSPITSKGGIAFPRDSSSTPGNMITPQ TAGNTPINQPAVLSQATGMIDDNAPPWLWPNAWKWNDTDANSNMLANTQDVDVNMEED FNWQDWQQSIRGFELDAGLGSGMTGGGFSGGV PFICI_03078 MGFDYSSLPKDMLIKSWQFTKTVHSDVYPSVDPTMPELSLAGKV AVITGASRGIGARGFAPAFARAGVKGLVLVATNAAKLQAVASDVHKINPAVKVLCLTA DVSDQTQVEAAFREAKSTFGAADILVNNAGVSLETLGCHWADEDPRVWWDNFRVNSLG SYLVTRTFLRELLPARDAPATVISLTSGAALSIGSPFVHGSYCISKLAVQSMAVQLAA ECPNITSVALDPYLVDTDMLPEHLRMFDRVTPELVGGTAVWLSHPHAKFLTGRAIMVN WDVDELVAKSEEIAKGKQLQMEFVGPFGAHLFQ PFICI_03079 MATSFGDRRLANIKENQALLQDVEPLKRHDLDQKDAGKQQTRPA AKRRKFETGQPVRTSARIASSAARPTYNEDALSAKPERPLPRGKSTARKDGATRVKKR AASFTADDKNSPEDKTEVVRPDLDSLRAGWASWTPVAPPPTRDHVVGTYRFESHLDFT PNKSPEEIMREGCFGGSYWRPLYSRHLRTTIEDDWRELPDAWIQGLDVARYLTSPDYD ESVNKYGKACGQSIEEWEANGWINHSYDVRGWFQWYCRFWMGRRCPDDDRQISRWKKC VGETGRWRRILLKKYVQNGIRSVFDDDDDDDVDGGKGDVSPVVHQTCHHWAYEVRQEA LDRFWAEGK PFICI_03080 MALNPANWRPLPSFQNVPPLLVRPVFTLQSYSVHVTDLANLWVE DLDRKGIGRRSLNEDTSIDPTEGPDQMRMLLAKIQAALDPSAPDHDQTSISIAALPKD DDMLLALTITCQLPDGLKPLVWTFQMAKCPTTSVAPELVLPLMQKLQVRTQQVDEFVN LLKIKDGIIDKLIDKLDHAGMGLENVWNVLSSKRNPSRDYAEAKITGLAPFQEATWRD PDSPSTDEFDSILSLATNAHVGAENSKDPETRPSDILGDWWGSLGTKPVKSLPRTTPS SGSTVKADVAHEKSRLAADSDTDTDDFQVQATPPHLASAQKRNTEADLDATTDDDGDA IPDSHPVVTRPAKSKLGGIGKRQTAASPPAARSPRKTTVDADDTASESEEEPAKISHS TAKD PFICI_03081 MIGKKPEQEGNKAKPPQKHHGANDDDNTASDSEREERGKSSSPA PPPAESSQRRRIGAIGRIGGIGGIGGQASAQPDRKSSTPDPQPTESAGRRIGAIGKRK LDKEPESAATSKSPDDSEDEEQRAERKRAELARELERKANAKPTKKKRKF PFICI_03082 MAANTRSAQDVIAALNLSPHPEKGYFIETHRDGTNGTAIYYLLE KDAGRSHWHKVTNATEIWHHYAGAPLRLGLAWDDGATPVRHVVLGQDLWRGERPQVVV QVGEWQCATTLGDWTLVGCTVSPSFSMDHFVMADEGWEPNTGGTGNDDDDARAGSK PFICI_03083 MQLTFCDGLPVDHASPDATSNDPEQICTTQSPKITRDYPNPDTG FPPSPSLDIAETAQLPSKQSLHFPPSAITTAPQTHTPLVIHPSSSPYQGMPMWPTPPP TSDDEFDEYTYRSSPTSASFGLHATAALSPPSLVHSPRSWSPAGSQQFPYQEAAWKTP DFTPPYGLHMGTQLQMEDAFNQPLVASPFADDSYLSRTMEPEPMTPSVPSMTPDPADS AMSDHSLSPGPNDDLDNSYTHNDEGTPPPGSNGQEEDADLDADEANRPDEPYAQLIFR AFMSREDHAMTLQEIYQWFRENTDRAVPEHKGWQNSIRHNLSMNGAFVKRDRRETPDG PVTDAGTPRRSTEWILQDWAVRDGVQSTTRYRPRNNPGRRSTGAHRSNRGHHVSARAT SGRRGGITASRTRLAASRRTALRGYGGGVFASRIPRNDFQRQQNFYGRAPSMDFGQAA RSDPATPPEVPAGELLFTNTMQGPPPMGSVTSHGYFFGHGEPQHQQIHSPIPHSHTGV NPYRLEDVTGVYEAPTIQNAGVQVEASHMGQLSASFGNLFTEDMGESTQGSAMGVGNM NYGWGDGSQFQS PFICI_03084 MDPGRRAMIAGRKSPPRQNITSPRRDPNNRITKPSRPASKSATP TPAYLTQDEQARKFVADEDNFVLKQSKKKADIRVREGRAKPIDLLAFNLRYIDTDRDI FDDQEADAEIDVPNPIAVVEGLSAAQLDELEGDIKSYHTLETNGRNREYWTALQSLAA ERRQKLKPMGAEERAVSSVSADVDKILGPKSLEQLEKLEGQIRAKLKSDEAIDTDYWG QLLKSLLVYKAKAKLRNICDELKEHRIKELRERNPAKAEALAASTSTADATRSNASTI PAVSKPTTSMVTSASASSSGATASAPGTARFATAGGEDFSQATKALYDREVARGFSEN EEIFTAEETVPSAAKPQWAEKYRPRKPRYFNRVQMGYEWNKYNQTHYDHDNPPPKVVQ GYKFNIFYPELIDKTKAPTFKIIREHGRRRGESFAAAGEEDTCLIRFVAGPPYEDIAF RIVDREWDYSAKKDRGFRSSFDKVSDNSIFSLLSFFLLATTPLRLSGMARDVFRSHGK NFGWLHAFIGGTQRDWHIPVRCRM PFICI_03085 MQFSKMLLGLSVIAQAAAAPSAANSSALDTRTFQSTFTARWYVR TLCDSVGSEPLGNDYANPSDCKDLADYWRARPGFWHILSWDGMTASVLTNSGNCFVRI SNLNNQNRVHIGSQDIVDFLDTAVENAQKDGISILGGINCMEAHIGVSIMN PFICI_03086 MVRFFPILVALFLGLAAADGLSACSAGCISQVFSDTTKFGCAVN DKVCACGSTPDFWFAIRDCITQACPSDDLDQQLSDAQADSGTQCAQASGVTPTTPVTT PPPPATTAEAPPTTANAEPSTTEAAETATSPVSPATSPVSTASAETVASAATTATTSA SAPSNSATSTASSQSAAATTSGTMQSATSSSTKTVPGTAAASSSSATSGAAADTDDSS TDGLSVAAKAGIGAGAGAAVILAIIVACVVMARKRKNKSNPSRIPTMQISKPLPGSGR QYAGDIEAARMAALSTQFRDDQLTPIKTAAYKPSITSSSQYSPTSLYAPSDYDERQVA GRRYEDMLPRTQPRTMI PFICI_03087 MLKSLLTYATLLASVAALPVAEEAAIAEMEARSSVPTEENAACY VAAGSSCPSTAVESKGDHDDGKSIGLVVRNTDTKDRMFFIYKNSCDCVPVKYLTIPAN SKKFIAFPADFQGRLQRGTTHNLDGKTHRLGTWMEFSWDKNGWGWADVSLIKGCDGAV SVKAIDGIGASQGFTDDVDVLDGAPTGAYKKKAGGGAKVIMETENVSDYKDIYTIPRN WLANKLGWDKAYIDDYHGNPDICSVNGRFDITFYPGRS PFICI_03088 MPPNPDWVKKLKPAEPQGADLLASERASSNINVDQLADYMFTKQ TLAMRERILGILSNDPVFDKSQNYFQGREDRIKASLAKGKRLQQLSVQHKWTQEEYQC ANDLLSEPTPYGLHATMFLVTLREQGTPQQHELFLKKAENYQIVGCYAQTELGHGSNV RGLETTATWNQQDKTFTIHSPHLTSSKWWIGSLGKTANHAVVMAQLMIDGRNYGPHPF VVQIRDLKTHEPLENVHVGDIGPKFGYNTMDNGFLLLNQVKVPHVNMLARFSHIDPET GKYKRPSNPNLVYGTLTFIRSSIVLQSGATLARGVTIATRYCAVRRQFQDLDAKGSDV GENPVLNYTMVQVRLLPLLAATYALHFTGRNMIQMYQENQKRMAAGRDAAKLSDANRR PGPEELNPGTDLLADLHATSCALKAYGSTVAGEGLEVCRRACGGHGYSSFSGIGTWYA DYLPTLTWEGDNYMLTQQVCRYLLKSGRAVLSGKAGDNDTTRILKAYLARRDVGASFD VLASDTELVEAFGWRVAHLTFEALQRRDEEKRPWNSLLVDFHRLSTAHAQYMVVKSFH DALVHDEATKSSLDRQTITILHSLFRLFALNTLEREASEFFSSAAVTVRQITLARRAV MKLLEEIRPHAIRLVDAWKFSDWQLDSSLGRYDGNVYQDMFYRASELNPLNKVVFDPY PNSNVLFKKDERVKSKL PFICI_03089 MHSRSRARELNLARRDGRHRGFGKRKGTADARMPEQVLWMRRLR VLRRLLVKYRASGKIDKHLYHELYHSSKGNAFKHKRALVEHIHRAKAEKARERALKEE MDAKRAKTKAARERKQERVAAKREALMGGAEEEK PFICI_03090 MSGVDDDDNVEESRLPLLAAAHANAMASQDPKDQIISQYGTVDA ERGVASSTFGGDHDGDLVTAEENRDLKRGLQQRHLSMLGIAGAIGTGLFLGLGSAVAT AGPLGALLGYATVGLVVCAVQFALGEVAALLPVTGSFVRHAEFLVDPALGFAIGWNLV YGNVLSIPAEITAIVVLFEYWWPDINPAVWIVIFMVLTAAVGFSVIRIFGEIEFTFAI IKILLVIFLIILGLVINLGGVPGTERIGFRYWQDPGPFVELLPIASGDWGKFLGFWSV MTGAVFSFAGVESLAMAAAEAKNPKRAIPKACKRVFARIVIFYILAVLIVGMLVASND ERLGDESGTAAQSPFVIAASAAGIKAIPSIVNAVVITSAWSAQNQSLLTGTRVLYGLA LKGQAPKIFLRTTSWGVPYMCVGLFVCFMPLSFMSMSNGAYTVFWWLVDLTAAGVLIS WSTILLNHLRLKMALSKQGISADRLPWNNSWTFYSSAVALFMCILILFTAGFTVFCDG QWDSATFVSSYLDIPLVLFAYLIWKFIKKTKIVPLKSIPLDDAIEQADQYPDEPEEKI TGPIRFVSWIWD PFICI_03091 MAPSEHKWNCTLEDGSIIIRQQSPAFAFAQLKIQFHRTIRVPDN GDIENLPPSMGVFPLFKIHDYVNKLPKSIAVKGGVFFPMYQKEATWIKFTASDPFLVK IYAGGVNAISGEHCAEDLTAQVRRLKVQDKGDSIQDYVITPQQLWLDGFATSPGVVKQ FVAMPLGTGYSVEAQVAGQEELGGIQLEVTPLDLDKFQEIKLKELGSKGLKKPVSNGF YVKIRTLRGNIIEIPFSPEATVYQLKCAVCKSEGIPTDQQRMIYDGCQLEDVLLLANY GITTGSTIHLVLKLRGGGIAPKAMGLAAGGMIKQSICKDTHNPDLWDRDATMAFRVHL FNSQDFLKFTGRAPPPCPIEASDYAEAGLPFFDMGEETTDISGQFDKVKSVNELDQQK GFTQGPEAKVETSIIELDKHGSFLNYKPKPNSLSTKVVDREGLINSDGPLRDFRCLHD LELELSNLNLDDLEE PFICI_03092 MQHIYSQLLERLKVYLRGQGDVNDVLFGPDNGSGLFDQVERDAT QTGMSEKQSCSTFLTERIVEEYARMAGHKTIVRAREVLSFLMGPEVQKILGFKPVPLS MLNDIIAGSADGEAAFPRSIIPISDIIPDDYTKPEDCPAFFWNLNEFVIPLWSSKYDP ALDDEEMGRYCTSHYTLTLALEAFKKQPYEYNVCHTVFSVIRSWLSHHEAPRKYDVVD WRDGMQQLQSKAEELLQREDPLEVHVALTVLKALVPKYKNHAGDSPPMLPQVLPVLRS REEMWYLLFPLQNQDPPVVGPEEDGQSMPSTPSSLRHLVPEMKDSADSAVSIKESQDE DMDLDTPGSPNDAPMSPNDAPGSSNDAPGSLNDAPALPRLYRSPPSDRPEVLIGSCGI LLPSQTKGALRKLQPDLPSHTIRQCRPRWKAVVFHVWGSV PFICI_03093 MPLINGQKMACEPCIRGHRSTTCNHANERVMVPVRKPGRPLNEC PHTRGSNCGCRDVTVAIPRKRKCECVGDKSAAPKTSLTQTSVEAIRPGNASISAKEQQ GGEPSSCCKPKAPSAETPASIPPAMAPAPATASLSQPQAQVTPVHGNGASQWTTNWNW NFGSMNSSHIPQPFPGFTASVSQQPQPIQVQAARVPQAAETGVSASKRSDSDDEVEGR SSQEGSTSSSAPDEPASRSSCCSTRSSSTAQFATTPDSASPESSGASSYTGHSRSNSK SRATRKLRKDRQSVSSIATAVPQVMPETATRPAFPVMIQSPVSQMSMQPITAHPPACT NCGHNQPAILIYLPFGLPAQPVAYTGAGGGPVYVVQPAQPAPVNMPPPPQDFSRTPNP PMTAITVPMGGCASDTTGLGTLHECNCGPGCQCLGCIAHPFNDATREYIRSAQNAFDD FTTPYQSLQDIDSGAVPTAQSIANLTLSPEQTLASEDFFFIDYPSAQRTYPG PFICI_03094 MDFFYSTVFCMIYLYALDYDCTDILNGPPANRVLEAIKYIMSDS FLRDSGLSHAPLLVEDINGMIIYQCNVCDPGRDKRQYENIQKFSIALWNSSYSSTPRC LTTLDFLDRSLELFDEQTLVGTVWPVIYWFSLPHNDFRREGPKEVPGGSFQQCLDILV ANLAFLTNSQNDLDREKVEALTNWLPWLED PFICI_03095 MSLAAFRGTSRALRTLPRWAATTTTTTTAAARLGARVQVQSCVA ARRSQSSSAASLDGQQSVRDESTSANTGVAPNGSDADAGQKLLSAHLREADPAVFDII EKEKKRQKHSINLIPSENFTSQAVLDALGSVMQNKYSEGYPGARYYAGNEFIDQSERL CQQRALEAFGLSDKEWGVNVQSLSGAPANLYVYSALMNTHDRLMGLDLPHGGHLSHGY QTPTKKISAVSKYFETVPYRLDEETGLIDYVKLDELATIYRPKIIVAGASAYGRFIDY KAMKATATKVGAYLVADMAHISGMVAAKVLPSPFNYADIVTTTTHKSLRGPRGAMIFY RKGVRSVNKKKEEIMYDLEGPINASVFPGHQGGPHNHTITALSVALKQAQSPEFRAYQ EQVLANAQAFSKRLSEPKNSGGLGYKVVSGGTDNHLVLIDLKPQHVDGARVERVLELV GVASNKNTVPGDKSAMVPGGLRMGTPAMTTRGFNEDDFVRVADIVDRAVTIAVRVDKA ARKAAEDKGEKYKLKFFFDHLGSGEDDSEIVQLRSEVADWVGTYPLPWIEEGKA PFICI_03096 MSFSSNSPPLPDCKGPKLCEFPASIEGIKFLRTLSSETNHGDGD VPHSRVFLVALGDQRYALKVFNFFSLEELRPFIPGGDHLLENRDKMIQDQLDPFYAEC RAFGRLVQKEKDDELAVRCYGYKLLPAAVERQINKQFKIKDWNRGKGHERQPLRAIVK AYIRFRTPFARRKFPLMREKIKELNALGIYNMDIREDNYLGGRLFDFSIAITAPHLSL WTKLRFEDQILADINYDLECFDYMVGEKEKENAKMVKHPRSRYNLRPRSAREPHG PFICI_03097 MAATFLEYLTQPNPQPIVHTKEGSSTRTGTNYGPDRCVKWEDFT WENLRATLGNVLRQPMPEPRIADASEVPPEKRDIFREDSVTQLAVAWNEPVLRHAFGG THAALCRKKPANSFRQGKIHFAANTGRGNTKNHKGEEQEPDWCVYQEVPGFYPFYPNI VPGDSKSSKKWKSEWVNSKKPMLRKRAQHVMTQITKYMWESRTRYGFIISEEELVLVR LSVFVREQVAGAGVENLNRSFENFDDEQRVGSSDDDADQISNPPSNASFAESSRKTGL QVEYTVVPWKASGTDILTMNLALWWLPVLAVQGHIIKKSGTYTSLGKHTRGGSPVLQL DQAELDMFDKVYGETSHLRKRKAAEDRDEDQLGIDSCASDPSSDTDEPGPAIHHSYKR RSTRAFSELTISDPGCASAIQAETETVQI PFICI_03098 MSEYGDKDRHHVTCETFTSLIQLIVFQLGTEADPMVPYTSSQLA WQVVFRLTDDWIAHPQVLGLRPVSTIRFDEIARDASHLDHHNPRINPPRFEYLSKLLI NLWNSSYEKPDRQRVLSSLEPLGKALQDFFSSSSSSSRNSSAEPLPEVWNVINWWLLP PHDEHMFRPAPLIPWDECLAHLKYLEGRLRASRHILDVEKANLVRMWLLEDDSVRMQR EQSRQQLKQYDQWFQTQQYKPEQQRRRR PFICI_03099 MSLEIPQGDGKPSFHRGSFVDEIEHAQKDLVDSLHDSESHNESP SVSSSDGSVPTLSPTQSATPSTPPSEPISPPGDLVADSFAFAFDIDGVLIRGGRAIPE AVEAMKVLNGQNEYGIKIPYIFLTNGGGKSEAERCKDLSKQMEIDISPAQFICGHTPM SEMATKYGTVLVVGGEGEKCRQVAESYGFKDVVTPGDIIKHNSATTPFRKLTPEELAN SRERDFSNVTIDAVFVFADSRDWAGDLQIILDVAMSKGGRLGTTSETFDEGPPIYFSH SDVLWSAAHNDPRLGMGALRGIVEYTFKEVTKGKTLTTHAFGKPQIGTFEFATRLLRR WRKNEHNLDSPPETVYFVGDTPESDIRGTNQYNAKAENDWYSILVKTGIYQEGTEPAY KPRNTVNSVLDAVNFGLKREMEKKAAKNTLPLEAFNRASKPRLTDLPNWLLDNSDCAV LSPAEERALTLTA PFICI_03100 MPVANLLAGKTAIITGGTTGIGRAIALEYVRQGANVVVNHLDLP RDRPHLESLLSEAAAIKSQNPDAAGTLDHLPGDVTDPETGKALAERAAALFGGRLDIC VSNAGVCQFAEFLTLEPDLFSRTVRTNLDGAFYVVQAAARQMASQSPPGGSIIGVSSI SALVGGGLQTHYTPTKAGVLSLMQSTAVALGQYKIRCNALLPGTIRTQLNDEDLADDA KRTYMEGRIPLGRTGDTKDLAGPAVFLACEELSGYVTGAQLLVDGGLFVNLQ PFICI_03101 MSAVRDFPTIKAVRSYVIGGVGSGGDYHNVKGGHWLIDSDISTP CSIWDQYKKSRTSWGINVLGSFLIEIEATDGTVGFATGFGGPPGCWLVHQHFERFLIG ADPRNTNLLFEQMYRASMFYGRKGLPVAVISVIDLAIWDLLGKIRNEPVYKLIGGAAR ERLNFYCTGPEPTAAKSMGFWGAKVPLPFCPADGHEGLRKNIEFLRKHRESVGPDFPI MVDCYMSLNVSYTIEIAEKCKDLNINWWEECLSPDDTDGFEQIKRAHPTLKFTTGEHE YSRYGFRKLIEGRNLDIIQPDVMWLGGLTELLKVSAMAAAYDIPVVPHASGPYSYHFV ISQPNTPFQEYLANSPDGKSVLPVFGDLFIDEPIPTKGFLTTADLDKPGFGLTLNPAV RSKLISSDYLLTPPPTAGLKPAEPKEEAKTDS PFICI_03102 MQPASAAAPTAGSGGASAAQPLDGTHPRVSPPLSHGKHEVESDD GNAMHSGDDADPDEDHEEPDVAPNGKRKRPISVS PFICI_03103 MSPDQPQVTSPPPTSPRKHYTDNSDDSDHEPAPKKPANIPPIPR CELCKQRKVKCDRGQPSCGWCKRNSVPCEYRERKKPGLRAGYGRELEQRLDKLEEILR SHSEILQHGYVSNSLNNASHSVRTSIPSIPSIPSDSGTPREPASVFRQNDPVRTPRAE TALFLQKPSSFPPVTQSNDFGLPPPTPSIHSMSDAFHSHMPLSGISPTSQMQGLQPSA ATQEYYGNHQTQSPGMAINHGQTHLSADQDLPPYDLLYALADLYFKHINTWCPILHRK STLDTLFGSSTLDETDRVLLHAIVATTLRYSTDSRLTEERRRHYHAISKQRVLLYGME NSSIKALQALVILALDLCGDSNGPPGWNIMALITRSVVQIGLAVETSSFSVSPNYASI YTLRAMILPEPKDFIEDEARRRLFWMVYLLDRYATLATAFEFALDEKEIDRTLPCRDD LWVKNQRVETRWFQPENHPSDLPDHDTNKPENLGAFSYYIEILGILSKIHKFLKQPVD ISALSDVEQWQMRYKDLDNLLTSWKFGLPGEYGNMAKLFQPGCSKTLNCGWIMLHATY HTAVIRLHSSAAYPTTRSPIFTPSYSASQRCHGAVENIAALGEYVVQNGMLPKLGPPF AFTLWVSARLLLVHGSTVEHKLSPQIAFLVDTLREMGRYWPVAARYCQLLQRVLDEHS DSERAVGTTGERVTPSSVRILADMRRTAFDLDFLISRQPGHIAGGPSRMPSVTPARTP AANELEYLDVFDFFNVPRLPFNAGDNGPTNGGGGGGGGGGGGNNGNGNDMNIDQMDGN GVNQNGAANEFNITNFMVDANSDWLFKQPTLSN PFICI_03104 MSVAQAAYGVEKLVGHDDSAIIKQDVADYKETGDASSTMKALVW LGKNKVAVQDVPRPKILEDGDVILKVTGSTVCGSDLHLLHGAVIELNKGDILGHEFCG IVDEVGPGVRKCQRGRRYVASFQIACGDCFFCRQKLSSQCEKTNANQAAKSMYGAQTA GMFGYSHFTGGFAGGQAEYVRVPLGDVNLLEIPDGVPDEKALYLSDVLPTSYNCAHDT AIYDGDHVAVFGAGPIGQMAGVFAANAGAAKIIFVDTEPRLSVIKSRWPARHADKIEV VDYKALSFGVTTQDTVITRLKQLCGGRGPDVALECAAGEYAKGWLHWLEMLAGAETDT SEILNEMVESVRNYGRCGVTGIYVGYTNHFNIGSVMQRGIRLIGNGQAPVHKYWEDLL EQIKTGELDPLQMVSHRYRIEDIDKVYYAFEKKQDGIQKVFIETKFSLPACEGSPKLS SI PFICI_03105 MATPVGEDNWLAYVEEETKRATNLEGRVKVYELFQEAIRAEPWS LKIWLAYCEYYWSLFIDCQTREAGWPEEEQQMGRELFSFSNALSLWSDGYQAVKYRMN DSHEFWNRWASIEMEQLARTRTANGVKRISHLFRDRLSIPHATWDNTSQMFSSFLSEY NNSAYESEMKDITAVAQPAKEAWAARERRELELNRLARSGDEQGYKAAMKEYLDWETI ETTIKNPPPQDMNLSVQLALALYGRALTGVFAFDDDIWLNFLVLLSTLRDSVNTPHNQ DHPLPDTLDILRRATSHCPGSGSLWSRYILSAEEVGWGFHNVESIKHAATSNAAALDK NGMPGVIDMYAAWCGYLKRNALAPHASEDAADVAEFGLVSAIEDVGIWGRRLYKEEYQ GDPNYRLERILILFLTEKRGDIEGARARWKTMADKPLHGNSYDFWLNYYLWEMRMYAS QPRMRSPTPATPANGIKPTNRPNMGTEVLLRAMQQKNLDWPERIMEVCHQHCNDYEQS QTLRRALDMIHRTRRRVERRREQERAAAESAYAAQAQAIQLATPGDEDGPSSKRKRDA SVEASTNKRSKTEEATADEQSLKRDRENTSVMVRNLPIDVTITAIRKYFKEYGSVVNV MTKKGVDSAAALVEFESTDDMRSALLRDKKYFGSNQISVTPGTGLTIYVTNYPPTADE DYIRNLFKGCGEVFSIRFPSLKYNTHRRFCYVSFEDAEAAAKATALDGKVLDRQYKLV SKYSNPGGKKKREGAVAEGRELRVKNIAHKTTEDDLRAMFEKHGAVSSVKIIKDIKGQ SRGTAFIVMEAKEQAESAAAALNKAQLASNIIDVEISKDTNFKPTASTKATSPDGDVE MTNGPTKSEIQARSMAILGLPDTVNDARVRALVEELCGGIVKIVLRPDRGGAIVEFKD ASTVGKAMLALNGHEIAANQKIQTGTIDELFKEGKAQVKTSNLMPQVAIRRPAPAAGQ KPKPRPGFVGAPNPNTSSTSKPTTDASDKKATPRTNEDFKALFLGNKQS PFICI_03106 MPPTKDILSLLDSVANVRNAYENDEAGSRDTLVELTLALLGKLE IPSEFLQRTFWAEPCKSGIIRLCVETKIFQYLRDAPQGLTTAELAEKTGRSWDLSLLQ RYLSHISAMHVLTLSQGKWQSTPLSNGLAEQNYQSSIEFCYDSGMPSFFKFPEWTKST GYQGPKTDTDGPFQYAWDSKIPFFPWLQEHPPNLANFAQFMSAYRAGKPSWFDSGFYP VTERIIEGFDADYSDVLLCDVGGGRGHDMIEFTGRYPSLPGKIILQDQEAVLASIEVA EPPFEVQVHDFFTPQPLRARAYSLHSILHDWGDDDGVRILENLRPALRPGYSRVLLFE IVVADDRPSFASTTMDMQMLAHTNGGERTEKDWRRLIDRAGFRVVDIYTYPGVAESVI ELDIDAREPPLVQDKR PFICI_03107 MALRTLIWTALLATLGLCWDPTTRQCKQDICLTSFIWCDPDSPA GDCAYPLGTYTRTPTPQTPGSAVLLEENNFNISWKAFHDGPVRIQWHMENVNADETSA ATWEVNVTDSSYFIFRPGEVLRSFPTTLAPNMTAAEARDHASQGQGNVLVIDQPRVAT GSNNDTQSDTSSPFTVQPAFLYQYFVTQKWIGQEEFNQLWSRGVGIGVGVGVPLLLIF SVTVTFFLTRRYVERQVHSFKA PFICI_03108 MQPAKDDAGSADPDATRNKPFRKEAANVIVAFEAHAGGGNSDEG NETDREKMRMSFKTCYDPPVVTFRFNVTVFGHGSGKKKQKTTLYFEVPRHHIYSLEQT HHVDMTTVPEMDQDIIPKLLGGITRVRFQLNHPGNLIQPIEDLSLKPASQRTLQTMTL LATVLEFVVFMPHTTLSKEQFQYLEQAITSPISFDDQQQQEKEQEWWLRALYGGAGGK VLNACTATVDSQAIQRKDTSTASESGDSTVAAATPPAYKRSDKPVEGLPAPSKTNDWI TESDASDLVVTASPPPYQASEGKASTAADSPRKRLRDSAKLDAKSSRRRLDGQRLSTA DAEAYSERLAGDLRVEKNEEVNLNMSYPVAMFLSKFNEVLRRTQDLEDENSALKDELC AMRKTQDQISAELKEMKARNQSQVERIQGLENKNVDLETQVAGLEQCHDAIEERQLEL EERQGKAEDKCDNIEMEIIDQVHTALRNRLIDALETM PFICI_03109 MSLNLNLLGVVGLVCLVCYHLIQQWRHNGKLPPRPPGLPLIGNV LQYVPAAKNLSLHLLLNKWARQYGEIFRVKLGPVTIYYLNSDAAVKELMDRKSASTSE RPNWIEANDIMTNSWNVLFLQASDPRWKHQRKITHSELTSPVRADAGLPFLYYETAKF IYETSVDQDAGTDQQNLWAQIGRYTYSTFALQTLGLEIKSTHDPNIDYIHDSGLEYIK ATIPGSYIVDTFPFLQKLPLTLKPWERKGRDLFLDNLKWAIKLKDQLMSGGSTAPNAK ETMLYRILTDEKRLGFASEEEASYLNLMLIQGAADTSKMSTMSFLEAMITFPEVQRKA QEEIDRVVGDRVPVWEDLQNLPYVRCMMKEVWRWRPPVALGHPHVTTRDLEYKGMLIP KGSRLHINAWAIQHDPSRHEDPDAFMPERYAHDHATAQQSINSPDVADRDHFAFGSGR RICPGVHVAERSLSVSIMRILWSFNVVVAPRAKLPLNPMDYPGAMFGNPGSHLPACLV VRSVEKKKLIEKNWEEERVRFENSKSRRA PFICI_03110 MEPLSAFSLACNILQIVEYGANVLSRAAQYRAASNGALNEHNTL YDVLQSLKGLNAELGLSLPPSGSVQKATVPELRLATANKECMRIADELIALLEHLKVK KSSTVEGIRMGIKSIWYEGKVKTLKHDLAEAKDNLSFALMLFMQHGDTSKQAELLRSH DESERRIIDAFKSTSDSLSSEIQALTAQLNSTSLLSADDALRDLQGSHQDVLAELSQK LDAILSHQETSDLLKRFSILSDSTEVAQQRINESLTFPQIDERRNKIQRAHRSTYQWV LDPAQSQRHFDDLLSWTRSQDGNRQLYWVYGKPGSGKSTLMRYLLEELDQDLHFHPWS ENETVLKVDYFFWAPGTPLQKSFIGLLRSLLAQMFSHLPQIIAKFVPPVLWNKARVAS TMDIHWTSSELIQTITAVVRSEMWYTFFLIDGLDEFEGTDQERDEFLDLIGSISSLSH VKLCVSSRPGNVFQDAFDRFPKIRLEDHTQEDIHCYIHQELSSQRRFEQLRQHNPSLA KTLIRTILEEAAGVFLWVRLVVYGLVKALRDGDNMHQLLRRVEAIPKDLDAYFTHLMG SIEPLYRREASIFLQLALYEEHEFTALHPLRLIDMVCIQDEVESVSEGQATSDELDFS NVQNMEFHLDSTLRRINSRCRGLLECRYLEGSTSWYHDIDFDGDETSVSQIFDWQMDF LHRSFWDFLSLPSSQSKLHEYSGRPFDARVLLCDSRMLQIEELNRSKACDYLIIGLAS FVISTIAVANLRTTEYCKTVAGRLQLVLDRVVQTNIDESFYKGPWYLCQSLCVYHYHA SSFLTIAIDFNLSAYVFANLTTEAIHAKRGRPILDYILRGAFFHADEPSIGNHLPDLA LVQQALELGADPNEISDSGSIWVSFLVFLDNVSTTRDGIGSNLGPVKQEGLLRAVMVL LHGGACPVLPAAMLYRRGSIDWPKWQWEDYITTSRGDFEVMPHHLVSTADYLNLLRPQ YSLAAKTLEDCIALASLKLAEKMAGSKAGHVMAAD PFICI_03111 MADTRGKQSSQRRGFLFGRNITKSLSPLLHGTVFAELDLDWSYE RVDTDDIDLVLRCLQDENLYGAAVTMPHKVAIMKHLDVLTEICRDVGACNTIFVTMEE GRRILCGTNTDVLGVKEAFYRNVSEPHKTFEDRPVMVIGSGGAARSAVYAAQKWMRAT QIYLVNRDDLETQSLLAECEAAANGSILQHINNLNQADDLPTPGAIISCIPDFAPQTH GEKLVRDIMQVFLRRSDKGAILDMCYNPTPNTAISRIAKQEGWQVIAGTEMLIWQGLE QDTYWTGRPIEELPVQQVKTAIANRLALNLQTVVNE PFICI_03112 MECYSIFDESSRILNEVLLQDTGLCFPASFSEAAKKVKFVGGDH KPWILTPLKITESSASLTALMATAANVVAAERYGIEYQDVTVNLDAATLFLESVLLPS IGGKSFLENPQMAQELAKMDVHQSARPIRRWATNVYRTRDGRWYHLHGSMNAVPTMTM MGMDDVEVTAEEAIRLYADKVAQWDSEDLEKTANEQYKQAGVVCRTPEEFFSSEHGKI MAQEPLWSTTPIAAPKKPWPQVEDHRYKPLAGIRILDFSRVIAAPAVSKMLAALGADV LRISCDKLPEYPATMPDLQTGKRDANLDLKTQEGRQAFTELVKDADMLIDGYRPGALA RLGFDTASLRKLNPSLIYMRENCYGFKGPLSHRSGWQQISDCLVGLSYLQGKFLGLEE PVVPLLPNSDYQTGLVGAAAAISALIARTKEDVTFDIDISLTQYNIWYYNLGMYTDEQ QRELRARDPDFSPRHYDDMSVLVGKTHKSMQRTRPDIFDHPEYFLTMTGKEYGIDEDL RILAPAFKFESSPVGWEVPTGRRGRSTPEWVGK PFICI_03113 MATDDSWRAGEFGVRPARVANCSGYHGDPAIAMYRQATLGDVDF ITGDYLAEVNIANNAEAYAQGKHTGYEETAWDGLQQTIDVIAKKRIKVAINGGALNPQ GLATRVAKLVEEKGYDLKIAYVSGDNLLPELGKYMPQKQDEALPHFDSNNRRVTLTAE NFLFAKEGSTPREIVSANAYLGAHSIYEAFVQGADIIICGRVSDASPVIACAWYWWSW TIEKYDELAGSLIAGHLIECSTYVTGGNFAGFDAFDIEQFVDPGFPIAEIDSDGTFVV TKHQGTAGMVTVDTCRCQLIYELQGNVYLNSDVKAYLDAVEIEQVGDDRVRISGIRGG PPPETTKLSVFYKGGFEMQALFNATGYGFDRKFELFSKQVRYFMGEESLKKLDILEFQ KQVYTRSKALIGVPATNPSDQNSSTGYFRIFAQSTDTEALLSIVYAIRDISLKHFSGF HSSLDMRTAIPRPYLAYYPALLGQSDLREEVSFVDAHSTVKTTRTTPPSTFEALSERE SYDTKSPVSIEGPFRKMRLGDIALGRSGDKGGNLNFGLFVHTHNEWDWLRSYMTISKV QELLGDDWRSDYSIERVEFPNIFTVHFVVYGILGRGVSSSKRLDGFGKGFIDYFRDKV VEVPASI PFICI_03114 MRVAIAALYLGLAATVQSVSAEVKKANRDWFAATPDAQPTYFDG KQVPALPVLTDEAEFNQTKYLVVKYASPYSPHCVQFKPTYQTIYEYYYTSDIPVNHDV ALPAPAATTFEEYYGLKFSTVDCINTDKICRQQGIIAYPTTILYKNGELVASVRGAKN MTTVADLIENALESEYPGTRPLHPLLPAAGASSRPVPENVPQGEVPTDESQKPLNAGE RSV PFICI_03115 MIMIAHVLRFVEKPSKEVLSRADAYAESAVAGTLPRTYQSFGAI ELMILLLAQHYDMQRGKFTSAWLLIGNCARMMQMMSLHTFDRTYTARLPTDLSPLLSR EALRRVAWATFYADTMVDGGRFGCHVVDETALGFLQLPCDEPSFANNQERETESLFSP RGVANNMSSANLGISAYLLRTAATRRRALHFAFRATHREDTVEELTIELAKIESHVKD VGDSLPDHLHYNSHNRLLHQNCLTTFILLHVLRHNLFIIVDRAALQIYRREPVQSDLI DQARRRRIAHAFPIAELIAEGLEAGIIFDPHTGVQAYVALEILLLEPSRLAATDPDMD PKANKFEIALGHLLSLIRSLAARSEIVYRLHIEAVYRLLQHHWIRLIDDNDLKSFQRD YRLVGQDGAEYDFREFRWAKLERVSRDVKTSTTMPRDEVLLESRADVEENNHVSTSAP LIESGTSSSAAEASPALMLQSQNYDGLNTLNQGPSISAEQYQPWLEQVIAENLAQEFS LDWSTWLFDGSGTPWPTGSSAMF PFICI_03116 MSKRPNFLFILADDLGFSDIGCYGAEIDTPNIDRLAAEGVRMLN QHAAAACSPTRAMLMSGTDAHLGGLGVLIEYKKSEQGAKRWSGKAGYEGYLNEDVATL PEIFEDNGYFTAMSGKWHLGMRASQGPWQRGFKKAFAMLPGCCNHYGWEPVQERFPIG GRPIHAEEGKKVDIPPNKTEDPEGFYSTDRYTDQLLQYLDDRSPDDNSKPFFAFLPYT APHWPLQCSKAQRDKYKGVYDDGPYALRERRLKKLIELGIIDESVVPHQVETSTQGVG EWDSLTAEEKKLSSRAMEAYAGMVHSIDVNIGKIIDYLKKTGEYDNTLIVFMSDNGAE GAALEAVPVMGDNIKRAIHQYYDNSYENIGAWNSFTWLGPLWAQASTAPSRLFKCFPS QGGILVPCVMKPPVNTFHTTVAPGSLNRSFTTVMDFLPSFLELAGVSLPAISEHKVPL PLHQKSVVRKMSKFRGKDVHAIRGKSWVPFLSRGANVEDNETWAIHPSSEATGWELFA RGALRKGDWKIVHIAKAQGGAGEGDDGWELFNVASDPGETIDLAQAEPDKLQELLACW EEYVVECGIVWGEAATASGLGFDEAPQLWEDEVDLQKSWMGARGGECPKTCI PFICI_03117 MAATQSAWAMVTPMLLFSSFCLLTGDLLFGYDTESFGGILANPG FIRQFGKYNEESRTYAFDSLHTSLLSSLAFIGKFIGCFSAGPLIEKFGHRIVFFGLSV VSLIGIVLEIAAADTGVLTGRFAQFVVGRVIVYISVGLVEVTVTTYQSEIVPASFRGF VVVSLQLFLNAGSLLASGVNKAFSLDTSATGWKVVTGIQFIFPVAIVACTFIIPSSPR WLLSKDREADAINALSHLRPKTHAEHGDCEAEIIAIRESLRQDVHKAPWLALVRGTHL RRTILVIATGQAFVSTYQTVFYSNNGYKAQAFTYPIINGVLGMLAVLPAMYLVDKLGR QYTLLLSYTLQAFFMYLLAGLGQISNKTSSESNMIVAAFMLFSFFYNMGGASIPYLLG SEIPNAALREKTQSLGTAWNVVWAFITNFILPYMISSLHFGVGWVFGSISVVAFVFTF FFLPETKGRALEDIDAVFEVGFSPFRSAAATSSAIERRVGLTGARMNGSGDKIETLPE VKHV PFICI_03118 MSLPGNPTRSSEGFCYNVENDNLVTGLQTDAVKVSSSAFRSYYD AIVIGAGFTGLVAARDLSAAGKRVLLLEARDRIGGRTWTADVGDHKYEMGGTWIHWLQ PHVWAEITRYGLATGLKVSTGMSGEGEVSYAREGAAGFVKERPELSDQRLLPLMAQFL DVDGCGGRTLFPEPWLPLKSVDVWRRWDISLQERVEQINISKQDRDFLLPWLCINTCA AASESSFLNLVRLYALSGYDYNLFMEICGKFKLKNGTTGLASAIYSEFKGQSIFSCPV KAVKSTTSGVEITTRAGDVFRAEQVVCTIPLHCLSDIAFSPPLPECFIKARHANIGGK FHILSSTPIAPWFGVNDEHHSVCAAFTESPASGGGTHLVSFAVGDKLIAQQDVRHDPM TYLRAVQRDVVPDSVHIRPVQLTWHDWARDEFSRGTWASYGPRQLSKGLGELMMQTYH GHRVVMASADWADGWVGYIDGALEMGKKAAVTVNSRLDSLTPQSHI PFICI_03119 MSSQYMYGSLQEKQIRLLSVTEDESAPHGIVLDLSEVDLEDAKY TALSYTWGRPEPLDMDPAFDEDKIYQLRCGGGFLFVRQNLFDFLQQTRTLGHPVPLLW IDALCINQVDLDERSKQVLLMSKIYASAQHVWIWLGARDPSEGAHRILDEFIPAVLEH YKELLEEFQSNVLWSSQERLIEKAIGSDAWSIWASSWREYVNLVQRCWFSRGWTIQEV ARPDLSAISVFAGTRKYPWSQIYWFLDLVMSSRWFSYIQDHTDSDSQHALLCATGRPL ILERIRRTIGPFEGRTHEQQWYESLLDTMIVAGRHAMSDKRDVIYACLGMMLQIAPEG IESAIVPDYNLSIEETYTRFTGVLLQKMSQLQVLSLVKNQEERNYKNMPSWVPDYSNF LRGSGNPLARDTRRRRTDGASSVSAVPRVIGNRLYLHGACLTTVKEKIAGHEVTDFSN YILDMCRESRQYPELMQSMRETVWNTMVWRELPLGREDQEIIFQQWLLRRYETEVSTE HFETDKGAEIQKSLGAGLSPGVFSKDWILHVNESFSKYVQGHSRMMDRACMYIATNGR LAVGASWLEPGDEIWIFENGHLPFLLRPNADGETYRLIGETYMHGVMRGEAMTDDFIS EFGPVVLI PFICI_03120 MGRKEQARSNQTIQEYFDLMAHRYLELLQNMRVMRSFIDTLKSD SKVIEAAYNDVKRLPENERPVAYHLEPRTSLLDSIQKPKVRPSQARKDLLRQLDYDRE ITRHDVASNYQIGPTLSKDEQERCLHAIKSFTFTQWMQSERSSVLVINGNGTGSGSRR SGLSFLCARLIYSLDQIRFGGKPTSGNITRPEIVPIHFFCGEHLHNDTSESWESPSGV ANSLLVQLVSQCQDVDVSRLPSSRYLDVNDANVKDGLGLLRLLMKQLPSHFIVFCIVD ALSFYANNDQASKAAQRLVAGLISIAQASKTGRKSRAIFKLLLTAPSRLRTTEVGELS QEQILNIPKTLPGKGGLTTMKWDRMLQQHLKKV PFICI_03121 MTPGAADGFEKLVLPSGHKDIVRALVRTYSKKLGSIDTESSINL QREFDLIKGKGKGLIILLHGAPGVGKTSTAECVAANAGKPLFPITIGDVGGESAAQVE QNLEKYFDLARKWNCVLLLDEADVFLGTCVEGNTAQNSLVSVFLRALEYYPGILILTT NRVGSFDEAIKSRVHCALYYPPLDKDQTMKVWQMNLKSLEERNAGSAPGQRIQFEPKE IGEYARHHWKKRKRGNRWNGRQIKNAFQTAVALADWDTLTYTSGKGNPEGTVLRREHF KKVAEASAHFDMYLERTRTSDQQRARECMYREDRRLSTQRSFRVRRRKYVGSRSQEQE KKRQEGLG PFICI_03122 MSSWMNEAATVQNHNGNNPFPHMNDPNNLGGQSTMMDPSAFMAN PGQFNPAANPAAAQFANPQQMAAAMQNGPMRNASPGFQNPVYQTNPVVPSKRPRPRED SMGASPRQNPGMLPTSRADTPQQSQFPPGFQPNAMGQQHPGQPQQYPHLQPNGSANAS PSPVMAGNQLRPGSVPQRVNTASPHPFSPASQHFGPQASPVPSEHGGTPQPNPYMQNQ NQNFAQAYNPNYTPSPSPARPPSTQNPMAPQMMPQQMGQMPGQMPGQMPPQMQQMHHN QMQQMQMQQMQQQMAGRGMDPAQQQKMMYQMQLQRQQQLNMAGNMGNMAMTAQLQAAQ AAQAAQAQNMAAGRGMMPSKPGMPMANGQMPPGAMNPQQQPQMPRGTNPEAFMKNLTS FMHANNLPLDTNPIIEGRPLHLMQLFMVASKFGFFRNITQRNMWSQVAQASGFPVVQY PTAPMQIKNAYERNLLKFEDAWKKQKNQGQPQGQAMGNAPGMVPQPGQMTPQRMTPQG QMPQGQMPHGQQQPMQPGQQPPMQQPHAQTPVKQMPPGIQQVNGFSTPQQAQAAQQPP TMVQGHMRNSLSRSVDATPVTGEFPMPSPSPAKPGAMPMPLPQGQPDLMQPNGAPGFP GPAVTNPDEYIVCSREMNENNYGGYDLKSIHQLGTELEQWRPDIPPPMELGLIDLHAL TKSLQSGIHGEIRLALDTLGTVTYESARNMNLVIDLRGCDDLVESLIDCAEDQVDILA EGTKPISDEIDLTSYEDVVRQCRHEQRTLKKAHSFGDDDYELDHAADRLIAITTILRN LSFHDGNFDLLADEVVIKFLCSTIRSLGTLESLLQSPKNTLDFMKDVVVLLSNISGSI ELPGREQAFCLLQFLLAFAPSPAPTTTTGKILFSPYEPATHVYLPHAVDALAKLFARD EPNRTHYKAIFAIDAASSPPCELLTRTFGLAICSIPDQNKESRPTSLPSVIEARKPLL MQGLLAADIIASLAPGYESGVTRAWLSSSEGFAQNLYRLIRSLCQQAEPTPPVGRGNT RAQPKEDSDVLHIISWGVSLLRRLCERSRDPNDPTSIPPTALPSRDSLFNAFQTLKAP KWAALLSHLSSYAGLEY PFICI_03123 MAGLLNGVLPDVSLGLGLGDDGAGTTATAQGTASPPPPPPPPPS SAADESTTTTSAAKKTTAVNNGDLPQVTGGAGSVLSPEDPDDGDDNDATTTTKDGGKN GNGGAKDSGDKTTASSDSTTSKTTAAAETTADKSTKITSSADAKTTSTKAAPAPATIP TSAASTTSSATSIEVTTTSSSGLPTTLVTSIASGKQSTDVSSAIDLSSILPSSLLSTT TSAIAEAQLTSALSSTATDALATGAAGSNTLTQASTSDGGSGGMSKGATIGVGVAGGV GAIALIVTGILACRRYWRGRREANDDALRKLDDLYEKGRPVDWVEKDNSRWNKGLEQF HDATRPAELASLSSGASMHSRNGSRADSVKEMPAHAM PFICI_03124 MSISSLRAESCDAVELYNAVPRLPSLTSFLDADPRPTFLVPINE FGPVLFELSFRNAAFLQGYFEADTLAETNEGRHFRAWTQVVKHWREEYSFAGRIWTGF KIQGHWKCIQATGIAKDSNGEESYFALPPSSTRALEGVNIADVRLASLYKMMEMSDVG TFEYSPQGQLLRANESWYRLSLHPPGGEAPDFSFMDLVYPADTALVLAQWNKLAQGIP ITFEMRWKGKDYRDSAGDDEPGQFQWVLSACVPIQDDHGNLLSIAGNTIDINAQKMVQ EEALQRAEALERARASERKFARFALLAPIAIYICDAKGRMTYCNNRFFELTGHPVTNE YRNINWNYVAFPEDQSIVDEQWKKLVHEKQRTMAHFRLRHTWGLGDGNVRQAWIESQA FPELDQEGNVVSIFGTMTDISRFKWAEEIQKSRVQEALDAKHKHENFIDMTSHEMRNP LSAVIQCADSTIDSLRSVSSLTARWRHEDLVTVTKQIDNEINVCLDSLHTIISCSNHQ KRVIDDVLTLSKMDSNLLAITPIRVNPASIVSEAVHMFELECHKDEIELKFVEDPSLK EIGAQFVMMDPSRVLQVLINLLTNAIKFTRDRRHRKIEVILGGRVTKPSDGFGGIPFA SVTTDASGLLDKEDWGAGRQVYIWIQCRDTGCGMTTAEQVNLFTRFSQATPRTHIRYG GSGLGLFISKKLTELQGGAIGVQSEPDVGSTFAFFVATRTTDPPTPAGRFDTLGDYPL TRVMSKDGHPIEGQEALNASIEKAPRYSVLIVEDNLVNQKVLSQQLRKAGCEVYVANH GKEVLEFLRKTEYWKSDPLPSPPSSGHSSSAPMPMMNGHVPTSPPESPPVLDTRSVAQ SSSQQAIKLSVILMDIEMPVMDGLTCTRAIRDLQDTGQITSHVPIMAVSANARSEQVA QAREAGMDDAISKPFRIPELMPKIEGLVKGDGPVVVLPAATPLS PFICI_03125 MSSNYPMENIKRKPLPQAQAQAQSEEADSSAKDALDDLLDDYYD DNDESESRNNSVGTATELTLVSRPTMPSRPNTLPVVPGVSSSSDTITKTEITIPDEPS TSKSFWKAAIDETVYFAGGLISRPAETTKHYSILRHSSAIVYYKGPSTRVTVTIFSDV ALPQDRSLWLQRKGFSGNLGMNVSTLLGTSANWIDVTPTYEAMSTDVPESDERAYQRD IKRFLKKGSRHVARETCVIRVPAAASDGYLRLVLCTGESRKKVLCPSPVFRIASTSTD VSIFRGASLSSMPIEAGLKVASVVGTTVANRYIGPARAVVDNRVQKYTNKYKPGFIAE RAEHIAFAKSGLQDRFNSLEQNFDSARDVSYKPFHDALILDAPPEVIGADSGPDKPFP IKLTGTVVAGSGQSRAQLGLPTANLKGVSSDLLLRLNGVYFGWARIEPVKGMDNISQD WHEAIITVAISPYASPNIAAGNVATVHMIHDFGEADFVGAKLKSVVMAYLRPASLPDG SRQLNLSADTAALDIDIALASLSRESWGSQVTMERMKSEKSAQSLADKYVVARTQVQK RVDSIPLHLAGVRSNSATMIDKAYGRGGIYIRR PFICI_03126 MASRRSSASSSPQHSRPSSHHGQLDDSHDIPVETLVQHLLEAKK SLSSMAQVLRANEIVTAARQAHEESVILGAQAQFLRRGINDQIRLLLRARRSMTKTYN SGKREFKQVIKSLDAANGQLEITMDVLRDRIVESAFRPQGEERRNLLDFVDVAQVETM HSTLKDNIAALQSTQTSFDGDLLRFDDDLRALNKTIAAAPSQPSPSASNSYQPVPHLL ASLMESSQDMAELLASLTQHFDLCVTAVRTTEGGAALARRKAAEASQAQGDGNNVSIS GVIAEQESHMAELDPISPEERVEMLQVVAQDASEVADVVQEIHERLANMEDEYYQLAE QTNQVNATYTSTLDAFQVLEDISTRFRSYIAAETEFRDRWVAEHETIGAKMDEMEQLR LFYENYAGSYDSLLLEVERRRAQEERVLNIWRKAKESVDRIIDADEKQRENFRHEIAE YIPTDLWPGMDDPIRRWEVVPAGHDLTGEDHQGSTPALDKSVVQAAASRLGRISGDRS PFICI_03127 MSAFLQSLLSSAFPNVNPPGAPKGSSRPATTFRASANPVRAAAA VADADATTAATFPFGQDAVTPAAFNNDVSAPGFVVANNTAVAVLAATVCLWFVWYLTR RRSRNAKIKAAAQDAARAQLEDDATRKVLQQMVDERTAAFELQFQQTLDERRLAEHRE DPDFVAVEATLGERIKSLEASFEKTLNERLEAMEEAVRRRVNQRVEAMETRIKLDQEA IESLHHAINQRMEILEEGVRILDGKQLDQEATHITARSLSVRLEAAENTIQALDNKTR ATSQRIEAMDDSSRVVDSRTKSLSQRVEAVEDNLSAAEGQVTLLSHDANRGVEAIEKL QKHVRTLPGNERLRDFNTAWEERFKELERRLEQNQLAIEEVHYDSEQTLTWSHIEAQS IEPVGPLYTRPSYEQEHSFNHSPTQSFDGSLPPTPSSSSRSYSFSSSTPGTSGIPIST PTNSKKSRRPVVPMVEDKGFTDTTFSSRQKLFAARRHSRSISRQHSLA PFICI_03128 MASLDLDGKSIVDFLPDHPTPAIYAASVRDYMTHAQLKQFVQGF RLPSTQSFKKEVVAVALPNGPILAATILAVSTYYTAAPVTPGVGVEQFKADVLQAGAT IVLTTAEDYQKLALQDGWVAEAGIQICLARIESDMSITVTSESGSSLGALAAPQPSAP KDTCIQLFTSGTSGNKKLVPISIHAAISGAHQVITSWGLTPQETCLNMMPLHHVGGLI RNVFAPIFAGGSTICCSGFDPGLFWDLVDQELPTWYYASPTMHSLILNEGINRQADMS KSRIRLICNAAGGLLPALAEQLRDTFNCTVLPSYGMTECMPISTPPLDYKLDREGTSG ISVGPELTILDGAERQAPPHTIGRISVRGSPVFEGYLKPDGSLDRSPFNADGWFDTGD LGYMDEDGFLYITGRSKEVINRGGEIISPFEVENAIVSAAADPDSPIFERVSQALAFG LTHDVLQEVVGIVLVTPKGKPRVDIRVLHVAMRSLLQQAKWPTMIVYMDDVPKRNNKV LRTNLAKRLSLPEQTGTTSFMEKHWEAVCPPVDTPLAEPISARLCTVDVAQLQDVASQ IMPTIIEAYVDISSDGRPEMFLAPRPATEVTKEYGLAAVADLKKLLPARTDNYNIPER IQYLEQPIPFCGCGTVDKKALHAIAEQQISNAENASADNLDEAVVQIMGKVLSRSPTD IEVTADFFSIGGDSILAGRLLSMLRAEFKVSVPIEFVFNHGSAREIAKYLEQEGASLN GSDSSSTNEKDFHFCDKQYSATNLFLMLLQLMPMVLFYPMRRALQWTIFMVVLSCTLR WPTNQSVIGRLLILVCSIAASKVILSIFSPFVGIICKWVIIGRHKAGYYPMWGPYHTR WWLVQKITDICGVGVFGMSNWSKCMYYRLMGAKIGKNVTINGARTGEWDLLDIKDGAV LEHCTIRPFAGERNTTMYLAPITIGRNVVINKASIVAPGCSVPDNACMPPNSSAWELE DTDESFRDLPSNRVPGAPWVMTILVTMPLAFISYILSLVPWALGLLGLVMAKPIPTDH PVRSVIHWFASEGRVGYHYLALVLRSMFSPFFVFAFAVAVRLFLDVWFGKLKPSPAAT RSSVDRWRMALMKKIMPVSKLHKMTEILGQHYEGTSVAVRLLGGKVGKRVYWPGTGPS IGDYHLIDIGNDVVFGSRSHLVTSDGLSSEKVKIGDNAMIADRVCLLPGVEVGKSTVM GSGALSRRNKFYEDNSTWVGSRGGDAIHLARPRPKQSDPEKTYSEKTDPKKLNLDTSF SEKANQKRVRVLVESATSSGVSSSASSTLASVAPMSPMSPMTPNMLTPKASTNQLSMG APQNGILSNKSSRQVLITPQEAAAREEELNLSPFGRAFYQGKAPYRVMGQFVIFLYSS FITAFTAALWNIPFILSIQIIYWMNYDEILGRGFWSDALHTWGFMTACIVVLTTGLAI FAILLIIVAKWTIIGHRKPGNYDWDKSSYCQRWQLFLSIEKIRRHCYRGHGILGMLTG THWLVMYFRALGAQIGDNCALFVNGSPSLMFTEPDLITMGDRCVVDDASLVGHINTRG TFDLNELHVGDRCVLRSGSRLLSGASMQNDSCLMEHTLVMGGDVVDERSTLQGWPAKV FKGDTAGHTTL PFICI_03129 MNTSSMAMEIDAPTGKRSRSRSPDKAELTRKKTAREETVKAPPI LLTTEMMMDKSPDRPATPSGGRPRSNALRDWTPVPSPTRLQSATSDCTKPIMAGL PFICI_03130 MDLNISSPVDQTPISSIILKQIGRCSSPAAAEALAPDSDQPQNA KWLQPKRQPRSNRDSLCARMNHNNRQRKATQQSRRWVIDSEDSVDLARSRCWLPSDAA RKKPRLERQEAFRVPEMVYISDVVENDAELYRLGLLYDDDHVRGSGFSLNTIVHSNPV YPIRPAKRAQRGINTQYLDGWGECDEDGPLILGQLLTSTLDVPSTPEQEQPNMSYPTP ASTPRHNGDFVGNRSESETHTEGRQSELEEDFICDWDMLPRLQREYSNTSTVVESIEI DAGVALETWIVLGETCSESH PFICI_03131 MPSPMIKATLAAAALSSTSNVLAQILDAYRAERPFVFDAAQFLR FVAMAFLTAPPNYKWQQYLEYTFPAYERPRDPLRDAESGRKEKGDDDEKVGMMEAHES SGDNHHHLYTPQVGDAPPKLNWSNTFTKWFIDCITIGALVNTVAFFVLMGLLKGQSAA QIGHNVRFETFPLILAGFKIWPFASIISFSCIPVEKRIVFLNFIGLLWGIYMSLLAAR V PFICI_03132 MSHEFEKADVDYVPDVELTKTATEATNAWETEFSPEEQRRIVRR VDRRLVVTVGVMYCISLMDRTNLSAAVIAGMAKELELVGNKYSIVTLVFFVTYIVFQP PSTVIIRLVGPRIHLAVITLLWGSVMIGFGFVKDFSQLAALRCVLGILEAGFFPSCVY LLSTWYTRYEVGKRYSLFYVIGCVASGFSGILAFGLMQMNGLQNLTGWRWIFIIEGVL TCVIAIASYWLLVDFPDSKRKLWKFLDDNERAWIVKKINADRGDAETPKFQLTKFLKA GLDWKIWCYALIFFNTTTVTYALAYFMPIILNTNLGFSVGASQCLVAPPYAFAGFVMF GTGWLGDRYRIRGPIILANMVLCLIGIPIMGWHPNPSVRYFGVFLVTAGANSNVPAAL AYQANNIRGQWKRAFCSATFVGFGGIGGIAGSLVFRSQDAATGYKPGLYAAIACALLS IILVLIVDTKFYFDNRAADRGEKELEHEEDEVRERGFRYTY PFICI_03133 MAQPKSPQKIVFGSMTLGKEGAEQARVHSLEEAGKILDVFQAHG HIEVDTARSYAGGSTEEFLGALGWQDRGIVLDTKLSPVPAQLKYNHTPSDLRRGLKDS LAALKTEKIDLWYLHAPDHSVPYEDTLREVNELYKQGYFKRFGISNYAAWEVAQISEL CIRHGWKRPDVYQGVYNGIHRGVEPELFPCLRYYGIAFYAFNPLAGGFLTERYQRDTA IADLEKGSRFDPERMQGRSYRGRYWNETYFDALDAVRPVAKKLGISTAEAALRWESHH GQLDREKGDAIIIGASSAAQLEENLVNLEKGPLPDELVKAFDDAWNIAKAVVAPYFR PFICI_03134 MAPESESHPEPQRSAPSEAQSNKPVPAAEPAKTKDVPDGESGAA ADGEPRKRKFDGGRGGRGGRNRRGGGRGGKGSGRKHEFTHKEANEQRGDKRERQNDWR ERKRLKVDESGRRVGADGEQSYMSIQFPKEEIEAEERRPKRKVAVMVGYAGTGYHGLQ INHKEKTIEGDLFGAFVAAKAISKVNADDPRKSSLVRTARTDKGVHAAGNVISLKLII EDPDILQKINDALPPQIRVWGIQRTNNSFSCYQACDSRWYEYLMPSYCLLPPHPESFL GKKVVDSVKEHGVEEQYNQRLADVANFWEDVEKNDIQPILDSLAPDIRERVQRRRHAA ESESQGSKIVKIDEPAEDDAQDSTAEKADTETQPADDTTTKDTDVVMTTLDQDNVTSE TTAADEADATSTQPSEPKEMKESKEPNPVDVALKRIKAAYVAAKRRYRITPERLNQLQ EALNQYLGTKNYHNYTVQKNATDPSAKRTIKSFVVNPEPVQINDTQWLSLKVHGQSFM MHQIRKMVGMAVLVVRCGTDMERISQTYRDDKISIPKAPSLGLLLERPVFHNYNQRAQ DSFERPAIDFGNYEKEIQQFKNEHIYQRIFDLEEKENSFHTFFHQIDHFKSDYFLWVT AGGIPAARKRTGQGDDMPEPLKAELGDDESEDVEGGEG PFICI_03135 MAAILRRFLNMTKAATAPIPRDGALDTEALNQMRMETETNALIT EIQNLLVITREIKALWIKGPLRKPGEDAAQQAELDAKAMRVQELYNTLMAQRMEGQKR DAEARARGSEQQAA PFICI_03136 MASDKLTLIRKALQLFFPMMGKMAIQRIAAVYHGWTWRYRADAK NVVVVGGSFAGIQLVKRLSETLPTGYKVIWIEKNSHLNYSFVFPRFSVLTEHEHMAFI PYDGIGRGAPTGIVTHIQDTVVDVSGEQVLLASGEAIDYSYLAIATGSSQPLPVQVRS TEREDACYELRGVQQAIKSSQRIAIVGGGAVGVQLSSDIKDFYPDKEVTLVHSRDNVM NHFGKRLQDYALDALRNELGVRVLLNERPEMPAQGNFARSATLAFSDGRKEDFDLIIG CTGQRPNSAILTSYLPSAISKETSRILVDPTLQVWPQDTPISHNLPIFAFGDVAEHGG PKMARAGFMQADIVVGNILDMINGRVPSQIYKPKWFLENAIKLTLGKSHKAIYAMDSD GSDVLIPDRKSTALDLGIKHAWGQVGADFKLAGAPLAEQSGKDR PFICI_03137 MYGFNYATILALAAGTAATSVSTTPHDSYSSSVGVLGCKIDTNR VAYWPGSVDCNNICVKLTYGDRSVNLLRIDQSGGAYDISYDAWAYLQTGKSASVDAIT GGGVDMEYEEVDASECADLIKTDGSKLPLSASNSMNFLASCLAEPDSWVAQNHVLYNI CDSVCTLGYDETCTLDLAVSNQPSCSHTLGLTTSLTSTPVYNIEYQSGKVVTAGSGVV ASGYTYAEASADSSSDSSSDSDAEVETVATTSAAPAVSTATTSTVDAVFLEVTSSSSS VQEYKTAPATTTAAATTSSFAPAYSTQSTTAAQTTESASSSATTLVSSVQSSTTAGSS SIASTAAGTSSIASSAVPTSANASSTLVVATKSSSQSSATSSGSAATGTAQPITSGAN SKVGASVSALISSIAIYLLVSAL PFICI_03138 MLYATQIDGIALVVGSGSGIAREAAFSFAETGAKVAVSADMNKE MAKAASEESKKIMVGQFMQAHAHLTVPDILGDILGSVEEDLELQRDYVK PFICI_03139 MYLTCCNNFCDIVIPSLLFGTINSLIAPRLCMGPALSWPQILAV IPWMFLWSWTNLFMFCLHNQRQPDNIAEDAVNKPWRPIPSGRLTAEQARLLLWILHPL CLALALWLGGFVPYVVLTLFGIWYNELGGADSGILKNMINGVGLGCSFAGPLEVATGH SIFSGDADAAFWTGILMATFATSSHLQDFRDMAGDKLAGRKTIPLMMGDLPARVSVVA GLALWTEVASRFWSLEWVARALPLAAELTLSANLFLDRSQPGDSRSWRLWSVWVATLM AMPLMSE PFICI_03140 MGTEPATSGRRRNEKGRSKIGCLTCREKHVKCDEQLPACRRCNR LGLDCRPFWLKTVKAPQPSRSRCRILRPKTSAAQHIFDHTETSSPLAEASEADYNACL QPAILHSPDLTQRGDAMTSWLLASPANTEKHAQHLNSTTIDGFQSHESDTERAWLLEN DIIAIISNVRNDLTQTQIPPQPSSSHIALPNALVLSLNERRALRHYETAFTATQTTKD VQWALPTLLLRQALHCSTMMHFILALSLFDLDTKSDSILGNRVLAIHHFNAGTSRLVS VLANTTTYNHVEILWSFYCIYVCVSKQKCIDKDKLNRLSRTVSQHLTAYLVDCFTINS DGSTAFDSHEGTVNTLSSPATKSLWCKLLFWIYQEDASSASWGCNAEVAQYFAQHPDF TRHIWELSRSTLMLNWGLEYPENQCFTDMELSHVVDLSVDLTKLRFQKYMLIFKMVAS SAVKTTEATTFGAAAVAIFHAIEICYYLHLASHTKDERREAVNASLTKLLHAARQVFS YSSQVPQLDFFQWALFIAGAATEDAIHADWISDHIPPSRLKFGFNMILSLRTSIGPVV DMEIINKILAGWYQLEDCND PFICI_03141 MTVVSLPNVPALADVVLSATDEQQILLDTAERFHQQLWDINKTI HDNPELCYKEFKAHDAITSFLEANGLETTNHAYGLDTSFVAEYGQGGRLVTLCAEYDA LPGIGHGCGHNLIATAAIASFLGVVHLIKSANIPGRVRLLGCPAEEGGGGKIKLIQAG AFHGVDAALMLHPIPPVDRDHPDTTGLFDGISYGTCLAGSRFRATFKGKAAHAGAMPW LGVNALDAATLAYTAVGMLRQQIMPHDRINIIIKEGGTSSNVISDRSVIDACTRSATL KEMVLLQDRVVKCFEGAAIATGCDVVVEEEGEAYADLRPNETLCTEFTEEMRRVGKTY YCDLGKKDVGGYGTDMGNVSYECPSFHGTFVIPLRDGENIHGPGFTRAGGTEEAFNTA LEAANGMAATAWKVLRDQEFASKVMEKFEADKALR PFICI_03142 MPSTPSRSGSGDSAKSAGGSTRDRELSVNFYSPENGHWAAYVRT KGADDGTMYHVRSDAQKDQDQFYYDEKRQVFHSPSLYGSSVVGKLSSGEAAMAGASIR SYASDERNIPRVSRGTNCQNFVGGALGRLEQDGLLKTGQSQYFSQQVGRRGEDIGKDL QRTGRHFALAQKIKPQGPPAARFAEQETRRAPKKLNMSAYSHLSQ PFICI_03143 MGDQQQATENPGVQDEQSAKPVLVISKLLGPPPYVLDPETLADS FNPFPWMKGNSFRQVDENTLVKYGGSVTLVEAEAMDFISRQTSVKCPQVLGAYELDGT AHILMSFEHGKLLYEFWQDASESEKEAVIGKLQHYLKEMRGIKGDYVGGFNRNPCRAG EFEWDFDKTDHKYGPYADEDGFNHGIAEALSRASPKPDVEDPESPEYNRMYTIQQLVH SLRGHDIVFTHGDLHPGNILVQDDLNVVILDWNTAGFYPAYWEWYKATWHGTFMPSFI RQVERYIPPYWIEANILSQIYNWIVG PFICI_03144 MPAPAVDNHAISVVLWGGDNPNEPLADPVGHMALAVHADVSQPA ICHLHHVRCPNQVRFIYESRPQQPFASDPAPRGRCELRGGLSAGDARTANDVLARFGA DETQLPFYGEGNCHNWTAGAIGALEEAGLAEPGDAAVWAALIGKGPRAMQHSWVDGSR RRWIDCDEFSQAWSGPVDARWGEGGEAQAAALAAANASASNLKDRVARLQKLLGGEHK PFICI_03145 MSLPTTQTAAVCAKLGGGVKLVHDYPVPKPARNEVLAKVLYTGV CQSDLHTQQGTASGPDGRPITKVKLPHVGGHEGVGRIIALGPGCGDELKIGGFVGIRF ASRICRRCDFCLAGKEQHCIKSTNHLHHEDGSFQEYIALDADYLTILPDDIDPVVTGP VLCAGVTTYKAVKNANAKAGDWVVVIGAGGGLGHLEVQYARAQGAVVVGIDGGSDKGD FVKSIGASHYVDFTTTSDLVQNILDITNGGANAVIVTAGNAKAFSHAAEMLKVGGTLS CVGIPPGKGFIETPISAIVIKGLHITGNLVGSLKECLEAVDLVRRGVVKPKISVRPFA DLPTVYEELLKGDVAGRIVLKIAGND PFICI_03146 MSMKPLQESLHALDSIRIRHWMAHTNPSKFSAAQTGRSVTDDLN EIRDEREKMQQEKLSWIHIPSNDMALCEDVLKRICCEAQYKALLSHDKWRSHAQQEEE QGGLYCAPFMSAAGFQLRFRKLIDAS PFICI_03147 MQSRCLLTFCTGVFGYSLLSRCTVVPDCGITTSFIGQSYNKYVG VILRHEYISEADVYQRAEAMQIFEDYGRNSDDSLIKGKFFSNRDFKAIWLTRDIRDEL KMLSNVITLQQDALVTLDHKFCKEELKSSARRYERLIEETQAVEEMVSILRSHLARSN TDFAISASQGLGHQASFDRFASEQD PFICI_03148 MNKGKAVEQTPQHNHDSDLEQLPENPESAGNTSKFAERIPAPPY TPIETNTEYCHSNSHTSSPAFPPNGHQRLTSEAFPNRLNIVIQVVGSRGDVQPFIALG LALQKHGHRVRIATHNVFQQFVTSSGLGFHPVGGDPAELMAYMVSSPRLVPDLATLRA GAIARKRHMYEEMLKGFWESCLAEDQETGVPFVADAIIANPPSFAHVHCAEALGIPCH LVFTMPWSSTGAFAQPLAGLTLDSKGKRKESKSSTSTNLVSYSVVNFLTWQGLGDIVN HWRVETLDLEAVPSTEGPHLVESLKVPFTYCWSPSLVAKPSDWGEHIDVCGFFFRDPP SYTPPDDLARFLSSGPRPIYIGFGSIVVGDAEGLMSMVLSAVKAAGVRAVISRGWSNL TADESDDLFFVGDCPHEWLFQQVAAVVHHGGAGTTACGLRYGCPTAIVPFFGDQPFWG TVVNAAGAGPPPLPYESLTSQRLAQAIEFCLLPTTREAASVLACKIAAEDGVQNAVDS FHRSLPKELLQCDFFPEETAVWSYGRGRKQVKMCRGVALVLRQHGVDLKDLRLHKTGN IDIENHRWDPFTAVSAASLSTVAGVADATVDMVRRPIQEYRRERSEPEVDGPSDATTK GTVAIPSGAAMLPLVDTDTILMSTEKSLAKKSSNRLEALPEQSLKSASKTSLETTSQS SLKSSNSHSKASAAASASAHSMGNAVARATRGVFVDIPLAATEGMRAVPQLWGEEVEK HEHIHDLRSGVSVAGRSFVGGITGAIKGVFMRTYEAKRKEGAIGAIKGLSQGSVGLVT KTSSAVTGLVTYPAQGISKSIRARIRGEARRRVTQARWRECEWLLESGEWNKDTSSVL HDFEGLKGRNI PFICI_03149 MDKYWNLNGGHGLIVRTAFRTGQFVFAIVAAGLYGADLAYFSRT NTKADGTWIFAEVLVVFSVVLCAAHCIFTIKHLMWSLLDSFLALMWMVVAILAGQAAF GDGLVSGVSAKNTHAMALLWIDLINMVLWLATLVEASLLCCTARTVRRKLENLEMDTS EESRSA PFICI_03150 MKLLVAFFHTQWLLLATAAAGVPFHEYVLAPTARVVSPVSLYQT GGNVENAAALITANTTEAECNQSTTFTGNGSYVTFDFGKNIAGRVSFQVDAVSGSSDS IGFTFSESSMYISAEHCDAVTDGIFDLPQWFNDTVPGHYEAGHDFQRGAFRYLTIVHN TTGTMRLSNVTVYWTTSPEMQDPAAYTGYFHSDSEKLNRVWYAGAYTNQICSADPTRG VALGAPVAGWYYNYTISNGTSVLLDGGKRDRVVWPGDIAISGPSIFVSTNSLEPVRNA LDSLFLYQEMDGRLPAAGYPLAQLFAWSFTYHCHTLNDAYDYFMFTGDTLYLASLWDQ YTLGIHYLLQFVDSSGLANVTSTSDWGRSGMSGHNIEANSILCYTLRNAVKLATIMND TRETSNWEALAVGISTAANVVLWDDSVSLYRDNDTIANGSSAPSYPQDGNAWAVIAGI ATGTRAVAVSDALKARWVRPYGAPAVEAGQTISPFTTGFELQAHYLAGHSDYAVDLME FMWADYMLDDPRMTNSTFIEGFATDGTPLYPVYDYDPRVSHAHGWSTAPTSMLTFYAG GLTMTSAAGQTWKVAPALGGLQTVQTRYETPLGAFVTDWTNNTRGLSGIFITPNSTTG EINIPLASGARKLVLEGPGGSDEVDLNGLSVAIVTGLQGGKYSITIT PFICI_03151 MATPISAGSRPDGHTNVAEDPAPKLRDSCQACAASKLKCSKQKP RCARCIKRGINCEYLPTRRAGRKAAKRLDTAQRNGRVRSPTPMTTTVREGVPAQTITS FSDLHGDDCSDGYSSPDLRSESTGLVTPADTDSHMPEFLVASTPFQHADMFDDNGRIG SIGSSLSDWTLNYPFDNSHMSPSLFGTTTSTFVTNPIGQLHTPPSCTIVPAVPCQTPM PILDHSTYHSPSPDIQCRCHSQAYELIKQFCLNSWCKSTPPAAQARQDALRSAGSVQS TLANNQRAIGSIIQIIACSCSQDNALLAMASIVLFKIIDRYEQVACDPPTLLDTDGKT NTSEAVNDTQQHAILGALHRVRCLLPGLFQRLRSMAGSETRDDIGIPCSESPGSSSEF LMHGATNGTIPFSATLFRQLQADLHERLQNVFIAVSGQLSKA PFICI_03152 MKFLLSILLIIVSYVLPIAAQLSGRVGPTTSTASKAAKVCNIMS YGGVSSATTDNSAAITSAWNACKNGGQVYIPAGNYGLSSWVTLSGGKGVSINLEGTIY RIGTASGNMIAVTSTSDFEFYSANSKGAIQGYGYQLNSGGASGPRLVRLTKVTDFSFH DIALVDAPVFHLTLDTCTNGEVYNTIIHGVYKGGLDGVDVWGSNIYIHDVEVSNKDEC ITVKNPANNILVEQIHCNWSGGSAMGSLATGIDIHDIEYNYIYTHHANQMYMFKSNGG GGTVKNVILNNFMGHSNAYTLDLDSAWSSMSKADGNGITYTNITFDNWKGTAANGVQR GPIKVNCPSAVPCTNIDIKNFNIWTDSGSSVLWGCQNAYGSGGCLKAGSGGTYTSTST VKSVANYQYTTMSNELPSGFPAGKEIPIPSLPASFYPGRQPISAILAKRGEATPAALH RARRTASSNP PFICI_03153 MALICIALILASTGLGSPISGRSQDRRRPIMLDSSGGFNVGGKI ISDPRFPNLTLSCDHGYMEYFIPYTPRKTSLVMWHSSSTQTFQNRWDGGPGFKDIFLR RNYPVYLWDGPRVGRANWGCDPDTYTPSYRDQGNFAAWNFGPYYKNWWPDTQFPKEDD EAWLQATRSRYVEYDSDENVELHSHTAAVGADSGKLGEDIVYLTNSASGVRALMTAVK SNTTNIKAIVCFEGYGYVYPDNANVTAGTSPFGGGYGPYIVPLEDFKKLAKLTAVLFY WSDHRDESYTFLKQSRQVAALINLYGGNAHVVKLGDDLGFKGSTHVAFADMDNEKIAD HLEDFLDQNDLTGYAGGSDSRSKTRR PFICI_03154 MVVFFVVYGFFFMKSNDWWRFPIAIQIVPALILAVGCWVWVPPS PRWLVTQERYDCAHEVLCRLHGSDVAELEIKEIRESLDVEDASTQATWADMFKGAVLW ITFLGTTIQFLQQITGTNAIFYYAPTLFMKGGLSAEAANLATAGVGVVLFLAAWIPVM YFDRLGRKTWLQIGTVGMFFALIGIAMLLRHAEHHPGDAANNAIVIFPYFFYTFFNMS WSSGSWTYAAEIFPSALRAKGNALCTASLWISNFIVAQTTPPIASAIGWGLYILLALF CVVAFLFVRYALIETRGRTLEEMSQLFGLDDLSGKANDGNSERNELLRSSIDSTREDD HE PFICI_03155 MADKTRSRFSGSRVPSRQRTPNDLPQSELPQEKTAKSYTYENVT GWRQYRVLRPCRGMYHDIRRRLPYYWSDITDAFTYRTIASTIRMYFVNILPALAYTLD MYRKTGEFFGVTEALFSSALAAMVFSLLGAQPLTIVGITGLISLFNYTIYEIIAQYDS SLYPLFMAWTGIWAAIFHWIVAMFNLCDYMRYVTDFSSESFGMYVGIIYMIKGVEELV NELTVEGPVSGYLSCIIAILYFASVYSLEKMGQSTLWKPWFRGILADYAYVFATLFWV GFSHIPGRLQDAHVSQLPVTKAFYPTQPRDWLLDFWNLPVKWIFVAIPFGFLVMLLFY YDHNVSSITAQARQFPLKKPGGFHWDFFLLGCTTFIAAILGLPLPNGLVPQAPVHTDS LTVYETKLEIIPTAEGEGTEIRRPVVEAVAVVEQRVSHFLMGLALIGTMTGPLLAMLH TMPSALFGGVFFVVGWGSIESNAITQKLVFLMSEDRFIQRDEPLLSIPRRKIWLFIGL QVLGVAATVAVSQTIAAIGFPVLIILLIPLRTHLIPKWFTLRELEVMDDFTCTNEQVL ASLGGAPKLPEHTRAQDWGLERRRSEQRFGLQRQRAGNLRR PFICI_03156 MSSRSSGVSMEVSHGREEEPKDKPDNFAEEQECEHESAKEKDKK NGGNHSIDFKTHPAFKKARVAIQLFVNRADKIERKSQNRYTMRTFAMAESDLMDVDSW HKKALTAVRKATQVDKQEGDKLKADLREKWLAGRCTFANEVGDYDMDYDYSDPDLNPN SCSDFEKDDSDLEEDGSNPEEDD PFICI_03157 MATHSGSLCYQMLETGDIRILRILPRTGSCLECELDHVALSQAP DYLAISYAWGDADERTTIIVDGHKFDINTSLYDVLSMLQSESDGEIVTLWADAICINQ SNIAERSSQVAIMADIYRQAKYTAAWLGPATQSDYMAIELVQHLVKVKNSEPDFKESM RSPSWHEHFVALASLFNKEYWRRMWILQEVHSGQFVRIYYGTRWLPWENYRDVSRAFR RHDLDVRGTFMREGLEGTGEYISWVLCYNGPNTLDLEEEEELLGFLAWCQPKRCADPK DKLYALLGVLPEAIRRHFKPDYTISVKKLYMDIFEYILRSTRRLDVLCYATIIGMTTE NPHFLPSWIPDWSRELQVDVVMDICDCAASSEPADFTISSGASNTSLRGWQMKVKAVF LGRIAQVGLHVPDFHTGDDISMAFLSWRALVKQLGRSDEREVLCRTITMGTVDDLTSQ ELTDICFHVFVQRLQFTLPSITLDDELKAYSQTRLGASEEELSAFWSKYIERTCEMRR LFVSEEGQVGLGPPNIGYGDLICVPLGCRAPIILRPYSDGSYKFVSDAYVDGYMYGKA DAEWKGGSKKLQSYILR PFICI_03158 MDGKNGRFTYNALPDAATHIRLIEVHNAPQDGGRIEIEISSWPL AEVPEYQAVSYTWGEVTSTETILIRGYPGSLHVRRNCADVLRQAFHFKPDGPAYFWVD AICINQQDSAEKSYQVAMMGDIFENAECVFACVGMHDESSEFLAKALHDFEAMLEAGG GSLSSVFSEENEESADDGARGTSLSPKEQCLTLCGLWFQRISDENGLRFMQACDEFPK RSYFWRIWNLQELYLAREIRIICGFNELSLASLLFWWRYSKLYFSQLFVEKLPQEYLE KAPWTDGEYILDQGGSGLGDVFEDMLCNNVRPQPRGKMEMCQEKVIELCEPRHCQDPR DIIYGTLRIMDWDGIEPDYSKPTWELATNTMSKFGSTRELLQFIGRLHISRDDPELSD LVHSRLESADLTEKLDETDIPEFPDEKYFPAGGFQLTPDSSWRIIRAQKDGADFCRII GPSGKPSAVAHTNVHLDDWLVRTTRHAGVILRRINYFYQVVGPVRFLSESDLPNELSA FLLFFDPEDLVVHLAQDDCRSGEFETMEDEDTSVIASLQVRVCKNKLSSWAWRPEKEC FFNMYGFLDMKPDVILPTLTCLYKLFTTLNAYRKAGIDVDSS PFICI_03159 MFDSLFNKALKSGVAKGVFEQPKGPSGPVKLSKKSKEAKPAAKD GEKATKKAAPKKEGAAKKAAPKKTAAKKEGEEKKPAAKKAAAPKKAAAPKKAAAKKDE KPAAAKTKTGRVAKTTKAPATKKAPAKKAAAPKKAAAPKKEAAKAEASA PFICI_03160 MPAADSGFGSNNPFRRNTTASFTGQDPSSAPSGSVAAPSSSFLA AAAALSPSSSAPRPPPPLTTFKSAAAVAEDSHVDSARADRDSVQPKPKKIVKRVRVQS PPPSSPEDAVPVGRYPPLDDDVDSDDTNSDTSHDAGERADPFSSGDAAELNRSAVHNE PPVVRPPANPFSKTLQDLEHNALGQDNGAGGSGKGSLDVDSFKRLLLTGQTTAPGAGV AGSSMGLQQATAIDGASNTDASSASRQSLYENVQETPRTSHEISDPEESKVSMASPLA TAQPPSGRKPPPPPSSRHGKLIKMELGAENKAKRAPNSAISINTDTANSAPTRKSSIH SLTQSSPGSSDVNKPLPPPPLRSPGEEDVVSPFDREAAGKVPESLAALTTSPQAPTSL SETSRARSTSQSSTLTTSSSHRKPAAPPPRRHGHGRTDSKPSSILSDRADEDPPRSSL ESNRSRADSIRVNINFDKNSSAPAPPPPRRPNHARKGSSLASPTLSTFSQVNSPGSSD DSRSPGVLGFNPMERQAGAGSMPTVTHSKDGLPKLSPPPPPPTRHASLRRPSSAHSTD ASPLRKVSREKDGGVVPPPPPPRSRGASRASSNMVDSQHSSGRLRAESEATRLDEEPV TTQDTQAISETGTPADPGAGDDIMEQLRALQQEVEAARKASGSS PFICI_03161 METYHGFVRTPADAIKLFEACRLGLLPRVQRRLSEKERQSIRSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGSGFGNSRRSNGRTPDSG RFSDEDPDGEPEGYRYKADGLMKQSFSITTSQGQHLHLISYYSRPQHNTPELPQPTSD ASLRHIVPAKGMYPESSLHDTNPPALTRTPMQPYTTQPPPPEPSQYPYAWPPHPAWMA AWPPSPNGTPPWGMPRMSPDAKVHAIPRLQPQPHMQPHLLSPHMQPLPQPLPQLPPPT TSASSQPSLYPRPPALYEQDRLPPVAAVSAYPPVQTIAASTSPRLQQLQQAAAHAVMI DPRIATTSARNPQGPLPAMPTAAPRLTPPRTHSVSPPRTAGSDTVNPSSRASLSALLH PTPANSEPSSANPASSGSASSSPRALASAPTTDLYNNQDAKAIRSLDRKFHI PFICI_03162 MSILSPATRRPLFSHALRVRWQGTRAHKSTLPKTVAEYLQWKPS MPTKGIEIDGYIRSVRAQKHHHFVSLGDGSSIDSLQAVVPAEQAEGLTTGAAVRLHGY WVASRGSGQSHELRVQKATVLGASDAKTFPLQKKYQSPEFLRSLPHLRPRIPFNSTLL RFRSEVISSLTRFFESRQFVQTHTPILTASDCEGAGEVFGVSTVDESPRPDGETAKSF FRRPVYTTVSAQLHLEALAQALGNVWTLSPTFRAEQSDTPRHLSEFYMLEAEMSFTDD MESIMSLVEDMLKHVAIELHDTRTVKEMSSRSAKSSSDMVPTDQVERRWRGLMAQEAW PRITYTDAIDALKASNESFEVKPVWGQDLRTEHERYLAAHIGNGETPVFVTHYPRSFK AFYMKAAANDLGKATPGETVECFDLLVPDFCEIVGGSMREHRLDNLLESMKLNGLDTT SEQSPDATGSKKTVGNNLDWYLDLRRWGCPPHGGFGLGFDRLICYLSGVQTIRDTTAF PRWYGRCDC PFICI_03163 MPPARPKPSDVAAETKKNEIPAIKSQYSHVWPCHSYLWHSPATQ IQLQRELNQSLLPPSFSVHHADPVDFAIEWERSHKAAGNETRVPFICAANDRRPGGDW ETGVIGYEERLCRRSNLSATLATPGPGSTVASNYPIPSEGGIYSQFVVVFRGPHDAYE KLPQWASLPVISIPAARWPKLSHGGTKYAFPLEREMVMNKIRAGLLICAGQGFSQVVI MDFGLGNSNRNPPQEIAEMWREVFMWDPEIRGHFSHVAFVFEDPYQSTTKLILDDIAK KSKGGSSSKKSKSSSSSSSSSSTHSSPSDYDIFANVFCSQAIQQEVARIDPRFSLSTL TS PFICI_03164 MVFRNGGGKFVCNLCTYPNDTPSEYFCATTPQGVRVDRDQRPEL CRGTVEFTVPKEYWTKEPVGLNWLFLIDVTQEAFNKGFLEAFCDGILSALYSAEDEVD ENGEPKRRLPQGAKVGFLTYDKEIHFYSCHASLEQPQMMVMPDVEDPFVPLSDGLFVD PVESRAVITSLLTRLPTLFSSVKNPEPALLPTLNSAIAALDKTGGKVVCSLSALPTWG PGRLFMRDDGKHPGGELDKKLYTAEHPGWKKTAEKMVASGIGVDFFLAAPSGGYLDIA TIGHVASLTGGETFYYPNFVAGRDNAKLSLEITHTVTRETGYQALMKVRCSNGLQIKD YHGSFLQHTFGADLEIGVIDADKAIGVTFGYDGKLDAKLDAHFQSALLYTTASGQRRV RCCNIIASVSEVTRECVKMIDQDAVVSILAKEAATKLATTSATLKETRQHLTERTIDV LANYRKNLTTSSHPPGQLIMPERLKEFSMYMLGLLKCRAFKGGNESSDRRIHEMRMVR SMGAGELSVYLYPRMIPIHNLTAEDGFPDENGHLKVPPSLRASFSRIEPGGVYLVDNG QQCLIWFHSQTSPNLLSDLFGEGKDSLKALDPYSSSLPHLDTHLNAQVRNIIEFLKTL RGSKALTIQLARQGIDGAEFEFARMLVEDRNNEAQSYVDWLVSLHKGVQLELAGHRKK DGDENLSSTLGNFSGLRPAYW PFICI_03165 MADYSMYHSLGQGEQLDPNDPNRTSQPAPPQFAPNVAQQQYQQQ FGSPAPQAQQQYYGAPSPASAPGYGPPQGQDQYAGQADLTSQMAGLGIADAAQHGARK KKKDRHAFHSVEAPAGSSQPFNGIPPAGTSQTAFLNADPSVQAGGQFLGQPGTPSQFG NQFPAPANQPFNPALASPAEFAARNGAADAGPQSAFVPASAAGQVSPDDIPSVPVSRD VPQQFYLNNTYPTFERHVPPPAATSFVSYDQGNSAPQFTRLTMNNIPATAEGLKSTGL PMGLLVQPLAKLQPGELEVPVLDFGDVGPPRCRRCRA PFICI_03166 MFTFTPLQGAKSDSTAVQSILELDGGVKVLVDVGWDETFDPQKL KELEKQVPTLSLVLLTHATVSHLGAFAHCCKHLPLFTRIPVYATTPVISLGRTLLHEL YAATPLAATTIPKSSLNEVSYSYNAQDAATESNILLQPPTHEEISKYFSLINPLKYLQ PHQPLGSPFSPPLNGLSITAYNSGSTLGGTIWHIQHGLESIVYAVDWNQGRENVYAGA AWLGGAGGGGTEVIESLRKPTALVCSSKGATKSSLPRAKRDEPLLETIERCVTNGGTV LIPVDSGARVLELAYLLEHAWRRHASSNDGKLHAAELYLAGRSIHSTMRYARSMLEWM DERIVQEFEEVADGAKKTNGPADNANQAKQGGPFDFRYLKLLDRKGQVDKMLAKANGE GSVGRVILASDASLEWGFSRNAFKALVQDPKNLLVLTGLPSPPADGSTTMSSIFHKWW KTAVEENGKHGVDAVGTGIGVDGALIQVPGDGREATLRNVQKEPLEGDDLAVYRFWLA QQRQLQDTLQTGGTETLDTGLDIDDASSESSSESGDSETHQQGKVLNVAATLGRATRK KAALADEDLGPNILLKKKGVYDYDLRGKNGRDAMFPYPVQRKRTDDYGDLIRLEDFLK TDEQQEEAMAGDEDGKQESQDNLGKKRKWADSAGNAGSTSGTDKRPKLERNMSDDFEL SVVDGPMNNDLDDVPDDEEDQEESSTGPFRLSITTEQIAVALGITFIDFSGIHDKRSL HMLIPLIQPRKLVLTGGSASETDDLSVELPKLFQSQIDIFMPDVGATVDASADTNAYT VSLAPALVKGLKWQDVGGVKIATLVGRLSVADEAKNPILDMPAALTTGLTATHSVTPF HVGDLRLANLRKDLRDSGYTTEFMGEGTLLVQNSVIVRKAATAGRITVEAAGWETDTV QIVRKKVYDKLAVLAS PFICI_03167 MRSSIALSLLVASSAAVAKYIVPGARWLDTDGELVNAHAGVVLF DENTEKFWLFGEYKIQNATEGAGVAVYSSDDLATWENHGLALEPIEGHPYISPSNIIQ RPKVVYSNSSQEYHMHWHADNSSYGLLLQGYATAPTIQGPYTFVNATAPLGNWSQDFG LFTDYKDGRSYALYSNGDSLYGRDVYVTRYDEDKAELEEVVHRFPKYDLEAPSIVQTE DSYYAIMSHKTGYRPNNVVAFRADSLSGPWSQPWIINPLNTRGLNSQSGNTLRIVGSK QTTYLYIGDQWDSNSLWESRYIWLPLNIDDSKKHLSIEWYDVYDLDVKTGEWSVVEGK TYYSKNALASGNAYHQEANFGSNGVIATGIYGNDSTVTFTNIEGTGKPQWVSFYYQNT DDMGFGDQPGGSPDRIGGSWQLRRISSVVVNGNTQNLETLFQRDTHKGIILSTPLQLT LNRGWNNSITVGGLLNGFDYKGADLDRIVVYPPEQ PFICI_03168 MVLLNGELALAPIQSPKRVLDVATGTGIWALEFAQQHPESEVIG SDLTQIQPTSELPNLSFVQEDSEEQWIHDRPFDYIHFRYVYTCFDSPQTVIKLAWENL APGGFIEFQDATMELHSNKGPAHIENNPLKRLFDLAIQGAAAQGRNIVVSRFYKQWLL EAGFVDVVEIKLAVPINEWHPSMRLKRVGQYSSRMLIDNLGGVAWKMLSVYGLSDEEI NETVVQAKPELRNLDLEAFFPFWVVYGRKPE PFICI_03169 MGISMDVLWRVGRNHIHDPRDLFSLATTCETLWELLENEIYIAD ILLARDLPSARPHCRCRMRNVSINAMCRHFEWCETRHHILRGPFHAAAQRGDAGLVSR ISNLALPLWPQYIDTKDPEGYSPLLLASSKGHIDVVKFLHDMGCYIDAYVVVPHLNLD QVTRKHLLGRVFEDLTANSMTLNPFTIAILGGHEELATYLMSFTAIADLEVTTHWEIT ADWERNRFPGTRKRTPQWMLSGAHLPSPYALAVVANMQPVLKALFRAGIAEPRIGTLP SALFLAATFEGNESILDLLCSEERMHRHWEMRQRDAYNVDKYDGSLEPSLQDLWLLRT AIESGCPRNALCIIQNFIHVPVEFDERPQYCMEIIKDFALCPSGATLVNEPIFDYEYH LYGVHYHTTERANGQFGDSNQTNGGVSDDRDEGGSD PFICI_03170 MPPKNATQDRHCALFFPDDKSVVGGRKLGLTDNIDTVFLYKKQT ANTRPYLSISLRFDRQDINYASFGDELKALQISIVFLKDTYEISGCYLSDDVHQSLFN TAPKEKKMYVAHIRLKDGHDALIRGIGMPFVGANDTIQGYVTKKTKIDNSRTLREVMD QKEFHVLFANDGIKKAVENNFSVSMETDQGRAYPYGNEHSWDIARYGEQLGGPHADAN AYPKTDYHQTANDALTSLTQSVVQDNYHLITDVAAISENPVRARFVHITKPVGDLEPT KLPDECYVIIAATELNSYQNTLRRAGKPKGAEGIIQHPDALGSDVRGDLIIRIRRIRQ KTKLDSAPVEDSQHSDEPNASQQPDANSQEPDTNSQQPDADFQELEANSQEPEADFQE PDGDSQEPDGDSQEPDASQEPDASQEPDADSQEPNAISQQPDASQEPDADSQEPDAIS QQPDANSQQPDANSQQPDAASQEPRAFETFADGGDHWDKVYLYMDDGATVVRRRVAVA NQLFSFNPVPVEERVKKPKFKSRRKQPEFDDDEHQNLDDDGEPGDDDITSKEVQAALA IAPTTPDLAEQHEQRCMNAYLRDIYQGLGLPTALNPPPSLVEELSDELTSRMAALNLG NQESILAPRFEFVKWSTPARVKAILDQLSLVDKERLTQYLSLCVLGLVAIAGFAGSGK THQLAVVSLLFNADPKVSQIYVSAPTHVAVENIATRIHAIGKAINDHAARVNQWHIPF VVHGHSLEREVGPSIYALPLSPCEWLLKVVGSGSWKLDKDDHPTLLSLQQSFGQNAKY EKLREFANGTRKWDDVDDGKVLVKSLLVAIISGAEYVCTTPHTSRETPYKQVRAIAQA VVLDEAGAMHKLDALMVWGPLARPCAMAGDEKQLNPVVMEHEKNLFQEHGRDSILEHI KDIGYPRIVLNEQKRIAAGQFDLAHKLVYSHVKDFAYAQSAQLANQPIARELKVWSDQ RYNLQSPSDKAYPVFLSVEKSPCVITPAHSRFNRGQNRAARKLIRDLLAQNKAISPGM ISVVTPYRANLELLNYQMSKEPFGDKISITTTDSYQGRENDVVVLVLTVDESTGPAFV ANTARICVGTTRQKSALFVIGDAETVSKDLTKEQEKDVKALKGEEADDGEVIPVRHDM FRRFVQWFKDESRTVDVPAIDDGYDSSDDKDFPFADNVGQQTTDSTSGGGWGPDTDND AFGDWGANPIPGGDASGDWNADAMPGGDAGAYKW PFICI_03171 MADPPPPENPVDGAQGTGGSKKRKDLGDQRPAENIMGESQGAQT SHTAAIGNTVDQEHDENAGENVALPAQNQAYVPFMRDGDHETAEKQVTFDEAAKKNDG GQKLKKKSGKSKEPKVEEDIDDNRWPESMGGLKVVRHLVVRPGERWPRRNEEAVGADN SLDDVAPNDDRWMGAVQQVMAQISMADRDTDVGIRRAYQHQFLGNDSSQKTRLMPVGA SLVPNGASLVPLNFEHQTMNDHFRGTLDKRVRLCHLSGVQCLIIAKYEYLVQSPSYFI DEELWVKDVIKTPDIDFLCNVGSNNGVRTLRLSPGQTVDVPDEWCISNKDREVMTLEE VRRVQRLMVQLVREGLPIEVYDNIVEIILLSDPRDTISNCYAWRAWKKQNRPLTFNQY EAIRCCNIGVEPTIIRKAIEASGLQFFRQSKMIFDGSRPINLCAGNGHGVSHDNEEVP GVSRPTNLQKELAADEQQRRENNEQQIDDGDDAMDDDRDSGKVIGKGKSPETSVKRPT PGRGTSSNNDSNLSIQLEGTEARVIEFVEVISENISESNNQVKASTANIDEKLEEAKI AADGRSKEISDIGKTVASMNDGVKSINDEIHTSLPSIGEQLKEAKVTANGQSEGISNI GKTVDDGFKETREQLKEAKLAVNGQSEGISNIGKTVNEGFKETRAKLDESQAVANDRF EKLDKKIDEDLVHKLDGSLSGINDLKTTAQDMGISLSSLANQFATVDEKQDKLMANQE SHYMAIQRLEEFRQHEESTRNEQELANQIHRDNMENRIRELEKELADTKEKLHDTAND LTNERKLTKAAIDKRDKAILQLANGERYPNKYYRISKRYVAVASKTASTAVAALAVWK ASPRLARAGARWSGPRLQRLGQWLSDKAMDMNRQ PFICI_03172 MAPVRKKKTDARHSPATVKCPTCGGAFRNRFRLNAHLETHKPRK DRKMYQCTHEGCTRTFTTKGNLTQHMMVHEEVRELYPCPMKGCETKVLSQSQLASHLE THKAERKRYFCTEKGCDKSFSCQSNLNFHVRTVHENQQRHHCTKEGCPKSFTSRSHLE RHIRTVHKTARRFHCTEEGCTKSFKERSHLERHVQTVHNEKRRLYECSEDGCDKSFKT IEGRQRHIDAKHSENPPRHECPEDGCGMSFTWGGSLKKHQESAHGEPTKEHLAWVEGR RLERVAWLAEKRANGICDATKSCDRLPLEPHSKCLAHLSLIKPWEEALAAAATAEKDN SKKARKGFGSTIMDADDMREILDDGLPLKIDYFDLFRQVKDSVDQPDGTDFIVDVEGS NASAQAYEVSVFRPDNTRILTTVVDWEMETKCLGADGNYIDAKTVTKIYKGGKRTFGM TREDIADKLTAAGMDALSTIFMWGGSLDLRCLKGTLGEFMDFPEESVDCMRLWKSSLP GLPNHQLSTVYSICFPDSDLVYQRHRAEADTKMCLKLNKLFVESVD PFICI_03173 MLKRVFGNGLCRKRLLWKRLDDHFFAKWNRFKRLVKRLIKRVPK RLFCGGHIWNCHIWNGNINYRLINNQLIRNRLIYNHLVYNDFWGNGFDISTDYYTEVP DTGVTREYWFELTEGTASPDGVERFVEAINGSIPGPTITADWGDYIIVHLTNALTTSV NGTSLHFHGIRQNYTNDQDGVSSITQCPTPPGTSITYKWRATQYGTTWYHSHFALQAW QGVFGPIVINGPASANYDVDAGTIFLSDWTHQTPDELFAVAETRGPPGQSNGLLNGKN VYGDDDSSEQTGERWNMTFTPGTSYRMRVINGAIDSSFKFSIDNHTLTVIASDLVPIE PYTAEIITLGGGQRYDVIVTANQGAVADSFWMRSIPMSSCSSNDMKDNIKGIVYYEGS NTLPTSTGYDYVDACDDETDNLVPVVSQTVGAMSTEIIELASVNMYNGQGFRWFLNST TMITDWADPSLLQLYNNVTEFESSNAVVTLDEANVWVYLIIETNFQVSHPIHLHGHDF VILAQGSGSFDDTVTLKTDNPPRRDVVMLPGSGYVAIAFYTDNPGAWLMHCHIGWHTS EGFALQFVERQSEIMQTVDTDRLQDTCDAWSEYQTAKAIVQEDSGI PFICI_03174 MFAKICHILPLGLGAVAVAIQSRATTNIGFYAYASSSSAGIGGL PVQYIDGMAYVVDTAVVTTGENVTFSLVSTTFAATTADGDKSLLYIPSTSGAVGFTSA ASETKVTTKFGTYGTVVYNYHTGSIETLFYAEPTETTGLWQLTWDSDNTDAIAVAIKD NAPTS PFICI_03175 MTNQMLSFINILSFVGLVTLLTCAYRTFNWVLLYTRPSRLRRFA HPSPNGEECWALVTGASDGIGKAFAHELAANGFNVVLHGRNDAKLHRVVSELQATFPR RSFRVLVADASNVADTVHLATGSGSAKFEFLEHLYVTALINNVGGSVLSPDYPPLSAT PESSIASNVSLNALFPLHLTRVLLPRLAQNQPSLVLNMSTQASTGQPLNCCYAASKAF LISLTRGLRLEMFMEGVDVEILGIQCGRVTGAAGFTDAPSLFVPSAIRMARAALARTG YNAGVVTGYIGHSLQLMPLTILPAWVVDQLFMNIMRRVSEGRFGGGQSRKSR PFICI_03176 MMVMQFDVSRYGQRQRLAIARSIIKKPAILILDEATSAIDVRGE KIVQAALERAARGRTTIVIAHRLSTIRNADRIIALGNGKVIESGTHDSLVHLDGGAYA GLVKSQALSLDGKEEENAESFAKGISSTLEYEKSLVIPQSTERPCGDSRNDKRLQRGL FNSFGVFFLESREFWNIIISSIVLSAGAASARPLHAWLFAKSIDVFKYQDDYARLMKD MSFLCGMWAVFAASAGIVYLLTFISSARVASFIRSKYQKQYFESLIHQPAPYFSEEGH SAGTLASRAKDDPTKLEELMGTNMAMVLVSLFNIIASVIMALTYSWKLALVSICVIAP VCLISGYFRFRYELQFEKLNDEVFAESSQFASEAISGIRTVSSLTLEESITARFERLC SGHVMSAYKKACWVSPVLGFCDSATLGCQALVFYYGGSLFDRGEIGLVAFFVCLMAIM SAAEGFGQSLSLGPNAAQVTAASNRILDARNSRIVESHDGRSLDQTAGGMKIEFCHVR FRYPNSEMPLFSGLNLTIEKGQFAAIVGASGCGKTTLISLLERFYQPEDGKILCDGQN IADLDVFRYRKQLSLVAQESMLLQGKSSIMLFQLGITTKLSILGTIRENILLGVEDPA TVSTDRLHNVCRDALIHDFITSLPHGYDTIIDGISLSGGQKQRIAIARALVREPQLLL LDEATSSLDSESERLVQQAFERASYGRTIVLVAHRLVTAQKADVIFVLGEGGHLLEKG SHIELIKQKGVYFQMCQSQALD PFICI_03177 MSDYKPTEHDGKRLDGGVDKRVGTGEFAHGKVDPHQAGKKGGHT SGGSSGGLESSGGSGGSGGGEFAHGKVDPHEAGKKGGRASGGTGA PFICI_03178 MVDGGRTAVSTAERHLVHSHAHEGSIPGTVNVQVVEGDDTALGQ ALFPVPPQDPNHCLNWPAWKKQIILFICCAYSFLGNAFLVGPSPYITLYSELFDVTPA QASGLISYPNLAFGFGSLILVPLYLKFGRRPVMLGSMLFFVVGIIGASQANDFTGLMV ARVFASFGSGICEAIPVQLVNDIFFLHERGRQIALYSFAICLGAVAPVASSHLLIEPY SWRLFFYVILAFASALLVLAFLFVEETSYDRSAHQATVPAPSGAYHSESGEKASQDNL EHTLEPIPMRTPFMQTLSLKGRYDPEVRFFMTMVTSFTYFLVPQVLWVITSFGIYIGL GAFVFNYTAPIKLTGPPYNWSEESSGLIALATLIGFVLAVPFAPSSDLLAARLTRRNN GIREAEMRLPVMLPAMVIAPLGLVLYGLTCQFNLHYIGYFFGAAMTQWSAYFFFSFAL AYAVDSYNTDTAQMLIAMNIGKQAISFGLSVNVLDWVLETGYAVVISGIFAAVLVANN LVLVVFLIWGKKIRQIMAGSWLAKLHGRNVQNERQVV PFICI_03179 MLSFALKTAAIIAALANASPLSKRQTPACTTISQRVPWTNLTSE EKTSYINADLCLINAPSKTGFEGAVSRWDDLQWPHGSFYACMTIEISITNSRSYVHSV GAFLPFHRYYMTVHERAIRQECGYTGRIPYWDEVNEVSDLAGSDLWKDEYFGGNGVGT SRCVQDGPFASLVLRWQLNGQVGDHCLTRNFNQNSFNAAAQSNIDRCNAIDSFTEARS CWEGSPHSAGHGGVGGILWWEWQKLDPENRMYDMAGTNLPARLGNVNHAIVDYFNDGG NQTTLNHTLYMDGLAELAPNITINDIMDLNGEVICAEYINV PFICI_03180 MLFLYPLFSLYSYKDMPRTSANARLRSIALRTFIGAASTTVSSV VNLTVLMALDGEPGWVCLLCCNCDILFSAVVIQWVTTRDNAATRTNAEYDNSDHRNRE GSRDTGVSSRRRDTLNMDGIKRVVSVSTTQNFIKMDEIDVTSYPMQPAGAFKSVDTLD GAERGKARNGSMSDTSTSGLVQTPDIEPANPVLGVRTVIVAGSKDGRRT PFICI_03181 MEISPAQPIVQAGELPEQPPTTASSNHAPILPLEIAEENTVTRT KTRLYLILAAFYLSLFLAALDTTIVAQSIPTICADLHSAAGYVWIGGAYLLANATSGP IWSSISSVFGRKPVLLIAVTLFAISSIIAALAHNMPMLIAGRGLQGAAGGGLVQLSII TISDLFSVRERSVYLGFSGFVWALAGSAGPLIGGAFTESATWRWCFWINVPICVVTFG LMTVFLDVHNPRTKLSEGLRAIDWLGTFSILAISLLILLGLDFGGTIAPWNSPKVICL VVFGAAMIGVFILTEQRVRYPMMPLAVFKSWSNDAVFAVVVAHAMVLIGIEYYMPLYF QSVLQASPIRSGVLLLPLIIVQAVGELVAGYTIHLTGRYREFMWIGSLFLPLGSGLYI LFGPDTSVAMLVGLLIIGALGPGLLFQAPMAAIQNSVSQADTAAATAALIFLRNIAMS LSVVVGGVVFQNSMDTQQTSLAAAGLSESVLEALSGSQAAANVGIPKIIEDPQQRRAV LEAFSWSLRNIFILYTGLSVVCTVASFFIKHQNLRTEHTETKTGIQHLSKNEKS PFICI_03182 MATADVQLPVVAATMFANVSEASAISPHDVDTILHYFKPNEDNS PPAPTYVGRPETYERPTEEHRVRITDISGQEAQFTLDKNGFQIYKHVSQEKEFVDDDK VKDKYYKETEQLLKDATGASRIFIFDHTIRRPDPDQTNTTSPIQLRGPVNRVHIDQTY EAALSRVPHHLPNDADELLKGRVQIINVWRPIKTVLRDPLALAEANSVEEDDLVPIGL IYPNRKGATFAVKGNDKHKWYFKYGLTPEEVILIKCFDSKTDGRARRVPHTAFVDSGA GDDVPARESIEVRALVFHEHDRE PFICI_03183 MNYSPESLGLDTEDSESTLWPLFHLLDSCARSEGTSTPAWAAQG IQQCCQGCPEEHLGGFLFGFWGLLLDLVREIDVDHPAMDLLAEVVAELKKLPSIKVNV HNEEKLLWTDLPVLEMELLERFTAHEYGDASLIKEYQDEHIRINSFAARLLPLGLSST RPTEALEYTLEREPCMDWDLEAASEYILRAGNTIFEHLDDPRFTELGYEGGPLYTGTK GVNMERWNFWKKRFRECGEAASPDSKAHQKALEASRKMDIIEAQAEH PFICI_03184 MRTKTIKAGSSIIGKIKYMDEPLNSTASTQDCPPGVRIPPVPRI RPVRFVAETPRLARSVESLSGLRSYQAGRPVVNESVDTWRSRAAPRPRAARATGPRRD GMRRDESPDFSSRASSRASSSGRRERTPVSRHRGTEALDMSSIIREFEEVSLHGFEDE VSPPTYEFTDNFFPNPKITFFMDRPTNLVCSVCQETPLKMSTSPHTLACENDSVISPC GHVFCRGCLDTWIDAHQSCPLCRFELTRKCGHAIEPRVIAKDTILSLPPTLPEGGKVA PTCWDCRQHAQAKKLGQFAKHFRLCRQVLERCKQELAYGSVEASVMVDKAQVAYNKAQ KDLEQFSKNTTYENTLHIHSFW PFICI_03185 MKSTSVVGALLFAEAALGARFTKQRWENHARRALQRSTLPPIPA DDSTLQSLDAGNETAHVDYSTNWAGAVLIGTGYTSVTGTIVVPTPETPSGGSSRTEYA ASAWVGIDGDTCQTAILQTGVDFYVEGSEVAFDAWYEWYPDYAYTFSGITISAGDTVT MTVTATSKSAGTAVIENVTKGTTVTHTFSGETDTLCETNAEWIVEDFSSGNSLVPFAD FGTVTFTGASATTSSGTVGVSGATIIDIKQNNEVLTECSTSGSSTVICTYTG PFICI_03186 MSHLKLYVDHRKNLTQPSFDDTIWDMVGDLDEMAALKDSPTWAA EAYRNAIASLKEWVRETDSRPRSWAHFFRWPGVVSNDYVQLLAARDDTALTIFVYWCM IMNRSPKRWFMEDWACRDSSAAIQAMRDSSSHVLALPREAINVWRQSKTCGR PFICI_03187 MEDNKPRLYDKMPLEVVLNIWKLAFNSDGVHHFRLEVNTKQPLH RLIISPWETSGSPSFASSWRQRMKMSIVDHSARTAYTKWVDELAADGALWVAPLPVRR GQPQTPEFASRVKVNAKKDLVVFTFVKNYFNVVLLDWSRTREQFQGLRNIAFNWKQTS TGESWGATPFMCACRTSHGPTGSPCPWAIPRVVRLFPDVQHVYFIYRVNAQDLTFMAK RLAANQAKEDTATKSRGVKSKVPKMSAGQLIEASIAEFHKLAEKKGLQIWADCERSFI EVHRDDLGTIFNTEECNGVKKSLIKCEEVWDLQIALDLIRGKPSAPCPRFHFMVCRQN VVRKATSVKANVVEEPGEA PFICI_03188 MKINLTSLAIGFIVMMVATAYMTRAIQLVLGTSKRAMTERAEEL GPRGDEIPMSAIPASEDEQHAASALSPAPSSLDLSPPSPAVASQATSQIRLHAPGDVT PSEESGQATPVNLPPQAPLPLPRAEVWALRIVRHLDLLTYSILFLFVGLPVYYAVGYG MPMHLSFGVLMYFGATALPPSWRQYLHPVLVSSLFTVLGIWVLGLAMGHSLETTLTEY RTGAKYLELWNGSHELPGAGDILSSVLDASIVALALPMYQYRRELRQHFFAIVTPNIL VSIASLFAYPYICYAIGITAERSLAFAARSLTLALATPAVVNLGGDTYTIAAIAIASG IIGALVGQQMLAWMKIPEDDYVTRGVTLGANSSAIATAMLLRTDPRAAALSSLSMGLF GTITVLFTSIPPIANAIRSLVGL PFICI_03189 MTIPTFAKPRELKHTPVDILVHAYNSKCLASNRLGPCGPDLADF YGDNVTNFPRTFLRPPASEEAIAALDAKFRENGFPHGMPEDLATFYRVTDGIFEGDDG VSGGEIFSPVEGVEVITDAMVWPCELLPFFDEYEKLGFQLDLKWPEIKCAVQLGAGGD EGVQLLVPPAMTREGIDVAEKAWENGDSKTRHQLEAVAERIYGGWDEMKKLEVAMIRS YHWAAETESFSSFKHMLEAYVMDASSKEGQQDDDGGKDDDETEDENDSAEEGDDDNEL TVGSQEEVDALKDKKIIFTGTFQSMDRKTCEQKAKDHGGQLTRKLADADYVVVGDRAG PKKLQEISELGLKTIDEQQFLALIQEEDLEKTPDQDSGPVAPKKKDVIVPKSTQTGSV GKDASETLAGKKILFTGTFETMDRKQAKQEAEQHGAKVISAIPKGDGLDFIVLGVNAG PNKLKEIEQRGLRTITEQDFLDMIAGLGKDEAAASGTGQKRANQAAAEPKQAQKRQRG AK PFICI_03190 MHFLVIGATGRVGSLVIEEALSRGHQVTALVRKSTSLTAKEGLL IVEGTPLKSEDVDRAFEANPSSIPTAVVVALNARRASDSPFSSPSPDTPQRLMADSVS NAIASMKKYGADKIVINSSAGTADSYDSLNCLMKMVFTYSNMKYQMIDHNAVDTETRK SGVNFVIVRPSMFVEGEAAEVKVYPDDGKGIGFMPKISRGSVARFMVANALETTEFDG RAPVISN PFICI_03191 MSKSAHNWSSLPGAMDKSVSSLKQALDRDPQWQAFINTKAIVQS VTIGVQSVGADDAILVKVDPGAKTTVDTGSSKAADFTLQAKADQWEKFFDANPKAPYT SFVGLQGMNIKQEGVGVHGDQLKFAQYGHLATRLLDLLREGLHGPIKETHMDLPEDDH IVGKYIYLDCPVWGRTKIFYETCGSGPQEIVFCHTAGADSRQYHGVMNNADMQRACTM VAFDLPAHGRSFPGANYVPGNHTNNEDAYVGTIAAVVKGLRLNKPIICGASMAGQVCV AVAIRNDEVRSGGTIPLQGCDYLTMDRQFQDKSPLVNQSLFNPDWIYGMMAPQSPHAN KQLIWHMYSGQAYGIFHGDLDFYFGGFDARDRVHKIDVQKCPIYFLTGEYDWSTTPDM SKATADKIKGAGFKKMDNLGHFPATENPQLFVPYLLEAIDYIQRTRKDPEPMVDEGKY LDVV PFICI_03192 MRHAYLLSGLLGGALAALDGLCPPLGAVLPAPKTPRSNAHLQKE MSVLNETLHNITASLEKSAISFGVQSIHEAKPILEFHFTPENYGANGVKKVDADTVYR LASTSKLFPVLAVLKTEGMDLNDPITKFLPELRNLGKQSRMRNQMWMVDWDDITLGAL SSHLGAPSDLVIDLSTDSSNNWTDIGFPEIDNSIQLNCSIKGDPPCPENVFWDLFGQR PPVYPPFTDAVYSNVAFALLSWAVEMTTNVTFSDYVRQTIWEPTGMHHTFVSKPDDSL GAIPVDDTWWTATVGLEKAAGDYYSSLSDMLDFGISILKNEQLSPVGTRRWLKPVAPT SSTATLLGTPWEVYRFTNVTKDGRLIELYTKMGNIFDYNSNFVLIPDYDIVFQVFTAG PETSAFTVLAITTAVINALLPALEEAGKEEMRKTHTGTYSDAASNSSITLSLNDENPG IKVTNWTVRGVDIFESFPTIERAFIKGTATDPGAKTEDKKDEENVRMRLYPTGLEAED QSSWRGVTTFGTAEQLAAVDKQFLWPMATCITWAQMDRIVYGLQSQDHFIFTLDEAED GKEAVTVELPAYRVTLRKETIALGPSESQKPLDSY PFICI_03193 METQSPSGVSFRKLDSAKSEIRLLELQAAENIEEPPVCRIVNVA LTDKTEYVAISCLSAETEQEPVFINNKRILVPAALGQVLRHVRAVFLDPTVRLDRSRS PGQGKEKKGPPSWLVQALKHVKSIFPESPRGRFDEAGEGPLLVWLEPFCVDRRNAQET AQQLTHMAMVYRSAQIVVGWLGLKTELTDVALDVLQQVEAVFPPHFGEPEDKLLHPEN YSPQHEWLRKLEHLWEFGTDGVYYSALQDFSARPLFHRTWLIDEIATARYPAFLIGDR IVSWKQVIVLNRMLEEISNESAVFPAGLRPVAQSWPLGTIYTMLKHYEERKRKENQED QRNSALKQYERRKRNEQAAQVAGAGALAYSEMKEKARKGSVAASSSDAGS PFICI_03194 MATVFQNCVPETFSDLFLNGSQITSVLANVVQNATFQFDQTLRF DQPEIPTSNFDYCNVTISYNHAEENNTIIVETLLPTDNFNQRLIAVGGAGFVAGRGLL QQWQMLANLAEGYATVTTDAGLGTSISADSWALLSPGHVDLQKLQNLASVSLNDLAIL AKQVVHAYSGAPPLYSYWNGCSQGGRQGMMLAQLYPDAFDGIAAGAPAIYWTETMFNM YWSQLYMSMIDQYPYGCELKAITAAAVAYCDPLDGVEDGIVADSDMCLEHFNVSSLVG TTVEDCAQTNGSVKISKAAVMVAHATWQGISDLQGNILWPGFGIATDIASGTASTICN TTTCSMDVSESYGIPANWLQLFVAKDPDFNLADLTLDEFISMFNNSKREYSSIIETQN PDLSEFSQLGGKLISFHGLSDQDITPKGSRYYYQQVKLATDGIQDFYRHFEVPGLAHC FGGTGGQPTSLFAQLRAWVENGTAPETSPISFTYQNVTYENLLYPYDGDL PFICI_03195 MNSSQYFGYPKLWQEKVPQMAFRVPSLLHLVLAFSALHMALMRP DEAHRYERLADAHHALGVPPVTRLIRDINKENAPILFIASNLICISTFARRPAPGHLL IIAEGSEVPWLNLFRGVRFVIETMGVEAIFRPLGSMGACFQAGNYSHLQCDTKPVLWE AHFESLSSLVSESEPSEQETYRYELESLSTCFSAAFGTVETARKMDGSHFKSVTRWLY DLHDDYITCLQGKQTTALIILAHFMVALRSIEHGPFGRGWSWHVLKEIKGLLDIQDIS WLTWPIEQVKEWDHLSGH PFICI_03196 MSNIAAVGAAAAARAETTVELEDVGPSDTVRQIESQVSGPSVFE APNGGYGWVCALSVFLINAHTWGVNAAVILAWYSAHDKFPGAIQLEFSLIGGLSISQA LLVSPIVTKCYHRYGLRTNLLIGAVLEFIALITTSYATQIWHLFLSQGICFGWGMGFL YITATSALPPWFSTKRSLAVGLSTAGAGGGGVVYSLATNAAIQSVGIEWTYRILGLCT LVANIGTSFTMKDVPHGVHHHSRQGPMFRIRDFTRVEVLLVVFWGLVTEFGYITLLYS LPSYATSIGLTSTQGSVANAMLNLGLALGRPLIGYFSDRLGRINMAMYMTALCGIFCF TLWIPAKGFALLSIFALLAGGICGIFWATVTPILAEVVGVSKLAPIFAVTCVLMVLPT TFAEGVAMQIVGSQKTADNAFLAAQIFVGCTFMAGSLSLVLLRSWKIADLESEASFAA SDLSRSIVRLAESGRRADLSWLSPKTILKLKRV PFICI_03197 MFLGSIILWILLLETTARAVQSNHETVTRGASPSCVDPPVRKEW RTLNSIEKQSYIEAVKCLTTKPAISGFSAAINRFDDHQAVHSDQTPTIHWVGHFILWH RYFVATYEKALRDECGYTGGQPYWNWSLDVSSVNDTNSTAVFSSPVFDPETGFGGNGP YVETNSTNNPFNLTSRTGGGCVMDGPFTQDQFTVNVPSPHCLTRDFIPPIMNGWAQQS LVDQVLATEDYTAFARAVENVPSFDQPNIHGGGHFGVGGALGTIGDANNSPGDPLFYL HHGNLDRIFSLWQSKDLPVRTNQVGGPIVPFDYGGQNVTLDFEINIGALAPNVTLKQV LEIQGSVLCYSYADLSQ PFICI_03198 MGRRPVAPKLPYGATSVMVLVKNDQNVEVNTAGGSSLTAHHGAV PSAKALARSPRGRLSRSGQRPPCPNAPDVSDFTAWSNCYSPPNFRVLQAPLRTTVQVN CMLESTEVFFAVHRPFMLGRSFLPEFHSVVRRLFARSPQVLADAYTVAMSLLSSRHAT FRGLDEHDLAIGIHCLERLMGSPSCISRAEDAAVILLLGQAMHVYNELIPTPDSQIIT RGTLLSVQRWFPSLLERPDLDVVTLTPVLTDTVECLIRREIPVIRVPTATRCIVDRFA GLSSSLLPLLYELCERSYEAKLNGTEPSLVDAERIPYPDKDPYHDIECKISRWQPQLP PHFFTKYSSFEVSVILAQAQCYRLAALLVIHRLRFPLGVEDAAAQVYAGEILHELSLL KSWPADAATGLALDFPLLVATLEQPEPGIEIYKAFEPFRFRRQHSLELLDFIHFVTTQ RQSGFKGLWFDLARNQLLGVTLT PFICI_03199 MRFASPNELRVISREYHGFYNAIVVGAIYEFRSSNFDVHSSCSF YGAVKHCIDKHPTLSAIVRDQHTDKAYFERVPTINLEEHIDIVTESDAGPSNAREKAN SETTIIESLLPAILDKPWPSDIPPWRATIVPLKSGERGQDHPRCFIAFSFSHALGDGR NGFLWHRTFRDGIFDRVDEAAGTSLTTPRGEFPAPFDTPESLPISWGFLLRPLIAVLL PNFLAEFLGFRPTTSHVDPSTWTGSRMFREPGPFNSCLRLIEIDAAATQKVLQLARGH GAKLTGTMIQSFARGLSKAIPRSEATRLISGMAVNMRRAVPNTSDEMGFFVGACYETH ERQDDWASPWTEKTWANARSLTEKLAEAAVTLHDQPVGLLRYVPSITKWTASKIGQER DSSFDVSNLGAFEATLPGISDDHSRCKITDMVFTHSPAVTSAPLTVSAVSVKGSRLMI TIAWQPGALGIPRESEAGFVEELGTFVKKDLESLQ PFICI_03200 MAGKTSMPYGLWPSPLNAEFLSTASVSLQEVLVDESRGLIHWIE SRPRENGRYAVMTHHGSDTREILPASYSAHASVQEMGGASFTAAPSGYVVFTDNITKH VYRLDPSNSAVSLVLSAPDGVRYADFSVHPQQEDVIIAIREDHRNATPETQAYGVVNT MVVINASTKTETCIASGDDFYAYPRFSPDGKKVSWLQWSHPDMPWTGTTLWVSDWVGG SLSNPRKIAGEACKESISQPKWGPDGTLFFASDRSGYWQLYTHSVETNAIAHLRFPGL EDVEFAIADWRMGSSTYVILDSKTVVASYVRHAASNIALLDLDKGEAIDLGLPFVDLA LHSTGVFRLSENSVVVLGSTRFSSKKLVTVSGIRSGKPQLQTVCSTSQIDLPDGLFST ARHLEIPRKSRPGNVHAFLYGPQNPQFQGEGLPPVLIQLHGGPNGCISPALDFSIQFW TSRGFAVCSVNYVGSTGYGRKYREALSGYWGMFDVQDTHEVVQYLVSQNLVDGSRVGV YGGSAGGYGTLSAISMFPDTFKAAVSSYGICDVRALQADSYKFESHDVERLILSCVAS DDKEGRDRIYRERSPRFYVSNIKAPLLMLQGTDDKAVTPEQTLMMAEEMKRQGRIAEV MMFEGEGHGWLKEDTILAAYKAQESWWKRHLCGVST PFICI_03201 MALQSGGSLDPPPYSARAGNPVEEAVDHLILVLEGRSIYPESAA NPPLYTLSHEIAGLPESASLVKLERLDRMVRSSPDGAPRTTCRTKHIYNLQHLLPVMS PKFAFSLTAMSRKAFARKVGFRKSARLGQEFKAVTIMSIPGDNLPKGYKALRSSDKEL EQIFAVRRRKDTLEWLGLDKLLLAMEDEQDGQQRLLVMSALSREMMDILVACWCLRIW QTSISKRKEGRPWIKSICFHKNLFFARSPSRLATNKSQSIPVYGNPERYYRDTGEDEP V PFICI_03202 MSSTRGRKGKVIATEQDIAAEKAIGMINVLQGIAWNFSPGTDAF TRSIRTSPKTNPYTKKEINELIFGHCAAVSSHDDEVQTFKNRIGFMPSAAQSFEAIEG QLKYVFSQLDQAFFFGLLQGDQVRSNGRRLVHLRVQRKHESDHRRGAFIPQQMCIRVY VADDRQLGKYVATLAHEMAHAFLFKPEDACFLEALGRVQAKDSYAIIEQQTQAQGRDY VFGSSVLVANRLTHGAANSQTFVPAYPTTSSLPATSSTMYAPDHSLYTSTCGPESSIP VVVSSSTYSHQGAVSAPAFASPSNAPDNVSYGPAYGPDYELDGAAENGEASMYNPSDL SAEQGYGIPAHASQALIHEADSSMYSAENPTLGHPDYTQETTEHVAEGSIHTHYGSTQ HNAISGGIITERASIAVTNMMGPAVNRNNST PFICI_03203 MEDSKEDNVPVQEQHREPQSGLGFEDSFKFDKPDTQLAIRNQGR VNRQLATHETLGRTGYSAYVRHVQYGSYQEKPACLVVVDFSFRFPTRSNNRFTSAYIE VRFEKAPQGASEPHGSEDRASSSPDDPEVVNFAPKQLSDNAPTERKVNRLFELEVPFK VEVPYVSMGFSFKRSAGWARTEEGRGRVHGGFYQDDDHDDGANGVTWDVEENHVSKEG IVRDLRTLVMLRWRPEAPFLMHVRVKPVVSFALDARRLVRRLVGERDEPVALDGCSQM GNPECLANSNFDSEDFPWHALLIES PFICI_03204 MASHDPIAVRKSIRRLRSTGRFSEADQLIANLPGEVKISSNVAI EIAQLYLVQGHWRLAAQTCEVAFESRWDEYDAVNVLHHDRAVEVAAFKMLSAFIGIGR YSKLKTALEIARRVGNTWNFHDPSLPPYEPTSLGDTEGQCELPLIAQISLSDTVDSSA TTSHSVPENTDTIAHYEVSLPSFTSAPSVLLYTDWR PFICI_03205 MKDRGDALNELKAFLQLLEPQRWPIERANTLTDVVEILSLDETA EDNPSKMEEQQSLVAEARQLFETSSHTFGNIDLDLVLLDSASRQSLVSAWEGFGQRLR LAKRYYEIDHLQNGSRCLMNAISPDMAADDRFHEMDDAVRLLDEKICVGGGEMLRQVS LLHAISQAALKAPEFGFALQCLESYLGNLPAEVSPRNHYGMVLMLAQVYQKFGKHEAA LRCAQEALGIAESGLPYSDQSDAAMLEGHVRYGMTQEHEDGSLEQTRWITDTADFLLR WADLDAEHGLKQNERLKCQEIANWENHRLSHCADPNEEAENNLHTWIGRAKATLTNDT SMENRIEVAQLEIRVLMRKQKFHESSNVIYDLLSEAKTASNVRLVTVAGLYLNASIQT NLWAWQTIKASGNAEGLENAGKLLGTSLRLALAALDLYHRTDGVDLVTSCTVEVWRQL RTYVKIFPQGNTHGMLTAFLAEVEKTEKIVDRMRCSIVPIQGMASLMRKRELVAQESC LKLLSIGVEVSLRLGDEGMIWVWMQKGKSRAFADALGATILLPESLSSRIAQDPEARK LVHEEQMLLEMLAGNEGNHVLVSRRLAVLRTNMAENSLLQEVNHIKRGILDIDIGNEF GPALRNTGLNVAQVKFVDWFVPPMEMDVASRNIVLFVRHLNGTTHMRKLSLSAADVTQ WLDEAFRYPEMSEPPLSRGAGNRLLKKMNGLVEGLDELTSQDDLLVLTPPGLMKNLPL HALFVQGLSLIDRNLVVYASTVSTFRQILFRAPSSKSQSLDDTETATTDNISGGRRCE VLCDDNTAAIIPCSFFGVYEEPEHVDEREAIFENIKHISSLLSGQVFLGPEATKPRFL EQACQSRWMHYHGHARVSKDIVKSSLCLSNGTDVFAPGSADDDGDATEEEAEPEGETD GAIEELDVTELFTAKLPAGMHLTIIACDSGRQESAPGDEPLGIVPALLYAGASSVLGT IWPIDSATGRKFSEAFYRELSTVSRDHKSNDNNSNAGPARENGEVLHVAKALQITIRR MMKGELGQDLRQPYHWAAFMVHGLWFVNP PFICI_03206 MKFSRTSQAAAIWLAGLVAVHAAPSFNATTNGTAPMLLRHWPPA AAAALSEMIVRNANQSNYAVFDMDNTSYRYDLEESLIPYLESIGVLTRDSMDPSLRLI DFKDTANYTESLYSYYLRLCDMDEMLCYPWAAQVWAGMTLRELKGHVDNLMALNSSLP VQYWSNETLVDDSVSPPRVFTAQAELYNVLMANGIAVYVISAASEELVRFVASDPVYG YNVPPENVIGVSTFLRNATDGSLTTARRQITDNVYNPDDNLDLVFGPYLNTPATWFAG KWAAILTYIDDWKLPVLAAGDTPGSDTYMQFHGVDVSKGGIHLWINRKDAYYEELQEM IAEAAQGQAENGREVTADKNWVVVTPEEIL PFICI_03207 MNIDYISAQAFGSWSADQANLAAFAVAILFLFSVIAIGIYRIWF HPLSVFPGPKHLAITSLPEQWNSHIRGTWFREVANLHRRYGPIVRTGPNRIALDGSIG WPQVFAHKTGMPEFTKSPDFNFKGDYHSMIGASTTETHRRQRRTLAHAFSDAALKEQE TIITKYIDLLLDQLGKKTENGSTVNIVDWLNFTTFDIIGDLTFSDPFHSLEGGVYSAF VLNFFKGVRGGARHRLMTFYPLMKPIIYLIFGKEDLAIEKATREMGMAKAQSRMSLGA EPKDGRRDFSSYMLAKDHNGAKKMSDFEVLFNSPILIGAGSETTATALSGFIFYVGSN PIVYERLVHEVRDAFNDEGEINMNSAGRLEYLHAVLEEILRVYPPAAETPPRISPGAY IEGKWIPKGTYCSVYQWATFRNPDNFANPDSFVPERWLPASHPLYDPIYSNDDKAVFK PFSFGSRDCIGKNLAYSEMRVVAARLLYRFDYELAPGQEKWHESQHTFVVWSKGALNI HLRPRKDN PFICI_03208 MAYITFYFFKMDRDMTIEHVQKAMDALDNFQQQLPVLYSSGGDL AADDYQYATTHLRTIGWQRFAYSIGPEFMRLYVARIALGRLVGGDKSELVTRLWRRGT DAATRVIRRVADDKIPLLFLKFWPMTGSVISAGIYVVLNLLCFGTGSFDVVAAQHELV QQSINHLRRVENISCQARKGAHVLDYLLHVSMRHPSTTDITSLSDLIKHLQNARRPIH DSYEEHNRRNEGQAQISETSFESMLADPDIGEASSQVLNQFWPVGGGFTDSYAMPYSE YGVSGMDGLLQSHASLDEMQPPISRDFPTS PFICI_03209 MLFNTFSVLALANVALSAAISSTNSSSDAVWGNQFPNCTSINQR KSWTALTDAEKKAYIDAELCLMSKPPKLGVTGSQNLWDDLMYAHIYQAGVIHNDGPFL PWHRLYMRVHEIYLQTECGYTGAQPYWDEQADTDLASIADSAVFDPVTGFGSGNLDAD GCVADGPFVNLTMHLNQTSNDANFCLTRDFNQTEFYQANRTYVDDCMAIDNYTDAWNC WGTNPHLAGHMGVGGTMLDVVSSPGDPVFFLHHTNLDRLWWQWQMADNEHRLYDIGGP VVASEAFLELSNLDYPGADLLDYNGEVGNVTTLAHNLWTGGLLPNITIGDIMDLANDM NCANYVV PFICI_03210 MRPLQILAVSAVASSEIAIAAPSCMSGEWVDLATIPTARQEHGT AAIDNQTIAVLGGIVPTANGTETTDLLQIYDVASDTWRTGAAAPYKVNHPNVAAVDGK LYLLGGLTVGPTVSGLSMNWVASKTCHVYDPASDSWEQLPDMPNGTERGSAVMGVHGE MIYLAGGMTVLMTGYQDAVNSVISFNTTSNAWQRLVMGAAELPESRQHAAGSVVGDTF YVIGGRRYGQLNFRDTVFELDLNNVTAGWSTSTGHMPTSRGGINGGAVGSKYYIFGGE GNVDSTTGVFNQTDVFDLESQQWAELEPMAVPRHGTQAVAIDNRVYIPGGGLQQDGKQ VVTNGTTTTQQPSTHFDAYCA PFICI_03211 MADTSNANDDDNELRITFQDADRSGADRGRLARGTDGATRTRSM SRASSRSSRSRIPATSPYSGVQIEYRTLSINVSESRHHEPDSSDDLKNSKKEAENYFA NLTYHELDKDQVCQQLNVAANQGLSDNAANTRLQRDGKNNLPQPRVNYFKKLFFYVFG GFCSILWVGVIIFFICWQPLSNPPSATNLALAILVLIVIMLQAAFNAFQDWSTSRTMK SIVDLLPSETRVLREGKVISLPATELVAGDIVQLSVGNKVPADLRLLDHSGDIRFDRS MLTGEPEEIEGAINCTDPNFLESRNIALMGTMVVNGSGTGIVVLTGNRSVMGRIAQGM ADAKEVPTLIQKEIWRFVRIIVVFTVILALLIALTWTFWLRRDHPSYMNVVAMLNNVM GCVVAFIPEGMPIAVSLTLMMVARRMKASNILPKGLSTVETLGCVNVICSDKTGTLTQ NVMSVASVAFADVKLSPEQVQDALVGDNVPEHLMKLYEAASLCNDAAFDPTTQHLPSA ERKVQGNATDAALLRFTSSVQNQTPNSSRKVFQIPFNSKNKWMLTMLDNVQAQDAGRS AHQVYIKGAPDVLLAACTRYWSVKSGSIECLDDAARNSIKTSQDELSNNAERVIMLCG KIMTPIHAPGTNDFSDHVAATALQDLVIIGILGIIDPPRPETMRTVLECRRAGARFFM VTGDYGLTAAAIARRIGIFAPDANVDDLQSLRADSPLPSTELREKRLAGEGRSLMIEG KDISTLNDDDWNAVCEYEEIVFARTTPEQKLRIVNEFRDRDNVVAVTGDGVNDAPALR AADVGVAVITGSDVAIEAADLILMDKFDSIIDAIRLGRLVFQNLQKVISYLLPAGSWS EIWPVLANVFFGVPLPLSSFLMIIICVFTDLFLSLSIIMEKAEFDLLSLPPRNHKRDH LITAKIYIQAYLFTGFMETCIAHSMFFLYFWKYAGLPVSELFFLFEGYSEGFHGYTQA ELTNFNNVGQCVYFVTLVILQWGNILAVRNRRLSLVQADPVTKKRRNPWLILSILISL AIAIFVTEVPGIQNLFGTASVPIEFWFIPIPLAVAILMMDEIRKLLVRVFPKGPIARI AW PFICI_03212 MEAVKSGDMIHTDVEHLEVKTGPALEPVGTVKLLDQGDIILIPT PTNEPRDPLNLPNWRKINIVCNVSIFAATATLMASSFGAILPIVTAEYDGDPRVNDLV TLPALFIGIGNFIFVPISHAVGRRATYLFSLTMLVACSIWCACSKSLESHIAGRVILS LAAGQAEALCPIMIQEVYFLHQRGTKIAIFCAAQTLGTAVLTVASSYLAANIGWRWWY GVFACISFACWLNAVFLVPETKFTRTVSAQAGLGHEDAQTLGPLRPITSNARPPIDHT NYEPRTWRHDMALFHGKPNWSEAVSCWKQMAQVICFPNVFWLVLCSGAFLGVFVMFGA VFAQVLVSPPYNFDYEWIGFVFAGQIVVSMVVIPAQGWLSDWLVKLFGKRNGGLAEPE TRLIPLIIPFIVAIISTVIFGRACQTPQDWHWSAIVVSFNVEFYGFVGVVVASFTYVI DSYPARSDAALVVLCFARGVISFALSYGSLSFLGIKSTKYALVFDTSAIIIGALGFIG IFVYLWGKKIRQIT PFICI_03213 MRPEHGIEVVDFFFAAGSELQMNPLGANNEFKKTGKSSDGKFLN VLVKNNGDERTVSSNQAVDAWIREWVGCEHGGERSYDDKLDYTYVAFSFLGFHGDRDP HVQM PFICI_03214 MSTTTTTVVETTAPKLHVTSLDDSALDTYNDWRDDFFRDGYVVL KGAIPKDRAEGYRHKALEWITSFDKGLDLNDRSTWTQDHLPQSFKAGMYLNYCAAHEK YVWDARQEPGVLEAFEKIWGTDKLVVSYDTVNITFPGRKDVSWSPWPHVDQAPERKGL SCVQGIINLSDAGPKDGGLVLMRGSSKLFNQFFSLNPPDRTQGIGAKHYDFYPFKDHH VDWYKEQGCEIIKVCAEPGDLILWDSRSMHYAAFPESDIIRTIIYACFTPASFMLEED RQKKIEIFKRWEATTHWPHCNLYSHGKAKIDGVVDPCERDEPLEKPELTQKLLRLAGV EKYD PFICI_03215 MPASQPFQVANLTIEKPTIGHNGYVGHGHRIETLPKGWNGFNSR PLSEDLIAEHDLGIKVRDGCTLYCDIYRPANAPGKIPAIVCWSPFGKKHNGIGMMKKV RWGVGVPDGCLSGLERFEGPDPAEYCPRGFAIINVDARGAGHSDGSIVIMGQQEGEDG HDVIEALAKMDWCNGNVGLAGNSHLGIVQWFIAATRPPSLKAIAPWEACGDLYREQFV RGGAWDNGLFDLITKQVIRGHNGCEDFREMYRRCQTMNPYWADKRANIKNISIPTYVV ASYSTFVHTMGSIRGWLEVQTDEKWLRWDPYQEWYDLWVVKESIDELAQFFDFYLNGN TKNGWDATPKVRMSSLRFGDQEPIYPISVEDFPVPKTEYREMFFSGGDTLQDAPPTTE TGLSYNFEAVDGQEADFVGFKHTFATRTRLMGLPKAVLYMSCDDLDDMIVYVLIRKLD RDGNVMMNLNIPWEAAPYSKMSEIPKEEMSNLILYFGPLGILRASHREIDSSMSIHEQ YPFHTHSNVQKVAPGQIVELEIGLWAMGIDFEEGESLRVQVSGQYPLIPEYKQARPAP AETSNKGHHKVHVGGRYPSRIILPFVDI PFICI_03216 MEKLPDELLDIIADCLPPEALAPYATLSSRWQSTIERRTFYRLQ ISSADEDMDCFTLSITPSRIRYLKQLYLTILVPFHETRIISAEGQEARFSAAFTDALR KLFQALAGTESSIQNASTSQGIESWIHFFISEVKSPVDIWDELNGYLTRRIQLVEYES LPFVQCVSRLGIYDPQRKFALRTAIELSTRLPNLCGMELEKTIQDKMFIFASNFRLLW DSRKDLAEAFAKADNLSGSHLRHFTVLTDELEPRDGLFKPVSDFRNYYAITLEPSYDP LGVAIRTLSQNLTYLNLSGTFDVSLFWPTQAEIDGTITRTSSISLQWPCLKHMIIKLG LCTPNGEWYFKAKPRVGHRRSTRLRPMNVPVDDELQHVFASWSKALSHMPVLRSATIW FHLEMTPQKPSEERYFDKWVVGFHAPGEIPDPTIFTSHNAKVTMEELYNSRLVFERTD GWRPTTNTMERLQKMAKDRFAQTNLVEIDVNILGNVTRK PFICI_03217 MPNSLQNLHTVEEDHENGLVFEKNVDIPLKASDLPIRCNVYRPL DSGPEKQYPVLVTYGPYGKDIWYGEYVKTFDHTILVALQLTSPSSFHAKSYSEVLDEQ KSRYAAWETPEPVFWTRNGYAVVRADERGLGQSPGLLDTMSRGTSECFNDVVEWAASQ PWSSGKVGLLGVSYYAGSQWRVAARKPKGLAAIIPWEGMSDYYRDRCRHGGIFSNNFI NFWWNRQVVTNQYGRPGRAAANWGEDTIEGDLSEEELLANRQDQNIDNEINRFRDDEY YASKDFDLSDIDIPVLSVANWGGILLHLRGNVQGYLGVSSKDKFLRFIVGRHDLPFYY KEEVEIQKSFLDAYLKGNDSAGWSSGKVAPVSICLRQGDVGFNNPEAEKRFSRREESE WPIARTQYTKYLLSADAGLSKDMTPQPVSKVSYKALGSLQQPSLVQFTTAQFDETTEI TGHVTAHLNVSVDSSASPLPERQDIDIFVTLRHISPQGAEVFYTGSSGDNVPVCKGWL RVSLRKVNADHPQHRHYLPYRQYFSTDVQPVINGHVYAVDVELWPTNVVIDKGGKLIF EVSSGDTQGSGLFQHTNPKDRSKSVFGGQNSLHFGPGVENYITLPIIPPK PFICI_03218 MGNMEQATVVQEVLERIDAAAIDGRAHNIRHRQHQLHQLSGALQ RNADKICEAIGLDSGFDDDEVAFEFSQSINTIRTLYEQLNLQSALDEEYAANNKDNLS ARMPFGIVVIRPGQHCRLFSIISPVATAIAAGNCVIVEIPNSLGHLDSFLRSELTTSL DPDGFAIVSKAVTVSRLSSDYVVVDQTLSDTTKESSLVSDSKALTVAIVDRTADVEQA ASAICTARFRFKGRSPYAPDVIMVNEWVRDDFVSACLKLSSSHAASTVSAKKSGSALN GRTSHYPRDDFTVLFDSCGVRLVEGTRK PFICI_03219 MSHTIAGIDVAFTDANPNFHHWWTDFNPSTQILPKGYRREPDRL ALKEDLIWEKDVAIPLRDGVHLRADIFRPAKLDGHKLPALLPWSPYGKTGSGHHQTTE FTWLGVPKSSLSGLEKFEAPDPAEWCPRGYVIVQPDARGCYNSEGDIFIFGSQEGRDG YDTIEWIAKQPWSNESVALVGNSWLAITQWLIAAEQPPHLKAIAPWEGIGDFYRESIC RGGIPNFKFWDLLMQEFNGKNKREDVVSMIEKYPLMNAYWEDKKPKLENIKIPMYVLA SYSTGLHTEGSIRGWKYANSKDKWLRIHPTQEWFDIYQPETNDDLQRFLDHYLLGTDN GWESTPKIRLSLLRYNGPPVSFRAEDNYPPKRTKYETFFLDGTSSKLTADAPSTDVIT TYQSDSWEDDGAHFSWTFSRYTELCGFSKAKLFMSCDDFDDMDVYLIVRKLDKEGNAL LNYNIPFTHQKPGTQLGDIPDENIYKYVGPSGRLRASKRMTADEPGLTDEMRARKDPT ELWYPHYESQKVTPGDVVELDIAIWPGGIVFEQGESLRFEIKGHDPILPEYPPLFKSL TNLNVGKHKIHTGPKFKSSVTLPLISY PFICI_03220 MAPLASLLLKFKELDAEKDIVKAKWYIVAAAALASAGAGPDLVE LYKLATHGLPLDVELVVQRRVKEAVLKTSCLYGVPKSLQALLPLFASLPDDHVDNAGP RYFSSDSEEAVKEREAKGKTYFDTLWGKEAAQLHRDRNFKYQPDLYLLNLKHIYEWHF SEDAILGAVETQSCNVAALICANCPVQAMWHARGLLRHGGTLEQAKLAQAIGLEIAAL YECKTGNIVPVEDIDITTKSHE PFICI_03221 MASSQPLPSTRRIVTAHNDDGKQLSDTTVDSLPPDVNTTEDRGL AATGLSNNGTIVRIVDFPPKSTGLMHRSMTLDYVYVLQGEVILALDDGSATVVKANQT VVQQATMHRWDNATDEWARMLVVLIASKKPVVNGTVLDKDVPFNV PFICI_03222 MLEVQDDMLIDAPDGIYMAGMGWDFFNSPTDTFHFERDLEFHFD ENFGNLNFQISQPNQSSTLPVTDLAAVTNGGPNLLEASKQGPPLDKLNTTADTSGYEA FKRSPWLWTPVRHDHAYAEGSQLSVNEVQMMESPEVNEICGTDDIVPKAMDPATRDEI FSLVLKFSSSEVNIRSFPSFRLLNVLMQAFFVKESASATPWLHVFSFEPDSVKSELIA SIVAAGATLFAVPDIWKMGLALQEIVKLASAAAIDEDNRRVRDLQSIQAFWMWIKIGL WSGFRRKMEIAEGFAHTVPTMLRRAGAFRQNRYTPAIFPATSDNGEVLKQKWLKWIEQ ESFKRQVTVLDLASNRHYSQISRLVLQIMMNEMKSSIAFTRNPLFSGTEIAFSLPAAQ DLWDAQDETEWRDRMIAKSGTTTTSTLMDGMHDPCSLENEADIVDVGFASHAILIGLW GRVYSFLDSKAFYLRDNSDSHASSSLWLEAQRQELYLKIQSITTKLGWLLPLSSEARL VSEFLMMSLYVSSEDIQRIAGRYGEDDFQKSLPVLDRWNNSKDGRYARWHAGQVLKAA RSFPPTQLDAFNGIATYHACLVLWVGCALKQRAGRHIQSGQVMQPRPATNLTSAATGE EQEMQFSRPANSTSDPTKASKRQTTQVILDGEETSEVRSYLAMGVGQPCLHMSNRLVE FEEPAAVSKVLSDIFRANFPSKSYPLPPMLENLDSLMAELCRSHFI PFICI_03223 MPEPTGLAPVHFFSHGSTAMLGEESKSADYWKSCGDAALANGIK HVIIMGAHWAAINDEIHVATNPNPTKAPIGGVHPRKYVDYELIPDLPMADRVVDTLRR NGFNCNANPTFQWIHDVYLILIRTFPEGCPPTTIISMNARFDPHYHIRVGAALRSLRR EKDVLFIGSGGAVHNLYRNVWTPLLRYADNFAMETPPGTWALEFRQEFEDAITKNTGP ALRRAMAMLMKIPKYRDAHATDDHFMSAMFVAGLVGSFEDIGTSVEFGAEDWELTNMC NSQYTLGSWAQCFASAEVK PFICI_03224 MSAEKMANPLKVLIVGAGIGGLAAAIALRQAGHLVQLFESSRFA AEVGAAIHLQPNVVALLRRFGMRPEEHGANMAEWVSVFTSQGDAISQRSIANLESKYT YPWQLIHRVDLHEALKSLALDEKESGKPVSLYLRSKVVSCNPSGPSITLEDGRTFEGD LLIGADGVHSILRSTISGEDIPIAPSGGSAFRFLVSVQKVKEDPITAHFVEKPGDFQV WEGKHRRLVIYPCSRNNTELNFVCLHPDTESQDSVEGWNNTASVEHLVKVFSEFYEPM RELLSKADADTLKLWRLYDRGALGTWVNGFAALLGDAAHPFLPHQGQGGAQAIEDGAA LGALLPLGVTKDEIPGRLKLYMEARYDRATLVQNFSRQMAFQTSDKDKVGGFSPNPME FAEINFRHDAFLHAKEILQNHQKGN PFICI_03225 MALNVASALLGFLGLGSTYVVALVVYRLYFHPLAKYPGPFLAKI TDAYQLYHAWKGDRHLEFWRQHERYGRVVRFGPNSVSFNSNTALKQIYGFKSNVRKAE FYDAFVHPAPNTHNTRDKAMHARKRRVLSHGFSDAAMKEMERYILANVRTFCEQVGIG ASNESKGWTVSKNMADWCNYLAMDILGDLCFGKAFHMLERPDNRYALELVSLATTRHL ICGTLPIVGKLGLDKVLFHRIAAGRAKYMAYSKSQLTERTKLGDETDRRDFFYYLLRA RDPETGQGFSTPELWGESNLLIIAGSDTTSTAMAATLFYLVRSPASLQKATEEIRARF SDVEEIHQGPGLTSCTYLRACIDEAMRLSPSVGGIVPREVLQGGLVVDGEHIPAGTVV GVPHYTIHHNADYYPDPFLYNPERWIAGSSKSYGVVSESSVETAHSAFCPFSIGPRGC IGKGLAYIEMSTTLARLLYMYDLRKSAGPDPAEGKPSNEWGRQRPEEFQLIDQFTSLK DGPMVEFRRRQ PFICI_03226 MAKSSLKSIVLPCLAFSAHLASVALAAPTQEHEKRASWPYGPFV TDGRDIKDTNGDTVVYAGANWPGAADVMIPEGLQFQSIETIVSKIKSLGMNSIRLTYA IELIDQIYENDGVDISIETALTNALGASNGTTVLEQIITNNPSFTADTTRLEVFDAIA AECATQEIYIHLDNHMSKGEWCCSTDDGNAWFGDTYFSVANWTRGLSYMAEHGSSWTA LVSMSLRNELRTPDDNTTLADDSYNWEDWYKYTKEGAAAINSNNADVLIFLSGLDFDT WLTPVVQGTALTPGDGAFTLADFDGYTDKLVLELHNYANSATDCSGLQSSLLTDGFQA LNASDAETVNVFPVALTEFGFAQDATTWEGVYATCIADFLEAEKTSWFIWVLAGSYYI RSGTQDYDETWGLLTHDWSTWRSQEYIDGGLVPLIEATASP PFICI_03227 MAPLCKFYQQGNCRNGANCRFEHPGANANPFGSNNNRFNALNTG GGGSGGAFGRTPSTGADGTNIYKITKDAIKIDLVDERPQWILSAYGPGRDAPEQLFGG YPREQSFEEIRLSVLSSPNPQQALQEVQNMYMEAEKQMQTALGNLDGAVQFILAAENK HPNRHDICRQGTNESGSGGAFAVGGARGGFGSNLSSGSSASAFGGAQSTPFGAAASTA PTTSAFGQPSQMGATTTSAFGQPSQMGAATTSAFGQPSQMGAKPNPFGQPSTSSPGNS AFGQPSALGAKPNPFGAAANAPSGFAAAAQQQGSAFGQTSSLGAKPNPFGAPASSTSF GAPAQTQNSSPFGAPPQPSNASPFGAPAQAAQPSSFGAPTQASNPSPFGAPSQPSTSS PFGAPSQPSTSSPFGAPAQTSQASPFGAPSQTQNANPFGAAAQPAAAASSPFGQPAAK PNPFGATPAASNPFSSASNATQPPASSAPNPFGQPVTNGIGGGSSNALNGFGGFSGQP AGQPAPAGAVASGSPYPPGSAKQHPSIDSYASRMGTRLIRFKNMPVAYKDDKPGVQNG RRWTKIWFPNGPPNYYADTEPLDKAAYTDDVHRIYEESARTGRFVDVMPEVPPMREDC AWDF PFICI_03228 MSSYQKGDKDAGEAPKTHRIRITLTSRKVQALEKVCTELIERAK TKDLRVKGPVRLPTKTLKITTRKTPCGEGSKTWDMFELRIHKRLIDLQAPTEIVKQII VNIEAGVEVEVTIAA PFICI_03229 MAPALPPFAPFPEAPWRDHIVPEEWQACLSLWISLADAHISLSK NDFQSLSVKDESLTKFLTAFMRQLASFGVGILGSSESAAVLLRHVYMLTTALLKTSAP PSDILQWEFLSDFSRVYGKKRTSSVLGTAFKSHTLAIETSLHGVKKSLILALDSGIKD DLRIPEARLKHLNHLIHASPDAAALFMAGSDFLDGLISCYKVMNPPLRKTIIATAYLC LIGLTEGETPKFSVLIDQLYSLKAAADAHKAGPTSGNDSMVAELVTVTPLLKQVQHRL EQSGSTATRIKSVITGLEGFKKPGGNVRPKRLVKRKIDKGKAVMASEDDEDLGALRVH QMSQISQIQDLFPDLGSAFISKLLDEYHDDTEQVVAHLLEDNLPPHLASADRTRDLSP ERTRRRRSSLAPRSTPPQLPTRHNVFDDDDLDNLTVGVSNLHFGKRDQDKTADDILKD RSTAPNKAAILSALSAFDADDDERDDTYDAADAGFTVNDALADDADDQKRKDVVEETL FNAFKSDPKLFNRDSDTRRSNYRMKLKNDTGMTDEAIEGWAVMLGRNPSQLRSLEVKY SAANMFTGQQTALASTSWKASPGGSGAEESGQESGGVNARGGFRGRGRGRGRGGGRGG NVAGPTGEKGTENARRNKEANKSSRANHNRREGRARKMARAGFPG PFICI_03230 MRPSRNPPAPPAAAVPKDRRGQQWPHPEAGPSIYAHDIPFQAQA SHNPTALACPGPETVFAPAHFQDLDVVSAPFPWPSAPPRPAAHVQPHQLNSTCGPASW ACEHDSDGNTTGTIRPWENDIVATSDRTEAPGGARPRVANIEPSASGSHICLWGMDGP CKDGPFTTREALNWHVKAAHLLTCPVLGCTEGVFAVKKLVEVHLRWQHKLFTSPKDQE LHQSSDLLNETAEQTPMAAEAPSTAPAPPRQPKCEDKRLKMELTVATSKKRCRDQLRA VVERRCRRVTATPRSMDSPGTISASTPRAGGAVPFPVIWEHGVLPFLIELMPKWCGAG HVITVTRGKKREARRVCIMTARPISKARKVVIAGHVRDLLPDNYKGLVSFVFSVGAVE RLTWARGLSKDMPDEICQPRNPFCYVSPQMGDSIGMTLPDGDDTSATLGPCIKIGGAW FWLANFHPFEEVDLTRHCAIVEHPSPEDRDRCVREKHDALEGPGVDLHLGQLTATSGY DLKTTRITHEPYWEDCDKEPPLVVTDWALISSQKQQANMMRKFPSTATPQREEPITRM CSVAPGAEVCATGRTSGYQRGLICEIPAYIDGRKNGTGKATREWFIEEPFECEDEDSW IRGGIGVAGDSGAGVVDCDTNALVGQLWGRNKYFGPGPRVAFFTPIFDVFDDIQERCG QQTRPQLPQNIQEAERWPAYPVCRPCFDVQEYMATRRSSRESLVSMIPAVEISGDADH ELRTISELATPRDSSFGSVVSPAPVMSTFFYQPHVPSPRPGIFEITSPYAMDIRDEDL EDGIGDSPYAGLGKRQSGSAALVRSSSQQSAKRRRIM PFICI_03231 MVQITKVALAGATGSLGSVMLDELLQAGFEVTVLTRSADQKLPG NVTVKAVDYDSVESVTEALRGQQAVVSTIGGAALGKQLTLVEAAANAGVLRFIPSEFG SNTLNPKVAQLPVFTDKVAVQNALKKQADAGRLTYTLIANGPFLDWGLEHGLIANPKE KSITIFDGGNQVFSATTLSTIGKSVASTLRHLEETKNRPVYIQGAALTSNQVLKLAEK ATGTSWKKTEASIDDRLSAAWAELKKEKPNEMIFVLGFIVTSIWGQGYGMPFEKTDNE LLGIKETSEDEIIALIQKYA PFICI_03232 MSSPHDQSPGREILDYIDPTLLLPCDSEVWLGALQDTEEQDLLG HFVLPAGQGLEARLELLESHVAAHARTTHLPRDLFDSRHFGNFFSPFNVNTFARIFCR KRHYQHTVIHWPTFSLEKAALPLLMVVALTGATYSFHSRNGPGHITAARSFYRLADSY VFHELRKCVQGGASTSNIAESIELCQAALLMYALDTLLAGDEGVQCAAMTERLPALIS TMRKLRFVGCRHDPSEDWSLFLQREQIIRLVSWAYGADCLITMSFNTPPFFSLLEMTG DLPCDPLIWDSDPSALESLRPFQDTTSYCIKGLMSWLLDDNMQSNDILERVPLFHLHI MLCAIQPIIFNLHISLSLPSQSDRLLRALATWRHLWGNAIDKLPDDQRKWLGVAKHVP DIEYLSRRIIEVSISSHADSSQYLKRVPSHTAREVHEFIREFVSRT PFICI_03233 MAALIALIGLPLMLSGSATWLIKMAFNRTQRQLRSHGSTCERLE WQDIPDGELRRGEEDLNPLKAIKKDVSYFSHSDTDCLGSTYGNVFQCAWTSAQRRDRR VFKPYQLNASKHYVRTDRKTLEAFLLLSHTSRIQFLNVDGILTAHLPLYPGGQQHSRT KHEVEKILQGYPPFYLQRIKVTDTTTVSSPISSAKDITRGGWIVGVGLDSDRTPPLST HNMESGFSPPRGWSAHVRSPFSFREDEPSPWMSTGMVYSVNRFGEVLSKLLVQFPDNE AVRTAVRFYEDLTTGKTDPGPLSYPFDIMQGYPVFRELVYIDSELDPFLQTPMRPTNS YGSKLSKDQWELAMSAFNHRSPLTQSELELFRDFMLPILLAGLVGLTRVFLLGHYRSP SRDYRRLQDLPELLGRKYVYLTACEPEEGD PFICI_03234 MAEKKNVLLVGAGGVGTMAAVSLEASGRASVTAVLRSNFASVSE HGFNIESVDYGSLKAWKPTNIAKQVPDVAQDNLPPFDYIVVATKNCPDVGPAVEEIIA PAITQGHTVIVLVQNGLNIEKPLIKTFPQNVVLSGISLIGVAEKGHGNIIHDYHDELI VGAFKNPNIGPDTARAAAAEFVEIYSTSGKVACEHNENVDFVRWRKLIYNASFNPIST ITGMDTTRLRLAKTPIETLVKPAMREIWNAAKAAGHDIPEEHIENTIHIDPLEVYCKP SMLQDVEKGNYIEYENILGEPIREAEKLGVPIPTLKTLYGLCAALQWKTKEARGLIEL EGSNLVPNEG PFICI_03235 MKLTASFLSLLFASGVVATPISVDSLRVERGLGGDELSSDGLQA RQSTSLTANEFNTGGCKDVVLVFARGTAQLGNLGATPGLPLYNQIKSALGSGNVAVQG VNYDAVAHGTLPEGVDATAANNMATLITKVANNCPDAAILVSGYSQGAAMVHASIKRV TATVKARINAAVTFGDTRNEQDGGTIPGISADRTLIICNDGDEVCDGTLEITAAHLQY TPRVPEAVSFMKSKV PFICI_03236 MDNSRLFKPIFVGDMKLTHRIGLCPLTRNRSSDEHVPLDLVTEY YRQRSSVPGTLLISEGTFISAEDGGMNNVPGIYNQAQIEAWKKVTDAVHANGSYIFCQ LWALGRAATIEVAEREGFPIASSSAIPIDLDSPVPQELTADDIKRKVQNYASAAKKAI EAGFDGVELHAANGYLIDQFIQDTCNQRGDQYGGSVENCTRFAVEVAQAVVDAVGCRK VGIRFSPWSHFQGMRMDDPIPQFSAVIRNMAKLNLVYLHLVESRIAGGSDVEAADQLN FAYDLWNSTILVAGGFKPDTAQSLVDKEYPEKDIVVMFGRYFLATPDLPFRVRNGIEL NEYNRATFYTPKAPTGYIDYPFSDEFLAAS PFICI_03237 MRPTKTSEKNRVNTANQRSQSSPIYRSDGRALGLVFSDLTVSGV LAGASRAPDILALFQTLVVDWPVGLVRRIMAHRGPATSTRRLIRDTCGVVPAGETLLV LGRPGAGCTTLLKTLANERASFTGVDGCVQYGSILAEEMSKQYKTEVVYNNEDDIHFP TLTVKNTLDFALRLRRPSTNTETDGDFADRMTDEILGALGILHTKHTIVGNAHVRGVS GGERKRVSLAEVLSTGPAVVAWDNPLRGLDSSSALAFCRLIKGLSKATGMVNIVAAYQ LSETIYRECFDRVLVLHEGRMIYSGRAGDSAKRYFEDYLGFECHPRQTTPDYLTAVTS PDERRVKPDHLPPPPLSPSGLAEAFQRSAHCESLREEITQFRAEASQSASTEAFRKSV ADTKHKFTLKQSNEPRSILTQLGVTVRRHYQLLWGDKKTQALVMFLALANALIVGSAF YKPSKTSTGAFMLSGAVFFTFIYFALNALAETSATLHGRTINRKQHSQLGMLHPGIAA VGQIIADLPVVFVQTIMFSVPYYFLLGLPNTASNFWFFELIVFAFYATVLSLFRMLGA WAPNVPVALLMGGVAMPVVLAYSGYAPPFPTQLRWGSWLRRVSPSPYALEAAMGFEFK DILLSCSAAELVPSGVGYENVSVANQGCPISGSTVGEATVTGSTYLWAHFNYLPSNAW QNFGIILVFLFLYLVLVAVGLTVMSKERKGSGGGHIFKRTAVISADIGNEEALGDGAD VETQSVKSSSRQSSSQQTQCDPNSSSTSVEQQVQVREKQTQASAFTFSDISYHVSVNS ESRQLLSSISGYVRPGQLTALMGVSGAGKTTLLDVLSQRKTDGVVTGDVIYGGRRVAD LGPAFVKACGFCMQQDVHDPGTTVREALLFSAFMRRPTKVSREEKESHVGSVMSLLGL ESIADALIGTPGQGGLTVEERKRVTIGVELAADPEAMIYLDEPTSGLDSQAAYSLVLF LQRIAASGVPIICTIHQPSAVIFDMFDHVLLLASGGRTVYFGETGENSSIVVDYFARN GITMDSHANAAEFILDTVTTSSGADTWSRIWSASAENHRLKDTISYINSSAEKDVVNT STGNGPLPGFAQQFLILTHRHWLSMWRDGFYSCGRLVKVIFMGLFFGFTFFKANNTEQ GIQNRMLSLLLIQWIVPASSADLQDIWFAKWTLYASRERSGVGYHPLALCAALVAVEV PLAIVIYTVAYLCFWFGVGLPAAGFGYLLFLALGIFGIGFSFAVASLSPNTTIAGYAN SLLWCIMATFGGVALPHASMGDFYGPWMFWANPLRYWLGPLISVALHDQPVQCATGEM TIINPPTGQTCAAYLSNFVATAGGYVANPNAVSECGYCPYNVGDEYLATFDFYYDERW RDWGVFTAFCISTLAIAFAASLVRFGKQKKD PFICI_03238 MDDSQVESAQVSSQDHGCRGRIPNACTACRTHKIKCGGSQPCSS CAKHGRECLYAVLKPRGRRKKLHREVSSPSGVSTPAKRPTRTLQAAGELSDSSANAHR RPGPLATKRQQRLRCGVGVAQSGTGGYQFYGPASNFAFLQCIYQRIHRNAPNHQDRPG AMCVWGFDKFAFPAAGTAAQDHRRAPVCVPKEMGDCFIKTYFQVAHPQYPFLLRSEIQ QAWASFWNPPREGHQDSVGKTARNIVLMVLAIGAHMSSLHKDKDAATMSDWAAYLASC ARLDDLSFGDVSLQNVHLLLLKSIFAMQLMRPNDCYLYVGHAAVNALALGMGRAQVAN GNRTGMYRLRVTFYTLYAVERLVALFYGRPSCLRDDSIDATLPEDLPENHGGDTICDM SYVRAKAQLARIADRINTNLYFCTPVAADLTTIVRIVRECDAEMDIILQSLPPFLHFF DTHMAPSTESWQEVQRTHLGLAYYHIRTLMHRPSLVWLSMCASKAEALSEARAMGVQD LQESIDVAMDAAKALIALALDACATRAPEMRQDSGVAYNIIAACLTLLYDVLEGPSRT AAGNAASTFEAVQDGINCLDYMKHHGPHFGKVTLSSRIVGVAKDAFRHIFDSEASNNN AGGDNEASASAPVTDSTSSQRLVQNYTEKTSHISDLDSLLGQFPWLA PFICI_03239 MGGVPSIPTDPTRRLRVIGAGYSRTGTVTMQLALEQLLDGPVLH GGTQILSREDAYCKKWVLAYRAKRRGDKATLKRLLAELTAGFVAVTDMPPLDFIPELM EIYPEAKVVLTTRDPERWLESIKPVAVNSSQAWLPYMMWPIPGWRWFPSLSLEFGRST QMILDDGTQEANPKPSTRLLLNWNAMVKSMVPAKKLLIMDVEQGWKPLCDFLGMPVPK GPLPKANDTESANKVASDITTRVFQIWAAGLGLTVATVAAIFSQNFL PFICI_03240 MEFPTITLEEHFLSKNTDDGRALPASASKTWEQIRAHTGWLNKL KDVSPNGSRIKAMDAGRVGMQVLSNSFGVSSSIPSLVAAANDELSAATVAQPRFKGFA SLPMKHPSEAVRELERCVSELGFVGALIDSHQDDGRYYDDREVWPVFAKAEELDVPIY IHPTIPMEGVQSALYDGNYDNDFGLLLGIAGWGWHCDTALSILRMYGAGLFNAYPKLK VVIGHMGEMLPFMLDRIDEKVSQLAIGQKQSFREVWAQNIWVTTSGMFTLAPMACLLQ TTALDRILYSVDYPYSTTEQGRNFLQLLEQSGTVTREHLEMIAYKNAAVLLKLDV PFICI_03241 MQLLTLLAAGLFSTALAHPESLPVSEIQRRGAISRRCETSAANF NKKRFAKRMSKRWAGAGNTTYEITTEAPYYDTIQNDTCILSPIVTEGPYIWPRSQTLR QDMSEGQAGVPLWLDIGVLDVNTCEPLPNVLLNFWHCNATGSYSSFTGLSPNTPFLDL LSELNITESDFEIGVTDLHTDDTTFLRGQWPTDSEGMMEMKTTFPGFYVERAVHIHVQ AYTDWTLAGNGTVSTGHIASTGQIYFGEDVLETILALEPYVSHTEINRTTNAEDNLIP LGEANGYSPMISVVPADGVNIENGIIGYITFGIDPNADGVDNSRSSG PFICI_03242 MKNLPSSQNAPCLRVTLECPAEISKVTDQTHLTIKVKYEGLSET GSQDAPSITFNKYGVMREDDLALYQLRDGEWIQDMSENDEYGGCCGFAILDAPPMLVN VSEDDQFPSLSLGEAVIAHQYPLKEKLPGDAKAGDRFRLTLKQARVEWWNWGGREEHA NTKVYLPCWRLGPVVDPPTPDYDSLLCPETKNEGRPKLLVHIGDPVEFVLT PFICI_03243 MAAYDQYGMLFKSEIFSDFKLTCNGTTIPVHRIVLATHSPVFRR AMTSSFKEAREGLMNLPEDDPVILEKFLSIMYRGTYDDALFGKAQAPHLTTTLPLKKL IGFLSGTEAGWDLDMNERKALDNFHCTNDIDSPEGMALAQESLQDALYIYFMAKKYQC AIAAVIAHDRFLSALDFCFDKPEFSDQELHKFIEVIDELYSNSIPKDILRLTLCRHFH RFTDKRPDVGQRFGALATDLIKAHPEFATDMNSTRYSY PFICI_03244 MFKYSQAVRVDNRIECSGQGGWNPETGEFYKEINQQIDQAFKNV DIALKHAGGKGWGQVYRVNSYHVPINNEAIEAMVRNFKIWVPDHEPIWTCVGVPRLGE DDMRVEIEVVAHDPKE PFICI_03245 MHFSPLHLLAIVTAAAADHTMGFIGCSMAENVAQGYVADGGKRM WPNYGTSGQVVQSWTDVNSASWKLYDQQVAKYGKPDTVWVQICIFAQQGATADEVKKM IANARTHSNADAAIYLTGQPLYEQGYNCFLAGDGGANMTDTLAKTVAADTSLVNVTYP GSFLLHPSEVQDGCHANTAGQKSLGQQALAFWG PFICI_03246 MFGRAYTSLLALASVIQAVPFSSRLHKNTALVLIDVQNDFITGS LNNSRAPAILPKIYQLLDDHEWPFIAASQDWHPIDHVSFASAHPGKESGDSVNITFLD TPTKIETQGFRTPVNYIKKAQNHSVDSCSAFADNQYHQFTTLDSELSLHSIETLVITG LITNACVRGTSIDGIKLGYEVILIEDATETTSDEAKATAITELEGWGVQVMNLTDWEA AYPTSSKARRLLEL PFICI_03247 MPIFMMQSIKDIKEIGEEMKETHTRELVLNILTIVFAVIPFAGQ AVTALGGAARIASAALIIGEAGNAAISIVDIVKNPDSAPFAILGMLIGAAGLKGGKPP RGAFKDAADARRALSANDMKAFSDEFCRKDGLVQNVIKSCTIR PFICI_03248 MAGGNRPTEAECFAAIRGEKVPRDVSNPLALASLIRGIRLHYAF ATSGAVTELSMASAAIARARNARLIMSDELPDAMAPDNQPYCIWYPDFASEQTYRVLV QRYPQMRYQVGRACAAAGYHVLYRELDLLPDVSIAEEAREGETAGGRLIYESIMSSPR RYAVMDDCLLSIDINTPRSPAFLNGDTEVRWRLDTRVAFTPVLANHKPCIEEDMHVHT EDQPITSLHLNLSDEEVKLLYEPLPPDLPTMKKTLLTHMAAFDGNIDRYARLATSART INMTELVCIVRGIYHHTMFARWWASQIADGTPRVKALQDSGWTYLARIRAAISARRIM LNDPQEFREDGWPAGAPQPFMIWWPLRPDHDMLGLLADKVPSMKTQVAVAAIYCDYEG LYKRLQPDPNWYLWTAAANSRNTFYRQDIEKRAEEQGIDLDTGNTQDEDGYCLDFDLE PTRLFTYDGQTQDRLFIRLGPYGDGQRPDTSDAELKIWDDFGILR PFICI_03249 MREVIHLSVGGCGSRIGSAFWEMIAKEHGFVENGPSKGTEDAEH QNLEVYFHEPYHGIHTPRAIFVDLEPSMIDEVRSGGYGKSFRPDNFISGEYGGGGNWA QGHYGRGAELTENVLDAVRREAESCDCLQGFQICHSIGGGTGAGLGTLLLAKLKDEFP DRIMSTFSVLPSPKVAETLVETYSAVLSIHHLTEYSDQSFCFDNGKLHDTCTRNLNMP QPTYDAYNRLISTAMSGISASLRFPGQLNSDLCKTHMNLVSFPRMHFLTVGQAPLSEI ESDVRETQSLTAERLAKEVFDSKNLMTAGDFSDGRHLACSTMFRGRLSMEDIEDQIRN MQNRNSESFKRGIPHNFQTSYCSVAQSSMELSSTLISNSTSIQSTFERLGDDFTTMFR RKAYMRSYTMGDLAIDEMDFTEAHSNILDLVSECQECHAPVEHK PFICI_03250 MPRDAHTTALAQAVRQFYQAATKPPYIDADAILEPPPDGWPGVN AEILRARGRSDHVIELLRHLPYLRQPSQAGRRWMLSPTTLAIDYSSGGVYGEDMEERQ PTPPHCIWLTAHAGRDGTDLLLDTETNSITEWSMLEDYLMIPYAEYEDLPKQDKWMAY PTMPAAAFFDLWRRRWAKLVWLPVPSSKSPFSALWWHRALPQSDAEASILESDDEYEY DEDDSDSDSGGGDDEADNFNLSDDEVNTLIDDAGRPSIDAPSPSLPWIEHDEEDGGDD TVGPISSKAQVSHDYFIPLNPVAFIQVHRIC PFICI_03251 MERLPDEIWLEIVAGLGDSHHALAAFARVCRKGYDLAKPPLYER LPPPGAGSRISQFLVRTLCENETIADLVRRGEFVEGVNYDFEDSEVLPVVQASARLPD DFKSALINGGFDLGEGVYPDWHALFVALLPNLEELAIEIPYDAAALKKALGVNRLPRL RKLSVFHADTEGAADLWYLMPELFQVGATTLETFEGHANDWSLELSGDPAKPPNSTVV HETLRYLTLQLSLFDAAGLARLLTSCPRLVSLRLHWGDACISDSFELDLPGTGQTIRE YAPAELEVLLLNPVDHANYDTVTEGVGSLRSLKRLRELHLSHDVLAGVVNGAADGPGS PLHRDALVRLLPASLEELYLEIRHSPPPQRVLAAQVRHLVRDPEQFPNLWRVELGVLD PTGFDMRGWTNYGWTATVIDLKKDGNWDANWLEMRTANRIRLERIRN PFICI_03252 MSNNGGLLGGLLGGVDNVLTGGEQAKGQGGLLGGVTGAVGSTTQ GLGNGLNATTQGLGNAVGSTTQGLGSAVGQTTQGLGQTVGGVGQQVGGAVGGATGGQG QDKGFKSYGI PFICI_03253 MQLTAIVIGAFAVVAWAQDITFISDPGVYGPALEIQHAYYGQWP TGIAVSSTGRKFSNFPGGLDPTNVYNGSNDVFTVGELTSLTEETAYPSLEMNHPPGGA INYTTTPPTGANYQDYLIGVQSVVIDPADRLWILDTGRVLTPDGTLVFSSYGGPKLVG VDLSTNQVIKTIVFPQTVAFPDTYLNDVRFDLRPELTESGEGVAYITDSSSEGRNGII IVDLGSGESWRHLDLAKPVRSEYQFLPFIWGQPIYYTLGGNPITSFPLGSDGIALAAD GERLYWGPLGGRFLYSCATSLLRARDATSELLAQQGVTSHGEKGASDGFETDSNGYIY VGNNEANAVNLFNPKNGTTVPFVRDPRINWVDTMSVAADGNLYFTVNQINLGPASYPG TDRRVRPFALFSAPLPEGGAKVSLK PFICI_03254 MLRPTPDHVDLLVLGAGWTGDFLLPLLDRKKISYAATTTDGRNG TIPFRYDPDSNEEGQFKRLPSAVTVVITFPLKGTGQSKHLTSFYEKTHQGSRPQYVQL GATSIWKGAGWQDDNSPYDTANARAIAEDELMQVANGAVLDLAGLYGGARQPRNWVDR IVKTKPDLKAKGALHLIHGEDVARAILAIHHTFTPAKRWLLCDLHTYDWWDLVQDWAS KLLREAELGTSQIDEAEVSKQKQLQTWVGELMEEEGVRALPRDTALLGRVLDGRAFWK KMGIWPSQGRV PFICI_03255 MRNQACEPCAKRKVRCDKEEPCSNCKRRKQDHCSYAEPPATNGI RKPEHVARPFVSRLSDSTAISPGAHVAISPHQSQEAATPADKNSKDPVIVEQDGQQYY LESRAWFVHGHRESGHRTVAATHPLLLKSAPAAFESILGAYSASQVSIPNCLREKGQM VFAIYKQRVHPFIKIMFNWDLERLELAMTSTSSTLLLDDAEKSLVSSIHLASIISLTD AECRERFGQPRTFIVTECVVMCEKAIAKTNLLSIKNVVALKALAIYLFVSLDCLSTQS LWTLMGLAIRNGEKLGVHRDGTLLGLSPPETEERRRLWWQLQYLDLILAIRLGVTPST LMIEWDAKLPLNIEDEDFTPGSETFPKERVGLTSMAYCLFTYYVLYEQRRYHADKGRF ELSWSTNQSIPIRTKETFIDHLEDGLNKKFLQYCDPIKPLHTILQIASRALICVFRQR ILLTGGHVNQEDRGALLALSAQCIEYSIAFHSHQNIRAFRWLTTNGFPWPAFMIVLIE ASNETDAEKCQRVWTVLSDLYTTNTSLLEFVEDRRRLHAAELTVAAWKSCKTKGTVDR DAPKPEFVRDLEDRLARFMATSTEVAAAQDMGRHEEPVATDAPQSFSLEDEADGLFDL NFEDIDWAFWNNFAN PFICI_03256 MDESQKTKTPDADPVEKQDNDQYPSRKVVLPAMAAIWLAFFLVA LDRTIIGTAIPTISADFNSFGDIAWYESGFLLPLCVLQLPFGLVYKYYSTKWVLISLV AIFEIGSLVCATAPNSNALIVGRVITGIGGAGISAGAFLLITFLVPLASRPKYIGALG SVFGITSAIGPILGGYLTSITWRWCFWINIPVGVVSLILLILLTPKTPPTMKRADTWL GKVQQLDPLGFILVAPAVICLLFALEWGGVRFPWSNGHIIALFVVFGVLFSAFIASQV WLKEKATVPPRIALNRSILSGCFAYVGTGSVLVIYAFYLPIWFQVIQGKSSQDSGVSL LPLLLGIVCAVIGSGILTSIIGYYTALMITGSALLIVGSALITTWVPDTMTGIWIGFQ IIVGVGIGIGLQQPNVAAQTVMPPNDMSIALSLLNFVNFLGSTIFITVSQTLLETKLV EKLGGIIPNLDASSLANGGATSLRDMVSSDLLPVVLDIYNESMRSIWYLALGLSCLGL LASLAMPWNSVKSKKNEDT PFICI_03257 MLPYSLAHYPTRMALMNILWMLLALCTSSRIRSGADPTIMNIGD LYYSADSSNGSIWVRKASSIQGLGSTSPQKVWSDSAGRGEVWAPEITTDAGRTYIYFS AGTSADHRMYVISADSPLATYSAEQKLALPDDAWAIDGTAFTFEGQRWFVWSGWEGSV NGEQSLYICRMNNATEPTGSRFIISQPRESWELGDSPTVNEGPEAIIDPNGQLHIVYS ANGSWDNKYCLADLRLRKGGDPTYVWDWYKSNGCLFGSHQDRMMTGWDETLWIDGPGH HTFALTDGDISQSPSGVNHIPFAFHGVEKGTDYSWANRAWFTGSYVWWSATTYNRSNV PGNESNTGFSFKFFEDSL PFICI_03258 MAKLDVPSWQPNLYAAVAVTLTTATVAISLRFAARRMTKVPLWW DDFMCVGAFIFGIGWNVLLLVWAPYGFGKHLENIDLTPEQSLFNSLLFCYLAELFYAA SLAFSQYAVLAFYWRMFRTSNIKVPIITLVASISIWLILRTFLAVFLQAFWDKSIPNA TCNINDSKFFFGTVLTHLVLDLAILSLPVLQVRKLRLRTAQKVGVCAMFMFGILVCVA SVIILVYSLSYNTKSAEISFNVAPIMIWGTVEVNLAIVSGTIPFQTPIWNTFSPSCTA CLPMLRPIFLRAFNRIFPNVSHGTSDKQLHSSRANQLAKISAMRTRTRHDDSESMQEL ATFDNDSSSEHDEEQGIVHGAGGQRVIITGNDKSARVTEVDVSPAVSGGILVRSETLV EVSRAR PFICI_03259 MEDWEDAAFSSRHMHNRVGRNSGKGGGRSQRGGFFDVRKTFGSF TCKCVAWEKTLTTGEVQASGNSPVLELFRLTGNGQGIAGRLSLPGMLQASVILAASRK SLQTIVDNLEAQSDESGHGGDGAPSLDEHNGMEKDCDVIDALGATDTRPEDRFATFEK NSFRSPKFWLQWCGMPTEIPQMGGEGRSAPVETGSGYIVFSGNDCRKFDGTISCTSLD WDNVAIRGHKIASRSASDMPILWSSNNSAL PFICI_03260 MSALPSSSSSSSHRAIGYTQLSQIADTDMANPKHPDPTTELFSE DGGQRGDFRAIDPESGVKRGLKTRHLSMMALAGIIGPGLLVGSGGALSSGGPASLLIG FGVIGIIAFSIMQSLGELTTLYPSGGAFTKLADRFVDKAFGVAVGWNYFIIWFAVLAN EYNAVTSVLVFWGPQVPVWGYFLILWCAFLAFQLLGVETFGEAEFWLALIKLLGLTAY FLFAIIYVSGGIKGADAIGFRYWHDPGPFVEGFRGVATVFVFCSTFYAGVESVAVAAT ETRNPKVAVPLAIRQTFWRIVFIYMGSAFFFGLTCPANADDLTNGASRALKSPMTIAI QNAGWEGGVHLINAFILVTCVSAINSSIYISSRTLLFMAQDGKAPKILGKTDSRGVPI PAIVLANACGALSLMNIRTGSAEAYNYIVNLSGVSTFLVWGSISFTHIRFRDAWKVQG NREEDLPFRSSLYPWNAYFGLGANMFLALVQGWTTLSPFDAGSFVDAYILLPLFPIIY FGYKLVFKTRFWRAEEIDLQYGQRRDLDEVKELRTGELEGHLPFWRRLLKSF PFICI_03261 MTRSLLLTSFWVNVHLIAVASLSLRPNTGHTNGVINLPVVRGIN LSSQKRDAKVFSGSAINDDWSYGIEIDIGTPPQKTFVEIDTGSDQLWVNADCNTAPTE LGQNETCVQIPKFDPSQSSTAQNLSVSTTLGYGDNTVGAYVGYYSDLVTISGINLASQ IFGVASETLGMVSGIMGISPPITGFAINQTYPRVLDSMFTQGFINKRLYGIHLGSSAE TEGSLTFGGIDTGKFSGSLEEIPIIKDISNKTRLTVDYNSLSLTLPNNSPKAYTINDT NVLLDSGTTFIQLEETVASQVLEDLNAVETVVDQSTTYYIVDCSMRNWTGGLTFTFKN KPITISYDNLIFTAEGQCAVGIQPWPVGQQQILGVPFFRAAYAVFDFDAQTAYLAPAA NCTTQTMAITQYQDLSNITGSCTNATGAATSTTVTSSGTTMVANIATCGGITILLMLL GW PFICI_03262 MPPQEAGDSERDTTLTVYRPSAVPNQNSIEARCFQYFAEKTVAQ LTYFFPDDLWNVTVLQIAQSNPSVRHAISSLSWYHERFVRPTTDLGDKASFALVQYNL AIKHVLVNQVPCQPVHVGIISCLLFVCIESLRGNLNTAMHLFKYGRYMIQDARRSIIA GTSNWDTFGSGEFLQAVEAIFLPLGIQISLLVGDVDPDFSPQNSQASGTAKYFSKSPY SNLREARDDILQATQEYLAFDKINGDVDGYLHRLGLWRDRFREYIQRDARSLEDQTSQ RSIALLDLQAMFLEYSVSCFWPSDPLNPMRWDKYSDNKIEEMIGLAATAVGLGVSGET MDHGYCVAEPRFQLDLGVVPILWNIIDRCRTPHLRRYALRILQSTAVQEGVWNAALVS IVAERGILLEEAGLERVKSKEDIPKAQRPVII PFICI_03263 MASPAKKRKLNKDNKQSAIPSRGLEYFFAKQNKNGYTSNANTDQ ETAGATEGDHEMTDEALAMKLQAEWDAEVAAERRNDQALQQTESAKSHADAVATDNTC GIQDTGQIEVPKKSASPVSLPAEPKGKSTLSLQSVAASVDTVSESIPMDQSPLTFDPS AFVSQLKEHWASEDGSASYALLTRCFVLVSSTSSRIKIVDTLVNCLRIIIEADPESLL PAVWLATNSISPPYISLELGLGGSAISKALKQVCGLDNRSLKAIYDKYGDAGDVAFEA KKKQSFTLRKPKPLTIKGVYQALVKIASSQGQGSAETKQRIVDRLLHDARGGEESRFI VRTLCQHLRIGAVKTTMLIALSRAFLLSRPPGADFELKSTEELSKLKKEELAEVWGRA EEIVKACFARRPNYSDLIPVMLEIGVCDELLLRCGLTLHIPLRPMLGSITRDLSEMLT KLQGRDFACEFKYDGQRAQVHCDEKGKVSIFSRHLEVMTDKYPDLVDLVPKIRGEGVS SFIMEGEVVAVDRQTGELKTFQTLTNRARKDVAIGSITIDVCLFAFDLMYLNGQPLLD RSFRERRDMLKSLFTEIPHHFTWVKNLDATSQDSDAVLDFFKQATDIKCEGIMVKILD NLHDLPAPAHVDSNQDTTSENTPTAKSKGKANSTASEKKTRRKPLLATYEPDKRLDSW LKVKKDYSASFDTLDLVPIAGWHGQGRKAKWWSPILLACRNEETGQLEAVCKCISGFT DAFYKANKAHYDDGSGEPDADDRNEQDDEQVDEEANRDAEDVEKRYKNIRRTKPSFVE YNGHPDVWFEPQEVWEMAFADITLSPTYTAAIGLVSQDRGLSMRFPRFLKKRDDKGID EASTSEFLAGLWRKQEAKAPPPPIKEDDEDEDDG PFICI_03264 MSTEPEISLLPLGAVIQSINVAGVNIVQGFPTQDLYVSHNGPYF GETIGRVANRIKDAKISSLNGSSYTLAANNGPNSLHGGIKGWGKRIWEGPKKIGIRQI PGIDGLEGGESVEFSLKSEDGDEGFPGEVLVKAIYTTGTQKIDGIEVVVLGIEYEAEL LSGAEETVVNITNHSYFNLTGGPTIEGTQVQLCTPSYLPVDASGIPTADPTTYPHVAT HKPFTLGAVDPDIDDCFVVDPSTSSGSIPLDTRSQPLTRLVSASHPSSKIHLEVHSTE PAFQFYTGKYIDVPAVEGAPARGARSGFCVEPSRFVNAINEDKWKSQVLLKKGEKYGA RIVYRAWKA PFICI_03265 MSGGSSSPNATPAKRKRDDVIFEHMSSNISAEKVLTAPVFTFEP PSLSQNIVDDGSSSPRTRVANKFRDLSLERSGGGVRASPSPDGIASEEPALAADVPRQ HAIEHSVFDFNAAVGKEADAQDMQQDQDESSAIRKRLKHCNASQESPLMLSGEISGTA TDPMQSEESGKVKLDTSVDPSMIGIARSLSIGGLKKSYPSINRLADSKSRGRKRAGTP PRRKVSDVAEEAEAVVVDPVRAALTWHEDEITVYDSEDKDDDGTGLNGIGFRPTPAIA YQRAQKRKKQLSEYKKREESEARALRNQKRRELLGEAEDKRRQSIVRVRFSDANPETL ETS PFICI_03266 MAQDENTTQPQPRGPPPGATAPPDHVGKPQVYEIFHGSKPEDRD GTVSRGPSEGQQQEHNQRPTISDGIKSIKSDDFLNVHQIPCARQGWMTGIGAGFVVGM GRYLTGARIPKAANWAAASFMAGSIIQFEVCRYQREEERKAMARVVEVMDRKQAEKKA KADEVARLRAEAREKAKQAEQKSWYKFW PFICI_03267 MPNTHLNRKPTKGYAGRGGKKAFHGRKTQTFAATSRAEGTSADE KAERAALAQSIDESMGFARYESGRKKEGWLVNVQPTTVALSESDGGRAALDCYFIEED GQTFKATVEYEPYFLIAVRKGHESEVEEWVKRVPGGGVVKGVKRIDKEDLSMPNHLLG YRRTFLELRFRNVNDLMSARKDIMPVAEKNRKAMGTVDVYAEVAAEQAGFDLFDDSRD DDKRHNASFADASDYIVDIREFDVPYHVRVMIDMDIRAGKWYFVEAKHGITTIKLNEE RLAPADPVVMAFDIETTKLPLKFPDAAIDQIMMISYMIDGQGFLITNREIVSEDIADF EYTPKPEYAGPFMIFNEPDEKAVIERFFLHIKEARPTVIATYNGDFFDWPFVEARASV NGIDMYREIGWKKDSEDIYKCTYGVHMDCFAWVNRDSYLPQGSRGLKAVTVAKLGYDP DELDPELMTPYAAERPQTLAEYSVSDAVATYYLYMKYIHPFIFSLCTILPLGGDDVLR KGTGTLCEMLLMVQAYQQEIVLPNKHVAPKESFWDGHLLDAETYVGGHVESIEAGVFR ADIPENFAVDTGAVDELLRDLDAALKFSITVEEKKSLDDVVNYEEVKEQIAARLNQLK ETPNRSERPLIYHLDVASMYPNIMTTNRLQPDSMIQESDCAACDFNRPGKTCDRRMPW AWRGEYLPAKRDEYNMIRHALENEKFPGKYPKSPMRTFQELSEDERAALVRKRLQNFS QKVYHKIRDQTTIVREAIICQRENPFYINTVRDFRDRRYDYKGKAKVWKGKTDSLKSA GAPSSEVDNAKKMIVLFDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGATI IQLARQLVERLGRPLELDTDGIWCMLPATFPENFAFKLKNGKKLVISYPCVMLNHLVH DKFTNHQYQTLVDQKTFKYETHSDNSIFFEVDGPYKAMVLPTSKEEDKNLKKRYAVFN DDGSLAELKGFEVKRRGELKLIKIFQQQIFKFFLEGTTLAECYAAVAKVANRWLDVLH SKGSTLADEELVDLICENRSMSKTLEEYGNQKSTSITTAKRLAEFLGEQMVKDKGLNC KYIICAKPRNAPVTERAVPVAIFSSDTDIKRRYLTKWLKEEPTDMDPRALLDWDYYLE RLGSVIQKLITIPAALQKVRNPVPRVPHPDWLQRRINIKDDKMKQKKMTDMFASKGPL EDITNISGSRLDDVEDFGTKLLKPKSTTAVINSSQVAPAAQKRKSPEPEKDNTDPFAA LPKVMPSPSEDYPAFLEYQKQKWKIQKAARIRRRHLFGERRGNVGSNIQQTFRNQAEV TFRSTWQLLQLKNTDNPGVVMAYVLIDAKIHMLKIKVPRTVFLNLKGKDLPEIEVAGC EAEQVNHTLPNGHSSTHLFKLTVPEEIYFAEADKFSLLFNHPSVEGVYEKQVPLSIRA VLQLGNLATIDESQAGVLGKGLEQGFDLGSLKRPLKPKAYLDTNPLAYLYISHITSGE RQIFGLFSSTGDQAHIVILQKNKDAGTDLPNVGRIYNELLTKRMTDGQGTNWQDCFSY QSTLSFKVTQVTTRRKAHLEIGDLIKKMRKDELRPQMLVLQSSQRSQLVHDVPVLADF PILPLKYDQADSALPPLGWQSVIAKRLVTHYLNLGSWILHLTALARYGDIPLCNLERD DPRFLIDVAYARRLQTNNVVLWWSPAPRPDHAGYEQDDVTGPLDTVAMPSVNNPGTYA SVCIDLDVRNLAINTILTSSLINELEGADSISFNPAADSSGGDGSETLYSENAFANAG VLVLREMVKSWWAEACKGSPMADILVQHLVRWVESPDSFMYDRALHYYVQMMSRKALL QLMADFRRVGSQVVFANSNRLLLQTTKSEVGNAYAYSQYILKSIKGKPLFHFIDLTVK EYWDYLVWYDEFNYGGKACQEVVEAETQALEVVMNWQLKMFLPIRLQDTFQKWVVEFI ETMHALKRGPSNPDSTPRSTQLPFKSLTQVDVDDEGRAPIILTGAFEKPLKKEIKSLL ATQGRELLHAELASDWSFPSLPGSQDLITTSTSAKNKKTTALPTKNAVLELVKSLMQV LSLDKNITNEARLLRKELLTLFDVREFSKEAAFNNPSASLKVPQWSCPECTLARDWDL CRDEVLLPDMEAIMSALPADATTEQIQAELKRAATSKPWTCEYCDAEFDRLRIEERLM GDVERFVIEWSTQDIKCARCGGVKLNEFAEHCTCSGPWTESVKRDELVKKLGVYKGVA EWYGLRMLGEAVGEIWGCL PFICI_03268 MPRRIRRCSITKPCPGLPTTDAKVVVDPDGDLHLRVGTTECIGS DDGHGHRTATVFVCESKTLSRASTIWKSMLYGPWGESRGSSNPGQAEWIVELPDDKVE PMTTILNIIHSRFESLESLKSRDSIPRLYELTVLSDKYDLAKVLRPWAKSWLQPLRDQ YASGWTLVRKTDYPWVLHKQLWIAWELGDQCLVENTLKEMVFNLKHWEFDGPQPPGLI GTRPFRPHCTLFPC PFICI_03269 MATPGLRKVAELDAIQVDDFADESERYAAKEAALRLLRRVETPI EQVWSLTLDAPGVIASLEVCLNLGIWTKWRESIDGTKDSARSIDDIVRMCTESVEPNL LRRLLRAIASSRLLEEVGVDTWKPTPLTVALGNKDTHLGGMVEWGSHFSLVAGANLPG FLKRHGYQDPRDADKFDNNTDLFGKNFFSLCSSDPIKNRVFMEMMTSWQVQKVDWTQV YDTKRIVDGANLSQPLFVDVGGMHGIDAARLLARHPDLPEHTLFLQDLPHVVASEAHP IDKRITKVAHDFFEPQPIVDARAYFLHAVSHDWSDTDCLRIFKNITPAMKRGYSKLLI YEVVLPPTGANSIQSGLDLTLMIVLSSFERTEQDWYGLLEKAGLRIVSISRRPGAVES VIEAELA PFICI_03270 MHLPLLTFTTLVPVVLGQARGDGNFLRFGCSQLVVERIDPLVAP GLNPSPHTHQVVGGNSFNATMDPSTIDPPAQSTCTSCIYTADKSNYWTASIYFQSPEN GTFKRVPQMANGRLNRTLLEQDGGITVYYMRPFSGTNKNTTVFPPGFRMLAGDPTLRN RTDSFANICHRCLAASDRLMGGDGAPCDANDFKSFPPKMCAGGIRATVIFPSCWDGKN LDSPDHRTHVAYGPGQALAGDQCPDTHPVRIPQVMYEIMYDTTQFNNPDYWKDGKQPF VYSFGDPTGYGAHGDYLFGWKDDALQRAMDGLGTTCASEDCTQVLDIQSGNDAIACTK AQQATEDVGSSNWLKTLPGGMPIM PFICI_03271 MATFEIYEVPASLTFQDYVNICQCARTLADGYDRKDKARVYASL TPDEVVVDYSKVNKEWGRISYTTQKFVDLWMGPEHLGVKALATQHLLGIPYFKSVTDE EIVVEWHQLASHARREKGADHSDPMAKIVETSDGRSWMQQKYVKVGTAWRIREIRPEA IYHTGDWQQVRRADEE PFICI_03272 MASTAKPQIAIIGAGPAGLTLGLLLHRQGVPFKIYDLRARPTDE EFTQQSGMLNLNEGTGLSAIRACNLYDEFIGLTGQCEEADVIADKEGNILHADSGSGG RPEISRHDLTKLLLSHIPAECISWEHKLLQATPSSSASQYILDFGSRGTSTVDLVIGA DGAWSRIRSQLTDKKPHYSGVTFITLTIPDFTTRYPHLAEFVGPGTFAAPGDDGNVVM CQRGVRGAARIYLALKTTEDGVAEFSGLGKATAAEAKDKLLSLESGDGRWMTFGTFGP KLQELIIRGLEDQSGPLDIKPLYMLPVGQLAWQHKAGVSLIGDAAHLMTPFAGEGVNL AMRDALDFSTVIKSAWDAANGSSNGLKAFNGALESALPDIEKQLFERSEETAEETWRN LGLFTSPGAAPKLAELFSSHGPPAEME PFICI_03273 MRFSILSAAFACGLAVLASPTARSADLAAADEMPALAKRVGDCT QCFLQGTNYVCWCRTRGGDMAQTALDLNKCVTNNNGILRAAEK PFICI_03274 MSKPEFTLRTGIYDAISPEKFDKSLAGKVAIVTGSSRGIGREIA SAMARAGASVVITGRAENEVQETAAAISAENEAAKSGNKVIAVVGDVCSDSDMERLVR ETEKSLGPADILICNAGTNTFMPFHLTDPSEWWRQMEVNVKGPTELTRKVLPSMQARN SGTVIYTSSRAAAADLPWAAAYSCAKTAITRFAGVLQKELDILQTTSHGQNGISLFSL HPGEVKTRLHTSAFPEKTKKEAPYVVEHMDKMAKSHPDFKAELAAWTCVYLAAGNGKG LEGRLVDATRDIEEVKAHVLSIEKPKITNACAP PFICI_03275 MREKVHFPGHDASSSDAPHIPQVLVESEDEPQSPYQLSWRTLVA FFALAMGNTCAALANTTNTTIKFQVATLARSPADASLASWIANGNFLCSLALGPIFGS LSDRIGKKWFLVIGCLIGVVGSCISGSAQTLSTIVGGNVLTGIANAGCIVSISCIQEI MPNKLRPWALGVSQALASIFVVLGTFMAAAMVRDNTGGHGGWRWAYYFNSIIYGCTAL AVAVTYFPPPPRLGKNSNLFSDILTKVDYVGIGIMTGSFTALVIGLTWGGSTYPWDNG KIIATLVVGCVGLVGFGLYEMFVVKEGILDHRLFQTRNFPILLVVCTIDGMLLLGVNV LFSQAIFDLFTQDAVQIAVILSPFLITSTFGCIPSGWIMWWTKSYRLLLAIALFWCSL FSGLMSLATSSRLSWVYGFSTMLGIGTAVTTTLPIVALGLSVPSYLLGTAATVSVSCR ALGGIVGITILTAVYNNKYTSYVGPLVASSPVVEPPYLDQSAQAWRYVWVVIACIVAA TGFASCALQSVQPMMNGHIESALENRKGLHGTGETDSEPASSEH PFICI_03276 MASQGKEAASSSKEAAPTNPRGIPYAPFVDKVEDYVTSREEVEG TLRQFQEMISKYQFMELNLQRRVAGLEDKMPDIQKTLETVRFLKTRTEESDPIDTTFE LNDTLYAKASIPPTDEVYLWLGANVMLSYPIDEAETLLEQKLSAAKQSLSNCEEDLDF LREQITTMEVAIARVYNWDVVQKRKDKTVEEKGKEGGNAKEG PFICI_03277 MAAPGTMQLDPKYDDYDFPTTAPEAQSGHPGHLSEEQQAQVVQL RLMLEQEGYTQRLDTLTLLRFLRARKFDVNLSKKMFVDCEKWRADTKLDETVPTWEYP EKEELFKFYPQYYHKTDKDGRPVYIEQMGGIDLTSMYKITTAERMLTNLAVEYERLSD PRLPAASRKAGQLLETCCTIMDLKGVGITKVPQVYSYVKQASAMSQNYYPERLGRMYL INAPWGFSGVWNAVKGWLDPVTVQKIHILGSGYQKELLAQVPAENLPKALGGTCECPG TCALSNAGPWQDPQWAKPAKWEKKAETVVNEPQTTTEPPKGTEQVPVTGAAEDAPAAA PAS PFICI_03278 MDTAVSAHQRKIELQSPEDLTYLLANVRRAAAERVNEAFPPVEG AQGEDELRDRIEELVEEYITKTFTLSAPNLSINGMGIDDISPDLAILSADYDPSSKGP AQPEVVYTPFDPRLRARVEDLTREEEDLLRDIAALKKSIPGATAAAHAATFKAGLKQD EEALEAAKTKIATASDNGADAERERAAGGGGLNLDKLERQEDVERAYGRAVQGLTKLK REMPAVVARMERARAAGSYVVTEK PFICI_03279 MIPNIAAILSLASLAAAQQVGTQQTETHPSLSWSQCTSSGCSTK SGSVVVDSNWRWLHDVNSTTNCYDGNEWTTVCSTETDCATKCALEGADYSGTYGVTTS GNALTLKFVTDGPYSTNIGSRMYLMASDSAYQMFTLSGNELAFDVDLSTVDCGLNAAL YFVAMPSDGGMSSQPNNKAGAKYGTGYCDAQCARDLKFVGGKANIEGWVPSTNDPNAG VGPYGACCAEIDVWESNSHSFALTPHPCENNAYHVCETDGCGGTYSEDRFAGDCDANG CDYNPYRNGVTDFYGKGKTIDTSKKFTVVTQFAQNNLTQYFVQDGETYYIPAPAFDGF PDSSSITPAYCSAEVSVFGDRDRFSEVGGFTKVNSALSSNPMVLVMSIWDDHYANMLW LDSSYPIADAGTEGADRGDCATTSGVPADVEASIPNAQVIWSNIRFGPIGSTV PFICI_03280 MGESQNSAANASPLAGKSILFVGGGTFGVSAAYHLASRLDLATS KTTVTIVDRFESPSPIAASTDLNKIVRSDYSEPMYSRLGVEAMAEWKKDTGIFAGMFQ QTGWFLAAQNVSLPFIEASVENQKKMGITGVKKVTPEEAKQISPTFTGDMKDWQIWWN PWAGWTTSGDALAAMTRAAKALGVRYVSGNDGWVRKLLYNAEGACIGAESASGARHYA DMVVVSAGAASPELLDFQGQLVSKGHVVGHIQLTPQEVEKYSTLKIVDHFEQGIIFPP NRDGIVKVATVNFVTNKKNLQKPGISLPRYRQDNPRDDIPKTVEREIRQWLREFVPDL ADREWFETRICWDTDTKDLHFLVDSHPDVKGLYLATGGSAHGFKFLPIIGKYVVDMLE SKLDPMFADKWAWRPGRQHEKRGPDPHPNPERELSEFEDFSIMKPLARL PFICI_03281 MSTDRNIQFRIATLEDAPRLQQLIQTAFRAEDSRPQWTADMSLG ASFTVNVQNVINQINKPEGGILVAVDGNDGAIIASIEVTRRGDFGRLSMIAVEQRFQQ AGLGRRVLARAEDYCRQTWGVDKFSLDALSTRERLIEWYERQGYCKTGELTPFPVREI DGRPVGDDLCFVQMEKTPA PFICI_03282 MSTFKNILLIGATGSIGSVVLEALTKELSFTLTVLQRASSKAKL PEHLRAVIIADSYPTEDLVQAFKGQDVIVNCMTSLSVMDQFRMIDAAISAGVKRYVPS EYGLNNMRPEAQALNAVFRAKGKVQEYLRARAAEGVIEWMSVSCGMWIKWSMAHEFLG MHVAERRFVFWDDGDGFFSCTTEENTAAGLIEALKRPGETKNNNIFLSDFAISQKQLL EVIEKIQGVKYATEKVDSYALIKEKQAEVENGNDLATFTLIETGFVTGRYGGHLEEEG RVMNEQLGLPKRELEEVVKGALISLNVM PFICI_03283 MAGPRNGKFEFSQTHFDQLQSSINRLYPGPDLGSICLALRTDAK TSVTLLSSLRTPGTSGQYPADYQWDVVGGWLYRGLNGVPMPDRYCFYGRYGSDAIDHF WETTNPDDPDGQDKLHLLLARISKGSIELPTQKENGLLCLEFRFEDLPISFEQYKPWD ELNPAKSHEVKSGPAGGSSKGRGGSSNGGEGSSNGGGGSSNGGEGSSNGGEGSSKGGQ GSSKGGQGSSKGGQGSSKGGQGSSSSNVIDLTISRESSEAPVSPKTPTPKTPTPKTPT PKTDKGKGKAPLLYPNGLAGGSGSGGNADDADPAQDDGHDEDDQPGESNEQRDQAVIE AIGVHPKLDDDAFWQRVCEFFVKDTTDLDSPANRRFKIPGLLKPLYDYQAAMVLWVLS RYPEHRLTAAGMADEMGLGKTFMCIATMVVFNHICTAKADVERSLNTHEHRKHLRPRH AGGRTCPSQDEVTKKYGIQCPCVQGSWSQRIADTMHDLPSVIIAPPRLLTLWAAEWDK FVEPGTSMQLHVYSSTFKYLDKYASDEVLVRRASASNNVMTDRPHMVTQGRTRLYYTQ RQGFEGGSSQVVLISHNRAGGVIGHFEEEVGRNFPENITAKENKLACSFVFFDEMHEY RGSTITKRTAPFKLLHEVAYNNSGPTVAVCVSGSLMTLGPTAWAPLINYSFLPTNRLP THVGFASMKRANFVKEFAELSSAWKYAGQKVDKADAATDVKFRTSIQYLQETCEIMFP RMIIRRTKTDKFRGREILELPVLTPICERLDLPEGTTSDSISRLCKNVRAFLMNKKKS TDDLVGSEIPILTNQAAALKGPVKKWSGNHYYNLMQVTSYPFLARMGGATGLDIRAEN IDKQAIDYTRLLNVPGTTRQELLNALRRSPLWEYRGHLAARSPKYMYLERLVQDLVHL RHASPSNQPDEGPADKSYVRHMVVFTETPVSAFITTMLLMDRVEDIEVLLFHSGLPPA AMTKSRPEHSRQAFLDYFDRNCRKGEKIKIIVGPYSLLSTGINLQSRTSRAVLMDVGT ATHRSQAIARVYRLGQKMPVEIYELWSSKNLFEVHRMRAIERFDEIMDSSNIWGGNVY RPEVNWEHFGVPPLPEGDDMGEDEEIEYSPEPSLSGLSDV PFICI_03284 MAPSAVDNALQMIGNTPILRLKRVVPEGAAEVYIKMESMNPTGS YKDRMARSIVEEAERRGDLQAGMTIVEATGGSTGSSLAYVCAVKGYKFEAVCSEIFAI EKLRTMTALGAGLTLINSPTGKLTPDLFPRIKDRARELAQTPGFYAADQFNNRDALVG YAHLGNELLEQLPNGIDAFCAAVGGAGMLMGVSKVLKAKLPECKVVVLEPASSPYITE GKAGTHGVEGIGNAFKLPLLEDDLWDEARAIDEQEARAMCRRLAKEEGILVGTSTGLN VVAAIKLAVELGAGKTVATVACDTGLKYMSGPLFTDS PFICI_03285 MHTESTQIYPEGGRKAWLVVLGAWCAMIPSMGLLNTLAVLQALI SENQLNGMPESRIGWIFSCYAFFLYFCGAQVGPIVDAHDIQVLIVPGGIGIIAALVCL SFSTEFYQIFLSFGVLGGISASLLFNPSLAAIGHWFKRRRAFATGLACTAGGLGGVGF PLIILYCTPKIGFAWAIRIVALICAVTLSIACLTLRKRLPGNARGGAAIDLKALRDVK FATTTLAIFLIEFAVFIPYSYISLYAIHNGFDTTNALLLNCFLNAGAVPGRALPGYLA DRIGVFNTMCGTAAICAAFILGLWLNADESMALTTSFTVLFGFWSGAAISLTPVCVSQ VCDIKDYGKRNGTAFFVSSFGALIGIPIAGTILEAWGGSYRGLIIFAGAFYLAAFAAF CIARGVAGGWAMKRF PFICI_03286 MQLYTVIRLLFFNFLAQHAVAAGIRSIFLFKDVMVSNTTAIETS GFNTLIIFGVGILDNGDIMYYSNTAGSSDVLIASNGTYVGGSALSDKVRSFKTASGTG INRVEICMNSIHVADLMASPGPGSDTRLYKNFAALKTAWTLDAVNNDDESIYDISSTV KFAQMLGQIGYKYTIAPYTASSFWASLKSQLGALLDRAYLQCYDGGAGNNPASWQTTL GMKVVPILWVINDSKPSYGKTPAQAKTQFASWNSASALDGGGYWNDYDIERMGSSYVE YGSALTSVFP PFICI_03287 MATANFTAEDWITEPQNMGRKFEFARADGNQPDLLLPITKIQSW APGKVAARQAKRGHDHNDFYQPIIDKDEFRVMELKPGVGDEDLRGALHHCSVEFVRTQ EDLFLHTPYTPDDERYTQRFALSTDDYIKPVLYTALSYTWGKPDPSTDTTIDCEGHKL KITKSLATALKHFRQKDHSILLWVDQICINQLDSQEKIQQIPLMSSIYRYATNTSIWL GEADSGSTLAMQLLDDLGTRLQFSEAIDINPREFERMQLPQPESRQWQDLMNLLGRQW FSRLWIIQEVILSRAPWVLCGDYHVHWSVIENGCHQLTTSGMSNWLQRNLTVGGSHAD TSQGRRDHFGSIMDLSHAKFRYDSLARGPRLLSLLVSTRNAECYDARDKVYGLLGICA DDDVKSVEISYADNWPVAKLYHDMTVKLLRSGESLSSFIYSVDHESPDLPSWVPDWRV ARQTTALGYSSFMLNVYQASGELPRNREPDYNYTILDENNTELRVRGTFVNKLGQIGD HLSDPDISYVNPSTTNKTLLSAYKFVHSNLEHCSDSVREEMFSTFWRTLVASQDADGM RHCPDSFAEIFSLLLDESTNQSPSLPGQTYSKRQTLPKGRGKLELQSLESRKAGQTFQ EIRKVFRRVLRNRRLGILGNGLLGLFPRHCRSGDMVYVIYGCITPVLLRPVENEKGKF QLVGECYVHGIMRGESLNPTQDTMEEIILK PFICI_03288 MAIWTYPFHYQSSDISFQEWISLFTLCLAPFIAHVFAGAPRPSI LASASPPWHEYMCIYNPTAIIFRYAAIVDRRIRAHEWVPHDAAAANALFWTDQGWDGS EHMRTNSLQFCTLLPEQPRTRILSMEMLKTIITTLQGVQAAVRYIGAAAGTVYMITGQ PAVDAIFGPLSLLGLFRIFAGFWLVDDYTYSTAISGASAKALQTTSLEPLRRPSLDSL YDNTDAQGVLAIDGQYKPTTQWGSRAFRSIYFLLLVVLWVLVTVWTFVGPLREATMTV FLMAAYHFVLFTVTMAVCAWYFCRGRTTSTILPCISHVAFKAYTVFWLLATVALVVVA ALETFKTPCGTYTSIPAKFSGFFCSDDTTKVNPNRELAGVFETFALASQYNTSVMDSG VALKTGEFWLMNFTGICLGAYDDNHTWSLAQTTGFTANPNIDDIVTEGWNTLIE PFICI_03289 MELVHYLGLLAVLTPVWAFTYWVLPYFTTYRHLKHIPGPFVAKF SNIWIALGARQGQKFRWVDDAHRKYGKVVRVGFNHVSIATPEGLHTVYAHGNGFLKDE FYNAFVSGVCGVFNVRDRVEHTRKRKIISHAFSPSAVSNFEHHMSANLERWVGQLDRI TTHPDESGYARVNMMPWSTFLAFDIIGDLAFGAPFGMVQRGRDECESTRPGQAVTYVP GAETLNRRGEVSSTLGLLPAIRPWAKYLPDPFFRKGLQSVADLHGIAVAAVTKRLDGV KGSDGKSGRHDILEMLVRSTDADGKPMPQDEVVSEALTQLIAGSDTVSNTTCAVIYWI LHGERAAPGTILPRLQAELDAAIPEGSTIAAHAQVKSLPFLRQCIDEGMRLHSTSAIG LPRLVTAKQGVHYGKEHFPEGTVLSVPSFTIHHDADVWGADVEEFKPDRWLRLSPRQK IGFNPFSYGPRACVGQNVAHMELALIIGTAFHRYNFELYQKKLESHEGFSKKPMECWV GIKKR PFICI_03290 MFDSEKGSAQKGSETGSTNVPTAPSYHRGSIEVTDDKEISSFYG SAVSESYRLKSELIAEHLLGIGMGKFQWLLFIVSGCGWAVDNFFSQGLTAARPAAVNE FSDVVSPSFSSVAYYVGLIVGATFWSLSADVVGRKFAFNATTLTGGIFACAVAGTQNF TAFSAIWAMIGTAAGGNVPVDSIVFLEFVPSTYQWLLVSLSAWWNFGQVVVALLSWVF LSYYACPDSTSCTMKDNMGWRYLMITLGAIALAFGLLRIFIFKIPESPKFLLSKGRDA EAVEAVNYIARYNGKPETLTLEMLQAIDARVGSQVPTTPGAGLGYMQIMKDSFKDYDI TSVKALFSGRKMAQHSTVTFVIWLTIGIAYPLYFNFITSYLQANSNYTTDSSLSYTYK IYCIVSAVGVLGPLAAGFMVETRFGRRWMMAISAVLTGVFLFCYTTVRSEISDVAFQC VTGILGNFEYAVMFAFTPESFPGPVRGTGTGLAASLLRFGGLIASLISIYGGGYTVVP IYVSAALWIVVGFFCLALPYETHGHASI PFICI_03291 MSELEIPIIDFSGFYSSDPAAKQAVVEQCRKQCLYNGFFQIINH SVPLEQQQNALSSAKNFFNLPQEDKDKVSKNNNTWNRGYEMLRSQILEEGTQPELKEG FYIGADLPTTHPYFVHKKLNSGPNQWPQSLGDGLDTFKTSTMSYYNSTLKLASDLLRV IALSLDLEESYFEQFMDGAVATMRLLHYPSQPKDADEKLTRGIGAHTDFGAITMLLQD EVDGLQVWDQRNSTWIDVEPTKGALVVNLGNLMQRWTNEKFKSNVHRVINKSGKERYS IPVFVSGNPDYLVECIETCKSSEAPAKYEPITVQEAVSAAYAESYGRAQKYKQGLEKA IDSQIQPQAVAV PFICI_03292 MWRILGYPSADQADKTPPPGALPASWYRSSAMYELERRAIFSKK WILLTHSIRISQPGDYLSFTYAGFSFFLIRDKDQQIRAHHNICRHRAYPIVHQQSGNA KILSCKYHGWSYGLKGNLAKAPRYESVKAFDKTQHSLFPIHVHVDKVGFVWVNLQSGE PDVAWSDEFSGSDEKPILREFDFDAEFKFDHQWDMDVKANWKSLIDNYNECYHCQTSH PLIAGVSDLTKYKVEPNMGCLEHTIVNKNMDDNSFRRSITFFPPCTSVTVTEHFFYIQ RMIPVSETESRIENEVYRHKDASDEKFKALCDFYTQVLEEDKELCEGAQRNLSGGVYV TGELHPEKEKGPLHFQSLVRRDVMEHRQKEEKAGHEIWPAAQKVSAEMKTTKLMEEES FCSKLEAEACQASAQLAW PFICI_03293 MAPYIAESDTEMANAAESVNVAAANGGLPLGTDTDGTCDVCIVG AGPSGLMLATVLTRFGVHTEILDERPDQTAVGRADGIQPKTIETFRMLGVADELMRLG IKIYDICIWRDGKRVGREVHYPDSIVDLLEPYILIGHQGMIEKAFLDDLHQRGVEVKR SHRFSSCQVSESQGPYPVEVHSQFNVTQEEKILRANYVVGCDGAHSAVRNMIFDSPRT AGSSIWGVLDGELDTNFPDIWSKSVIFSEEHGSILIIPRERNMTRFYIEMKETASSKD LGQEYVMQRAREILSPYRVEWRSVEWFGKYQVAQKVASKFMDPTQRIFIGGDASHSHS PKAAQGMNTSVHDTWNLGWKLNLAVRGLAKPVLLQTYEEERKKIGQDLIDFDYEHANQ IAGGDAAALAENFKTNIRFICGVGAEYGFNVLNNMAEEAIRGTARPGCTLTPTKATRY YDANPVDVHLDIPILGQFRVYTFVKDLTSVGERSFLDSFSKALLDPASFVSRVGAAAA ESYQKTSRKSSKEEVYTRPERYTSVSELITYAMITSTDKSLFEIAELPTVFSQSPWTV YLDDVPHLDTKGQTCTQKWLGELATGDIGLMVVRPDGYVGTIHTFRAEGDGKQAAQVL DGYFSGFLQLPHA PFICI_03294 MSSQWEEIVKQKRLAQQQALELYTAKSVKTQGHLNEISEIDQLL SLYASGEANVEAVVRCYIEAAISAHKQTNCLTEITFDDAVKDAVALDKAPDMVDTTRS PLHGVPMTLKDQFNVEGYDSTIGYVGRALKPASSDAILVKMLRSLGAVILGKTNLPQS IMWCETENPLWGLTTNPMSSAYTPGGSTGGESALLALKGSMVGWGTDIGGSIRIPSHM MGLYGLKPSSARLPYNGVPVSTEGQEHVPSSVGPMARSLSSIKHVMHSLINAEPWTYD ARCAPIPWRDEVYQSFLSKPLTIGFLLDDGVVRPHPPITRVLNSAVEALKAAGHDVFE WDATLHPECIAVMDAFYSADGGMDIRTDVSVAGEPFIPHVERLVNRGDAISVYEYWQL NRKKWALQQTYLEKWKTLKSPKTGRTADIIIMPPMPHPSVPHGGTRWVGYTKVWNVLD YTALVIPGGKVQVQDTEATWDYQPRNDADEWNAGIWKERAEEMAELKLPIGLQIVGRK LEEEKVLAVGKVLDDLLVR PFICI_03295 MSKFSLKGRVAIITGGGRGCGLAFAQGLAEAGADIAVFDVIEPV AGYETLAADHGVKTKSYIVDVTSAESLAKGFADVKADFGGKLDIVVACAGVNRNVEFL DTTSEGYDRLFAVNSKGVYFTAQHGAKAMIENGTKHGSIILVASIASHMAIRSQRSSA YCGTKGSVRAMVAPIAAELNKYGIRVNSISPGYVRTEMTAPFPHLLESWKDEIMNGRV AEPDDIKGACVFLASDASSYCTGTDFLVDGGVTKW PFICI_03296 MGKKKVLVCYGVDIDAVAGWLGSYGGEDSTSDISRGLWAGSEGT RRLLKLFAKYNIKASWFIPGHSLETFPEECAMVRDAGHEIGLHGYSHENPVDMTLEQQ RDVLDKTFRMLTDFCGKPPRGSVAPWWETSAEGMELLLDYGICYDHSMSHEDHKAYWL RSGDSWTKIDYSQKAETWMKPLVKGKETGLVEIPGSWYIDDLPPMMFIKKSSNSHGWV NPRDVEQIWMDHFDYFYREYDEFIFPMTIHPDVSGRPHVLLMHERIIEHINKHEGVEW VTMEQMCDDFLAKNPVPEGARMPASREEVLAMLERTKK PFICI_03297 MERRQRRAANADVSDRPTKSFLCSHVGCGKRFTRLEHQRRHEYN HGVGDATCPRCSAHFKRPDLLERHLNRHRQKDEEAGGEGNGILNTRKRSWKAPDGTVV AKKPAALDQQPSENQSAHQGHQDGVSLNQEQNTELPISPPASTGNADTSHYQDFHVEQ HDDSNFLWASVSGVGSGPNVQEALFIDPGLIPPGISSSQQYGLPFDDIFQPDTASSFN MPYTTAANYNFLFGPLMDVDPAFSSHTSHQPFPSVDVGLHQPYHEGLSLSSTMVDTCD SQEASYIPTPSSNASVSIQGGDKVMTDSHDNISNSPLPRMKPATSSQDFFTPSESAVL ERPLSTLDQSSKLPIIQDDVRDRILEIVDIAQPAVPGGNFNAWDHPGLSLGALQEYLD LYFTQFNATYPLIHRATFDANQTEPLLLLNMILLGATYSNREAHQLAVCIHDPIRPQI FSHAGFTAKPELWVLKTILLVECMGKSRAGKTQHEMSHLFHGLLINLIRRSDCQTAQT APFRQMPTEGKDLQAHWKKWVEAEEKKRLALLCFMWDTQHAVLFCQSLCMSAFELRLT MPCSQAAWEAKDETAWKTVQMADSQPEITYLNALKRYLCNPGIQRPMNLNAFSRVLVL HGLMSISWDLERRDQTALGVVSFVGGVSWRNKLEVAYDGWKVDFDAYTADFEIKLRQQ NQASSEDAMAMRREFATFTTAYNSVYHAAQILLKSSFLDVQIYAGSRHILGRPVNRFD YIRSEKVVKQWAAGAHSGEQPSAATSPRDPSSSSTNAAAAAAIHAANMLSDASTNLDD FDSMGLFHLPWCLYLATLTLWAYNHARPSRWGRPEDESSEMVWDAKKDMEELLASMRT AKPWDLGQTQSRRSTGGLTWIMAEALSKTRWGIVHAGVLVLKALIPMRLIGQYEAS PFICI_03298 MSDWNIPVREKLQRDPSSKLHCIVVGAGLGGLGAAISILLAGHE VTVLEAATEIGEVGAGIQVLPNSARVLFSWGLKDSLEQYATKPQKCNFIGWKGNHLSS MDYHAYAQASGAPFWDFHRANLHKCLLDRAVELGASILTKASVSDLVIAEDQLSATVV LKDGRHMEADLVVGCDGINSTLREMLLGHADPPVLTGDLAYRLLLDTDAMREDPELRS FVETPTVNYWLGPDAHAVNYVLKGGKQFNMVLLVPDDIPRDSPSNTVAGNVEEMQAYF KDWDPRISKLTALCKEVQKWRLCIRPGLDPTWSHSSGAFTLLGDAVHATLPYLASGAG MALEDGGVLGQCLARITDKSAASKRAALEVYEACRRERTEKVVQRGSYNQWIYHLHDS PEQEERDQKLKDFEKRDQEWMSEPGFTVPESPETGDDPFPWRYHGVARWLLTYDLDKD VENGWKNWQRKQVDPVGERAQL PFICI_03299 MPKANSPPPQYVPLKDTKLFSPLKLGAVELSHRIIQAPLTRMRA EKESHGVHVPGSRVVEYYSQRATKGGLQLTEATDICLDASAYPGTPGIFTESQIAGWK AVTDAVHAKGGFIFNQIWHTGRASGPGMLNSKVSLSSTSQPMKGKYLDGSDCAENPPR PMTVEEIHATTAEFAAAAKRAVAAGFDGVEIHSANGYLLEQFLHDNINTRTDEYGGSV ENRCRFTLEVIKAVTDAIGADRVGIRLSPFNYFQDTKDSDPNAHWLYLCEQIASLSES QRPCYVHMVEPRFDEVLDEEQKLAELSSYTSSETGSAKTKNSLTPFRKALQPAGIKFL AAGSFDRDNAGPKIEDDLADGIVMGRFYIANPDLVERLKEGYSLNPYDRTTFYGASPP EKGYLDYPFYEAAKAVEVQA PFICI_03300 MMRLLYQDDGSRYKFTSDSCSDIPPYAILSHTWGTDEIKFADII ESEGEGTWQNRAAYRKIKFCADQARQHGLQHFWIDTCCVDKLAYVELQNAINSMFRWY RDASRCYVYLDDVSKQESPMPHTKREAAPWEIAFRNSRWFTRGWTLQELLAPKKVDFY SREGIWIGDKQSLESLICDITHIPARALRGTPLCKFSLSERESWIRNRRTKYEEDMAY SLLGIFDVYLPLIYGEGKTNAMRRLREEIEKTKFQKGEIVPRRIRNNMLERSPCISCR QHSSAVSGPYEKYVCTSRERELKEIHRILAPSDTHRVVVLHGRGGVGKTHVAMSYAKR YQNHYDDVFFVNMKNTASIQQSFTNVARQIIRRNPGSNYLGSLNLQHEHQDVVEAVKM WFSLPGNTNWLLIFDNYGNACSNSHADDLDMDIRNHIPLANRGSIIITTRIPKINIGY SISINKLESIGEAVEILSVTSGREDLQDGEFSPAYWAILIVIKDKYVACLLERLGKFP LAISTAGSYLRHNSITIAEYLLLLEKRLSSSVSRLDSHQDRALHAVWNLSYEKVQIQS PVAAHLLQLYAYFDNDDLWFELVRSCAQQKGLAWVYELDDELTFNMAMDTLHAYRLVE LQTSNSWLTDSNTYRIHNSLHDWIAQTLLSNADGRLSPLALKCIAANITTQNNFDSWL LQRRLLSHAIRAYASLREDNDDLNWALHRIGVLYAYHDRQKEAEAIFMRVIQGYEKIL GPDHAETFDVVNSLGVLYANQGKVQEAECMYNRALRGYEAAWGPDHTETLRMVYNLGL LYTNQGLLGKALGMFGRALRGYERAMGHVQAMNSCPAIRATWNLQPLLSGQNQPAEIR KYCQRAYDYVQALTGPACEDFQSFKDTLLELDQPRSGKSICYTRFLVAKC PFICI_03301 MASNTHSVISPAILYWGTPVVLITSQNEDGTSNIAPMSSAFWLG HSCVLGLDATSKTTENLLRTKECVLNLPSDTMVAAVNELAGTTGSNPVSASKASRDYK FVKDKWTKAQLSPQPSDFVQPSRIAECPVQMECQFLQVTNLRPDLPDRCGLVLAIEVR VLRIHIDDELRMEGYANRVDPDKWHPLIMSFQEFYGLKECQGWTHGSRKKTIALNLDG PWKKREEEKQTDPSLGLVVSQKRNIEDSRKATLQGCQEMTMMH PFICI_03302 MTLAYREDGAKWRAVQQRERTADGSFVYAVRTTKIYCRPVCTAR LARRGNVEFFDYPRDAENAGYRPCKRCKPQGGVMMPEAAATTRIRALIEQETRQQSSL DPIDRDLGDISKTTSRLACKAQVSKWHFHRTFKEITGLTPSEYFAQQPFDYYN PFICI_03303 MRKLDMARDNRWTEPWPIFAFLIRHSTGNYLVDTGDTWRNSVPG YLPKLIRDNVKVLVAPHEEIGERLKSMGLDPDKDIKSVIMSHLHHDHAGGLHHFPHSR ILVSAENYKEATSLIGSKVGYLPNQWPVWFKPELFDYQHISVGPFAKSLSITDDGAIT IVPTPGHAPGHASIIVKGSDGITYFIGADVSCSERNIRDNVADGVTWQPELSLQTLAK VRQFASQQPTIILCSHDPINWERMEKGQVFAKFQ PFICI_03304 MGVVALVLGLLPTVFMLIGPEPEDISLLAFRRPILAAFLAISLP SFPISIVSTSSTALLRQPIGLPFQPWFPANTPTWGKALLSACEYALAGAAVANMVFTV YQLAFWAISVSTIAIQSGVLPSTYGPFMWLGINLVVQAIGFWVFYLRYERGPDLSGNG STGCWLSRELTPCIYGQPMRLRFAEDTYLCMILSSFLTIGAVVLLVFTTMVLAGQIFI SLGDAVAIVGRNHEQGGSALKFRRAEYKRSFLLMEGMWTRYFPLSTYVRDITSSGRLG TLQRIMSEHSLAYVDLAPDHIMVNPDLAGGILLDGGVYSLFWVFQAVYHAKDFQSRPR PVTHSFCSKYASTGVDAMTTILMEFPRAEKYGGPVHAVASTSLSLSIDAVAMNAGCEM PNIRIQGTMGEVQIFPPAYRPTKTRLILKDGTVKEKSWPQPGPGVGSGWYNGYGSTIH PEGEGHGLFWEADEAGRAICGGQKDRLSRDLEESILVLEIMDQVRAKAGLAYPKAVES TEY PFICI_03305 MLFLKQLATLLVFAMAPAVNAQNATTAITPIMVIGAMPQCAQVC LVAGIETASCSMTDISAFADCVCLNVGLQSDFNKCVQDSCASMTDWGTALGIEGVLCE AYPKEDRTSVPLIAAIVGIAIVVPCVAARLASRLRYTGRLWPDDWVMIFTAIVFLGLA AILIVSARLGLGVHFWNMKIENAIPLMQIFFASQVMYIVVTFAGKLAILLLFLRIFDT GSKKTFARVIKVCIVLQCLDSLTYLCLIVTECIPISAVWDKSITSPKCLDLHAISVAG AISSMTTDVILMVLPIPVLWTLQVSRAKRIGLVFLFAVASLGIVASAVRFSYLVKLNG DTDETWNNVDVTIWSLVEILCTIVCGSIPAMKPLLTRAVPTVNLSISWQRNSKGSSNQ YAKASQPSTGTSQSSHSHSRSYDQKSDIWLESLPSTNIQGPWKTPIRSHTGPRHAESQ DRLYKGYEI PFICI_03306 MTNILIKDAAQIPVADPIPALSISRVTIPAPERGIPIELRITAP AAGKDIPIILFSHGHGPSLYVPSKDGYGPLVNFYAERGFAVIQPSHLNSKVSGLDPSA PDGPTFARSRPGDMSLILDQLDAIESQAPFIAGRLDRNKVAVVGHSLGGATAGLLLGA RYHNPEANVADVDLSDKRIKLGVLLGAPGNGFESLTEVARKKFSSALHTDWTHLATRT LVVVGDEDESAWTIGDGADWHRDSYRCGPGADYLLTLHGGKHGFGGVAGWDAKETDDE DPERLAVTQRLTWAYIRSGLYPEDPAWKVACQALEVVASKHGHVEAKS PFICI_03307 MLYFMILTPLLALGAIAIPSKSQRPRANDTWQALLSNTTLAQAV AAKDDEIFNSAKELVESAEVSKVHTSQV PFICI_03308 MDSKSFSSRFRRNGRKGNRAASPASPASSSPGPSPVTSTVVGGG AAATGGVGADVTADDDNANNHHSASKSNSAHLTAKTTNSPPVLTITTTTDTTARPQTG NGNGNGGSSPSFFRQPKSPFSKKFHFPSRSSHKRARSPAPAALPQPTSPVLVKQDAML DHEMMSPGKGAAATSPVQVKGGRAQGSEKRIHKSQQQQQSPRVPAFLNMSEQEILHKY QEIQWNERNRVLQSITNSSPTFKFAQVDATYRPLDRYGNIQPWNNNRVKLQVPEGNAD YINASPIVLRSPLAPEQRSPHKYIAMQGPTGRTSEHVWRMVAEQMESPAVMVMLTETR ENNMEKCFPYYPHNADESLDIGEHDEFQDGWRAQVKCLEVEERAEGAIEMRKLQVQVD GREDDMEVWHLLYKKWPDFGVPSLEDIGSFLDLMELSRVKNAHEDNPRIVHCSAGVGR SGTFIALEHLLREVDSGDLEKYDEHYGGEASDLVFDTVNTLREQRKMMVQAESQYLFI YKVLRKRWMEKYGLEDDQGGEPAAKRLGVGADPFVEDD PFICI_03309 MASPHAKPDLLELGNRLLADGPHKTMAASRRVRILFNGIYVADT TDALFVWEHPYYPFYYVPLASFARSVLHDSHDDGPLSLMGLHVRGRTTDRVLGFSANL AAHGGKGSSSVSPAARPLAGYVRVEFGAADAWFEEDTRIYVHPKDPFKRIEILYSTRP VAVSVGGVKVAEAASSWHLHETGLPVRYYLPPTAVLDPATLRDSKTETQCPYKGVASY YDIVLGAAKAGDGEDKTFKDLVWYYRNPTHESALIAGYICFYNEKVDIELDGVKLERP KTVFS PFICI_03310 MVVTQKTAPAPSLLTSHIQLCLAHYCDLHGPTPLMVTEGLPVPC SRCYDETTESERPQTSAATGVHPTVVDAMRRMSLNSNPRPSSTPATIPETQQAPAQHP MPKAGMDKPALSSTIETPPQSPRDAPEGFKDQARSGTRKDFRKTYDDYVTKRANPCQN CALTLPKRQDGNSGKDKATTGGSDKSDMQGPTLRTRAPYARVYGGGSRDASPPSSTPQ SSCTSEDEEVSPKQTTRATISRRPTTSTSRSSISSKSGVNTHTHFLDYTSTHEPQIAN TYSIVRNSCLRTLSFETLPRRTDHGSANSSTMSSPVSSVAPQLPTNYTSPPLSVNPAY INATPTPQSAASGGGPIFFGDSINGYTTAYIFRIPDMHARGRKRVYAFLALTTHRERL AMKVFGFIAAAFRDLAAWVQDLAEAEAERERERLEEQGGPTSNRSSYTGGFERGPRDS GIGLGLGGGSISERDRDRDRDSGSSFLTGGNRTGFANRMGMGGYMGPGGFAPKARGLA ELVGLPDFFIELHTRFVRLLLELGVVLGS PFICI_03311 MGDVAVENPANTVAPHNKPIPSTIPNVENIEGLSSQEGDEYATL KKLQRHLEYIQLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTGI VQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNALVDILPPEADSSIAMLGADEKP DITYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYGPPGTGKTMLVKA VANSTTANFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRFD AQTGADREVQRILLELLNQMDGFDQTSNVKVIMATNRADTLDPALLRPGRLDRKIEFP SLRDRRERRLIFSTIASKMSLAPEVDLDSLITRNDPLSGAVIAAIMQEAGLRAVRKNR YNIIQVDLEDAYSAQVKGTSDDNKFDFYK PFICI_03312 MSSTIKIPITRKSTQSAGSVSSSSSSSYSMSPQKTPELAKATPS QSPSQSGPRSYIHQRRPSLLSTSFSKEECTVINVGDPDGPPRLISYLSSSQGFVWNPE IFVPSYLDIDTGSLEIKLDPVHEILLSDEEIKKMLPQ PFICI_03313 MPSMLSTAIHNTFIKAGANLTAQLVVQWSSKDPQPLDRQRILEF AIFGFIGAHIGYIWHLLLERQFPTHIIPRVGPPLPVIAPGEKDKDVAIPLPLPPSSAV ADPIGGGPASSSGGSATKVSWRNVVAKLVADQTVGLCVMISVFLIITNVARVPHFADV FIVVETKLWRLLRAGWNLWPIVAMCNFLWVPVRWRVIVSSCVGFLWNIFLSLVSMGPA AGAQPGH PFICI_03314 MGFNDIAKYGSPVAAYSTSAARPQQQVSAIVVGGGAAGLAVLGN LLEKIGHGKISWVDTEFNGGRINRKYREVPSNTKVGLFLAYGEATEPFRQVIESTPKP NAITALQELPQDGTCSLGKAGDMLKLLSDGLVKHPRIDLHQGKVTEASLDGTSAEWSL NIKDSKTGQLESRTAPLVVYCTGSSPTTVQLPVSSTSVPEFLDLDIALKPSELAVTIP SDNEVTVGVVGASHSAILVIMNLVKLAQNSHSKLRVKWFARSPSLKYAVYKDGWILYD NTGLKGDAAKFAKEQLDGERLDASDAGKVVKRVDCSGGAEKEKEAMQRELPECDYVVQ AVGFTRDPLPATKQALEFDHKTGGFTDATSGKELPGLFGAGIAFPERVVDPEGNVEHA VGFFKFMKFLKRVVPEWVAKTHS PFICI_03315 MMLRLLAALLLGVVCSSNAAHPTRYSFVDNLKYYENLRAAAIER QATLEDVSVQPAKEDLFLTNKTLPFVVNGTGIPLVDWDVGESYAGLLPISQNSSETRK LFFWFFPSENPLATDEITVWFTGGPGCSSMIGLLQENGPILWESGTLKPTQNPYAWNK LTNMVWIDQPAHTGYSTGEPDLQNEDDLVREFKGFWKNFMDTFDLHSRKIYLTGESYA GFYVPYISKGFLDEQDTEYFNIQGISINDPFMGDLVFQQEITLPDYIQYWGKVLGLNE TFMSFIQSQKNNCGYTAFLDKYLTFPPPPRPWDKTPTDCGVYFDFLDAVLEVNPCFNV YHITDMCPFPYTPLGVVNGGDYVPPGAPTVYFNRDDVKAALNVPDAGWWWACAVGGVF QGDDRSLGPGVDGTLTTVFEGLNHSIIGSGALDFLIPTNGTLLVLQNVTWNGAQGFSE RPSTPFFVPYHTEQNRGAMAGAGSLGVWGTERGVTFYDIQLAGHELPGWSAGSGYRSL ELLLGRIKDFSDTTPLAI PFICI_03316 MEEHPEFSKLLANPAQGTSTTAWAAVSKESEGECGLYLHETGEP QLAPAHAPSYSDGYGANTFNPESEKKLWVKSLELLGLSDD PFICI_03317 MPTTEEDRDGKNRNFDLIRRGSKQPTPWGTATFIGLRLLDLPWQ YHLLRNGAAEGALSAVGLPFLVGGTQTTAATLAAKGISWLAPLDLPLPRLILLAMATG STLKQILWQTCLSQEEFPPSAAALVSGYNTLINTANTLLLVCASTSSLGSRGPRVLVL GTELPLSVVVGSLAYLVGITTETLSEIQRIRFKKDPRNEGKLCKVGLWSWARHINYFG YALWRGGYCMVASGWIGGLAMGLFQGWDLGSRASDTLEEYCSNKYGEQWLQFKRDVPY RIVPGIF PFICI_03318 MRFSTFLTTYSVVTSTVLAYPGMANDPGFSKRLAKLMERDSDEF DSNELIGDLITLPDSALTPVAKDIKNIIMGDGSGESDVTYTGAVPALKSAECGKDTCC VWKYIADDMVKLFKGNSGRCTKWARKAVRLGFHDAGGWSKQTAAQGLQGGADGSICLN SVELTLTENNGLQDIGDQMKAWYAKYNTQLGFKSVTMADLIQMGATVATVVCPLGPRI KSYVGRKDSSIQNPQLLPGVTQSADDLISLFEDKTIRPHGLVALLGAHTTSQQFFVDT NRAGDPQDSTPGIWDVLFYGQTQGTAATPKRVFKFQSDIVISQHPKTQNEWQEFAGSG GQDHWNEDYAREYVRLSLLGVNNINTLTECTKVLPAAIKSFTAPDQKNVDKWLNTLGS KSVLGQQLANALNDGQSIASFIISTVLGILL PFICI_03319 MATTSRSLPTGPGLLFIQVQIEPAAFDDHVLGNWFKGSNLWSQT KERSKSHSSNWECADLSDGPKHLSLWRVENLAEVAEEAGVPSSSSNDAMKETKFEMRY YSLVEEFEKDKHDESHATTHIITAGMEPANLEASTDLDKWYSEEHNEQMSLEPGWIRS RRYKLADDPGDAFGGPDRRITWMTIHEFGQGNKLGNKVEALDPVTPWTRKVMGGMSVI EANVWANTQESSTVH PFICI_03320 MLAENEKKHGDRGAADASQFVIAITDSDLEAVHRDTSEAAGWKP SFHELLIMVALSLISLMVALDACIIVTSLSAIVTDLGGDTTQGFWIGTSYLLSNAVTM PFIAAISGIFGRPICLIAALSFFTVGTLLCCLAHGIVLMLVGRSLQGVGGGGIIVLSL VVFTDIVPLRYRPKWYGTVLGAWALGNCLGPILGGLIVEHTTWRWVFYIMFPFCAFGL ISIPLLLTLKPRTETMRVKLARVDWTGSMIFLSSATLFLIAISWGGIQYAWKDKETLI PLCLGVAGLGLTYIWESRIATEPFLRKSLCLRPSSIATYFCGMVQGLVIYGQLYYGPF YFLSVKGYSPVHTGLALFPVMFTLVPGSVIVGNLVTRTNNYQYPIWIGWLMAALGSGL MIKFDLDTSIAQWAIPLVVLGLGHGAILNAQNFATQAMCKPGEEGAAAAMYGFMRQFG MALGVGVGGSAFQNVMALKLSWEGLPEDIAKNSEAYVDRLWALPDEQMRTRILNAYVF GFKGVYSVYFGIATVALLVSLVGIKHYDMNKEVATDHKLHRNRFTKRLEASSRRTSVV NLIQRAEDADRPSP PFICI_03321 MPDLIIITEVPLTIYTGGSSSGTFTIPQNGSIPPTIVITKVPVF SYTSGPVAGTSTRSGTGSIPDTIIITQTPITVFTAGSLAGSLTIPQSGSIPPAVIITK VAVFIYTSGSVPGTSIHSGTGSVPDTIIITETPVTIYTAGSQAGSYTVPQNGSIPPTI IITQTTDTVYTTGDMAGTSIVAQTGDMPPTAIVTKVASLSFTTGSVLGISTALGTGST PDVIIITQVASTLYTAGVKAGTSTVLQSGSIPPTIIITQTTDIVYTDGTLAGTLTVLQ SGSFPPTLVITQIIDTIYTAGSQGGIFTVPRNGSIPPTVIVTQIFDTIHTDGNVAGTL TIPQNGSMPPTLVITQTTNTVYTAGSQAGTFTVSRNGVAPPSLIITQTTDTIFTNGNV AGTLTISQSGTMPPTVVITQTVATVYTIGSQAGTSTVLRIGSQPPTVVITETIEVVYT AGESPGTSTIPQNGSIPPTIIITQITYTIYTAGSIGGTFTVPQSGSIPPTIIITQTTD TVYTAGSSAGTFVIPQRGNIPPKIVITETTDTIYTAGVSGGTLTVPQNGSIPPRIIIT LVTITSTTLGNTAGTSTIPQRGSIPPTIVITIVTSATSPSSSKSSEMGSPTSTATSDS SSVSNAVPITTSSQTSIAPSTTQNGSMSDTQRSSATSSELSTDTSTTSMLESTTVSSI ITSTSSTSSVSDTSTMSASATSTSSEPTNSTSTSEAAVTTISNVEITAITSVSDSSSS ETSSTEPSSAGFSITNLSTTDTPTTEPSTAVESFPSTESSSTEPSTTEPSTTEPSTTE PSTTDLSTTDSPTTEVSSATESPSSIEPTITDTSITDSFTTDSSATDASSSSSTDVTD SSASVISSTTEPTSTDTGTSIQDTSTLTPSDPISTSTTSSSSTSEAETSTVTDLTTTS SSSSSLPSTSDLTSSSSSTTTSTSETTEVTASSTTSSSSYVNLYDEYILIYYDLVFKL AFKHDQHHKLFPHSTSSSTSTSSTSTSSSAGPTLECDPSGYLIQTTSLYRINITTGAS TLVKGGVGNGVGSINAMGYNYADNYLYASIGTTARLVRIAANGDTTLLSSFNITGSLN CGDVDENAIYWGSQAGSLWVEVDLRPNSANFGRQINTGTATPPYNIIDWAYVPGGGDA LWGLGFDSSQGLAQGQTYLMRFDRTNHTWTRLTNFGQIASTQNASANAWGAVYASDDG FLYGSENNSGEIWRFPLPNSNTTTAVKISNGPKSSSNDGARCIKAANV PFICI_03322 MAISTERFKLTSKNLSPISSQQGEHRVEIPTYNRDDVKEGIVHV GVGGFHRAHLAVYVDKLLQHHNERDWAICGVGLRPNDAAMRDVLAAQDHLYTVIERSA KGSFANVVGSINSFLFAPDDREAVIAKMAHPDTHIVSLTITESGYYYNENTHQLTSEH PDIQHDLANENSPVSTFGFLYAALARRHAAGLKPFTVLSCDNMQKNGSITRHMLESFA RLRNPELAKWIAEEGAFPNAMVDRITPSTSPNDIKSLSEDFGIDDEWPVVTEPFMQWV VEDKFSDGRPPFEKVGVQVVNNVHDVEQFEKHKLRLLNASHSAMAYPGQLAGFKYIHE VMEHPVYRKFVWQMMQEEVKPLLPDIPGVNIDQYCETLMERFSNPTIMDQIPRVALNA SGKIPQFIMPSIAEQIWVTGPFKRLCFVLAGWFHYIRGVDNAGNAFEIDDPMREQLQA LAKQGGNDPRPLLSIKVLFGDDLRNDKRFIDELTSAMELIDKEGVMAALPKLVN PFICI_03323 MSVHVKLPKYARGNDRDYYGYVKERYMHKETIAIPGGFKIPAIR DALVARVHTAITKFIGDLDQFGLHNCVLLRISEDDEAILRQEAELADDCPLGAAFQKR PWNAKVYSRWDPTLTTVRRDAAKSKTVVTFKDDDEAILAEVCISDDYDESDECNDYDE SDEADDSDGYDECDESDYQVKHRLSDYLYTNNLAQTTVLSPLPLSTTSISLLYQRVNQ VHPRQISNYARHDDRDYYAYAKDKYHYKKTIPIPGGFKVTYYGYDLIIGIPSDFVQVL KRVGVKKNSSAASNSVSKARVRTAITKLMGDPDAYGDHNCARRKWEPHVSDLPGQSIT GCERGGGIDRARNIRQHFCHQLCRPNDPSSHVFTFAVYFLSANPSVQYLVAKELRHVL GDRPPEQWDYNKDFPRLKRCLAILYESIRLYTPVPVTKWTAGKTQTLNVGDKTLVLPP NTMMCLTYSSLQTDPRWWGSDSLTWRPSRFIKPAASQSGSDVSPLNYQSLDDEEFLKP RRGSFVGWSKGARDCPGRKFSHVEFVATIASIFRDWRVDPVTFEVETIEAARRRVLYL IETESAMVLLVQMLHPEKAPLAWSKR PFICI_03324 MSSQTIRNKSYTRRDKRDYHGYIHDRRCRLDSSPVEGGFKIHGY TSDLVVAIPAGSANRIRKEGPIGLQSTILHGQIR PFICI_03325 MNFLKQLFGSDTYTKYKYRGAKLPREPLTPREIEEMHKKLHPDT HIYNAAIPIEKKPIFDARDWSVPYTRSIEERLIAAAADGSLQKAQSKSLLFRRLPPEL RTQIWRHAMGNHKVHLTVHRGRLRQSMFESNDYQWRTQIGLLRVPLVCRAAYMESIPY LYSDNTFCFGFGQASSKLALTSLDTMLPKQHIASMQHIEVGWHLFGGVSQYYDSHPQA WDISLDVPAPETEAQWNNVCTELVKLTHMRTLLIVVWLSGDGRAQFIKMERGMLAPLL VMEHLERFDIHLPWNPDDPSLWNNAPFRVCRRFRVKEMYGVSIPLLDDPADGFYWSDS AAEHRRYR PFICI_03326 MTTQADAQDPLAGLGEINWSNLEHAYGSAEDIPPLVRKLKSSDK EDITSAYGVLYTSIFHQGSRYSASSAVVPFLYRLAICPETLCRENIVRLLTRLAIGEP THHWLRGIDVKGWREDVATFQATGWCEEEKTRRLEWINEGADEDDRKRRKLRSILFPS PEEIVKSSVAELGVYDAVKDGLQHIIDLLNDDSVAIRQEAAYALAWFPEELERIHPAL FNLIDSETNPVVQATGLIALGQLQTRSEGGIDDTPVVRCLNSVFAQGRGSGLSRWASA IALIMLHVSQPEHVNEVLRKLKENDYLQEYEPWNLEDVNFEFADPDLASLATMSLRNL TRANSQGSEMVIIEIIPASRGETTLVLAEIGLKLVFETPASEPLTPEGLIHDQRELIR ALTKVDSFNWSFANFLSILSGWALPTSLQKLKALVGEE PFICI_03327 MHYTLLLTLILAGIVSAAKTPIDSGLLALLERYAAFASAAYSSD CTIPPFGSSVETYFNDIPTSTQATLFRDDAAEEYILSFRGTSDVQDFLTDLDQDLISC VAPGLECLGCLCSQGYLGQYNAVATEVKNAIDAGMAEHQGYNLTVTGHSMGGALASLA AASLAGQGLSLTPYTYGQPRTGDPNYADFIDTLFPGTMYRVTHANDGVPQIPSQDDGY RHHSTEFWQSEDPPTDQNTFQCEGQEPSDCNQSEIGIGIGNGGRGINAAHLKYFGISI GNPLDPNAACQGIV PFICI_03328 MDRLKSVAISTEFQFFKHDGHKLFGANLGSPNLPTISVSRREFY ELLLNYARENGIRIETGQTVEDFFETPEHGGIHLADGRSVTADVVVAADGVGSKSLRL IRGQHETPISSGFALYRTSYPTEVALSNPRIASFFGDKREWTSLHIGPGAHFFMGMSP TEFSWGLTHKDDGTSVESWTRVVDASGALRYVEGWDAIVHDVIKSTPGNQATDWKLRF RDPHPKWVSDGGRVVQCGDSAHAFVPSSGYGATMALEDAFSLVACLTKGGVEGVATAT KVHNHLRFERTANAQKVGYKSREAFHHTNWDEVANNPRSIAKFTGKWLNVHDPERYAY DNYDACAAHLLNQKPFQNMNTVPNHDFKLWTVQELLDKSERGESIEDDGDWYN PFICI_03329 MEAPKDGAAPLHILIVGAGIGGLSAALGLRQQGHKVTMIEKSKF AAEIGAAIHSASNCSRVLRRLGVFPEEHGGTPLVGFEMRSPDGQIQGLTDLGADGDKD SAQATLKDRVLSSDAPGIQVQLHLSCRIVALDTEKATLVLDDGRSFSGDMIIGADGVH SWTRNYINAAIKPFSFGYSCYRWLAPNEDLKNHEATLELSKVKGKMVEWNAGQRRVLY YACADHTISNFGAFVPADKQEQDRTSAVGWNNPGSKAHLLQLYHDFGDEVQQILQSVP EGDLKEWDLMDMASLPTWHKGALVLIGDAAHPFLPFMGQGGAMAIEDGAALAALFPHG TTRAQVSSRLELWEECRRERVERIQSFTRWNGRSSDDPSLPRPSYEESMKFVLFCIKH DAWEHAIDTLKKHIELQ PFICI_03330 MSQIPALETLSFDSNKPEHRLAFAVGTALLAYGIYTIVAALTSP LRAIPGPFWARFTRLWYLKEVWTHKAHRTNLELHKNHGPIVRIAPNEYSLDDPAACKI LYGHGTDFQKGPWYQGSANGAGDYKENTFSERDPKKHAAMRRKGASLYTMSSLLKMEP AVDECIHFVQKHFGSISQNRQAVNLQWWMQCYAFDVIGNITVDKRFGFLDNGTDPFGL IKSLHHFLAYSARIGVIPELHDMCLKIMQLGPTNGFAAVAAFTVKQVKDYLARSEVEG DLMDTGTFMARLMKLHQEKPDVMSETDALNVCLGNVAAGSDTTSMFWGLMRNPEAYAK LRAEIDEKHAKGCEDPLSFADAQSMPYLQAVIKEALRLHPAGSLPLSRVVPDKGATIS GQYFPKGTIVGINPWVAGRNADVFGDDPEAFKPERWLVSKEEAQRMDNYMLAFGLGSR TCLGKNIALLEMNKLVPQIVRNYDLSLVDPTMKLETKNVWFVQ PFICI_03331 MAAITEHTVQYSKDRSIHYLAAGPVDGPLIIFIHGWPASAITWK PQLDAFGGLGFRAVAPDMPGYGRSTARRVGSDYSQEALVEGMMALLRDTGRDAAVWVG HDWGAGVTSSVAAQHPEAVTALVNLCIPYKTLELGWAGLLPLVNRELYPADQYEFGQW DYMKNYEENFEDTVAWFEKDIPGFCKAIMQPSKQPTTRFHPLALTRKTGWYGGLPAPP SVDKTGPPMVTPDILEQYTKDMELTGYWGGSHYYMHHEENAKYNANAPNGGKLRQPVL FIHASWDLVCDTKMTRFAEPMRKHCSNLTEVTIESGHWVQFEKPGEVNAALCRFIIES VPSEWPGYWDSGYVKRTAVV PFICI_03332 MEPTKETAITTDAEAGRSAPSDVSTDGLPLEVDYTPAQEARVVR KLDWNLMPLFFVFYMLAFLDRGNIGNAKIAGMTEALDLVGNKYQWLINIFYITYIVFD FGILFWKIFPPHLVCTVVVFGWGLLATVQAGVQNWSGMMALRFLLGAFEAFFGPGIIY LLSFFYLRHEIGFRLGIFVSAAPLASTFSGALAYGITSGHSKLANWRLLFLVEGLPTV AMAAVAFFFVPDSPEKARFLNAEEKSIVRSRAVRQVGTDANARVGGINVKEIIPVLLD AKAWICALMYFCGNVSYSSLPVFLPTIIEDMGYTSINAQGLSAPPSFAAFLFALITTW IADKTQQRCLVIVASSIVGGIGFIVLACVDTVGVRYFATFLASAGVFSAVPNVLGVTL NNQGSDSRRGWSVVLMNTLGQCGPFLGTNVFPTTQSPRYTEGMAICAAFMFFCAVLAF AQRCLLSWENSKLDREFGPVVKGEKNTRGGEDYGSHFRYVL PFICI_03333 MSQVQLPLEAQGMANESRQHELWGWLICFLVISNISIGGRLWGT WKSVSTRSEVIAEEIFIGLSGVLLNAIIGNLMAATHYGLGLHTKRVWETNGKDPSNLS NIFKHVWITMILMSSFFVCIKMTLLLFYKRLFLCSSTALRTFWWANIGYILLWFVGST GFYVFQCKPVQWYFLQYFQRFHAPVPGDIHGQCDATTVLHVAMPMVFSLLSDIALLVL PLWAISKLRVDRKKRLGLMAVFGIGLVACLLELARILALIIDTDDKTDPSWGVAVFLI LTAAEETTAVVCACLPIIGPLLYRQYRVFPGKTNKGRSSGNDGSLSLEAQNNIRSGSR NWRSRQSFKRVISVNHIPTSIGGLTKLDGTMDGDHIHLTSIEAKPPRSIPESFDTGPD GSTIDGKDDVEARGIPSRSAIDGNECIRASTPLSNMIHVRTDVEVEVFRKEVPGQGQR PFICI_03334 MESQTNTQITESTRATLSDPARTNFDNYSGHQSTVDDPDSREDA EGRIWQNYRPDKYFLPNDSTEQDRLNLIHRAFCLQLYLLQDPVERSHKVEDALLLYDD PYCLSSMLGFAKRWKLHGAFHSTIHEVACLEAIAKLSLRSAPIKGDPPRVLDIGTGTG IWAIEFARCHPESQVIGADLSLIQPDVSATVPNVSFVRADAEEEWMCGAPFDLVHARL MFSCYVSHRDVIKRVFDNLNPGGWIEYQDNSFSIDSDDNSHRGTGLHQWGHLAQAGAA AKGRDFEAARKFKDYFVEAGFVDVVEIRCKVIGSAWPSTEPEQSLGRYTSVSSFEVVK NVSRKLLGEGGLGLPEDVIQPIVAQALKDVADPNIHFYWPGYIVYGRKPFAHEIASAP SSSGTAQH PFICI_03335 MAPLKVIIVGGGLAGACLANGLINKATDPIEVLLFERDHEASDR DGYQIRLGAHALTGFRACLTERQYSDLLLCFGRSGGVVSSAPAIYSPEMDLLLDLGKF PAYTKSAPIGRARLRNFLQSPLREKSKIRYGKKFVRFEVLGSGDDSKESRIRVHFEDG SGEDCDILVSAEGSGSRANKQLGCNNISATSSLGAGSMLGKCHLPWTVLRALPRPLLD KGTMFAATAKATLFAAAYLPDSLSPSAQNASAATDLKGIKKPSNYDEEQASLMVGVAW TEGLSSMEAAQLPDAKAFLRDKLMEAGFHAEYLKLIDALDPDAIQGVPFRTSSDTAVD WRRRLLEGEKASHRPEIGNPRVWLIGDAIHPMLPSRGMGANQALHDTADALGPLLALA KRKSLTGTVSDEEVRLQLETYENAMIPRAFTWVKRSAAQNLPGLDTFKGRAIIFVLRV GLVVVGAFMEVRKLFGWKPTDDAPELR PFICI_03336 MTAISDLAVRPAEGPNTFQCTLPTCRGDYLIQISWPLSWKSSRG PENSSPDTHVPILYVLDGNAYFFTATDVARRLEFVFRKEIIIVGIGYPTSHAIYDKRR LSDFTPSSSKPSKPPLGRDGQPLEGVEYGGAEQFLKALLTEIAPYVEDNIFQGLKFNR HSRYLFGHSFGGLFTLYSLFTRPDFFGAHLAASPSIWFQDCEIVHKQEKDFLSKDVIG RTMTQDSWSVEELQTQPADLRLIMTFGSLEENPEKRHNEASEDYQRRLVLSQAKGMRR NTLAMANRLRASGKFRDVSVGELAGEDHGSAAIETRTLAWRMYICSH PFICI_03337 MATDKETPEAMVSSSPEDVDPVAKNNEEILKESWSRRSLIIAYT SLFAATFILSFIKYSTKVYDAYATSSFSKHAALTTANVVFGRAEGFALSITTMVLGQV LYAACQDVGTYVAGGIFEAIGDTGYAVMQQIFIADTTNLLNRGLWSSLPESVTAIPTL YLGTIVADQVLAESTWRWGYGMWALILPFCSVPLVVTMYILQRRAKKNGYMKSSLWSA ADVENTSLPHRLRQLFVTELDLFGAFLLVVGLGLTLIPLTLTGSKNSDKWQQGSFIAM LVVGIAITIAFFVWDVKFAAKPFVPFRMIRERTVVAACLLSILDFFHYSCFTIFFPSY LQVAGGYSAGHASRIDNALRVTFQISSVLVGLAMKYSKRSQIFVFIGVPLCVLGQGLQ IYFTNMHGTHAASEICFITAKTLVGLGRGFYQTAAQVSIQSLVPREDVAVVTGVYFAA MNFGAAIGTSVSGAIWNSLLPAKLTEYLPEEAKPQAQAIYKSITVAKKYAQGTIIRAA IDQAYRETQQRLAIAATAALAPMLLIMFFLKNVDLSVKK PFICI_03338 MSESTLEWFGATTFRLRTRGVTIFLDTWLDRPSVLPKYLAVDDV TEADYIFISHAHFDHLPGADRIAIKTGAIVVANCEAINCLRLAGVPEEQLIPVQGGER IPLFTKDVREKARHDSTLRLPGPPGAPIHPVHTLAAFSVHVWPSLHCLMPDGHPIVMD TKTVYMGAATPYSCTLDITMGMQHGLLKLGELVPPEKLDEGQLSFIDYVNDRKRNVFS HCDGGQLMYNFIIDHKALLWSSHLGGYESVLKSMHPKPDIAILGIAGRANLDGRPFDG CAADFAVKQIKWLDSPSQIIWCLHDESCIPPYRIDTTAATLAVETETQSKVLDLRHGD SVCLDL PFICI_03339 MGEGPPFNAPIVEHSHQSTSHVLVDTWNVDSAVLSNAQIGSTVS TDTYPALNDDLSWTTRPPWDMNFHFNSNGMMGSLGGIWNNRTDTFPFLQTLEPFLSPL NCLEIEPDIVENAPELPSAVMEESSSRIFPRHRTSRAEPCLEFPSCQSTHLQQAEAEV FGHVSEISASSIEALRLFYMTQRQELPSRFISTRILCTFVDLYFEYFDAQFPLLHPHR LEASGLHWTLLLATATIGSQYSDIENAHEYRASLCDLLKRSMQLSDLEDIKEMNISIA QSVFLYDVFLMFSGSRKDKTILQYRKNILITICRKLRRNRDAQVASELEERTTHDEWL SWLSIEEEVRLLSCVYVLECLGFVFMEFPTILAPSDLLQRLPCPTRLWQCRDAPAWKA IIGTLSPVTYRQSQPKSKSEWLESLRGPDIFRLKVTLLGSYVDEKTLQHQLQSSRFLQ SSFSSYLNPPSERDSRGSSTRDYRGLPDPSHVESPLLSSLFESLEYETFEAAVVSNGE GAETLIHVLAILRHVPLKILYSATGWETNTDQMAASRTSLRNFFQRKRDTARKVMWHA TCIFRTTRKTHRFACYDMLSLCVATCYIWSYDQLRPAPVCQSDGISQPRKKRPIIRLD QLHDKSKIKEWVEHGDNSDIHLTGVGILNQSDHGVRFLKDVERTFFSQIAWKGLSRAL ACCLVQLGQNGEQILIPDPCEMGD PFICI_03340 MASNVISAAVEKVQNTMAGEKGAKTADIERDAVAPSQDTRITTE YGVKQGNTDDWLKATNGDTSGPSLIEDHFAREKISRFDHERIPERVVHARGAGAFGTF RLKESAQDVTLAPVLNDTSRETPVFIRFSTVQGSRGSADTVRDVRGFAVKFYTQEGNW DIVGNNIPVFFIQDAIKFPDFVHAVKPEPHNEVPQGQSAHNNFWDFVNLHTESTHMYM WAMSDRAIPRSYRMMQGFGVNTFTLVNKNGERHFCKFHFTPHLGVHSFVWDEALKLAG QDPDFHRKDLAEAIDNGAFPKWDFGIQVIPESKEHDFDFDILDATKVWPEDLIPVRNI GELELNRNVDEYFPQTEQVAFCTAHMVPGIGHSDDPLLQGRSFSYFDTQISRLGINWQ ELPINRPVCPYMNFNRDGQSRHRISKGTVNYWPNRFEVNPPAKPQEGAYPEYPQKVSG IKQRTQGKKFREHFNQAQLFLNSLSPPERAHLTSAFGFELDHCDDPIVYERMSMRLAD IDLDLAQEVATMVGGPVPEKASRPNHGNKAPRISQTEFPAKVPTIASRRVAILVADGY DSIALNGVKAALTASSALPFIIGPRRAEIFPAGSDKKPGSGIKADHHFEGMRSTMFDA VFVPGGADSIKALSTNGRSLHWLREAFGHLKAVAGSGEAVELFKIAFGLPNVSVSEDH QVVESYGVVTIANVTPEHFTETVQIAKEGTQFLEKFWYQISNHRNWDRELDGLSSQVA Y PFICI_03341 MRVGRGLSAVAALSGLSVSLAQNSSSSASVTPPLLADGYIDLGP ASDAFEKAKAFVDSLTNEEKAKIIVGSSFTGNVSWTPLASKDGVPGINMALFVSGFPM PNAATMTWNRDLFQQQFKATGEEFYDMGYNLIQAFVASPLGRDPYGGRANEGFSPDPY LTGILFGKGVEGMSSAGVIPVGRHYLLNEQETNRMGGGYSSNADDKTIQEVYLWPFAD AVKAGLMAVMCGMNRVNGTLACENSDILNGYLKTDAGFPGLVLPDVGSQSTSYGSANS GLDLGSSQYWSQTTILDGIESGELSQGRLDDMAIRNVIGYYYAGLDAGSQPKQPSATE MRDVRGNHSDIIRQVANEAIILLKNDNENGRGLPLNKPRTISLYGSHAGPCMAGPNQQ FSVAGTPADTYAGHLATGGGSGQASFPYLLTPFEVLNVRASQEGSMLWWILNNTYTAP EFSGFPGGGGGGGGNGSFPGGGGDGGGFPGGGGGGGDFPGNGTNPYGNGSFPGGGGGG GGGGGGFPGGGGGGFGFGGTGSTPSFVAYSANSEVCIVFINSASGEGADRTELANAEQ DLMVSTIADNCNNTVVVGNFAGPRVLDAWATHPNVSAILYSGLLGQNSGQAISDVLHG DVNPSGKLTHTIAKQAEDYPTPTCMETECDFDEGVFIDYRWFQSNDDLVRYPFGHGLS YTTFSYGDVTVSVTDQDALDSRYSTGTPTLGGYSDMYDEVLTVSTTIENTGGVDGSEV AQLYITFPDEAEEPARVLRGFEKVAIAAGETADVTISVRRRDVSYWDTVAQKWAIATG EYTLAVGSSSVDIRGSTTLTI PFICI_03342 MHFQSLIFSSCLATAIAVHQHHHVHQHPERDYPQETYTVTISLA LATAPAAAVPTTSCSSSSSTSFLPSQPSDTGALSPHSNSKCSYWLEDVRHQGVAPFNS NATNYRVFRNVKDYGAKGDGVTDDTIAINLAISDGNRCAPGKCASSTTTPALVYFPPG TYLVSDAIVGYYYTQIVGNPNCLPIIKASPRFSGAWIFDGNKYGANGLGWGSTNVFWR QIRNFVVDMTDIPASKAVSGVHWAVSQATSLQNIVFQMSAATGTQHAGVFIEEGSGGF ATDLVFHGGLYGINVGNQQYTMRNLTFYNSVTAIRQIWDWGWTYSGIVINDCQIGLNL TDLKSDGSQDVGAVVLYDSEINNTPVGVWTARTRGSTPPSGGSLILENVNIQNVEVAV QGSHNETILAGSTRPTTITAWGQGHAYTASKGPSNFQQSIPANDRPRTLNQRGRYYIR SKPQYEDYPAAQIVSIRGAGAVGDGKTDDTKAINAALLAAAAAQKVVFFDAGYYKVTG TIFVPVGSKITGESYPVILSSGKYFADINNPQPVVQVGNPGQVGKVEWTDTIVSTQGA QAGAVLIQWNVASRGAPSGMWDVHTRIGGFAGSKLQVADCPKTPNTVLTANKLNEQCI AAFQSVHVTQKANGVYMENCWFWVADHDVEDPELTQITIYAGRGLLVESEVGNVWLIG TGVEHHVLYEYQLVKTQNVVMGQIQTETAYYQPNPDATIPFPVVKSLNDPVIAKGQDG WGLRIVDSSDVFVYGAGLYSFFNNYNVSCAQQGVTDKCQTRIASIEDSSVSVYNLNTI GSASMVTVDGRDIASWADNRNNFVSTIAWLTT PFICI_03343 MRYSIIFAAAGLVASVSAHGVVTKVVGANGVEMPGLSVADGTPR DCSSNGCGSQADTSIIRDRDISAGGSPLGKTQGNGPVDAATMINNFMGAGSAPTNNGT GVGVEDDIPANVGSQKNNKVVSAKFRSRQLLAGLLGGGGGGANAKGTKSTSPAETMVK ATAGQGATSGLPTASDDGTVELQYRQINQDGAGPLEAMIDGTSGGTDMAAFQEAEVMQ NVPGLVAGLSTATNTDFAVKVAMPQGMTCDATVGDAQNVCVVRVRNNTPAGPFGGSAA FTQTAAARKRAIAYRLKKRAEEAAARK PFICI_03344 MTVDHTGRETAVPIMANDKRKPLLVMSAGPIMGHSAPALHIGRE MIKRGFEVIFMTAPELRPSVEKIGAEYWETTPFFPPGSLEGRDAHLPGLPKLLYDMRS LFMASIGPRSDNMRSLLEMVREREPDRDVVIVAETVCMALVPFTLGAPLPKGYSQFPK IIDINVVPLISSSEDVAPFGPGLVPDSTESGRARNRLMNDMFYHQGPFKPLQDEYLQI LKDLGCTKMPDCFMFDSWVSSFDTTFQMCSPSLEYPRSDLHPSIRFAGALPKRGIDPN FEYPCWWNEIVENSTLAADDPKRKKVIPVAQGTIATDYNEVIIPTIKAFAGRDDIIVI AILGVKDAVLPADLNVPANVRTVDFLPYDAALEHADVFVSNGGYGGMMHGVINGVPMV VAGMSEDKVEVTARAEWAGFAVNLRTQMPTSEAISAAVDKVLADPKYKLRATRLMQEN QDLDSLWIIEREIMKYARKD PFICI_03345 MAMKIALSILSLLPAALATTCVAESGCAGCGQVASASFVQDGSD LVADAPGWGTLTLSGSTIELENVSGSVLTICNYGTVCYYISEHSSCTVGKPDSFNTGL GIQVWQHP PFICI_03346 MSADASNVKPQLNPLTSSTPGGSRSFRASEALNVSLPTSILDHI TNAEDIFETSIFSSGSQVKVFQPTSERDNRSSGRLGQEPPEMAATALMLTVDELLARW NFAPYQENGSGITRQFPVLLGGTTDQEDNDKETFEISSDCCDKMFSGFSMHVPFLPSL WTTKTALGCRQWGHGLGNGLQGRVIDIWYTVPVSITVKGEGNFSLASSAIYSRYVIGT PQTSLVMVFLRYNWQRGSLHGRPTRDYEALMKKFKVPPRMSAAGDRAENGCQWHLGPQ VAYVGMALGLWREGLGFLNAFAHDQEKKIHSELSDDRHETAINIRSIHLRLKFLHEEI ESLQSICQTLDFLYNFWWTEATNQGEVQSISGVSTIEDLATLRQEFGIQMRSRDELVK RLSNVQTLVCQFSGVRFNF PFICI_03347 MQTLGTVQAYLEIHQLSTYTSRDIGWIAGVFTSLALFLGIQIGP VFDTFGDGFLAPLGCALYIPVFFLLAQCEAYWHFMLTLGVLGGVGAGIISVVGVGVIG NRFIRRRGIAMGCALSGSSIGGVVMPLMLRSLLPKVGWAWSMRAVAFMVASALIGGVM CLRALPPRRRSSTRYSGAALNFTVFTSGSFVFVTIGLSALEFAVFGMFSLLPTYAIKA NFSSDTGFVLLAIANGTSCIGRLIPGLAGDKFGPFNMLLCMVLFTAIFTAIILVPFGS SSLAAIYAFSAIWGFGSGSFISLTPVCMGKICHADDYGRYFGRFSNFNPIDGQN PFICI_03348 MDYTFFRIIQNDPDIMSLAHRYKTLRLQGLQQSPSAFSSTHEIE SQFSDGFWASRLQAKGRETFICATQSGDWVVQVTLRGPLSVEDYRLPPAAGQPDVLPS DIEEKWQMLSLYTLPDYRGKSLGKRLCRAAFAYLVSLERAQSKVRVRIMVKPENTATL HLYKSLGFEDAGRCTLEEALRANGDSELLPHGDLPEKYTTRTGLIMDLSLNREPLSSV EGSYSPVSSKKVCS PFICI_03349 MWSFGCIILEFLIWLIYGPDQLNRFNSDLGSTEHTRFYLIKPAP GGGRMATVHGIVESWMDQMAKDTICRPRTTALGNLLDLVRTRLLVVKLSERLGTLTGL PEAGSPLGRADSHRPMPSGSELPSEVAVSPSHPGIPTIVEPTQKLDNDYFKSALHPVV SSNLERASADTVMNFMLINSGDDETDAYWCLRQPDQPAGPDVCGVLQRIRSVPAQSIL IERVNFYFVIHPKPGIASQYQ PFICI_03350 MLHKAWESEPITKVIRVERYESFIQINGQEQMLSIYGSPALKNE IDQSIQIGYPSLSAPNSDAYFEILRQWLTHCDKNHGCVCPKPKSSGSSTALHPLPTRV IEVGKTGDERARLHETSPNDKGDWIALSHQWGTGKRFRTMTENFESHINGISCASLPA TFHDAVKVTRALGCPYLWIDLICIVQEGSRSDFHVEAKNMEQVFSGAYCVLASSRDPG HDAGFLQARTPKATLSLQKTGQTAPLYITEPIGDFHGNVLEGSLNQRGWVLQEHALAR RTIYFTHHQTYFECGDGVRYETMTRLTSLTRIEFPPGQIVPSWSRMALGGGIDYIGPP FGRVVWNKLRSPWSSDLEGSADDLQTKDSSNDNSLVSEARDYGASIAGQGKGEIIFDI PGDAASLHTKCVVLGVSHGRFPELKPKLYSDL PFICI_03351 MSANMQVWGADLFLMENWEEASALTDDDQLDRIFSSFIQLPFSQ RHVYTQRAKDQGLTRPTDLSPADQDLVSRLRTPEERQIVDMIWLRTCYDVGTDAAFAA FMQARPDETELYIFQDPSRYNYGGGDGWRRIFTRLPQILDPYRRSSNDYEARKQKALE KCIEAERQDIQEVEDQGGDPEEDGTYWPELYSDYHYKAKVGMVLVVDEETMRAAAQDP KSAKVLAVWFDEMGRVIRHTRMTAQETWNVEGLEMTMGGALQEHGEWTRAEPGEDYDW DGPSGPPFDPEEEE PFICI_03352 MAYLTNSPGHQPLNQDDSAASRPSAPLAALKNHRRNSSLTLTHH NLPSPSSTASFPLHDTDDSSPPTRDNCDRRRPDEDDCSRLPNMSQQLVGQAVTPFLRE HIPGLYAPIGKPNFPANVDYSASSADPNSKFCYRHRPDSKCRRAADESKMGAIQSQLE RLPQADQQAITHVWSLFSAAPAKHRELMLQGVITQCCFPQLSMVSRELSESLKIDFLS ALPTEISLKVLRFLDCVSLCKASQVSHRWRGLADDDLVWQHLCMQHIERKCTACGWAL PRLETKRLKDWKKQHQLAVARIRQTEVVELPNTDTTTDANRMTLTTRKRGNSTFDSTE VSGSKRQCSEPIRNSVERQCRPWKDVYRDRFRIGVNWRFGRCSIKTFRGQHTNGITCL QFDDNILATGSYDSTIKLWQMDTGEVINTLRGHTMGIRALQFDDRVLVSGSLDGTVKI WNRRTGVCINTLRHNGGVITVHMDGDLLASGSMDKTIKVFNFKTQQIVCLRGHTDWVN QVKIDVASRTLLSASDDCTVRLWCLDTQRCLKVYDGHIGQVQQVMALPDDYEFEEEGV READNASVASNRSSSPALNSSDATLTPPPDEERSAYGQEFVDDSGRALPPRYMLTASL DATLRLWDTASGRCLRTFFGHVEGIWGLVGDSLRYVTGANDATVKVWDPRTGKCERTF TGHEGPVTCVGLSDCRMASGGEDGEVRLYRFDCDPSEAAACGTPA PFICI_03353 MSVTIRPVTKEDEPKWREHWAAYNDFYQRTIPEEVTATTFARFL DDSVPMYCAVAVSPPSSTTSTDGTMPPTLVGFATWFPHMSTASIAPKVYLNDLFVDPG VRSGGVGGRLIDHVVDHARGVLGADSIYWLTQHFNHAAQLLYVKKATKSDFVHYTKKL PFICI_03354 MATKVNENGKKPTSAATNLVAGGGAGMMEALVCHPLDTIKVRMQ LSRRARQPGAPKRGFIKTGAEIVKRETPLGLYKGLGAVLTGIVPKMAIRFTSFEAYKQ MLADNNGIVSGKATFLAGLAAGVTEAVAVVTPMEVIKIRLQAQHHSMADPLDIPKYRN AAHALYTVVKEEGAGALYRGVSLTALRQGSNQAVNFTAYTYFKEALRRYQPQYDGGNL PGWQTTVIGLVSGAMGPLSNAPIDTIKTRLQKMPAEPGVSAMQRITKIAGEMFKQEGF HAFYKGITPRIMRVAPGQAVTFTVYEYLKDKLERSGPSKFFGGKYEE PFICI_03355 MQSGIAASQELISQFNNLLSDTSIFGLLVTIQGEQLVPVTTLPS KGTDFSANLSTLSPHLKPDEALYALLRRSPSPPGLIAVSYVPDVAKVRQKMLFASTRL TLVRELGSEHFAETIFATMAEELTPEGFKRHDKHTELAAPLTEEERTLGEVKRAEAEE GRGTGVREIHLSKTMNMPVDANAVAALSEMARGEGSGIVTLKINPASEIVELLPNSST PSSVDELASSIDDKEPRFTFWRFTHTHAGEEQSPVLFFYTCPAAAGKSIKFRMMYPLM KRAVITAAENECGLKVEKKFEVEEPSEITEKSVLDDLHPQAEVRTAFRRPKRPGR PFICI_03356 MKSIIPLVLSAATVVKGVQRTYSAPLIDCESLVAPEVEGAVTTS INATSVNATAASGYCAVEVYLTHGNSSDNVLILTWLPVSWNGRYQGTGGGGVVAGGSS SALIAPVASGYAAGTTNAGLPNSADGSDWANNTQLVNNFAYLSVHEMTVVGKALVEQF YGKAPDYSYWNGCSTGGRQGHMEVQRYPTDYQGVYAASPAINYDRFQPAELWPYVVQN VEGEFVPQCIFTALTNAAIELCDIDDGAEDGLIVNPNTCGFDANSLVGQAVNCSGNST TITERQAKIFNSIAHGPVGTEGNKLFSGLPLGSSLATIAGTTPVNLISAWVRAFVLHQ PDFDLSTITYETFPQIFNLSVEEWHYRIGTSDPDLTPFQEAGGKLLSWHGFADSLIGG NGTVQYRIDVQDTLGGPDKVDEFYRLFMAPGVEHCGGGYGATPSDPFDVLVAWVENGT APDTLPAAGNGLTRNLCRFPLQLEYSGTGDIDLAESWTCV PFICI_03357 MTSSDIPDFDNLPHVEGMPQGCAWGIFDQDGRKDLLGTLNLLTP TVVAAACKEARDGISISLNWPQHSSKIPTPGRIPTTHKLMTLEEGGISKSAGWDDELH FNTQSSSQWDSLVHWQHQDSKLAYNGIKVTHEGLSAETTSTNAMPTLDHWHGRGGVVA RGVLIDFKAWYEARAAAEGKTGAEATFHPLDGHRITVAEMEHVAKDQGVEFRHGDVLI VRTGLTEIWGNPQPADLAKLGNFQLSGVHGSLETVKWLWNRHFAAVAGDSIAFEALLP LKEDGTPADMSDLVLHPWLLSMFGMSIGELWDLKALSEHCKKVNRYSFLLTSVPLNVP GLVGSPPNALAIF PFICI_03358 MATTNEADAQFAQQKYAEETAKRTRADGMAQYQQLQNSSEDRLR KLADDPWADHDALDKLPRVIKSEDRVKFLIVGAGMGGIVNAVKLIKAGLPADQIRIVE SAGGIGGTWYWNRYPGLHCDIESYVYLPLLEDTGYMPRQKYVSGAEIRSYLVSLAKKY GLDDKIMYRAEVNGLQWSDDQRAWRVDMTVGHGQGGQEKSHLWANAEFIFFATGLFPM PQVPKFPGLSGFQGSMFHTARWDYETTGGSSEEPFPALEKLKGKRVGIIGTGATAIQV VPQVAKYAADLYVFQRTPSQVNTRDQRDTDPEEWRSKIAAKKGWQAYRMDNFATHLAK GAPDDHENLVGDAWTNLKTYCTMIGGDHFETITPDKVPEHIGRLLAIDAEQTANVRAR VARVVKDQDTAKKLTPWYPTWCKRPTFSDLYLETFNQENVHLIDTDGKGVESVTAGGV LANGQEYSVDVLILSTGYRSPAAGAGDPSARAGITIVGRNGRSFTEKWASVGASTLHG YASNGFPNFFWFGPHQTGGTANFAHNIDLMTTHIAYIVGQAHERVRGTDQDRVVVEVS QEAEEAWSMRMAQSAAAFAGNGVCGPSYLNGEGEALRVQDPVSMLKKARGSIWPTGIV GFAHELEAWRAEGKLQGIDVSVL PFICI_03359 MTRSRGRQPGIHTKHLTEEDRRHVRILYLEGGKSKEEIQQRTGF SAAQVRRAISSESTAIGKRSGRPSKIPKPIIDGSSWSQPIYFDSPQALGHWLAYNHNS KPELFVGYYKKHTGRAVLTWSDAVDEALCWGWIDGMKRKVDDDRMAQRFTPRTKYSHW SRVNVDKMALLEAAGRVREPGRIAFARRLEENTAQMSHERDVVLRDDFIERLRIAGPA NFYLAQRTPGYRRQVFHWIMTAKRPETQERRFQELMVSSAQGEDVKHFRRK PFICI_03360 MVASRHPLTSLSEDEITLSAKIVRGSHDAGTKLLFKGITLHEPS RKEMQQYRSQGQGGSYSPPRKAWVNYYLTGTTSFFEVIINLEAGKIESSAEVPAHFHG PADESEIIMVEKVALEDPGVKAEIEKLQVPEGTVIVCDPWIWGADGVADDARQYQCYM YMRDPNNSKEVDSNHYAFPLSFSPVVDATTMQVTKIDYLPTGAGLETKSTGPWRPTAA NEYIPELNEQRTDLKPLRVVQPEGASFTLEGDTIKWQKWEMRTSFNFREGMVLHEVSY DGRPLFYRVSLSDMTIPYADPRAPFHKKQAFDLGDVGAGIMANDLKLGCDCLGAIAYK DGLVADHNGCPVVKPNAMCIHEQDAGILWKHTNYRSGRPVVVRRRELVIQSIITVANY EYILAYIFDQAGEMSYEVRASGILSTQPIDAGVDVPYGTVVHEGVLGAYHQHILSLRV DPELDGDRGNSLVYEETSALPRHADNNPHGNGYVCKRTTLEKSGGYDLESKKNRVFMI ENPNKQNPVNGKNVAYKMHIPPVQPLLAEENSFHYKRADFADHSVYVTKYQEGELFAG GQYTNQNKHTSGVRKWAARNDDIANEDIVVWVQFGLQHVPRIEDFPVMPAEIVKVSFK PANFFTRNPAIDVPQSTQEFNKSVLLNGHQNGTKEVNGNGECTSCQ PFICI_03361 MKFLSMLALLVATVAAAPQSLDSLPLAGVIEEVGKDAQPGLEQL QDQLNTGDGKGAKDVLSGLLGGIGLGGLAR PFICI_03362 MAPATSATSLLERTATLALRATTTTAASTATATSINWGEQFAWP PSGKSLPFWLAIFIICPVIFVGISWIVWECKYGSPGNDDVLKALREKRKEMERRDKIP VYKPSAKAGPKITTAQIGAPKPVVIKG PFICI_03363 MGSDDIERGSKLAAEFHAEPDKGAVEVGRENIHHAIRPHESYEG GHRFDPYATWSAAEEKRVVRKTDIRLLGWLCIMFFGLQLDRGNLSNALADNFLDDLNI TSDDYNNGNTIQLMCFLGAEFPVQFLTKRYGFKFILPSMMIAWGMVSWAQCFIVNRAG FYVTRALIGLCEGGFIPGAILMATYFYTSRELSTRLAAFWSTLNIARVISALLAAGIL EMRGISGRPGWFWLFLLEGLLTVVLGITSILYLPLSPTQTTSVLCPRPWYTEKEEIIM INRVLRDDPAKGLTAVKEPATWKDIKAAWSDKSMWGLYFIGLIAYIPATPVQAYLTLT LKRIGFSTFASNMLTAPSAGLQIITMLALAWSSNHFNERAFHCFIGEFWLLPILTALL TIPDGGRDWARFSLITLASGYPYFHPLVASWLSENSFDVKKRAITAATYNVIVQIGSV VGNQIYRKWDAPYYKTGNKVCVSICALSLLTFLAQRQYLIYLNKQKEKVWGQMSLEER AAYQNDQEAREKDGNKRLDFRFQY PFICI_03364 MPSLSTDAALDFHIVGGHAESRTRLSQPLVYSGTLDGFQQNDIT PVIGREYFGLQVRDLLAWDDQHIKDLAVTISSRGVVFLRDQDVTPIEMKDFMIRLSTL AGSPSSSGLHIHPLTEEGSELGDQISVISSEKQKKGGGLTHVLSDVSRFASTGWHSDI TFEKVPSDYAMLKIHTLPKTGGDTLWASGYEIYDRLSEPMKKFLEGLTATHDANFFHD EAERLGNPLRKGIRGSPLNEGSDLKAVHPIIRTNPVTGWKSVFVNKGFTKRINGVTKD ESDVLLAYLLNLVTQNHDAQVRFKWNKNDLAIWDNRATFHCATYDYEAARAGDRVCSL GEAPYLDLESMSRRTALNE PFICI_03365 MTAGEKLRVTVVGAGIAGLCAAIALRRAGHIVHVYERSGLNNEV GAAIHIPPNATRALLAWGLDPVRAKLVTVKSSFRAKADTLERFHVGTSEASITETYGG PWFFAHRVDLHDELKTLATGSDGEGVPAVIHVRSEVTKYDPDTPSITLIDGSVIVSDV VVGADGIHTTAVEAVLGRVNEPVPSKDLYNFCYRFLIPTTEIENDPETRFWTEDDDGR MKFFVGDMKRIISYPCRNNEMHNFVALFHQDDFGMMKKEDWQASVDKSQLLATFTGLH PKLRAVIDKATEIKQWALLYREPIPTWIRGKIVLAGDACHPMLPHQGQGGAQGIEDSV ALGLVFCGASKKDIPDRLALYETIRRNRASLIQVFSNAGQDEPELIRKEASKYIPLEQ IPTTPEGFFDYNFGYDVIQDTVNHRKSVDPSFEVPKAFFHKVPGKGVYPKQAQHSDSP MESRDLAGPGIGKADRAVPQIQMVEVNDLGR PFICI_03366 METSSPSLVESGGVRVAIEGCGHGTLHAIYATVQNAARARGWTG VDLLIIGGDFQAVRNAADLTVMSVPVKYRELGDFPEYYSGHATAPIPTIFIGGNHEAS SHLWELYYGGWVAPNIYYLGFANVLRFGPIRIAGMSGIWKGFDYNKPHNERLPFNQDD IKGFYHVREIDVRKLLLLREQVDVGLSHDWPRAVERHGDERALWRMKPDFRQESLDGT LGNPAATYVMDRLRPAYWFSAHMHCKFTALKKYDAPAPVAPVPTQEAVQGAPAATDHV PTQAMEPAKEQELSKADNPDEIDLDMDDDDEGPSTSTEPAVAPTPAPAPNSNAVSDEL RAQLPASFAKRPEQPRGTPGQPVPSTIFNTETRFLALDKCMPGRKCVQLAYIKPITHS ESNSPDLCLQYDPEWLAINRVFHQYLKIGDRHAKNPTNEGEAAYNEIIDKERAWVDEN IVAKGKLVVPLNFAITAPPHKLGDPEKVQEQPEEYTSPQTAAYCELLGLENLWDATPE ERAARKAHGPPPEEPRRDNRGGGFRGGRGGRGGGRGGGGGFGRGHGGGRGRGRGRGRG PFICI_03367 MSSGIKILLDPIEKAATNSNKDEDAVDIVAIHGIGADPDNTWTG RGLDEEKINWLTHSSMLPKAVPNARIMRFGYESGWYGTAKDQPKQTYISDVAEMLLKQ LELHRRDTTRPIIFIAHSYGGLVLMQALRSSFENSNKWSNFFRRTAGLIFFGTPFRGR RGLSLDQIVKAVAQYNPDFEIYPETMALSVEANPYLQHIVDRYTETRRGQHPIPLWCF YETKPSPISKTLRNNDLKDGYLVPKESACLDISKGIERHPLERHHYNLQQFPGPSDPG YQAVEDAIVRLVKDAKKYLRESSVGSKQSHLMVPFGRNETFVGRNAILDALVERLTPD THPHDCQRTALEGLGGVGKTQIALEAAYRMHVANPDCSVFWVPAIDSTSFYKAYHDIG QVLGAKGLDDKDADVRALVHVELAREDAGPWLWIVDNADDPELLFGKQGILKLPFNRN GSILITTRNHDVAMQLDIQWPHLWIVGAMYRAESSELLSQGLGKNQVQDTTSTDALLD FLTDLPLAVKQASAYMAKTRVSTTRYLAHCESSDETLIKLLSKDFGDRSRYDAAQNPV ATTWLISFEHIKRDTPLAADYLRFISFLSMKNIPRSLLPGEDELETDDALGTLKSYAF IDERIDASRFDIHRLVQLAMRNQLAKDGEQVAWRTRVMKRVDEIYLHPKHENKKVWID YLPHAQAVLEICAEATDESTESHLLSKVGESSSLLGEYAAAETMHRKAYKLSKKLQGI SHPDTLATINDIAEALYHQGQYPEATIMHRKTLELRTEILGAEHLDTLVSMGNLAQVL FKEARYTEAQTLSEEALKMRTKILGADDPETLRSMNNLALILGRQGRYKDAEAMLRRA FELRTRVLGAEHPDTLVSMNDLASTLAAQGRFQEAQEIHEREFELSTKVLGAEHPDTV ISMNNVAMSLAAQGRWLEAEAMLRRTLDLRVKVAPEHPDTLVAMSNVGRSLNGQCRDA EAEAIHRRTHELRTKVLGADHPDTIVSLRNIAMSLAAQDKWQEAEVMYRTTLALRVKV LGADHPETRDSEQDLTNMLQKISPAKIPPPSC PFICI_03368 MAMELQAQWFSKTIKRCHDGVRAIESATGMRQFNHPHEKPDGAI EDWKDLDLISITRELSSFVSRFAFIKLQAETGEYLLEQMGRTTLSLKHEAHEAHEDQK RATAQSQTVTSPHHSFKPYTN PFICI_03369 MLRHRIRFLRGIGPRASVVRPQTLPKPNQQARLSHTNGSNDQEP HEIERLKKAIIESLTLHIAATSNRSEVRQSRWTLLLKWLKIYFCLAGAAHLAANYAYE PLRDHGIFDNVVDRTVFCAIPPDVLSSRIAADDAPYQPITQDNEIRLLILEPGVPGDE IRCYLVNVDISWGIRYEALSYAWGDATITRGLTCSGRVMEVHASLHDALSDLRHPTRR RRLWVDGLCINQADEAEKGQQVKLMGDIYSRARQVLIYLGKSDTSVEGAMQFIRRLDR VFMFTYFGNSEPAKDTLFRLKNPINMEEKDWEQIVHLLLRPWFRRTWVFQETVLPQHG QVICGDQSIPWAQLQRFVIAMGRYNAQVKPIPNYKLVEDAVNGVLLVKSARQVRHPKI AMPNFWPYPHRREGAPTHHDAQCDPKLLDLILESRSFMCTDPRDKVFGMLGVTGQNIR SEYIDPRYGYSMSAMDVFRRFVLWEIIHNGSLRVLGSSSDKAGSQDRSPSWVPDFTRL DSHYLLTGRIISDRQDASAYDKAHSDVPERYDASAGSSVQAWTSDQETVLHLKGLIVD ALHTVGQKSADLPNEDFSHKGYGGPLKLRREWYNRLQINKDMIKEAVDIWVAAMRRQT QGHGPFHDTEGIMALTRVAEQRGDEFRTPPSWRPFLQTLIGDPRSGGTAGDEYDIERI WSLVRLTLQGPLVPRWYLTERGYGVDGILPIFLSMTKSRRFAGTDMGLIGYVPFRAQK GDLVCILYGADVPFVLRKLADGRYSLVGECYMNGIMYGEALATTDAKDQDVVFTIV PFICI_03370 MSRDSMLGGAADPQAGAGPTTRRHRHRQGPSRSSTARASSVARA QLEPKLASLPDELLRHIFESVALISRRDLCAVSLVNKLWHELADEILYKKLQFKNPEQ HLVFSQSLSRRMRRGSAIQDVSLEYPTHELSHLRLDGHIHGSHYHPANFEALSRTIST MSNLEKLEISVPVTLLHGIGALFNGPFDLACLKSCTLFYQCPDDAYWDLRENIHIFAH PTLEALTIRRAKLDYRGFDFIEKPHETSLHILHLIECDISDDGLSDVLEFPKGLKEFV MTQLEEPMPELEESSDNMSDYIMALKSQAHSMESIIIDHPTLTGRKPARMRNFEVLKT LHLNWDYQLFGKSSKKPRLHSAGLAPELETLHFTHQLGTDEEVTELFEYTLQMAQHTN KKLKTVVVVEGDHGVPKEIVAACKAGDIKLDIIGAFDDYEDGNGLDTGPVAEKNTKWR PFICI_03371 MADHTPSKYITLVSADEFEFVVLREAAMISPMIKSMLEIRGSGT LLEAQTGRCTFPEISGQVLEKVVEYFHYWYKNRDREDVPDMDIPVELCLELLMAADYL QLDSKNNT PFICI_03372 MAYYQNRNSYYGHNEKHDIPRRPTTSGRTSERNTRSSEGTVSTV MSSSTGRESSGTHTTEAPAYSKKIVVVGDGGCGKTCLLISYSQGYFPEKYVPTVFENY ITYPTHPPSGKTVELALWDTAGQEEYDRLRPLSYPETDLIFVCFAIDCPNSLENVMDK WYPEVLHFCPYTPLILVGLKSDLRHKKTCIEMLKTQGLTPVTAEQGMAVARKMGAQYM ECSSKEMRGVDEIFDQAIITVVGNDRRNLEAAMAAADNSSSKPSHEKKNSVSQIRPFK KKKRNCIHL PFICI_03373 MVSSLLLTAALAAGAAAAPASSLPGHRKAGFTVNQVRAVNHVRH GPSAVAKTLLKFGKTPSDDLLATIKAFKSERLALSISKRTNGSAVTTPEQSDIEYLTP VSIGTPAQVLNLDFDSGSSDLWVFSSETQSSDVSGQSIYTPDDSSTSTLLDGETWDIS YGDGSSSSGTVYTDVVSVGGVSFDAQAVEIAETVSDSFTEDQNNDGLLGLAFSTLNTV SPTAQKTFFDNIRESLDSSLWTADLKNGEPGTYNFGWIDDSLYTGEIAYTDVDSSDGF WSFTADSWSVGDSSSSGSTSGTATSSAAAQATSSKTASYVASTSASPSSPSGSAGSSS GPGSGFGGGPGGSDGGRGGFGSDDSGFGGDLGELAEALSGLFGRDNKVARAGSASTTT TTLTGIADTGTTLAFLPADVCESYYSSVSGAQYSNSYGGYLFDCDADLPDFNFVISGT TITIPGDYMNYAAVDSAETSCYGGIQPDTDIGFSIFGDIALKAAFVVFKDDGSSTPQI GFANKNV PFICI_03374 MGIVQRWKASDLGQELTGPLLAVCSYSGLAGAGFGWDNCYWAGF LGMSQFAKDFGVYDAATGTYSIPASWQSAGSGAPTAGVALGCLFSGFVGQRLGRIKTF YLAAAIAIVGILIQVCSFGHFWQLMAGRIINSISMGLVCNVVPTYQSEVSPAKIRGSL INIYQFWQLVGATMACVANWGLQSRTDQWAYRPTLMIQFIIPIVLVAGGFFLPESPRW LVGRGKVEEARKVLHFLRRGTPIALIDEELNLLVQAEEQDHELYLHTSWADVFMGSNL RRTLISAGVQCLQQAQGSGFIVAYSVVFLQTIGVTNSFEILIYLYMVNCLSSVLAFHF VDRIGRRPLMIGGAILLSICMFVLAGLTGFHGSDPGAQKGALACLFIWQFVQAISWAS CVWIVCAEVPTLAVREKTVTVATFSGFSTSVLITFVSPFIQDTGYGNLQGKIAFVWGA GSIIAATWAFFVLPELKGRTLEELDELFQKRINVFEFGKYQTTGAGATLAVIEGMAHT VDDKTAELVIQEKGLDRKEGSM PFICI_03375 MAYRASVTEAKTRQPMDMVIWDTSGEVADLHKLKGVQNVDCVVL CMTVDSPDSIRDIEDIWMPKIRNLYPGRPLVLAALRIDLRDNYKTLQMLADAQDQPLS SAEGKRIMDRIGAASYFDCSVKCREGVQDLFHEVAFQGLQAKSDPRRSKNLWELTKRS FRRSWKFQAV PFICI_03376 MCEGMAKSPVRDARIRSIQSLQSCVRTSFDVVSSASTTLGANDT RSVLAPSDYMDIFPARSQSVMLRWLAETGNTTRYMNQSQALGSVYGEFDVIEDSDSEA EQEVEAIQLQLQKGKEHVQNGNSVTAAKFLAAGISKLKHHRSRYLDAERKLFMLDDLL QPLEEQQNWAEAKRIRLEKLSVLSYDMTTQSDRYLQETLGLVEFLLRAHDINEGRIYA RKCIKAYRKLGTDGLDGLKQALELMITACQLEQDEPEEEVYKAMLAQLDINLGSDKTA VEASEPVLPAEFDASSTEIPNYSAEEREKAKSFLPRFPSLPSLLSQSAIEEFLQTDQA VTVTDKVRNIFRNTVPPSEAIPFALNDRKTEQDSITTGTTDEITDDYQSIDDSSTVTT SSSCTDTKDKETGSQSQRVDPYLDLQDSLSGCIGLKRDRQPDFNTNAGSSSNQCIKVT TPSIQIEGSPSQEDGNPTETDVRSIYELDASTTAMDNANSDTHHGPSRTSSVHIQRAF SWEDDNRREKDMFSVHIPDKANTTIEEPRSVADIGLDTYFESSTLSTWISAMHNAPSS EPLEPSAQPLPFSRETESPTATRLPLIDTEAGCDRNDTCSRKIIPNPMNCAQLTIFLI TEDQVFKDDEKGVTNETDSIQPKARVTTVILGPSCSGKSSLIQ PFICI_03377 METQASIMLGRPAGIPADDVDTEFPSDGGETQDSSSGIEHLSTG SPESSTTNLKRAIHTFRVRHLLSQIHSFVYSKSPACCFSKERHDRHIQHLRNKLEAWK SSIPAITCTTDPPMSLFGTSDWFALEYDYAILQLYRVKMVDPQADPGDPVFLECMGAA ERICHHYRRQFPGGPASFTWVALHELFLAGLTYVHCLWASPAVRNAQRHGQVRDTCTN CTIVLVVMAERWSAVAPYRDLFEILANRTIRLIETDEHDQMDPIDIPTITGGQENEEA ENWAHCMLPVFEAGMSNGYNDLLSRWTVDFALSN PFICI_03378 MKFLACLAEDPRTKRLFPRNYLETLEHRVAFLEGLLKQYRPDLA SDHLGEDATVNCQHPGLPSGPSSSVVEPEDLGAIRQFAPLQVSAVEERDGLDELASKV GVLSLNAAGAEPHYLGSSSMFVFSQMINPSLRQNILNSAYKADALAQYREGDLVAAPA PCPLPKPETAIRLSDAYFQNIHKQYPFLHEPTFRAWEAKYVGEYSPCNTIAATDRLPL FFLNVVCYNPI PFICI_03379 MEQNRSGFPLTYGASVSDWLVFAQNDPLLYHRSSSQLPSNADIV IIGSGMTGTLVAKHCIEAWPDKKIVVLEARGFCSGATGRNAGHCKPDQYRGFTDFKER FGTEQSLKSNARPSGSCYDSKACYAWPAATLNPWKLAAHVMRESLKRGVNLQTRTEVS RVVHATNAYIAALEPSLRGIISPCPHICNKVQPPVTLTEPTALKHSYGVVLPEGGLYT INPQIPTKGPLLFGGSNPGQAEFGNWIRDHPERSVNDSLRSFSSVSAALEEFTNSQML GWQASGKVEGGHYQQGWSGIIALVSDHIEKGRSSSNSHVECRQRTICRTNTRPSRPVG LRWSPRTVSSLSFPQQ PFICI_03380 MRRNVDDQASESAGLWPPGTVRLEKLHGSDEAELILQPRPSRDP NDPLKWPEWRKYLNFGLVIFYVAMVAEFINAATPTWDPMNEELGYSFELLNDSYAAGC ASLAVGSLLLIPFALKFGRRPMYLFSTALQFGLGIWSARMQTAADLMLINVLQCFFGS LAEVIVQMTIADVFFVHQRGRMNAIYVWVWLLASYLGILIAGFIAKRQGWRWIWWWNA IIFGVSMVAFFFAYEETKYSPPEPISTPSLETTTATATGNTEHTDKETISKATICGSQ ITAHSPEDGIDHAIPRKTYFQRLAITTTTTSATSSTTTFLKHMYQPLVLLGTVPAIAY ASLVYGVLVGLGDVMSTMLSTFLTKAPYDFTSDQIGLMSLPRMIGVTIGFLIIGPISD WWIVFLSRRRGGIYEPETRLWCAVPFLLFVPVGALMFGIGLSNHLRWPIIAVGLALYN VGVTPINSIVITYLTDSYTDIIGPALVAVTVVRNTFSTIFIFALTPWIAKVGIKWVLV TILLIAGLILALFGVFIRYGKYFRERSAKSYQYYANRQYKERVDK PFICI_03381 MTIDPIIEAAFGPAPANVDLTESLVLTNDVVAIILLVVAALAVF LRLLVRRLSRAGLGWDDWAIIVALIFNVGAVGMVAATGAYGSGKHIWAVTSTELVMAA KILFFYTFVLGFCLSFNKLSILLLYRRIFDSQVDKNDVFFGISLWTAAVFTVTIPFVL IIVMVCACQPTSHYWNQFGGASGTCTVETGTFFWTYGSINAFMDFLILITPIPSILRL QMSKKKRVAVCGMMLLGSFVFIVSIIRAVKMYKFAHSIDMTWLMGEICVWSSIEPSVG IVSACLPNLRPIFGKLRGAVSSKSSRNTYIANNSMGSRGNWSQKPGSTVAKNHGSVYK REDEVQLTNLADSSNEDVNQQSIREHC PFICI_03382 MVFFTVIILAVLIGLGYHFNNRLGEWQQLRHIPGPFWARFTHLW IIRHILRGRYIDKMLELHVQYGPVVVLAPDVVSVSDPAEIKNIGKVRSAWGRAKGYQA LRFAPGPAGDSILSMRDDKAHARMRAKLMPGYAGKTVEGVEQMVDRHVLQLANIIDTK YITTPGAYKPIDFSQLAQYFTIDIITSFAFQESFKCLERNADFHGYLDAVSKAVAPLL SFAYLPAYQKLMSTPALGAIFPEGGFFPKVFEMARRQVGQRYGQEAYKLKENNDVLGT WVSAGLEQKELENETVAQLTAGGETTSTGIRAVLLYLITSPRAYRALQREIDESLKSE RVRSSPLSKEEATKLPYLQAVMKEGLRLIAPAAFFPKSSTKDETICGYKIPAGVSVEP AYKPALRAKDIFGEDASFFRPERWIEATEEQLAMMDETFRFVFGGPSKWECLGKDLAF MQMHKIIFELFRKFDVTLVDPATPWKAASTRIWGIEDFNVQFTRREQAQ PFICI_03383 MGINLREYAKFVRRVLVIRHWLWRLVLLLPSVVGIVLTVIGFSP PERIDEGNSRLYVAGPGLILAGLVFGGAGIRLIIPYPGRPFPVAYDDFRPHNTEASQV DDLMGGVIHREATDPIDMAYGLWSVLQKRGAHNPPLATRTTPIGELYWILAQELIQIT ASLDFLWIAARYGQAEPGYPSWVPDWSAGKDDKKRYYGIGPVGWRLLSQEAKRRQKQR ERGNQVLSLDPTGRVLTVRALDFGSVYDCFSFHKTSATNDDNNKILNFENLITDKLLH MENLRTMVSYWRWCVDHWQHPSFIFDGAELLESINREVTLSPWVKTLRSRWRRESLEK LFRSWLTGRVDSPTWFLRFLSIHLKICELVSHRDVRIITLKIWSSKSYMFNVPKAQIG DRVIRVWGLPHFLLVRKLAASSNSATLVGTIETSSTRQVLKQGLNELWGTYTVSEYSE YQIL PFICI_03384 MSSMKKAVHLAFCLAWVAISASGADDIDAGHQVPLQGETAADAH TRQHPELPVLGYVTPWHSRGKQLVEEYRSSFDIVSPVWYTVHPTQDDAEVYTVRGGPP DKDDEEWYLRLQKPSPAGSKPLQIVPRFFLDGWMQDDFRQLVFNETRWSGLASAILRV VEDMSFDGVVFESAVSHALHGPLSELAQRLWDKEKTMVLVLPPTRKHDESLNDISEQT VTLLADVVDYFSVMTYDMTGPGGNEWEEPFPASSPLHAAQQQHKVRVPGPNTSAAWIR HNIMALSKQEYDGQQEFSNLQSRDASKKVGLGKLLIGLPLYGYKYPVLFADKDKGRII QGSDGEEDELCILRGPGEAVVMSQIEELIEEHQPELQRDDDDEPEYYFDYMEDGGSWR LYLPTAKSMAKSLKAISQSSESLGSACGVALWEVGQSSTELLLTL PFICI_03385 MDTTPPLAASPMTEDVLQQIFSSDQDMYPAPLTYARLQSWVTAS PQLSQSFYLLDQPGSKENRKRDVVAGVLIALPLREAPWQDLLVGKLRETDIDANSMIA PKDVAGCAVGLHVFHVERLSEHAKGFTRFSIGYADMVAKAIGWNVLGCSALTATKDGA NTFEKLGFQPTGYEEFWIQKSDEISLVPLYPGHDRSSVLAEQDKSSIKGQARMSVKFA EQGITNP PFICI_03386 MPRSSVPKPGPAKLSANAGLDEWLEEAKQCHYLPESVMKQLCEI VKEVLMEESNIQPVVTPVTVCGDIHGQFYDLLELFRVAGGMPGETALEAPKSTKPIIS ADMIEPPTEITNPRLRKKIKMRRNSKGESIETEAADDDDDDHSPDPAAQSASRPTSQE VTPSVNNPNMRFVFLGDFVDRGYFSLETFTLLMCLKAKYPDRIILVRGNHESRQITQV YGFYEECQQKYGNASVWKACCQVFDFLVLAAIVDGEVLCVHGGLSPEIRTIDQIRVVA RAQEIPHEGAFCDLVWSDPEDIDTWAISPRGAGWLFGDKVATEFNHVNGLKLIARAHQ LVNEGYKFHFPQNSVVTVWSAPNYCYRCGNVASIMTVDKNLDPKFSIFSAVPDDMRHV PAGRRGPSDYFL PFICI_03387 MATAARTQNGVTTGSNATGEGGRKSKAQPTGQKLMIRHLPPLIT EEEVQAILGEEWMIGNGKVDWAQFHAGKVSKSAFKEPRPSMYTLHVVKEEDVSVLKDF ILRATWHDAKNSHTMLNFQVHAQNVSTRVPIAKHRVDPRQGTIDQDPEFQAFLHDLTQ PKESQPKDIENGDQLTSSPEEPGKESKISHLVRYIQEKKAAKAKEAAAAKTARHSRQE SQSNKTKTGDDSKKKSKEKSGADKPDKEKEKEKTKEPTPKILTKKAAATQASTAEASK QQTGQTAAAKPAEEAAPKSRRANIAQAAKILQRDLGLSPGSAHRRARQNAAKAEGAST TEPPTGTSTEKTVEETKEVKETKEPKESKEPEAPKSAPTGPKAQGGEGSRRSRNRGKG AASAANTTESAKGKASDGAAAKPTTGPVILLKKESSKKEDTASGAASSPTTPATTSTP TNSTSTSTAAPPTGPKAGAGKGNSGKSNNQPQQKKAAAQPTSGAVRAFVKHANPSQGI TEPLLKQAMEAFGSVTFVEIDKRKGFAYVDFGDAESLRKAISASPISIAQGTVQVLER KDKKPAAPTNAATSTPAAQPSASAEKAAADSQTPTPATASASTDRPKRGNRGRGRRGG AGAASANATSAANTTTSNDTAPVANPPATG PFICI_03388 MDFADVLTCIYVQDPSYFKGKTPLYTGTIDDLNFSTDTDGMLLN LGAVPINASQIAVVAQGYFRAKHTGSYYIGSTGFSTDDWAFMWTGKKAFQDWDLDNRD GEAFGHRLVVNEDMGVQLNNLDEGQLVPFTYLWINYLGAGQSNFFIDDALSFDLSFDN QGWFIWDCDPNAFTI PFICI_03389 MQVARAICAVAALAGSASSRATNTSTPKQPLLADGIVNLGIATD AYNKAKAFVALLNNEQKIKIIGGQSFDDGNVSWSGYSGQDGINSVNNQAYVSGFSTAA AVGMTWNPDLAEAQYKALGEEFYGVGSNLINAGVSSPNGRIAWSGRLGESISPEPYLS GIIEARTVLGFNSAGVIPVGRHFAVDEQETNREVGGYSSNLDEKTLMELYLWPFADSV EAGMMGVMCSLNGVNGEVACENDALLNGYLKTKLGFPGLVSPDVAAQQTALGSANAGL DYGSSQYWSQATILAGIASGNLTQARLDDMATRVVIGYYYVNLDNGQQPAKVSGSEYR NVRGNHSTVIKQVARESIVLLKNNNGGTRNGFTTGLPIQKPHSIGLFGAHAGPVMAGP NEVFSVTGSPAQIYPGHLAGITGSGEDSFPYVVDPYVALSIRAIDDGTSINWIFNNTY TSPYTIGIPGGGSGGGNGSTPGGPGGGGGGGGFPGGPGGGGGGGGGGGGGGVPTFPGG FGTGGTASFAGYAGASDVCLVFINAWSGEGADRTELSNPGQDAMVNTVADSCSNTIVV ANVAGPRVLDAWIEHPNITAVLYSALLGQDSGNAIVDVLYGDVNPSGKLTYTIAKNET DYIPICLELECEFDEGVYIDYRYFDKNNASVRYPFGHGLSYTTFTYSEDVSVTITNQT ALSSKYPTEPIGLGGEADLFEDVITVETSVQNSGSVNGAETVQLYVSFPAEAEQPVRV LRGFNKVSIEAGQSADISFNLRRRDISYWDTDAEQWAIASGEYTFSVAASSRDIRSTT TLEL PFICI_03390 MELSLVPFSTLSLPLAAIVLPVFAVILLCYLNFFYVDCAKINGI PEIPGGELLAGHLYQLGNDHATTAETWAAKYGWPVFQLRMGRRRAVFLNSFGAAREWL VKNQSSTVDRPWFHTFHGVVSKTSGMQSIEFVLIYGQVLTSDQAATIGTSPWNDRTKK QRRVVGSFTTGPAMQKMRDMLDVETCAVISGLLHNGKQGSVNIIPHMYYKRMALNLMT MFCYDFRFNSVHDPLLLQILQDAKTIASFRSTNSNPQDFIPHLRYTGRSSRTEIAAEV RARRDKWLAAMLDEISGRLKSDHSSSKKTIGRKCVAELLLEDSQEGLTQLDIKTILGG LMSGGFETVFSTAIIATGVLSTPQGQEIQQRAFDDILSVYDSPEQAFELCVTEEKSPY VTALVKETLRCYPPHKILPARQVYRDFEYNGVTVPKGVLIYVNNQAVNFDEEAYGADA REFRPERWIKAAHEIPNPNHFAFGAGARMCTAVNFSNRVLYAVLLRLILSFKIRQSRD MPPNVDFIDYKDDPAASNAVASDFKVTCEPRDEDVLRRCLEKTRNQSSESGRQDAEVF LK PFICI_03391 MEPSYERPESDKDSIADKKSLDKQDKDVGAVELKPVGSCVVGQT TEYTEADYKKLTKKIDWYLIPIMFFMYGIQQTDKTSISIQALFGMEDDLRFEGQQYQW LTTIFYLTYMIGEFPSTWLMQRFKIGRIITIYIVGWSICLLCISACNSWSQMMALRAL QGFFESNVSPGFLLITGAYYRTTEHAHRSLFWQSSQGFFSIVCNLILYGIARYVTGRD GIAAWRCISLFLGGLTLAGAIVCYFILGSPQEVGWLSQEEKKMAVARVMENQLGEEST SGKWKWDQVWECFRDPQVYFGFINTFLSCIPNGGITAFSNLLYVTFGFDSWQSMLYSL PRSAVYIILFISCAYYLQAYQNQRMYIMMLSCIISFVGLLVMSLLPNTAEYKWVKWGM FLMTTVFSFAIFLGWSLITSNVGGTTKRTVVSSLTLISYCVANMIGAQIFRTKDAPRY VAGTATCCACFGLEVFVIILWRLWYVRENKRRDRLAAENNLSKEDQEREGQMLGDQDV TDLRNPHFRYTKNWIFCRL PFICI_03392 MASRQFPVPNSTASFWRTDLQEFDKHRSTPELPAETDVVIIGAG FSGAALAYYIYQDNPTPPSVLILEAREACSGATARNGGHLKPDVYFGIPKHIKKHGAK AAVEFANFGASQVYAVKELVEKEKIDCDFTLTRACDATLDEGLARETEEAFKQLSASG VASLKDIHYTGRKDAERVSGVKGALGAFTFTAAHVWPYKLVMHLLKISLGKGANLQTY TPVTCISENPLPDGRWLVTTERGVVRAKKVILATNGYTANLAPQFRDHIVPVRGICSR IVVPKGSAAPFLPQTYSIRHGPGVYDYLIPRNDGSIIVGGAKSEFWDDLSQWYNVADD SKLIEPAKHYFDNLMQRTFMGWENSGAHVDKIWTGIMGYSSDWMPHVGDVPGKPGQMI MAGFSGFGMPLILLTAKGIVQMLRERKKFEQTGVPTLFKATQERLDSTWSDILGREIE ARPKL PFICI_03393 MAASDNKHVVFDVVGTCVSYEAFYEAIEARIGDRLRELNIGSRI FGYAWMEAGEKEYTYLSLSGNYKVYWTVFRGIFYRTLWQAGVKEPRKLCTDEDREFLL AAYKTLKPRAGLTECFAKLRDAGFTVWALTSGDVSRVTGYFSAAGIDFPKANFVSCDE IGVGKPSPACYKYVLDKFPAENRVTWFAAGHMWDAAAARKSGFKGAWVSEWELEQCED VFGEMDVVADSLPALADGIVAASTKA PFICI_03394 MSIVLVTGGSGFIAAHIIRTLLQNSYTVVTTVRSPAKGNNVLTS HADISNGKLSYLVVSDITNPKAFEHVFRRGIPFSAVFHTASPFHDNFVDPVEGLLKPA ISGTKNILHAIKAYAPTCKRVVITSSFAAIVNPNRPPKIYDESSWNPVSWDEAVTDRT KAYRGSKTFAERAGWEFVQAEKPGFDLVTINPPLVYGPVVHHLSTLNNLNTSNQRIRD FIQGRYSMQALPPTGTFLWVDVRDVALAHMRAIQVPEAGNKRFFVVAGHCSNKRICDV IRQTHPKLSSLLPDNAIDDFPRDVYGYNNARAQDILGVKFRPLEECIKDTAASLLDLG A PFICI_03395 MAPKALFSLLNILSRMQTGLTSDSPTSAANITVDVSQTFQTIRG LGYSEAFQRAQWVYNTMSDERQQEMFDLLHDPVTGAGFSILRIGIGSSVDSSGDHMNS IQPLSPGTPDAAASYVWDRNDSSQVWWAHEAQKRGVNLFYGNSWGADAYMKSNNNTDN GGYLCGVNGTDCATGDWRQQYADKLVQWVKYYADEGINITHLGPLNEPDISVTYASME ADGYQTTDMLKVLAPTMEEAGLGDVQITCCDWAGWENGREILAQMQESGGEELTGVIS SHVYGQPLGDPFDTDKEVWQTEWAELSSYFDQPWYSVEGDTRGTYGGGEGLTWANRIL QGFTVANVSAFINWMGAQPSNAATPLIRTINDTNIISKRYYAYAAFGRYARPGSERVY ASSSVANITVAAFKSTEGFASGGNLALQIINNADDDASVPITVENITQVNNATAYLVN NDYDLAESSDLLEYDGASLTATVPARSLVVVAIDST PFICI_03396 MLVDILSHHATTLRVLRIRQMGTEAFDEFNINHFTALDTLEICS SSLLPTAHVACESWALANLKRLVMNCSASSSRHGPQSYFIERIQEWLKLFASAVFHRK KSCQVALQEIEILWYTDSFHHSLPLPDERRRMEDVRLHIEECGLQAILTFQDRYPPIE DDEGYEDEF PFICI_03397 MAQQGLIFQVPNEILLKIFNEIVLPEAPYQLTWPLALTCKRFYT LAQPIVWSHVTFQNDDWDQDPLWKQPGHKARVRSQRTRECLKIAPHCVSYAKRVSLLG RGEIGFKNAIDVVQDFPNLKRLDIESVRNISRIWDESSRRGDRYVVEDPKIAAPPPGL EGSAGFDELHVSRFKFGSPDALRNFLLWPKSLTVFSIDELASGGLTWDYL PFICI_03398 MYNPYTTEAWIEYAISLLIIIIRIGYRCSVVGWDWAGDDYIAAF AVPLWTGVLCMLQMISEYGSITGMTDAKALTLTDDEIKRIEIGSKCLLAGWCLYVTMI FCMKGCMLFVYQRLTFQLEQQRLVKIASVMTVLAYIATIMVCLTRCMPFHKNWQVYPY PGDACALNVPNYLALIVTNVTTDLVILYIPMPLLWAVKMPLRRKVLCGFWLSLGFFII IAALLRCILCLSDPTSISLGTIWSIRETFVAILAVNIPVLKPLIFWVTTFLDDLLSSQ RSKRDRSKVTPYNLGSGVSGLPLGPMDRRSERRSNVLKSRNGNGWTTVGGGGSEERIV DDNHLPVLVPHESHDKETSINSSEQDRKTADDGQIWVVNTFSISDNKDKSLKP PFICI_03399 MDETVPIPTLMAALNPTGNALQFVLGFGAFCAKHTCAQSNCAER TFNGGKFCALHTCRYQGGCGAEVEDQKRYCAAHMCQSRNCNGEVIANGQFCVAHTCHA PGCTAEAQAKGRYAGWCHYHEEESSDSDTSDYCCSSSSSDEDDNGWGNPPWPGWPGFA TGGSRHHRRGRRRRRRSRRGAVPGFP PFICI_03400 MPGLFQSFFEALQKSNHRHGVGPPPGTRLFGKSGSKKVSKDDSK KGYKTWHFCAYKGCKSQSEAYAKGRNYGFLASPYCSDHACRHWDHGQPCKNPTKRDEP LCDIHGCVKDGCKNQKEIKSASLNSKYCLKHTCVEADCLEMSDSALNRCEKHTCAFAT CLEPVTPGDDAVTKYCARHRQCKAATCNQDVQLSDGRGPLPYCKDHYCAFGHDCDEPR HGTSTACEKHICRAKSTNAPGSRLRQPSPDIAFTISAASIYRQPIVLARETNRKEAIA TSMNGVLRLVVIISAL PFICI_03401 MDELSAAIEAAAAAAAASSQEPPEQPKQPDHPEQQEQQEPPKSP EVKMEIKPEPVSPEVAPPSPMKRARSPNEDAETSNEKRQKIDVDDQSLSKSDPLPLPD LGIEPTTELDPTPVADTEPMTDIDHDNVADMDEITKMIQAAQEAALADQVSQDHPASD FHTDVNGIGGDEDHAHHDLDLNSIMASITNGLETGGDLDTNGDLLNHDSGNDSLTLPD LSASLQATPKKTTIWSNRAQYTRQTYILPTLGKVAVDILIALSEQPLEDTITALNDPD NSTVGKEYVLLRSFFDSLRKQLSEDFPLLYPDQLDISSPEDREIIRIANLATSCASMF GANELGWPDLNTSFLSTFVAGGQQMATEEADLYLGLKTQMFLTLLESEQSKPRHQILE ELFVTGQEDSLKKHHPDLPLAQSESKFLADAETRKAMLLNESHDQNSILALSQQYTYE AFLDELSTYLDKHMGNIEKLGSSPTSVSADAESAGLFDGVNGLDSFDIDAAIAEAAKA AQEAVGTNGTANNVVTEDLAALISYASEQAQGSGSTEMSPAVLSTSESAMRATNLALQ TMQRNQYHPTTVQQNTNGTSTSQHVSHQPQQQAAQQPAQQQQYYAYSQQANGNVQQAQ GSDRVELPPGQHASSEILYERARQAAAARSSTHARREGSHSTRRPWSPEEEKALMMGL DMVKGPHWSQILSLFGQQGRMSTILADRTQVQLKDKARNLKLFFLKTNSEMPYYLQCV TGELKTRAPTQAARKEAEERARLTSDPDDQARVSATMALGSLHNGNPARPQPKPSPSK PGVPGQVVHHSHAHPTMSHAAQLQRTASGHVPAHSPAHSVHNSQHIQLAQAGQKAHVS GQPAHPHHMQQVAPRPPHHAQNQVPLKPQPSQQPSLQPQNSQQQTLHNHQRPAAPAHG QTPLHQQHQRLQHSGQPQTQRPLQQQPQQPPRQVQPQPQQHVSHTPLQQQQQKPIQPQ PAQHQPQHQPQHQPQPHPLLQHQPASAAHAQPQTHAQPQVQQAQPAQLQAQQQAQTQS QPQAPAPAPPKPEDHGIKHEPLNEEEAGLLELKRFMSQENSAESQDQSIEAALLAASA AVAAQPTS PFICI_03402 MGIDVADPEMKTTEQHNKSPDGNNKSGDHMADLHHAPDTGLEPD WKTIDKNARFGIDRAQTYDVKGERIHERKTHLVCAAVAASEVIDGKLSNRRWLGSYTP QYVKTAGHGPLNPGVRSNIAFTNAEYGYGIFEIENVFRQPGETGYVAPFSKTSLSTKL AAKPVAKPMTGSEFETYCKATGLHEDSTDAYTAVFKITIHITTSGARYVEHRPAILRH TRLNHVGWDTVRQETRQSATEFSGKELLIEMFFPGTGRLPALLFRDLESTIAKSKKNP YERLVTKVSRSRTFKSMRDMPSVLECGFVMPDAPVVAFSGVDEQSVRLGIALRDEYQF EESLMALAEKRPCNTLALRLKGHQVKGVDGGFLFIFVDPPADLVPEIGERFLVRLDNV PFAQPDSPTIALTDAQRCETIATCITETCEVARSLARMDDNLFLGYIYDALDQVVNDD EQRDEFMEFQARKLMPRKGTPATDTEAATPSEHPSDHRTRVRDWVKDKFSHGLLKTPD KILDRCPDMPAVRIVAPSWLEPLEVVVAYVRAPKVPEWPKNIKPTPVIDVKFPRISIQ PSVYETVRTARKHMKNLKFTTRFIRRAHDGQMNAGLHALDLAGKDTWELSEAHDATFA RLIAKGGGPTIDYNVLEALPLLNEFMAHVKSETVPTDPVLKACLAIYKKLDADQKLIF DDLTALPFGIRIVSGGPGSGKNFVVQLFMAIAQHSEVVEDVVNGWLNTTVPLPAQRTT TNDRSSDGAWVTQQPTLSADDKASWDTARREIKSSKETLDFGDHMSDPDAYSARHQGK LVILAPSNKLLDKIAIDLRDLYAELKLKKRICRVLMPGTASSDMEHRIRSEPPVSPYD EDIPEEGDDIFETDIYLGQLSKECLDQHRFIDPPGGDFAVSALVAKRITNPVHGDGLA EYVTDLEAERSSDPDNFYLTCIKILRGLLKKARNEEYLAADVLLSTPEAWFNLSCQKL PMKITALWIDESFRMTEPEALIGLQHAHQAKVRFMTGDNEQHKPIVKSIDAHKSKDDD RVFCAQFGSQLGLALPSRMMAAGYEHIILNHNHRAQGGVSEFPNE PFICI_03403 MTLSIELKTPITGAYQQPTGLWINNEWVEGVDKKTFEVINPSTE EVICSVAEATEKDVDVAVAAARKAFEGPWKQVNPLERARLLNKLADIAEKNTDLLAAV ESLDNGKSINMARGDVGAVIACLRYYAGWADKITGETLDLNPETFAYTKSEPIGVCAQ IIPWNFPLLMFAWKIGPALATGNTIVMKTAEQTPLSALVFANFVKEAGFPPGVLNVIS GFGKVAGAALSSHMDVDKIAFTGSTLIGRTIMKAAADSNLKKVTLELGGKSPNIVFDD ADIEQAISWVNFGIYYNHGQCCCAGSRVYVQEGIYDKFVEAFKKRALANSVGDPFDEK TFQGPQVSQLQYDRIMGYIDEGKKAGAKIETGGERHGDKGYFIQPTIFSGVTPDMKIM QEEIFGPVCTIAKFKTEEEVIKSGNATTYGLAAAVHTKDLNTAIRVSNALKAGTVWVN SYNMLDIRMPFGGYKESGIGRELGRLALANYTQSKSVSIRLGGAIF PFICI_03404 MMISANIFKTIALMKLASVAALPQVVVQQGTYQGKYVAGYDQDL FLGIPYAQPPVGDLRFQNPQPLNATFDGVQNATEYADSCVGYGNSAAWPFTLGEDCLT LNVVRPSGSLGNGLLPVGVFIHGGGWTMDYSANGVYNMSWMVSQSVKMNKPIIGVSLD YRLSAWGFLGSQEALDAGIGNMGLKDQRVALRYIKDNIKAFGGDPKKITIFGESAGGG SVGFQALAYDGQDESLYRGIISQSGADGSAMKSLETRNAAYHNLTIATGCDEAADTLA CLREAPFEVLNSAIVNNTSGGFGPMVDGEFLTDYPSVLLQDGKFTRTPMLAGTNSDEG TLFGGSTIDTEEELAASLVNAGFDNEAVKIMLALYPDIDALTLPAGYQTPLDGSVGRQ FKRYVTIQTDNGFLSWRRRRSDAYYEYDMPFYSYHFDGPISARETSPPLGSSHFAEVA YVFYNLEGQGYAENAGIFPTSNQDLNDLANLMSRMWVSFINDLNPNNHGIVGVKEWPL YNNTGGFGQNFLFVPGNSHAEPDTFRLAGTTYINTISKQLGR PFICI_03405 MDEKIRTDIAQAERNAPEGERSHERRYWHEITAIVISDSDGAGF NNRRWLVPYEPRYIKSAASHHDGSGPRTMVNVATAEFGYGKLDIDYILPKDITIVGYD DTFANARLCPKSASQPVLELLNAEKYESFCREYGLHTKSDDVYSDACKITFLMKAADF DYKQGPMVTMASHEQRVNFKRVLRQSRKLGTTFPTKHILIECFLPSYRGDNPTLARRL SATVARARSDPYRFFFEKVPSKRVFKSADDMPSTQECAFVEPDLPVDSFDSVMEQTAR LGIAMRDELAFTRLSMLLARQRACHTFAFELPGHRINGVTAGYFFVWPAPDLELAPQV GERFAVQLDNIPFRHPKPPTPESTGRQKRKIIDHIVESCIVARFIAQKSGRAKTDHII DALDKVVKPGPRDLRDKWINVHALALMFKEGAAKSGIKPAEPTETPTAHHSRVAAWVG AMFGRKFLHAKGLNQCPNLTAVRVTVPSWMEPLGVIGAYVRAPTVPDWPMHKTPVPVV EFKLPCVVIGSDPTETIRTVRRNRDKHVFISRFVRTAHGGQLKAGLRALSRSGQDFSE SSGTNADAFARYIAKVKGDVIPYDLTAALPLLKDFLDHAESDTTPHDPVLKAALGIYH KFDADQKAMYNRTRNLPFGTCIVSGAPGSGKNHVTQLLMAIIQHSTVDDFVVWNWTET KVSAPGAPDNVAEHRSSSKRPPSASSEESRVSPEATSAHIEQAIHEEAPQSQSSCMPS HSQLLIMAPSNDMLDKIADDMSALYYNLGLQKRLCRVQTPDNAHEYMTHCMNLNGTPR YDVEDHGEDAFETEVYLRTLSKDCFNNHRVKTAPGGEYAISALVAKRIEIAAPDDDLV AQIKTLQDQREHNPETFFETGIAKLRQLLQSVSDEEYLKAHVLLSTPEAFYKLAVREV KLRITAVWQDEAFRMTETEALIGLQFARQAFVRFMTGDDNQCRPVVTSVNAHENKNTN LVFCAQFGEQLQLSLPSRMIKAGFRAHYLNINHRARGGVSNFPSGQYYEGKMVEADRD TPVEQERVKRFFTAIGRRPCGIGPAMVINLPGSMEERVGTSYINRGSIDVIFELLMLI FGANQLCMTNPTADKDGELISVLIVFPYKAQLMMFRRELAKIAPSELPMHKIKSGCIQ AIQGMKAGLVIYDGTRDASPGFTGDSKLHNTALTRAVFGYIAIQSDQLWLRNDGHNPR SGRVRNIVALHEYCSERDRVVDLQPRFQDHMSPRDPRDGDWTVNMSSVVPAGTELPED FKGFSPGCFYKS PFICI_03406 MPTADYIRVRTGRPPEFIRSNTFSHRHHHRHRRCHDDCCGVSRT EYNNLVEQNHSLVTENAKLSKEKDALKKELCQAENANRAWSAEAERLRDINGRLSSDN DILRDENDRLRRSISSEDDHIKGFKRRIKVLEREAREQERMTRAEIEDLTENLAKSND VAHQWKRKCEEWMAKYDKLKRTLYDYEVTIAEQKDKIDHLKHQLRRYWW PFICI_03407 MHDGRERTVRFRESDSSSRPSPPSRDSGLGSSTDQTYIRGRSDR FFTAQDHDTQRFNVGALQEALDSCREEKGKYKSKAHDLDAQLNACKSTLREKELENRS LREENSTLRHQRDTFESEVHDLRRRLAPSEPDYMMSGGSGESSNGLGRTRSKRQDGQD QKNRLRERINMVNEPAPSNRDSIRGRRLSMSHTTAPYPRDTVDSRPSRGPPLTTRGFQ HIDATNNNYTTSAPVGSPTTFRTESLSSPRSTTSTLNTFMTGGDYVPEPLPPKPKVDS KSSSSRKTRH PFICI_03408 MSQRGSFANSIRAIKRRPTPDELDGRGRRPNEPWHLNTLQTHLV AASGEFVGTFFFLFFGYAGHLMVIDQVAVDAGTAAPVATPGIIQTMFVGYAYGFSLLV TVLAFYRISGGLFNPAVTLGLCAAGGLPWIRAVFLIPTQLIASMCAGGVVEALFPGRI SAVNTKLGPHVNTAQGLFAEMFFTSYLVFVILMLAVEKSRVTFVAPIGIGLALFVAEI PGVYYTGGSLNPARSFGCAVAGRSFPGYHWIYWLGPAMGGLLAAGYYRFVKMAHYEEA NPGQDAPVADEQA PFICI_03409 MLSHFLLLALPLQALAQVTGTATGFATGVTGGGDATPAIPSDTA ELEEWLTDDTPRVIMLDKTYDFLEAEGTATETGCVPSSNTCGSSGQNALDGPNWCSDD YESIEVTYYVAPTTPIDIKGNKSIVGVGDKGVIRGKGIRLVSDATNVIIQNVHFTELN PQYIWGGDAIQMSGTDLVWIDHCKFSLIGRQMIVSGYETAGRVTISNNEFDGETSWSA SCNGEHYWTMLFLGAGDQITLANNYIHDVSGRSPKLGSDTAVTMHAVNNYWLDSDGHN FDIDGANVLMEGNVFDNCKTPILEVVGNLYNVPDSSAASGCSSTLGRACVQNSLTSSG DFDSYTDSGALSAFASVDNLQEAMAVDEVAAYVLANAGIGKLSGSASTSTAAAATSTK AAVATSSVVAEASSTLSTKVTTSAAATSKATSTSTSSKAVTATSSKAAAAATTAASSS GSIALYAQCGGQGWTGSGSCVSGTTCKQWNDWYHQCVSE PFICI_03410 MSSLITTVVVVIVLVIVLGGGILTLALGWNTFIPRLIPSRWRTN KSKEQQQLWPSEKYPYPPRGSVETDWANSPIESPANSPVASPRGSRQSSDLMAKHASM KEVV PFICI_03411 MENTTEKSTGLEIKPAHDNTDSSLNGSKHDVEAADTQLKRSLSA HHLQFLAIGGTIGTGLFLGSGTALATAGPVSTLIAFIFVGTIVYSVMVSLGEMATFIP VPGSFTVYASRFVDPSLGFAMGWIYWFSWAMTFAVELTAAGIIIQYWDASLNIGIFIA VFWVVFTAINLLPVRWFGEIEMYLSSIKVITIIGFVIFAICIDAGAGKEGYVGFHNWV HPGAFAGSMVTGPVAKFVGFWSVLVTAGFSYQGAELVGVGAGETADPVKAVPSAIRWT FWGILSLFVSTIFFIGLLVPYDNDALTTGTDNASSSPLVIACNLAGVSVLPDIINAVL LTAVLSAAQSNVYSGSRILVALADEKHIPKWMTKTNKHGTPYIAVMCTSTFGLLAFLN LSDDGSEAFDWFMNITSIAGFITWSCISICHLRFMKAAKFQGIPRSQLPYRAPIEPYL AWYGLFFCVLILLTNGFTVFIEWDTSDFFTAYVSLILFVVLYAGHKLIYRTSFVKLAD VDLVRGRMDL PFICI_03412 MPVSRSAARTPQQRRHFSPTTASRQYEQPHPDSGAGNGGGAGQG KAGGTNGGTNSDPSLLARMLESAATTLASVLVLGAGFAAAGYVYHKFYKALQLKKIEN AFKPGDPVLVLAAVAKSLPDEDDSDHWIQRAEQSKIDAIVTGHSKGHYHLIMGEKGSG KSSMLLEAMRKIDGDGCAMFEAHADLEIFRIRLGKALDYEFHEDYIGGYFSERGPRDT TALLDIERALNKLEKVALKNRADRGKPLVIIVNQCHLIRDDEDGKDLLELLQQRAEQW AASNLVTMVFNSDDYWVYERFKQLATRMEVLAVHDLPKSQALTALKRYRQRYFQEDVP KADLEKVYDLVGGRLSFLNRVAKSANMIKTCDQIKEIEKTWFLNQCWILGSEMDDDVM DQQKWAAAAMVLALALVDKEEEMDHTYDAVTGHVLPTYPMHIAQQIMTRADFIRDLDR LNLFTITSTAQVRASSVPMHRAFREICAEPGFRQFLEDTNERIAAIESLGRTRELVAK DLVLGGKYEISKGWSGKINVVLKEQEEKKEDGNDD PFICI_03413 MTGFKYLNQAESFLKEPYQPSQTPTNTERNSGSSDPDNTTRAGG LYQQSNRSRPSFSLFRQSNESRSNISLYGHSNQSRPNLSFVSDHGHSPTTSVHSVDKS KTDKGKKRAGRHRSKTAGAEASPSSPVTSSPISPLGNESTVHQRAARATRERMRDVNN PIKVHSRLSAIMDKDLPSSGIATVSLRLSELPDNILISRPVREMARDSPDSVATFQVQ FHPGLDVNLNNMAEAIFRGQAMPVAREISADMVAKVDKPLSPIAERSSSPIVEESPSS IIEKPTFPVGEILTSPSKRRRASTKSVHFSEEDKIFHITPAGSSASKDMLANSEGCAV ASDDESKIQGKQDEDHDQGQQSDDGADEIQDQHEDHNRSNVQDNDEDKEDVDRTEDPG ETSFSSESDSGDAQPESGEDTSHSFILPEFDFELSASRFSSIFADDGEAPEVPAVDES SGSISLSSPPEVLAAHEPDRESQSDSANNESIIIPTADQEKVETTDEVTEGIEIDAQK SDTVIVEQCYPDADEQGCHNADEQDVIGDNDLQDATAAEQSDDVSDKDNSVITADSQR TTTADGENTVSNDDNSTIIADEQSAIIADESNTVSNDQDSIIVNVSNTSVADEQTSID THIEARDNQTDQAERTESNDTNQQTEDYVDDDVEVSSIFSLLINKQRNEQVTDNGQSR QQEVMPRAESPTMGEIGEQHVMVTIPPLVPRPRPNVTSQRSHLPILPRYPPPTPAPSY PVPNLPKEVIPPMPLVPAVAPLRLHQRSEQDLRGSTRNLPVLPRASQIPAPGTSSGLP IPAPSTPRRPALRTAKSFQLQHEDSPRPVPSRSLIPELPARAPVVKLQSSTPDAPSRI PAIPPRMRPLRPSMSINDMATASNKQNQPSFAQRAEKASYNRPSADIPRPSQSRIEVI KETQVSEQKLSAEETVPLGPTISRHVPKPRQVLQPQPEQAEPSRLRKIATRSLGSLAG GSYRSSNRSFGNLKAAAAETSNHPLPYKKWLHSSEAATLQDDLSRGYDANSPYIRQRH QVPMPIPPAVNSRTSSIGATDAGPGYSAASTGLHSSRVPRRPQFGRSNIPPVANAASG PDQTRLSQVLETDETTPKRGPPTPQTISGHRYRRPATPQSPFVRDQHSPVTPQATAVS QQQNTPENNIQRQLYEMLDHEIERQRTRPVRQEEIASSPLSARTPEAPPRRSIAPTPP RRIPTVRRSTDTIRTATMALPCGHTEVQHRSLTDEDRRACGISGGRALERSSSDNFFR NQRPYDLALHRPRQFFAHAGLTARVSTPTSVSTQAFLFPEGAEAFNRTYDPERPGGRD LTSRMPRSATAPALLPCSPEAANRSEDQAEDRVEDRAEDWAKDRPDVPSPGTAAQRSF AKLCSRFTIAELRSAYGGETEEEIVRALRSDQSGGIKGGETAEQPHDPFVGVPEHSNI LYSASAGGPRDLVAARDREQGGPYDEEVSQLEGVVAASTPRQEDKKLTLRSSKSVSSL LTRFRRGKGEKK PFICI_03414 MDEHGTYSSGSYDRVPGAAPLSMEMFVERNANLSRAYHGYKKAL EEDAEHQSNSWYNNLYNTLKRPAPGTSKTKTAGVGYITDAGKVKWAEQKKKDDAEEKK REDEEIETRRKQRIEKKKKEKEEKKKAKEERKKKAAEEKKKRKEQKEKERKEKQAAGQ TVENEEEEEEEEEEEADNESEELEEEEVEEKRKVVRKGPKRVRLVLPDEE PFICI_03415 MSSPTYKLPPLPYAYDALEPSISKQIMELHHSKHHQTYITNLNK ALEVSAKALSEGKLEDSAAQLAAIRFNGGGHINHALFWENLAPSSSSDSKPDAAPKLV AAIKDTWGSLDGFKKAFGAALLGIQGSGWGWLVKEAGTGQLRIATLANQEAVVVGDTP LFGVDMWEHAYYLQYLNGKAAYVENIWNVINWKTAEQRYAGAREDAFKILKANM PFICI_03416 MKLSLVLAASVVSGAVVAPRADPSTSPSPAAPNLPDSVFPKFRV VSLDEAKQGLDRDIQQLPPKPVGNLTYETAPGSAAPKFGVSLFSTVNKAATAASVACA SAPSYRFEWRQYSDSRRVSLMQAMRCLINKRPSGRFSQAKNRWEDFVQLHQSVMPSVH NNAIFLLWHRYFLWTFEQILRNECGFDRGFAWWDETKDAGHFAQSDMFTNSAYFGPLP GLNNGNPSCINTGAFAGMITHIGPGQSFTTHCISRGVTESNTAQCNTNFVNYCLSRAA YADFETCLEYGPHGYGHNGIGGVMQDVWSSPSDPIFWMHHAFIDRVYYQWQLGNANRL VSIDSGVDSTGKPLTLDTVLSMGGISPDVRIRDVLNPLGGVNVGGITFCYKYSY PFICI_03417 MNGTSGGRSTDLLAGVLSDPTAAGYKVLGAVAAAYICYKLFFAA SKPLYFLPTWVPLEIALATYLASAGGWGRRIYSLFRRYDGSLFGLTRSHQVIIDLPNA DRFMTQSGHSLEHLPAHLTLMLRVFGADDSPEFVEKVKATIKPLISVVEKEFLSEAAS TAALERGQVVYRMSSLVSFTEDMDEMQVWERGANVKVVKANLPGQSGAVEADLMGLIR DFGATIAIPVLYGKDFLQRNPNLLEDFWKFDNDVFPLLMVGVPSWAPFKMMQEGLAAR KRMNEALHGLYRRIDQYQKGEPVDFGADMSDVGAALGRNLVYDEYNVTFQHRADMDLP FLWGQNGNTQPLLFWYILYVYSTPGLADALREEMAPHVSLSVKDGGVEIESVDIAALN RECALMKAALFETFRLGSDPTSIRRVAKPMAVSDGEHTHHLPAGSFISTAWATVQSDP AVYPEPQKFIPERFLESDTETGRKVARYGRLRPWAVGGGSCKGRTFAEKEILTIAACM LCVWEVEPAGGEWQIPAMIPGTGAKRPVNDVRVIFKRRVSK PFICI_03418 MTWDQFQLQFPIPEELLRVPDGSSIAEADAVLAENGRTYHGYKE GKYFLPNDAAEQDRLDFQYQMFVMALDGRLALAPMTTSPGLVLDVATGTGIWAIQFAE QNPDSHIVGTALSGIQPVNRPQNGDFIKTDAEDEWLFPGLPKFDYVHLRLVCMAFANP KLVLRHAWENLAPGGWIEYHDMYPCAMSYDESHKGTVMQ PFICI_03419 MKGETITLHGRSYAIPQLPTVVVCVDGFDPEYLERGLSDGILPN LAQFVQKGFHATAKSCMPSFTNPNNVSIITGQPPSVHGIAGNFFLDRTTGKETMIKDD SLLRGSTILEQMSAKGVRVAAITAKDKLRRILAHGLQLNSGGICFSSEAADQCTLSEN GIEGVEEWIGRKAPTQYSGDLSLFVLDAGIKLLEEKRADLFYLTLSDYIQHKHGPGAP EANEFLSALDERIGRLVELGAVVGVTGDHGMSSKCNDDGVPNILFLEDALVNKWGLGS ARVICPITDPFVKHHGALGSFVRVYVREPGNISEMIDFCKSLSQVELVLNAEEAAREL SQPVDREGDFVVVSQKNAVIGSRQDEHDLSMIGDHPLRSHGGLSEQDVPLLLSRPISD DSKLRCKAGWRNFHVFDLVLNA PFICI_03420 MRLRTDYYNYGLDDIRALCIGAFWLADLSWPFASLAVRLATDLQ LHKSFAKAVDGDGEHYVRARLYYHVNVCDHHASIAFGRPPLTRESEAIRNARDFLKST HATEDDARLVSQVCRWSLLSTIYDAFGVDVDRPLSATQVPHLRRLAVALDDIRAEWSE KFAPNAHVGNYPRKGVSLQYYFAKLYLCSHAFRGQTPSNDTCLPPDLLVELHEIADTA VSSALSILRFLATDPETQDFLNGLPTYFHVMVTFAVVFLMRVSAQPLLNVRLHKEEVK SLVEATSTALQGITSTLHHQHLLVSIYQGISDILRRSEAGSEQRALVMPEPRDEQHNY ELWSHDFAFDPYFLGTFDFQLNQMMDFDIESEVATNDPLL PFICI_03421 MAEHSMAAATAAAEVSSSPVRALRDSFGDTRPPDISRKITACVS CRKQKIKCHMSASGPPCSRCQKRGLSCTVNRSLQMLLENDTLWKDSMEKKFQTLQDAV EKITAHIHLPRTSSPHQTASQQESRGHDLQEASTHRSVQHSPGQQGNSWDIILDPHRG VGDAPGSCIGPSTNIPQFTHQQNLDIVTKGVVEAGNAEIYFNKYRNRLDHFVYSLLGD QGNESFDALGHRCPLLAAAVCTVGALHSGSRNTDYESCRKELIGLSENMSLI PFICI_03422 MSLPLDRKYYAKASVLSSVPNPRLEDSKRKMTESARSFKETRRR LSILKGEKQVASVDQVIEKKAIKAIHVNDDDVDAWNHSLRAEPSLGNFTVVSADDYED EHTVPCGNPDCNRCDLLSVVSDFDDEEDGELLKPTTRLTGAVSLSQQRVDGAMKTQQR AKTARQQEMHGLGPFSLTTQEESLGAYMKSYSKQGQSFHNTSPNPKCLQPAFIQDDES IAAAYPFDGEESIKSPIDRVIDTLKYSPQVVDARGRRLSLSHIKAFKDNQVDIIQDKT SGTDSLREQKTEAAHRIATAQTANIEDCREDGATSLETKEPRQTIRPHRSLHRTPSVR HSNSQSSSRAKSPCPPAVSPTAPSDQRQSFSEHMAKRDRGIERAPPRFSKQSTTDEHG EPRFGKGSRPPVSYRASGI PFICI_03423 MRATSIAASSLLVASQALASVAPRQPYAHPAQPQHKRAVDECAT TQKIAPKILIISMFYPEADIWYDNLPSSGYGDLFAQNISVPGLSPIYPQVHCTASGEI CQVTAGEAEINAAASISSLMLSTKFDFTSTYILMNGIAGVSPKLATLGSVAISKFSVQ VAQQYEFDIRDLNSSFPSGYIAYDTGFPGEYPQESYGTEVMELNEALRDLAFSFASQA TLNDSTTAQTYRARYTTDDDAYAAAMAAPGVVKCDSATSDVYYSGNILAEAFDNTTKV WTNQTEYTYCMTAQEDSAVLQSIMRADLAGLADYARTILVRTASDFDRPPLDGTAYDH LLIDDQGGFTPAVENIYLAGIEIVKGILTSWNCTFAAGIEPTNYIGDIFGSLGGEPDF GLGSVFSGEGARTDGSSYTGYIKRSNYGRRGFYKSPKAARLMH PFICI_03424 MLKSISLFSLLALPYAGATASPEFWVGPDVPEEPTELWLAEAGL PEVLKTRDVSLEDRDLDSRATVKIPVVANFNDRLPQSPLGTYRGLDFQMGNVKLGTGF NGQVTKGIIPRTPPNALGYGVVVNLGDPSPTITVDYDDSNAISFDLHNFWFGCALGAI SSLAVPPITCVVFAGGYDTTGRRVALQQTAFVPANASSDTEPMILATLNDDFKNLADV IFVTKYNTATQLGVTLIDNVNFTVTAKV PFICI_03425 MAATKTISEVGRAREMFRYAASQLVGIEYQKHAALIESSSLRTS QDTVLTGLAELIAVRLGAARATISMFDQRWQYVIAEATPRLSLSPSAKSTDRGGLLLC GTAVPRSQSICSQVVTRHLGDSLVQGAQDLSVFIVGDVLPRLEENPYFNHWPHPFYAA VPIQTPRGIDIGVLTVYGNQANAELDEIDVSFMQDVSRTIMEYLGAVRAREGHRRANR MVRGVGSFVEGEASLSGWKDGTNVESFLNDAKLSEGALNAKQQGIQKQQLGIGKLNSD ATIDDKETELVSPISMPDRDQSPTDNEMDSELALAQHTFSKAANILRESMEIEGVLFL DAAISSFGGGVRRGDGRHGSSSSSSDDSQNSTSRKDADTPASALAFSNSSDSSIDGTS QLQGRSPITERLLAKFIKHYPQGHTFNFDENGTVSSSESASEESAGTARGSLHLAHQG TSGRSRSNLPKRGGVFKKTGAADSVAQLFPGARSVAFIPVWDSHKHRWFAGGFIYTKS ASRTFTTEGELSYVAAFSSIIMAEVHRRRAISTDKSKSDLLGSLSHELRSPLHGVVLG AELLQDTELDIFQKDVLNSMESCCRTLVDTIDHLLDWTKINKFRQHSAAENKSGKGPR RRGTSDGVNMSIETGMMTTTSEVNIAAVAEQVVESIFAGHTFQVMSVRRMAEDSGTTD VMTRAIRAHDGLEALEIMKSGSGEGSEFQVPLGGVILILSIDPNLPWTFITQPGALRR ILMNLLGNSLRFTKQGFVEVHLRQEPPSTSRPNSRRLVVVTVTDSGRGIGHEFLQHQL FKPFTQEDTLGAGAGLGLSLVKNIVTSLRGSINAKSKPSEGCTMTVKLPLQTASPSEK DAMNDEAQAQFAADIAELQGLRVSIVGYPSASDQSLKATIKDGLLRERSTLMEVCKDW LRMRVIEPHEATKLIPDLILCGERFIEPGITELREGDITPTVIICRNALMARSMATSP KYSIRAGNGVLDFISQPVGPRKLAKVLLQCFKQWTKLQETAMSTQAPSDMNYSESANS PMEEPRDILFAQSTRSAPETSDTSADSSRPRSSAETQRQVVEESISPLRDPIDLDRDR HAETPQPDQTAIAPNTNTTEHGVGEEGKSQNLEIRLKQSHKARYLLVEDNAINMKILI AYMRKLGHRYDTANNGQEALEAFQKGAGQYKCILMDISMPVMDGFESSRRIRAHEKEN GFARCKIYALTGLASESAQQEAFSSGIDLCLAKPVKLKELSGILDERRPSD PFICI_03426 MRSVAKACALLWTLLVPVEAQVSGTAFGFATGVTGGGSAAAATP TSLAQLTSWITDSTARTIVIDRTWDYTSSEGTTTGTCCSSSTTTCSGGTSAGQLTISD SCSGGTLVSCTYNTAALTPLDVGSNKSIVGKGSSGVIKGKGLRVRGGNSNVIIQNIHI TGLNPQFVWGGDALTLDDADRVWIDHNKFSLIGRQMIVSGWGKAGKVTISNNEFDGVT SWSSGCNGKHYWTVLLVGLDDQYTFAYNYLHDVSGRAPHYGTTTTASTIFFHGFNNYF EDVGGHAFDIDKNTYSLLEGNYYQSVTTPFTNTALTAGGYIYNVITVDEAGNCPSYTG YICEWNKAYSSGTLPDLSSSQVLSKAASYKSYLVDPMSVSDVPAYVTANAGIGKI PFICI_03427 MTAGPGLTRDSVLTSKKVLRVAEVLQIPVYVTTQSRAKLGDTVS ELKPLVGKAVANVDKTCFSMWIPELSQHFKTSAPSEVVIVGIESHICVTQTTLDLLAN GHKVYVLADGVSSCNKEEIPVALDRLRNEGAIVTTSESWMYECIGDAGITEFRDIIKL VKETSDDTKETLGALLSRI PFICI_03428 MDSTRQQHSPPASSASSPPQTQGLVSWPEGEEAEKMLSRYRRVQ MHIFPFVIIPSDTTSASLRLERPFTWKALMLQCCSNDGHRQHVLGKELLQEFSEALLT RPRKSLDLLQGLILFVAWHHAGLTSFQATNLLGLTRSLCLSLGIHEGQVRELETDLGP LCLEKLRAFAGTYYLITSFYTTSKRTDAFMNTTYLDSLCRVLEDKALCPTDKLVAYMV KVQQLAQNISLTLSSSTTSQLFLLPMVMVVKSLQSQIESFKTNIPVDLRDNVVLASHL YTAETLLYEVGLQDVSVELGGLQGTDRLEVLWSLFTSLKAFLSLRFDPSGKKCHGFPC ISSVDFMYNFLTCLKLITLQAPGWDLARIRRELALPDLADQQIQELERLIHLRKRGNN EDMQTSRPSQDPLQRLATTLKNISAVLRAMPEPGPMTPLVQNETESNDEDADLLDLDF VSTLVPDAWSGFWAPEDQNGDWNAETPLLGLSSE PFICI_03429 MTDLVTGANLQSSSTSTIINSGDGLQKRQLYTYGGHSLSNVTTS TAHPTVFSATGTAVENSSITNSQYITGTETETGIFTTVSSLQSAHQSAITRTMTSETN LTVTSSTQTVGSTTYLTETASSASLTTGSTTQKGASASTTTSNGYVSTVTRSTDSLIT NTILVTVAPYPVTTNGTVPVAAVSGPSATLTSGSGGNLTMTSTMIRSGTIFVTITTAV GGNSSCTEYEASTLYSNDAVSATMTTSTSELSSTSQPSTGVSPTPASGSTTPSADFST STDTCTDVESSTIIPRPTSSDTEIVHITLTQTVYAYGSTTTPTGVLTTSTKHSAHGLV TPVGDPTTTSGTTTIVSTTVRTSTMTVGVKSSTTVTDSCTPAPTVTVTATVVQTVTAI TSNDAISAKEVPASETGQSSTVPEISISPNTTVTLTNPGHKKTMTVTTNVLSTSSMNV TSHTTPGASSSSSKMSHHVSDVSSYSRFVTSNGTFHTVGLNGTGMTTSMTGTAGTTLY STQTVMANHTGAAIPSRPVSAGARRMAGPMGGGDGQGSSNPPVATGGCVMMLVAILAL LTA PFICI_03430 MARTRDEPAEDEDQEMDEELVALQFDEPLSWRAGKPIPTGTLLS RLEKLSKELVELDQDTVDKDSLTKVAKELASHNLLAHKEGGVKAYAASCLVDVLKLCA PDAPFTPSQLKEIFGLFVKTILPSLWDPTHTYNTQHKYVLTSLAEVKSILLINELSNA EDLLLHLFSSFFDGISGSSKSNSGEQVAKDVEFHMTDILVTLLEEGPTLPPSVLDVIM AQFLRAAPPGGSRARPDANGNQTTLLPKEEPEAYVMAKTVCNHCPEKMARYVSQYFSD VIMDISGKSVHANGQRDDDSDNDEATAGPSESDFKELRKAHQLLRELWRAAPTVLQNV VPQVDAELSADNVQLRLLATETLGDMISGIGAAGPPPPPVLDPAAYPPVKIGDDTQDQ TDFDSILTTPLSPLSFAQTHTQVFHNFVSRRNDKSPLIRAAWTTAAGYIVSTSAGGIG LSREDEQTFVDGLKDRLNDADEKVRLAAVKAIEVFRFRDIVTKLALDGGVDRNGTILA NLSDRCRDRRAPIRVAAMALLAKLWAVASGELMAGNDAVTSALGAIPTHIFSTFYAND LELNILLERVTFECLVPLSYPPPKPKQSGNSQSQANGDGSYDPDRIRAERILLLVKSL DEKSTRAFFAVQQQQPQFAKLLQGFVKQCEAFNGGLPETNGPVVKQNLKKIIQYLVPY FPDAVKVEADLYNFATLNDRRCYALIRFITSHESDFKTMHRGLKEFNKRIQGTNKPHI LDTMLPLLYRAAYIIINKSHLPTFIDCSKNDKDGFGAAAQQITSEASKSSGALFKAHI GQLCKDLIEAAPTASKENEPGTVETLKACSSYSLKYPEELPQDKKFVQALINYALHGT PAKAAKYAVNILMARKDDKSLVSATELLQKVTKNWGYGSPHFLSKIAGVAQLELLAST VTADANDKIIDMALKEVLQKVRTEAKVSDSEWVEDAELEEECLIKCWSLKLIVNRLRG TKDPEEVKDVTPTVFKLLRTLIKDQGELCKTQDTPKHHKSRLRLLAGQLLLKLCTEKR FEELFSHSDFNRLALLTQDSCSRVRRGFIEKLQKYLVNRRLPARFYTIIFLTAFEPDK DFKQQTETWIRSRARYFRENSKDNVNVMEAIFGRLVSLLAHHPDFSPEADDLLDTARY IIYYISNVATEQNFALIFKYAERVKQTRDGIAPEKSENLYVLCDLALAILRKWQERKG WSFQAYSGKTGLPKGLYTALPSHDAAQQIAEKQFLPEDVEERLDDLLKTMDKKKKRRS TDDRGDGHPAQKRARTAQPRTSAAKPDRPAGKRPAVKTKSAKSRKPARSSPAPESANR RRSGRSRKDSSYLERDSSDDDEDMLEGVAKWEYYDNDGNKVQNDEDEGEDESDGQSEL SEVEEEAEPMEDDKAEPEPEAQADSSPDEEEPAADEEIEPAEEEPVAEEETLPIRSSG RRGRTAAAASSAKTKPAPSPPAKTATAKSSGSRSKAAAAKSTTPPATSTRSTRSRRGA PFICI_03431 MDEEPARIQAMDRPGIRAEGDLLHNMQREVARLLNRESTNFPGA QPVSFARRHLEELRRDDYYVCEKSDGIRYLLYLTDDGNGQELHYLIDRKNDYWWVKNA HFPTVQGEGTFHRDTILDGELVVDTMPDGTREPVYLVFDCLVLHGQSLMSRGLQKRFG YFHNEVFKPYKKLFEKYPQEKAYQPFILGMKEHQLAYGTEMMFRQIIPNLHHGNDGLI FTCLSTEYKYGTDPHILKWKPAEENTVDFRWKFHFNMVQPDEQDTAEGVTEPYIDYDG VPRVELLVFHGGKDDYRPFGELHMTEDEWEELKALNEPLDERIVEAYMDEQRRWRFYR FRDDKHNGNHVSVVNSVLESIEGRVTKEELIEAAPDIRSKWKRREAEAKQGQRR PFICI_03432 MRPETNEKYIQAPSGLREWIFVFVLCATQLFTQGALGYVLIPLS IVSETFHQTGHEQAAQMNWHVAGYSLTIGSFILVAGRLGDMYGSKNIFVLGWIWFAVW TAVGGCSALTGSAIFFDVCRALQGVGPALLLPNALAIAGRTYPPGTKKNIVFSLIAMC APLGCSLGGLMGSALAQYALVALVHNQKLRFDWVGSVSGVGGLMLLNISWNQAPIDDW STPYVYILLILGFLFLGVFVWYEKRVSQPIVDISIFNRQVAGVLLTTGLGWSSFGIWF YYMFQFLQQIRGVSSLDSAIQFIPGVLSGMIAPIFTAWALPRVPTSGLMVLSSFAFFA GSLLLTLAPPEQSYWYNTFWSFVIMPWGMDVSFPASTVFISDSVSVEHQGISASLVNT VINYSIALGLGIGGTVEVELPKGTTILEGYRAAQYTSVGLAALGLVISILLAVFNNQT NAEDEPSQEKVRGSDTTLGPEGV PFICI_03433 MIACFSTQLLHWQRGSEEKVLRIAVSHHTEALALVRERLSLEQK QKPATQDYLWAILMFVCWAHLHDDVETWKMHMSAIHTIISSSNCKFAQEDSTFYRLFQ WSDIIGSYAFGLPSYLSTPSAGLLPNVSKTTLQWPLKRLIDSLEPQNRLPWTHTFQAL QDLNEQLEKLYIEHGASALEDPLKMDALSYPVIVRLLRTRLLECDGGEDTNGYSGAFT GLRAGALLYMADMRRRSGVVPVRTTYQINVLINSIKAIENNPDLSPFSTLAFSFLRQN STYRMKQSINKNWDKSFF PFICI_03434 MLFRRHLGRDRATESDENSSTPPNGTVAYDGDFKYVGEVGGNDA PEMFQEAGGAPVESHSPLGYNVGPITILFLNISMMIGTGIYSTPSSILKGTGSVGLSM IYWVLGGIISLCSGAVYLEFSAYFPSRSGAEVVNLEQAYPSPRFLFPTAFAMQNVILS FRSSNCIVLANYLFATAGRTGTDWQIKGVAIVAYTVAVIAAILHTKGAYYLSNGIGAI KLLTLVFIAITGWVVLAGHTSVENPKAHFQNAFEGTATPYGVTNALYRIIFSYAGYNN AFNVVNEIKNPVKQIKRNGTISILTVMALYIFANIAYFAAVKKEDLENSGTIAATLFF SSVFGSSKSSRGLNFLIALSSFGNLIAVLIGAGRQLRECGRQGVLPWTRYWVSTWPFG TPAGPYLFDWAITVLVILAVPTGDAFNFVSDLAVFPSSFFSLAMAIGLYVVRWRRKKA NLPEPAFKAWHPVVIFNILVQLYLIVMPWYPPAAGKGDVSFWYGTYVVTGIGIVLTCI AYYVLWVKLLPKWRGYELRQEVLQLESGAQGHQLVKVPIEQVAEWDATHDAIGRPIGA VSSNDARTEVQFSSLAAEKA PFICI_03435 MAPGNIIIFGPTGQVAVAAARMAQDRGAKVSLAMRDTTKPIVGL DPKQEQSAGMERVYADLTLPDTVRAAVAQTGAKRAFLYCIMGKSMRPSIEALKEAGIE LVVFNSSSTIQGDPQAVDMAANFIAWAHAQVEIALEEVFGVPSYVVVRPSAFMSNILA WKEMVKKGQLKLVYPEAAVFNYIAPEDIGAVCGALLAQGPEVLETKAGRNVVYLYGPS RMSQREAGQEIGRAIDIDLSIQALSDKEGVQFFVENLHVPEQAATNFVNRMREMSEKG RNQHKRAPEILEEAIGNIERYSGRPPTTMAKWLEGQTEHFRA PFICI_03436 MTGSTPYGSGLAENDMNSSTDDATEPKRVELGTGKDMDKKRRGS AGEKSSDTDPGYSERKVEEGEAFFRRLGWKRLTVVLIVNAIALGSLSLPAAFATLGMV AGVISCVGVGLLAIYTSHIIGLVKLKFPEIDHYGAAMGLLFGRFGRFGYELGSAMFVI ELILLVGSHCLTGTIAFTHITESSVCSIVFGVVSAIILLLLAIPPSFTEQAILGYVDF ISIIGAIGITMIATGIRSSHEILSESTWSAWPAPDTTFIQGFVAITNIVFAYSFAMCQ FTFMDELKKPEDYVKSIWSLGIIEIIIYTLTGAIIYAFVGSEVQSPALLSAGNVVSRV AFGIALPVIFISGSINITVAGRYIHGRIFKHSITRFVNTTKGWITWLAIITVITILAF ITAEAIPIFSDLLSLCSSLFVSGFSFYLPALMWYKLIKQGRWYDRENLGHALMCAVAF VVGLVVLGCGTYASIVDIRDNFREGTVSGVFTC PFICI_03437 MSTLASVPQGPAPEWQRWANNSKMLNRMIKDEARSIKRTVQRER NMSSDKVDRLMVTTQYLASLRQIDENGWQALDDLISFLSGHADKLWGKMESHSCSSED DDEDSALIKLSGQCSEVSTMVHQARWQQMKAKKYDL PFICI_03438 MLSSKLLLALQAGLALATPMRVSAPPAAARLTVREEGVYNASHP NITIFATGGTIAGSASSSDQTTGYQAGALGVETLIAAVPQLWNVSNPSGIQVANVGSE AITPAILLNMTKQIQAELDSPYCQGVVVTHGTDTLEETAFFLDLTLRTEKPVVIVGAM RPATAISADGPINLLEAVTLAASESARGRGAMVVLNDRIASAYYVTKTNANAVDTFKA YEQGFLGFFIDIKPIFYYASALPVGKPYFDLSNTTVLPQVDILYGYQGLNPALATAAV ETGAKGLVLAGMGAGGWTDDGSEVITSLIAENGTAVVDSRRTMDGYVEAADTEGYYGG GWLNPQKARISLQLAINAGYADAQMRTLFEFAG PFICI_03439 MAPTLRQESQNAHKTVKVSNERFEIFQKWLESSNSESEERKAAE AAAARSIDGLAPTGNKEDADNFIWQTWGDVFQIAQQIPHASPAQDSLAQILVQLTQLP DTDRKIDWTDLPQLGWVLRDWFNFTPSEKHANGADEILSAWVNVNAFWARLGGLECLN TVDITIWTLRRTLEEDHDDDATNFPNVFDCHVLTAAQYVEQSGPKLKKLIQDGWKPQD NEAQMFQGGPLFDGPTGLTKERWQFWASRFRHTAEKASGNDAKSAATRAAEFLEQ PFICI_03440 MASQSRSHELVSRRSQVPPDPDPAPTPALPPISHRKQVAVLLSA FGTIALTIGYNQCFGVFQEYYLSSAQDVLVPSPASEASPPTAMLAFVGTLCYGLTWSG GIVVNPVISRIDHGSWASNSPTSRLWRRRILQFLTPRMITVSGVLIMSTGFALASLSH SLWHLLLTQGFLVGVGMSLFYFPLLAPAPEYFTRHRATAMGFILAGAGAGGLVFSPII RALLSSVGGRWTLRIYALINLAAGIPIACSVPRSRFAPASAVERPERPNTHVSRALGS RPTFLFSVVAAFLQAAGAQLPLAFIPSYTVTLGFDAARGANLLAASNAINSVSRILTG YAGDRLGRQNTLLLTLLLAASSVFAFWLTSVMATSSSSTSLWLVFIVMYSVSAGGYYA LFPALIAEVFGIRHYAAVNAFILFVRGLGTMFGSPVGGKLLGTSGDGTRGYASIAYWD GALLLGAFACCIGVRWAEGKGKGWKWVA PFICI_03441 MPTDNIKLLKSIQKDDFPTESDRFEAKEAARALLSRLETPFERA WSLTAEQPMYVAGLLLLKDLGIWTKWADLDREDCPAASRSLTDILAMCTTAVEPNLLL EEVDVDTWKPTAFSLGMGGEIGKMMQMTIDHSWPSGLHLPKFLAKVGYVEPLNLSKFD NYTDVYGLPYWERCEREPEIGGSFWALMGGLTANKMDWTAVYDTNRLVDGADISSAQA PPLFVDVGGLHGLDTNRLLARHPSLPAGILFVQDLPGIVDAQNELDQKAVHEGRPRLD SRISRMKHDFYNPQPLLGARAYFLHAVLHDWPDADCIRIYFVVERIRDAMTKGYSKLL IYEVVLPPKGATSFAGAIDMCLMTMTSGRERTEADWKQMIEAVRLRVVRIDRHDRAVE SVIEVELA PFICI_03442 MSYSSTEAYEWYENKEYNIRRQTLPRFETDELGKLIVTPGEVYC RVHVDDETDNLCHIDKRFFNRSNLCKHIRVAHGLEIAPHPLGALSDRRDHAAFAFYYG LLKQAAGNSTVISTSKGGNTAANFAEKRMAHDNNDGDRENMRGIPSYAPGVRCAGCEG SSRPSRACPTTERDESCLIWAAYAKSIAGPAAARIAAQEVRLASDSSHQVGRVHTTDP YHAVYAERRAKDVVSARQYYHRLSEKLRPASKKL PFICI_03443 MNFLRSQLIETRKLPYPERSYAGKTIVITGSNTGLGKEAARHYA RLGAKKLILVVRNIDKGKDAKEDIETTAAPDVDIDVWKLDLASYDDIRAFSSRLEAEL DRVDIFHANAGLVSAKYSQVNGVETNISINVISTFLLVASVMPKLKASAAEFGIRPTL TITSSGSHRHTQFPQKSEPSVFASLNDKNYANGVHWREQYPISKLVGIFVARAIAEEY PADSYPVTINLINPGLCHSNLAREAEGIQKFAFSVTKTALARSTEQGSRTLVHGGSQG AESHGKYLEDCRITEPSSIVLENKQVQDLLWSELQTLLEGIAPGVTRNF PFICI_03444 MGFFDKIQAKLELYRLEQRYTRNRNRRTTFTSNAVYVDGEYVFN SPNTTGSSSRSAASSSPNSPSEYDVEERQQRPREKQSRRRKSIEEPRSPAFDVETPTL AQKKKLNRFSSMPGFGSSPKTSGAVDSWRMNTVDVREVR PFICI_03445 MSSHVDDRLRSPHDMNALPQDHSLGGNAANVEGSSTTTPNQGHK HRPRRMPARRRRGSSSHGMILDLATDCEERADPSHETQDESALDQPGFAPASFEYTGL DPDYVTAYQPTPPHSGRDGDDVPRTWHIEHAVVARPALQSPEQSVNNNTQVEIPMNTR VPATHRHVPHAPEESNPTSVPVSTTPMGHALENRAFSASPTSPYATMPNYMSADDGWY SEPEPAPRETDNDAPHVQLDHHAVVTIRLRFDDDEQ PFICI_03446 MRYSTFAGVLPLLASATASPSSKGVHKRDDHVSPRPDIIYSPET PSTAPPNPKQRCKTCFVESHGDGVTDDSDYILDAFNECNNGGHVVFRENDTYIIGTAM DWTFLNSIDIDIQGKILFTNDTDYWQANSFPFVFQNVTSFFKLGGNDVFIYGGGTIDG NGQVWYEAYAANIYTLRPVLFGIDGLNNSIIADLVLRYSPEYYHFIANSSNVVFDNIN IAGGSRNASVTAKNTDGWDTYRSDSITIQNSVINNGDDCVSFKPNSTNILVQSLFCNG SHGISVGSLGQYVGHYDIVENIYVANISMHNATDGARIKIWPNTPSALSGDLQGGGGD GRVNNITYTDWTIDNVDYSIEVDQCYGQSNLTLCLEYPSPLTITNVVFNNFKGVTSSK YQPQVATFACSSETACSNIVSTEFDVLSPNGTNQVYCLNFNQTSLDGVECTTVFKGFN PFICI_03447 MAHYLWPKDSLSTKLRVGLAVTLLIGAKVLNVQVPFYFKSIVDA MNIDVGAMGGNAAMIAGSMIVAYGATRIGATVFQELRNAVFASVAQKAIRKVAANVFD HLLRLDLNFHLTKQTGGLTRAIDRGTKGISFLLTSMVFHILPTALEITMVCGILTYQY GAQFAAITALTMTAYTAFTIWTTAWRTKFRRQANAADNKASTIAVDSLINYEAVKYFN NEKFEVARYDKALLGYEKSSIKVATSLAFLNSGQNIIFSSALTAMMYLAANGVASGSL TVGDLVMVNQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVSIKELPDAKPL QLVKGGEIKFENVSFGYLKDRPILKNLNLTIPAGKKIAIVGPSGCGKSTLLRLLFRFY DVDSGRVLIDDQEIKSVTLDSLRQSIGVVPQDTPLFNDTVGHNIKYGNMAAGESEIIA AAKRARIHDIIASWPAGYDTKVGERGMMISGGEKQRLAVSRLILKDPPLLFFDEATSA LDTHTEQTLMQNINSILKEKARTSVFVAHRLRTIYDADLIIVLREGNVAEMGTHKELI DRGGLYSELWSAQETLFSTDDVKDAAVGDKKE PFICI_03448 MAAALKNVIVVGGSYVGMATTKELASILPATHRILLVEPHSHFH HLFAFPRFAVLPSHEHKAFIPYTSTFSSVQSPVQHAVVTARVESLRADSILLDREWQG SREIPFDYLVVASGTKLQAPGSMTEDDKLSSVRYFQAYQKRITNAKSVIIVGGGAVGV QMATDAKELYPEKDVTLVHSRQKLMPLYHEQLDRIIKDRCEELGVNLVLGSRVVMPAG GIGADQTSIELQNGTKLSADVIVPAVGQTPNTQFLSGLAPSSQDSLVNPANGFIRVKP TLQLADPKYPNIFAVGDVADSGAHKAARPGGAQAAVLARNLVAMIEGKEPSENIVVSP PAIHLSLGLTKNLVFRNPNPAEGQTEPMIKHRDDGKRDMGIEGVWERRGINVTDPAQY HL PFICI_03449 MFDTQLVAYDVPPGQEQGGEFSLKGAPIVVDKHDFVGVCIAARN LASDFGRVTGGDASTVLEHQIDSSWSHGETAIVVGSLSSSQIIRSLVESGQLQVDQLQ GKWECFQTCVIENPACLFGCRKALVIAGSDKRGAIFGIYSLSEQIGVSPWYWWADVPP KHHANIYALPIHHISKEPSVKFRGIFINDEAPALTGWVLEKFGQYGKGFYERVFELLL RLKANFLWPAMWPGYPNPGSSFFVDDAQNQKLADDHGIVMSTSHHEPMQRATNEWFEN NPDGSWSWIDNKDKITQFFRDGIERAQGCESYFTMGMRGEYDRAMKTDDPASVVQDVI QTQRRLIKERHGREDAVPQLLALYKEVQEYWDTGKLNVPDDVTLLFSDDNFGAIRRLP SGSETQRKGGAGIYYHFEYVGVPRSYKWINSNSLGKVWQQLQEAHRRNAKQIWVFNVG DIKPMEIPLSFAMQLAWDIDSISAISLPDFLLNLARQMFGGTIDKDVASAWLTYDRLM ALRRHEHIEADTFSLLHYEEADTVLGKWDKLLADSQKLYDTEVHKDYQAAFFDLVLHP IKASAIYTSLRINLARNQLWAEQRRNSANTAARKVLDLFDADFRLSEEFHSLLDGKWN NMMRQTHYGYKDTWHAPSRDMISGICFVQARQDSNPLIGQLGIAIQDHPGVRPGRCNE ESDRTHPSRRDLVPGVTLGAMTPYGPSSRWFTIFTRGSMALSWECSCPHEWVRLSKKA GRLVPGQDDEQVYISIDWDKVPACFHEEILIDVRSIGGNYGPYGDDFEQIHLLIINRE VEGPFTGHVEADGHVSVPASKATSIAGYRTLPFLGRTDQGSVSVEPGRTDPPFLVYTV YTFQDVAEAHVELRFNMTLDIDPSDKMTFDVQIDDGGVETYRLVTDPERKGELPPGWY HAVQDCTWTHRQSIGNFKHGSHNIRLRFRHSNILLESLTVDLGGVKPSYLGPPASSFV N PFICI_03450 MSRKSSHDADLKVSPDHVEQAPAVIQIETFRVLGLDSADADFYN NYEEEKRKKLTRKIDFRLIPMLAFLYLICHIDRANIGNAKIEGMVEDLNMTGVQYNIV LAIFFVPYVLFEIPSNILLKKFKRPSTYIAIITTAWGTIMTCTGVVRNFSSLMAVRIL LGIFEAGFLPGAIYLCSYWYMPKDLATRISYFYCASALSGAFSGLLAAAIAEMNGIGG LEGWRWIFILEGLVTVLIGISCYFLLIDSPALSTRWLEPEEIRYLELSMFIKQGGKFH SEKRFRFKDLKMAAKNWRIYTQAYFLFVQSALSYGTKFTLPTICQAMGFTNTYAQLMS APPYVAAAISAITFAKMSDKFYWRMPFVATPMVICAVGYAIFLGLNGALATQRGLAYF AAIVVCIGIYPIQPAAAAWNANNIAPETRRVIAIAMNNCVGNTGGIVGSFMYIDSEKP KYHTGFGLGLALASTGLLMAFFLEWSYKRGNVQKAKITEDEVRVRYTEAELMDMGDSS PLFRYVL PFICI_03451 MAKSGIIRDIIVTPVAFHDMPLLNSVGVHEPFALRSILQLVTET DVYGLGESYGDDAHLDRLKRAADAIISLKTSAYDLNGIYKACVGSLHSTDTSGGDGMA GMVTTASTTDKVFSPFEVACLDIQGKLSGVPVSDLLGGCVRDSVPYSAYLFYKWAGHP GEPDDEFGEALDPEGLVNQARKMLDEYGFTAIKLKGGVFEPAQEVAAIKALHAAFPGL PLRLDPNAAWTVETSKWVAKELVGIVEYLEDPTGEIEGMAAVANSDETTMPLATNMAV VAFNHLPPSVEQNAVQVVLSDHHFWGGLRKSQLLAGICGTWGMRLSMHSNSHLGISLA AMTHLAAATTNLDYACDTHWPWKRRDEDVVVDGALNWVAGGAVLVPKTPGLGVELDKS KLAKLHKQYLDCGLRKRNDTIYMQKFQPDFTAAIPKW PFICI_03452 MAASRDLSMRYSSSPDLSDPPSGLSETTSPVQRANARANELMLD QSAYRFDVTGQPVLTKDGVPRRKPGPKPGTKLGKRNANNNNNNADGGDAAKVRKPRKP RDPNAPPTSRKRKLDKADPDSSDLHVEDRSASIGAGPPRQTKLTDMSSNLGRLEPMAQ PLKREGSSGQPRVSNMSSILNADPEPQPQPNTTPRSGGTNYDLTRPSYDPVRGTMVSH HSYSNPLASPRAPTSAINRASASPSIASLVDPPVHNIISPVPTHTTFAHSSAQQSQHR QDSNSVPASPQPFKTPKDFPPPPPAAPKSTIAESKKETAPTITRAQIEIRPSSITSIA AASKRTPPKNHSHASGSPKFGAQKNGLEMPGDERSILDFGKSGSAVTTPSIVLHIPLT GENNKYVNFMRMAEDQYGWDALHPRQAEAKARKARIAAASAALAQQEAGREGDEMSVD ESDNDDSNIEMGGMSGADKPDGKIKKKKRHFKEDEYDKEDDFVDDSEMLWEEQAAASR DGFFVYSGPLVPEVEKPEPGRGEGRPARGRGSRGGRGGAGRGTGTGRGRGGGPGSRGG VVRKPRMTKADKAQMDREKAEREAAFLKSTNGGGNYNVLHPASPQFAGL PFICI_03453 MDSPLIFDIQEKETTSPHAPTFPQIKSSTGFPEHKKRTRISAFK QKRQAAESNQQPLTKASAFVASSPSDRNEFQHSASATAELQPKPNLTEKQSIDQENKD KLANMSTAEIDEARSELFSGLDPKVLEMLLKRANLDEKNGPSPFEDEESKSMPPANTE IEESESSSAPTKTINSEPSRAPRKVHFEEVPDQDDPVQTSEPGETNTQPKIIPDAGDE EPPSIPHNHKHGDDFASSKPHWPHPPSAPELDPADPNFLSSLHEKYFPQLPADPTRLA WMAPIPTANSPADFDSPYHPTHSSIPVSELRFDFRGALLPPRIARAVPVTRGLHHHGE APEAAGYTIKELARLARSAVPGQRCISYQTLGRILYRLGHGNFGSRGDSIPDGIWNAM VEGKVMESLYEEAGVDPDAPGQAGGDDDETGGSGRGRGHRSAHAFAVEAIWLFEKGGW AESLRKGK PFICI_03454 MSSGLTRRRGAGGGGEDAANAPSRTASSTNVRDNGPETSYEASE NGHKIAFDPRDISESAERSKQPKLTLMEEVILLGLKDKQGYLSFWNDNISYALRGCIV IELAFRGRISMQKDPARRRFPLADRVIEVIDDTLTGEVLLDEALKLMKASEKMSVSSW IDLMSGETWNLMKIGYQLKQVRERLCKGLVDKGILRTEKRNFLLFDMATHPVVDGGAK EEIRRRVRNVLTQRTVVLPDSQFLPENLEFRYLRTIAMVCAAYAANVLENALSTLGHE ARERAFTQTDELLAEYSQWPFGKKIGGQGIGANIPQLIGEEVTKGKDKELQVEVVAAC LSVFTRLDSLL PFICI_03455 MRVEKFPLSPPSLHDLAEQLGGPLRENYEDATVSVVDCPDLRQP PFHLCTEGLCGDEKISDVGGQPNLFPQPRLDSTWSMTDLARAMEMSAHSGGLIGAGAG PFHVVGQNCELAPNLSWKDKIENITNQSRLARIVPETNAVSLEKSPSPDCGLMVNLYG SLGQPGPILKITAKGRKGSETSFTECIRQGLAAAFGVEETISLGGVFVVKSGKALYHI MPEFPSEEQLPFKDRQQVDDWLTYHDFDSPMVCLSVFHSADPNNAKALRIEHTHCFSA DGTNAGGHYHHESTGAADAIEYEAYFNTAKMIYRIDRPVS PFICI_03456 MPLVGSISTDTFDADIKDRHLIYSYSVPASQTESGKPEKWRYEM WFYNSDRIVYAIHGGPMAGRKNYQGATYQCIRPGELWQCNWLEETGTMCSLVYDIPNK TITTMLGFSKGHWENAHDAHGNKRNKDDLERWRGLAKIGSQNERTMLCEQAEIEEDFR GRGELEQIEMDWPVL PFICI_03457 MASNKVSAPSEEPAALADEGIAQGSNSGNMTPNHKVVHHETSRK SVLVCVTAALGGFLYGFSGNAMSGTLAQPSFITDFLSGADALQRTDGLFGGFLAGATI GTVLQAPVSNKFGRKIANLTAAIICIVSGALLTGSVNYGMLCFSRTLNGIGAGMVIAN SPVYMSEVAPPRTRGLLVGLQGVGIVLAYIICSLCGLIFSFVETGYQWRLTFVVLTAA AVVHLCSLYFLPESPRWLMQKGQPDQARSILEMLHRTHSDPDATLAHAEFIQIQAQVE EESRLPRGYIHIFKTPHLRKRAICSIILWVMGQGTGITAIANLVPVLMGGLGFGTTMQ LGLGVVWCVCAIVGCGFNVVLMDRVGRVRLLVAGGFGSAIILSLMAALIKTYLNTDDL PGINATVAFYFIFGAFFTSTIECTAYVYGSEIWPTHLRSEGSTIAYASFFANAIAYSS PVSVALDNIGWKFYLVFVSVTFVSTLVIMFYFPETKNLTLEEINARFGDNVVIDLKDA AAAEKNTEIKAKNP PFICI_03458 MSGSPLNQSLRPRVHEGKLAIITGSARSIGAAIAEDLASRGSNV IINYVTESSDDPATDLGHRLEKDYNIKAIPVRADISKKDQCDLIIRAAEKHFANPETG KVQIDILIHNAAVLYLGGIEEVVEDEFHHIYAVNVLGPTLLTAACLPYLPTDRSGRIV MMSSVNPKIGTPNTTLYSGTKAALEAMARIWCRELAERATVNTINPGPVMTDMYLSSS EEIKRALALWNPLTPLAPIRESDSAEVQELGKRLGGRGAYAHEIAQMVATLCSPDSGW CTGSLISCNGGLSFSY PFICI_03459 MPKVAEFPVYPVLQGKVALITGGAQGMGKATAQVFLKAGAKVVI ADVQADKGEAAAAELSKFGDVTFIQTDISKSEQVQSLVSQTVDKYGALDCAVNNAALT PDSTPLIDLDEDYWSRLVGINLTGTALCCKYEMREMIKAGTKGSIVNIASINAFRPQP RMPAYTSTKHALIGLTKHASSEGGPKGIRINAIAPGAIYSDMSAAALETMGTTEEEFA KTASHLHRFGQPHEIAQGSLWLCSDASSFVTGSCLTIDGGFMAM PFICI_03460 MPLGGKGPATIAVLCIETVVSGLCVGGRYFIRRILKNNPGSDDI ILLASWVFMVAFAVLFTISSLYGFGQHVDVLDPEDIKMATLIELCGQFVVSLAMGLSK TGVALFLMRIITNKWQIAVLWGWIVVMMALSILLAVSCFAQCFPVQGLWDAEVTIQAC PLNLTKIAFVMCSFSAAMDFFLALCPYYALKDLNMKRKEKWTIIISLSLGIFAGAFGI VRTAGLGVLSETTDYLSDSVMYTSTELTLTLVCVSLPIFRPLVRQLSSYYSYNRSDTK ERSGSGYRGYQISKERTRSVKLGSRPPDLEQGLDSLTAEGLRKSSCNNDDDNGHYNIW REDSSSAKSILDKRHGIVRRQEVTISYDRNDHTFSSIR PFICI_03461 MISRHVGAAAAVLLINSAVAVDPIVAKGKYFFNSATGAQFFIKG VAYQQGVGVGGEVEDGKTFTDPLSDFDGCSRDIPYLQQLGTNLVRVYAIDSTADHTQC MNALDEAGIYVIADLGEPGNSINRDEPQWNTDLYARYTSVIDNLAKFPNTLGFFAGNE VTNNNTNTQASAFVKAAVRDSKAYITSQSFDHWMGVGYATNDDADTRDNLADYFNCGN QSDAVDFWGYNIYEWCGENTFEASGYEERTQAFENYSVPAFFSEYGCNNPGGGASRIW QETGVLYGTQMNQVWSGGIAYEYFEEQNDFGLVTVDGTNVQTSKDFSALADQIKAVGD VQTSTKMNSTNAVVANEPRACPAVTGDGGWAAAEALPPTPDEAVCSQMVASLSCVPSE KVTTDDIGSLFGTVCGMDATACTEITSDATTGSYGAYTMCNATQQLAFALNQYYVNQG KSTSACNFSGQAKLVSPTEAAPEVTTGSGTSDTTTGADTSTSSGSTSGSTSSSGSSSG SSSSSGSSTSSKSGSGSTGASGGNGTAASTTNNTNQNQSSQTAGASLLTSGIAVYATA LLFVGLNLFVI PFICI_03462 MWAKIAAPGVVLLTVAVSSSWAAQIPQIPLVGPTPALGTQDHSQ NYSFDPLLHLPGLSPYFDAVGFGLEHSAPLGCKVTAASYLVRHAAIYANDNDYEQFME PFLSAWEKADKNWTGPLEVLNEWKSPYTDLENQMEQLTPAGADDSTKVAQHLLRRYPD LVPTTNKVFSDGKARTQDTAKAFIKAFPQKVELKKIHKHGEQHAVIPHKSCDAFTKAA GDKEQEIFMHQYTRGIIARLQPHAAFGLKPNQIVGLQQLCGYESAITGQLSKLCNIFT PMDWLAYEYLWDVKYYYMVGPGNPLSSYLGFPWLNVTAELFSQLHDVDDDKVSSKSKA DESQRFFVSFTHREVPPFLATALGIFNSSSGVDEAMPLDRINFPRSWKMAELIPFLGH IGIEKMTCGPESGAIGGSEFIRVLANSAPRPIPQCQSGPGASCELFEFQSFALEGLKK YGQFDKVCENGKDSKKR PFICI_03463 MPSQVRLGTLCLLGIISPIILADDLGLDSGYVSFNTANLHAKIV VESQVLVSLTPANDDFDFLPFDYLSRRASNGQYHWGDITYRYREQGSSAAWQDGDSAA SRQPVTAITNVTSQLAPTLPTGPLNITRQWIDVDGDLGLQFTLQNSGSVSLELGGLGF PAEFNSIFTGRDASTMLAECSLSDPYIGLDAGYIRVTPTSGTGAALVVTPLQNTPLEA YRNLNEPYFDDTAYGSQTFEGFYEWQVYSTAWAENEWAAVEPWNPASSATLEPGAELQ FGLRFSVAQDGARGIAQTVENTGTPVARSVPGYILPTDLTAQLLLKHSSGLQTIEAEP AGSLVLTQLSEGLYSVTPSSSTWGRSRLTITYADGLVQTVHYYLVKSGTEAISDLGTF STTAQWFTDISDPFGRAPSVMTYDYEAKAANPRVWIAGLSDEAGAGSFLAATMKQSIQ PSASEIAKLETFVDGVLWKTIQTSDYAVRKSIFYYEPSSVDYDYSSSLDWGNWWSWNR DAAYSIDRAYDYVHVSAAYWALYRAARSYPDLFSSHDYTWYLNQSYNTVVRSMQTDVG YNQVGLMGETVWGELLNDLAREDWTSQANTLTSLMKSRASRWNSEEVPYGSEMAWDST GQEGVYYWTKYFGYADSALKTVNSVLGFMPTVPHWGWNGNARRYWDNIYGGKLQRIER QIHHYGSGLNSLVLLSAFRSDPTDDYLIRVGYGGNNGPLSNINQDGFGAASFHSWPDT LEWDGYSGDYGPNFLALALGSGTYVVDDEDLGQVAYGGLLESDGTTITVETRDPVRRR VFIGSLNVFVTIDAGIISQFSFDESSGSVTLTLAQLDGVPAAENAIVWVETTAGTANY TIAGAEAARGGWQIPLDTSSLSVTLTRS PFICI_03464 MAAKNDKPSVLTPRETEILVAAFVSQEEHKMDFEKFIKYSGFAN ANSARSNWNPLKKRILEMAGEDGLDIPVQSARKRKTKADEAPAATSKKPRATKKAQDA EEDSALKGSGNEDEEEAVIASPVPAKKRRAPKKAQNDQAKAPTAAKKRAAPKKAKAVE EEVDQEGEGDGEEDEAAESF PFICI_03465 MAWKLITALLLVPNVLAVPAPDGSLCVKTVPENCTLTFIGDIGS AKTYGQQKSWWRIAQIYDNTCKLIGSRERREPTFEALTGIVPFSIDSALRWTTDVKRL NFYGDYNSISFRYGAYSYDGAFDCLLIGDYLSDKAGAKNNVCRHQFPCK PFICI_03466 MSALAAESWTWYAISWVVVIARTISRRLLHGSFKKVQADDILML VAMVTDTVLIVCMNILAKTNTNLIDPAHPADLTPQDISERTYGSKLVLVVEQMQIVTV WLVKCCLLIMYARLTMSLKQNIAVKFVAAYVAFGFVLMEVLYLAVWCRPFTEYWAVPT DNIQCSAATNHLITNAVLNITSDVMIICIPMPVFLAVKLPVKRKAILVGVFALGVFTI LSAILNKYYSFNQPFGDQWTFWYIRESSTAIITANLPFTWTLLQNTFNLKSFNGKSSG ARTSEAQSRFRSGYGRGTNHLTTITRGNPGIELGSCNSQEQINDAFGTQLKIYQQTEV HVSTHDVEKGALETTNAVSPGVYPGGASDSDTSSHESEVGVVSGRPRP PFICI_03467 MSSGALQANTTLSDPNVPSRNDPKWTEVFRFEATGLIQTSVSGD VCFDIQLSNLREEAPPPMAPRRD PFICI_03468 MAGDGSRPRGLRWRSSTVFIISTVALGLFTDLFLYGLVVPVLPF MLSDRLSIPENEIQSYVSGLLAVYAGVQLLCSVPVGWIADRAPSRQLPFLCGLVALLA GTVMLALGRSIAVLILARALQGMSATVVWTIGLAMVLDTVGTENLGKTMGVIFSTISV GELVAPVLGGVLYDKTGYGGVFGLAVGIIGIDFVMRLFLIEKKTAAKYDNSLLANGAV NAREHDHGHGTNGDDDIGPGEQDALLPGKVDEAYKIKHEPSELVRSIPILYCLRNPRL VMALVLSLVQATLLAVFDATVPTEAQTLFGFNSLKAGLLFIALDIPYLVLGPIAGWAV DKYGTKPAAVFGFAWLVPMLVLLRLPTEGLESGRTNIIIYCAMLALNGVGLAVIGSPS FVEASEVVQKYDKANPEFFGANGPYAQLYGFSSMFFCAGLTLGPIVGGALKDSIGYGN ANAVFAAVSAIAAVLSYLVIGGKPKMLLRR PFICI_03469 MDPNVSNGTCYFTQNTETKGSFIPCGNVALGHWPCCHTGDFCLS FDKANACYDPETGNTYLAGCTDAGFNDPACPWKSPQFNQQEWVAIHQCQIGTGSNDTK WGGCETSENSTELERLPNQSCDPYCSTILFEGNTALPAYASLPNSTGSSIAWTSGFEP TAVYNPTTIATEVSGTQVTITSIQTRPLATSPTGTATQSTPTSTTVPPTAASSEGLST GAKAGIGVGAAGAALLFVATMLLFLLFRRRKRQAKANQDENLAMQHQPDLSNRQYAYP SYQAGETTQLSPKPWPQELAAGARNDFKSELPANEGPVTCELAADHSTTPHEHLFVQQ QQQQQQQHGSHYTQAPSPNSPYSTLGSSGTDQVSYYSGTTAGYDGNRYGNAPETARYN ASFQNFRQY PFICI_03470 MSECHTHEHAHDDIPPPPADGWKTEGVRVIPGDQLDHNTGNAHS TPGMDRAAAINFARVGAKKIWAGTVHIHADAKTGAHHHGHLESVIYVVKGRARMRWGE KLEFTAEAGPGDFIYVPPYVPHQEINASADEKLECVLMRSDSEAVAVNLPDLEPVEKP TTVKWIDPTHTH PFICI_03471 MAAGAEQALFTREALVAIERELERNGQNLTLPATRGGVQEIIPN EFQHIKDKDEEPGESIKFPLITLHGEYEYNRLTEKGSDNEYRCVSIIPSYRSAPLRTE EDLFMHIVKETMKTEHRETDEIKDLKECLLRHPEVMTRIRKIVCFDLTPLDPRDHDEL AERNISEESLSELDEEDRDSLMITRSMEQYVAVHDLAWFIQQNFGPKYERPEVYIQNP LYSSLDRKLLAKSLRFKDVGGFGAKGFLLVDEDTMVISSDDEDCVREVVLDVSRPACL WVRESSDLPKRTRQIVDADYIESHRKPGYRTGLTFPGNRVYFRRSGGPEDAAEETLKL NTDYVEPDPYHMPGFSPISRRPSPDFPPAGEHE PFICI_03472 MAESSLQDRLRDHAKAFDGLLSLIPAKMYYGDDNSDQWKKKKQT KAQAAEARRNKLDPDSKLNRSVKEVMEEQAQKKRKRDQGLADSSDEWSDVDGIEAEKP AEGMKKKKLKVEEPEPELTEQERRKAEKREARKERKAERQALREEEAAYEKRNVKSGV NKIKLGPRESKPASGKSSEPAQNAPTDDLQELEEDEADDIDDKSEDAEDLDMASAADE EDSEDQSDSASAPPSVPESPVFDMNGTPIDSTGQPPSTSTSISSTVPPSEKPKHIKIP SDTTALRERLAAKIQALRDARKADGPDGKPIRTRQELIESRRQKQAERKAHKKEARKK AYEEETRKREEALASARNSPGSILSPAVDLADTNFTFGRVAFGDGAQLSHDLSHVLSS GKKKGPSDPKTALLKFQNEKKRLEKLDEEKRKDVEEKEMWLSARKRAEGERIRDDEKL LKKAVKRKEIQKSRSEKAWKERAEGVQKSIKQRQQKREDNIKARKEQKLLGKGGNKKK AAAAKKKGRAGFEGSFGGKRK PFICI_03473 MDKTDYAADQYGRPDTSQVPGTGVAIGPGTQGGIHASELGSDRS GTKTNDFTSGIEGTQAAHSSYNTGQKSESDYHHHPHTQRASVGGREGNPLASGESPND SLRNDVTSRDYHGSSPSIVNTSSLSGRHRDDNDTLGRSAEHVPRSSATESTAHGLGSH TSNYSSTGNTKDTNALGSATATPQQQTMAGHKNPSVVAASQPTTHETGSMLDVGDVPV HSAHHSSSHNPTHTSNQDPTTSGHDGNKAGSHTARDGAGMATAGAVAAYAAHHHNQHD SRSSTSKPTGSGIDPTDSDPRAGQTSIDRNGSRQCHQNERDDSSALHHGTPAVGQDDR LDTARSGHGDHRSRDAALAFGAGAAAYGTHEHEQHNKRSETSASQPIGSGTDPTHADP RTAVGTTGSTYHDPQSGNADHRSRDAALGAGAATGVAAYGMHEYNKYKEPSASRKPVG SGVDSTHLDSRTSPSGDHRDPQSASRDHIGNDAAIAGAGAAGGYTASRLARHHNEPSI GQRGEGMSDESQRAGVDRGTYGQPSTASAATHVPSTTAHTQGQYNTLPGGTPSGIAVN ATSANRNEPTTTQGAPRTTGISSGSAGRGDNEPYYSLSSGTPSGIAPSDQQRGSGVSA NNYQTPSSHGQISGVGTGLKASAAAAAEQLRSTGRVTHRCQNCHEDMDISKHFISSQ PFICI_03474 MEQHEYEQYEVRASHDDFGEPLGRRTSALEEAPTLAPPAGFPGM PITTRRRSTASRMTQEDGHQVEDLDALVRSASAISRHRSHEARDSVFELPQIQRPRAV KPGPVSTIREVPSTLNTPISTRPPTPTSGIPSSDAGGPFPHEPDSGLATPAEYETGVH QAYPDVGVIKSWRGAMILLCTCGAQLMDNVFMTGVNIALPSIQKDFDVGTGDLQWLIS AYTLTFGGFLLLAGVLADRFGRRLIFCIGMGFLSVWTLADGLATSFIQLAIFRAIQGI GAAMTVPSAVGIISNYFVASDRTLALTIFAAAGAVGFCLGLIFGGFLTSSLGWRYIFY VPLAVTGSVGVLGWFTLPKDRLEGLSRPSLDFAGAGLSTAGLILLSFVLSSGGEYGWG KPFIIVLLILSIAMIGVFTYVEKKVPNPIMPLSLWKLPNFAALWLSGFVMYGGYQTTI YYTTLISQEINELSAGQTAIRFLPMGLVGFVTSLGMSRMVEYFNTKYMLIAGMGICAA APIPSALMRPEDLDFWKHVFPTTVIGVFGTTIVYCTITVVLLASVPVNVKSLCGGMVN TAFQIGSGVALALASAVVQAVDINKGHDKLQQYSTGMWCCVGLAGVGMLATLGVKNVP KMSTGGLAMAH PFICI_03475 MRSLLLLGAASLVASSPASNGINLSIINAAPAPSVTGPSVDDTV ETGIYNAAAASSSAVAAVTGVATASASSPEKRAVVEARGFCIFGWCFGGGSSGGGGSS TTQKTTTTTSKATATTATTTSSIVTSLPAITTSAMTTGVVVPSTCTPISWTNTNAFTT DPACPSPYEVGTYCGFINPEDPCAPQPDGYGPPTTNPDTAPAFQANPVYHQLAQSAVT PSGYVQTFKDLNASVNANSYMGLYTLQSYDVAGCAAKCDSTSLCTAFNLYIERDPSFN PDQCSCSGNNVPSFTNFKCTLWGSGVNSAAATNNGQTRSGFSVVIAGSNGYAKTNNTT PVTPPGWTNPKNCSGLHNHPRTCLGEQLFKGVFDVSICATYAATQNAVNVKSGIINTI LSWFGYNPGKCNFFNAFMLTQDGIPQGTYCKLFAQQYDPSVGNTIPGWNGGHYLGVES SWSYCSA PFICI_03476 MSSQGRDNIAGLPQSRMDDAIVLPARPEPIRLSRAETAIIVVDM QNAYSSEGRYLDLAGFDVSGTQDTIANIKRTLAAARAAGMQVIYFQNGFEKDYHEIGA PMSPNWYKSHALKTIRARPELHGQLLVKGTWDHATVDELKPLNNDIVIPRIDTVVSSI QVSTAFGELGAFETWSLWVWPQTSASKPRSVMHFTWNTFAYS PFICI_03477 MWSRARAAAAVLFGVGVSASCPNYADYSRRQHEPLSDGAFRLSY MRPEPACRTFNSTLVEDAITRVKDQIWDPDLSRLFENTWPNTLDTAIKWRGVAANNTE EELCFVITGDINAMWVRDSANQVAPYKYVLSSPADDVASVFRGVINLQARYLVVNPYC NAFQPPTESGLPPDSNGGIYSVTPSYNRNFVYTCNFELDDFGGFLQLSHDYYTATGDL DFFGKFQWVHAIQSILSASRAMQQPTYDQDGKWVRSAYTFQSQTMTAFGTLGNNGMSN PINDTGLIRSPFRPSDDAAIFDLSIPANMMMARYLTTNADIMERLPNAPAGLAQEMRD MATQVQEAIKEWGIVRAPSGKEIYAYEVDGFGGRSLMDDANVPSLLSAPFLGYLDKTD EIYQNTREFVLSRANPWYCSGPIISGVGSPHIRPGATWPMSVIMRAMTSSEDGEIISS IKQVLQSTAGLGLIHESVDAYSASTWTRQWFTWANGLFGQLVMELEQRNSSVLQESFQ PLRYRDV PFICI_03478 MPIFDARDIISNDPNNSTDYIIGDIHFNLTTLNYWNYTIYGNGT ISNGSWCFLTFEPYIPTLLYPNGSFVNSTWCYTPVNPIGTRGKVGVAFAVLFAASLVF TLLNLTRHGKLYLPVTKRFAPIGRRWQWYWAIFVAVAAMIGLFTGVDVDRYYLSSIPI VLNNFFWYLLQMGTMAIVWEAVRHWGSWMERQFIDPNPFVLQQTDKRGMFELLIPLVF YFWVWLNFFLIIPRNWGNLELQRSDEQTAMFAEPTATDGRFKAATFCLFAAWLTILIS LMHSLHHYEQRGRGFLNRMIGGLGYMPFRFALLLPISLAVVAYQGLAAWDFSLSPMKQ ETNYVAMYVGGYLPALLIVFIQNIAGFMRQNEDKALIQQRRARGATLDRELGIVHKPA WWKRVNGEHSEGGMRNAILRNVREVGGGRATGQNVEAAAATRAREAEVAENAQPIEMN EIRRTNSIASSIRTGATAPPPYTPYSGRSDQRRSDRTMQAAAGLLFPNSGSATTSTAA TTTTTTVDRGRRTNVSPGTQQRPGTSERSNSTQSGVSLDGQPQQIRSMLDV PFICI_03479 MAATFRPVNIEVHKEEQRAPSPTTPTPTRATFSQSQQRPLPTSP FPQAVQIPESIEEDGVPHREDSQRSKKSRGDSEDIGMEDSDAEGNDDGSDDESVNADG TKSNKKKKSQRFYCTEYPPCNLSFTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLR QHAQTVHVNEDIPMDSLAATGTRFQRQIRTDRVRQPGRARASTASSVGGGVRGHAKSL STSSIGSISSNFSSARDDIRRRPPPLVMADPRSRLSFESYGSTADSQYSYRPVSPSDF STPTSATFSTGQNSPKWGSGIASPTTSHSRAHSMYAPGSRTPGRRLSVPSTGGNPFQS PHGHGPPTGRPLFGPGHVNGSMGTLSPSISVMASPTSSIFSRRDSIASAADDAWRRRT WHPDSSNFAATTASSRLSNVITPSQFQPGPLPIADPTSQSNNVRLPGIESFDPLPHRT ASPHRRMPSPMVIDSDTPHQSTLQPVQEHVAEDRPSTVNWDMGLHRGLTRLDLTSNTT PPRDSAGTWAQEVQQAVDAEANRVRLNPPTVRFNDQVIVTSAPSISAPAPAPAPRSFH QHTMSAPMITHSSGNKRHGWYHGPVNAHGEARPEKIARVERMVHPNISHFSGFPARNQ PPPPHPPSAEAAGDRDNMLRLQALVAVATSENSATPAY PFICI_03480 MPAVKQPIRIGGCSGGFSDRQRSIHDLAKSGAVDCIIGDWLSEC TMTLHGAEKQRNEQLKREGKLTEAAQGLFDPTFLDNLSPALPYCQQFGIKVAVNAGAS DTELLAQLVDQEVKKQGLGLKVAWVAGDEVTDTVKKLYAEGEVFPSLMNGKPLKEWGH DIVAAQCYMGGSGVAEALKRGADIVIAGRVADAAPTIGAAMWWHGWDRETDIDQIAGS LVCGHLIECSAYVTGGYYSGFKSLMEGCENLGFPIAEIHADGSCILTKEANTGGEISV GTVSSQLLYEIQGPLYYGSDVTANLEGVVMKQLGKDRVLVSGVKGLPAPATTKVGITA WGGYQAEFHVYICGLDLEAKAEWTERQIRYSIGDAIKDLTCLEFQLLGYCPENPKNQD VASVDLRVFVQTKRKELVDKFTLDVPGFNRWCMENFLQSCPGATLGNDQRQSQGREFY EYFVTLIPQSILKHQAILMWSGEIIDIPVQDNVRSDYPRDQKSYETPDPVALSSFGPT TRGPLGWIVGGRSGDKASDANVGFYVRHDDEWDWLRSILTMDKIAQLLDGTNRGAKIE RFEIPGIRAVHFLLRDHIDRGFNSTSDMDTLGKNLCEYLRAKHIDIPNKFLARGRF PFICI_03481 MHLTSLLLPLAVAISVSAVAVPGPIDLIRRAHTTGTVITKCSKP GVLALAFDDGPYTYTSALVDKLDAAGAKGTFFFTGTLYGCIYNQRAAVKKAYDNGHQV SSHTWTHANLANLGTSQIQSEMTKVEQAFVNIFGKKPAYMRPPNLATSSNMLSVMSQM GYSVITDDIDAGDWNHQTAQQSEQKFTQAGASGNGHIPLMHETYDSTVNTLVPWLINW AKSNNLKIVTVAECLDDAANMYQPGTFTGDGATSC PFICI_03482 MSADSTDPSAYPALAEAVAAVHEHEAHAHAQVAAAAAAAAAVAA EGDAAAATTTTTTQVQAAEPGSESRSESGNTIITGPGVVAGPEADTATGTGPGSRPQP PSPQNPQPHHHHPHPHHYPDQHHSEQQQTPPTHDQHQAHAAPPPESSSQSDNHQLPTS LQYDDGLSVAATAVAHHGLQALEAATATAVAPSPLAQQYAQSASMHVAEVAPYTPMTP QQSVHNGASMSPTPLQPQPQPQPQQPPHQMPPHPPLLQQQQQQQPPPPPQQAAQKVTR LRRACDMCSSRKVKCDESGPPCKPCKDLNVECTFSREMKRRGPPNKHAEAAKAAKRAR MEGLSPVQNITDARVILGGQANVLDAEVIAPWPVLTLLVDDFFTYIHPLMPFPHEPTF RAAFNARADRTSTEFLALLASMIGVLVASFPRSARAHLKATHSTNLFPTAITMIDRCR AVAMDARGSTYMAKEDMTVDDAATSYFLALSAAYTLQWKTCKRFMAETMTFCRELLAH RRSDAVSSVADIAAALSGTNRAMNHIQDQISKRIFWVMVAGLRSMTQLGASINEIPLP PPTTQEPYPDLPVEVDDELIYPHQILAQPEGTTALITGFNHNVNTYMAMNELVGVDMC YGINFFEWSAQKSMLSNALSSTKAHLERLPDSLQIKIDPAQLENGLGAVADDPNLRYY PPAFPATQPPNDVRHALAEDPHRRRRLQLEIQKANIYASQLATRSYFVERYLNLRDNH KAQEHAATGDAKTNGDVRDEMDNMVYEEREAIIKNLLTVLTSITQRNMEPNGVSLVNK IRQIASTLLNDAPERKGPVAKQAEEYLGKFLEILVKLERTGANTAPGTMTPQDEDDEL QHWASLRDYQIQFVNSGGFLGSSY PFICI_03483 MRHHTHPKENTFPDLTYDGVTSSEWEYIRETTNHYSHGPVQDVT DSQIRCYELTEGEGANGTQTVAAGDTVSFVVDPSIQHPGPLQFYMAKVPSGETAATFS GEGDVWFKIYEEHPTITTDAITFASSGLTTVSVTIPSCVAAGDYLLRVEHIALHSAST EGGAQFYLACAQLTVTGSGSKTFTGVSFPGAYSATDPGILINIYWPIPTNYTNPGPDP VSC PFICI_03484 MSAAQLLNPKAESRRRGEALKVNISAGEGLQDVLKSNLGPLGTI KMLVDGAGQIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDICGDGTTSVVLLVGEL LKQADRYISEGLHPRIITEGFEIAKTESLKFLDSFKLPKEVDRELLLSVARTSLSTKL NSTLAGKLTPDIVDAVLAIYQAPAKPDLHMVEIMKMQHRTASDTQLIRGLALDHGPRH PDMPKRVENAHILTLNVSLEYEKSEINSGFFYSSAEQRDKLVESERRFVDAKLKKIVE LKKEVCGNDPSKGFVIVNQKGIDPLSLDVLAKNGIFALRRAKRRNMERLQLICGGVAQ NSVEDLSPDVLGWAGLVYEQQLGEEKYTFIEDVKEPKSVTLMIKGPNQHTITQISDAV RDGLRSVYNMIVDKSVVPGGGAFQVACAAHLKSDSFKKTVKGKAKWGVDAFADALLII PKTLAANAGHDIQDALAALQDEHADGAVVGLDLTTGEPMDPTQEGVYDSFRVLRNCVA SSSSIASNLLLCDELLKARQMGRQGGPGPGMDGPEE PFICI_03485 MYYGLLTSSSSLTTLLLCSFGALAAAAAAADHGDAASHNSVKQV AIIGAGAAGSSTAYHLRKYADEAGVAVNITLFEKTERIGGRTLTVDAYDDPMQPVELG ASIFVNVNHILWNAAHDFNLPLIDPGPDEDTLLGVWNGDEFVFEQDSTTSWWWDVAKL VWKYGTAPYYTRNLVKETVATFLKLYEAPHFPFRSLTTRVYELGLHKITGLTGQQFLE EKGLYGMFARHLVQTATRVNYASNLAYIHGLGAMVSLAADGAKAVEGGNWQIFAHMAG YARAYVHLNTSVASIGLASRSDPSAPASKYILKTRESTNAAGTVAEAEHIEFDDVVVA TPYQFSGIDHDENLLQQPIDEIPYVTLHVTLFASPFRFSPEFFKLQPGATVPTSVLTT LADDETARPGAEAAGKAGFFSATLVRTAMNPSTQRRENVYKIFSPDKVTPEFLSSLLG VRVPDTFTGPVDAETRAEFDVVEAVSWIHPTVFHPYPQKLPRVTFQDPILREGLYYTS GMESFISTMETSALSGMNVARLIMDDYLGLATKPKDDHIAAAMLPEAWEQRILQQ PFICI_03486 MMPPGSQNMDFKCALVTGGGGGIGKAMSQYFISQGKQVIIAGRT ESNLKATAKEIGVTAYYVLDTGRVADIQSFVGKITKEHPEIDCLVNNAGVQRPLEVLK QDPDEFLQKADQEIDINIRGPMHLALAFLKHFKAKEHSMIINVSSVLGFVPFSVINPV YNGTKAWLHFWSLAISRTQLRGTSVRIVEIAPPAVGTDLHRDRDDPDDNKKHKNPDAL SIEEFIDEISKKLERGDEMITAGIGNAIVDKWDETMGAKYAEMTEAS PFICI_03487 MFVLKNVGKLIFGNSSQESMIELPQGQLYLVRPLSPKGYSELIF KDATARIRRTGQDFQYQLVVQRVYEEGEAELLADEEGEDAEIDALANERDEKTFLLDE ALHFRLETRPGSGTILAWKDLSGDVGDLYEFVCDSGVQSMQIEAFERIAKQCQYERKY RKPHTTASESDLQQFEFEDEQPIPQASPIQSPTIGRTFESADDMFFAKTAANTGVKRE AAPPQQSLTAATDPEQDTPEPPREEREVRAPAREMAPPPVPTQPEPLEILSMELGELH FFDFPSGSFILKDTSVTAQVSDVGNWQYWLQVASKDQEWLGIPVIADINPVFNFEFLS FIFNQFTEDGSAYSWLLRFKDQQTLERFQEGLMRALWEQLNEIKWTKVKDQERQYVLD AFEDLKMEDVPEEGPEEEEEQEPDVDEEEEEEDEDPGIRSEHYDSDEEHDDLETQPQD KATNKSISVGYKHDRSFVVRGSKIGVFKHAPNNHLEFSTNISEVKTPKGALFTPKKAM LHNEDRNMILQNEADPTKLYRMDLEYGKVVDEWNVHDDVPVVTFAPENKFAQMTHEPT FLGISHNSLFRVDPRLAGNKLVDSQLKQYASKNDFSAISTTEKGYVAVASNKGDIRLF DRLGINAKTHIPALGEPILGLDVSADGRWVLGTCRTYLLLIDAKQKSGKNEGRLGFEK SFAADSKPQPRRLALTPEHVAQFAYETGKPVTFTPARFNVGQSLEETSIITATGPYII DWNLKKVLRGAKAPYTIKRYTDEVKADDFKFGTDQNVIVALPNEVNMVAKKQLKRPTR DSIAGFGAQLSTPRRSSGRIGTRESGRYKLGKEDVVASPF PFICI_03488 MAQDDGTPGRLLLISNRLPITIKRQDEGQYSFSMSSGGLVTGLS GLSKTTTFQWYGWPGLEVPEPEIEKVKQQLKEQYGAVPVFVDDDLADRHYNGFANSIL WPLFHYHPGEITFDESAWDAYKEVNRLFAKTVVKDVQDGDIIWVHDYHLMLLPELLRQ ELDGKRKNIKIGFFLHTPFPSSEIYRILPVREALLQGLLQCDLIGFHTYDYARHFLSS CSRILETSTTPNGVAYKGKFITVGAFPIGIDPDKFVEGLKKPKVQERIAALSRKFDGV KLIVGVDRLDYIKGVPQKLHALEVFLTEHPEWIGKIVLVQVAVPSRQDVEEYQNLRAV VNELVGRINGKFGTIEFMPIHFLHQSVNFDELCALYAVSDVCLVSSTRDGMNLVSYEY IATQHDRHGVMILSEFTGAAQSLNGSLIVNPWNTEELANAIHDAVTMSPEMREANFRK LERYVFKYTSAWWGQSFVSELTKVNYEAGEKQSLTGTGEVSQKLTMGANGAVAGVTEG EAGST PFICI_03489 MGSLHSPDDEGIFFGGYTSNIDEECGEADELLRRSSTDSLELDE ALSVYKTIHRIRRLIIATLEDPYTLNELRGPRLNVIIVRPLVNRLYDPDDPSVVYCLL ANRIQFLREQSSSAQQTVSIARASLCELVAARILRRFNEDHEGPLGLLLMAHILVDGF DPFQGAPPEIEQEHRHPQWPMQRDDGHERKLTTLELAIISESKVFVGSLACQRVIDAV YGGRIVYTPISFVDILPDHYKHRPISLYDARAAPLLPHNRLSVPRIRAIIEIAQFLVL VVLYILTMTHRSSSHVSAYEWVFIIYTTGWVVDEFASVIEHGWEVYTQTLWSFLDMTF MVIFGAYLVGRNYDMSTGSVADGYGLHILCTAAPVLLTRAAFAMMPDNIVFISIHAMM RDFTLVTSLAMWCFTGFLLALVWLNSSTKTDSSPGWITVCKWLLWIWFGLDGTGFSRS GDFHPVFGPALMTAFAFLGNTLFLTILVALLSNTFSQIIADAAAEIQFRRAVLTFAGV KSDSVFAYPPPFNLLALLILLPLKPLVTPRLFHTVNVFMIRVCNFPVLLGISYVERRE SLRSSLSRKTKNSLLHWNFSGFSPHGDIQAVFEAEPPRAMQSEIEDMDALSDIGYAES ELVSIRSMSMRPVARGRRKRGRRLSFPRNVMFPLSNAPTMERDI PFICI_03490 MFSRRLATAARVVQLRRPLQPMRGMPLPALMNQVRTYADTIVKV PQMAESISEGTLKQWNKQVGDYVEQDEEIATIETDKIDVAVNAPEAGTIKELLASEED TVTVGQDIAKIEAGGAPPEGGNKASKQEEASTDAKPAEPKEEKKTEPKQESKPESKPE PSKPASKPEPKKETSSQSAKETSGPVLGSREERRVKMNRMRLRIAERLKQSQNTAASL TTFNEVDMSSLMEFRKLYKDDVLKKTGVKLGFMSAFARASVLAMRDIPVVNASIEGEN GGDTIVYRDYVDLSVAVATEKGLVTPIVRNVEGMDLVSIEKSIADLGKKARDGKLTIE DMAGGTFTISNGGVFGSLMGTPIINLPQSAVLGLHAIKDKPVAVNGKIEIRPMMYLAL TYDHRLLDGREAVQFLVKIKEYIEDPRRMLL PFICI_03491 MSPLRAETVQQITDGLKSSKLFSLTSPQLLVVDASGQKTLGPAE SPSTTTTTTIEDLEFALAARRAAVAIYQATIASSIGLPISTTTAKPLLAALSDLPLAP LPSSDWSSIDPDAPGSHRDPAGSDNAYPALARPPHEDILNPKRPVTPTTTTNG PFICI_03492 MTGGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQ RVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGH VTIAQGGVLPNIHQNLLPKKTGKPGKNAGSQEL PFICI_03493 MAPKAADKKPASKAPATASKAPEKKDAGKKTAATGDKKKRTKAR KETYSSYIYKVLKQVHPDTGISNRAMSILNSFVNDIFERVATEASKLAAYNKKSTISS REIQTSVRLILPGELAKHAVSEGTKAVTKYSSSTK PFICI_03494 MPRKHNSKGKRKSGRPTASPHWNQQTSRLNPTVGSYNSLSPFVP STASTTPRGHTLAEEARTTSKRPRGFFGQDAKLRHRPVTFVRGGFMDPMKELNIPQKE VPADAKQHETLEVEHPIIPHALVDQVDAAAPSHEAGEDTSPPLPSAHAEHKTDHSPLP ELFVIDTTGDKSLRPKELDKLPVLPRPESDQDSDSSEEVILFRGRDPTLRSAAHSQRA SPKSEVVDDSIELREIDAEIRIVESTIERGTHDHTTIVESTHVIGTDHLQHDKQPSVH DEIVHRKSEPSQLDALLDVSPSDEEAALVADYIANMKDDDSDMDDDDNDDDEADPHPG LGSHAFHLLRDLGGSDSDAIPEPAAKDDSDGDDSSDLEEEEEEEEATADSEMSAAARR RKVEQEDERLARMLAKQEELGLGGDDLMLYNDVEDEDDNEGDWQIAPRTTPRSKKKKK SSTKQAKIFQKKCQYPSATAMAEAFDDLDLMDWHRAALNNFEQAAKRTSRAPEHSDSE LEEAMNMAFKKDRLKKAEKKKQREALRAQGLLGKNVNPDDLRTKYQVGMSRDELVFEL EQFIIGSDEQLRFPPLDKHARQCLHTACSHLKIKSQSSGTGDNRHPVLYRTARTLEYE ERRFESIVDRVFRRQYFTRVDVDTNVAKAHRAGLRKDTSGLKRNDNAVSYREGEVVGQ HARELASDNKGRMLLEKMGWAKGMALGTVDNKGIMVPIAHVMKKSRLGLGDL PFICI_03495 MDATLDVGRRIVQMLWDPEPTNDIVKDQPVWCLGTSYRLNNTNT HKHPENTSSPTTASAVAAAAAHDSSPSTTSNNNNDITSDNNNNPSPAQGPAKLPETPP DSISSSLDSSLAYEDAPRDGGWPPAFLDDFESRVWMTYRSEFEPIAKSHDPKALAALS FSMRIKTQLSDQAGFSSDSGWGCMIRSGQSLLANAMQTEKLGRGWRRGAEGEQEKHLL SLFADDPRAPYSIHKFVDHGAAACGKYPGEWFGPSATARCIQALTNANEPDLRVYSTG DGPDVYEDNFMKIAMPDGKTFHPTVVLVGTRLGIDKITPVYWEALIASLQMPQSIGIA GGRPSSSHYFVGVQGLYFFYLDPHFTRPALPYHEHSADYTPDEIDTCHTRKLRRLHIK EMDPSMLIGFLIRSEDDWTDWRRGVKHVQGKSIIHVSDHDPVAHCEANENSFMDQVEA MSDDENDADTALDG PFICI_03496 MGNEQSSNRGSAPTNNAPQKTDYYELLAVDRQATDDEIKKAYRK KALELHPDRNYGDVENATRKFAEVQAAYEVLSDAQERAWYDSHRDAILRGDDPNETGG TAEYYNVRMTTASELFTLIGRFNSTVPFTDAPNGFFGILQNTFDSLAEQEIAACEWDD QPIVEYPSFGCAADDYDSVARVFYSAWSNFATKKSFAWEDKWRLSDAPDRRVRRLMEK ENKQLREEARRDFNDAVRSLVAFVRKRDPRYVPNTQSEAERQKILRESAQQQAARARA ANQKAAEDYVVPEWAQSRGDDQGLEGEFTESEEESEVEVLECVVCNKTFKTEKQYEAH EKSKKHIKAVQQLKRQMKKDDVKFDLDDPIAPATLADEDGGDDVVSEDGLAEAETLQH SDAGSEAALEKITDANKSVPTSKEEPSIASDEEDSGDDEYAPRAIVEDRLAAKPPSNG DRDELEDITHDTEDLALQNTGLNKKVGKAKAKREKKAAKQAELEQQGASQKCAVCDEL FDSKTKLFSHLKANPKHAAPVGSIKTSSKAAKANKKKR PFICI_03497 MKFAQEFKKSLQQEGFPQKWVESAIPYGQLKKCLKKVTRELDEL GLDKDTLAQLAADQSAATGPFSGHHHGWKYDLNGEPSKSNHLRPKLTVFVHLRNGVAV DATLSPSTRQFLSRIASDRRSSIASAAGIPDGQEATSTATAPASIPDASSADEGSEPE DAAQSLLDLQPATKAPLMLRKVEVPLVFDTEFFDILQTDVSNLDILQGQEQEKLKGEI VALGQEITKVSKPSNGKRAKDDLAKWRDIFELYLDARIFFSNRETDHGARSSAQATKQ LQWFQEQVLQRKLVQGLKLQPSREAFNKFLNVNAMLLQNLKFQEINQLAITKILKSMA ADCSISLLKEFDKRTSLGVAKTFPVAVHSEKLLAGSVAKDLCAQVSSEIISMVPQLDD YLCPICFAIAYWPIRLKCDHIFCSRCLVKMQRKGERFCPLCRGDVIMAAGMENFDAAH AAFLKEYFPREVKEKIKANELERGKEIFGPDYTDRPCSVM PFICI_03498 MPNFDLGESIPPDTAHAVSVSLPTWKANVGYEEGESWVVDKMVT GYPRFFIHKSIQAFAEDIVSKFGKSGQLAMLFPTKKTAQRCLQFIRDKAPAELTGDLE VLHLVLDTSKENSEALQTVRPAVSAVLYKQEAFSVAKQYWQHSGDGVSSRRAEFCHGL LNDGLLVLETAKSPRDSYQKCRGPRRYQQRPSSIDATNGHASLKGQAKNGVNGAAEDT TEALESSRFLEERFGRNLSVSLVANAKSAIRRRIAGTLTETIDLTAALPSMQSDARGV TNFREDDVYLFPCGMNAIFTAHQMLLRAREPRKSVNFGFPYVDTLKILEKFGPGCVFY GRSSSVDLDDLEQRLKSGERFLALFCEFPGNPLLTCPDLARIHQLADTYDFAVVVDDT IGTFHNVNVLPFADMVVSSLTKIFSGDSNVMGGSLVLNPNSRYYTPLKETLTREFEDT YWAEDVIFLERNSRDFISRIDRVNANAEAVCEILLAHPSVTQVYYPKYNDSRPNFEAV KTSNGGYGGLVSCSFKSKAHAEVVFDALETAKGPSLGTNFTLSSPYVILAHYQELDWA RGFGVDPYLLRFSFGLEQTEELMAVFSKALEASESVPSE PFICI_03499 MKDFKIDSVILPARGSNVRWDIVVKNDVVQRIDASSTRQEKGSP EDPITMLLPALCHPHVHLDKPYILTCNHGPKDQHPDYSDLAPASGAFTEALANTAEAK KRYTEEDLYLRGAQLLATSYRQGVTALRAFVEIDHVTGNKALKSAVKLKKDFSHLMEM QICAFAQDPIFSTEFGQENRVYMESALTEYADAIDALGTTPYVEKSREAAVRNIEWAI HMTLANNLHLDFHLDYNLDATSSSSPLTYTVVDMLQQNQWVDRADASKTIVLGHCTQL SSLAASELHDMARRISESMLPIYFVGLPTSDLFMMGRPGQSAEGSSRPHTRPRGTLQV PSLIRDYGLSACLSVNNVGNAFTPYGTGDPLQLASLGVGIYQSGTPEDAKILYSCVSW GARRAIGLEIGAAVEAGDMAEGDHWRPMLLVKSEGEMRLPDQAGGCSLVVKARPRLDF KDVVWDPPDMQLRSIVGVTDRDGERSG PFICI_03500 MAAGAFAGIAEHTVMYPIDAIKTRMQLVNSGTAYKGMIEGTVKI ASGEGILKLWRGMSSVVVGAGPAHAVYFATYEAVKHAMGGNQAGQHHPLAAATSGAAA TIASDAFMNPFDVIKQRMQIQNSSKMYRSMLDCARYVYKNEGLSAFYVSYPTTLSMTV PFTALQFLAYESISTSMNPDKKYDPLTHCTAGAVAGGFAAALTTPMDVIKTMLQTRGS AADAELRSVNGFTAGCRLMYQREGLRGFFKGMKPRVVTTMPSTAICWSAYEFSKAYFI RRNTA PFICI_03501 MRSFTWAATCLFIARSALAYDPYNITANYVINNKNYYARTWYGD GELYIGSAVPEGVATVTNFTVPAVRADLLYVEATGSDLNLADTTFLVVNNALGASEPV FFADDASGLDDDDLIYWFRYDTLLFPIFNDGTGQSYFYLAATSAPGTYLVKWVQGGIK SPHKPTSAADLDGASVQLTAVAPNV PFICI_03502 MAHLASHLSQPAIYSPSVARAAASTAKDWSYIDGWLRTKFRGQN VPPFERNADTLKALLALAAQNEAADEEREQLSRIEAAVLNDVKTAEAEAEAEADAVAR RLQKTELDDDDDDDDDDDDDDDDDDDDDNHNNNNGGTSIDATSFANDIVSALENSLSK DGAVALDAMASVAIELGLPCPTPEELGCKFVELQARAYELEQATERVGLLQKYLERES SKADTFLRDLQGSEFIPPPNLAKQNADLQSRVKSTAEQLPELCAQAASLEASIGAPSL TVDEVRQDEQDYLAILGKKKEMDARVKAFAGLPPDLEAARQELEALRSQLRAATQKRD AGWEGLVERESPFKPRSRRPN PFICI_03503 MLVQLPRLAVVAASLLSTSVLINGLDIPSDTPISSLLSSAQAHL ARGETSNALEYYDAAIARDPSDYLTYFKRATTYLSLGRTSQASDDFNKVLSLKPGFEG AHVQLAKLKAKSADWDGAKHQYALAGKTAGSEEFDKLIEAEGAAALARVAADAANWDE CIGQAGEAIFTANRAPALRELRARCRFEKGEVEEGMGDLQHVLQMKPGDTTPHVTISA TTFYGLGDTNQGLAQIRKCLHSDPDSKICKKVMKQEKSIEKTLAKVNKAMEKKQFMTG VKSLVTSGDEKGLIDEIKEQVQELKTEGFIAEKAPTALLNQIVELACQAYYEANGKKA SIYCTESLQLDPSSFYGLLYKAKTQLEADDFEPSINTLNQAAEARPDRKNIVNPLLQK AQVALKRSKTKDYYKVLGVANDADERQIKSAYRKLSKQFHPDKAIKQGITKEEAEKKM GSINEAYEVLSDPELRARFDRGDDPNSHEQQGGHPFHGSPFGGQPFMFQQGGGQQFNF KFGSGGGGGGGGGGFPGGFPFG PFICI_03504 MEHNVQRLLNDKLYDKRKVGALELERVIRELAAAKDYQRVQAIL DQLCNEYAYAVHQPHARNGGLIGLAAAAIALGSELPRYLEVIVPPVLACFTDQDARVR YYACESMYNIAKVAKGEILKYFNFIFDALCKLGADSELSVKNGAELLDRLIKDIVSES AATYVSVLEAPEYDDDKDPNTLESHSDPPTAFSLPRFIPLLKERVWVLNPFTRTFLVG WITLLDSIPDLELVTYLPEFLGGLLKFLGGHNPDVHAATQACLDKFLNEIKRIARVKK GIADSRKSRADGKRKREESVDSGSLQMAAGDSDDGASSIASDDDGEVSSEDDWVPGQD VQINYKAILEILTATLDSPLEEDSLQESLRWIIAFLDICPEEVLPFTPKILAHLLPAM ASGVEPIRQAAARVNTSLMEYVVSLSDEPEVGPSQSSYRGPNFGMERPDGASSTRVSL SSSRELEFQSPTPAQDRRAITPASSSSPQADLDYAAAVNSLTLLFLNDHEATRVAALT WLIMLHRKAPRKIVAFNDGTFPALLKTLSDPAEAVVTKDLQLLSQISRNSEDDYFSNF MVSLLQLFSTDRKLLETRGNLIIRQLCMSLSAERIYRTLAECIEKEEDVEFASIMVQN LNNNLITAPELADLRKRLRNLETKDGQQFFVALFRSWCYNAVATFSLCLLAQAYEAAY NLLQIFAELEMTVNILIQIDKLVQLIESPVFTYLRLQLLEPEKYPYLYKCLYGLLMLL PQSSAFAALKNRLNSVSSIGYLHIAPRPYVSSSMTSGSHSRQGSVTETTTSSSSYSSH NPRSKSVHDTHGLLVPSSSTTPSTSNYDRPNRLKGPGREENIIRWEQLLEKFRSVQDK ARRAQRLGGGLDDGPDFGEIRYGEGASGLKEPPRPLAGPPVPQKDTQPQPPPPQKKSS GIGRQFGRLGGAVAGRGKRT PFICI_03505 MAMAEKTYPLMSRRQIEGLIAEGKSIFILDQYVLRADPWLPYHP GGDKAIRHMVGRDATDEVTILHSAEAKAQMMRYRIGKIQGRWVNFVPPIQGGKFRLLA GNDDEDGDDSSQSGQDDYNKNVRGSSTASPITSSQSSSRGPSPVFDQDESELRNRAGP GRSHRAASVSSISSTEEGAKGEEKKQQQDDGMTYLDMQTKQAVSLDLDRYPSLDSSTQ DNIVQKYRALNHRIKAEGLYQCNYWAYAVEISRYSLLFSGMLLFLHWGWYVTSAALLG AFWHQLVFSAHDAGHMGITHNFQVDTALGIVIADFLGGLSLGWWKRSHNVHHIVTNSP EHDPDIEHMPFFAVSHRLLGSLRSTYYDRVMEYDAAAKFFIGIQKYTYYIILLFGRFN LYRLSWEYIILGLGPRKGPARWHRWLELAGQIFFWTWFGYGIVYKSIPTGWDRFVFVM VSHMVTMPVHLQITLSHFAMSTADLGPHESFPQKMLRTTMDVDCPQWLDFFHGGLQFQ AIHHLYPRIPRHNLRRTQKLVQEFCDEVDIPYALYGFVGGNQQVIGRLAEVSRQAAIL AKCQEVLAQSDDPFGHGH PFICI_03506 MMTSRLIPRTTVRSAFRTQSSLPTVTSPLSRWRRGFASQAEEKD LVIIGGGVAGYVAAIKAGQEGLKVACIEKRGALGGTCLNVGCIPSKSLLNNSHLYHQI LHDTKNRGIEVGDVKLNLQNMMKAKETSVSGLTKGIEFLFKKNGVEYIKGTGSFADEH TVKVNLNDGGETSVTGKNILIATGSESTPFPGLEFDEKRVITSTGALSLEKVPEKMVV IGGGIIGLEMGSVWSRLGAKVTVVEFLNQIGGPGMDAEISKQAQKLLKKQGIDFKLGT KVVSGDASGELVKLEVDAAKGGKPETIEADVVLVAIGRRPYTAGLGLENAGLEVDERG RVVIDSEYRTKASHIRCIGDVTFGPMLAHKAEEEAVAAIEYIKKGYGHVNYGVIPSVM YTHPEVAWVGQNEQELKDQNIPYKVGTFPFSANSRAKTNLDTDGMVKMLADPETDRLL GCHIIGPNAGEMIAEATLALEYGASTEDIARTCHAHPTLAEAFKEAAMATYSKAIHF PFICI_03507 MAPPQGKLALPASFLKEIGADSRSSKGGNSRRGPQQQSRKEQRK ASRVQKKQVHRTPRQYGAPQPTRPKTAGAPKPAVIPSKPKQSSKPLRIINPADEDDSL SEGDFDMDLDEEDFDEEESGMDEEEDGADFGDDNEEEEEEEDDDDNEPASHAPPVSKT DRKRLDRDDAEIAALEKKLGLKKGKKPKADEDGLDDLLGDMEELGEETTQESLSQRKR KAEADEWLAQKRRKAIAAAAATASSASKASVNRSHRTKDYDDESGEDFDASDDEDDEE MGDGLSDLGNSDYSDDEDSDDDVGPGVTDDEEDFGGFSDDGDDITASAPRVRENPYVA PTTQTAKYVPPSLRKQGQSLDEVDAQLRRRVQGLINRLTNENMLGILKDFTSLYDRFP RQSVTSSLVDLLITLVSSPEPRPDSFFTMVAGFVASAQKALGIHVSANLVQRLVQVFK EHHERATGQQSDAASKHLIMLLAELYNMQVVGAKLVFDYVRLFLGKLSELNTELLLKI IQTCGPSLRREDPHALKEIINLIKPADLKSASVRTSFMMEEMRNLQANKSKAAARNKD LAEMRTQIRKRIGTLSGSREVQPLGAGLKEIENADKNGKWWVVGASWSGNREKEEGDA QKGANDVVDDAADFVVDDDLGIPDLWQLAKQQGFNTEVRQQIFVALHSATDYENAELL LRKLRLNKHQRKELPEVIVRSGEAQAEFNKYYWLVASRFCGDREVAFQFKRCLTQRFR KMGEDIDTGDDFEDGEDEDYDTRCVVNVGKFYGNLVANRNLGLDILKHRNLAALQEKT QWFVEVLLITVLQESPDQAALQKTFGVLDPELGRAIQFFLNKFVKKSDLVKGAERRAL RKKCQEADAILEASLALTAEQGE PFICI_03508 MGRLVYVREDREAEPRFSNSQTGGAGGRGGFGGGMQGGYGGGGY GGGGMMGGGGGGGGGAGRQIYVSNLPYTVGWQDLKDLFRQAGKKYLLPSFASLSRPLL LRSRNGAVIRADVHLGPDGRPKGSGIVMFESPDDARNAIQQFNGYDWQGRQLEVREDR YAGGPGGGFGGGYGRGGFGGRGGFGGGFGGRGGFGGRGGFGGGYGRGGYGGGGGGGGG YDAGATGGAQPAAPNPFTDYATSGTDPSETIYVRNLPWSTSNEDLVELFTTIGKVEQA EIQYEPSGRSRGTGVVRFDSAATAETSISKFQGYQYGGRPLGLSFVKYLPPGGSGDAM DTDAHGGLTQDQIM PFICI_03509 MAPSRAFTRLILAQQRRATTQCAARIARGYHSYDHPSPSGAFNA AERAILSAAYAHVPEHGFTQHALALGARDAGYLDISASLLPNGPFSLIKYHLVTKRES LAPKSAHIFGPGSEAERLPVDDKVERLTWERLLENKDVIHRWQEALAVMAQPSLVPSS LRELALLSDEIYHLSGDKAVDPTWYTKRASLSTIYASTELFMTNDRSYGYDDTRAFLQ RRLRESSGLGAVVGNIGQWVGFTAAAGVNVLRSKGMRI PFICI_03510 MPSATAKRSRHIAFEDVGDDDGVSADEAASSSRGDSHRKKSRLS DDARNHGSTRSRHQRSEAMSSDNDDSGVEEPNSALGLPPASQFEHDRDMNFEHLQHIE DDDMRATQRLKNRPELIGDNHAALNAVIERIVIVNFMCHEHLIVELGPLLNFIVGENG SGKSAILTAITLCLGGKASSTNRGASLKSFIKEGESQGSLTVSIKNQGPDAYKPDLFG DSIIVERTFRINGTSGFKLKSAAGRIISQKRDDVQDVVEYYCLQVDNPLNVLSQDNAR QFLNSATPAQKYTYFLQGTQLQQLSDDLLLIHEHIDATEAKLAGYEDNYRAIKADMEK YRKLKETATKNDTLRHQQRLYVKQLAWAQVVEQEVILQERENNIVMANGDIRRLELEI QAKAEELEKHDQVIASARENAEGLRQDEAQLQEKKEDAKEAFDEAKKSVQQMHTQERE AHGQLQNAANDVKECERKIREEEKRLEEANGGAVAEKSQALNQAREAFKAATRAREEH GAQSQPLDEAFAEAERRVQEATRPVEDKRKEVKFAENRMINLQSSRGDPMAGFHPKTR QLLNLIERDNGFATKPIGPLGLHVQLLKPKWSSILESMFGGTLDAFLVSSPNDRTRLI TLMKQIGHRQPTIYISNPDRLIQQLKEPDEQYDTILRVLKFDNDQVRNQLIMTNSIEN IVLIENLQEAHRVMSEGQAPRNAKLSISFHPVKRGHGVTIKPGPQGSLTQEPIDASER RRMQTDTEGQINYQKQLLTQLQSDLRDLEASKRDLQQRAVECSQAVTRHKSREAQLKR TIREAEAHVNEATEALDAYDGTDLRLQGLREELQKARANKEQYGQQYGEIVVEKQELN LAATAKRKILDDARNSVATFDERIKKIDDKIGRLESVRSITVQVKNTAHNDLEMAKVR KEEALTRRDRQRRQVEEYTESAMGVCPERVFIPEGETYQSIEQKFSAIREQLEKFRAR HGMNEQQINEKAAQTFEHYNAARRARRECQLDVKASKDALTRRLDKWRMFQRMISASA RTNFSYLLSERSFRGRLLLDHVNKKLAIEVEPDQTREEVSGRNTKTLSGGEKSFSSIC LLLAIWEAMGSPLRCLDEFDVFMDSINRKVAAEMLVSAARRSVGKQFILITPNVVETR ADFGKDVKVIKMAKPRKDNRNIRRYMQSNGGS PFICI_03511 MAYEPAEESTADNGNFFGEGFNGFPRQLPENCVEYIILIIDNQL EARKVLSSLEAVRKAAVRLTEKLTKDYIWQRDGLQLDVQSDKGLVYLRGTSYYGDSVE DEWLIVYLLRELSKSFPNLWIRVFDSDGEFLLVEAANVLPSWLSPEVDSNRVWIHQEK LFIIPLDKNPHGKRPLTLEDAVHHLKTEPRSNLVHSSFIEAEAFYRLEKYPGQINDSL HHSLATIPRKLAYILHEKPTAIALAVEAFYLRDPISMKSLLSPMPELKFPPQDLVTVS VKFTKVLYAQLKSQRFTQPPAWVPVIEAAEKGISGAAESQQSLDRLELGMKITSGSEM LATNAETKDSRIAREVAILLDDLEEDGDSILPSDALIQAWPNVSRDDDDSWMDINYAD FEDQLDGRGAAAREKKSGFGDTAAQADLQKIVSRFESFLNDETAGYEGAELNDMDFDD DDSSDDDENSEDEDKDVSFDEEQFSRMMREMMGMPSEAQAKPSYDVKAKARQGDSDWP KQKVQSEDDDKEDEEKAIRQLAAQMESELNEHGALRLDSKPEKTKALKQRGDQTSAEA EGGITHGETDDGSSDEEIDIDYNLAKNLLESFKGQVGMAGPAGNILGMMGMKLPRDED EDEDEKNKD PFICI_03512 MWSNRAVSNLQKTYDESYLKCSTAVYYEGQSDETEAMRYWRSAL DLITQNTSGKSSYSPRTETERTLTESLKTLEIQCKERIDLLEALRISREADSAPRSAS PRDASSHTAPDTLEKGWIGGGTIPAVAYQDLSRPTLPPRPSMMSRTSSELAVVGRNLG NVNLGTAASSSKTQPPLPPYAAATGSTKKGSRSPSPDKRTMRTTLRSSKGSKPIKKDR RSSSKTAEPPGASKAATLAWSSIVARDNVSRPSLPDTSTTPSKTSYSSPNHWDSHSRR LVTSPDTNSMQSSHLEASSTKHIDDYTDPGPSPLAVGAASSALSYLSAHDRVESSPGN SRHDRSAKSKNSSSAAQDVLRNPASSPAELPSSGRSSSDLPGRRKPIGHGTPPNSAAK RTTRAAREVREASPLNSSGSSDEAPSSQPLRRTRRKKHEENHIPAELAHSGDSGDDKE EKKSKSAWEKKKRAILKKLPPGVDSAAAKAIFNEIVVQGDEVHWSDVAGLDVAKNALR EAVVYPFLRPDLFMGLREPARGMLLFGPPGTGKTMLARAVATESKSTFFSISASSLTS KYLGESEKLVRALFSLAKAMAPSIIFVDEIDSLLSQRSGSGEHEATRRIKTEFLIQWS DLQRAAAGRESPGGSRDVPGDANRVLVLAATNLPWAIDEAARRRFVRRQYIPLPEPET RATQLRTLLGQQNHSLTADDMAELVALTDGFSGSDITALAKDAAMGPLRSLGEALLHM TMDQIRPIELIDFKASLGTIRPSVSKEGLKEYEDWAKQFGERGG PFICI_03513 MATPARTAAANLSAKALAHTKNTGRQLMGSKTAVVVTAGTMDKT VKVRLWGQRWEKKIQKSFQVPSYHLVHDPNNSVRQGDVINISSGWRASQHVRHIVRHI IAPHGPPIDERPPVLSEQELFEQYAEKREAKLARRAERDAEIQKQTEAQRAAKLERRA RREAWEQTRVQAKEKRMEQALGTLGDVD PFICI_03514 MGLLSTFLAFFQSHFFLTLPVPRKSFAGQTIIVTGSNTGLGLEA ARHLVRLEAAKVILAVRSIEKGNVAKASIEASSGRKGVVEVWELDLAQYASVKAFADR VNTTLERLDVVVENAGVLTQKWSMAEDNETTITVNVVSTFLLALLLLPKLRETSTRFL KDVVLTFTGSFVHWITLFPERKADRILEETAKKEKARLWDRYNVSKLMETLIFREFSQ KVSASPKPGSIVTSILNPGVVVTDLNREVQRGILGIPRRIFMRVIGRTPEEGSRTLVH AAEGSPQTNGQYLDDCRAGE PFICI_03515 MEPSTGTQSLPPPALPQLVAEQQLPIASSDKDSQRLIVVLSNAS LETYKASHGGGGRMGMQRDDKYSLLNSDEHIGVMRKMNRDISDARPDITHQCLLTLLD SPINKAGQLQIYIHTAKGVLIEVSPTVRIPRTFKRFAGLMVQLLHRLSIRSTTSQEKL LRVIQNPITDHLPPNCRKVTLSFDAPLVRVRDWVESVGPKESVCVFVGAMAKGTDNFA DEFVDEKISISNYSLSASVACSKFCHAAEDAWNIL PFICI_03516 MLPYVEVASLPPSASFYSAVTHPLGLKYISASPGAIVFGAPSPT DPSTANPVFEVRSVADRTAAPRYQRLVLSAASPSVVQNFRAAALRAAPELLLIGGAED GSQRHPDADPSSAFTTSGDSRAQIRDLDDNIMEVVFSPDHAGSTVRRTTTEESSHILS WNPDVALASSSRSIAPGAAGGAVEPPYVLRRSVTTSVIESTPSVASSSSPSSPPAAAD KKSSSGLIGTVLGAVAAGAAIGGAVTYMNMKGQREKAPRQEFDAPPFHRRSTYPEPAP DHMHVQPRYVERTVEKVYYADQLPPGKSRHYPPPTYMARYSQVGDRGREVEELDDRSS RYTAQQSTTGRSRTKSEAGSMRQPLMIADAEYRSNAGSRYTTATAPKMLMDHSYASSR HSSNMLPEADLWSRTSSRQSTPRSIRQPEVETYVSARTQSHAPTYVSSRSKSYTPDAE TYVSSRSKRSERSESTIRPTATRHSTYDGSIVYGDMPIRSAAPSRAPSQAHSAATQSR HSTAARSSATASKMMMTPAASAAGASRATARQVPLPESRVSYTSARAVPLPRSHANYD DNNDDDNDSIAPSDSISCIGINPRSQRA PFICI_03517 MAARSPLPHHPSAAAQHNHIQPAISSVQNNTNNLSRNPTSTDSY FPSYQQQQQQQPTEPSTHIQQQQQQQHYPVHQQHTTDQETLSNTLANAQLASPPALGS PYNQNRQPKFTEEWDASVRGSSIIDGPTHRYPPPTMQRSASISSRPEVLSTVGDGQTT GAVSLSRGNTLKKKSSMRRSGSRTGSLKRSGSRRSMKAGSVRSLALQSSHDPEETMSA FYCPVPTSGNPTEALSARFQTWRKILKDLITYFREIQNFYEHRGKSLLKLANVLNNTT TPPGFLASGGIDDALQVLRDYNKTAILESNKAREIEEDVILALTGLRSDLGQKMKEIK GLSGDFKNSVDKEMDNTRRAVNALQDVLGQTELDSSLTTGKQDPYLLRLAVDRQVERQ IDEENYLHQAYLNLESSGRELESIVVGEIQKSYNAYAGILKRESDAAYNAIDELRAGP IAMPKDHEWTSFVQRDQQFVDPEIPVRMAEHIHYPGRDHFACQEIRAGLLERKSKYLK SYTAGWYVLSPTHLHEFKSADKSGPPVMSLYLPEQKVGSHSTEGGSSNKFILKGRQTG SMHRGHTWVFRAESHDTMMAWYEDVKALTEKTPEERSNFVRSHVRSLSRSSQRSVSSD GMVDDEDEEPFVSEENAAAVVASPGSRPDSMNRPAPGGRFPSDLQVNAQRGLQVPLSP SSQSSGFLEHSEYAMRGGITNGNTVSDYDAIAAAGALPGSGIGEGYPGNRRSQLMVGQ NYGKTPSVNNMATAPSHAAMLHDQAAQDGINPYSGEPAIAQHYNNMNSHRFSEAPMVA GQDHETNVALHFRNNSSFKQPDSQPAFPPAVAAYDYGNTNGGLGHVPSVADSDFSAVS SDTYPSTYGAPRPVAGGRRMDSTPHVPGEYPRSTPAQTPNVI PFICI_03518 MADSDTSYTIEAEQQLWDEIDQVVATQCPDHAHETIDNALRSWL CLAAKCRDEFSQSEDDVAGCSQKLLEGSLFHANPEYVRMQIIYSLLEEDEAGPLYIIA SFLLLDGRVEDTTYRRMIDEGCFHRLLELINGHEQDDPSLHRLLLELVYEMSRVEKLR VEDLLHVDDGFVTYLFQLIEGLSDDAHDPYHYPIIRVLLVLNEQYMVASTTAAMSPES PSAALTNRVVKCLSLYGPMYRTFGENLILLLNRETETSLQLLILKLLYLLFTTKATYE YFYTNDLRVLLDVIIRNLLDLPNEYLSLRHTYLRVLYPLLAHTQLSQPPHYKRDEIIK VLNILAGTGSYHFAPPDETTARLVDRVSKVKWLAENGAGAGEIAKKLLGISLASNESA SKMSVVDVAAVSEKPGVQTPSRKDDVEANEITSSDAGSSPEVEAQPFRRDSKAAPPPP TRRQRRPLPELPTKSRHGNPLPVAVHMTTNGNGTSMPAKRLPPKAPPPRRMNRSKLSD SPPTPTV PFICI_03519 MLTASVEKIDKETVAYKTASALWQDGYPFILPELLNFLSHAHAS ASPDSWTGLPPEMKSIVGRVLTDRFWQAGISEGSKDEFYARVLDKKHTMEGLGSTIRG SIRFVRESVYAILYCMSRLDMEFYGFKELPGPLANALMANSYSLSPHQQINLLNLVRY LVDSCPTNLRDHFLPPLMAASFQQMDAKITSEWEKLETQKGVQAGEAALAEEMKSESI LRQLTYTAVMMVADFLDPARMTDLPVTNPEDSARRYPTLRKFCLMSSEIVEPLLVFCT HVIRVRDTRCCSIMLRVFRSIIPDFRAVQDMEITSESHGTGNMDNSPIPAATASLIRE YISSDVLRACVQSMNEHYFVELQKEIATFIGAIIANYCPLTNTPKSILQSIPNLRQED IDEAIEKICSTQNSKLQRNSVLQLFSELKGVSISELGRLGTSIGPLSSSRKSHGKKAS RSQMAQEFMTPAVTRGTNGQTEEGLEGVAGLFGQQ PFICI_03520 MASQHVNGNSAMAGLGVANGHAGDMSVLPKIHEALELIHNPRAS NQDRQEAQQFLEHVKNLDEAPSHGYTLASDKTQSPVVRHYALSLLENAIKHRWNKYPE EHQAALRQWVLELASSVSREDPGYLRNKIAQLWVEVAKRAWVEPWMDMDALLVQLWMV PDSAVHKELVLLILETLSDEIFNSDDPAVTAREGLLSRASVEIFTPAAVLLETFPNRE AGPEVRYGDEGWLGRITQFLSQCLSGDLQNNDELRTCAVRAFAVLFSLMPWVIPNAAT ATGCVPTMCQGLRASHIAVQKGALQAMHALYSRTSLVDQEFLDLVVPLYNSQCVELFG QLFQWSTVDAEDIDDDKYQFGKRLSELVSFLGNYLDRRFAVLPKEPERVDFQGFLRLL LMVAQSQSLIVSIPVLVTWTRLLGHKSLGQSIAELPDFIGPLLELCASRLVRYESLPE DTQDPTFLFLMEDTDTVPERHAFLGNYRRYASTIIELIVQLKLADAVSHVLRQTENVL QNPYDGQTAFDPSKYEKNSPSVLRVDAQATVIEASLRGCLKWRGRTDVKPEDIGALED MLEDWASRVLSMSFQDPIIRKRVLQLLVVFSTTALDRKPRFMLKVLEHILMTWPAPQP EYRQYNEAIKDLQTESMLELQRLAAKMPDHLLDVYDQIAAKVNDMIASGTMDEKRQIT YQTFLFTIIHRCSRLDRDTKIQKLQEFVQPIKSQWRNEKLKSALASYSGFCEMMALDK AQEYLARRRVHEVADWGSMALDDEGKALQAEMEERQAAGHHIH PFICI_03521 MLSFLRLESASDNESPRLSEIQALRPLGDRQDVLILSCCWHPDI PNIIAVTASSNEVHVLRLDESWNVIPGESLIHCHDDQAWTAVFARRPQESADMHSFEI FSGGDDFKLLSSTCEFDSAGALSIPEATRQIGRHDYGVTAILPLHLSQETQEAILVTG SYDDHIRVYVVGLASRLLAKLDLGGGVWRLKTISFEADDDGLGSWNATILASCMYAGA RVVKFSGDWYQCKIEILARFEEHESMNYGSDFRISRDGKLVRIMSTSFYDKRLCLWEF SEAH PFICI_03522 MAALESRVQDFDCNQWWADSNMTKTPLKMQSRKLARPEMSHFHN PYAGVGSAWQLDETVDSFLDRLPPATTDDTKVGPWIFICNPYIDRKSKRLAQNQGIKG CEDEAPEEEGADLVRFVEGGMERLHLVTEFHDKVNQAAMLAPMRMREKNKASLDASRD ILALAHAAHVRAGKWMLFCTAQTVNEVWEVVAKATASNELGIAAKVATRSEIDPRPER LICVYTADFHDKDDVQRVASKLKQLGLVEPRGKKPLYYKPGIGSGNAWGVKASIYNTK DMLGNIS PFICI_03523 MEVASVAALPSELRYVQYEHALEEQYLPAIRALISKDLSEPYSI YVYRYFLYQWAHLCFMALNPNDNSLVGVVICKLEHHASHSPPTYRGYIAMLAVASAYR GHGIATALVKRAIDTMAERNADEIVLETEETNKPAMRLYERLGFLRSKKLHRYYLNGN SAYRLVLLLKSQMPAPVPEERSNPC PFICI_03524 MASELRRRTVATDTPSDPPTPETAFHDESPLKASNQVLKAEKHH GHKKETRKRRSTFIFLLGSLFGIIAAGFLAQSNDLIEFPEIGELSVDGILEALPAGLV RDMKDLVAGERDFAESYDAFAVGVKAKSEGLQVHHPIIMIPGVISTGLESWGTANVSR PYFRKRLWGSWTMMKALVMDKEVWKNHIMLDKFTGLDPPHAKLRAAQGFDATDFFITG YWIWNKIFENLASLGYDPTNSFTAAYDWRLSYRNLEVRDQYFTRLKSYIEIAHSSSGK KAVLASHSMGGQVMFYFLHWVASPKGGNGGDDWVEKHIEAWINISGCMLGAVKDIAAV MSGEMRDTAQLNAFAVYGLDKFLNKDERAELFRAMPGLSSMLPIGGDRVWGNLTWAPD DLPGQKHSYGSVLNFRVGGNWTAPEKNFTVSESMEYLMNTTESWYQDMVKGSYSHGVA DTAAEVNANEDNPVKWINPLETRLPLAPNLKIYCFYGVGKPTERSYYYRTPDMPHLTN LNITIDTTLTVDEIDHGVILGEGDGTVNLLSNGYMCNKGWHMKRYNPAGVQVKVFEML HEPGRFNPRGGPNTADHVDILGRQSLNELVLRVAAGQGHTIEENVVSNIKEYADKVKI WEEGEKPDDE PFICI_03525 MSEAPKRKAPPGGDSQGRQWKKSKGGSKGRWQTPNHKAKLEAQK AKGGTLEVGDVGFWVSCQRTKEQKALTELIAICDEYGEKVYGLKRQDDEVADDNNSDE ENGDIEASIQKELESMKTNAKSRRENSAFAPMRINMDCLLFVKTKPPVEPREVVRRIC EDAKLATDRAQRKSRFINRFTPITLMGRATETGVQETAKTVLAEHFQLAGVDEQPTDE QKQGPSYAIRYTSRAHNVLKRDDVIKQIAGLIGPRHKVNLTAPDKVILVEVFQTFCGM SVVGGDFESLKRYNLNELYVAAFQAQEEGATNKVGDSDIKEKGSEDKEEKVDDAKGSD Q PFICI_03526 MDSSTRPPSVEAMKIVISRALPGVSVESIQPLSSIRPQRDARVK VSSGRNLVLTIPPAPMIRLLRSEQWLVLSEALLISWICRRAPSISPLATKDQRISIQS LTNLGPFQNDQPITQLQPEILVWPELVDTPLFRYLPELIAHSPLSHDLGVAFNLTEPS RGSVISLLSPPLSEEERVDLDYQKGQFIRLMTTLKAPNSKFGPAVAVIGQQGPLSDSS SAAASSALGGIDSWSKAFHALVESILRDGEDLAVTISYSQIRHHVQRLGHLLDAVTQS RLVVLDAGSDTNVIVYRGTELEHRPRTDVAETQTTSHETTSSPASSIHTSDKFSPRNR HWQTLVGDSSAILDMNQPSRPVARDNMDRFGQGENARISVSGLRDWSNCIFGDPLMAE IFNDEPSSNFIRGFRQQPSRGSTLATTAHGTATTSSSSPAAGSISRISSPPPDDLIED RENASIRLMLYECYHATVSIVKQFYRPSGPESTRREMEARRRLAAVLNKLAEVEDNPS KRPRTGSVDIWPSKKSKSDVGR PFICI_03527 MADQHRHPRRGPPVSYTGHQQEDEQVPTADIIQRVADAMIANTH SYARRYSDSLYQNSQSTPYLARESRTPAQEPADYFMNLGDYSSTRDRSSRQHGGSSRS MEVPRPARGPSRGMDMREHSREPFPTFYAFPPPSDTMSGPRRSEPAIRPHTAYQEREK VDSDRSSYSLAMDLPPRVASWSPTNDPTGPPSALSRSRSDSASPMEFPDDRRNMGYRS LTGTTHTDRPFSHHAPKHHAHALSSDYGNDHVYESVVQDDTISPVNKVGPFGASNATT LLQPQSIQMLSRDEKSVPMSIQYGRYTYIREDAQADWTAAELQRQLSEKPPPEKTKLR TLLHEIAFVFIIAVAQALMLAGVAQALVPASIIGSSFGYDKPADLAWFSAAYALTAGT FVLPAGRLGDLFGHKKIFVIGFFWFAIWSFITGFSQQVNGNGGQGAIYFNICRAFQGI GPAMQVPNGQAMLGRAYEPGPRKALVMSLLGAAAPFGFVVGGTMASVFSELVNWPWAF WCLAAVCVGFGCLSILVLPNSPVEKRSKRESLWVQLDATGILLGVSGLVLFNFAWNQA GLVSWSTPYTYFLLIIALMLLAVFVFAELHAPYPLVPIAAMQSQTNFVLACTAVGWGC FSIWVFYGVQFLEVLRGWSPLITSAALAPAPITGLIASLLVARYMMRVGPHWIMLISM VAFFVGSLLMSTAPVKQIYWGNTFFSILIMPFGMDMSNPAATIILSNSVGKQHQGIAA SLVVTVVNYSISTALGFAATIEAHVNDDGKDVLAGFRGAQYFSVGLGVLGCLIALTFA LTTIRRQHADADQLRVQVPATEPLSRESESYISSPEDQRE PFICI_03528 MASIGLNHLSLPPRDGLVSGPLSPATPSTPGLAPRNSNPLTSKV TSVLSTSYADAEFREALNLLDDRRVGNNPETRRQLRLEIQKDVIESNGQIITEFGRVA DHLRRIGATIDKLNRNYHEMKTHVTAAHEATSSTLEETSNLMAQKQKVEKKQQILQAL RNHFVLPEDDIAALCSASEPVDDRFFMVLAKTKKIQKDCELLLGFENQTLGLEVMEQA SKHLNQAFQKLYRWTQREFKTLNLENPQINSSVRRALRVLAERPSLFQNCLDFFAEAR EQILSDSFYLALTGTSASGREDHSVKPIDLVAHDPLRYVGDMLAWTHSATVSEREALE VLFVSDGNEIAKGLQEGRENELWRLMADEADGSSEFDAVKALNELVDRNVSGAARVLR QRVEQVIQTNEDTILAYKLANLLGFYRFTFSKLLGPRSILLESLTNVETEALRQFRSL MRDHIATLQGEFQHTPSDLGPPDFLQESLKQLIAIMKTYDSSLASTGDRESEFQPILS ESFDPFVSGCENMSRTIAGYSSSIFLINCLLAAKAALEPFDFTKKRVHDLQHTVEEHT DILIQSQYTYLKTESGLAPLFDALGSVKRSEVDIATARMIEALQPGALTAASQALDDF LPSALMDATENLRHLQDSKLAREVTEAAAEKFCVAFEHVEEILIAMDELAEQPPPSAL ADELSSSQSLRALFPRTTGEIRVLLS PFICI_03529 MAGNLFSRFVPGKDDGPYYEQLGHGQDVDIENQAGLALDDENLA HQFHDDDLQGAAGLAIDDSQVSVGSPVNPPRNSRRSQPRRTGQDPSSRWLAPDDDGDN EVPASLLVEPDATPAAAHATSPQIGVRSSTRTAAIPGPSSRRTQAQWETTQAQQRLHR DDDYGPAGTGGPANAPTRRLGLNISPREKAMFRWANVSNLDAFTREVYNYYQGAGFWC ILLDNFLHIIKVIFMASLLTFLTQCVDYRTIRHSKSLSEVMIPKCTKNMWGIWNFGLW CTTLYVVGKMIQYFLELPRLMHLRDFYVYLLEIPEVDMQTVSWQDVVSRITGLRDQNV KTASNITPSQRRFLSKQLGRHMVGSSKERLDAHDIANRIMRKENYIIALFNKDILDLT APIPFFRGRQFMSRSLLWTLQFSVLDLVFTESSQIQPQVLKSDHRGVLSRELKKRFAF AAFMNLLFAPFLAGYLLIDFVFTYYNEFKTNTGAANMRGYTPLAEWKFREFNELPHLF QERLNMSYPSAKNYVDQFPKLKTESAARTIRFISGAIIAVLALAGVADPEMFVDFEIF LGINALTFLAICGTIWAMAHGQLSEDNNVFNPEYYMNNVISYTHYEPDHWKDRLHSYE VRTEFDTLYKLKVVIFLEEVLSILVTPLILFSSLPKCSDQIIDFFREFTIHVDGLGYV CSFAVFDFQRDPRITRQQGQTSDAREDYYATKHNKMAASMHGFLDNYVYNPRTGLPGT HPIGRHAFNPPPAFPGLNSPTLAADMRASRMGRSDALRGRGPQPQQGKTARFGQALPQ ASPMASMLLDPHNQPSASMLASRGNHRVRQLRAPYLGEGGITEESMEESRPIATLQRH GTTYTDEDVEESIARLGESTWEGTPRRGLSRENSTAADAEDGPGVVKMMQKFQQIAMN NRTGGVL PFICI_03530 MSFRGAPRGRGGFGGGRGGGRGGFQQRDFGPPAQILELGSFMHA CEGEMVCESTNPKVPHFNAQIFLENKTAVGKVDEVLGPINQVYFTIKPSDGIQATSFK PGDKFFVGSEKLLPLEKFLPKPKPPPGAAKVKKPKGAGGRGGRGGPGGFSRGGGRGGG RGGPGGFSRGGGRGGSGFGGSGFGGRGGGRGGGGFSGSGGFSRGGAGGRGRGGFSRGR PFICI_03531 MDAAKQAVEEAAAGVKNLAVGDKKAAAPKKDKKADKKPKAGADE SSGPLLLSPEPDFLQHRLDLFDKIKKRQDEEYAKKPREPITITMPDGSIKAGTSYETT PGDIAKGISNSLYKRTVVARLDGDKEQLWDLERPLERSCKLELLDFEDEQGKMVFWHS SAHILGEAAERRFGCSLCIGPPIERGFYYEMSLPNDAAVQYTDWKPIESIVSSIVKEK QKFERLTMTKEELLEMFNYNKYKQHIIKDKIPDGTSTTVYRNGPLIDLCRGPHVPDTG RIETFAVEKNSSSYFLGDAKNDSLQRIYGISFPDKKKMAEHKKFMEEAAKRDHRKIGK DQELFFFSDMSPGSAMWLPHGARIYNTLLEYIRQQYWKRGYEEVITPNMYNADLWKQS GHWNYYKDDMFVIDLEENVKFGLKPMNCPGHCLLFGHRERSHRELPWRVADFGVLHRN EASGALSGLTRVRRFQQDDAHIFCREDQIKDEMSDLFDFLHEMYGLLGMTFKLKLSTR PEKFMGEIATWDRAEAQLRESLDGFTASGGGAWTLNAGDGAFYGPKIDITIVDCLGRD WQCATIQLDFVQPVNFNLEYMTKDGAQKKEDSAKVAEGPKVKVKNPQAVIDSAKAARE KAEAPAAEATEAPKEKEYERPVKQVSPGCARPVMIHRAMAGSIERFTAILCEHYAGKW PFWLSPRQILVVPVGVGFYDYAQEVQKIFHAAGIYIDVDLSGNTLQKKIRTGQLSQYN FIFVVGDEEMKGRQVNVRWRDDTSAQDRGKPIALDEAVEKLKALKGDRGSYNPFPEIV KPAAKEEATEEAKA PFICI_03532 MSKRTSAGNGAVSKQAGEVATELSHDRQKDLLSSATGHFSLVRA LHMADYITEMNGFCGVMSVFSSLRYCLGDPTDKHNLYLALAFLPFGLFFDFMDGRVAR WRKKSSMMGQELDSLADLISFGLAPACVAFSLGLRTTLDHVFLAFFVLCGLTRLARFN VTVANIPHDASGKSNYFEGTPIPTTLGLDAMMAYWVSQDWILDQVPFGVWFQGTAFEV HPIVALFVVHGSLMISKTLHVPKP PFICI_03533 MAPSFLNFKELRRRSRASFKTERSNTDNSSNDNDDGSHDTAPTT GTVTPASLATQSDLALNLQVKDSVAPPLPGPQSRPPLQTQPNKRFSVSGMTGLGSPVM NGTNKSFAVSHYAPRITNVTENCWVSQKVLLVNGTIGDPTHNTLDGTVTVSRLDDGFP PTFWPVYESHFKTLVYLTPGANRLRFDFNSPKLSNSGSSNPIHSSYLTVHMVPPMNSP PLQLAILVAKDSPATFDAVPARAEKEGNGLETAIRKFRTAAYLWQAFTAEQMSRNKFG RRVFRFEEEWTTGTTNQRDLEMGTMRSEARIHVIRTDRTVAEIRDLERAQQNPKATKK GELYDIAAEAVKNYFKPLPGQKQYVSVLILDAHWDTTSKTVVGHAALGGNAGDLQLAI FGSHCLQSYPTTFEEVVPAFTDCTPTDINHVANDCNEAGSSWEAANIGIGAHLHETGH LFGCPHQENGVMLRDYVRLNRTFVTREAYSTRTKSKGGLVLQSDECTWHRLDCLRFRS HPCFRAPNDPVLNVDDSVTAWPVDDGKVLIAAATGVAYCELYPEGDDVCHTWIEYPAE NGGVQRNVTLTEKELRERLPEDKRDKKLKVSFKSYGGGSLDIEDFHVLCSKASSLKLS FGIGPLAKMAFRGSKLGMSQMEGSEPQEFIFSSAKESNRVLSKIVFYHGYALDGMEFF YDDDSSQLFGKRGGKAGGDSFDMNIRRGEYITGFVVRSGFWIDAIQVLTSTGRKSPMY GNAHGGSQRTLLPPRGYTVCGVSGSCGNWVDGFSVIITRW PFICI_03534 MSSPLKPHPEAVADKVLKRVEVSKIARKLQGRLALAHFKTKHGW EDLTLDHIEPKIEEVRRNRLQDGDILSDSSSSTSELPYPTRGLMSSPLKAPLFSDAIG SSNGSTGHRKRTYMASFDMDMVMSSPTKRYRTSPTAHKSFGAQSSLKTHRQLIQSSPI KPRRQQHFTTSTGPDVSFFQGTRRVSADLTSPNLTAPASDDDDDLLPTHSFQVGRSRD SPPSTPPMRPRSLQRRSREKLDDGKEEGADLLLYLAASPSPAVRNNSRMEPPSTPPPR RDLGLPSSVMGTPGGMGHSNLLFPATPGQPFDLSDFVNITPSPAQKPWKTPVALARTP LSVARRRLTFDEPLHGL PFICI_03535 MATNGNLPSGAFITTLSGKRCTAIPKAKNASSGGGGATSTSTST TSTSTSSSSSSSSSSSSSSSSSSSSSTSTSSTTSTSTTAQATTTEAAAPAVPPAIAPV FTDTPTTAAAAVPPPQQTLTSATSATSIAQIGTQVSPVAPIQTTSSVAVAPLSSVTVP TTTPVVAIPTTPESELQSQATQADNNNDLASSASAVETTSAAALESLIAPVESSVLSE SSTAAAESSITAGNAALLTTIGTATNANGGQAKVTESTTAGSATSAAGSTNGISTSTT VAVVGGVVGGVVAFALIAFLVWFWRKRIRQKRRSTLLTPLGPESGFGNASEKVPYSIS RDSIGPTGLAEKLKAAVGVNVRKFRGRFGGGHSPSSSVNLNRGNSQYLERPSHSRETS ADFGTSAKDRLLGFFGRFNDKVRSERDDNLSSSTRNAKPMAARSNSQPDFLTLLSMDD KQLAAQATQGRTSMSNPRRSQSAGSNEHFLGRLNLDFDSANPFSDDNAMTRDSAKVAP LTIMNPDNPFSDANAVRPPTATKQAGPATYVQNIRRSRGMSVGGATTRPPSTNAPSVW RESSASVESFATRRNKFRSDPFDLDRPELLSSSAGSQVPMLPRDSRASSSRVRVSGGG PLPPHPAHTRNPSLTSSKYSSGVASIDQWSDPGPDVGPGSSRYDSPTLTGGERKVTGG ARRSGASQGSQGSVGKAY PFICI_03536 MFGGLANLLQPATIGPPPKDVPPATPVQLPDFTPPAPGSATRHP SLVRFLQSLHRPAGLSEAHFEALGLRLHSDAPAEEVLSDPSFLPPTSGECERLKTGQS VEGNIGFCFPLCNGNTSPEARAYLERRDELSIENQAAFRTVRRIRPEPGVKAARLGNC YEFYRQLEQMAGYWDDSSLPPMQQQQQQQQQHENEQDQPEDSKPPQRFPLPKPLDASL EQVQVSLMGAMSAPESHSRSEPSSSQDQSSWRVTYRTSSGNTMPAEVRHHVISAFLKL VSYDFGCNISAPRTEPRLQLLTPSPLSKTITSSTPKNQEQQQPRKQVASYFPSGCVFL VRMPTTREAARAGIVEGPIAAVSARNTTSFSSPAENNIDFGRELIAALITAQHRSREG KEEKRFGEGKWWAHAKRWGGGPGGPIGREVEGSVAGDKDKETNAASNPMKSEKPDTAH EGNGGGAHSSETSKERPRSPPHPISPSSGLPMRGPPAAKKQRKTGHLSIYDNYRQVKL PAATWDKKARYSAIGKVPGADYDDVFVISSLFHHISVIRVRVPLRILDVLAGAPEGEG EQREEKKKEEEEEEEEEEEDETGHDKSWGELQVWRSKWFDLFLAEDRLEALRLLWGLN AWMMRKVDSDVKTQ PFICI_03537 MSGYGYSHGGYGAPQPQYQNNYYNGTPQSYGAYPQGQPYYNQGH PPPQQPQYAYHQGPPQQQQQQQQQPQHGGYNNAYGRPPMPTVNSNSYNHGNHAAPPPP PTGPQSFGHGAPTNYAFQYSACTGRRKALLVGINYFGQRGQLRGCINDVRNMSSYLNQ HFGYKREDMVILTDDQHNPMSQPTKQNILRAMHWLVKDARPNDSLFFHYSGHGGQTKD LDGDEADGYDEVIYPVDFRAVGHITDDEMHRIMVKPLMSGVRLTAIFDSCHSGTALDL PYIYSTQGMLKEPNLAKEAGQGLLGVISSYSQGDIAGVAKNVMGFFKKATNGETAHNR AMATKTSAADVIMLSGSRDDQTSADATIASQATGAMSWAFITALKKRPQQSYVQLLNS IRDELATRYTQKPQLSCSHPLSQSHHLASGNMPVIQVERII PFICI_03538 MVQHDFGGLDTPRTNVGDATYMDREPDFDMTQEPSFQSPAKDNN ILHQMRNGRSGAVNLRTPRASRAALTDRRNQLPQGIGGNEFTPMLKSATRNSARKFGK ENRKRNSTPGALNRIVEDMDMTNVAVESSLYGPSRGSNSYIDATPMPHVDDSSIASTP MVLPPRRGADKGPLNDGNQLSLREQENVIDRIEKENFGLKLKIHFLEEALRKAGPGFS EAALKENTELKVDKVTLQRELAKYKKQLGNAEKDLETYSEQILSMQERAKKKYADESQ KIEIEKLKQDLEDKAADLEDLQRQVQQGTQDSDRIEKLEGDIGDLEADIRQKDNEINE REEEIEGLKDKVDEMEEKWKDAEARLAELEEKAQAGEDLQEAKETIEDLEASVRRLED QIEGFQEELEQAKTDKERAETDLEELQEEMSNKSVVTKGFSRQLDEKVARLQSELEKS QERYAFLEQQSAEKEKYTSELKSKAKQLLQQRDSWETERQSLETKLEQAEKDLGARTD EKNLLQLRHDALGAESASLQNDVSRLQKQITQLEQSLDGEKAHALQIEQDIRAQFKSD IDHLQDEISRLQAQVREKDNLYDNDNEKWENEKRSLESERNRADERAAGLQRTIDKLR EVEGSLSGKETKLQEALNSEVERHKSEEQSLSRQIESLKQDLQARQSILTDLRTEVST VREELHQSQRDYQAQAEKVEALEDEVEVLQSTLDDESEEAGQKLAQAQQECDDLRQRL RALQGDMDDAQANRQVSASLLRLESQLSDANAQLTRAAKEKSSLQDQLAKLDSEVRSM RASLAEAKAEREEAEDELRRFRQQDNDTYRVDQERIDLRTAKVKLDSEARRLRDENRL LDEERDAVEKALADEVDRAAAEEERLNKEIVKLQSRMRQSSGNDSQELTAARRTIREL ERKIDEYELQIASRSISAGASLGAEAEGNSEISLMRKDLSSARQKELEFLQREADHRD TARGLKRQIADLERKLHESEMTHLIESPNASGSNSASARKQEISELRHQLSTANKSLQ EMRRKLRDAERSTSKNYPAHDDVVRELQAQLEDLEDEKIALEYEAADTQNAMAELKSQ HERAVRKLEHQIYKLQNSSSSRHNKTDGHDILEPNERQELRAMLRESQAEVQALEHDI RQQASSIKAYEGAQSTMRQKLERARSERANYKSRVLKLEDDCQRLADGAQSAISWIET HKSSSSTSALAAPTSAAYAAAKEIAAAHRTSIRSASGNLVLDVVDQADHEAVIRAADE AARRHEKELRGLAMQMRWMQARWEREAKLRTDAAFAKKFLELRLNVAEACNQADLRIL SQIHKQLGIKSPEQLLQSHKTHTSSRSSTTHLRASPTQTRAQRNLRVFASAIRAVARM RISAKAWSKHEKTRKRLEVAWEETKKREPVRRWETDRDDTQDSHDNDDRGHPNGRILE DKGLQRNGRKKSRRSRSGGDGDEDACMTLNF PFICI_03539 MWPSFDQLPDEIIAHILSYLAPEETLTTVPRLSKRFHRIADTQL LWKLYCETSFRYWHPEHDIAQKLRNRASDVDWKGLFALRLQRNRRIARLLDGIVASRV SRLEKTEEICQHGYDAKDFLLSQCKIADTADDVLARRFYSCNVLDSIHRSIAVGEWAK YQKHAARLDGDNLSPTDRLRCGMQLERALGAFDMFVLHDNEGDMDEISDMLDNLISQF RAAHYDVNGMTTRGKALALAAWLHVNNITGMENESETFRYLRNCLIGRALKDDQHPSL PIISAAIFSALAERIGVEAYCCALPSHVHAVVLAPEGFSLDGQPLEEGEEDRQKMFLD PYCSAAEVPMEQLHAYLYRLGAHLGRDTMLVPNATDLIVMRTAQNIRASFTSFRTIER PVAELIPLIELRRGDWARNLQPALYSMIWASIMMVPVLPDNEDVRWDWQQDVRDLINY FYEYFPEDAWLVEKYVCPMYDTFSAPGRRQNAWELPSRRVRDQIRKIRQVDSSERAPR RRSDLMTPIPVKYRVGQVFKHKRYDYHGIILGWSVDGVSESMGWDGDNLGWTSNRTAQ AFYRCMVGTDGSDHHVIAEDNMEMLDLSDGKRLPREIQDLIPMAGKFFKRYDDVQGAF VSNMRELFPED PFICI_03540 MAGNTVDIRTKNLTKPVDVADYLFTRLHQIGVRSVHGLPGDYNL VALDYLPQNGLKWVGSVNELNAAYAADGYARIKGISALITTFGVGELSALNGVAGAYS EMVPVVHIVGCPSTISQRNGMLLHHTLGNGDFGVFAEMSKAVSCEVAKLTEPAEIAAQ IDHALRECYLKSRPVYIMWPTDRVTTKVEGARLDTPIDLREPENEAEREDYVVDVVLR YLHKATNPVLLVDACAIRHRVLDEVHALIEKTKIPVFVTPMGKGAIDETHETFGGVYA GDASHPDVKEAVESSDLVLTVGALKSDFNTAGFSYRLSQMNTVDFHSTYCAVRYSEYP GVKMRGVLKKVTDRVDIGQLSVKKAPKPPQTVAKATGDNGPVTQAWFWPRITEFLKDD DIVVTETGTANFGIWDTKFPKGATGLNQTLWGSIGWAVGACQGAALGAKDAQQQRRTI LFEGDGSFQLTAQELSTMIKHELDVIIFVICNDGYTIERFIHGMEAEYNDVVQWDYKE LVTVFSGGPEKQAKNGTKKYTVKTKDEVEKLFADKDFNERKGLRFVEIYMPKEDAPRS LQTTAEASARNNAKSE PFICI_03541 MKFHRINGKVRKVDDNDDAATTASGAALIEVLNANPPNAQDPLR RGLPFQPRSYRDFMLFEAHYYGVAMGMTQLYRPAAYYLARLYGLLTGGGGEFPLFKPS ALWYSQPIWYQSNHLAFVADGAPVHCPAYAEYLDVELELGVVLGRELYNATPQEAEQA IAGFCVFNDFSVRNGQMAEMASGFGPQHSKCFANSISSIVVSADEIFPVLHKLAGRVV VNGDVVSECRADKWQFTMGEALAHASKATRLFPGEFFGSGTFPGGAGIEHARFRLQVG DTVRLEIDGIGSVTNTIVAET PFICI_03542 MEEPDTKTHNPDKLPSRLESLEEASTGGDGKQNEAPEEQANKGN GTLNGADDSGIDVNGSSSKLSSAGSPIQEEHELMKDTSSKSQPGVVDSATANDDVGVP LRRSTRNRKAPANFDEAYTNATAQEAVKPTAASSRPKRKASSAAQKSFTSKEDLDLVN EALCAPMVSEELRSYKGWVELESDPAFFQAMLHEIGAPDLKITELFSTDAESIAALSK PIYGLIFLFPYENQSEQGGEERHDCPDGLWFANQTNANSCATVALLNIAMNIPNSQYG PELRRFKEETTALSSWDRGNALDSNDFIRCIHNSVARRTQLLNEDLVWQNKRDEEEKQ QRKRKRFSKPIRRSRANLKAQSKTSNPKTSPATNKNKKKPDPANGTNHYIAYVPHAGK VWEFDGLEDKPLCLGSFSGGSDDWVTTAIETIQVRMAAGLFSNTFNLLALCSTSLQSL EDRLIQSLAGAQKLEQDYRSDGEGDDLSWPHPPIAKLFSPEKLQALNCLSWDMVANIG PTESFINRTSQADFGKEQATLLMAELLVEQNDLESALAAELNSHRSARSEYESRQRDF TPFIHQFLLALAETGHLEETVNNFIE PFICI_03543 MNETPRVRSGWFPQTPGTVAPRQRREQPPTPGSTPRQQRPARPS LPPAPENRTAAAAATDRPPLIPLTVIDAPSQRFYAVSIYFALFAYRFWDWLSVVEEDT GSFALFAKWIVIDFTFLFVVLPTFRIPWLDFSQPVVITLFAWQQALNWVLMFNVQVSW TAWLLGVVKIFYDRGELSISEHNVKVSSILQNSSLIMGKQIINILPEGSALLNPEKTP FCIGQGGKNVVAVPLYFNATIPAEVELIRIDLETNNEEVVKFTKSQIREIDRQAKKIT EDGTVTSYKYDVPIKKSGAYRLHKVLDEYKLEVQRITEPTYVVPCPRAQVRRAESSNR CINDLSDLSLDVHGTPPLKIVYSRTINGKDHSFHFQSLQPDGFSSPLLGSARTSGLIL QDGEDVSWARAQTVAVSLNESMSQAGDWQYSIDEVHDVFGNVVKYTVSGDDVEAKPKP KHLAQNYHVRERPQATLRGCDLRNPLKVAKGQSTNLPVSFSLPGRAASQTGYTLTWQF SPIDTLSKSGDHGDVVETGTFVAKNAVDQPAISAPGLYTLKSISCDSCEGEIEEPSSC LLLNPLEPKLSLRSEEIPDKCAGNSIGLRVDLDLVGTPPFKVVYDVISDTERSHRESV MVKGLRQQIELLPRNAGRYQYRFRQLEDAIYKNIPLPLSDEYYLEQNVKPPASAFFRD QPAAISACLDEPIKADVALRGDGPFILEWELVHEGKKKSHKVTDITTESFTIQTDPLS RGGDYTLALTSIQDKTGCRIFLKEEIKITVRRQRPRAAFGVIENKKTTTVVEDAKVNI PLKLSGEAPWRVTYRNTESSRGDQTIVARSNNDFLEVSERGTFEILDVSDRQCHGQVD PKMSTFEVNWFQRPELALVQTETISQRGSVFVKQDVCEGDIDGFEVALKGSPPYHVSY ELKHKPKSGSGSVARKEFDAAMPKASIPMDTNKAGLYTYKFSALADNLYNNDKRKFQP LTLEQTVNAKPTASFARPGQIFKLCVSEQDYEEKIPINLQGVAPFYVEIEIRHHTGSI PETFRIPSIPSNTYGIQIPRQYLKLGAQTVRIRKVRDARGCQQKIEVGGPSVQVQLYD APAIYPLETRNDYCVGERIAYTLSGTPPFEVHYTFAGEEKKAKSQTTTFRRIAEAPGE FKITSISDKASECRAAIDLSKTIHPMPSVRISRGKIVRTDIHEGSEVEILFEFWGTPP FEFTYTRSTNAKKGQKSHVLETRHDVSYENQKVVTASLEGTYEVVAIKDKFCAFSTMT SEGKSKGSGQKMLTY PFICI_03544 MASDDTGARRLEARPPVPKMPPAYLPKAGSPLKVDEELYASAQK APRVLVEEFTLPIRSGKAWKAPAGSIVRISTPEGGQVGDLNIWNAHNPRERFWASRTK QLYSSHVSTYDRLWSTLPFMRPLATIISDTLSWYGADEHGGRVHDLLGTRCDPYIGAV LSGEAKGYDFHCHSNLVRAVLPYGLTEYDVHDVINLFQVTGLDEKGRYFMNPCPAEAG DAIEFLAEQDLLMALSTCPGGDLSLWGFGEDSEKEMIKCCRPLKVEVFRLQDSGLLER GGWKPAEIAPYRGNHGIAIPQGEHESTR PFICI_03545 MPSTAMCMRTLRAYSKYGSNHTMVLARAFSATSRRAEINKVYPS AAEALKDMKSDTTVLCGGFGLCGVPDTLINEVHNKPEVTGLTAVSNNAGTDTSGLGKL LKTKQVKKMIASYIGENKTFEKMYLTGEIELELTPQGTLAERCAAGGKGVPAFYTPAA FGTVVQTGDLPLKNKADGTPDQFSYPKDVKVFKGKPYLLEHSIDGDYAFVKAWKADKL GNCQFRLAANNFNGAMGRNAKMTIVEAEHIVEPGEIAPEAVHLPGIYVKRVIQSTAEK NIEKFTFAKDENDPEAKKALGSGETAAKRERIVRRAAKEFKNGMYANLGIGMPMLAPS FVGPEVEVQLQSENGILGLGPYPKKGDEDADLINAGKETVTLNPGAAVFGSEESFGMI RSGRINLTILGAMQVSASGDLANWMLPGKVKGFGGAMDLVSNPSETKVVVTMEHTDKK GNAKIVKQCAFPLTGRACVSRIITELGVFDVDFAHGLKLIEIADGVTVEEIKSKTEAP FTVAEDLKPML PFICI_03546 MDSSTAKRRKLSHGGAGPSLHVGVAPTSASSAFVEATQELVHEV QIDYPQAFDGVDQTLHKFKNAIEAIESHDPELIGTLTSQFEKDEKNGKIKIPYPSPKP GKDSQYKLAFAKPAAFNVVGSYQLRTMVKSQTEFGVDMIVVMPKSLFQEKDFRDRRYF TKRAYYLAKITAALRNNFKADFDFSFHFLHGNSLLPVLSVRPKSSKSDVKKNYMIRMI PCAEPDIFPSSKLLPASNTHKDSTAPTPFYNSTLRAESLFLSYLQLVNGAAKTCGAYK EACMLGRVWLQQRGLDSTLSGGGFGHFEWAVLAALLLKSGGRKGEPILSSSLNASQIF KAIIQYLVGTNFQKKSVVIGSAANADSIRQSGPVLFDAGREHNLLFKMTPSSAGFLQQ QAKWSLASLQSDSLDQFDSLFIVKVDQVLQIFDLVVRVNLPAETKPSQGSDHRGAIWE FGEKLYRISKRALGDRSQLVHLMVPGSSKWAVSANPTTTHESILVGFVLEPSHATRKM DLGPSVEQKAEATKYREFWGDIAELRRFQDGSILESIEWQLDSTMSIPEQVIRHIAKK HLALQAESLNFFGGNSFSAIAHTANTDAQYYAAARTAFDTLEKEIREIEDLPLHVRQI APACPELRYASVHPPEPGKRLPMDLIISFEASSRWTDNIAANQRLKIAFLLKVGSSLT ESNPEIKTHVGLEQVDHDSLNLAFLDVVNEDGFVFRLRLQSDADEKILDARLRNKQLE KHVHADAERWLAAYKRTYTYLPLHNQAVSTWCTRYPPLSDSIRILKHWFGSHKLASHF SEEVLELIALKAFLTPFPWQTPTSATTGFLRALQVIARWDWTDEPLIVDHSESSVVSD RSAVFARFHELRRSDPRMNRVVLFVATSHDASGTAYTQSGPSRVVANQMTKLARSACE FVKTKAVDLDPRSLFQSSLKHYDFVIRLNPKVVKNVLRDDGATHSRFKNLDVGRGGSI LPLVQHPSKTLVEQLRAVYANALVFFHGGEDDNVVGALFNVELGKRTRKIDMPCAYKP GSDGDSLDVDQAAIVSEVARIGADLIEKIETKANN PFICI_03547 MTADSGEPSGATTAVTGSSSAALPPGVVLGKDGKPCRTCSSGSA FKAFAKSSLKPSNNNTTTTTKAATAGAAVAATGAAATLTIPRDCPPDVEQLGRSTWTL LHSIAATYPTTPSAREQADLQTFMSTFSRLYPCWVCADDFQGYMAREKMPVGSRDAFG QWLCEAHNAVNVKLGKQTFDCSKWQERWRTGWKDGRCD PFICI_03548 MSITTEKQPILLLKTKSVPNDTYQELFSTPRDGLEFAPIFVPVL QHQFQEDGMSRFRNLLRNGRISNKKDAQYGGLIFTSQRAVEGFAKLVEEGQDDEKWPY LHDIPIYTVGPATTRALRAISQTPPLQVFGEHTGNGDALAPYIQQHYGEWYAERPTKP ALLFLVGEKRRDIIPTALMDPSLPPETRIQVDETVVYGTGVMESFPADFAQVLERTAS ARRRWVVVFSPTGCDGMLEGLGMLDAETGKVRGGGAGEAPSGNGNTFIATIGPTTRAF LKKTFAYEPDVSAETPSPEGVWKAIQDFSQQ PFICI_03549 MVYCGKPSRGCQMCRTRRIKCDETKPTCNQCAKSRRQCPGYKDE FDLVFRNETQATERRARKANKKALQKLGKGDGGEERSGSTSPVEGLSNVIRSPLQQAV TPALAIPAETRADCHFVANFVLMPQQGSTRGFFDFLLPMLKAEGVNSHLQRAFNACSM ALLNNCAGARNQYADKALNEYTHALTGTNIALRDPEAQKTDSTLAAVLLLGLFENLTA KQLGMSAWGSHIEGAIQLVKQRGRKQLRTRTGLLLFIAVRTQMIIHTLTSGKAPIMGV EWWINDAVKDEWAAKCQRVMIKTGELRAEVTRLMNCMPRTPENIELMLNMIRRAQMVD QEAVNWMNNLPEFWQYKTVHWETSVPNGDYANAEIYPGRVDLYADFWIASVWNLCRTS RLINASLIVRCAAWVCSPVDYRTTPEYATAARTCVETISDIIASVPYHLGWHLKKKEF LSRKNLGHFACGEEDALKGLAGYFLTWPLACIHCQDYATDTQRTWVHGRLRYIGDDLG VKYAHILSKMQVRIPSMMIHRDGLMASPYGSAQNFEKILNARNAPPSAGYSMNPLQQR ESMQKAAFEQSRSELLHKASGNAGPSTQWVAKNWLTLPEQNQYPGSQYVAGPTQSELI SSAGPLVASERP PFICI_03550 MRQTHQNSQVLPLVFLLIFLGGAAFVGYQIWLAVNQVEKSARDR MAKKNVVFTKDGMRVGVKHVEQEKYVDKTQSWVVKAWNLGTQIPSAVTKRK PFICI_03551 MADQGYGQFPPQQYGQQPPYPDPATAGTPPPAQATQAPGAEGAA GKKKKRGYATQAFEFGAGGNAAIGGQTTGGMPPPPGVGGAVPAAAGYPGQPEFQAGYA GSPAPAYGGAPAAPGYGAPAAAAPGVGGYQAPDAYYQGGAGTPGAPQGVAGITAGMAG MNVGAQPAQQAGQQSRLALNQLYPTDLLNQPFNVSELDLPPPPCILPPNSSVTQSPTA NCPPKYMRSTLNAIPTQNSLLKKSKLPFALVIQPYAALHDIDDDVPVVQDQVIARCRR CRTYINPYVVFLDQGHRWRCNMCNLTNDVPQAFDWDAAAQKSVNRWDRPELNHSVVEF VAPQEYMVRPPQPLVYLFLFDVSYAAVSTGLLATSARTILDSLNRIPNADRRTRLGFM AVDSSLHYFSIPKDGEENTETSMLVVSDLDEPFLPVPQDLLVPLTESRESIETFLNKL PEMFQNNQNNGSCMGSALRAGHKLISPLGGKITVLSASLPNLGVGKLEMREDKKLLGT SKESSLLQTANSFYKSFAVECSKNQVSIDMFLFSSQYQDVASLSNLPRYTGGQTWFYP GWNAGRPEDAIKFAREFSDYLSSEIGLEAVLRVRATTGLRMSTFYGNFFNRSSDLCAF PAFPRDQCYVVEVAIDETLQKNYVCLQAGVLYTTCNGERRIRVMTLAIPTTTNLADIY ASADQCAITTYFSHKAVERALSSGLDAARDALQSKLIELLQTFKKEIGGGGAGLQFPA NLRGLPALFLGLIKNVGLRRSSQIPSDLRSAALCQLSTLPLPLLMQYIYPRLYSLHDM PDNAGVPDPETSQIVLPPPLNLSSERFVSYGLYLIDDGQTQFIWVGRDAVPQLLQDVF GVADRTQLRVGKGSIAELDNDFNERVRAVIQKSRDHLSRGVGSIIVPHLYIVREDGEP SLKLWAQTLLVEDRADQGMSFGQWMSSLREKVSS PFICI_03552 MSRAQAPNPAGSRKISFNVSEQYDIQDVVGEGAYGVVCSAIHKP SGQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQKPRNYETFNEVYLI QELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCD LKVCDFGLARSAASQEDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAE MLSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKVPLRTL FPKTSDLALDLLEKLLAFNPVKRITVEDALKHPYLEPYHDPEDEPTAPPIPEEFFDFD KHKDNLSKEQLKQLIYQEIMR PFICI_03553 MADNSSFLPGPTSGAGIARVLADPEQGNLAVALLARNKENLESL CNSLRQTSHGGVLYPFPCDTRPANLRRVFGEITAHDAFQGLPLELAIFHVKHALKAPY LETDPADFEKSVAEYATGAFAFGQEALRQFYAQAEGGQTVLSPSHPAKKGTVIFTGTL GALRTNEGFGAYGAGRSAVRAVAQALGKEHSRHGVHVVHTIANGPIKDVVAADGQQQQ DDGIRAGKTMSAESVGRTYLWLSRMPPDLWVDELDMRPAQEKW PFICI_03554 MSRASSRVPSPHDSGVLIPGLDSISNSNGTSTNAGNSRPEFQAQ QQQYQQQPQYEEYGISSMISGWFGRRFGPSRSNSQLSAGAGSMHSSRTFPTDHPSSFG DGISTPFTPPRPSRSASPFVMPDYEPLILTGYRQDTDRGAQLLSTSIGEAIRMNFPER LRICEEWKLVYSLYQNGSSLSTLYKLCDEFRGRRVGFILVVRDGSGGTFGAYLTEAPH IASGYYGNGECFLWKASVHVSLPPPPSDPSADLLTGTSTTIHSPTSTRFPSEHLTPEA PSPPNNKLEAAQMEDHSIRFQYFPYVPPDVRHGKHDEGPEDPWEMSRDRDQFYFISSE RSFLALGGGEGHDARYGLWLDDGFNRGQSAKCGTFENDPLSDEGEKFDIVGVELWVVG ATS PFICI_03555 MNHKYDPDSGLVFLEGQISARRMNRNMASMSQDWHRRSIATNSS SKKRKRRRTPSPGPIPIRSSLFAMCRSKLWENVGIIEEDAFEHVPTRILWDIWFHGQA TQKSVPFHAWKVFIKYLSPKEARPESGKVPISLWRQVQHVKRPIAPLSTYLVPLSSQR LDFIAHLTIAEGVSCPASHLLALSTMQNLGVLEIIQPRDPQQAAIFPRVSDSILREWS AVSSQSTNTVSFPSLRVLRIWGEDFVTHKSLQYVTRFPALAVYDVAGRQVDWPPQSLS RSEWLRFSENSQKIQDEKLPADIIRMSSDPGLDRMPGGQGYRLKVAERIARLDMTASM SENSRKLLRSDSPSRFNDMCQSVAIYRSTVSDPELSVTFIPAQGVAKEVGLINKKMPN DDDDDLGAMAKHPREETSDLMGFALYSQLGMLWADRELRTRRTDRVRVEQLATVAGQL VLSSLPYAQICLGRNDYVRGFDPDRRGT PFICI_03556 MPHAESQLYQYSHVPETKENLEWAELPNIDLSKYGTEEGNAELA QTLIEAIRTKGFFYVTNYGISQDAVDRQFALGQRFYELPLEDKLKYVPDLDSGDYNGY RPAGRRVLSGGVVDKTEVWNMATKDGHITQPIPQLLKDNLEEIEGFAKNLHDKVLDPL NHLIALALELPPDFFTKVHKWEEHDESHLRYMKYSKFSPQEIEQLSDGLWSRGHTDLG TITLLFRQPVAALQIRDHETGDWKWAKPLDGSLTVNTCDALSFLTGGYIKSTVHRVSV PPKDQRHVDRLGLLYFARPQNDLSLKTIDSPVLKRAGFTQNEFEKGGHRVPTMGEFTT LKQQWQQKKGTSYESSEGKEILPGFTGQYFQ PFICI_03557 MSSSSSKSVEEQLPRDVEKQNDHIEPASSGHSDSDETKQSKEHD VSAEAGEEEDWNVPPEPDILDTDAEGVGGTIARVLSRISTNASWNPGPPPDGGRAAWI CALCGHLVIANTWGFINSFGVFQSYYTSLLGRPPSDVSWIGSIQIFLTFFTGTFTGRI TDAGFFRPILLLGTVLIALGIFTTSAATQYWQFILSQGICMGLGNGCLFCPAISVVST YFEKKRSLAIGITAAGSVTGGLVFPAMARQLLPSIGFGWTIRAIGFVQVGTLLITNCF MKSRLPPRRTGSIVEWGAFKEPEYVFYALGMFFNFWAVYFGFYYIASFSRTAITPTLS YTDSLNLLLLMNGIGLIGRLLPNHFADTVGPLNIMIPTCLITGVALLSWIAVHTPAQL YAWTVFYGIAAGGIQSLFPAGLSSLTTDLRKRGTRMGMTFTIVSFAVLTGNPIAGAII TAEGGGYIGAQVFTGVSILVGMTLIFLVRVSRQRRLKCGWRLKM PFICI_03558 MRSGAFGGITLAALLSGVSAQSYGNPGASGQGQPGDGGSSSPGS SPAGSGPGNGSGNGSGNGSPLTVSPVVLTCPIVPPSTVFITVTPPALATVTVTSPAGP NGSNLPNGPYDPNGPFGGSLPHTGPYPSAHSGSGWSPSKTISIPCSTDSGAGPEGAGN PGSGHSATAAQLTTDYVTMSIPPLGGQTVGSMITYTIITPLVSGNGGNGATSPFGVSS SASGGYGAGQPSPTVTQSATQPGAGNPGGQGLPTVTVTVTTPQGGNPSSSGPGSNPND PDTYGPGNGSGSGPNPGGNGSGSNPGNGSGSNPAGGNPGGQGIPNSTTPCETDTATVT VPGGNGAGNGPTIAPGGQGPTVAPGSPGNSGQSSNPAGPGGQGLSTSPVTVTITVPAA SSSGLSNPGSNGSPGGSPSQSLPFGGYGGSGGSPYDPGFSTSTIIVPSALTLTVPNTL GGPGAQGGQVPTIIPGIPPSGQSSTGAINGPSPSGSYGGNPGGSGNPNGPNGPGGSGN PNGPNGPGGSGNPNGPNGPGGSGNPNGPNGPGGSGSGPFTITVPSVPSGSSLPGTSGN GPNGGASAPIIVTVPSNPTTGIPIGPGGQGISGVTIPSPEPTLPGGYGSGSGSGPGNN GPGNNGPGNNGPGNNGPGNNGPGNSGPGGSGPNGAGGPITITIPPSGAEPTASGVPVP ITVPGAGGPGGQGGQSGPSGPSTATVPVGGSGSLPGSYGGSSPAGSSGPNGSGSGPSG SGPNGSGSGPNGSGSGSNGSGSGPSGYGSGPSGSNPNGPGSGSSGSGPSGSGPEGSNP NGTGSRPNGSDPNGSGSGPNGPGGQAISSPLITITQSSILPSTRTVLASAPYTPVVTV APGSGPQPSNGGNPGSGNPGNGSGSPGNGSGNPGNGSGNPSNGSGNPGNGQSTPCPDE GSVITIFPSPTNGQQPTGVPPFMTVTEGSNPNGGSIPAGASTPAITVPGPNPSAAEPS GVPVPVTGGPNPSGESTPCTTSSSATQTSGPAPVNTSSTRVPQFTVPSSGWNATMSMT VSSTGDVPSVVPPTSTVEPLATTIPSDCPSLTSTLISSSMITWCATSRPTSTIDVQSS TPAPVRRQTNADIPVVPTSQPGGYQWGGSQKLEACGNAADKGNFTLKMDDLSALSARS ETSDPVSVLSPYHRFWFTSTFETSSSEGRFKPSSGSTMLQFTPSDDPARFGNGPSHAN DCFSFDFFGAHLGCDSEESDCDFTFTGMTFDSKSQEEVEALSQTVHVPACRQTTDCKL QHVSVDNFKGLSSVLVDVKVDNEERTWWADDLELGWSDNTCDKANCRSQMRDTVLTKS AGKRWIA PFICI_03559 MPSLDARAHLRQAIQPLERPAGYISRALTAGFYPLKGIYYFLAH PAYYPLFLGRLLPLSLISVLVYTILFTFAFLPQYAFLAIFHGWGAWVNAVFLTLGEGL VIIQGLFEGFFVDECRVDVFDATLIDKGLVDLIRPHRILFPDAPNSVKMLGKPTTEAA YQPWSLIQIVELIFWLPLNLIPYVGAPFFILITGARLGTFAHFRWFELHGLSKKERKR EISRRRWDYMWFGVVAMLLELVPILSFFFLLTSTTGSALWAAKLEHKMHPPPAQEPES ANASAPAEIDDPDAPPPPYTDDPV PFICI_03560 MDQPTLDSIQACQVLAIYWFAYSDHRRNNTFSAIAYRAIRTMAT DLEEHNPADLEQSPEQVILDENIRQCFWTSWMANCVDMDHYVVGSSIDPVVLALPLPI SEDAYRDGKPEYQGNLGQFVDDRGARATSGCSSAGSLKAELMKMVLSWVRVCDHVATR KRVPMSETISSLFALDHTLRRWNESLPETLKYNTRNLYEQLVAKQEIFFSTIHTSYNQ SRLVLHSTLVPGFGGRAAPEELPLEFVEGYARVALDSVQNLSAVARDLQAIEWDPATL APFMGYCMYASTAIHVNILRRTSHAASTTKSNIYAALRFLQDMKPHWRYMERFWDCIG VTDKTDNKDRSIESFLEEIGPSGTDTRIAGSQTQIPGTTGASKSIEFPKSLLPYSPRM LKSFVEEHSESKVKHRDQDDKAAKEQMPPLASSTTQDVQNDISAEVHLNFSKKDATDS EMLPLDLESFEEMDLSIFDCASLPNTDLNAIDNNWHSTGSVETPEMPFDQLMESFFPT PFICI_03561 MNQDEEHHVNRDGQFYVRQGQVLKDRYRLIYELGQDSIGIFWLC HDQFDNAAQWKTVQIIESDIDGAERGCRLAAKVSKMDGQNSNAEQRNIYWPLDHFVLE SHHGRCLCLVTGVMGPSILDMAFDDPELIRSVCSQICKGLQFLHNNGVRHGNIRPSNI HLQVSEKIQDIAEAEMLTILGTTEAQENYSQDRPQGIKWPERLQNLLIPDEVCIGGLE STSVASHPVMVSKSALRYASPELLLGMGSGFGTDIWSLACTIVEIRTGQSLFGGCRSA ETMVAGYERYLGPLPELHKATWQQVSATDRERIYDDAAERDSDVGTNRGGKDTVKCEG QNAEMDSIYAAIARDMLAKKQNLQDRHGGDETKAIAYRLSKAEVLILGDLLCRMLKYK EEERLAIGEIIEHSWFSSKFRRFAQVQVEQTTLINPSNENAQVIINGENQKVRRRLTV VERKGSSRLEMDNHQITRSKQTRASRLGHASRFDLMNTGIGGFILGLGIATVFWTLLI MVKLKPVTGQSFWALDTMVVERIADSVFRI PFICI_03562 MQLIAQLASLALLLKVVVAENVVDTGDVPSACRSDSNCQQVFTI GTTCDDQTRNESDYNNCVCGTSNAQSILNTCAICVYNNEDRDDDDRVDNDVTELMRTC GWTLDLAAATTSTSGSSSTTSTTTPTPTVATITTSGTTLVTTQTPSITAGSASSSTTP NAASVPTAAAANVLAGAFFALAMI PFICI_03563 MKRPTRPKSSAVDAIQILAILDKATGPEVLLEKQFRPPTGKVVV EFPAGMVDEGETPEQAAVRELREETGYVGEVIEDEAGRPVHWNGPASSSSCVYMIRMK IDLQREENKNPKPELEDGEIIDTFSVPLKDLYSQVRILSAEGFAIDGKVGSFAEALQT ASMWQSSL PFICI_03564 MTTAQAPPSKRPQKPKPPNAIESALKSWFASLPSELLSNHDIPE LILRAPKRWVVYEPMVLLPAGSFYCSPWPDLLRSVPESQMETLWTSILDQVSKTCKSR LTHLAANEGIPLHGDDGAEENVLRSPSGLRILYGDFGPAAVLRPDGGPTAQEFKDAFW VSTKQNGIYQTWAPRWTMFSRGNVKEKARLLDFHSSVSSTQGLEHRKMDKRELKGKWA VDLYAGIGYFVFSYAKLGMRVICWELNPWSVEGLRRGAIENGWNVRVLSAPERSSISM HDMIEGGEDMIVFLDTNEAALPCLTEIKNTGTLLDIRHVNGGFLPTSEPIWKDAFEMT RGLDGAWLHLHENVGVHDIEKRKSDIQDLFKGLCPDHSTQSRVEHVELVKTFAPGVWH CVFDVYITSVNDIK PFICI_03565 MRSILLATIALAGGVVAHGDHGGSQKPIVDENANWMTKHMAEEH HISDFDASSFFLLHDFDGDSKWEPEEILRTYGLYDESNQNVSPQRRAEITRQILALID TDGSGYVTRDEFVIFINEGKTLPDMGTGPGHHGDDEYEYEIHHWEKYHDENTKLEDLT HPEDIEHFRKHELLEEEEEKLEILNSMSIVPENIPAKFRRTR PFICI_03566 MSRSLEPTLLSLLPSLNSASSLPPSLVELASSLLAQSRHNASTL KAEEEVARLYACAHIACERLKTTLDLPPIQARPPVPPRVYKRLYTHLDRILPTSSPTK RTRTPSGKAREAGLAFGSEQRTRERATPGKEAALAAFRPKSNGTPTKSTGKAVVPSTG RKARDVLPSWMRPTAQYLCTHLDSEHIGRTVLAGLQSIITPHGKRSKDEWINDHLAAL FAGVFFLVTTRYMAMETKRPISSTQYGNLRRDIVKVFRQAREEVKRNDEDEEVFWEGW SKIGAKDVDDAAKVFAERGWQDGEWFAGIQGKGDSQVDAEMEDAQDHGGGEKAQVQHG DSMLLGRWTMTDQKRRDYADWKADILDQCLEIEKAGQAMDVDVSA PFICI_03567 MSTGGGAGYNPEQVINQDKNDKGFMGKLKDALKPTKHSSVGPTA GQPATGVGEAHYSRPQEGPAMTETQREDNMPSYSGMRHQGQDTTSGITNALKPGTEPS AYSREANAVREMTDPMTKSTPSISKKLEGGLGSDPGYIESNKKFLNAPFPRIVVHESD RIVVKIAGRYKHPAFSRWARFGASSSREGNTQYEIDVNDN PFICI_03568 MAPLPDEKSEPTIVVDSSSGHNDDSIEEIQEKKVASQIYNKSES ESESSFEEPQYDFDSEEFAHIPQLVRTVVGFEDDPTLPVITFRSVLLSAIFCIIGSFV SQLSYFRTTTAPFPVFFVILASAPLGRLLARILPDFTVPLGRWSFSLNPGPFSIKEHA IIGIAANAGSQGQWATFLPTNAALYYGITMNPAVALFFGWGASLLGFSFAAMVRKVLI DDPEFIFPLSMQQVTLYRSMQGKSEFHISKAKKQIKVFWSILFATFVWQFLPEYMFPL LASLAPLCWFASKSHTVNFLGAGRGGIGLLNITLDWSNITSTIITYPYSVQLTVFVAF VLTTWILIPVAYFGNLWGSPTYNIMSNGVFQKNGSSYPFTSLIYTDASGTQHVNETKY EEVGLAYSGAQYTWEIFMWYASYISSFVWCGLFLGPKIWSIWKARNNHEHYHKDRLSR IIQQYPGIKLWEWGLLTLIPIAILLAIVAAGSVWMPTWTYFVALGFGAAAMLPMGLVY AMSGFSIKVGFFNELIYGYMIEAKGSSRHPLGQLAYRIISGNVWYDARVVLEDQKIGH YLHLPPRDVIGMQIFANMIALPVNYGVMRWVLATKYDYVSGKVTDPLGQWTGQDFKSY NTAGIQYALVGPKKLFASSFFRPVLYGFLVGAVAPLVIWLLHRKFPRVRFDLWNTTIF FASAAVFYGNLSTGPFTAILLGTFTNFYLYRYRRKFWDTWAYISGAALDTGFNANLLF IFLFLGTTGTVMVNWWGNDPVSVEKCYAL PFICI_03569 MAITFPSPTKTFHSDVYSTIHPTRPELSAKGKNVLITGGGTGIG AETARSFAQAGAARIALVGRREQPLLDTKASILEKHPDIEVITAPTDVTKQSEVDAAF AKFLGEDGKLDILVSNAAVSGPRENVSEADPNYFLEGIQQNLGGALWVSRAFTRYASP NATVVNVSSSAAHMNFGGLFASYSVAKWSVYRLWDLVGHQSPNLRVFHIQPGIVDTAM NREAGGIAASGHEDKASLPAGFMVWLASPEAEFLKGKFLWTNWDVDELKAKAEEIQNG SFLNLDLVGWPFNDTGSKYVGEDWKW PFICI_03570 MKSGLDCHYPAATSRTTAVPRSQRGDDAHIVIEENNTVGPAAAS IDTFGDALQDANADTNLSGFEGLQFDWDNLDIDLGDNFLIPQADSVAVFQTPRQKSPY LGSISVPLADSIPLLQQLIPPLAIPRSPTEAHRSLVRKTNTRPGASRAANMLLHMMKS YSIMILQQNNLPPFIHPQQFSINQDEDMEPLYNCISLMHMIGRNLPGSRALFWRNVRM ECERLHDTHHKMNRWKALASLQALLIYTVMRVDEGETDYNNLDSLLQRTIIVVANTFN MGNQNAYSSPAESAPSTVWKDWIFEESSRRLCTVFQVINMLVWFEPAGMCDMAFDLLL APLPSKKQLWEAESEFAWKADGLLETEQRFAFGLAVDGELVKIDKGGARCEHHIMMTP DPVDNVASKTPANWEDWCSEMDNLGNLVMLAASLIG PFICI_03571 MGDMTKKGVVVGGSVAGLMAAVVLRDAGYSVTVLERIAEVEFLD RGSGLGIRAEVSEFFDVYGQSDVYLKTALGGAFVTVMKQDGSIDGKLPLAQRTKSSSW SSLMDSLHALFNDSSRGSGEILYETKVVDITENKSENNVSVVHETADGLQTIPNVDIV IGADGSNSTVRTLMMGPVPRTQAGYVCIRSRCPGSVLSDDFAALYPDSAMGQVNDGIS LTSYLIPSKTSSTGGKPDVLIAYYTHMTPDAIREHFLGVDGHQYSHSLPAGQLRPHLL QPLKDRLRGVLCPQFQKIIDAVPAESVLIQAVSDLRAGQNSFLDGKVLLVGEAQSVTR SHTFRATASSAFQAMLLTPVLQGTSQILDWSKTCLEYTDLLRREAIEVGEILIGANHF EGVSAWIAQNKIMKETDEKCMRIKEEALIRTRER PFICI_03572 MLRSDLHSERALPVISYFIRSRQQGPNECLADIFQSVKRGVGID DRPIPDESLPLKAAFEKLIQDGPGKIKNAPTVTIVGAGTSGLCAGYELKRAGFDVTIL EASSRVGGRVKTFREPTFAHGLHGEGGAMRIPQNHYLLHRYIEDFGLKDDLFDFEMQN KFIYISGYGETLTYERFNKMLKHEDPQLLRLFPHLKTSEKQQTVDDLFTAAVKPVVNE FWDTYDACIAPEKWNPDEINMDALTKAYVKITDIYDKYTLRAYLTEVAHWSQDAINLY NLGNAHVVFENGFIESFKDAFLSSNKGGAQAHMQQLQQGMDAVPNAFVSPDRGERSLV DNITFGARVSSIGLDETQGPGVPTKAPVTVTYDIVGTGRKKTITSDYLILAIPYTSLA SITRTTPFLPMQDMAIREVRYVEVTKVLLQYKKRWWEEVFDKHGQGFDGGLVSDLPIR YTMFPKTKDNDQFKNSNRGVIMAAYTFQQDATILGSLSPQNAIRTAAENIDRIFPEAK SMDLLEAGASQVFPADELAGGSAFCYFGPMQKSTFYNTMQEPDWDNRVFFAGEQVSFT HGWIHGAFEAGLRCVQQIWEAASKEVVQ PFICI_03573 MAGFYMTNLQSLCQRRGWPDPTYECFRDGTGHQCMVLVNDHDYQ TDITYESDYMAKENAAMVAWWNAGEQHSQSSKSPRRHTMSSISSSSKNHGNRSTKMSI SAFSDDSGYGSVLSDSNLEDVPITQRFGKTVEKKDLNGIISPFLESLTRSFSEKH PFICI_03574 MDLHGLNVSYKRDTADIAFGPQRDDAFDFTLLFEQSILGILPSA LFILLSIARGTSLWHKDTFVRVGWLLWAKLAAAATLICFDVALVVLWALPTTLGTQAS LAGSVMNLVGSLAIAALSYTEHRRSIRPSTLLVGYLALTILLDLAQTRTLFLRSPDSG PIQALFTASLATKLAIMCLEELQKRPLVADKTKVFALEETSGPINRSIFWWLNQLFLK GFKGLLQVGDLGGIDNKFDSAELLSKLDGVWQASDKSRNNALIKATCSAFKVAFLAPV IPRLCLAGFSFAQPFLINRVVSFVGESKSDSDQRNAGGIAGGLIGATCLVYLGLAFSR VIYNHLVFQLITILRGSLVSMIFKKTVHLDTTCAKDGAAVTLMSTDVDGIASGIEELH EIWASALELAVAVYLLERQIGPACFLVVIPAVVSGIATNYATDGIGPARGMWNQAVQK RVSITSSMLSQIKGIKMMGLTDYIANLIQGLRANELELSKKFRGFIIRIIMIANFSDQ MTPAVVITSAVFWTRSGPNAFTVSAAFTALSIVALVATPMANLMGSIPNFKASVACFD RIQTFLMLEGHEDRRVDTGGGNHSSSSTESDQPSFRAKSSATRVSLEHSSFTLKGQTD PVLQDITASLQRSSCTMLVGPVGCGKSSFLKAILGEIRLSGGTLRVEDIGTSIAYCDQ TAWLRNISIRDNIIGQGPFDERWYASVCHACALNVDISQFPLGDKSLVGSGGITLSGG QKQRVAIARALYARRAIVLLDDVFSALDTITSQTVFNRILGRDGLLRKQGATVLLATN AVHQLPFGDNIIVLSSSGRIEQIGSFVDLQAQDGYVKSLALEVRARDANEDAGVSDSD TATDPVPVTAAAEDDNDFARQTGDRSLYKFYLKSTGLPLSVGFLLLAIGYIAMGRMPS IWVRIWTEHGIDQDRGAYFAGYIAYCILTVILSGLIIWFFMFLIIPKSAKHLHWLLLD TVAKAPLWYFTTTDSGIILNRFSQDMTLIDQALPMAFFTTALDSLTLIASAGIIASGA QYVAAMIPLCIAPMYFLQKFYLRTSRQLRHLDLESKSPLYTHFTETLNGVATIRAFGW QQGFQEENLRYLNQSQKPYYLLFCIQRWLNVVMDLFVTGIAIVLVSFAVEFTTTTSSG AIGLAMVTLIGFNTSLSRVISSWTSMETSLGAIARLRDFIRDTPQEGSATESLEPPKS WPSTGAIKINNLTSTYHAENERVLSDVSLQIQPGQKVGICGRTGSGKSSLLLSLLKLL ETQSGSIAIDGLDLASVQNNLLRTHLTALPQDSVTLPGSVRTNLDPLETVIGEEVLID ALSRVGMWETISSRGGLEGDFDSLGLSQGQKQLFCLARALISKSPVVLLDEATSSVDH HSDERVQKVLREAFKEKTVLVVAHRLETIGDLDVVVVMEKGRIVEVGDPRELKNKPGS LFGKLWESRHG PFICI_03575 MSTSNASWWTPPTASLPPMDGDGAFDRPQRPQETRMILNNVSPI VTSSVIFPPQPPSAAGAGFESGILTVKLHCAYRIRQPSTPELTDERPIYALFDCEGFQ LSARAAWWGGKRGNALWADTFHFDVTTCPDLVIHFSARNDNAPVGQPIEPRGYITISP LPDGYPVGKLLVDIEDGTGQVEVMVSYHQQKVPNLEDWNAWEFREVKHANFVHVTRKN TGRIYAMTAVQLGTAGIALVSEKAKGLGPGICHPLSHLSSSLLYQANSLASYRR PFICI_03576 MDLTKYQPASGSGKHEKVLERDPELESNNERTGDEDESIVPRWD QGYRKQSLASIVWLCICVTLIVVSIIAWGASLWLTHLATVELKKAGALSPHSDHTANG PEITGLKTTNSNHESLNTMFIPGGQLHVAGYGLGGLFQHDLISINGNTAIFNHLGSGD QTNINFDFLYAVPKMGPHAFIKDSPLSNEAGLVDVDDSTTQHKKYGNVWSAGDASSLP TSKTTAAITSQAPILISNLLAAVDGEGPQKTYDGYTSCSLVTEYGKVLLAEFKYGGVP KETFAMIPASIKPSHAALSIILRRTFPSGYTTSLWSKARGLGRVVGLLGKYKRQAKTC WAIKGREET PFICI_03577 MSLTPEQIEYYKEHAADDLRPSLIAAYAAGLTLAYIFVGLRIWA RKAGKPSFGLDDGMILAALVPLTVFAIVGWISTTFGEGRHIIFVTNAAGVVQVYVVAI VAYAICVVLTKVSILCFYCRIFFPIRHLPLVSWIFGIFIAAYNLALIFVTVFQCVPLS SMWAGAPGKCFDTLPPFTALG PFICI_03578 MSATLGRSYNGSAENPRINTTGPSVHEVQGEGNRYVELSDFPLA AGKTIDSRGPNYRSSGNMSPYIAGSINERAEKHKH PFICI_03579 MASDSSFSPAQRSPLVAYHVLKALGLRLLAHFPILRNNFGLSNE TPKQIMRTSVPMAIAGLTIHIFPILVSAVIIALNMKYLFLGRSLPGIIYDDNITTAIF QVMAKIHELLIVSSLSTIIFSAVRVQLLYGTGVPLGMLCSGFNFPQITYFWSEEYWGA MTAPLPRRTLFPFGLLLLTAGLIAVTAGPASALLMLPRQQAWSAGGTSFYLRGLIDDL QPSRVVAAESTMNKKCLNSSGISLSTCPSSGFLSLMSYAMKQQNIKNGDNLRPPALGI TGQFGELNVTIDSVTTHIPSSQLAGDIRGVACQTSVLGSWIPVTMYQDVLYNDWIQAY DSIYYETSKLNELSQFEYKYNFGSSYSTRTSIPIVRTACSPAQNVSSNQITVDFPILP RYSCWNDTASIDFRGLESKASRQLKVTWLPLPSAFGTTSTGMVFESPWSYDGGSRIVV GCSIDARWIDGRVGGSFGRPPVFDINSRNFSESWGLSLMGLSSQFRPSDDGSWTSITL DESWLASLTPLKEPPSPLSSNMTTFETILQSSALIDHDLMYSDDPATLWNEVILGSSN RTIFLEWLTSLLISDGLSRYGSERVLNFTGPPSEWSLMDYHKESDYNSRLLHGINVLQ PPKGVEYTTFEVEFTLQGLSYQAQIITDYLSIAVLSAHIVLALVHTVYVLRVHQSSDA WGTIIELIILAYNSHPTSAMLHNISAGIKCIKTYQKVVIVRETHVDRPTAHERDGDHK QAELIVLTDNEGLRGRKSGRSVSRTWPLMSSQSTSNIELTENRAFPSNPATSLIRWYP RSSSGNSTARRRLLDGPEEQQRIKLNGFYS PFICI_03580 MSNKNRGNPRDAPVHVGLPPIEPDHSANGHDEEAQLLITEEFEY FEHDGLPTPQTRRRTRLARWPKDLGKPRKLRIEPWFPIYQRYPLTLMEHFLTTSWARS AALTLFLLSWLIAFFVPLYLGTITLQDQFGQNVLNLDCVDSPWEKKNVCGLDGIDCRP FSNTSFAFRCPASCLGVQVLNPHAVGPLDVNYQALVVGDASYRGDSFICGAAIHAGII TDSEGGCGRLTYIGQVDTFESSWRNGIESIPFDSYFPLSFQFSSDQSVNCTQDPRRLL LAVSILFTIVLSLFTSSPSLHFGITFTGIFAHVSLVSDPPSAAFHNTTVLPDRISIFA GRFLPAAFIAFVLYRTCITKALEGLEAQVEKTLFWLGAFWIGALSNYTFDWIPIQRLT ADDLEKQPGAKLALAIIVTVIVIIATQQIYCFFLERRLLRYLGLYGLFLVGLLACFMI PGVELRLHHYIYALLLLPGTSVQTRSSLLYQGLLLGLFVNGAARWGFASILQTSEALR GDGLLRSNIPSIYEPTISSMLDKATISIAWMTEPSAIFDAISVLVNDVERYRGDIRET TSTNFTWERPATLAMPEYFRFAFIRDGQTLDYSSAGTWFPNGTWYMRNTTHTKSAL PFICI_03581 MPSFMFIALCLLAATLCTAHPRHNVRDEAAERAGSYKSLKARNL GHCEDKLITRGHTSTAISRRASAVGALRRQNARLAGRDFATVLNTTHHSNLTGITANT DAEILFTGERSCTLVPESTQGPYFVSGEMVRSDITEDEPGVPLYLDIQLISTRDCEPI EGVALDIWHANATGEYSGYPAPEGGMNTTFLRGIQVTDDDGVVAYKTIFPGHYNGRAT HIHMAAHSPGNWTLLPNNTIAGGKWTSHVGQVFYDQDVINQVETFYPYTENNITLTTN DQDIIIEQETTEAEVDPIAEYVLLGESVAEGIYSWISIAIDPEAIYWVPAAVDHVEGG GVEDPCFEMLNLPTDFSWPDTRPAYCSTVPYAKRTAMPTPNSAPTPN PFICI_03582 MLPTPLVGAYQQYKADTDAVAAWLASTASAHGYFLTQPQDAETT SSKAAVTGRLKGKARKDAKRAAENQGQVHRTYRIAIRDFVVLAEHIASKNVSVPFSFA STLDRLIRVRQSFGRRLEKHGSLLNESLDRNHSFFVSILESVRETLRPWFQMSPQTSD PTEPTDRLGEATNRFARLFVHEPSEHFLDAPDTERPKPALGDTAVYQAERLITAREAQ MAFLLVMDDLNHIRHRLDWIWENYKNKVFDLITSAIATNTAVELARNIIDGIVPLIEA TGGLENCINMTLGVQLQQASEPYTQSDYENNTETDPGYPYVHHKHYRLSVDTYYSAYS LVDRFRENTRHNKMVTFTEKVLPYAEDSDRATKTGDQQYAEDEELLAHFLVEVKNMEI TKVRHQVCDEIIHGMREMHRTGDIPFHLVFTAQVFLDIHQTLRSDVRRAFDTLETNLN HMRVDMVQHLELHGSTYASQEWMKAAPDFMSETIRSIDRALADPLYEVRAKSHLFYGW EVPDTKLRNKMLKMSPVLSGLVLFSFTIKYQTYGLQVEQYWKTVMCAAHLHNALHSEK LVTTKWHDMMMVRALFEDSNFFVGSPPTTPQQYFSRFHLRCGVSATLFSTNPRLTSRP AVARKPRKIQIADIAPVSNLFLHRLIYSTGPSKWTAEIIDQVNKLSRYQYQGKAPTGL AFTNSEDLSKFLEINQTLLDSKTRRRPNRINDPEITPDQVIFKLVHVLNNEKLSMAFP WLKMHRSCWNFLQAIKPKADESFHQQGSGSPLLRNWVLPWIFVRAKEGDMSLMQVVAD IARDHYKSSGSETFQELTERGLSISVVIKDQQERKEYEKMFPSGFCPPGVHGNFLALA REL PFICI_03583 MSEADSNQQHPAPQAVHHEMRNAENSAAYLLGKLEDMKAINPQI KLLDVGAGSGTISVALAKALPQGYVTAIDIKEDILPRARAIAEMQGVSNIEFLQADVF ALPFADASFDVAHCHQVLTHLKEPWRALAEMLRVTKPGGIVAAREGDFQTECIWPDLP GLADFHDFAAKIIVMGGGSATAGRQLLSWALKAGVPRDRIAASFGTWSYIEKEEEKVW AHGIIDIIKAGRLRDAGLNAKFVTESELQDMIKAWEEWAERDDSTLAMMSGEIIIQKH I PFICI_03584 MLLYNVYLATAAALLGLSTAARSQSPSTAVDQRSAQDVVQQLQL APNPEKGYYIQTFEDPARVNNRSVSTAIYYLLEGSAGQSVWHRLLDAAEVWHYYAGAP LTLSLSLDDGNPVVRHVLGPDIFCNQRPQVVIPSGTWQSAHSLGSWTLVGTTVAPGFI ENGTVLADPGWQPRGA PFICI_03585 MGDINVDLQTSMTMAAFIGISWYIGIEVNLSLLLLFKRRRGLYF WSCALTSWGVILQPIFIILADYGIWRDPIPSITMIYLTWLIMVVPQGWVLYSRLHLLL REAKVLRAIRLVLIFNSVAFSIPTIVIGILAQSTTVNESLVSFNIVWDRVQLVVFFVQ ETALSILYIYQTRKYLHDAAPLLERSWSVPSRGTQETQNNEQKSLLRQLIWTNILIIA LDISLLGIQCADLFYVQGAFKPCVYGIKLKAEFVILNRLINNVQRRANGGSYMRTGSE SNNSAAKGGRHTRIWHKRPPLNPIEDPPLELGHWNGENEFRLHSRGSQAPILSPSR PFICI_03586 MAEAINNDPVDKTVGWYTAPTERGTLSLVYSCLLTIFACTWTVL HLNVPGHEDSTATRFLRKLKWMAITILLPEFVFSKSVCELRLALKDYREFRETLATIE TDRITWETPNPYGGMTGLRRSWKVNDKESKVVQALYRLMGLGLWESASKDKPCNDQSV TTTSATIEESKTLTKLPTHPESSRGDSNEQDPTIDNTNTQDPRPEVVVIPSSQDPENS DKDKTQTRWREQPQFWTLTHSYLANMGGLAYWHTAFSPFVLTGSKLSRHYEWRNVDHP LKGFSLQMEDIADKSKADWLLKSLSVLQISSLVLTVIARGVAGLPITQLEIATLAFSI FAIATFAVNWWKPKDISQPIWIPHITRGFDCSEDHEQDPENNTGVFNYTQPFMQRLLS PHRARRRERRIQDLLRVPNDMVDMEGEVPLIVILMAISALIFGGLHCSAWNFEFPTRT ELILWRITSISSSLVPFASLLASLTLTYFATSYTIGRKVSAVRSEMECLRAWPDGYLD MITDPFFLKPVVKLRPGFSISRQQETHFFLMAILLRPAGIHRFDKIPEDEEIQRARDS EQKSGLYRTARSMELFANRFAHFRGLLKNLNEGIRWSGESVDLDFSISTLFIYDKELE IWKDFENSYVKRIAPVMGKEHSESTAVGDLMSGLGRLRERFHRFDKTREQCTRASRIV TIGGGILYITARLILLALLFSPLRSAPIGVYQNTPWTRFIPSFS PFICI_03587 MASTVSAFLSGLHRNWTMLNPPAPPKEQNALKFGILGAANIAPM AFISPAQSHPGVIIYAVAARDKTRATAFAKKHSIPVVKDSYDDLLNDPEIDAIYNPLP CGLHFEWTVKALAKGKHVLLEKPSTSNVQEAETLFRHPLLSQSAKPPVLMEAFHSRFT AAFHLFLTTLDQPNISEAFAVSPIPKFIAADDNIRYDYSIAGGSILDLGTYPVSALRE AFGAEPTECTEANLVPMAAPRERCDHSFDATFKFPNGGIGKIHGSLRTPVTELGFSNI TVKHRPVPAPEEEDAKKEGTQVTRTRTVIFYNFMLSNHYHRIDVTDEFEVTRDSSVVK RYTRKDFKKAYTFEEMGKDANSNPYWSTYRFMLEQFVNRIHGIEGSGKFISHEDSISQ ARALDMIYTKSGLGPRPNSKYWTENH PFICI_03588 MTENPGHLVHTWDFTIRGLIKFFYNGFYGYILYESTIMVIKPLI LLEWIHIFISAARPLKPFQWLCYILGTFNVLTCLLAILIDATSCKPQEYWLNGTTKGG HCVNTTDVAVVLAALNLITDMCILLLPQSIIWRLQLSRAKRAGVSLVFSIGILSMLTA AYRIYLTCRFTASRDIAYIFSEAGVFGLFEMTTAILVLTAPSVPKPIHHLAGKAASSL NRLLGADILSRIQNSYKWSHRLNKNGDPMSASKVTLAKNERVSSV PFICI_03589 MGMNAAETFVQLIQYSIGVLIITIAANALWQQIPREKSEPPLVF HFLPFVGNAISYGTDPVKFYESCRQKHGDIFTFILFGRKMTVCLGTEGNELILNGKLQ DVNAEEIYSPLTTPVFGKDIIYDCPNSKLMEQKKFVKFGLTQAALESYVPLIEQEVLA HLKSEFKGTSGRVNVSAVMSEITLFTASRALQGSEVRRKLSAEFAEYYHDLDNGFKPI NFLIPWAPLPHNRRRDAAREKMCGVYMDIINGRREAQAAGEKPIEHDMISHLMSCVYK NGVSVPDQEVADMMITMLMGGQHSSSSASAWIMLRLAAHPDILEEVYQEQLQQLGPDT SSHLQYADLEKLPLLNNVVKETLRVHSSIHSIMRKVKNPIAVPDTDYVITTDKVLVAS PIMTHLSQGYFSRADIWDPHRWDAGQSKVEEEAEEDIVDYGYGATNKGTRSPYLPFGA GRHRCIGEKFAYVNLVTIILTLVRNLKLGTIDGKSTVPATDYSSLFSRPPLTAEIRYE LRV PFICI_03590 MGSGQRSRWATEGDFDKWRPTITRLYMEEGKVLREAMKIMEDKH DFHATAKMYKQRILKWGLRKYNKRKATVPPSSSAHEEPMVVSNVPQSSTLTVPDTTED SNADITELSIVRPARIHTRPGGARMRLNPNASSIYKRLNTPDDFRLPEEVILLSQQFG FGLLEQGHWADSKTIGNPESNQWWGRTLLASQFLDLGKYKQAFKTLNQSFEHFSTLLE KPDLALIQGAYLVALQLDHRIGGKFLSYAAEMAAVKLPARHPLRIILSKLRDAGTLQL RRHALQILEMYNETLEAQLGPSNSAVLLLYENMYDTLDFLSTEKEMNMVGEGIIEGRQ LGQIERLDAAGLMAEAQSTRLALSFTYWRVDRVEEAEKLNDGVLEWLRTHPKSEHSKK IDLWDSYYVRFRCKEKTGTKDEVERVAREYINVLVQETGWDKRRTIAATGHLQKYYKD HGYIEEAKELEKEVEAASRSAGLLE PFICI_03591 MQLTSLITAAGLAVSTTSAFLLPVTDISDNDHVTTLPVPSETDF KIAVPETAEAQKLELPCPGCPVPISHHKGDHRKPPKVKTDIPSHLELAFSIDHAADHD RLMLNDFELYPRGMRLADTLGAQVLPDSVEGKHKLPGHRKQHKPIIQPLGYAMSTAPV AQSTDDDLELVQIELQIIEVGNVFVDGVPTVDIKVIKTPEGGLMIGGIQTTESETLPK TPMDKQEECTTMLCKWKAMLSSSMGRFRPHCGGGRRPAHAAGQLDGHHGHPAFTHGHG PHGQQHRHRFSHLLRNIASHILLPVAVGIAAGITASIVGMMVGTAIVYLWRACFRRGG ARRHHHRRGHSTHKAARKEAAAEDEKAGLMSNQEDDVDAPPAYVEEGLANDKPSEN PFICI_03592 MNKLSEDPNFHYEALRSLGLARYGGSDVAEQLAILPKIKPGDPE SWYEEWEALAQRVLSSANEDNGKIPMSPTSLCDMYFRASHYFFVADFFIHGNQADPRL RQSFDLWRKYFDKANALLPVPGQHAVVKTGNGFDVPIIIYQAPPQATVVAAGSSSSQS PPRPTLLVGGGFDSNYEETYHAFAVPALDRGYNVIIYEGPGQPSLLHTQKVGFIAEWE KVVSPILDYIEEQQAKSQLSFIDFKKIGLIGWSLGGYLSARAAAFEPRLAAVMCIDGV WSFQDCIGQIFPDAMAAFRRGDREACDAAFEKDYTQNTNRRWFHDHAKFSFQRQSAFE ILQITDKMSLDGGIAEKIKIPALIAEALDDIFFAGQPERVAQTIGANAYLVSFGDKHA ASAHCHSGAFSYANAKIMDWFGHVTR PFICI_03593 MHVATVYTVMSLAVPTAAACTRDLLQNATAEYVKSQSSGHIGIS ALSSKVNYTENDVPVDINTGVLTQPLKIDFNRSTHDTTQCATFTELIVTDPKHPYVIG TRMVFTDDEITTVESIVTDAGDWLFNATGYLYWNAQENWAPIPADRRDTRAVIQAAGD AYFNRFANVNVTVPWAASCARLEGGLYTDTNHTGAETCGLGLPSTIKVTNRRYVVDEE MGTVDIFLGFPGLDRSVGQKPVPDSHFFRVENGKIRYIHTVSACFNAGCGENGTLPLS RIRRPMSVKDSRRLW PFICI_03594 MASSILFSLIFASSVAGHGYLTIPSSRTRLGFEAGTDTCPECTI LEPVASWPDLDVAPVGRSGVCGYNARVSVDYNTPGASWGQSTVATYTPGQVVDVQWCV DANGDHGGMFSYRICQDQDIVDKFLTPGYTPTDAEKQAAQDCFDAGLLECTDVSGQTC GYNPDCTSDQACYRNDWFTCNAFNADSRRGCEGVDNAALNSCATTIAGGYTVTKKIKI PDYSSAHTLLQFKWNSFQTGQIYISCADIAISGSGSGGGSSSSSSTSTATSTTLSTKT TTTTSSTSTSTACSSNAATVAVSFAESVTTAYGDTIKLVGSISQLGSWTVASAPAMSA ASYTAASPLWTYTLSLPAGTAFEYKFVKVSSSGAITWESDPNRSYTVPTSCSTTASVS ASWR PFICI_03595 MKAATAIALLPSAALGLNLFRSAPAVLPTKTLGRREDSWGGAVS LGPTKSRITKAVTTITPGEAPSSQTGELFLWPGMSNGTGDLVQTTLESWPDNSWCGAS TGEWCIRCSLFGSFGQLDGDSAPVSGDMSVEIVYELQSDGTTWLQTATDVATGTQLSS FSYDSGPYMTGYGTGTECDGGCTGTVAAQTYKNTVITLYEADSSFGDTIATSQGATYS GLTSSESGKVWTIAEIDIPSMS PFICI_03596 MAHDTIAPAKPEGPFSGGCACRAVRYTLPKRPIYIHACHCRYCQ RETGSAFALNAMYESHLVHLVPESQQPELVQTPAASGNPQTIARCPACRVAVWSHYGG LGDRLKIVRVGTLDEPDTWGFAPEMHIYTSTKQPWVLLNGDVPQVAEYYDRKDYWPQE RLDRFAKAFA PFICI_03597 MAQVFHQFNYLPAEIRASIWELAVDTQQRIITTCDGIKILKAPT PPLFLVSHEAKECAMRQYRRHPNEYRQYPDGGCIQTSDACAAMTAESRDKGPYFAPKY DILLLLPGTADGFGDAIWRRLLGMPRVAFRSLQHANMIFGQISPSNASETSAVRAICA SNITELWILNDEQHHHHKDGGGGGDDGDANSAVRWSRKLPKYWLHPHCEVESANGDDC QDADGAFRHLLRMNGFARDERRHGPPIL PFICI_03598 MTKSATEAFTGKTESQVVSLLNSMAKDITEALEGILGGENKTLA KIRNDIPEIEEYAELVSAGGCDGDKLFEHRDEDTRTKWVEQYDERVQNAEKLEIDFE PFICI_03599 MYKTAPMEKQLLCKIQISFVPQYINTKKSPSFSSAHIEMRPLSY FLPFSNKPNFNPLTDIPDLSRRVCLVTGGNSGLGEATLAALAQHNPQKLYLGARSRPR AEAAVARIRATLKAAEKANIEILDLDLSSFESVKAAAAKVNLEAERLDVLQLNAGIGL VPYATSTDGYETQFATNYLGHALLTQLLLPKLLQTAARPDSDVRVVAVSSALHSRAAP EGILFDELKTSMANRDGVTLYAQAALAKTLFAHELAKRYPQIKSVSLQPGGVRTGIWD GEKVVHWLPWYFVVRPIVWLTGVSSEEGAKTQLWCSFSEDVESGRYYQPIGQPGEEGK LTRDNALAAKLWDWTTGVLQGSGSQWP PFICI_03600 MSSLRFLDLVKPFVAFLPEVQQPETKIPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMMASNRGTLMELGITPIITSGMVFQLLAGTHMID VNLDLKSDRELYQTAQKLFAFILSAGTATVYVFSGLYGPPSDLGAGIVFLLVLQLVVA GMIVILLDELLQKGYGLGSGISLFIATNICESIMWKAFSPTSINTGRGPEFEGAVIAL FHLLMTWPNKQRALQEAFYRQNLPNIMNLLATLAVFAAVIYLQGFRVEIPVKSNRQRG ARGSYPVRLFYTSNMPIMLQSALSSNIFLISQMLYSRFSENLLVQLFGVWEAKEGSAQ LSAVSGLVYYMSPPLNFKDALLDPIHTAVYIAYMLTACAIFSKTWIEVSGSSPRDVAK QLKDQGLVMAGHRDQSMYRELKRIIPTAAAFGGACIGALSVASDLMGALGSGTGTLLA VTIIYGYFEIAAKEGDLAGMKGMIMG PFICI_03601 MSSNRQSPKEPLVAIMGTTGTGKSDLAVDLAVRFNGEIINADAM QMYRGLPIITNQISDEEQRGIPHHLLAMVDVDEPTWTVSVFVREASKLIREIRSRGKL PIVVGGTHYYISSLLFGNSLVDSPDENDESTFTAQLEIEKMHPILAGPTENMLEKLKE VDPVMGARWHPEDRRKIKRSLEIFLTTGKRASDIYQEQQRAKGAADSENTSWDALMFW VYANPDILKERLEKRVDKMEQNGLIGEVRTLHEHLRMKTAAGVEVDRTRGIWQSIGFK QFESFLLAEDNGEDINTLDKLKVENMELTKIATRQYARYQLRWLRLKTIPELKHHGAL DYLYLLDSSLASDFAPNVLIPASNIMEAYLDGCALPKPASVSKTAKEVLSTYAAQDTS NKPKAEARLCEVCNMTLQTEDQWNKHVNGQRHRRGLKHKSRTALMATHQAKAAQAEDT ETAIES PFICI_03602 MTTDDISSSQPFSVNGKTAIVTGAGSGINLEFAKLLVSLNCNVV LADLALRPEAAEFVSKYEANKEGPRAVFVETNVTKWADITRMFEFTLAQFGDFDILCP GAGVYEPHWSSFWHPPGSSESRDSPDGDRYALLDINLTHPIRATQLAMSHWLHPRPSA NSKFPTPKPVSLQNPKRVIHISSVAGQLPVFRAPIYGATKHAISGFVRCLAPVADVGI SVTAVAPGVVKTPLWTDHPEKLVNVNEGQDAWVTPQEVAEAMLQCVQQEPGGTILEVG SKFVRPVKAVNDPGPDLDPKRGLATSNTDKGNSMVWEWLQDPKFWG PFICI_03603 MGENVIDDAAQCTLKTLTDERVEPGSCNISPAKYPATIETDGLS LEDVAKDTLSKINAALENKDYASLANLFTDASFWRDHLCLTWDLRTLQGPAKILNVLQ KECRVTKLSLDSALPHRAPQCAPLDTAGKVNTIMFFISVSTTVGEGRGLVRLTQSNGD WKVLTIYTSLKSLSGVDEPRGRNRPYGKERGVRGAESKNWFEKRIAEKNFEEDDPTVL IIGSGQGGLTAAARLKMVGIKSLMIDRNARVGDNWRKRYQSLVLHDPVWFDHLPYINF PDTWPIFTPKDKLADFFEAYVSLLELNVWTSTEMKSSSWDDSAKRWTVTVERQVGDKK ETRTFHPRHIVQATGHSGMINFPTIKGVEQFQGRLCHSSQFTGAVPNSQGKRAVVIGS CNSGHDIAEDFYKAGYDVTMVQRSSTCVVSSETIVQTALQPLFSEDGPPVEDADIIMW GQVAAYHKSLSQELAVIQNERDKETLLGLQKAGFAVDSGPSRAGLLYKYYQRGGGYYI DVGASQLIIDGKIAIKHGQEVEEILKDGIKFADGSILPADEIIFATGYQNMRTQARAM FGDQVADKLKDVWGLDEEGEFRAMWRPSGHPGFWYMGGNLPLCRYFSQCLALQIKAYE IGLVSY PFICI_03604 MPKLNLLHTLSWAVSCLQLARGNTIPRHAVAIERRDVNAEYDFI IAGAGPAGLTVADRLTEDSSVSVLVIEAGPFDQYEDNVLIPGDYPPFQYFWPGLESEP QIGLDNISFSSVCGRVVGGGSAVNAMVYTRGGKEDYSAWVTLGNEGWAWDNMLPYFKK SENFTDPDPTYAAQANISYADDVHGHSGPVQGSYPSYHFPGSENWWRAALDANISQAP DPNGGDNKGAFYMSSFLDPVNGTRSYARINHYDRVKDARPNYHILADTTVAKVLFNGT AAIGVEYLPSAGGNVSQVTATKEVLLAAGGVHTPQVLQLSGIGPRARLESLGVKVLAD LPGVGQNLQDHATLTISYNFTNNVTPNQGSLDTNATYRAEQLELYEQQRLGAFTIVHP LSTNIASVALCNATSDCQSIVDEIDSEDPALYLPSDIDSTVLDGYKKQFEITLGQLNS SSSPIGQIHWSTTTTATLYFVKPLSRGTININSTNPLANPVIDWRSMTNPADLDFTLA LFRKHRDIFNQPSMRELGPIELSPFGEGIQDDDDIKAVLRQQINPSNAHQCCTAAMLP QDLGGVVDDQLRVYGVQGLRVIDISAWPMIISAAPTATIYGQGEKIADIIKTAYTLA PFICI_03605 MKVALLLSWIAVATAAPTPKLKNSEADPLLSIRKTDELWQRTAQ EDCGICEGEVTAEIGKTDELWQRTVDEDCTECEGETVD PFICI_03606 MAYERDPERHASQVKMYQSAFRTQALRDQEDVIHLHIDTLVKQL LRLSNANGSVDMARAAEWLTFDIIGDLTFGESFGAVEKAQSHAWVDMLLNALYGTTVM AMTKRAPILQYLLPWLIPKSALESLALHQQFTFEKVRRRIEIGDSNRREDLFAHVIRN KLQTEEQMVQEATLFLMAGAETAATTLTTAFYFIIRHPDVQQKLHTELDKAFSSYESI TGDAVAKLPYLNAVLEETMRTFPPVPPGPPRVSPGEYVDGIYVPKGTYVSTDIMSLHR HPLNAPSPHTFDPERWLKNDREKPYTAPFSIGPRMCIGVNLAWLEMRVTLAKIAYAYD WKFATDPGDWPNTCRLTQLWKKPALKCLFSPRSTEHSHKA PFICI_03607 MDDVQSIALSKEELEQGRYGTGTLVKVLNAMHQDGLVVLKDVIP VEVIDKLNAKMCEDAEKRIADPSQGYNHGIKSNILQRPPICDAQYLNKEIYFNPFLLQ VANAYLGHKPIWNWLTSNVALANTGGIRQPAHKDSRYVHPLFPYFFIANIPLCDFSIE NGATEFWLGSHAHTTSTDQVMPSTLEDIKPYPGGSMDDPLPAISDEAKSQRTQIRPPI QPLCRKGDIMIRDLRTWHAGMPNSSAEHRVMLGLGYQSPAHGNESMHVHLPKSQEQFF KSHDADMVEVRAKWYEDDELANTQADTVFDTRPSYLKRIDELELWI PFICI_03608 MATWNPFQTFFARQSPVGDDGPPIQLRVISFNIRYATSSPFPNE KLWPDRAPVLTNQLLHEVRLLETPSPGANVSTAQPLAHGSAFIGLQEVLHNQLVDILA ALNRVPDDQRTDEPAQGPLWAHVGVGRDDGATKGEYSPIIYPIQTYDLLHNETIWLSP TPDKPSKGWGAGSIRILTVGVFEHKQTKRRHIAANTHLDNESSESRFESVKIILATLR RVHEQWSQGQPLGVFLTGDFNSFPDQEAYLSLRDDGWLRDLHEEIPPPERYGEDVTFT GFKPEDWQKERGRIDYIWFGPHGSSADTGSSPWSPLGYAILPNVFDNGIYLSDHRAVV GDLRLRGT PFICI_03609 MGLSLYIVALLSLASHISPAQAAFKYSDVKQVISFGDSYSFVQG TYGQPNKTFIGSYTQYAYTSTQLLNNKMVQNFTGTAEGGANWLQRLTGCGVQDGSYSP ANCSMSLWNFAYAGASVGDQWLPRHNPYTIPLVNQTSRYLTYGDPVLRSKAKINKSTA LVTIWIGVNDIFDTVTYKPSNITNEAFWASEIDGVFQQSVQPLYNNGYKNFLFMNLPP LDRTAGNQRSSTPYPSKAQVNSWGSILANRTQAFQAKYSDSKAMLYDANTFLNNVLDN PSKYGITRTNSYCAAWNQIGVLTNPASYGCSSLDKYFWYNAAHMSVTTHKVMAADLKS FLISQSSS PFICI_03610 MASHIEAPDVTPGTVHLINMPEVAASGGAIELVPRPSDDPEDPL NWTPQRKRMLAGMVLCYTLGIGFPLTLQYSVLSDITADTGITTAALVQGTGLMFLFLG WGCLFWQPIALAYGRRGVYLISALASVPLMVWTAYTRSEGEWYAHRIIIGFFGACIES LPEVSIPDVFFAHDRGTWMSLYVFTLFGSNFLAPLCAGWFAEAFGWRWTMHFGAIMAA VTFIILFFFMEETMYFRTTLEGLEQAADESPATSEKPVNGEKTGMSSPTETSSEPPRG GPYQAKTKKGIWASLKPFRLLAGRPSLSSMSKSMLLPLVIIARFPTVLWAGFIYGINL SWYNVLNGTISPVLSAEPYGWSTGAVGSMYVGPIIGAAVACVWSGIVADRFAIYLAKK NKGVREPEHRLWPLAMSSVLSCIGLIIWGVGAYYDIHWVGLAFGLGILTFACVTGGSI ALSYNVDCFKDISGHSTTSVIVIRNTMGFAISYGITPWYTNMGMRNCFIMAGFLSLGC TGTFLIMIWKGKSLRRRSAKQYWDLVGRVHALL PFICI_03611 MLEAGFRRANWSWVSCDPAKLQTLDDKTQRLHETWIPEFEDLDN NSIRFAFPAGYERIGNEPVAFILPSFVGLGPPRLGSMEASQGETEQEGRPSQRNEISQ AKTLLQDDEVLQETPPPAEIKPLPESESRLEPHPLQPRESLLDTKTLPDLINPANIMQ PPEEQPHEEQSHEEQLHEEQPHEEQPHAQQPNEKGATDDPRFTCVDGFLYYPTLPVLL ESLIRARLRIPEDTFGMWRSMLEVWAISYLWGQTMAPEDVLDGIEDDDIKAWFNKETK RFEGGIDRVTITKRKGRLTGTRPIVDIDL PFICI_03612 MPQSAQLTPEELVEVAQKISELLGGKVKFAVIGGAACSLVRVAS DAEYRGTSDLDLVIQPNKSYNAEVISNWLVQKHPKIIRSVEQFGVRIPAIPIYRGKDE YLVEIEIFDVEAWPNRQQYNIADTASNPMTTLTFSPDQYSGSSGDWKIPVMHPVWLLR EKILSQYEREGSVKERTDISDLQIMLQVVDPKSLVLSAHNHVTALQNLMAKRPDLINK LRRAIVCYPVFGD PFICI_03613 MVSTTLPIVQPGSLPTGTPQFESLKRRHLGEFAAKVPKELILPR DVIENPPKDVTGIPASCGLLTPEEVEITEKYDATALAEAIAARKLTSVAVVTAFAKRA IIAHQLTCCLGEWFMTEAVARAKELDDHLAATGKTIGPLHGVPISVKEHIPVKGHSVL GASWDTRRIAKEDCHMISILREAGAVFYCKTMQPQALMHLETVSLAGRTLNPHNILLS AGGSTGGEGALVAMRGSVLGIGTDIGGSVRGPSGFCGIYGFKPTSYTLPMKDFSEGGF SAELNVLCSVGPMCTSARDMDLFMSVILSAKPYLKDPRIIPIPWTGLATEQKKTPLKI GFMMNDGVIEPQPPVTKGLQWVRERLQSHPDFEVKDFAPFKVAEAIKNLRLAYYPDGG KVLRDHFRASGEPTLPLTEWIVRDAEGDDIGSSGVLKHRLLRDDFRCDFAEHWNAQDV DIIICPVFVGTACSHETAFYWNYTGFWNYVDAPGVVIPTPIKAGPKGTETYTNQTPLS HECTHVRELWEEGDFEGAPVNIQICARKYHDNDLFAALSAIEKALRS PFICI_03614 MSHVLILGGAGYVGLALGQALVRSGNCIVFATTRDASKSNTLLS NEITPLVGNLSDADFIKTVIAKHRIDVVVDLSQAYADATTILNTVVEAAKARASALAA DDSVGPKLGFIYTSGTWVNGSTRDHQVSDTTVPGTSLAVDKPATAVAWRPAHEQAVLR ARDALDVAVLRPGAVYGRGSWVWGLYWSKVLAATQDGQSGEDIAIPADADTRTGVVHV DDIADAFVKAIGSMHNLGSWPVYDVVSETVSLTVVLEKVKRILGATGKLSYVGTHGDA FLEALALVTNASSARARIELGWTPKRREFVRDLPQLVAAWQASQPGQ PFICI_03615 MSSSKSTSHQEQPLEVVVIGAGVIGIQVALGLAKRNIAVTLYDQ ASELKEISAGFGFTDNVVGCMRFLEPRLAGAVDTAGPPAEGSQRWVDGMTKEDIRAMR YEDVPGIKMAGEVDLHYCHRGQLLHEMVKLFPKHQIQLGKRLETIEGLDGDSKAVLRF VDGTMAQADIVIGCDGINSRVRRVVAAPESPSAYSHYAHESAFRCLVDYKSAHAALGQ LAEEQVMFIGDNANIITYPVGERQSLNVAAFVKDDQDWPQGRKHAISVNKEEVVEAYS DFGPAVQALIQLLPDRVSRWAIFDTLDHPLASFVNGRIAVAGDAAHGSTPHHGAGAAM GIEDAAILVALIERVNTLTESDRSTVPVLECVTEALKIYDSVRRERAQWLVESSRLQG QIVKFLNPDIGRDFEKLQAHTRMRMSKIQTYDWHDVMINAVTDLENRFGKYEDL PFICI_03616 MDLYRYQPLPSDLSRPIRLLQILPSKEEQAPMHCRLILFDLQDP EGLRIGNHLYEALSLNWGYSEETKTVSIESDSLFIEEGLHAALSRLRHRDIERLMWID AICINHEDEEEVALQVQYMAEIYARASRVVAWLDEMTGDHQTDSKMRKENHQALHAIA SAASGMSLQSGRSNDDYEMAMNLCLGAWFRRVWVLQEVTVARNVTFMCQSIEINSHAL CLGVRAILDDRPPYSLPGIIHRIEAGTLRLEPAVNSSGEFTSQCHPLSDLLEVSRPHE ALDCRDKVYALLGISSDAPPGLVPDYNISWADLFSQIVRSMLFKDVEVTTREDFPLAL IRGKVSWVGEVTRLQLSDVWHNQLEITVQPFPQTSNKDREWTFHLPVTARPVMPGDIV CELRNAPHPLILRLHKDFCEIIWIAITAIHCNALWSRFATSIPPRKYYDPIFLTWNWD MKAAVGKAASRRFFLRQYLKEQGFSKRQPMYARDLYLLGTIYARLGRIDNAIEPFQMQ IVKSAQVSKSVGFCSLDSMFWLSSLYNLRGGDGDDQLSAYWSIVLSSFDRGKDFEELN EIRRVELASMPDEGPVWFLLESQGEHTNVTEGVVAAAAANEKAGEGIMRVLLDFKGDT LPITDLVIKTATRNNQSGSKIMTLLLNHELQQLIITNDVLRESCIDVRLLLLGWEGRP FTIAYDIATVIVSLYEIHFDNKSFDARQLLTLFVKRHAGPIVVDIGFIHIIADLESQG AEYPWDHRHSSLCYDILDTLLGWEGDEITITEDASRTAKDCFPSFWDVDMADAT PFICI_03617 MRTQEEILRELEHLPESLQDLYSIALQQINQLQEAYRHTARTAL QLLLVAVRPIPWSEFLHLLSVNPNSGGLVVLKTDVVSMTGNFLLDEDHSGTPRFAHQS AREYLESLPDFESLSSNASAAHICLHHIKQPGNWDSRNFSYSSFYLGNHLGKTHKCQR DTFRPILEELLLPTQNFVEANRFEPLMPESELFQKWRRRISSFQRTGYLGNNRNVDGA ELCPATMVSTKPIFAICAMGLAEFLPLLPRKVLQTYEQPQLDFADLSAVGYEALRQYH MRSSLEIAILLHQSDIVATFHQLGLDMDRLGPFNEKPVHLAAKLGNNDILRLLLSFGV DPNSMMSLGVARPEEDSQNTTRLDDTNDERRRPASSLGFHVRVNNRSEIRSPFFFKEE SLSILHLAMHTSNAAQCIQTLIEYGASVNLRTSRDVTPLQRCLEYGNLKVTSEVFKIL LAAGSRPNDVLPGGQSIVHVVATMGLSNITRLLLEAGADCVTEDHHGQTPYDLARRMG NHEVTAILAVVDAEYRKTHPHKSHELFKHHSTSDIPLFNVELDDSSTTIASDTQPADG EYLSPNTQSKRPKFLTKLVNREWGRLTSGR PFICI_03618 MAAVALLAPAAPQLPGLVQQKSEKLWSEALSSLKSDLRESLLSV EGDRRATLEVVLFEARNKREIAMRKQWKITKKNGDIIILRDVFEKIIQWVDKFKAIGD TAMSAAPSYASIPWNVVCVLIKVTINESEEFAAMIDGLQEVTNVIARYAIFESIYLQQ HTSATEHLEASLVALYAAVLSFLGEADAHFGRNTTRRFLRSTLRPASEIEKSLELIRS RQTEVDRTANIAGMEILQNTSTAMHELVTMVGNLTLQLNITNYRLEQMHTSRVSRPKS PTESLKSVVTSIMGPTQRLSQRGPMPRDDLSPEARRIIFDWLSPVKYQTHHLVETKDR LPNSGAWMFRSSQFRNWRDSSISETLWLHGMPGCGKTKLASAVIDLDLGVGNAHTSNA APLAYFYCSRNSAEPERWDPSEVLRCIARQLCRDDPDFFVYEPLKHLYEKADKPRHGE RRIDNDACVELVLQLLRENPATIVIDALDELDPDRRHSLLESLDQIVKRSANVIKVFM TSRDDLDISIRLRSTPNLYISASSNQIDVASFIDVKIHEAVDQKKLLGGNVPPGLILK MKETLNDGAGGRSV PFICI_03619 MGDAQIPLQHNGALPPLDLKNIQGDILEGIAKKVQTFFFFRIKA DHAASFRNQLTHLLPLITSAKDARSFREEIKDAKRRIAPEGHQIGLIENSSVNIAFSQ FGLTALGITDDIKDGPFKIGQKKDAVEKLGDKLEDWDPAFKNDVHGVILVAASHQHVL NRGWDRVKHIFHVGHKDASIEVVKELDGKLRPGAEKGHEHFGFNDGLSQPSVKGVSAP DMDGPHDGPVDQGIILVGREGDNGDVPPGAGQVGPGPVSRPSWAIDGSFLAFRYLKQL VPEFDAFLEKSANAADVGPEIPREEVKDLLGARLVGRWKSGAPVQLAPGRDDPSLAAP DKNQNFRFNIDSQELCPYAAHIRKTNPRGDLDSKNDDGTINNNTDVRRIIRRGITFGP EVTPEERESKKSSSDDKLERGLLFACYQSNIANGFEFLQNVWANNPDFPFPPNGKPGF DPLIGVAPAGTVREMEGAFKNDLTKPLTLTADWVISRGGEYFFSPSIPALKKTFAKKA PFICI_03620 MTQKSVARSKLHNYKTLLHSMQHYRKHDGPAFLWADAVCINQQD LAERSQQVSLMGSIYSQANWVISWLGPSDDSTEIAFSLIKACAGHVAETEEKLGSYPD SKVAFSESDLEFLGQNPKFHEQNTERFARNQAWNAIDKLSGHVYWTRIWIVQEVALAQ RPNIVIIHSGKESMTFQQLSDFNLFAERFVAQKPPKPPFFDQRVWDWVIHDNELSSLF INWIQVLRKSVTKDDYRLVPHISAVCRSTDPRDAVFGLAGVIEGGIVPDYTKQPAEVY WDLVAAAVRHKKYKNFFCTAGLIREDRSTSVFPSWVPKFHTLKDDMNYVILPPNSLAQ AWLDEMHPEGPVILDKHRIRFTGLELDQCTKVIRYVGDPQPDPFDDLMKKFWWTCLEF LKAWDDGHTRNGTRPLEKLLRALNKGRDTQGLPLQITPSLQCLSAHAFRIILRTGEPE DDDNAKAKYRSFGYASLEEVRTALDDAFIGPGAPDISTLHSALSSAEYEDAVNSFNQM TRLLFKWIGWPLFITKRGQVGLAPPAIVEGDIVCLLEGFSIPCLLRRVGQEYLLAGSC YVHGYSDGEPLQLLKGGNLKLDVFDIR PFICI_03621 MKGTLVPTWAILFAGLGSLANGSRIHPRGQCSNTNYSDGRQNSS VTPFPMALCNGVSIEDATIGDLQLYMGQGTLTSQQLVECYLARIEQTNKYLHSISETN PDALSIAAALDEERSQSGPRGPMHGIPFVVKDNYYTDDKHNTSEGGLVLLGGRYPEEA TVVAKIRAAGGVLLAHASLSEAADHRALTNFSDGYSTRAGQIRNPYNLTQGTSGSSGG SAVAVASNQAAISFGSETHGSLVHPSSHLGLYTIKSTPGLLSRHGIIPGSFYHDTPGP MARSMKDVAYLLDIMAGADRSDNLTWNALGHLPTEGYTAHLAQKGGLRGMKLGLPWNP YWSTNPAVNAPDQRVKYEGLLDQLKAAGAELYNISYIPGIQEIANPYGFGQPSDTPDS RQQLTVYEVLLDVAYAEWLRNWTFPADDERYGMSTLTEMAAWNDAHNDTTGALGNSTW WYNTETGQDFYDLAIATNGTQGDAFWANFGWGRHAAQTAIDGGHAYTTDNGTVIELDA LLIPNDDTGTGSQACASIPSYAGYPIAALPVGQTGYSVPFGLCLWGRQWGEARLVHVA SAMEDLFRWQGVPEWHNYDTAEGIWDSPWPGYSCSEESLDVYACDPST PFICI_03622 MASRYLAAGMVMFPALTQAACTTRAACADVHLFLARGTTESYPG LLGSLTTLVTDAINGSTYENILYPATQEGSTPSYQEGIANGTAQVKAYAEACPDSKLV LLGYSQGAMVVGDMLAGGGDGAGNTLGNYTSPTIDPDTLGSQIAAILLYGDPRHTANQ TYNVGDVAATGKYPRTADQIAALAKYSDRLHDYCDDLDGVCDAAGSNLTAHTAYAKIW DTTAAAWLEDILA PFICI_03623 MASDLAQRALMLPKASIYEDDASISNPSVHQTVSSSKDDKHGYQ SSYSLISSDALSDRDAGVDWRPFWLRRSTLAAFIGVFLLLAGLIIYLDHQSRVDQGLV EFAQQWQYVWRFAPTAILTLVAALWSRTEVQARRYMPWIILAKGQQEQSAILALEYVY ELSPVAFYRSLRNRHFLISLVLGTTVILEVVIILSTSLFYHGTVDTVLDVPVRALDSF APPTNLTALDASPYYVAKSINALGSQLPFGLTEVGAYQKFEAVSNITNDPGKLVAPLS AIVDGIYVDVQCVQLESISSNITYDPERYIYEATITPKFQGCGASFNLSSGFSWYLGD VGPPNVTSWWTLDDLYLNKSCSNLPRPQQQFAVVAAFFGPSAENISLPVLGTAGGVVC SPHVWTSKVEVVDNGVNRTVQSVQDSEENPIDIDVDFQRLLSYAIPDDVGGQWANCWL QSPDISFYGSDEGGCGIVQADSIAFRDGNWVDFSSGYDSGVNLDNVDHTQNLSLYTSA VIEEHVQDMYSRFGPFVSHYRMRQSNSVEVNGTRQIPVERLLVDERIAFIIAGLCFLI ASLTLVTTLFFTVEPDTFPRDPATLLGILAFLKEIRGILPAYDSQTWASSRGSVLPLR TWVRTAVTLALVCLIVAVGTLFHVSQTQNGIATLGGNAYLSTFGLMIPGLLTSLVSLY ITSCNGIIRNLSVFSLPSSLPVPSQFLDMSLVDMLGFRAFWQSLRMGLPTVTISQTLT FFSAFLTSLSSILFTTQAVPKPYHMELQQGTWFGNVTDPTALGMNPFNNYRGLIGSLL LKQADINSSYPTNTYDTLVFPVLEGLAAPDGLSNVSATAEIPAVQLVADCVHMDRSEY TIAKQKVCIYGCEDDNYYYQHMMSENITCPDGSSVTMKTALGNATSGDSSDNMAYLGA LVESAADPITLWCPGTQSTIHAPRLHGWTQQTYAWGSFDASSADVSFFTAMRCNYSWV DVDVELTLVGGNFTIDSDNPPRIIQNSSRPHVPVFPIPDLNGWTTPTSVFPAIDINSG LGKPFRVLLEPYGPVALESLGSEAHVDEILAQLTHDFTMAAGQLASSESRLLINQTSI SEPFNAPELDTVPVTIYDVSRRRLVQNAIPTYLILCILVLIVLVNIWALVSRGLRKLG WRQRWWMLDMNLQGLAPEEPGSIAAQAALLYESNIIELVPPGAWYMKAQELEALLIGS EFRLGWFHDQQKDKAVFTIGLLGDENMPFLGAKEEVSRMQGREIGDVRMPLSGIVR PFICI_03624 MNSRTFIFLLITVGRSIAKQHRVHHHHNDHGYQTLLPVQDTTTP LSTPTALTTGTTTTTSSITLTKTIIVSQPTRGAPPTGSTFAIRDALQERELLVTYLQS EVVGDIEGFTLFSDIPDIENQQRYILNDKGNLIHVATGWVAHGDDLVGHRFENPKTPD DALSSPACICAVDPVTSQLTCSCGSATKVCMDTSSSSTTPCTEQDISDTWAEVSWYVF PDDPSVTTGISPTATPSPPPEDRQFLLESANSEYLLVVVDHTPFLVLEDSNSTTGLDV IFGIDDDGAWINVATGDIAASNPEFTTGPISFWSREDMARLGLKPSTCDVVDQSGSVG FHCNNRRFCATEWGLFYCYGTRPDDNVVHLYSGLEI PFICI_03625 MRVAVTSSKAPAVPAKLMSQGIIANGLVFTSGAVGRDPVTGDLV PGPIEARAHCCIQNLAAVLEAGGSSIEEVIEVNIYLADMADYGTVNKVYEEYWGKLKP ART PFICI_03626 MADIKRSRKWIHDQIESLNPYEDYAEIFRLSVGYGGNDFMNTLI YCLTFPNFIVTEWGARVVWREDGGKILNKAGERVEQTESKNATWWWYGPHDTRTKESV ESINKLHRYWAKQYKGVFSHNDDYIYTLAFTGTMMDRLRKRLGLSGVSDKVKIASHLV MREMVPLFQAEDGVALHSFPSDWDGMVRYCEDYENQPHPGSEQGNLCANAMYDFFAFR FFPRPLRWLGRSIPIALSLPTTLRAHHIEPVNPWLRAMVIWAFGFLFWLQDNVFPDPQ VAAVPQMENMGPEDKVQRKQQIQEMDAEYAPDFAKRYSNVAQWGGCPYHQALKVVGQE RIVAHEDKMK PFICI_03627 MDNATYRAAFGAVMPLFRNFTVTVDDVFEDEADNKVAMWVRSTA DTPLGPYQNEYVMMFNFTPDGSKIVRVREFVDSASSGKFFARLAEMAEAEGNPWNGAA AVWKASPSGIGKSSKENL PFICI_03628 MEHHGALTMRVALAILLVTTFLTQVLASHNHGSHHVHPSSSSVS ARNESETSREAAAAAVEAALASLGLLNKARIENPHFNSYTLKSGDDTADPAPSINISA ATEDGITRRFRRRQENSTVDEVDTSYSISPELAQAAKVMAESTPQIPSGNHSDVAAAM KEKYTHSTNDTNVPQSHKTPEGRLSVYGDDGDMTNGTANVKRADEWWMVGMASNGKSP HAPDDYKVWRNVKDYGAKGDGVTDDTEAINRAVSEGGRCGANCGSSTVYPAVVYFPPG VYLVSSPIIQYFNTEFLGDPLNIPTLLAASSFVGQGVITSDVYVSDNSEWYLNTANFL RSVRNFKIDIRPASPWSYICAIHWQVAQATSLENLEIYMLYDSDVPGHNQQGIYMENG SGGYLADIIFVGGHFGAYFGNQQFTTSHLIFVNSVIGLQVHWDWAWTMQDFIFESCTT GLLVVGGAGGSMSNGQNLGSLVLVDSIIANTPRAIVTTLSSDSSTSFYLQNVGFFNTE VAVTDDSTGNALLAGGNQVVVDSWGFGRVIDNTDNTGETFFANGEYIAIMDRNTALLG DAYNQMAPNFFTRRRPGYLDVASSKVMNVKTLGAVGDGVADDTNALNSILEGAANTSS VVFFPYGIYLVTDTLRVPLGSRIIGQVWPQIMGTGEKFSDESQPRAVVQVGKTGDVGI AEISNMMVTVRGATAGAVVIEWNVAESSLGAAGMWDTHVRVGGAAGSDLSLAQCPKQS GMVNPHCKAASLLMHLTPKSTAYLENVWLWAADHDLDDSSLGQIDVYSGRGLLVESEK AWLWGTSVEHSVLYQYQFSGAENVVMGMIQTESPYFQPVPLAPAPFTTGMFPNDPTFT NCVGASSPRCAVSWAVRIIDSSSLYMLGAGLYSWFDDYDQDCVSTGDCQERGFEIRES TDIWLYNLCTKAIQEMVSPLGSVPTYAKDNVNGFLSSILAWLHGSTQIVGQRDFPGFR VWTPGMLDKANGALLSESCRNALTEIIACDNRTESFQMPGLRSWLGTVEDTDSVCMDS CGESLQSWFDSVSIACDGRLINDALPTLLGGRIWTNWNQTCLKDPATGKYCGEIIDDF SLVSSIENMPRDELCSFCWIEHYAIPQRSQYSNYDEFLQSQLDYTASQCGQGDIDTSI PDSPFRTDESSTYCQSNYWYTTSDGDTCDSIAESNSVSSAALYQGNPDTIYNCSSIAA GTDLCMPASCELIWVVQPGDTCTSIEYNVTASTMSSVFGNIKKYNRWVDRDCTNLHAA GDAVFGHVVCLSPQNGLFSANASMPGDTTIPAANTGYTNYISDPPEGATVAEGTTMNC GTWHIVVEDDTCGTIAFTSGTTIYIFMEVNPSVGTDIQSCTSQLVIGDAYCAVPHSAW DQVSR PFICI_03629 MDLTRNPFAFFFILALFALVIDTCKAQDAPECSASVPCQVGCCS KFGFCGLGPDYCKKEICVNNCERKADCDPGGYGEDYVLHTTCPLNVCCSKYGFCGTTS EFCGSKKVNRPSCDVVENSQFTRVVGYYESWSSARACNRFLPEQIPKGVYTHINFAFA SIDPTSFRIVPAAREDVEMYKRVASLKKGDPNLKVLIAIGGWTFNNAGPTATTFSDIA RSPGAQRKFIDSVISFLQTYDFDGIDLDWEYPAADDRNGREEDFVNFPNFVKTLKSAL KSYEISITLPASFWYLQHFDLKNISPHVDFFNVMAYDFHGVWDKPNKWVGPYLNAHTN LTEIKDGLDLLWRNDVEKNKVTLGLAFYGRGYVATSSACMDPGCTYESGTNAQACSAE VGVLLNSEIDEIVADQSLEPVLDEDAAVKILRWNDNHWLTYDDEESLKLKADFARSLC LGGVMVWSISHDTKDAKYSRALSRVAPRLFGGIFYENSAAAGSGYVTDETDHSQCRWT NCDEDCPADWVRMLRKDGGARPNEFMTNGAGCDGRGFHKLCCPPDSSIPTCGWYSHNN GKCDNGNSCPAGTKEIGSNNEYCGAFDGFGSSIRSYQAACCTTDTDNMALYSQCDWSG HSYTDKSWPYCTRATCGADVVAFSGYGSGDAACINSRSGMDDNSKNKYCCEQPEDDKK WKDCEWQGMEDYTDEDTGLKFCTPKCSAGLALVAMDHVGCSGGSAMARCCKPNYKSYA TRFENSEDELFKSALENFMDDPVCTNNIFDTNPLRRRSGSSGLDSVDYTSGNETSLDR RQSEGFFSYIVSQSVELMVEELFTGTPRESTQQIWNDTVIPHYDRLSYASLYDYQVGA GYETYLQYGVTQWPRYITCNLGVLQAIFSGSGGDDDGGSGSCSSTCVCTLDGCCMEGD DECINSVAEASAEDDSANGIEERAPKASLYPWNPSDPAIPNSPPQNLEWATIDYPSAS RLSKVKDRSKLAEAYTYDPTCANFLPIINDILTPQNKVKRGYDNDHVFERKMPRIWGD DALLGRVQSDSQNYVGHYRIVPFKFFSESLQTTDAAGQTIQYRMMDALGSMTNRDVMT LMIQDMNLKKEKMWTGREEIVSNDEMGLLLYGSDTAAACPKAALTQVSKVLETYRWHL QRNPFSRLQTVIKSFRELIREAERVYENANNGQSPHALEHFDAWFQDMLGVIKSRVRA FGDSWLPDIANVPAIMNNPGDRDIRQNLVNAYSALPDIPVTGFFPQLP PFICI_03630 MSIQETFPDPPDDLFKWASHGPNETRSRTAMGWMYELYDTMRCG STYAHGSWGYTVLRTIYSDESDRLWPIALAKLRLWVTKYFIHYGRLTENKPDGTVNFE LGRRFVLEVVESKELEALQLPDLAKANQNDIKSLTGIFDAWLRQAVKTDEVQFNRNPR FVDFLIIDEGSLRSLAALPEETIPLAPISMQERRARTTVYGRPYLWLIDSQAVRRYQG IDDMENYNGLMKLKARDIPYAWFQRAPRSEDEYHIFKRSEAPDGSGVWFVGSF PFICI_03631 MLRPVMTTTGVRLLSVMVLATSVAAADDAEFAFNLLSDVAPILA LSGDQFARQFTSVNLTWVDHLIFAMVPLCIISAITSAIRIRGMRVAKAFIGRARENRA LAEIELMSSTSGEVCEHGSAKTSILIQ PFICI_03632 MAGLSSIGPWSFNPIVASFPPTLFPNIAYWNVTNGTYTYQVQLS WPLNWTSTEANSTVDTLFVLDGNALAQTATEAFRKRRPVEFAQPDTIVVSIGYPDLIP DSPYSNGRYYDYQMPVCPTCAPTIEPVGVPSGADAFITFMDTVLRPWVQSYFPNTTFN RDGLYGHSFAGLFVIYALMVRSDLFDVFLSASPYLVWNNEYIFDHLEALTNGSLPANA TKPALQLAYGSLEEYPRKRRTETDEAFAERQALLSSLKTNELVERFYNEVKNSSVLRD VDLLVYPNSYHAAVGSAALCDGIDYFLDW PFICI_03633 MSSPDASCHLLRLAPELVALITSCLPNSAIKNLRLSCRALSGSA ALRLHRVFLSPNPRNVEVFRAVADHEVFRRRIVEIIWDDALLLDRGPGHIPGPTDGPI SPEWYDRACGINLLELDKVKKSNHPDYAVAKLQMAAQLPFNVSWEHYQELLGQQEEVI ASKSDIEALRYGLQQFPALKRITITPAAHGLLFIPLYETPMIRALPHGFNYPIPRGWP TPERGDAPYYVQSWDRDKSKWRGFNIVTRELASAPHGHSITELVLDVHYLNTGLNCHV FDGQNDEYDNLVKILRQPGFSRLDLALLSDGQQYQGWSSFRNGNLRSALSEATDLQHI NFESQTNQLQFWEPEKFDRHFLPLDTLLPVERWHKLRHFGLSNFLVRQDDLLALLAAL PKSVRSVELGFLLFLDERSHKDLLDAMRQTLGWRDRPVNERPTVTILCPQHKTKIPWR YMWIRDAVNDFLYDNATNPFSDMDLDGIWPDVNRGAILRWPFLPALDEPY PFICI_03634 MSFDRFTTFDDPHLFTSAYPVRTKDSEPCNFITFLATAQRLRIP FLSITWEAHRSIIGAGATSRINQTLVNLKNAFAFKRIGDGDRRKAEAEIYECLISELM ILGHPEIRNHPNIVELQGICWDIAPKSSAGATEATETVWPVLVFQKSQYGDMYNFAGL PVARELSINDRIKICRDIGNALAHLHLHGIIHGDIKPQNVLIFRSEDGSLSPKVADFG FSVLGAKDEDQITLHGTPLWRAPELDEYPTFSKSDAMKTDVFSFGLLCLWFILEEHLL QPFSFDGPTPLSIADNPSANGKLAVTQLANLKSAGALTNFVQRVLVKANTSIENKEIL QDFFSACLSDDPGSRGADMIHAVDKLTGNRTPRVRPEYLANGTAPEDDDFGASFGGFG KTTEEQRLLSKQDKAAARLAILNFVVRQRQSGMHDNAGLFYTGMLDSGLISLEDMVDL YRRHGVFEEAEGITNMDIQRLGEVIGPDHIIVVSLKSILLTILESKGRWEEVGKLRSE LMITVKKSLGHRHPVTITITQNLARDHFLQGQWREAAELWEDTYETSKLVLGKEHPQS LWNLSGLAEALQKLNRHAEATQIYLEIIDASKRTLGEEHIDTISFMGDLAAAYVAQGR FNEAEEGLLNLSLVAQRFFGHDDEHTLNAKGTLAGVYYMQGRYGEAEALAMETADISK GRLGIEHPNTLSHMHTIVVIHRGQRKWEAAKEIARETFRISSKIFGFQHKDTLTYANE LAQNYQGLGRYEQAAKLGLEIVEASKKVFGPQNPSTLSSLQHLTTTYRCQGLDVDAEK LEKEIEGLQPLISIPKQAQHYREPPTAQSNDDFSADFSKFQISDPGTRNEDFSPDSVP DALDPAQDYMAINAAFFGAARKGDAAEVERLLSMGVGIEARTRAGGTALSIAAREGHV TVVEMLLANGADINAESITLYTPLDYAVWKDHAEVVELLLARGADMEAIHDHGGTTLH KAAAFGKLASIKLLLDAGANLEAQDEDGKTPLFWAFCFFSPNAVATLELLLERGANIE ARNNLGMTPLLDAIETFSNAPIIELLLRKGADIEARDNMGWSPLLWAAEEEDEIIVEI LLAKGADTEVRNQFGQTALSWACRRGFEDVVKLLLEHGVNIATRDDEGKTPLDWAREM GFDAISTLINTWQDKEI PFICI_03635 MQISVHFLKAALLGAFCVLGGPLAGRDAVAQPTVTIADGTVVGI VRDNTESFAGIPFAQPPVGPLRLKPPQRLNSSFGTLDASSPGPACPQQVVDTSSADLL SQVLGYLVDTPLFMSALNQSEDCLFATVQRPAGTTAEDNLPVVVWIFGGGFEVGWSSM YDGIGLVQRGIDLNQPFVYVAIAYRVGGFGFMPGSEILEDGSSNLGLLDQRLALEWVA DNIGAFGGDPDKVTLWGESAGAMSIFDQMALYDGDHTYNDKPLFHGAIMNSGSIVPAA PVDATKGNEVYSQVVQAAGCSEASSSLDCLRSLDYADFLDAANSVPNILSYQSIALSY LPRPDGKVLTQSPELLLQAGKYAAVPVIIGDQEDEGTLFSIFQSNLSTVDDIIDYLHD YAFIDASREEVAGFINTYDSSSSAGSPYNTGPFNEIYPYFKLMASAIGDIVFTLTRRV FLSAFATAHPDVAAWSYLSSYDYGTPILGSFHGGDILQVFYGILPNYASAATQTYFIN FITNGDPNIGTTDYPLWPQWSEARQLMQFWSITSDFITDDFRSPSYEYILNNGTNLRL PFICI_03636 MATPKSTVTRTTSKTSSAPGSTTIIIITSTKSIDGTLAPLTTTF IPPSSCFDRYYQENSSSVASVITSGTLDPSYNGCQLHNRPELTYSPGMCPGRMTTAAR QLNGDHFTEWCCQSGYSYDPRGCGSVVASRTTVPIYPTSDNTQHSATVTSVVAVHEAV TIIWASTDLNLFPSDVASSRSALFSDSNTTTGLTQAAKIGIGVGVAVGALIILTVGSA WLIKVMRQKKRHPKKGRNVAGELDGDQKIWKRFFGREWRAELPPDGLPAELATEPANP AELAVQQIPVELPTGGENEIPGKPSIRDGKYLQS PFICI_03637 MVVATKYVSLLILSLALPPTLYWTCIAGQNSGLKPALGTDSRRN SRVANSSNGPPELTVLLTPLFAADNITSVHVSMSFEPRPELKATSGLFQGATTAASIP ALDIEEGSLQVFDAAGPLEVTPHDVALNGHFVGYRWITDRPTEGSVNISYIALPRSVS NTERNGPVLDFRLESGGLLASGFSLLVAPVDLEEKYDVFLDWNLSRAPIGTKGVWTWG PSSEGPTMRRMTAFGILRTFLAAGPVQAYIDEDQAGALARFNVYWLGELPFDGDELSD QIGQLFHDMSDFFDDDGESYRCGWALIVGLIDPQLAVRDIFSNNETHLNASQQANRWL YSVFGRKCDQEQFEQRDFHEADLDGEHVRRRCDTYSRRLDQWYESLRDCDHPVAQLAS KQSFLMSSSGSPITTYKFKDSAIAIGYATYLLSRMICNFLESQIDHNVSPMTLDAWAQ VLIGIVAGMDTQSQSFTPMHADMFVLFTVFLCANLDLVNIILYVIVPGMLKTYVAGPE RGRWKYVKAVVELVVRERLRGRAIRYMIDAAGGDHKFWPLDSTRNIAAFGDYNGPGSF RDVYTINID PFICI_03638 MALHNAILVVLFGWLSIVRAIPEWPAQWRLAADDTPLRGSRPRS TKIRQTEDICQAGSPQWSGTVPVGENRDMFFWYFESRSEPQKAPLVIWLNGGPGASSL LGAFHEIGPCSVSDDGKSTTKNHNSWTNFANMLFIDQPIGAGYSETADPVLWSEDLNE GAIDFDKFLDGFFNDLFPELKQRPLHFAGESFGGQYLPVYASMARRRFASLILVNALV DFSDSTLGYFHHFCSESKADGPMSTRSFNETACLALAAGYSTCEKYGSLCGLTYDVEL CQTAFDKCLPMMEAIYTQVFSGDLDPYDDRRKCQEPPICANMGMEQVQTYLNSTKVKQ AVGLPEDFHFRPVNFDLNAHWAQRGQDFVPSTRQLVHLLDSKQTPILVMNGNNDVVVN TEGIIRTYDNLQWSNHALFRAKKYTPWYFEDDDGSTTLGGMKKTVGNLTVLTVDNAGH MSPQAQPIGVADVVAAWLRNSGSRERL PFICI_03639 MQGAIYKALFDVKAQPVFNCTSRCLWKESYISLGFKTTCTDVTV ETHATIRQDNYTGMGHWFNMTTPGDIPLRAGYSASSWLTLAHVAADDLLAKYAGGTPI DGIPISPEFARIAVLTGAVDQDGNSGFVQDIYPAGWTIFECTIGLAAYEYSNISASGN QFIIGKTTTIPLTEGQLKATMLTFSQANIPNMTVQGIDLAGLNAFFTSSRFSGSTYSG ESRPSESTGMGDVMRKSDVPTLFEKMADSMTEQLRSSYNLTAEGFAVESVVFVQVRWQ WLSLPIFVLVAAACHLGHTMARCQSDQLPLWKSSVVAILFHDLIRGRQSKDAMRTNLQ SKSQLEALAKGTWVTVEA PFICI_03640 MKSSTVMVTLHCLLLSLLVPASARYHELKGGSGYIYDHKHAIQS TSNVNTQPYSHTGKHAMTGAGTGGFDNGESKSYDEQRESYDASEVPHYLSNEQFVHDS SNDHVPINKGESYDIVDKYNYKTDEDYGHKQGTTSISLVVSDPTDAIPGSTSLTTLIS TSFNPSSLTSGLTDASPESTTVLTTASTTLISSRTSVSTTSEALPSTPQDLCSGHDTI IGDVHCLGNFLIGCSTLFNAVNRNTLDLRNVPNVPNKEACHQKCIEDPLCTGWTCILD SVEGLKESGISVGDGYCRHVYSPVELDETEPFTFGDAFGLRGFCDFPIAELASTSTGS ATSATTVTLQTVPTSATDICPDLGGQCLDNNYIQCDRVLQDDAGTSIGIDKCIFQPGI KSERACHQTCLLDNKCYGWIMEQVQDFDDFGNGKSYYCCHLNTTVQLPDPLPAKGPFS TFPAYDSYGLKGSCPADVGSICPRAENACVDDFKVRCGRLIFNDVTPLLEGIWQPDIR EDLACHQACAEDPDCTTWWGELDPIFNTFTCFRGTSAVAIKTTIAMDQGEEFTSSFYG IRGACG PFICI_03641 MTLPSKDDSLLIVGAGVFGLSLAYELTAVRGYTRVTVLDRHMPP VPDGSSNDLSRVVRAEYTDPLYSQLAIEAITEWRTTEWRDHYHESGYVMIIPNADSEW VTKYRALREKQAVQQPMNIFAPDASESAIKRMYPCITTDLNGITTLQNDHAGWAHAHG AIRALANRCTLAGVSFVTGPRGTVTSLETSGKQVLGVRTATGSIMKAKTVVLATGAWT NRLVSDMRQNILGVSQPLAYIQLSPKEAQSLEKMPVMVNTATGLYCFPPDPVSHQLKV ARHGYGYSNQVKLEDGREISSPRLMGNNAAVQDFLPADADRDLREGAKAFWPQFGNRP WAKTRMCWYTDTPKSDFMVDYHPDMNGLFFATGGSGHGFKFLPVIGKHIADVFEDKAG PLFRERWAVRRPTDADSEPEMEGDGTRLGPRLRQLSKAEQAKL PFICI_03642 MLIDSFGKNPDARRSRQTRCVIIGAGVSGILMAYKLKTYLQDNV EFCILEKNSDLGGTWFENRYPGCACDVPSHVYQYSFAPNSQWSKFYADSPEIQQYLKD VCHHYGLARYITYNSEVTDARWNEEDGTWSVKVGRVSTRVFYCEILVNAGGILNNYKM PAVPGLSDFDGPILHTANWDDSVDLRDKRVAIIGAGASAVQVLPAIQPTCQSVDIYIR TPSWICPPVGLPPGTLDNPVYTKDEMRRLREDSSHSLSMRKDMEDGFNSMFSAFRKGT PEQENMRQTYDAYMRELIKSPDLQAQLIPSFEVGCRRINPSAPYLVALQRPNVHPRFG NIVKIQREGIVAAGADRVEGKEELRAVDIIIAATGFDTSFRPRFPIIGRKGTNLQSLW ESRPVSYMGTGVAGFPNYLTFLGPNTPISNGGLMGVLEATSDYFIRLISKFCREDVKA FDVTQEAQNDFSIHTQNYMKEMVWSGACRSWFKSEVDGHITALWPGSSLHYIQTLAED RFSDYSWTYRRNRFDYWQNGFSWIEQPESDPLGLAIVKARCEMATLPREGADWSFWLT EAEALPMSKNDSGEVEEPDRRKEIVYAVV PFICI_03643 MLNYFGLNKKASDQSRTPPAEEEERALPASWYRSSAMYELERRA IFSRKWILVTHSLRFQKPGDYVSFTEAGFSFFLIKDRENNINGFHNICRHRAFPVVTQ DCGSASILSCRYHGWSYGFKGNLAKAPRFDTVADFDKTQHALLPVNVHIDAKGFIWVN LQAGKPDVAWEDDFEGVDIQPRLTHFDLMRDYRYDHSWSMEGDYNWKTLADNYNECYH CATGHPGVAAVADLSVYRVETKGGHIQHWNRNKDESDTTMIVCSSFLFPNACFTVTAD FFYMMRCIPVSSGKTKMEYDVFRRNDTTDEDFARINDFYKQVLQEDKDLCNGTQKNLE AGIFINGQLHPEKEKGPLFFQKTVRELVMAHRKHEETLGHDVWPASPQPTQAMKTGKF MEEDAFCMKLDAEACKGTLEQLSW PFICI_03644 MSVRPEEYHIPSTDYSPNNRLPVLVYRNVLPKPHDEAHTKEFLE KSGWERRGTWGAITAKHFHPNTHECYGVFQGSSELIFGVGGADSGEMGARCRVQAGDV IVVPAGVSHASVSADDKMTSPEQRYRYVGVYPQEAPKWRNEYGKRLLDNNDALFEEIA AVAVPRQDPVYGVDGPLVHIWDAATTSS PFICI_03645 MSPSPEPTASQGADGGAPRHHDKEPPSMHDFEAGDMLTDPAEEN VPTTTRREVWSWYAYYIGANGLSLFNFGPTAFQNLLSQAAPEDTGLLPFAGHERDVNS IVLLANGISFAIQAILFLIIGAYADFGTGRRWVLLVWSVIAYGVGFGWLGVHDASKWQ IGAGLYIVGLIAYQMTLTYWTAAFPSLARNTPQLKEAALAYQAGDITQEEMDRKDETE RSRLSNVAFYIQSLGEIVILAIIVGIMFGVKVDASSANNNWGLSVLVAFATACWLVLS IPWFLMEQKRPGMKVPEGKNIVTMGFWQLKEAFLKMWHLKQSLIYLGGYFLLGDSLNT TVTVIATLQNQVVSYDTLTLTYLLIVGIAAQAAGIGAFWLIQKRFHLSAKVMFNAVMV SIILLDGWGMIGNWTDKFGFKNVWEIWLYQAFYGLFVCPWYSYSQIMISSVTPKGHEF LFFSVFNIIGKSSSFIGPFMSSAIIDASPGGSNNSAPFYFLFALTLVSTIFIWAFLDL EKSAREQEDYLVKEKARLGELAH PFICI_03646 MGSVGIESPPFKVLGDPRPDDLSLPAFMVSTTRGFLPRADPIVK LPEEFDVFEGILQRMPVKTLSGEPGLLAQYKLGETVDKELPNLTEAVEKYKDNLPLQN ALYRDYSFLASAYLLEPCHHKFIKGEPYGLGRDFLPANIAQPIARCAAICGFKPFMEY AGSYALYNYYLVDQAKGMEYSNLRLIRAFEHGLDPTSSEAGFVLVHIDMVKNTGPLID GTMKAFDAAAEPCADSIERRSKFNEGMGLMLGALQKINQTMETMWDKSRPTEYTSFRT FIFGITSQSMFPNGVVYEGLNDGKPLSFRGESGANDSIVPLMDNFLQVPMPSTPLTEI LKDFREYRPTNHRGFLQWAKGCSLELGLKKYALAVDAKPTTVEEENLINQSRSYWIQL LNQVREFRWRHWCFAREYILKRTSHPTATGGSPIVTWLPNQLEAVLQEMVSIYDSVKG ESGVDKTCTDIMETAVRQRDTLRKEVDKYCAERGVNRPQ PFICI_03647 MYFGGEDIEVSKREAVKRHSASARVVATQLAAEGTAKLEVSQCL CLTALGHILAGEGGLAWMTLGLATRLEVMRISQPEQSKTSVDREASSRCYWSIRSLEN GFAPQSFPQFQDLHAPAYPPDMEAPQPVDKGRRQSVHDLALMDDECIQGSGIFAHSIR VMSSWRDVSKWLHDIRHGNAEKPWLPTSKFAELTQHLYDLENNLDYKHCFRNARLPDR SLDELHRDQEYWRCWMLYHVRFHACLALLNHPFVHLVALRNGNRSPSPRSFLQIVVDQ ALYHSSWVIRLINMCIEIGFDIHDPFTSDIVIAVATVPWIMQYARDQKVAAHSKENLS QCRSFLSNLSQSWPRVVQKLATLDQLQSMTDCATSQNTISFKPAQLWQLLDPCISELS AQRNANSQSASDQSTTMRVHTTFIHPLVDEEASGEVPKTVSEAPFSLELSAEMGQQFL FDDFFLQPLHLDQTWIDIGPSMNIT PFICI_03648 MRFVGLDPEERTPILKSIEQTVPEGATQLASFDNADGLTDLLIG GKTLSWISAQGCIEGNTEPIILDDSDAYFEVEQLHVSQTRGWLSVWSLTKSATLSYQE FDANTAFAQPEARTLPIPLLLRDAASDRFAAIQNPRLGQKLFVVSRDGHMVMLEQDNQ TALWHAPVEILIPQIDEMIEFDSYTIRILALDSEMDANLVNTSFRGSLTGTEVKTDPS DTTTVVLPVLRSDESLAAPTLTLDAWDLQSKQITNGPYKIDPSSKLFDKLFAVQNSAD LKNLKLPDGTPLVSQNDSQEYLDSAFGALKDLAEARKSLAAGKHITANTMTGIESNSI VSMNSIGSFFGNVGRRIWGALQWVAEKIKQGAKWALEKVGQAWKFVVKIAGKVFNFII ETVQHVVVVVQKVLETIVDGVKKVINFITFLFEWDDILDVKNLIVNFTTQGLLWGVDA VALLEENTNTFFEDLKIKVRALKGQKLPSELGGQKAGKNPDALQKAKGDRNNSKEEEV FNSPQASYGSYHLSHSGGPRDSTGVTSPVDRILARLSNLGDQMERLMSRLISNFGDVF KTSTPSLDQIFAKLGIELLEDLLGMIQAVPIQIRILSALYKKISGDDMTILDVVALLL AIPATIIYKMVTGKRPKEVEGIGSLIAPNAHRAELDQRMGRVRLEEAAADSGGSWAAA NTVTFSLAAVGNVDSKSKAPAPSFKMSEEKRKAIEANQKRFMASSSGGGNIIKLGIPV GSFLFYGLYSWPKTFLPETVYSFERCLVSAALKLVVWLAQFASIAKIKMEDITNGTFS FKKIWDEDPWFAKRFMMWVLGGLPVLGALLGRKVGYILGLFANIGQMLIHAILHIESW ASGAGYSVYLAIEEWIKIAGKTCTNIGGLLQGSDGFTTNTVALALTTGATMMTQTRAI LECVGKREVLCTGMDLV PFICI_03649 MWLESLKSADPGETHNILGYALKVDIPGSTSHKQLLEKIGDAKI PPSFTPAAVQFQTIGNRQQAGSTANSDDDYNAFLFTEMCYTQSPEEVAKGIVGPPQFP QNDLQWSGNWFYDSIGGSMAMSSEIFMNEIVGRLTRPLYEKATAFAEPLAKGQTWDAD ARVRDMKPRRNFLPAWNHADEWVKTKDNQWKFESTLQANHNNLTWWETGKATLYNIQV NVDSRMSTWLVAIPVSLSAVTSDAQLTALAHYVKGEQDLTSTITSGGKVIHGVGSDDT PDEKKKWDGYQDLLKNTIVDKMTDTSTFEKTLQDGLNGQKRFVSPSGGTFDMKDPIFT VAGDLMLGLTYREGQSKSQKSGNQQVQTS PFICI_03650 MRSSTVASALPIAVSACGNSTTPAPAACTVTNYTALASAVANCT NIVLQDLYAPANSSIDLTDLKNGSTVTFAGTTSFGDTPDHDFLPIQIGGSGLTIQGAE GHVLEGNGAAYWDGQGSNGGGSKPNWFIKLAGVSDTVVKGLNIKNWPVHGIQITSNSS NVTIDGLNMDNSAGFEPNDLSDGEAAAHNSDGFGVSSSDSITIKNTNVVNQDDCVAVT SGTNVVVDNVYCDGGHGLSIGSIGGKSDNTVDGVIFSNSVLVNSSNGARIKTNSNTTG LVTNITYKNITMSNITDYGLDVQQDYLNGGPTGEPTNGVIISNITFIDVTGTVADDDA YNYYILCGDGSCSNFTYENVLITGGNQSCNYPSTGCPS PFICI_03651 MGTGASSSNQIPNHNYEQAPSVLSLAYLSTHPLSYVLTHPPFVL FATVIALLVYVVAQGRRHREEVRLLSLRVGQLGRDGEFVVQALQVNEERVERLGEGVD KVEDAVVEVSRAVREAIVGKMAATTTATAAMAAGSSVADRSERSARLRGRDSADVEMI VGTAPTVAGGTVAESVYRDHGYDTVDDEAGARHRGDTRSRYAESVYTVRGDDEYDDDW ERRTMVTEVAPSSRRSGAGMEEKRRREEKIWSKGDWKGLEVFG PFICI_03652 MPPSTAAQAKKQPLTLEQISKYDDILTDCLVDQTFYSSTIPKNR TTYHPSRGLREEEITKIIQNHLVVEPNLDLATEKLLALDGLRKFHNALKTDKEKDDFR KHLRRYAQIYLPDCPFEVNTTNRYTIVTYEAAVTARRFIKRGESIKYLSGIQVLITPK EEDEMTKRKKDFSIVVSSRSKCASLFMGPARFANHDCDANARLVITSQSTIEIFATKN IDLGDEITVTYGDNYFGEDNCECLCHTCEKNLANGWAQPDGESLSASQLTRSIEDDEG YRLRRRRRDDSAARSSREPSRTPDVRRRVSRSKTKLMRTESSQGSMAGSPAPEARLRQ MKKREFDMLSSPPATPGKRHKSAHFDDTPVRAREDISRKSAEGETSGADSIGSTLEFE TADSPGTEVTIPDEETKVPELSLQSPRPTPKKSAYSEVKTEESDMAISESGSSVRRVA RQRKHSNPVRSVEDVPATGIPAASVPGVDEDVDIIPPDDLDLVVIAPPLTPASKLRNE PTLPAPRYVESEVEQSELPVKRRPGRPKASKSKMIGNHSATDNADDVAAAASLEPLAG TDAGAPSAESSLSDDDEEATPKNVRKPGDYTLTPLLLPVADSAWNWCRVCNDAFVQHE AYFTRAYCPRCERHSKLYGYKWPKTQKDGKNDKEERVLDHREIHRFLDPEEEARVRGR KYLGTFPRETKPEVVKKAPTKVAPVKKRRSSAAKNRDDDYRCSEECDDDLSEGVAKST RVRRVSMRS PFICI_03653 MIQLKTMLNCIDNSGAAIVECALVVGQKRHATIGDRIVAIVQKQ RGASDAGMGVASAANKVKRGDIRHAIIVRTKKQVQRPDGSVVRFDDNACVLINKAGDP IGSRVNGVVGAELRRRKWSKILSMAPMHA PFICI_03654 MSSPQEPTGDNSRKNFEQITFRFCSECSNMLYPKEDPDSHKLQF TCRTCQYTEGATSTCVFRNLMNNAVGETAGVTQDVGSDPTVSQLSPTIVALESSQTSV WGEYIRTYHAAVMAHAEELDELVELSHEEFVIKNKNLARSLGEVQILGDDFDKAVADL AWAATYKCLDSVAQAAMDEDYVRRFTEGDNQSNCFDGDDLQGFLFDGDDIDPPEACDD SDATASYRFSW PFICI_03655 MSDETGLPSGWEVRHSNSKNLPYYFNAAEKISRWEPPANTDTEK LKKYMAVHHSATSAGAPVAKPADKIRAAHLLVKHRDSRRPASWRESEITRSKDDARDI IKAHEARIKRGDVSLGELALTESDCSSARKRGDLGYFGKGDMQKEFEDAAFALQPGQM SGIVETQSGLHLIER PFICI_03656 MLNKDHVTDADNIPRIAGIFVVATIVSYAGTYLFALGSCKLEEC ARGRYCSNMTDVEKATHRTWPTPQPTRRSSQVGKLPTNILTSESFVSLDTALLETTLR LAFVVQTAPLWISLLLAIIIGVPVYLTTKYDMPLEAFCFTFLWIVAVHLQRFVKSWKR LELRRRWRLALAVALNPVLITALLCSIYFWVKSALTGRQIGDMLIDFKHHRSWADIVV NLSEGGQFAQNVGAGDLANALLDAGIVSLGFKMFEYRRELWASFTIVFTTCLIFATLN VFVNLIFAVAIGLQPADALAFCARNVTIALGVPAVQHLGGSITMMSTLVTFSGLIFQM TGDFLFAWFRINDSVVAPNSIISSDSETSSQEEQSQGSEGKIIAAGITVGINAAAMGT SHLIERDSRCSAYSALSMTIFGVMTVALTAVPAIKDELVFLAWQ PFICI_03657 MAPLGRKAGAIQKSVKSSDARDLEAVGPRVSETPDLANSEVDAV KRAASLTKDELQFAIEARASGMIDHLVEISKLAATDVSLEEVKSATEDHDQYVDSLGK KASAIQLNLDRCKTLMQSSDNWAKDRIEAKKSKLDALRAAKARLEATGDSNEQELKEA IARHSSRIEELVSGPPSNGAPNNNERPVDVPMSIGDDHFFGKLLDKLQALGLEINITD NGKTLVKSARYYSNL PFICI_03658 MLSVVSCKRTLNRILKEASARYAGTHEVNGGQVQASKAELQALL EETNSLWEEVVPVAHMAVEKTMLEPILKLVNTKGEAQNYQNAIIGSYIGNCLAYMNDR LEALSDRIGTAIHHHYALLNTFECHQALRNPHIPKSLQGAESTQTTNPVRPSKGSQMA FKQVCRGLEVHGDIPARSFDFALSTMKRTTLLNDIMAQRLMKDDDRLRVLEHNFENVI KASLREGPNINLEILGRLAAESLKGTGDQGAILRDAQTEESLEALEVENKQLSSLLEI LPNRRDIQNYRNDFKGAEIMKRWSEPMGPSR PFICI_03659 MTVHRIRKCNTNVHDRPSDLSVAVELKFLLPFIPYSERLALDTE LPRHATRFNRMPKTLRSEDRSQVSRYGFSLISNIINGVPGQSALTSYDLKDLNIQERD CWSTHWIVKTSNSAAPAADHPHRDDWIWVPVEVVSPKMACKDSDTMQIIAAVVESMQE QCHIVTNYTCEVHVHVGRMDGYPFSLPTLKRCAILTWLAEPVLRQVKDPKSPNFEHVF TWSSAARRHSRLATNLKMGIAAQIPSTEDVKFLPPPIKNHVKDRNADLSMDLNAIRLI AGTTSHAQLGRLMSGEGKQYRRLGFNFSAFAGEDERACTNPKTVECRFLEGMIKTDIV LGWLQIICRLVEVSLDSGNDDECYSRAVLHLIASHEEPLDTHFGRLMRRLQLSEDVYS SVRALVDEVNM PFICI_03660 MSASPARSRGGRSRGRQAKVAEMESDNSQDSQFDQTQEEDSDDA PRQPSRRRRRRNNQQMQRSGGQQQQGGQQDWQMQQQMMMQQQQQQQQMQQQQQGGGGG GGSDTLKLRLDLNLEVEVTLKARIHGDLELALL PFICI_03661 MNLFELALVALGAISVHTAWSKVRAWQNLRHIPGPFGARWSHAW LVKQIFAGLYVDRLQEIYAKYGPIVLLSPGMVAVSDPGRDSQHQQYAIGMGPGARYKK AHEVLRKKLVGGYSGKSVGLSQVHDMIDERVLKLVDLIKSKYVASVNHDQTFDLSRLL APALSSRSNLRCATGPFLVKMQT PFICI_03662 MGCFLRPLLRSLRNKSKSKSKLSSQERPEEKPPLRAPERGGAAP VVTEKRPVSDEPQTPSTANPPVSTITLVSSQSGVATDAPPYELAGSSPPTSDSKPVPQ TITVEESIAEVKDNEVDGIVENDTEVKDTEVKDTEVKDTEPKDIFKENDTVDRDIVNN SNQDNDAKPDMIAENNVLNSDIVDNGIADSDTVDNSYEAGAIKPDDSAETDIWATAYQ SFVRREGELATAYETHLTTITDADSPTLRDLTSTDWAKSTVKHLEEKRQSNQWKFSFL GRDIKVREQAEKLTKTLSLCDSVVKQALSAQPFAALAWSGVSILLPLLENASTEHGSM LAAFDSMQRVLVYWKIYHDAFPTEISVEHNRATWDQLVKLYSHIFEFQARVICHMSKT QLSRGLENATGSNDWENKAALVDKLSNDCKKCTDIAHAREAQRNCEQRLKEIYESRQA LQGIHELLEDERRQRKSDRNDDQERALLSLLAANHEEYKNFNPPKLEGTCGWFLEDEH FCSWRDRSDSSLLWVSAGPGCGKSVLSRSLIDDWQLTTSPATSTVCHFFFKDGDQSRV HSHDALSAILHQLFIQDLTGRFMENALHRHKNYGDALRKNSNELWNTLLDCASKPDAG EIICVLDALDECREDERNIIVEKLTAFYSNTETAARRTCRLKFLITCRPYYQIERSIN SLSDASSMKIDGNDKSPAISADINLVIDDKIPNLLGNFSKQDQERIAEHLKSMNNRTY LWLRLTFSIIEKNPVSYGKLSDVNGLLQELPEGHLQAYEKMLNQMNDLRHTPNLLQLI LAANRPLSLDEANHALALADPGQSVQLWPRDSFKDIVKNFGGLLVDVHDSKISFIHQT VREFLTKSSEDDGKKWKWKGRFKPPVYHKAITRACIRCLSLPEQQSSAHGVTAAKDAD AFYLYAHDYWTEHCRELDTKISGELLQKAVELCHVDVKTSQWVCSCSFQWTDEHLDRH QLKWYKMTDLAVAAYFGLFSVVRALIKKGNVNINAKIRDIGTALHMASCAGYINVIEL LLDHGADIHSTSKSGETPLMLAATNAQRDAFVLLLDRGAGQSEEIPNLLVAAAQMRSS NELTAMILEKRGVKIQITPDLLVRIAEKGYASGTIEAIFDYFGDQVEVTPDVILAAAR IEFSAVFMNLLFEKRGAQIEITQDVIEAASYSSLQCFRERLGDEIVPEETLLKAKIKE SQALKERWFTPTDSILYEEQMGSFQTTTNGDVIHLPKIKSALQRVC PFICI_03663 MNDSRSTGESATAPRWPLTFRATFGAENQNNNGQHQHNQPPKPR WWTHTLYRGANGQLPRILYSNTKAQSEEIAKEFLGEAVLGFDMEWPWPDKNSARLQDK VSLIQIASESKIALFHVALHGGNTVDELLAPSLKKIIESPDIIKVGVKIQDADFARLR QHMGLQPRSGFELSHLFTLVKFGLSEPDKLRTNLVALARQVEEILGLPLCKDNSVRKS DWSKPLRQGQMVYAADDAYAGYMLYHCLNAKRAQMQPSPPLPVVADHYKTYDGPGFPT RGAIRLQVPATASEYFARFSGRTGVRAGAPPPTLVKSVSFSGNGERSWAEDSRIVIGQ NGQSAIPGTTLSVASPPKIEQKLPERLEKQLYYRLVERRQQYARELNFDGYMVAHNSV LESMSKSLPVNKEELLLIKGIGPKKVEQYGPGWLEVIQKFMKEHKNDVLTTTSEAAPR GLKRSVSFTSPQKQEARAPPALHTGLSFQMDSTSIIHPPYSDDEEESDTEGFGPPLST TLKPRVNMRNGNRIDYSAVPQSQQELSTPRVKRSRSDMDDNAVTPSVQNPAPAALNRH IDAETSTRGLEQRDFRRSRSYHQETGSHSSREAQEYPVSASQPTDVSYPRSSSNSNAE AVSQTLEERLRELSKQVSVGNSSILSAMTIRWIASTPPTSNQELLVVPGVQPFVRACN LKGINLFDTITGWLRNQ PFICI_03664 MARILRGLAYGLALTRSVYAVDMNMEYNQGLPDTGLDVSGWTAG ELPDLDDMISLNDFQMAAKNFLSAKYYTQYRTGALDETTYINNLDIFRKILFNGYAFQ DVSNLNLNTTILGYNFAAPFFIAPAANAGHANDGAETNLVKAAASAGVLYAPSISATQ SIEEIGAAAADGQIMFHQEYLWANTTRVEDELARIEAAGFKAIFLTVDNTGIGGIRDR SLRFSSGSSDTGHTLDFTVDSLNRLRNMTSLPIVPKGIKTARDVKLCADLGFDAVYIS NHGGRVVDGAPTAVEVLLDVHAQYPEVFDQIEIYADGGVRRGTHVLALLALGVRAVGL GRPPMFANVFGQEGVEAMLDILRTELVTEMQLLGQTDVEKWRGNTSFINTKRVELEYY GAPLSSFTQINY PFICI_03665 MATARSMFLANILPLAAVQALMLPRADLFCQLDAVDFLPALANY DPALEYCSSSFPVPATTATVTPITDAFTTITRTVTVFSSLITPVVATDTELPTVIIDP PVTKVKRSEGGENEEARRGLLDGFFGDRFPGSGHQHHWPDFVPGRGGNGGGGYTNNNA GYGGESPYGDDYDDGGDEYDDGEGDTPDGTWYPAPAPETTSADVDPTFIIPTITLPTI TDPLPTISSLVSSLTSDLGPIESSLSSSIASVLSSLTSDIDTVLPTVLPSLTSDLPIP TVTPFTTSAITTGGGGDSALSSLQSLDAVFQGSICSCIEDAPTFTITGVPTATGLLTA VETVTVTLPRPRHGIY PFICI_03666 MHLSLSLSFSICYSFRLAVCQSYSKHPWQNREIAAPNVAKEYDF IIIGGGQSGLVVGNRLSEDPDTTVLVVEYGYFDNRPEQIDPASFGGLVNWPIQDLYNV TSTPQAGLLGNTVTVLAAAVVGGGSTVNGMMLTRGSADDYDNWAKVNEESDWGFQGLL PYFKKSATFREPAPELAHEYNITWDQDAYGSDSPIHESIASYLYPGLIPQWQGMEEAG LTAQVEGANGNAYGVFWYPNAIDNETVTRSYAVSGYYTPVADRANLHLLTGHRVNEIL FDSQLNADGINFQPRGVPEGEDVVSVKARKEIILAAGALHSPQILQRSGVGPSSMLAE AGIEVLVDLPGVGSNLQDHPVSYVAFDYARDLDPSPQYMWDNSTFIEWAEEVWAENRT GPFAVTTGNTISLIPLSQLIPDSWTSVVETLQDQNSTEFLPVTYTTDQLAGFEAQRRL FIDSIQRNDNAVIEVPFNGSSSFATVLTKGMSRGTVHLDTTDRYAEPVIDYATYQNPV DARLMVGSIEFARRFHETAAMVDTFGPIVGTYPPANMTDYDQLEQIARLTTSGTIGHI SGTCAMTPRRLGGVVGTDLLVHGVTGLSIADASIQPMIPSAHICTTVYAVAEKAADLI KSRHGLFRH PFICI_03667 MQTLLREHWGWDQPYQWITSDCDAVNDVWEYHNYTADSITAAAA ALNAGTDLACEGSIYNDLVQAISFNMTNEATVDRSVSRLYLSLMRLGFFDLKNSKYAS LSWTDVDTSDARDLAKEAAVKGMTLMKNDGTLPLPASVASVALIGPYGNATTQMQGSY SGVAPYLVSPLMAMQAKWQNATYSLGTAINTEDSSNFSSAIELASASDYIIYCGGIDG CIEGQARDRTTISWPGNQLRLVGELSALGKPLVVVQFGGGQLDDSVLLANDSVSAIVW AGYPGQSGGDALVDLLDGTLAFAGRLPITQYPAHYVDETEMVDP PFICI_03668 MVLGAGIALWLRATLHRVQRIVVQSCTIAVLHFGNYRSPFTTID LDVSNNGGKSTVISDYVALAFLSSTDAGSEPYPLRSLAAYGRLSSIQVGETTTISLTI PISSIARSDVNGHQVIYPGNYTLSVDIDGKIPVSFALTGDAATIDMVPEPPISPVPLA YVACYSGATNSTAAGTVSQLADNSPQICADYCNASGYKLSGTQDQT PFICI_03669 MSSAVATRNDELRRRAELVCAQNEDEASWIEKKLKPSKVAARYP ITGSLLLYATCGFGSLGDALFGYNSGIMSGLLVNPVFVKRFFGDYGGANGSTAEVSPS ITGISVACLQASAAVGSLIAGRLGDMIGRKRTVRLGGFIYFFSAFIQIFAPDFATFVA GRTIQGVGVGFLSMTVPIIQTEIAAPHARGMMVGIEYTCLIAGYMLSCWVDYGFHFML PDAMSWQGPFIIQIILSAILVLMSFFLPETPRWLAKNGFMQESLQTIADLHSNGDTEA KHVQDVFLEIQEAVVYEAELGKSSWTEMFTRYRKRTIVGVTVQMFAQLNGINIISFYL PSTLASAGFDDEKSLLYTAANALPYTAATIVTWWLADRWGRKPLLISGGIAMAVLLGI VCAFTEASLDITIKANGQYAFVMLYNIVYGFTWGPMPWLLPAEIFPLRARSKGMALAT TSNWVFNFIIGMVSPDAFAGIHGYFYLVIAGFCLFSAGLAHFYYVETANHTLEEIAIA FGDQAFADGNEVVLETTKKANMATHDEV PFICI_03670 MSQPTTYIFYHDECVAAISDYYDFLTSLYLDESSVLRPPPGGWS EITPETMHGLGKSDTVINLLRHLPYIRTDGERIQAAPWVEFANWADTPCASDEDGENA RICSEPPEYVESDSIPAHVIGLTACESAELGGYFLLDTELGVVHWVGCYGELKDEQSL DDDSTLIRPILFDEDTATWDEDDEEAEWRGDSPAWPVAEFFEVLKGQFRKLSFVALDC MRVQDIYTPSGPGKDGYIETVQGVYRQHGWPDVDRYRKSDCLQAVEDALQERYPGEFF PFICI_03671 MAKKLPNRTAMIPHDEEKRYIMQLDVFHQLHCLNAIRKALHPDY YTVSSQPDPNDDGGVLEPHHIDHCVDTIRQSLMCNADISPLTWMWDDERAKILAKGTI VHTCRRFDKIQEWASRYNYGKMMDSTQKAVNDPLDPETWTEGFSG PFICI_03672 MYPRTSVTKKYEPLSTTDSEQSEYGPPVPIRASTPWVRPFKYIF IGLILAFSFMLGVIVMYYSAPASGVCSALPHDSAEVNKVEHHCGKSREEALAMGCEFD YLSGLWLPKACSRSYEAEFISMPGTGFYATAGADLDDGLGPNLTELPFGTPYYTTRLH HVTHCLLLWLRNNDDKDGIPVAYNAMGLHHQSHCAQMILGMQGKGPKALWEVAVRGEI YTQSC PFICI_03673 MTSTHFGNSPPATNAWTAPILDKLDLGMDRVMFEGALQDNTSIW RQTPSPEVDAAWDYITTEGMEAVTAPAEDVLRSGKNVSMSVKVPTSWGLGDDAYLAQI EVFHQIHCLNQLRKEVYAEYYFTSPANDLRIGHRNHCIHMILQVLMCNADTGLIFHNW VHNSDLPAPQTRAFADFNTIKKCKNFDNILDWAREKGVKNTYDLQRLVGYIWEDDLTR RELRNLSILRDYGLESVSITPVEYSEHCPFPYNNFIYMIQLSSPMVSSTFSARSTRPG TAAPLNSKTTVFRLSNPYANDLNNANRVENEVAAQYLYRQQLSASHPELASLVPTIYA REPSRYPEISDETGFGWTICEHMPGTNLDAQFVKMDLPKKLEVVKQIAGIFAAFQKII VPEELRGHFGGLTFDDSGQVVRGQMSICPPGPWEDYTDLWVARLRWQLHNADQSPALK GWQECGLRDRIDKLLNGNGIAKWMERIDVTQTTLAHGDFTMNNILFDDRNQRVTALLY FDFSCVTHPSQDFFTGLWDIGDGMRQDDSRLRTAILTGEFDDAAEDFSPDAKIDWEVS KAWDSTLAVQGVIRPSLILGIDKLETLWALEDAICPSNLSHEFKIERTKRKFPGKLGE IIKAATDKLIATLNELEA PFICI_03674 MSITFRSLDSGIDVTLLTAPPADHMITLSIGLTMNNMDELDERL KAVSTPGSPLYGKYLDKHDIESLFFPSTDAYKNVTSWLRSNGVEKISQQGSSINFATT VANANKLLNTEFAYYDIEGVKKLRTRQYDVPDEVADHINLIHPTTYFGKSRSMMNTRE LVQVSQRETTPSYSNTTTNCSTLLQPYCFRDAYGVEDYTPDENSGSRVAFGSFLNNSA RLEDFHLYQNAYGIPESNFSTEIINGGLDHQDINGKIGEANLDSQLQSAMTYPLPQIQ YITGGKPPFVPSVITPDEESNTNEPWLDFYEFLINKTNDQLPQVISNSYGEDEQTVPP EYARRVCNLIGVLGLRGISVLQSSGDGGVGVPCISNDGKNHTEFSPQFPASCPYVTSV GGTQSWAPEIGWSGSSGGFSNYFAQPWYQTGAVNTYLDSGINLEAKEYYEAGAYANFS GRAFPDISAHSYHPNYAFYIADAAEEGAGTSAAAPVAAGVIALLNDARLRAGKPTMGF LNPFLYSLEMGPLIDVTSGTSTGCTGTTARTGKEIPGAGVIPNATWNSTIGWDPVTGL GLPYFKEMVKVAMMIV PFICI_03675 MKLNLLNLAFAVLAAPAATMPVEHSTKPGEVLADKFLLGSSYKR EEPSSKVDVKRNNEVDADEYDLRSAWAKRNNEVDADEYDLRSAWAKRNNEVDADEYDL RSAWAKKD PFICI_03676 MLSIVTIGVRVFALLFGAVVLGLSVTLAKQQHEGKPPSETSFGS FTGAFGIIASGIGLFALWFDKISPLVTMIIDALASIFYLAGGISLVLALKSVKSCTGK DAVSEVTRFTNKILNGGCTTKNGQLWCYVGSDADNDGIHPDLIGRCQRAQADYVFEFL GFIVGLALITLGFLAWRRGGSRTRAYA PFICI_03677 MAWEEPRKTGMVYRRLGNSGLHVSAIALGGWLTYGGHVEDEKTF ECMKQAYDLGVNFFDTAENYTAGKSEIAMGKAIQKYGWKRSDLVISTKINWGAVNGEI LVNNHGLSRKHIIEGLTASLERLDLKYVDIVYAHRPDRLTPMEETVRAFNYVIEKGMA FYWGTSEWSADEIAEAVGIAKDLKMIGPIVEQPLYNILDRKKVEGEFQRLYSRMGLGL TVFSPIKMGLLSGKYNDAVDAPPSDSRFGVSKDGFADFMRSQYGNEPWRDNIAKVKKL KTVADKLGVTQSQLALAWCLKNPNVSSVITGASRPQQIVENVKALTILDKLTPEILAE IDEITGNKVELDPARQS PFICI_03678 MPNNKAKSAPRTNLVKRACDACKIRKVKCSETSPCRGCVASGIA CTFKRLQATRGPRTLRAKTIQKISAAQTAPLDGFNDDNHHSLPVDAPVPGIFHFDAAR PIDPPRQQTTSLVHALEIYRQRLYPIWPIVNVDELMHALASNTPLSNLLATTIRLATI AQLRLETLSPDEVPRTSLEDHDNQMSLDVLRVAFFLHIYHENQTPGGGKSLLYLRQAI TISQMMRLERESSYDGLPETEQQMRRRVLWLLFVTERGVAMLHKLPVILKPAIILPTP VGDDQAHVLPAFLKLVNLFWMFDQSGIFDILQNSDSDLSNLTTTARGCLGLLQDHLQD NAIDYDSSNDVQKADIFVTRQWMRAVLWRAAVRFGVATMASSPIRIAKEFLNFMSHLP KTAIEAHGPTMEFKTYDIATAVIDAVKSNNSVNPADQAEEVLLGLQKILSSSRGGNKS LVASLYLRMASIAPNPVVDLGSSGRIEEQPEETEIDPNRSPFSGTLLHPSAWAELEEI LADTNILRPSGSTTPNYHSLDQQNQQMSWPPLDFSTPLVRTPSPLTRMVFEQIRNSNE EMGTSTAAQWQESTS PFICI_03679 MIQPCTVPGLSSLSPKSLKNVVLGFGLVLLVFLVGSTFPSQRLD KLNILDSPLSPSMSNVTTEARFKRPDGVNITGVVFYGRARLVDILDCYLQKNLAVNGG LLDKVLFMMNTDDKEDLAWLGDLADRVPQYEIVPVKRPNNSHGWGGFNLIWASLTDPD TIYLKIDDDIVWIDDDAVPRMIETLIKHPEAHDIAGNIINSPITSFMHYHQGAVRPFL PDLKAPEQLSPPTDWRTSHLPFYDGPLSEKYDWDPQNAKPNAHFSVGDKGGPPYENHR WLPLPDTSENILRTPISGASYDAFGMGWKSWAMGAQQHYSLLRNIEEKRLERYWFGDH QEGIWDMQYTRYNLNFLAIWGSSVAKALPGSDDEQDMTVTIPLRLKKPCLINTRALVA HFSFGIQTEISHTDLLDRYRLYANEHVCAADNQKARLPFHGGGQG PFICI_03680 MVNIFFVGGTGHIGGAVLDQLLQKYADAKVKALVRDEAKGSRLV AKYPSVEVIVGTTTDLELLEKASKEADIVVNTSPDITHDAGIKAILSGLKARGGPNKP YYIHTSGASLIWDEPTGSKDAHWWDDITDVQDIIGFKGEAYTHAVTDKIVRDGASDVN VAIVSPGFVGGMSPSIEHPTPITTPAIFLTARAFKSGWQIDQGENIHAWIDVSDLAKI FIILVDKATAELAGTAVSAPFPLWGPEAYYFGVGENISFADFMQGLAPVLKDQGVIGS AEIKSVSVTEAARASIAGSDYDPDAPPPALDSWAMHIAIMYGINMRLKPSRAEQLGWK ADKGSVVESFPQVVAEFLKNEKASA PFICI_03681 MDSTTFSALLAEAPSKPGQHDQKQGQQRAGAKRSFQQGGQSKKK ARKRERDMKSGSHEEVLALDIEALLASQRSPPPTEGNAEEGTAVEEALPQEGSEIELE VVEISSTGEGLAQQKGFKQVYVVPFVIPGDTIKVKVYRHMREGYTVADLISVVKPAPT RDDSRIQCKYFASCSGCQFQMLDYSEQLRLKKRIVEKAFRNFSQLPPELVPAIQDTIG SPLQYNYRTKLTPHFDGPKGWFKKKNVQPFESRPNIGFTPKGGNKTMDIEDCPIGTDA VRLGMKLERARMEVEYKKYLKGGTILLREDTKRVPKTASPSRARSPSPENSIKVEGEN HIDYKTCITDNNGTSTEYIDDFVFKNSAGSFFQNNNSILPVFTEHIRQNVMPPTPDAS KPIKYLIDAYSGSGLFTITLSSVFQSSTGIDIDAKAIQAARKNAEANNLPPTQANFIA ADAGELFKNVSYPADETVVILDPPRKGCDANFLRQLLQFGPKRVVYVSCNVHTQARDV GMLVRGEAGEGEAVAATPGQKQVRYEIESLRGFDFFPQTGHVEGLALLNRVEIDTAAV AESTEAPVAA PFICI_03682 MQQSLSGSKGGVASESETCSQIGIDLLARGGNAVDAWIGTQLCV GVIGMQHSGLGGGGFSLIRDQDGNYTVIDYREAAPAAAFQDMYLGNERGSVFSGLAAG VPGELRGLELAHKRSGHLPWKAVVHPAAHVARYGFKVTEDLVRYMDAAVGYAKWNFLV EDPSWAIDFAPQGTLVKFGDILTRKRYADVLDAVAEGGAEVFYTGAIANYTIAAIQAD NGTMTLEDLADYEAIIRPSINITYRGYKLFSSGAPSSGAVCLSTLKTMETYNTEDTTH GNLTLHRFIESMRFAYGAHQQLGDPAYVNDIGVLEEVMLSAAGANATKAHISDKTSRP VEHYLANPYYSQGSHGTSHIVAVDASGMAVSSTTTVNTLFGNLIMVPETGIILNNEMN DFSIPGKTNEFGYAPAPSNFIRPGKRPLSSITPVIVEHPNGTVYVSVGAAGGSRIISS TTQVVWRILEQGLNMTGAMAEPRFHDQLMPNTVTFELAFNNQSTANMAERNHNVTWVR PGGSAVQGVRITADGFEAVGEPRQKNSGGLSL PFICI_03683 MEETPVSDIASKEEIAKLDNLSQAQLMLAQLMEGGQEDDDTCQA LDKLTTLLNKDAEAAAKDKSHKSICSIIDEVCVDTLFSYLDMRQSTAVRGHAILTVSS YFKASGDAGPKALTHFFFNRIKRGTYDDYIVAFCVASSIFPISPDMVTEMFLTEGFLP SLGPLMRRKWKSRKVETACLDMLNAASMNSVCREAIAKYCTEWLEEIVDEDPTELETA VHAVDTGVEGAQGSIAMKRHSDAVKNLAAVILAKLKAVPTTTDQQQPGELGKDRVALA TTSIEDLSKKFTTILLADEEQEKDHSVEGLAYATIQPSVKEEVAHNPQLLKNLVKILA AATPKSPVTYGVLSIFLNLTRYKPPQSDEEKKMSQLKAYANAGGKLAAPNPLNDDAHT AARCKAVFEANLVPVLVTHSRNGSVASLSIIISIIASLSVATSIRGQLAQQGAVNLLI AAWTALPETEGTAKRTAAQALARILISTNPALVFGGTRARPQNSAIRPLLSIVPPDPS AETRDLLPTFEALMALTNLASTDDDSRTTIIRTAWPEIEEQLLSSNNMVSKAAVELVC NLVQCPEGIMLYAEDSAQAKNRLHIMLALADAEDEGTRSAAGGALASLTSYEPIVKAI VHRDRGVQVILGLCAEESEDLRHRGVFIVYNLISCEGETGKMARKMVRAENGLEILKG CAKKSRRAEVVEVTVQALKVLLEENDQPQVWEVD PFICI_03684 MNSIDGSAHYEVEATGQTPSLLTLIIDTNPRAWAALEDTIPFSK AIANILVFVNAHLAFGNDNQVAILAAHSHRAVWLYPKPPKNVRGGGGGDQDGDVEMSG TMNGQQNGGGGAAVTSANKYPQFASIEASILSSLRTLMAESSSTDLPSTTLVAGALTT SLAYIHKTSLAYAPPKQSTDPANPLTTASAVSSNPTLHARILVISVSDSDPAQYIATM NAVFAASHARIPIDTLSVSPHASPTFLQQAAFITNGSYLSCASNPTGLLTYLMFGFLP DAEARTHLVMPSQDSVDFRAACFCHGKVIDKGFVCSICLSIFCEVPDGAECLTCGSKL ALGKFGAKPVVVPRKKKKKKKINGVTGREETGSATGTPAPA PFICI_03685 MSHLSEDGSSPFLTSSLGKRKLGKDHPISPRPSQHDTTKAEQPA NGENSEMSDDSPTRIIHEDLDDPIFSHDAETPDWYREPGSHVWWSDGPDERSIHAKPA VAAIFIHAGAGYHSTTNERLHLDACSDAARAAMKLLKLGASAVEGVEAAIKVLEDREI TNAGFGSNLAIDGTVECDATIVDHYGRSGACGATPNIKNPISLAKMILLESHKALSLR RVPPNLLIGEGAKDFAVKHGMPLVPNEWLVSRNAFDRYERWAKELKSAEDALGHSTPA LDVSSSTDDTLHKGHEKRDHTNAIATGTWNEGQPDSPAPATPSDSRIMPMTPSALKAL PPVLHNSSSPRSSTKVSTDRSPLSFFGSLTSPRSNSGGTNPGSPIPKKARVKRGMSKD GKSEMETGDRPSSVHSPKSDQEISDTANQELIYEKGEDKITDTVGAIAIDQWGNMAAG SSSGGIGMKHIGRIGPAALVGIGTALIPRSDMTDRPANERDTTRKDKNKAAEPADWKT VAVVTSGTGEHMAISQASQKCAERLYYETKRGADGNDVHEEDETILMENFVVQDFQKH PGVLRTPSASAIGAMAVKQTSRGYYLFFAHNTDSFALASMSSNDRQPHCVMSRLGEGS MGVAQGSRKIASS PFICI_03686 MFIGFAGNDSPSFVFPTAIATKGPAAGAAGTGSGRPAVANKPSF LTGGAGAGGHLSAKRGTEDLDFFIGDEAIAASNGPGYGLHYPIRHGQIENWDHMERFW SNSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIFFESFNCAGLYIAVQAVLALAA SWTSSKVSDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIPIAGRDITYFVQSLLR DRGEADSSLKTAQEIKEEYCYVCPDIVKEFSKFDRDRSRFAKHVVTQPGGRQVSVDVG YERFLAPEIFFNPEIYSSDFLTPLPVVVDGVIQQSPIDVRRGLYKNIVLSGGSTLYKD FGRRLQRDIKHLVDARIRASEVRSGGARSGGLEVQVITHKRQRHGPWFGGSLLGQTPE FRSYCHTKAEYQEYGPGIVRRFALLGGPGGS PFICI_03687 MDFREPLKSPLLKSRPGSSRKRESFKEKNNIVIRKSWDNDTGLN TITPTSGLDLLIRHALARSNTPAYFDEHGNPVLPPASPLRRSMQPLENIADRMRQKRK SWYDGQDEDDEDGGEQGKGVVPSRLLALPTELQFLVISHLELSDLERLRRTCQYYRFL LSPEYVRALFGGRRKLAWHMTGHCQECLESPGRDKLILQIAPPPRASNNNRDTTNTII NDNNITINDNNSIMDDNNIIDDNDGNLRPPISRREIYLPSSKCFTCSVRDRDLKIGVQ YQLADGEGLGAWACRWCGWPVAFPSSRYQFHIACYDRYYKVLWRFMYLGFAQLTVGIV AAALSLVYFRRELVVFVPTVINFVLLWICLTFLVFRGNRIRTYHWVGILELIIMSLWI PPIYTVSQDLKRNTSGKTFASSIAVLTFAAINMLFRLLNVIGNVFLMLHYDMTRHYVP EMTIKDRLLNFIMTGLIFWTYPQSVEQRYPPHFH PFICI_03688 MPSRRVPPERRKRTEISCDKCKSRKQKCHRPPEAPEGVEHAPCR YCQTHGFECVTTQLRKKRIYASAEGLGTRISLLESLIKGLVPEADTSSIEGMRALGAS LGIPLPPPEESPLPLDSEESIDQTSQSQQEDVPVLRDQQGQTQYIGPASSYVFQIRIR SLFAIAGGQPQDQQGQFFLFGRNPTEKAWVGEVSDLSREMSSGKSPMTIASVSSPVVE KNNLTAGTATTASPASTMHDVFDGPVPDTLIAAFFDQIHADFPVLHEASFREEYERFC SDPASLTTDADPTWICSLLCVLILARRIAPIDSFSLKQGQAAEDRWWRKVQALLPSVV FTSSVSAVQALLLAALHLNNTNHKDSSWTLTGTAVRIAIAVGLHREAKAPLHTPLARE LRKRVWWTLYQFELMQAASLDRPSAIDDAACSAGNPRQAILDMGSSDSMAYSNRLLVL LSQACRVVRSINNTSEVADESYSGPLSPAAALIRDLRRWKESLPRHLCLEAVNGQQPS SQRSILLLHVQYHHVLCVLSRNAMLGAASRIFSPEPTASPPLSQAGTTTLSDVCTEAA QESIRILLRLDSIGCFDTVTWWDFYFLYQAALVLVLNIICEARQHALSSSYIPRWSIT SRALLANCSDFSAKILENPLVPGTTRRYAVVIQDLNVMSRNFSGGNIQPGNVPPAQHA QQPQPEPSNHPAYGQPIPPQHPQNMPDMSQIMPEQQHGLPGMYMSQGPAGIQFTPDYS GNYGYPDPSWGWREGQWNDIAAMLLSNEYGHGQGGMQH PFICI_03689 MPALKLTEAERPFSVAIIGAGIGGLALAGGLLRTGVPFTLYEAA ECFSAVGAGVGLGPNAIRAMEAIQPGFTELYQGISSGNVTPGKDHVMMDAMLVEEGLG EGRGLRPMSYGAPCYMRTSAHRKDLLDIMTADIPEECVRFSKRVKSLSQDDARGAVIE FEDGEIATASCAIGADGVKGVSRGVVLGDRWPEYVRARYTGKYVYRAMIPMEDAMRVL GRDVDGNEIAGDAKMFMGDECIITTFPISSGTKSNMVCFRLDDKPWTHPEWTKPVSRE EMTRDIADLGVDKRLVKLLDWAKPLRWGLFHHLVTPTYFNKRICLLGDSAHATLPHQA AGAGQCIEDALVMSRLLGLVRDATQIETAFSVFDAIRRPRAQRIVQTSQEAGDLCAFK APGIGSDMDKIVENQAQRYLWIWLHDLDEDVKKAEAEFNVLAGGLASSSAVTGDNEKQ K PFICI_03690 MPKKTDRSDGPLVVDVHSHLYPTFYLDLLKTRTEAPYVKDNKLV NRASAAGAGKPILPILHDVGTKIAFMDAHGIDVSVLSIGNPWLDWLHGETAGPVARDV NDQFNRLCAESDGRLFFFATLPLGGSMDAILAEVARLRELASCRGIVIGCAGTGDGLD DASLLPMYRALAAAGLPVFLHPNYGLPESVWGPRAKEYGQILPVSMGFPLETTIAMTR LILSGVFKDVPDLQFIVSHACGTLPFLAGRIENAIDHDRQWISQGKASAADRETVWQV LRKNVFMDGIVYDQLPLKLAVAAGGADRVMFGTDHPFFPPPTGPSGADEMWPSMWSNE KAVSEGFGRDSDVYKQVMGENAIRVLSLRESLDHAGCDC PFICI_03691 MAPTINKNTLTLLFTFFASVQSAETVWSVFAYVNHGERTPLRDL AIGDGSLTPLGAQQMFSQGSLLRNRWLINTTQSDTDSNNTDNAPIAAVGTAAIDNNQL SIWTTRDDHITAGAQAFMQGLYPPKTRNFADANGGIAAAMLANGSVVDFPLGGYMYPN FRTLSLSDTESVWLEGHTACTEYWRSTSNLKSNPRMTQVFDDSLPEYQLLWSTVFNGT FPANAASFYYAYDLYEYAAYHYIHNSTIRNTLDINQLKWLRDFANIQQFSLNGDLTAS GRKDGDMIRAIAGRTLSAKVVSQFQQLVLLKGGAPQINLMFGSFQPMLSFFSLSELST GHSSGLFQEIPGFGGAMIFELFSEGTDATRFPDTDDLWVRFLYRNGSSEENPIYEYPL FSHGNSETRIKYRDFVSGIREFSINNIPAWCTACDAVNFFCSASRAASGGSTSSHNHK NLDLSPAAAGAIGAAIAIAIGAVAAVLLFVFGFGIQRRSSGRNSVFGGFRGQEKMASD RDVSITKSGAKHERVGSWELGAGTSSGPTDGPSMGITKGSEPVIGATHLRDIDDDGDS LDIGRQPIKPHETV PFICI_03692 MLLLSAVILLLNVHISLAKFIRTYPRPEKPAFHTLVAGKPSKGA GDPGYIKSSLGTQFVDSRPSNRSTIAQRDGREALSEFQAGVVYMMDIDVGTPRQTLTV IVDTGSYELFLNPNCDRAADQTYCAAAGHYYPSLSSTAKNLSSRYYVSFGTGGYVGSY FSDTLWFGDDYWPVSSLQFGVSDDSDYVWAGILGLGYGSRFNTNYPTLLDLLVSQGYI NVPIFSLSVGSQGNGDSEIIVGGVDSHKYIGWLEPLELYPPPETQEEEWKSAQYWINM TSFGYTLPGGSAVTMTANGFSRIMMVDSGSTYSYIDADLVAALAKQFSATIDDSGVYY VSCKYLDMDGYVHFGFNNGAMVINAKYSDFIVDFGTRCALGVQPADAGVNTWVLGATF IRSAYIVFDQLYDAIWLANYQPCGGSLVTDLTENAGNQLWTELYGGC PFICI_03693 MVRSRYLVLCALAAILPASAHSHDEDPAQKPLALSANCRHPAYK SHILSKSPLVIYLTDFLTAEERQHLTEITKDTFSHSAVADGTGAEGQRETRTSQSTNV ERDDVVRCIEERALLFQGFDVPRSHLEPVQLVKYAQGERYHYHTDWFTNAAVHASSAL GGNRQSSFFAYVAAADNITGGGTNFPMLDAPYNQKWCDFIDCDEAYDNGVTFRPVVGN AVFWQNLHEDGRGDQRNLHAGLPVTSGWKIGMNIWTRQGPLSEEIRGVDV PFICI_03694 MRINTIKAVLFLANTALVAAWNHVDEAGFIRAVGGHNLALVAFV EPSSAASQALESEWEKISESEKTLSSIDCSSLAQLCKDYEIISYPTIRFFDGHGTITP YRGPRTSQSIISFLRRAARPTLTQLDEKTITAFQSIDDAVIVAHINPRDDHIQTLYKS LAYRYHDRASFGLLETDETSTIVCYNNKDNEQSTTSDLSAIDTLSSFVEACIRPLVGE FSRRTEVKYAQSGKSLVYFLAETREEREEYVEIIRPVAKKYRDFISFVTVDALEYAPM TKVLGLPGRSFPALAVENPARGQIFPFTEDEITAELVDEFIVDIAGGKVKPWAPLPIP DPVSHAHDEL PFICI_03695 MHSSSILLTVAAAFLDLTSAHGFVNGIRVNGGTWVPGADPVWYY YTAGTSPATVGWNSLNQDLGFVSPSAYQTSDIACHKSATAGQLSVSANAGDTLSITWN TWPSDSHKGPIINYIAKCSGSCSSASASSLTWTKIAENGYNSGVWATDGLTSSNYTST LKIPSKLAAGNYVIRHEIIALHGASSDNGAQNYPQCFNVVVGGSGTVSVPTGTAGTSL YTRTDPGILFNLYTSFTSYTIPGPALWTGAS PFICI_03696 MSDYEDEMDVDGPAPSKDITFSSDNTAKGKRSAANLPVEAEDSL PWVEKYRPVSLTDVSGHQDILATINKFVDSNRLPHLLLYGPPGTGKTSTILALARRIY GEQNMRQMVLELNASDDRGIDVVREQIKTFASTKQIFTVGASARPGGMASYKLIILDE ADAMTNTAQMALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKEADIRV LVDKVIMEEDIKITSDATDALVKLSKGDMRRALNVLQACHASSTPLQPKDGPKIAEKD IVRDTITIQTIYNCIAAPPPDAIQKIAETLLSTSDVSSCLSTINTLKVANGLALADII TALSEILTTMDVKPEAMIRWLDGLAEIEYRMAGGGSEAIQTGAVVGVVREGCELIG PFICI_03697 MMNKTIVFLLTVGGLTLSHGAELSAATYTATSSVLAASPTASLD YAQYEGSRYAAGVDAFLGMRFAAPPVGDLRFRAPQDPLVEADGQEAKEFGPICLGTDQ SLSSSVAEDCLFVNVFKPSNATTKSKLPVWVYIQGGGYATNSNSNYNGSEVVQKSDYG IVFVNFNYRVGLFGFLASEDVRQNGDLNVGLLDQRKLLYWVQKYIQQFGGDPDHVVIH GTSAGGGSISYHLTAYGGRDDHLFVGAIAQSPFWPTAPQPEEMEFQYEQLLNETGCLA SDDSLACLRSLDTSSFVPFDVEGTFPGASSVARWYWLPVKDGDLIRDDKWNMYDRGEF IKLPLLVATDNNEGSYFVPNASTSSNVTAFFKDNYPKLNDTELQDILDAYPLMSPLPK HAVWFPSVSAAYGDATFLCPANSMAENMASYFSPDEVWQYRCYITTASNVANGLGTPH TMEMPAILGTSLQSSVDSTWFNENAASVPVIMHYYISFIKALDPNIYRYKTAPFWESW GSDAGRRLHLETNATCMESVPQSMTDDCSFWDELSDSMEV PFICI_03698 MVSRASLSNLRVSIKPEDPVIVKDASPLSPRPLSTTKASGGITR RRLRASLPPSPVGSRVPPPQVPSPWRWRCCSCRATYDLSVTQRCLLCSHTFCSVNSQA ASFSARSSGGGSSSGGIGRKRTSNTRKRRVDRSGNPITCTTEFDYEGWSAYGAWRRRV TGTQITEDQRDRSFLLRAQDDWHHCDFPSQCAHRRRELHPVWQDILLSDTSSSDEDKA SSRRKDGEKQKKSKKSRRPSTALSPDDDLVMNEAISLDGSGRPSKQANTTNVTTLSDQ QVLALFDEDDDDSDDGSVKPLEHMSSHQGPKTQLRVRNHAEADWENFSSDSDSGDDDD DDDDDDDEDLVDTDGNPVKWRNSAKGADNGVYQSQVLLVKAANSFWGATDF PFICI_03699 MGLKFRGGLIGPKNIGHDVTLEEKQNDTEGQPQGVLGTDNNKEL DPTVQPAGATGSSTSSVEEKKDLQFGVQVAEATLQVWTREHLIAAYIMMWLINFVNAF ASGVAGTLTTYAVSSYSSHSLVSTTSIISGLIPGLTKLAYAKYMDNFGRPQGLLLAVS FMTIGLVMFAGCNNVETYCAAAVFYYTGYSWLDFTITIFIADTSKLRNRAFSIAYISS PWLITTWVYGYACDSILDGMGWRWGYGILAIVVPVVCAPLGALFYWNQRKAIKAGLVH VKPHGRGVIQNIVFYGREFDIIGILLAAAGIGLFLLAFSLYSYQTEQWKAPLIICFFI FGGLLIICFVVWEKYFAPITFIPWYLIKNRTIFFTYSMMASIYTAWYVWDNYLYSFCI VVYNLSVPHATYMGNVYTMGSTFWAIIFGIFLRYNGRLKWPAVFFGVPITVLGVGLMI KFRQPDVNIGYVVMCLIFIAFGGGTLVICEQMTVMAVSKHKNIPAVLAMEGMVAAMGQ SIGSTIAAAMWTSIFPKKLAEFLPADAQSSLSDIYGSIDVQSSYAVGTPTRTGIENAY GATQRLMLITATCMYATTWFSTFMWENINVKEINQFDGAVMW PFICI_03700 MNHNEEPFHFDQAMGPFANDNHSVAVGPSLAFNEFASMTNTFPP FGPVPVLCAGGCGIAIQLATSPWCDACLPPTPCTRIGCSNTVSLLQDGVDVDVCLECR GLKLCAGQGCATVLSAQESGDLCASCGYIAPCAARGCESMVPAGRDWPFCDLCTKACA TDGCPGDAAMGLFCVDCEPNAYAQLQAYVQQEQQQLTYGEYNANIMMEDETPLFETGL DIFIDSPSLILTEETFDSPLEHEFLSNTQDIGFTGIAHPQLSMCDETMFPPVEYQVST GSEERVVPLDLLISPFSPPETDLAALSSQCVRCRSAFIGEVGRSYCAQCNSEALVVAG EEDITDPIPFMPHDA PFICI_03701 MAGGKGKSAGGKSAGGKTSSADGPKKQQSHSARAGLQFPCGRVK RFLKQNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIR GDEELDTLIRATIAFGGVLPHINRALLLKVEQKKKNKAIEA PFICI_03702 MATLQHSPIHEKWIHVVKEQQRTIVAKLEEIDGQKFHVDEWTRP GGGYGISCVLEDGNVFERGGVMVSVVHGTMTGAAAVAQMRASHASIPEGVEALPYSAV GLSLIMHPKNPLAPTVHMNCRFLETFDPETGRVNLCWFGGGADLTPCYVYEEDARHFH ACLRDVCDRYNETYYPRFKKWCDQYFWNAHRNEARGIGGIFFDDLEASSEQELDSLFG FARDVLQVFPEAYLPIVEKRRHESFTEAQKQWQQLRRGRYVEFNLLHDRGTKFGLAGS SPRVESILVSMPLTASWKYYHEPEAGSREQQLVDVLRTPKEWV PFICI_03703 MNQQHEMYYDYPTASNRSPSSTRQGYATVGLSGLGARGQRPIDS MAQAPSIYGDDRFGGASGYDTMSRIDRLAPNANYMLENSQTWAYNGGGAAMNGPLNGN SRLGSRSSARRPGLPTTWTEQPAPPMPSMNQYYDHNMPGEHDIGSITDHRGLNTPGSM DEQLIPTAIVIKNIQFQCRKEILQGLMASLNLPQPYAFNYHFDKGVFRGLAFANFSTP EDTSIVIQKMNGLEVMGRKLRVEYKKMLPQEERDRIDREKREKRGQLEEQHRAPLPQH QQTALQALGVSVNKQPNGSPIRKRSLHSPIQHAPARSLVLGDIDLNNPKTLEFYTELT MFQRDQTRETYIFSPDISPEERRQIHILAHNMGLEHHSIGENDRRQLQISKKAQQSPP MHAPHQLPPNVSWEDHRRGLSRAATFDFAESRIGAGSAYHTIGRQGPTLELPNSLESG IPNNLRAAKSFADLRTHSPSPAPSGSSYAGIGNGLGASRYGDYGSLATPPNLTPTSGQ NPGASTDTLLSGGMGSLSLGYDPTSSHLRSTPGAIGSQRPVGGNTSAPSRAAPDRQPR GPEWGEAFNSRNRGHMQRNSGKLESKTQSFAAFGN PFICI_03704 MTSPLKNPGKRVCVIGTGVLGLVAIKNLKEQGLDVTAFERNDEI GGTWNTASGKPGQVTATELTTSNTSKQVNCFTDFPFPDAAATHPSSKDIGDYLVAYAN HFDLLRHIQFSTKVAKAERDNATGQWIIDTESFSTQGAPGTQKHTFDRVVVATGIFQT RKMVDIPGIEKFQGDFVHSREFKDPHRYAGKNVMVIGIGATGADTTSFLKRVGAAKIY LSHRSQTYLLPRVVQGKAFDHSMSYRMGCIMRFISGWTPKAFLTLMTKGMQAAQQKAF PWLKSHTSFSAPRPLPGAPMLYRIPIFSDDLAHNLRDGIVQSVVGVREVTGPHTVMLT DGSTVDNLDAIVVCSGYRYDFSVVPGAGNPVDPAKATDGYRRIRAAPHYEDDNPFPRL YRGFISEQFPDSLAFLGHLVIMGPAWVTYDLATMALASLWSGGGGAAPAALPTAAQIE ADIEAHYQAMIDALHVDKVTHLGIRMRGGETFDWLNNTAGTGLPLLLGSFSLAACKLW WRDRRFYNLLMDGLNAPAVYRLFDNGGGGRAPWPGARAHIEKVNAEVKEMGDKWRQEE KAKPH PFICI_03705 MGFQSARALGLLALAAANIVSGQDSTKRDSIPECTTLNQRKAWS ALTDDEKSAYIDAELCLMALPPTIGIEGALNRWDEMMYGHIVQSNIIHGVGAFLPWHR LYMRAHEIILQTECNYTGAQPYWDELSDTQTGTLEECSILDADTGFGTGDLDDDGCVA NGPFVNLTMHINQTSNYADYCLTRDLSDNAFTWANSTYLDECMATDNYEDAWNCFVSS PHTAGHGSIGGTMLDVVASPGDPLFFLHHTYLDRVWWQWQSMNLSSRLTDMSGRNIPT QTYLDQNDFDYPGTDVTDYFGDGGGNVTTLNHTLWMVGLIPNATIADVMDLGGDLICA EYID PFICI_03706 MSQESRIPDVYGPGSYTDRTFVPVTQDTERIFRLIAAQTPGFTQ DEKLLSKVKFVGEDFPVIPGPLKAVSVAASLHAMAGVVADEILAIRGVKDDNRQITVN TTHAAFWFGCIATAFVGGEDVVSLTKQGKLKQILPDFEQGWTATPLRYRTTGLYPTAN PDVWYSLHGSMNAAPVLRSIGIDPETPVKSNQEAAELIAKHTSQLTPEKLEFNNLLNG LCGSVCFSPKEWNESEMGKSLAAHPFVNVKAQTQAIPTAPVAFPPLDPSDKRPLAGVK VVEMTRVIAGPQIGTMLASFGADVIRVNPPHLPDINIMQLTLNAGKRTIGIDLRKPED AAILQNLIKDCDVFIQGFRLNKMPKFGLGINDILRMAGERGRGIVHVSENCYGPDGYY AERPGWQQIADAASGAAYVTGRSLKLAGSEAVLPSLPVSDMTTGVLGALGTLIALRDR ATKGGSYSVHASLTAVNTFALKEEVGLYSPETVAECAERFQWEEMRGSHHVLDLLLTV WNGWKRVLGDKLEENSGWFQTFEQSAYDGGKRLSILNPVVKLSDAASTPKWSTPSVPY CQEKAEGIAFH PFICI_03707 MSAIQSVAIIGCGAIGASWSALFVAQGLKVKAFDINPAAEASLR RQVQDALPVLSSIGLLKNTSAKAEDVIFTTDMAEALRDVDFVQENGPERLDFKQKLLN DMATHLKPDAIISSSSSGLTCSSMQEGMKPESRPQRLVIGHPFNPPHLMPLVEVVGGK QTDQSVIDAALEFYKNMGKKAIHVKKEVVGHVANRLQASLIREVMYVLQEGICSVSDI DDAVSYGPGLRWGIMGPSLLMHLGGGERGIEHFANHLLGPLQTWWAPTDPVVNDELKK KYIDGTWDTLAGREYKDLTKQRDEELVRLLNVRRDWDSYAENAKKN PFICI_03708 MGSIALPRLYILDTDLSKFPNQDNGRIMSCNTDGSDLRTVVGNM RTMPDGIAIDHRHGHMYWTNMGPTFKSNDGSIERANLDGSGRQTIVPTGTVGVYTPKQ ITLAAKSRKLYWCDREGMKVMRCNLDGTDVEVLLSTGSTDEDRKDMLKWCVGIAVDEA RGYFYWTQKGPSKGGQGRIFRARVDDPSAIEVVFEKLPECIDLEYDEDAETLYWTDRG DPPSGNSLNRAHIGSDSLQGAKREILATRLHETIGLALDRRTQRAYVTDLAGGVYSVD LKTKTKTVLFPELGDTTGIACYQH PFICI_03709 MAGTRSSRPGATSFIQHSIPLPRSAYEGVEYFCRFRPRIHRDAI LADAGSWQCQVDFFGSSATARADSIRNKNHTSYAVGCINPVVGNFTALCACEAIPDRL ALTTYMVEYAYIHDDVIEYAENKDEDRDNVRRRQLQAKMAVELMDIDKVKGKECLRLW KEMSDVFVQIRELKFTKLDDYLTFRVIDAGCPWTMSLLCFSMDFTLNDDEVEKTAAIT SAAYDGWVLVNDYFSWEKEWKNHQANGGSGVIANAIFLFMRWYSVDAVEGRRMLRKEI LAREEKYCKAKEEFLVSGNVTDKTSQWLELLDHVTAGNFAWSMTTARYQLGGKDAYPA LRAANTDNWETSTTDSLSNPISHNADKIARKINLIFKEQKFLDARGLVNHTEDYPPIV LTAQVSQPDETPEFIHSQVTQARSFTQYEKMILQPQNYLESMPSKGVRNSVIDGLEMW YQVPERSLATIRKIVNLLHSSSLMLDDIEDNSPLRRGLPATHTVFGISQTINSANLLM FKALKAAESLSPAAVRIFIERIIEGHIGQGMELYWTFHTEIPTEEEYFVMVDGKTGGL FILLAELMRSEATRHKDLDTSLLMKLVGRFFQARDDYQNLESAQYTQQKGFAEDIGEG KLSLPLIHALGSKTPQRGRLMSILQQRKSTVDLPFHIRKLALDDIKATGGLKYAKKMA MSLQDSVNETLTQYEDKVGAKNWILRLVQKRLELEV PFICI_03710 MAFKTFLTLFASATAVLAASRTTAPSGCLHVAKSGGTYTTFQAA VNALSTSSTADQCIFIDQGTYTEQVYIPARSAKLTVYGYTTDTTGYASNGATITYNNN AASAGNNDASATVRVWSDNFKMYNLNIINSYGKGSQALALSAQSSGGYYGVSLYGFQD TLLANEDQQYYKKCQITGATDFIFGQRAQAWFEACDIRVRNGGYYITANGRDSSSNPS YYLFNNCNIAAASGESVSSRTYYLGRPWRTYARVVFQNTAMSSVVRTEGWTVWDSSTD TSTVYYAEYNNSGDGATGPRVSWAKTLSSAVSISTVLGSSYSSQGWYDSTF PFICI_03711 MSTNGEQAPLEGASAPEFFESIGKKADQLNGTNGQDDEAEPKAV EQIESLCMNCHEQGVTRMMLTMIPYFREVIVMSFSCDKCGFSNSEIQAAGVIQTRGTR YELRLTDMADFERQVVKSDSAVVKFIELDVEVPAGRGQLTNVEGLLTTIIDDLELGQE ARKEQAPEIYPKVAEIIAKGRSMLAGESFPFRVSVDDPAGNSWISPDMKDGVGKWAKR DYIRTPEQNEALGLGHVESVIDATQTTAEEDIIPDQVYAFPATCPGCMKPCSTNMKMV DIPHFKAVVLMSTVCDECGYRSNDVKTGGEIPDLGKKISLKVESNVDLARDILKSESC ALECPELQLQVNPGTLGGRFTTVEGLLTQVRNDLHSQIFEAGDGGDSLAPTEKSRWTE FFEGLDAAIKGDKKFTIILTDPLASSYVQKLVDPDQEDPQMTVEEYQRTDEEEEDLGL KDMKVEGYEEEHQTNGTS PFICI_03712 MATNETLASSLSFMTDAAHLIAQTAPETSAYLMSQRNGLMFHSD LQQTDAQRQRVCGSCGHIMVLGSGDLLKVESDKTLRAKRSRRRREDKPPSSSEGTKHK ILTCANCGSYTDINVPAAPSLLRNRIKLRQAARKKTEPPKRENLPVTHFPPPVITEPT SNAVSANASSKKRAKNRKQGLQALLQQSQSSSAKSGFGLSLTDFMKK PFICI_03713 MCSHICSVVPPYLLQSIAEASHNPQHVREAAQNTLLVQKNLLDT RKSRSLSRSNYNLGHGEHQSIVPEQLLEHIANSSAVDDLTRANARRDLQHIRDTIAHY QLSQQDFIDQQTSNQGFLGIGRARQQKATGTFHRNVYDAGNGTKLPGTQVRAEGEQPV DDEAVNEAFDNVGTVLAMYRDKFNWMSIDNHNMVVNSSVHYGNHYQNAGWFPQQQQMV FGDGGELLWNFTKAVDVIGHEITHAVTEKTSPLAYLGQSGALNEHVSDVFGIMAKQLS EGVTAEQADWLIGEECLMPGTKGVALRSMKNPGTAYDDPRLGKDPQPDNFQQYKELDE NTDHGGVHIYSGIPNRAFYLVATKFGGNSYEKAGQIWWNTMRSGRIPPLCKFLQFADV TVEVAEELFGSADSQIVRDAWNKVGVVRQI PFICI_03714 MGINFYLSYQWLHWTRPSGPPSSLPNGIERTFIKTAGGDIELLS AKPSEPISKTPIVFAHGGMGSAWVWIPYMKYLQERGITSYAVSTRGHGESWHPSFFRM MYATTKRMLGDDLVAGIKAVERMEGSEVVLVGHSSGGGLSQFIVNEGDVKVKGLGLLG AVPGTGSYHVYYNWWRFDPWFSLRMIFHGWHSNSPLSHPFLTRQAFFSPEYPDTKLLE FQRHLNRYESFLWPLGMLLPFIDAKKLVSNIAGWGKGDRILIMAGTGDKMMTKEVQQK SAATYREAVEEMAVEKRIDASLEKVQLLGGDGERDNSGKGVRLAFVPGAGHHVQNDVQ WKIGAQKLLEFLRQL PFICI_03715 MAEQSSTAAGLSSPAADSAILTETLTTSDDKHPPHDDEGMSDSL RAIASTSQEPQSSARNMSLSTKIKYPAAGVSSDPNQGLVPNPPEETASQITSRSASIA SLGNSLIAAILSEDEENVKGCLHKGANVNMDVGFGFGTTLHLACRFATYEVVKILLDA KASIKSRDARENTALMLACRSKETGTEGLVQRFLDHDKHSFDREKDSTDHHKDPADHD GDLAVNFANNHKSTALTIACQWSSSVVVEKLLAGGADIDVADSDGDTPLILAARYGDK EMMSHIISYHYKKHPKDPSRFYEANGSGENAFYSAMLNKDAKRRASILRLLSDKIPSN SDELGDDWKQTLLYAASEMGDEYAVHLLVDKEHASIVAQDYRGYTALHLACLNGHAGI AKKFLKLRKGMEVAQDPLDRSPWYMFVQFYIRSWDPSQASNSDEIKKFASIISDYVRL EEMIYAMVLVSRLEMLHQLVELMSPDALDHKLYELMWSQQGRSEHQSRINQELKDQYL KDQYLKDQYLKDNLYWLKPETPLQWCTYFGKTSLVWSLLRNPISSNPLDDMEKARRIY DYISVPEQTRPDWLDEIWWNRMHNDGSVMLYPPESYPRRPKILQQTTSGRDERSLELE KILEYEQIREMLYDPPSVANIPDTTYRIPSLEKAHDEQEARESTAIILDFYEYDKGME LLRRSRAVHDVIYASANEAAGGPEKIMNRARKAASEMEYRLDKLLMRWIHLPANKMMW MEDLAMRVYKDKSRSQQDWFSLKNFLWSSWHQPMMGTSGTTRVNAMCLKAGVPGRLRA STATKTAVDSKGTSKNKSANTTLNTDSGKLPYVTLAYCERKSFGETTEHETPSRDNNA RETGGTNAATRHSNDIEPRSEVNDEERRNRKSSNRVNPPEPSMSQAAMSLDEFYYNFG DIDRRNENQVITRCFLHDKQQEGKTPRNRQIRNGDGVPDNYKKWPYLVVGQLWLCIID EDTVVTSTHSGDGVRDYILETMFQQMREAKLNNPAPAQPLSVKNMSQLLIEFCVDFIE DLTWNRLFRENNDWQDTSAASKSVLMLFGERLNQVAASRKSLFDDFKQRMADEQKIND SKVGSLLPQAIDRLRGIDKRTQPTKHETHESALQNDAAHSRAIQTTAELLDEVKDILE ELTILKGLVKQQQNVWERFVDKDLRSSNSRGPGAVYEKVTEMIDTSHSIHQAVNDLMN LELAEESRKQTREAASQGKTLMAFTIVTVIFTPLSFLTSLFALNIVDFQHSADGQLEY EPGWIYPILFCVAAGVIGPLMLYAAHDGLRRVILQVAQKIGTSYENLMLKIAFARKSK SDLEKGL PFICI_03716 MASHNLPVQPRSQARPNPYPPRGMTLLPRDPIRVATRDPDPDSG EDFTLLSRDSGSPDLMSGAIPDRTTNGIPSHTENSSPVPMQTNDSVRTGIDDSVTEEN DENDDDDDDDGTLPEDFSFWHVCIRCILVMNADYTPSSARPLWPKCCFGDDYFPTICK ACLRTGSRCELPPRGLERETTILMNIIGWARKEIFDSHQRLIPPVACRDIANEVHKLS RVYEGVLMEHCLQHSLLVYKLADGRGPSGSRDTILHGYEKYMDSCRKAAARDFQPLNP GADYQTMRQHQARIMGGLKYGDKGYMPWRTCLSNLFRDIRKVLIQSNGDED PFICI_03717 MDPVTAAGLAIGVAGVGFQVYTGCVQAIQLLITAKNFPDEFKYL NLRLRMEQQRLFAWSETSGLADVDSKNQQKILKTNTFFLHRTTVLDLLVQVQCLFKEF QDEQKRYQRILVIPDSAESLETPEKDASDANFPLPQRRRDFIQKAMSKLKNLSTEGAL RLRWASFDRDGFERLLSKFAVLNDNMTDILDARLQVEIHHTVQDTNRGMLQLHHKIAD LSRLVMALNLKLEPPAQQAQSPKSDSQREVRAKEASGLLLLSQLAKFKAFNESIESGR NNALDEKTTSQLELGRPQEQEKLKLDSAMIELKQQGASGSQRCEAIFKAPDGKRKNIW IEWKEFDGHISSDSVPAKQVIVDRVRKLAALLNHSPKPEAFRTPHCLGYFDQEENDDD SSDEEGCSRLGLVFERPEDASIDKESPAVSLRELLECDSKGHPKPSLTARRDLALAVS NCLLSLHLANWLHKSLRSDNVIFFRDIKGQIDYAKPYISGFDFSRPARKDEPTEIASQ DDIMHNLYRYPSIQSSQSAQRPRFKKSFDMYSLGVVLQEIGHWAPVEDIVKINIERAW RQPSIIEGVRDILLAEKTREELRGNMGSVYETAIRRCIAGGEFLKLKDGDDETNDKVA TTLSMAFYENVVKELERIIV PFICI_03718 MALERVLGGWWSSAANPSLKGHQLLIVSPTAPAPEWVSKVKKAH RDLDVQHIDINPWTIHAAAEARTRDIDWSKATVLLTGPLVPTIEQAPRLELVQLQSAG ANYLLDNPLFKDTDVAFSTANGVHGPQIAEWVITTYLMHQHELALYLDQQKDGHWNRV TTALQDAVGARVGILGYGSIGRQVARVAKALGMDVIAYTNGRRATPESRKDTGYIVPG TGDPDGIFPSKWLSGADNQFEEFLSSDLDVLVVSVPLTASTKGLISGPEFKLLEKRKT FLSNIARGPIVDTKALIGALEKGQIRGAALDVTDPEPLPDGHPLWKAPNVFITPHVSG VSNDYNTRVYDILALNLQRLAEGKKEFVNKVNKKEGY PFICI_03719 MQRLIRTGQRPLSTQVQRPIYRARCFRLIRFHKRSGAESPTQEL GIRNFHINRPQYLGVSSPRAAMATSPTDVGLTKSATFLVLTVGDDASAAQQVRSTLSS LDDLAKNVASRDPSAHLGCTVGIGADAWDKITGARRPAELRPFKAISGTKHQAPSTPG DLLFHIRADRRDLCFEFERQLMDNLGSAVTLVDTTVGFRYFDLRDLLGFVDGTANPIG PAIPQTITVAEQDDQAAAGGTYVVVQKYLHDMGKWRAASTTDQESIVGRTKLDNIELD DAPPGKQKSHKELATIVDADGNEHDIFRDNMPFGSPASGEYGTYFIGYSRNLWVIEKM LERMFVGEPPGLHDRILDFSRAVTGTVFFAPANSLLQSLES PFICI_03720 MAADDQDRPWSFDVSSLMILISEDEEINYRLSQRSLVQCLVATP VVGLQSYLRNYNFLSETASLVYFLPYGVNAAQLGNMRLHHAIRYGKLLKDGTYTVLKI PAWDHSHSISATRRICPAHVLLVIWTMFTWIFLAGVLVFLNMVKGITWIGISTCTSFI GWSIILRLVEYLNIEHSPSDMSSVAEPNARDAAFIIGRANSAVVLEGSRRDIRDWTAQ GPTYKSKPWGVSAVVWQAATRTGTVFMLLAIFCLVPNGSTMDQVAFIIINFLAQVNVV AGQRLNSRCYLSQLEQLDQYDMPTRTHVYAALITRYKELVDKNKDWVRALGILPQTDE WNEWKDLILSGSNRDPKELYKSLLHKPKAKTTNSP PFICI_03721 MKNVPISWEKVPILGSTSSDIAEEGVLFPIKKPCLVTRIVSVLM KIGTAALWALGCFFLYLTVSACTSREAQISPVHRDQLTYSPAQDAIRYKEQVFTNGFT ETSRYQGPPSKELDRAWWALYTHMTRIPPEDAAQLENTTVPIPGDPDGYYGVIFDVFH SLHCLDELRKHLWPDHYKSFAERYHVSQEVADMHMDHCVDAIRQSLMCHADVSTITFN HGGPNDDETDLHISGGHVHTCRDWEAIEEYVAAHEFVTPMRPKRVEDIILGGEWVDSE PFICI_03722 MPETRVPPMPFPIPTPNPDHFGLESAWPPAIGPADLGAPGRVEG EMADLIVLGEIPKEVDGTFYRMMVDPFYPLMPGNPPVEGDGNISAFRIHDGKVDLKIR YVDTERLRLERAANKRLFGLYRNPFTHHPCVRAAVDSTANTNLVYWGGHVLALKEGGL PYAVDPNTLATRTYDPFQSPGKTFSAHPKIDPFSDELVVFGYEAKELGSDDVVTYHLT KDGKIKDIQWNRTPWPAMIHDCAITTNCIILFMWPFKSDVEHMKQRGQHWKWADDKNV TFQVIPRRADTVPAGWESGETRSYQWSRNAFLLHSAAAWEDDSGKLYIETSRVLDTNL FPAWGSENSPPFRMDPQCDFVRWELDTSKPTNSTIPDPVVVLPLPSEFPRIDERYMGR KYNTIFLPVAVPDLPENGMPMSISLTGLAKVDKRTGTTEFFRPGTKCIVEEPVFIPRS KDSPEGDGWVLCMVQRLEQRKSDLVVLDSREFTKPKAIIRMPLYLRGQIHGNWVESQD IEGGLQPLARVPEPTKVSNRGALEPQEY PFICI_03723 MPTRVLGILLLFTAKHTFAQRHWVDGHQNYGSYPLLPAYSSRSP AAPMSAPSALTTSPTSDLETSSASSTTFTASPTTTENAIPVTNSPTNETSVPFAQATF FVQAIEWNFQLYVDYIGFGNYGSTWLVRISPHHTIFTLNEQGNLVLIAPGLPEDGFYA QWDRETVPFVRPGSPEDDPAKRCKCSIDQDTLELTCDCNGWTRFCFDRERLDPVPLLG ISICLADLTPSFDGWVEPLPVMAVPTTPTISAIPSSTAALTISIL PFICI_03724 MESPVAYTYSPLEFSDSIRLLLLEPSNDNNELLRGSLVTRTLSS IQDDLHTYYTALSYVWGHQTRTEALELVDESKLCRFQLNLNTNLHSALTNVRDKSRAI FIWADAICIDQNNLDERGHQVGIMGNIYREAACTVIYLGPPSPDINYAFNAIHRKLGT SPKRRALSQKNTAQEADLNLDVDVLNDAFETLCSRDWFVRGWVFQELVLSNNARIQCG QHRVGWNDLHTMIQHLQCSRTSVSRFLDMDKACNDHEGRTFSQLVISRKGAQLSDPRD FFFCLMGIASDAKAVQERLPIDYSLDTRDVFVRAAKYLVGTMDLSSVVKHVNSDTGDC GLPSFVPTWGISELRTMSAQKDPLPAGPLWGERNVTRDCQIVPVRQQHVNHIVALGDV IPRCNEFVDALRESLERLVNHTQPSAGSPLQDLWDYWAESKEDLMLEDLWAGFCGALL GRDAVDIAPDYNENYRLVKIRPGTEKFGLMDIGHMIRLVEQNLSFSRNQDHSIPRSRL ALSTWKFCAMEMITYIPLALGLLSALQNKNWWQERNPSCLIMFIKG PFICI_03725 MSLLSPNFVLQDVTTDDIAIASLAFGFTIGFGWLTCWTAAKQTW RAYKRSGVDVWRNGYIWMIWLEISVCLSFAIICWLHLKGLVPPSFAFYFCILTLWALQ VQFLLQIIINRCAILVRNPKLVWRLKVGVAVIITAINISVYNIWIPARLQISESYIHI NEIWDRCEKGIYLIIDAALNIYFISIVSRNLVHNGLAKYKALSHFNMFIIGFSLAMDV LIIAMMSLKNTFVYMQFHPLAYIVKLNIEMSMAELIVKVAKARNQANVDHDSPVLEND IGHSSSHGTKSRTRVGATALSKRDRWSGDRFDMNQSRANAGIELGDMIIKEEERQGSN DDGDGGDRNIYTTREVHVEFEKASHKSGNSESPSSFYEGPPGSKAGEEDTRPLKSNQK GAVHVKTHRGNEIDM PFICI_03726 MADPLSITASIVGITVPALHAVRLLLDDVQKLSDAPNAAQQLRD DLGAVESAITSLQHVNDEDLANLGENIAANIRTTIRICQKTCESFRADLQRWTRHSDA EKLSWRDRTTIGFFKEGQIKSMSQQLQNCKTTINSTVGIAVLYGSIRQGHAIESIQGT LQSKQQEIVQAAGAAERQLAAMDDHTQPNLDHDQTLPQIQSTESTTRAEQRARDDREA LRVSAALLKELSIKSEREELVKAAGREKFRATTVTFGNNNSGFQGGIINGSVSGITFG RPA PFICI_03727 MAASASFGDANAGFQAGYINGSVNNPTFHHHAAPEPSETPSIPT IIIPFPRDRDFVRRDTICDQVIRASADPGSWVALIAIEHAYQLRDKSPATWVFWVHAS NKARYEQSFRELAEQVKLPGRQNAQENIFQLVSSWLRSDKSGKWLIILDNLDDVSFLL EVQTGRQDAQGSTSSGPEDNRPLLSYLPRCQRGSVLVTTRRQDIALRLVEPHSIVAVG SMNQDDAVTLIEKKLGALEDDEDVETAGALAQALEYIPLAIVQATSYIAHQGLRYSMQ KYLKKLKRSDGQKTSLLDVEGGHLRRDWEAKNSIILTWQISFDYIRKSRPSAADLLSF MSWCDRQGIPEDLLQEMIRTRNASQDDDKHPGGDLYNHDDSERHGNDEPANNDTDDDS QSDSSVSDGLEEDILMLRDYSFIQLVGDGTSFEMHALVQLSMRKWLEAKGQQERWKQT FIKCLSSQIPTGDYENWKKCQIYLPHAKAAAGQRPKDKESLLDWATIMYRAGWYLMEM GLGQEAQRMAEDSMKVRTHLLEWEDEKRSWAMSLVSDVYSFLGRWEEAEKLKVEVLEI RKTTLGPDHPDTLTSMANLAATFWEQGRYKEAEKLEVEVIEFCKVTLGPDHPDTLRSM NNLAYTWHSLGHQMKAIVLMRQCLESRTLKLGRSHPLTHNSAQLLADWATDLG PFICI_03728 MADPLSMIASIAGVATFATATARGLITVMAEFRDAPEEISHIRR DVQGLAAVLQSIQSTCSRANLSLQDEALVQSLADYLDLCQTTMTAMEKMLKSFIDKRP KGRSISRLISWTSWTVRKGEIRGLRDRLQEVKASLNLTISALNGLIEGKGKEELRSDV GKLYNELLPELRNVETGKKIRRRVEDDIASSTAAGRRTSLSELTDNDLPMRRYLEQNL DTETWPAEGPGDNSWPVPNLSLIAAVRTQDKDLVMQLITQGASLGQRSEEGYTLLHFC SIYNDEQMAELLISHGADINTKDNRLRSPYQVAVESESMGVATLLVQNGCSIGSSIDD ALELARRSDQIPGFPGMLKTLATRLNAQPQRIFPLQKAIANDEVTVLEQLCEAGFDTN LKDGYGLPPLFHALLQRRWSAVEILVRYNVDVTGPLPPDTNSRLDSTIKWQKELTTLL KTPLTVAAFAANNPTITRLLLRAGADPNILGPSALCAKEYLESAKALIEGGSDVNNKN RAGQSAIYWAAKCSNPALLRFMLEHGGDVDIQDNQQCTVLHVAAMENQRAIAEVAMEY GASTDIKNNVGDTALDIVRREGRTDITSVIENFVVQRGATLRGGELSTSKKEN PFICI_03729 MAETLDAKTARISSTKIDDNELTDTPDGQVPNEYERKTLRLVSD RIPTSAWLVCVVETAERFAYYGMAGPLQNYMQNPYGNSLRPGALGLGQANASSISYGF TFWMYLTPVLGGIIADSWLGRYRTICCAVTIYLCGLLILFVTSLPYSLEHGAGLGGFI AALFLIGTGAGGIKSNVSVLIFEQYTETKPKISTLKTGERVIVDPQTTISNIYMIFYN VLCIGCLSGIPATYIELRVGFWAAYLVPFCVFWIAIAALALGRKKYVRNTPQPVLGKA LKALGFAIKSGFKMDAAKPSYQAQRGGATSHITWDDKFVEELKRGLYACRVFIPLPIA WLCYLQAANNLVSQAGTMETHGLPNDILYNFELLIEIVIIHLMRTVVYPLLRKWRIKT GPIRRITAGFAAGILAIAWAAIVQHIVYSTGPCYDHPLACEDGATPNRVNVMLQFPCY ALFALSEALFAVTAAEYAYTKAPRSMKSVVAALNLLTVAVASALGIAVSRAAVDPGLT IMYAVLAAVYFVVTLAFYYFCRSYDDLEDELFDLDARE PFICI_03730 MGTPSTSASPEVPMDARPVDYSRPIKVICIGAGVSGILTGIRFP QHIDNLDLVIYEKNQDLGGTWYENRYPGIACDIPSPAYQFTFENNPNWSRFYSPGSEI LSYLNDVADKYGARKYMRFGHEFKGAQWSEAAGKWEVQVLRLSDHQVFYDTADVLIKG TGTLNKWKWPDIPGLENFQGTLLHTARWDSKFDATGKKIAVIGNGSTGIQIVPALQPQ AKHIDTYIRSKAWVSPRGPFGQQVEEHGGDENFAFDDGEKKRFSEDPNLLVAYRKSIE QVLHKNYPRVFTDSPARQEGAKLLQALVEEKLASRPGLFETLKPEWPAGCKRLGASPG YLEALVQDNVNVITSGIRRIRAEGVVDNGGTIRPVDAIICATGFDTSLKMTGTPIYGR DGISLDQVWTPEPEAYLSIMPCHMPNLFLYLGPNGAPLSTMIVMIEAQCDYMIKCVQK LQREHLRSMTPKVAAKDDFVRYADAFFSKTVLAEDCAAWFKSGGRADGRITAIWPGSL VHALKVYEKPRWEDFEYEMLPESGGNRFAWLGNGLTVAQMTGAETTGYLDRVDIPPVD PDYARKK PFICI_03731 MLLGRGQLDPYPDPDPGATAPNPENDAGGPYYGRLVSLAPGDVV VIPAGTAHMNVEMTDDYRFVGVYPVGSPKWKSERCDNRDIMSQLRDEISQVPMPIADP VQGEGGLLITLWSKVDQ PFICI_03732 MASLVELNKPSSAPIMVDSSDDDTQLRETNKDTRTAAILHNRQG NVVFPGEIPSEVVSPEIAQSSAGDQDKAKSQSTSDVLSSNKPPIATKDRKSTDRPSLE GSKKFVTDVPRRPPRRTSAAPLVKRTGTNVSELNRAIWSRNYESDGDMSTSSSESEDE EDGGPATRVGTTGSISKPKRANTEDKYRRFDLANDNYSTRGKVSRRDGRLNITVNDTS NTGYLAKALGSAVHKVVTPAKHKGDELKAERPSPSRLSSASTATSEKVKRPKLNIVIM VIGSRGDAQPFLKIGKVLKENYGHRVRIATHPAFREFVEKDSGLEFFSVGGDPSELMA FMVKNPGMIPKLETVKAGDIGRRRAAMAEMFDGFWRACINATDNEKDTQNLKLMGGRA PFVADAIIANPPSFAHIHCAEALGVPLHLMFTFPYTPTQAFPHPLASIKKSNVDPGYT NFMSYPLVEMMVWQGLGDLVNNFRVKTLGLDPVSTLWAPGSTYRLHVPFTYLWSPGIV PKPEDWGDEIDVAGFVFLDLASSFQPPQELVEFLDAGDTPIYIGFGSIVVDDADKFTE MIFEGVKKAGVRALVSKGWGGLGGDNVPENIFMLENTPHDWLFPKVKACVIHGGAGTT AAALKCGKPTMIVPFFGDQHFWGSMVSNAGAGPEAVPYKKLDADKLAEGIKYCLTDEA LEAVQKIAKSIELEGDGAKNAVRSFHNHLVLSGEESMRCAILTDRVAVWRIKDTSIKL SAMAADILVEKGYLTWNRLRPLRHKEWNDFEGPGEPITGLAGTLAGTLGNFFTGFGSV PYRLAKTTKKREEHKKKKMEKRRQKLEQKRRAAEPKPLAQEGVAGFPFPPANTENPEQ QAESQPQRPKPESHLTNESNLTATPATAPAAEEYANEVSEGLGQSGRALAKAPVNLAV AVAQGFHNAPRLYGDDTVRKPIRITGIKSGLKAAGSEFVYGIYDGVTGLVRLPVKGAK ERGVRGAAAGVAMGIGGFVLKDISAIVSPLGLTLKGVAKQAERRGQPVKFIRRARIVQ AQREMKDLSVEEKKTACEETYRGWDTMRQLGQSVMNESEKRSLTRHFSKSRHSDPHKG KQLGKWGTGSAFENVESAEKAIAAIKRGDDINVVLGKNRKSFDVRKSADVRKDKDRQI SLHDTDEAKQVKEKAREKAAQDVEEDLQKEKLPPRKQDEAPLEANSPDP PFICI_03733 MRTRSTSTTRLERTFNERLMKHPLELSGAQLPFSFVPKASLDKA TTRVDEYNEKLLRNDTGSLNRRRSLQLVIGPWRPPVSKHSESAASAPPCRVKARNGNR LKIGADELR PFICI_03734 MVGVAGRSKACATCKSRHIRCDERRPTCYRCEKTGYTCQGYDRP LIFIDGVPKNARAKSIASRVLTAQRQDSTLTISTAARSAQHQGPAFQQAENFIHQLLL AQGVHVDSHHHGQAPDREHHLLHVSTEAATLLLRGRLTRHAQTVYQATKLSGMALEQL RAMLAQHNSVHGSANNVPLLAIIMNMTVFELLAATTRDSCKHHVRGLAALIESCGPAV FREPSMRLILGQARAHIMVLHMEGEHRTFLEQPCWQEVPWDEDPGSKSLTARYMDIIC CIPGLLEDQQRLLEIQQNSTIIADDTCDPRADDLRSSMRAKIVSLYIKLLDIRWQWEL DHPNCCHDLPVAGRSGAARNQHCAVPLPVNSETGRPIYGSVLFFNDLYRAVEMNFYHT CLLILHSLARSLDIMQELEHLHTPPPCSSYEASIPQDAGRSKSGDATRRFCFKTNVPL LFPHESQSDYYAVHDICRTVDFLLHPNYGHAGALFLIFPLRVAQVYSTILPFEREDTS LDITRSSSDQNVCHGRNQAATQSINAGQNGIDNKAKIDEHKSLSVWMRKIMRHVGDVY GFGIAYGYT PFICI_03735 MASLMAIGSVLAQHDPIVQLSTGALRGQTCNTSPATSFLGIPYA QPPTGELRFMPPQPLSLNTSQAGVVFEATHVAPPCIQWGSEFDVENPTPSEDCLYLNV YVPPDTTNTSSLPVKVWAYGGGNIGGAASYPLYDACSLATDAIVVTFNYRLGPLGFLA LDSAGIQGNMAIQDYLAALSWVKENIVSFGGNSSQVLLLGQSAGADDAFVVSTLPEAK SLISAAIFESGGGQDIVPYDIAQLSGSSFAETLNCSTNDLICLQSKSADELIQAFQTT PALAPGFGNGLSVGSNFAINTPNTTSLSGTVLDGEIIKKQPLEVGSQVPIIAGSNEFD ATLFVLPIYLTSDQPLAEENYTSFLAQWGPASSAISQQYPLSLFESAGSTTTEAVVAA ITHIVTQSSYTCSTYKALRAASAAGTQAYAYRFNHTPSCPWLWESGTAFPSPDVADFF LSTHTAELPFVFGNLVNQPWGNGSCNATAAEFALSHALTAAWTAMAVRGNPSVEGQSW PLFDACQTRGLWFQESGETTQLDFSECEFWDEVFADLGGVNMSWPDANCAANSTTGGS KCSSKGRI PFICI_03736 MPASMLSDAPAHGTRGAISGTRAQKQIAREQKAAAAEEQIKREA DTVVETARKQREKTMELYDKRLHKRAKASKKKVAHRAESLTQEDTFFVPDVENVEKAI KAGKPETAEYAEDSEDSEDSQEEEDSDDV PFICI_03737 MAPANAGKRVKGVQIYRPFIYGTTARPFNDTDNIRPPGVPIDHT HSWTVFVKGVEDTDIFYWLRRVQFKLHESIPNHLRTCDAEQIIRDNENKPAAKKQKGF VVNETGWGEFEITIKLYYDSKSGEKPQTLYHHLRLHPYGRTEEEREAMRNNGTGEVLA WTYEEQLFNEPFEEFYKTLTSGAHNKGQPPPGGKGKGKGKAAPNQGKQEEGDVKERSA MIPLMNRPGQPFSRETEQLELKRLKEAEEKVSAMILELNKQTKQKETELAALKAANSQ AAPA PFICI_03738 MATKATKRIIVCGGNGFLGSRICKYAVARGWDVTSISRSGEPKW ETVTASSTPPSWAHRVSWERADILKPATYAPLLRGADSVVHSMGILLEADYKGVLRGQ ESPVSGLRKAFAGGARPGNPLERHASEDKDIRPPETREQLTYENMNRDSAIMLAKEAA GVGAASFVYVSAAGGAPVLPARYISTKREAESTIASEFPGMRGIFPRPPFMYDSSRPL TMPLAAMTGAGAIFNKVTGGVLGSFMGAAGAKPLKVDIVAEAVVEAMDDQSVRGPVEV PEIEELANRAWRKGML PFICI_03739 MAFNFNWSPLAADESFYQRARDLLTTALNKNPKPPIIVDDILVT ELNLGTVPPELEILEIGDLAEDRFRGIFKMCYTGDAYLTLKTRVQANPLNTYLSAKPS FTSPQPLAAAAGLTIPLSITLSEIKLSAFVILVFSKQKGLTIVFRNDPLESIKVSSTF DSIQFVRDYLQKTIEGQLRNLFMDELPSIIHKLSLRLWCPDQLPREDADASKENADDE VAVDPFAAPPPDAVDSRGHVLDANELASISLDGGAQLHSLFSQKNLLKLAALNESHRT LSLFTPGIQNAMFRAWLGPMDRADTGRSTPLATPSLVRTHSVQGSSTTYTFTDAASVD SGSISSRPSLLSLNSATTGLTLSGGRKRMSRRKKTRVVNLRRSKNDTVSETASEAEET ATETDSVAGPSSEPMPSYIQEDPEEAVPQAEPSPRSVRFRHIDEKVLPSRSPLNLEIY NEPAKSGNHTKASKAQQEKATEAVSANNKHNEGSETSSVILEQAWITKMAGEIARRVY DEKQRNPTFWAERDDVPPPAYEATQ PFICI_03740 MPSISDIAGATDGAGCEQVLQSGLRSLATGVDLERPDTLRKVVE DLIQDAKFVYVAEGRANAVFQIIPRQGGHQLDGWLLRAPKEVEGTRPHSYEELQRYRE QLVGPRVGPRHLVPQLLVSVPSCANKILNSERGLHSRRREPESSIAEGYAMLIQDMNP LPGRGDLGLEFKPKWLAQSPIAPEDAKRCRTCAREAFRNGEKQAEGKKTTPPVCPLGL VDSDPAVVLETIQLLAPDWTPEEQDRLQRAFSSSGIFHKLRDLQVQGDPGDTMFTNPQ DEDFGLAMTLRDCTCFVRMPKAADGEVEIKLADVDRKNWESKAEYWQHSHTNLVENGY YHGTETPKMETRCLIERYE PFICI_03741 MDRIRSLFQKKEPEQEYAPLNEDSQFLENTRSHEQEHDVPFSWM EYGIFALLGVAMLWAWNMFLAAAPYFQGRFQTDEWVLQNFQSAIISVSTVTNLSAMAI LTNIQYTASYPFRINLALYINIAVFALLTISTSAFLDATPGQYLVFVLIMVAGTAWAA GLIQNGAFAFAASFGRPEYMQAIMAGQGVAGVLPPLTQIISVLVAPPKESTTDAAEGT HGAGHAAFIYFLTAVLVSLLAIVGFIPLVRRHNRIVESRMVENMAASFNSIEEAERAA RKVVSISTLFRKLHWLAAAVFMCFAIAMFFPVLTPKVLSVTPPESASPLLKPAAFIPL GFFFWNLGDLGGRSSALIFPCRDRPVILFAVSIMRTLFLPLYALCNIHGRGAVINSDV FYLLLVQFPYGLTNGWLASNCMMAAGEWVDEGEREASGGFMGLCLVAGLTFGSLLSFT AAGI PFICI_03742 MANNGSAYNAGQFMNPGPAPRPPTDRPRLNITPNTNLPGSMANM TISPVPRTATSTYTGSTISLPLARERSNNDGMGGVAVIKEGWATVKESRNFIQPWKQK FLILRKEALDFHKTEGGKVSYTLYLKDVVGVGRVEAAGTIFEIKRQTGTQSNSPGDDD NGIRTLQIRTKTDDDLYDWIDMLYGRCPTMGGVSNPTNFSHAVHVGFDPSTGQFVGLP PEWSKLLNSSAITKEDYERNPQAVFEVLDFYSDLTKRAENPQQYSSLTPTPPAGGQGN KQLGYGVGTSVAPPRPAPPTPAQRNNSYGPGQPNQAMSNASRPGTGETSQQRQQMQAM ASNYVSPEAQREQQRQKQLEMQRQREMEDQRRELEEYNASLPKTKVPLAQQEIGGGYG GASPDRYNPTRAAPPAPGSASQQAARLQAQRPAPPAPGSAQRPPIATQQSSSSVQQRQ APPRPENPNAQRYPNGTNGSSQPRTNGQSQAQSQASRLPAPVKPLNVPAKPQPQPSDG VKAAEAALTAKPTAAERKQDVRMSTMSEKEVMAKLIEAVSKDDPNLSYSKQKKIGQGA SGSVYVAKVKETAVSAVAREVLRSQGPRAQVAIKQMDLAHQPRKELIVNEIMVMKDSK HRNIVNFLDAFLRNNNAELWVVMEYMEGGALTDVIDNNPVITEEQISTICLETCAGLQ HLHSQQIIHRDIKSDNVLLDARGSVKITDFGFCAKLTETKSKRATMVGTPYWMAPEVV KQKEYGPKVDIWSLGIMAIEMIESEPPYLNEEPLKALYLIATNGTPRLKKPEKLSKEL KAFLSVCLCVDVKSRASSDELLQHDFLKHGCPIPSLSELLAFRRSAK PFICI_03743 MAPSATTLKGQPLDRPALDSLLRRRLFYTPAFEIYGGIAGLYDY GPPGCGLQANIIDQWRKHFILEEDMLEVDCSVLTPHEVLKTSGHVDKFADWMCKDPKN GEILRADHFVEEVLETRLKGDKEARGQKIEEKEEDPKKKKRKNKGAVEAVKLEDAVVQ EYEEILAKIDNYDGNELGELIKKYDLRNAATGVQPSPPVAFNLMFQTAIGPSSNVPGY LRPETAQGQFLNFAKLLEYNQQQMPFASASIGRSYRNEISPRAGLLRVREFLMAEIEH FVDPQGGKKHTRFNDVKDVELVLLNRETQLSGKTDVKKVTIGEAVANGTVDNETLGYF LARIHLFLKKIGVDQTKIRFRQHMANEMAHYAADCWDAELLTSYGWIECVGCADRSAY DLSVHAKKTGAPLLVRERLPEPITIEEYQVEVDKKKFGPHFKKDGRVVEAALVSTSQE KREELSKTLESADSIAIDVPGVGDGKVVVPKELVTIAKRKRVENTREFTPNVIEPSFG IGRILYSLMEHCYWTRGSEGGDEARGVLSFPPTVAPTKVLIVPLSSNDQFRPFCANLS QRLRKLGISSRIDDSSATIGKRYSRNDELGTPLGITIDFQTVKDKSITLRDRDSTKQV RADEDTIVAAIQAVVEGSKTWEDVQAELPAFEGQEVEVTVR PFICI_03744 MAPSRSNVSLTKKTGNKLRRKSLYVKQKQENEKEKRAERFRRKK EEAQDPEARRERLEKNKPMTIDRKRVWDDVDDDGLYASVNMEQLLKKRRQQQEESEEL AAIEVNKSAEDDEEAHDDDVDSMLGSEDEEDDDEDSEAAAQARARRAIREDSMAPSTT STNLDLTPQTLIDRFPTLFEAPPEPKVLVTTDLNATIHEEAQKLCGLFPNSTYVPRSS HKYGHKYSVREISKFAAKRGYTTVVVAREDLKRIHGMDIVHLPSGPTFHFSISNWIDV KKLPGHGNPTNHYPELLLNNFNTPLGFLTATLFKTLFPPSPELQGRQVVTFHNQRDYI FVRRHRYIFREKKATEKSVVGADGKELKGVQDIRAPIQELGPRFTLKLRRIDKGIGRA GSEGDDALQWQWKAKMEKQRTRFNL PFICI_03745 MPELAESINAIKEGAKEEPFTYLTILQYHVTSPDVLPTLNEVLG SNGKLAQDIGWDLVQLLLDIDGSEACLETVARLGNPREVIIKVMEALEGLSETSVDEA ALLADADVPEPPTNVTGKFVILLGMLAILQRRIKTKYPSRFLGSSLVKVYEAYQPNPE MTASVINLVRSLSGRKRPPLPTRKSSVNVANPDQDGDASKNAPDPEAEQEDPTEEKMQ TKLLQSFVTCILQKYVNENWMQWSARLLEHYQPEKKIPGRKTIIQSYTEDADLHERDA VVGQLVALSRDLGLKEASASFVKSLYEGATGFDPMAAYDDLSTADDIHLSPGGAVCLI AYWLFSGEVFQADSPQPEMHIFPDQHTLLERFLGAAPQSEIENNPGIADALLAISLAL YQKDLIAKGTEPNIMAYHHHLTLVAVFHPDLQVRNAATNFAGTILHSDPDDQGRLEIL EDLLENCGFASLKACAVTWLKEELIEAKKSSSSNVFSSPDIIDRLQYDIFPDSISLKS LSEEQLQDFWVQNALFLLQVANFAYFLFEGNKDLVPAAVGAAVEQRFVDPLIEAAERL LKVEGTAGHDSMDLTILVDRLKSLPS PFICI_03746 MPAAKKRKTRSSAQPIGETEPEEVPTQAPEIEAVPEEAPEPQKK TADTEDHIQEEQSASTTPQPAAAAAASSSSTTSAADRMARFRALQARAKKSSSQNLAE ATKESQRLASDPGALASLQRKRDTAAQKLAKAEAEEAGEDFERKRAWDWTVEESERWD KRLKKRAAARDNAAFADYAVEGNKAYKRQLKNMDAPDMERYERDKMAAIEKAAASGGL DIVETEDGELIAVDKDGSFYSTANSTGFVDNKPDKAAVDRLVAEMRKAEENRFKKRRE RMEKNGDDGDVTYINEKNKQFNQKLDRFYNKYTAEIRDSFERGTMI PFICI_03747 MQRLGHPRMLGRQWATSQHPIHQIIRHHVGGRNTWRGRDPTLGR ADIPTYTVNRLLLDLDAEDAEHVRPYDPDIDGKPENRHAKRIRMGAQADGRIEIFFGY AKKRDRTFYNTCRSRAVEWYINDLVIISTLLGGRAQNHQSLDQESSDTTDGRSSQSVN NTLHGISDEGMEMNGIPRTANNFNLISYMLHRQKQTLDSHSTLHDFTKGMSRKVMHDM IWKSNSVMEIKRPLFFIANTVYGSELVSKQSRQLAETCSRVVGDCQDVSEMDKSTALE MLKLCNDLVLHLLKEKLPIDQQLWCLGFELAVRLSAFPAARMYLETGLRLGEQNLHGT NFLLRPLQALLRSMESSPSGHHAAIFGLLTGQDLSGHQSPFSVQSMIESIKPSDPAIY QTYIHILGELGALRTLWHLFHQLPRLLDTRNDGTSQIVPDLKEFNDQFVYAFLRSSRH VGNNRISVRDMRLAETTGNYIHDCELDLQTIFRSFRSESRAKRSESSEISTSSEMKKA ISDTFRVTDIAFSMQVFLSLIRTAARAGTGIRTNEKTEP PFICI_03748 MGSASSSRQDSFWSTAPRGTLGTKRRHSLQSQQLPLIMMMVLFF FSSLSYAQRDPVNNFCRRFGHQSAVIDRKLYIDGGFINYSPLEDDPTNYTNTFLSYND LDEIAAGGMPQLYSNLSKNATIPSVNGGVLWADTVNKYLFLYGGESTVSPPTEISLYS YDTLNNYWTSLNVPQSLASASYGAGVSVSEIGQAYYYGGWQSNASSPGWTGGRAATTG LIHYDMDAQRMDNMTGPDNTGRAEGSMVYLPVSDGGMLIYFGGSQDPGNGSVLGQPMD EIFIFDIASSRWYTQTASGDVPGMRARFCSGVTWADDQSSYNVYLYGGLGMPNYTSGY DDVYILSMPSFTWIKMYPNNTAEQYPHHSLTCNVIDGAQMIITGGEFPLDNTSCDAPT QFGAHGLDMGEQNADRSPWFLYRPNITSYVVPDVISNVIGGNAQGAATKTSPVDGFDE IDLKVLMARKYTAPARSPTRNVSTATVTVPPAAPSHSSSLSRGAIAGIAVGSAVGALA VLAGIWLCCRRRRDMMRDRQSTGGMSTVPALQHHHAHTNSGDGSLWSPVSSHQHSPYG TTSSPVFAHPQHFPPTAYIPDHPVEMPGNLHDSGASEMYDNSSAQRHSKIASVGDQSP IGGGGGVASPYPPPLSIPGGTDAVKHGGVARSWPTSPVGGDMDQVSPLRPSVYAGGGY GPQELSSETTPVSPRSATKGGHTHETFYHAS PFICI_03749 MALEYEPLEPSLREIRLLTLLPASNTSDDAVSANLQKFSLNRQL IFKALSYVWGDENDTKPIKVNGKTVPITRNLEAALRQLRRSEPLVLWVDALCIDQKNT KEKGFQLPLMCELYTRSQQVIIWLGEGNRYIEALFQWANSTRASEAASIETPPSAIPP AQNESAMAWIGYIDLFLLPYWNRMWTYQEMVLPDNDPVCVYGSHSSPLSVITAPELFS ELVRMTDPHSIFTELATLDLSQYEDSIRQKLPFGVDAPVIQEIGAAIKVARLPLIGKK LDKLHILLRSTVGRKSHDPRDRFYALYGLCTQARSLCPADYAESNCIDKVVVMAAVFI AEFELSPYFMIAEFGMRASRFSDLILPSWVPDFNAVPNLHTQEPLCAESLQRRLASDP KPANRCRDSGILVEDPGIGGLEKDISISLPAYLHEFQREVEYILERVSPGEFSSLISN PHNVQQHIKFFKAI PFICI_03750 MSTGLMPVDEVSGFYDTSRHQLSLSAKGSVQPKTNDICFKRSKA SSSFSSSSSGTNEPVFELVGTTYAIMGSKRPYNVQQREDGVALRQGQDVFVKTANNPA GEAIKVFWGGGGLANTADSGEV PFICI_03751 MHHQRRKSGHGSTNPSMTDVRKAVTASDLSRPKRPQTLSRRTTP SVVQKLGKNPRDREREWDEERGWEDERESFPQFCMTCEKQFIPSGEKHLYCSESCRKH DQTPSLITSQYSSAKSHDSYGSNLPFYSAGNSEPRDIIPRASPSRPSSTYFSPPSTPT SSQYTSAVSALRSLSIRPPSPPSPTTSTSTLWPFTKTSTTSPSTSYTKPVNFYSSTYD SGYGASGYAYGYATASMTPDRPLPSRKPGGYSRPKSIELVTPMVGR PFICI_03752 MAAEGDEQRMELDQQEEKNEPPTSSSSSSSDASDNEDTEEAPQV EWLATSRSKRSTAGNRMKSMLAAEEPDDELELLFAEDADDAGFEDVDEADSDAQMDSS SDDEDAQAQGDEDEGEKELEKQARERKLAARKRKAQEAIPVKFRKKVRVDPTATPGSS STATPAPRPKKKSERASWLPTPADMPTRASKRETTMLSKEALHQQMVEREAKRLKLME AMERKAKRMEAMKKPPMTQAERLAEAAIVEQRNSKSLNRWEEAEKQREEERKAKLAAL NNRQLSGPVVTFWSGMGEWVDGKLKYLGKTVTIEEKEKPVKKRPSTAGTKASDESGKG EESKKQDSNKSEQSKDQSASTEQPPATGSSAQGDKEKSDDAIKDTGASTRKDSLAAET PNATVDPPSAKQENAPTEPIAPQRTETHPHDSNHPKPGPEVSGSTNLEPEPTETLISQ PVAPEPKAPESTIADTDESKPLQAPQAPQTTQPSQPPQASQPPQAFQPPEASLPPQAP QASQAPPPPPPPPSRPPELAPPNLQAPNPAPYTTSIFAPPMQSPGPVMKPPEMKPLSN SFLAPPPGVTKSGLSMPMVGYSPPASQSSNVLAAPNAGQRASPLSMPQTNARPPPPAP VSAPAPTPPRVARPPHPAPLQAQPQPQPQQRPQSKAGGGPSSKGQTSAHSSTKPPGSQ KASHNNASKKAKEPAGVPDLPPTPRPPPPPNMKATCNSIILQNFDEDAIEQKGVQQHI LFGKEMAKLAKPAPPPKCIVTNKTARYKDPKTGLPYYDSRAYKEIQKLQSGDYKWSRL VGAWVGTASFAAQGVPARFLDPDAPAPPKPSPPPPVVKQEPGVAIQDQPASTPAPTVE PTPTTAAPSSAALTPVNNGALPATPINNTVQRAQPASHAPPITNAPTNQTAAPTPIAA PPSTETKPAEIPPSVAAPTAPNASPPASHGAVATVPANAAPVA PFICI_03753 MAKSSRASTVKTNNQRLKAKVFGPVEQARMERLSAKLLEVAAAP KPEKPEAENEMKIVDDEAEAKADQDDATMDVDKPSSNTRNSKNRIAKRKKPSKIVFPK YSDRKKTKKR PFICI_03754 MSSSSNPHRAEIEQFRQKVSLLLVKQLQNVCSIAGLRTSGIKAE LQRRIMYGKGALLLLCAQGIGTRRDGSLG PFICI_03755 MAGASRRGQYPQGSSSATGYARGSEAYNVNGSNASTNNHNPAPN PALGVYSQHNLNSGYANSHSQTRWGASPASVPQRPGMMPFQESFGYNRQGLISRPALQ FKPSPFYRVDQLIGSVRTLEIMTQHRNSNTITLKTSEHPALHNCVAEKTMRVMVFCAA DDRGLQDIAFPHQSELKVNGGEIKANLRGLKGKAGSTRPVDITDALRLDKTSYVNNIE FTYALTNKKFYLALFLCKLSPVEDLVTKIKTKKIPKASVLKERAKAANDPDVVATSEV LSLKCPLTYGRLKDPCRSTTCSHIQCFDVTSYLYLQEQGPQWLCPICNKPAAFENLAI DEYVKDILDRTHEDTEQVTIEPNGEWRTEGRSEPEPKRARHSGAHTIASIKVDDDDDV VALDDYSPPANQRIKTPSQSMNGTPSNNAHANGSTSTPSGSRKRTAEVIDLTLSSDDD EPIRPQPKRQNQNTGGMSTAVPDWAYPPFHT PFICI_03756 MSNFDQPLPPFNASDPSATFLNSSCLDLLLIEIVPMAYRLVNEL EAADAATADRSAGGGGGGGGSSTANNQNTSNSQDGTGSVATASATGTAATGTRKLDDE EERDAVFYRLETLGYRVGLGLVERFSRDRPRFNDTLDVIKFLCKDLWTLVFKKQIDNL KTNHRGVYVLTDNMFRPLSRMSTDAGGQAVVRAQPFLWFPCGIVRGALAALGVNATVQ AESSELPGAVFQIKTITAKS PFICI_03757 MVKAVVAGASGGIGQPLSLLLKLSPLVDELALYDVVNTPGVATD LSHISSTAKVTGYLPKEDGGKAAFKDADIIVIPAGIPRKPGMTRDDLFNINAGIVKGL IEIAAEVAPKAYILVISNPVNSTVPIAAEVLKAKGVFNPQRLFGVTTLDIVRAETFVA EITGKKTGSELTVPVIGGHSGETIVPIFSAVQPNVTIPSDKYKDLVNRVQFGGDEVVK AKDGAGSATLSMAFAGFRFAEKVLKAIKGEKGLVEPSYVYLPGVPGGKEIAEKTGVDF FSVPIELGPNGAEKAVNPLTNLTSDENAYLQKAIEGLKGNIEKGISFAHNPPQK PFICI_03758 MPASNVSSDLIWEIVRSNNAFLVKRKESGGVQFSRDPFNLTNKH SRKHAGFVNEKAISVGHNEKGGVQVLTKKTGSAQKPASGTHTTTYHGGKSARSSYKAV ANQAAKNGYRADLREAAVQRVSAVRRSQREPKPTPESKPRGAKAKKAAAAEESS PFICI_03759 MPYLLPSLVLLVLVTGTVLYVTRNSWLHLVPESVAHPGSYLYAR LPSTFAGDVEAGLSSDTFDLAGNIADGDARAGLDDAAKREILSIMKKRRMKFDQARKV YMEQRFSANGIGPDGRPRDPKFVSFS PFICI_03760 MDIVNEIFDTFMGDYAYAKLMPLKPALYDFPNPTNATGEARAFS SWQYEPATKYFSLEPSQYAYMSSWARDNIYRQSLNLFLITWIFGLLVYFLFASLSYIF VFDKGTFQHPKYLKNQIRLEIRQTMISMPIMSVLTLPFFLAEVRGHTKCYDGLDAAPF AGYNWLQFPLFLVFTDFCIYWIHRGLHHPAVYKTLHKPHHKWIMPTPYASHAFHPVDG WAQALPYHIFPLLFPLQKLAYVGLFVFVNFWTILIHDGEYVANSPVINGAACHTMHHL YFNYNYGQYTTVWDRLGGSYRKPNDELFARETKFSQKEWSRQTKEMEKMVREVEGEDD RQYDPVESKKSQ PFICI_03761 MEEIRAAQKAGIVIGLSKAGGFLPRIDIDQMIVNQPDTFNLFCL AMVELKSAPPSDWMGYYQIAGIHGLPTQVWDNETGVDKKGQASNGQGYCPHGDEKFPT WHRPYIAMLEQTVYLKMLDIAEQFPASVRDTYVKAAEAFRFPYWDWHRPRGLEASFPG VTQEDNTTMSPYDFKVPRVFTERYIMVKQQSDNQLVQINNPFAYFEFPRGGMSGTDFD IRKDLARDRTKRYPVFSNESLAVQALNLTLNMARESKVQNIVDMITGPGYDTFAAFGW TKPPTDTRKRYEDIQPSGSLECIHGSYHVCSGGTQGHMSEVLLAAFDPIFWFHHCNVD RLFAVWQSIYPDSWMQNENVDLLPFRAPATNGSSKYWTSQTARTTERFGYVYSDAQGA AKDVQQQFKNRYGWSLRGANASGKPPSDMAPLNEKVDAAQVFQFQYHTLPASISKTLT LIPDQIAQQRTLIQADHDMPMGTAPNIDESKVDRQWYIDSVVQRLALNGTFTIYFFIG GVPGNDVAPAHYCLCPTLAGDMHIFAAPVEACDNCGQHRDAAQLESGTVPINPILLDY KITGHLTDLSPDRVRPFLLDNLRWRVVNGAGNLVDPRHVTDFQIGVTSKATALDGSGQ ITVEDHAQVIQQIIEQSP PFICI_03762 MALNNQEKIILERQINGLAAQRPGKGSLLSYSTTLDKVILLISF TCAILGGILNPLIAVIYGQTVGIFGSYSGSSLSHADARRQIVTYSLYWVYLSVAIFVL IYTATVGFYYVGERTARALRNAYLKSIIRQNMAFFDTHEPGQVSTRIMSDMTHVQEGI TSKLSIAVTAMACFSSSFVIALIVHWKTALVLSPAFVIMTLVGSLAGARIVKYHKEAK LANEKASSLAQEAIASVRHVYALGIQRHLAERYQSFLDNAGKHNRKALYIMSVVIAWS QAVPPLVHALTFWAGSQFLVQGNMTVGQITTIALVVVIGVFAIVRISPAAQALANTVS SASVILGEMARRSPQDPFDASGGTVDDFRGSVELCGVNLVYPKRPDARVMKDVSFRCP ALKTTAIVGASGSGKSSIINLLQRFYEPTGGQILVDGADIQSLNIRWLRSQMGLVKQQ PVLFDTTIFENITYELLNQQVIAVAKMANAHDFISALPDGYQTRVGENATQLSGGQKQ RIAIARALMRDPKILLLDEATSALDTGSEAAVQLALSKAAHDRTTIVIAHRLSTIRHA DNIVVMSEGCVVEQGTHANLIARDGHYARLVHAQQVEGELGVQDDDLEDEIATESVVG PILEDEVLPTSKTESRGDQHIPIVTITEEIKPNGWGLGKTLALIIQWNKAERWMLLLG LVCSIFAGLALPGQSVVFAKALDVLSLTASDYGTLRSQVNLLAGIYLVVAFVTFLLWV GVGHACAYTADRLAQSVRNGCFRSIMSQDVEYFDQKAHSTGSLLSTLSTSIDALIGLS SPVMGGSLTFICTILGGIVIAVALGWKLALACTATLPLVVACGWVRLQMLAIFDAHTR QNGIDAASYAAEIVKSVSTVASLGLEEFVLGRYDGFLAKQSEKSFRSILLASSLYAAS QSVVYLASALAFWYGGTLLLEGEYSLFQIYVCYTTLISGAQIAGSVFSFAPDASKAIH ASWEIDSILKIGNNSISREETDEERDKSAEKSPKHVAKYTHIEFQNVSFSYPTRKTRL ALDNFSLKIGSGQFVALVGPSGCGKSTALSLVERFYRPDAGTVLIEGRDLALCDIDEH RRSISLVSQEAAMFSTSIRENVAMGLPGEEVSDQDIWNACRQANIETFIKSLPDGLST LVGPGGCMLSGGQKQRVEIARALLRDPRILLLDEATSALDAESEAAVQEALELASRHR TTVAVAHRLSTIRNADLICVLDRGRIVESGSHEDLVRKKGRYAALLELQDLR PFICI_03763 MDHDMETINAGLKNLPANLETQLEVPFGPYDGTPASQLGIPRYL FRVFSDKSTGQNDRQWMKSLGATKGNLTDTFDRDGDDIAIALNDHVRGHHSLLPPSPF ISWTTSLAVALQYAIYKHKFMGEPMNKIQFCVIDTTDFPNGTFLQDLVLMREFQGRIK DDTVIIISQGSEPWSSGGLPNMLFLRGLKSKDYAGTYYFGEYLAQGQLCIENRSFVES CDKIITKDLLNMIPALKANMTYQTSLWAKAVLQLREPFYSPGKARPISEKDITPIRKI VDVFDGKWKVVMFASLLGLYPRRMEDLEFANVPLGLVPDEILDKCLSQKTAIDTSVHM PEVTQFGQLIEKVSEEYCLRCVNKLRRYIRREADVLARIQEDLDSLKEAHENAQRIML AVERMERPVKIDQFCTGEIWRKVEMLQVALEHLEL PFICI_03764 MSKTYRLGPIEEEEEPLTGVGSDVDEKLMWRTQKKTILDRLNDI SPHWAWFAHAVLLSLSLTFFALSFCVKSAKHPGLDRLPETYSPALGAIKYEIQHFDLP PVPEGPFVGKGDDVDAMWEYITDGIPDTMISREEMIKIGLDPEGALEITDPRTGKHGY RVAIEVFHQLHCLNLMRQNNYKAHYAAQGGDTSAEPHDLSGHMDHCIDALRQFVMCQA DVNVFAFRYPFGDNDPWPDYTTPHVCRNYESVRNWAIEHGVPHTPGEPDH PFICI_03765 MHAPSIIQLAVTGLLAASAASGFQVTGPRDLARPLAGALVAYAL PALASAESIEAREPHHKGRGKKAGAKRDEAAELETREPHHKGRGKKAGAKRAEDETAD DVVEDDVDVEDEVDDEVDDEEEAGAEIEARAPHHKGRGKKAGARREIAARHHK PFICI_03766 MSMYPQHRGLPQNASRLNELLDQIRAEFDNHVRLNEGYEQQIQQ QVQEAQIVREKVYQLEQTHMTLKAKYEEEVILLRRQLEAARSGAPQQGMPGPPQHGGP SNVPPPSIGMGNNLFQGIMTGQGGQAGLPPQPPPQEQGPGPQHQMPQPPPGLQGPPPP QPPPSQQPPFQPPYQQGPGPNGFPGQPPQSTASPGPGSKRIGRPPTGPATPQINNPMP YPPGPGASPQVHHQPTPDHRGPMPPHPGAPGPLVNNALGDLDPDRLPAHTKKTRDDWF VIFNQAVPRMLDVELVHTLSHESVVCCVRFSHDGKYVATGCNRSAQIYDVQTGEKVCV LTDENVDITGDLYIRSVCFSPDGKYLATGAEDKLIRVWDIAQRTIRNTFSGHEQDIYS LDFARDGRTIASGSGDRTVRLWDIETGNAMLTLTIEDGVTTVAISPDTKYVAAGSLDK SVRVWDISQGYLVERLEGPDGHKDSVYSVAFSPNGRDLVSGSLDKTIKMWELSSPRGM NNPPPKGGRCVKTFEGHRDFVLSVALTPDANWVMSGSKDRGVQFWDPRTGHTQLMLQG HKNSVISVAPSPAGSYFATGSGDMRARIWSYRNV PFICI_03767 MAATKNTVTTTLAAAAAAAAETEENVYQTCLGKLQPLVPVLAGF NHRNRSQHRHARWWAAFGSLRRNLGRLVADLEKAYALSKKSSRSGSSSSGKKKRKREG KGDDGDGSATAVGEGHRVKKGPVEVRAVWMRDHEVPGCYVAFSQLAADNQFAVLGLAL LGILATVHDVCVRLVGEAPAVSKPAVSPSSLSVPVGRNLTSPGDAAAAKTGETKKSIG GGGGGGGGGVMTPAAMDLGQVVSRKDVAAALASSSSSLLSKSKPPKACAQEDIASAST AFPRRVQDGEAPPPPPQQPVKRKKKDPSTSKTALFTDSTPSTIKAAEKQSDGKVAKGD KIKKKKKKTKGDEFDALFSSLV PFICI_03768 MDSLSDDRGPEIFYVTLSLLIVSTITVAMRCYVRIRILKSFRIE DWMSLATMLSFGFFCGCVFLTVQKGAGKHLLAVPFTDLSGALLSRYLGEIAYILTSVF LKFTVGIFLLRICSRQWQNTVIWGVLAIVLIFNIVYMFIAVAQCQPIDYFWNRLVDFT EKGTCVSKELASGSTYAATAVNAFADWTLGLLPIALVWNVELNRKAKISVAGILALGV LASTATLVRIPYIWQLTHTVDFTYNFTDFTIWSTVENGVGIIASSIATLRPLFRKARD LTRGTSTAPSNNLPRYSATVRRDSVRDTAFHCRGLSGQQQYDVEKAILSRQPTKKKVV LSGEVIDMERPNQTYGSDRSRQESSLKKETSPLRATYDYQSSHSGWSWKSKDGTRDSR NPEGVDEWVEDWGNSARSSRSRLWSNRTDWADRRTTW PFICI_03769 MAALLALLRTEFPSFRETFGASVTKSAALQTDTVSAAALRRVGD ETDDVHSLNDSEQLVEHASAVDYATSERFMQ PFICI_03770 MEFELPIRLLRYANGKFSVFDPRPNQVNSFDILSYTWEDHDKPQ PVKKETPEQKQQRLEEEARKDEAAKHGINHPRGGQPYAHGIEGVTWWFNVEEEKLNDI KSLMRNASIEYLWVDCVCINQRDRSESAGEVLKMFEYYKSARTCHILLKMEHKPWNPQ EIVNDLKFVDHLLYHMHGSALASEARLTDNLKTSLSAWADSTWDFPVDRSIVRSAAIE PGLLNCYSTCVSRVTSLFSNRYFSRVWTFQEMLLGKNIHMWGLNKTEVAPIGKLDNWM DLATDAKDKATKLYAWIETAREWKTASCDAVLAQIEADIERLEWLTVQAQGIVAARTD IVNGGPGWWRHNHDGVSNVFSAVSLRPRRCRDMEDIFKGLLGIFKGLFTYEEIQADFK DKTLDQMAFHFFKNLSIKTEYAWTRLAISSGERTSYNWIPITTNSEGTGDEDNQENTL TTDCFSGIVNLGRLKSKGSVKTPAITGVVGNPREYMKISLVQGDGQFRFVFRGCNAGK TVKTGKWFGKETIPVNEQAVRVARDDTGRTLVQCATLLAMVMDPGCHVVQYRRRLLQS LRPVWTVSDPYAKLPGWIDRNVSGTQWQNPDPWSLRSHNHSVNYVMDESLDCNSRLHK GSTKKIMCEVRVNCGCTIVAPFSLIFEALTVVEGSSFGNIIGVQDHDHRIILNDGLGL VQAGDVGSSFDLVAFGGSVDFHPSYAKSCRRTKKYETVPPKLPPPQGRALVREDFSHG MMGGYGYVRTGGAGNLLIYRNHPVDKYKIIGVCINDHVENKKGENQVDIR PFICI_03771 MCSCWPIKDIILEEDDPPTRPRQQMFQYVWDGQRWVPRVGSPPD IYITADGYIKHPDAYVAAADADDLNRPVPVGLVRWPALPSHFVGLSRILKQNFPLLKA VWKFPRPETIIHYTTQPSQLGLQQYYHSSKHPAAILRTFSLFFFSTSLLNPFDSTTER VTTMPAKKGKKVTIEDEVPVRPILRSRRDSSDDDEFSSANPAAGTASDPNFKGAGVQQ FYTVGAHTRGVVNGPNPAFGQQPFIHWTGGQPQQASQVRPGIGLAYHPATGLVPHPGA ATTSFFNQAQSSFLVSHTHDFQPRKQETQLLAAKSNPFNSHHHFQVAQTLQNHFPHHR RHQNILKMADYGNGVPPNTGQNFQPPVPDTTYGPIQHIFRPRSDRGFQQQAAAGVQLG ATYQSTPCTYAVPMAPGQAPVGVAMPQVQNGVATAPMAMPMMPNQTQPFVLQNGQPIQ YVATGMPGQGYPAVMPTPGMPQPMGNVVVGAGGQPQPQVLMTGNCGPGGNPNPNPPDI MGVGRTQGEHNAQLLNDMHASSLLEPQEMKPADDDPSRMYLLRELDGNWTKRNRFTLD RLPVRWYVTPWGGFYAVRLED PFICI_03772 MALTAEQLKNHLVSYYLLSWRSTGVPADLNDILSQKFVWGLDEQ TGESKAQQTDPDYAYISSEDPTTLLRINCDDSAPTDPKLRAYYSDLEGFMVRHPDIVA EMRASLDEDIELQRLILLWDTKPLEMMDTKEKIQMLARDQLLSKEELIPPSTLMKLPI YLMRVDDKNQASFQYHQAITTGIQGSQEQFLNWLNTIDHENNETLLGQGTNAFIDEIF NWDKYDNDTEQTCALSGIANGVSLDDWSYKSLEDSPFKLSHILEQRGESFEIRQNNPT EAEILPSEDNGDVTEPVQGWSMVMLPIPENYRPGVKPWYLD PFICI_03773 MSIWDTLTGRKPSSSSASQQSSTPSDQAAPTQTPTTSYSPTPFD PSEAQGVDQFLKGSAFSDPSQLHPLAGLNKDSLEYLTLEDAALSELPGSQSVIPSRGF SDDLCYGTGITYLTALTIGGAWGLQEGLRRSAGQPPKLRLNSVLNAVTRRGPFLGNSA GVVAITYNLLNSGIGYVRGKHDAFNTILAGGLSGMVFKSTRGLRPMLVSGGVVASIAG AWAVTKRVVFADLEQHEQKL PFICI_03774 MDVSAAARDAYPGLQEATFNPRNAVTWIEEKPAASQVSYANDIF EELLQSAARPRQSSGQACVKLCGFIEQCSRSKSPDVQDYAFSEKTANDLFNYYVEWNE QDQHRSMRLVLDFLKSSITRNQRPENGEVIKTRLLNDIVSFITLKSIKPSVKSAFMAL DYFIQKRIFYLPGVIQTYQKIHGVSEDQRESWNTFVARIFDWMIMKHLSPVAGKLLAT IFSTTWSEQQDARFLPDSWHKFLSAGLAKDIELLEPIQIYIMMPLYTSDKVQMLKYLE LLFSLQTLTKDQSDLDVTSMLWLASLEAGKKVGIVGEPLSDREETSKTQGIAHLQKDV LEDILSHSSQEARSSAVSILIASPSSTKPYSIASLELLRKHLPAFYSDGDAKFRYDVL GYSRNMISRVQGAISGLAKELERLAKKAKKNNTGGTNAEDPDKLKHLLQLHDDFLGWY FNFLKNELVPTASYQRHITSLRAMEFVLKSESRGKQNGSKEAWLGSQLVDSAWLRSVL DLIMDPFDDVRETATNLLVLLSTRYSENSTTITRPMLQELEEFCTRASELASKTSRAD HSDGVARSYEVLCQWTRSKDGKVAIAARILADIELRLSAAESDLASAVLDAPIHGGFA ALRYVWQSLSSTDYSSDEVILLETLQARAISSCQRIWQAVRLVLCDDSPEGHLPEELE EVDQLDTKDLLSYSFRAIHESSHLLRVISSNIRIKKSGYIRPSSQEFEAIGNLTFEQL SNLRHRGAFTTVTQTFASCCQLVKYSSSSSEQDKSLLKVWYQGALDCIHTQASTTRRS AGIPALIVGILASNSDEPSFDTVMHELRDIAQRPAHVSETDGSNLPQVHATNCIKDIF KSSMLSRRAEPYLTDCLQLAANSLRSEVWAIRNCGLILLRSLIDCLFGTSESKVLMEA GWDGRSTRVAWHKYKSLPMLLVSLLKSGQATTSVSKEIATAESVFPALDIIRRAGPPE EFKDELYGIVAWYLGSHIWHVREIAARTLCSFLLHADWFGHVKTLLAESQSSANKLHG ALLTFKFLLERLLDTMQDQLLKHYPEDLSDLVQSIPSMPGSFTTCAEVRAVYFEIISF LNGLASNSHIGAQLTDPVLLELNDASSQSSANSFKIRSALEEAKEAQASTASEMERIM RSPDQLANFDIGALLKSDVNAACDALETMLSYVEVGIGSLFVPLAASRIIKICITAVY ETHVPKPRALALNILAAELDGLVHKDAMAALPATTELTSLWQALHSGALNPGLADAII RVSGPLVRFIIMRGSAEASAENNEQWLKSWGTMMRSAGSVDNGFDTRMSGIQAIRSFT ATSSNDNNTLSLASSANLPWLLALYDYLNDDDEEIRDAAATAAAPVLGKPLVSIEAGE RLLTLLADLYRDDEEFRHHAASRLVGEQQQQLGAGQEWTPAEIQLAQALRFDDSLFVV EEQNLYIDEVREAMRWRDALFHSSSSSSSSSPSGGSRQALADWTTASLGTLSRIAAER GDDGVLGWTSKPEVFAICARIAVAGAALSDSHEAVRDELRAFVGLGQKTRVHGLLLQM CGI PFICI_03775 MTNHHDVFKALAPIEWESINQDDLKTFLTDIFVEAQCLIDSIPV STSLPSATLSSKSTGGRPRSSTDPAGPKLPARAPMTSERAEHLRKEWKEVQVNPRENP LGVDVYKLSAKDKKGAWFARRSLHEGLPFDQWKLGMQAEFAESLKVQGGPGEGKIRGI GADKKVVDITVDGCGKMEVYQLSAQFPGPTTPRDFVTLLLSSDSAIEPPVQQGLAKPR YYMLVSKPCIHPECAPRSGYIRGQYESVEFIREIKVEKPLRKVRSSIDLSHEEQSEAR KEAIEKSNKEALARSARNAAMASSVSDTENTEGRKRGKTIAFAGTEAEDDDEENVETL VEWLMVTRSDPGGSVPRFMVEKGTPAGIAGDAEKFMKWVQTRKSEGSKKAVLGEDATE EDTPTSEPQQKATPGVTSNLVSKASNDPTAKPGLVKPDEIDEDEEEGPRPGGFYGMIA EALGAVAARLPNPLGSAKGGDTESDLSDADTIEDDTSSIQSFHSVESDVEADSKLAKT DSNDALSPVVSIGRDGEAQSTHSSESVTGKSNGPSHHEKELRKLEEKKRKADEKITRA RERALSKKDNDAQRDEAAIAKLKEKHDREIQKQEERYKRELKRLEEKRANEQRKAEER RKKQIEKETRQNLAMELEKVKAERDVALKQIEVLTEQIGELQGQNTLLVAKLGKKSSP ELLDTLRRTDSFKTVKKTDD PFICI_03776 MAMDMKYVTTAPPSPTQAGIVPNCIRWMKAYDVDKDDTCAAFAS RAQDSRTYFYSLNPFLGKNGENCSALFWANYWYCITAKEPAETVVTITPTPTPPPATA KTTLQSVVQSFSATSTPTISEPQSIYEPKDHTYVGCASELPNWHALVGATFGYSKMTV DYCTAYCDRRKYTLSGLKNGRECWCDNTLAADSKVDTGIGKCTTPCGGNSSQFCGGGT GFLSVYRRSDAKVKTRGAPTADKKERTAPTDLGPHATSIGVDGQPTVIKTVKMVRRGR FARRNGFPG PFICI_03777 MKASSIITVTLLQASTAIAQFWSVPYASVSWDNLQHIRVYAVDP NGAIREWQFDGNGWKGPSFIGALARIGTIVTAVNDGDRIRVYYQLPNGRAKERIYEGK WYDGPTLP PFICI_03778 MDRLGPFPQSLEPFLEPALLCQTCFILAASSVLAIAAAPQSART LLTQYGARSNASPVEGSQERAKKPQNRFLEFMGWVTSLGQVPHSWFIHFYVLSVSSSV FWAAQYLCGGVILTTICRYQAARSPGPTMSMEQVQLAWLLMAMQGTRRLYECFYVLRT SSSSKMWCIHWLLGCAYYLGIGIAIWIEGSHAILQSDPSSLSLHRPSVRQVGGVALFL IAWFVQYRCHEHLAGLKKYTLPQQGLFRYLICPHYTCECLLYLSLAIVAAPEGDWCNR TLLCGVIFVAVNLGTTASGTRQWYSEKFGSEEIKDKWSMIPLIF PFICI_03779 MGDNTGSASAVPPVVLPTSLSDARIDSLPSSAYYIPDFISEDEE RIILDKIASAPKPRWTQLTHRRLQTWPSDLVNNKLVEAPLPAWLNEPVISRLKAIPRT QESDAPDLFSDSPHQQPNHVLINEYPPGVGIMPHKDGNAYHPVVATVSLGASLCLNIH KSKEDGALDPVPAWRILQEPRSLLITTEELYTDYLHGISDITADVDLSAETVANWSLL RSTDYVDGRNSRATRTSLTYRDVLKVAKLGNKFAMFQKK PFICI_03780 MDAQNAVESTSAKQPASPRRGSDNESTHPRNRSPVANKTAASST TTTTTTTVTKDLKPPPNTSSNATDEDAAHERDSDAETIVLPGKDGISPSKPRKVIKHE DKSDGEIDDHVLPAPKKLSREAKDLSNHGERSAAGDDAASSVRQKKRPLEKQRVRDGS AGLSSAPTSPPIHLQREQSSSHRRRNHGNHDSGSDSETPKPRSPKLSKEKGTPIERHL SHKRKAHKTESDDDADARKIRRPRVSETGLESRSKDSKSMSSKPHLERHSASRPRSIS PHVRAHRRSLSTQLPDKSYASGLGSKKRRIPAPLQSTDYHSDDSSASGSPHPRSSRLK SIVTPGTGDSTMSPAKLAPHKKHLDAHGQTLFAKACAKGEYDVAKTRLAERPEDLNFA DHAGNTPLQVASLNGHEDVVELLIGAGCNLECRNDVKDTPLLDAVENGHLGVVKLLLA AGVNPRKANAEGQEPLEKVPDDLDNAEEIRQALIEAKQKVGELRRTSEDHAPQDNQDT SSHGANSPRRSPEPSLGAVLAASSRRGGTVRSTKTSNHLLYMSLDEKTLRQAAARGDH ETVTRILQVKESCDDAEALVAAARGGHNFVMELLLALGGANPDPSPVASLPGEHSTPM LAAIGQENIKVVQLLLEQNGFDPTRRFRGETYYEIARRREGPMWKEEEHMLKNAYDEY KKTHKGHSKNKVSPSRRERDLAGQEAKRVSRAENNAEKPRPQHKRRPSSPPREGDAKK KVNSGKTASSPKEKKRSQSFSQNDEQVSPKRGRPKKEDKVPTIAISDREASPALSAKT HPVKPKRSESDMAGSSEGETAKPRRKLISGKDLKGQRDKQRRSSMVSNHSAKDATERH DSKIDDSTEKPRAERISEKYHDRTKALKRDDSRDRLSPNDPSAKRLRASMTPPRHGAD DKDENEAPVKRRKLDADGKENRGLKNPPADDRPRKRDSSGEPIKRQKLADGDRKDVLK AKKLNRERKESEKASSEKPESTTASEDVEMRDAPEVPSAEDREAALDKERAESRKRQA ELEAAEEEARKKAEAIKQREAEEKQKREADEKRLQEEAEKKRAEEEEKRRLEEAERKR KEEAQAKLEAERQKREEEAKKAREEEERLQKEKEDQRRRQEEERVRLEKEAAEEARRL REEEERRERERKRAARDAELLRQRQEQEREQEKLRLSKLPPLLRWLDGCADPKQREYA QLFTIMQGVRYDTINPLATGAQGREQWLLNTQVALLLGEKDLSLQRYTGWERIPVSNI AKRVIWRLEQDRYALTESKLYHLGEQLPGYYGGDPSKVGYQRLEKLRRDASKLFFDMD MFFVKESDLLFILPSIPHLRNVKLSICYRELPEHENQLNFWTAPAKWKSDPDANTREG FAPRQKYYINGRLDGEDRPGHYNPSTSPFPDQRVPRRGGLIAVGPEEPDYPQLCLEQG LGHLLSEHQKLMAMNAAHLTPRSMTSNDTADGPNGDNMSPSAASPTTRVNGNYERGSP EAPLVNGTNGIAH PFICI_03781 MAKTQKNKATSFHLGQLKAKLAKLKRELLTGPSGGGGGGGAGFD VARTGVASIGFIGFPSVGKSTLMSRLTGQHSEAAAYEFTTLTSVPGQVTYNGAPLQMI DLPGIIEGAKDGRGRGRQVIAVAKTCHLIFIVLDVNKPLSDKRVIETELEGFGIRINK GPPNITFKKKDKGGLNISSTVPLTHIDNDEIRAVMNEYRINSADIAIRCDATVDDLID VLEARSRSYIPVIYVLNKIDAISIEELDLLYRIPNAVPISSEHGWNIDELMEAMWDKL KLVRVYTKPKGKMPDYSAPVVLRSNRCTVEDFCNAIHRTIVEQFKTAIVYGKSVKHQP QRVGLNHELADEDIGAYLCVIPPMPRFDT PFICI_03782 MISSSSSGMDQDSHLAMDSSYSNDHWNSMNPYSQISISPSGGEY YMPSNYHGLPSESINSSHMAPPPIPHQLQPSHHQQQHHQGGHYTNQLPHQLLIHPQNT TSQVSWPSLRTNPSQSYGNAPIRIPPRATPPLKQQPKLPSLTTSTPRKTLTNEDRRRM CQYAEDHPNVKQTEIGLKFGVERSTVSKVLRKKDQYLNQDDRAGSPVKKPNKGKNAGE LERTLLNWARNQLRKGILVSDEELEKQAKAFFAVTGSSDDPAKMINKNWLEKFKQKNG LGRGKQIRRASETAIPDDAKLSTESPIISASQTPGGISPVSPIESGTPPVVSATPSNE GLEPATGFSGFESTQYKHSQNAATLDSAIADPASGSFSAGAFSPNSQFTFSPDPNSGL FDPQRLPLEADAFHRPRSQTFPTLDIEFVQQQSSEPPTPKYSASATAPSSALDSPAHE IPTQPFGVDSTISPILHHSRSSSSLGGRSIHTPILPSAAVSSPSSPTQEDARRAADTL LNFMQGHGLTNSDEFATIMRLTEKLRIHQQQHQTFKPLLPAGMMGGLTRIPEGDAEMS NNAAHMVKDEAMMTG PFICI_03783 MATAAAIPPPGPPSPTLKTSATWSAAAKPPSANKPPPPSRSHTS QTIARRGVRSADYLSDKATAAFIRRTLCAKHLAERGRFSPAPIEELLPPLTSRNDVDL QLYALISVILKEFVQKWYSNITPDETFVAEIVQIIAHFTRALEERLRNVDLESLLFDQ LPELLDAHVRAYRTASNSAAKPPLEANFREIYHSLWPLPALSPLPKPGDARSVQAQAD NEAAYRQLLVQGVLALLLPTEDLENECLTSLVGQIFSELIIGNLVAKKLSEPWLLLEI FIMLTRLAKGGPQPPESPDQLAPTTFEDEPIEDGTLPMKSASRSSPSEWFWSLVQLGF LVVNIIRLLASTWVLSRSLPPRTETLFVQKPVSTDQQKSEKSYNPISSHPLQAGPTRV PIAEFKLWPCVANLLEMDARMPWLGGAFSMMQWSATKGPGQFAGYNGVLDRLFSHCIQ TSVLDSDRLPPLLRSIRAALFPNNAPGVSTLFPPSSEEELVALRRRCASAIWGVIPKS MGRVYFGSVSLWTGSQRWSPTYSQDTKSLAHDPEVRPSQRGKSASGNHGAVDDGSIAD EHKDAQASGVGRGSESHKKPGNQTPITPLQRRGSENKHRETNDDLSLSQALPDPEEER ILSEIESGILDVFSDAYCNKHLIYGMLELILVRLMPELTEKGIIELWEERLS PFICI_03784 MEPTMRSAAAFVCRRCSQASRLRAPTSARAFSHVASQRPVQGTT EASSGKNTRAFSLFGQKEKKGQEEGISFGSSTPAAPREGQSPPVLRPDDLFHSYTKSP IPEIRRRAAFMRQHAHCPHPDHRPTRIPATADDVDKAANSGNQSPAHVDFECPDCGVP VYCSEQHWAEDYEAHLQVCDTLRQINEDDHDLRSGRFFPEFEYAGPQMEEAVVNMTNW DTFLYTRQFEAINDDRNMRQVTRLLTYPLTVGSILHELSPYNIRQGGRLTTEGLKSFS ALRYTLHPPKTGGGDDIRGLRPEAPPVRIFILGARAESSLPRNVWVQLAHLFPRGKFH LIFVGPESMANRDDEFPLPPRTASNPFGAIVEDRVWPSMKISTIVDYYHTIHKTGYYQ PYDPYFDCFVLFHPGLGHPASSHEWAETIPLLLETKAPIIATGYTQFDMERDIEWVNK TCKGEFDILMEPGENIFRSLRWDLNDMDPQDVTCGNWGTWAFRGKRYEATRKESE PFICI_03785 MAATSNMFLYSLTIQPPTTITQAIVGQFSGTKEQQILTASGSRL TLSRPDPSQGRVTTLLSQDVFGIIRSIAAFRLAGSSKDYVILATDSGRITIVEYMPQQ NRFVRDKLETFGKSGVRRVVPGQYLACDPKGRACLIAAVEKNKLVYVLNRNSQAELTI SSPLEAHKPGTVVLSMVALDVGYANPVFAALEVEYTEADQDPTGEAVAEIETQLVYYE LDLGLNHVVRKWSEPVDPTASILFQVPGGNDGPSGVLVCGEENITYRHSNQEAFRVPV PRRKGATEDPQRKRTIVSGVMHKLKGSAGAFFFLLQSEDGDLFKVTIDMAEDDSGKPT GEVRRLKIKYFDTVPVSTSLCILKSGFLFVASEFGNHHFYQFEKLGDDDEELEFASDD YPTDPKTSYTPAYFYPRPADNLSLVESINSMNPLIDCKVANLTADDAPQIYSICGNGA RSTFRTLKHGLEVNEIVASELPGVPSAVWTTKLSQEDQYDAYIILSFSNGTLVLSIGE TVEEVTDTGFLSNVPTLAVQQLGDDGLIQVHPKGIRHIRNGRVNEWSAPQHRSIVAAS TNSRQVAVALSSGEIVYFEMDSDGSLAEYDEKKEMFGTVTCLSLGDVPEGRLRSSFLA VGCDDCTVRVLSLDPESTLESKSVQALTAAPTALSIMSMQDSASGGSTLYLHIGLYSG VYLRTVLDEITGELTDTRQKFLGPKAVKLFQVSVQGQTCVFALSSRTWLGYSDPITKG FMMTPLDYDDLEWGWNFSSEQCEEGMVGIQGQNLKIFSIDKLGDTLLQESIPLTYTPK HLVKHPEHPYFYTIESENNTLPPELRAQLLADPSNVNGDAQVLPPQEFGYPRGRGRWA SCISVVDPLTEKAVLQTLDLGENEAAVSVATVSFASQDNKTFLVVGTGKDMVLSPRQF SAGFIHVYSISEDGKAIEFIHKTKVEEPPMALIQFQGRLLAGIGKTIRAYDLGLRQLL RKAQAEVAPQLIVSLQTQGSRIIVGDVQQSVTFVVYKYESNKLIPFADDSINRWTNCT TMVDYESVAGGDKFGNVWIVRCPEKASIEADEDGSGAHLQHAREYLHGTNHRVSLMAH FYTQDVPTSISKTNLVVGGQEVLLWSGIQGTVGVFIPLVSREDVDFFQSLESHLRSED PPLAGRDHLIYRGYYVPVKGVIDGDLCERFALLPNDKKQMIAGELDRSVREIERKISD IRTRSAF PFICI_03786 MRRETMQACCTCATLLTQVPRYADNEKPLPNDRALECCPRVICG NCIHNNYRFQTYCPYCQISTTPSRLPQGLKEPPSYNSAAESSKDSRRHGPPPPYSASV TTNTTAGGKRHVDPLDEKSVPLDNDEEVAEDVLHFLNHEHDTVTSLSLRYGVPANVLR RANNITSDHLILGRKTVIIPGEYYKGGVSLSPTPIDGEEEELRKGKIRRFMVACKVSE YDVAVLYLQQSDYDLEAATIAYIADEEWEASHPVEGALGKKTARAGGAGLGRSRGFQR GRGR PFICI_03787 MSDPTTAERAPPTPSAPNIPTQASRHGNPLISVLLNEAGLDSPT FRSNALHFAEQVDAIERWLEGYSRTAARLSHDMLGLEAVVNDYLAKVIPPQNVVSLDH DYTALALKRISEGSREWWTHILGLAKKMDTMSAEPIRNFLQNDLRTFKETRRNLDQTQ KTFDSTLARYLGLSKAKEPSAIREEAFSVYETRKAYLKASMDFCQMAPQLRFTLDKLL VRVSTDIWREMKRSKDTAGSFGKWGQQMDRVRGWSKEMELTESTFKRELQAARREVGE SSVVAFKPSRELDAYSASTVPFLGSKGPLSVNTDEKAGVYSEKQGWLYLRTMTGKPVR TTWIRRWYYCRDGIFGWLVSGPQGVLTGDEIGVLLCNAKPAISEERRFCFEVKTKNQT LMLQAETQSELMGWLEVFEVAKKKAFEASVGRDTSSLPGGVDPAFAITPPSMPEFSAK TMDAQLGLEEPGPAFEKTNTLPIPGQENSGIPRASFDVISSGPRRSATALGREEGESG RDHAARIMQKLDLHRKANFSSTTDVSAGMPSPGPSGGIASLISASHGLLPGYPSPIIG QMASRPQQQQQQQTPTLSGPEPQAGSLAPATLASPPAPTSLSKTAVVYSSDRTLTNDT GPGLPTAIMANYWGSNAWAQEYAPKPVKTEFNPDDPFGPNSPSIKMTMTNELGELKAS TPTHKKAISMDAKILHPANIKEKSTQEQFPPGYPLELKTNTAQFRLVFPNVPLDEKLV LVFRASWTTSTSERSRDSPGMAGNGRIYVTPDNMYFYGHQMGLVVAYAIPLDTITEVT AAPGRDCDFIFLHLNQDAPDVQYSRISIKTFLEDMDLLHARLNLLVDDLQAEEPMEVM DLIKALINFENEEYDRRSPSAGSWEEVSANTPVDNNTLAGRAVSPRADLYAKSRARGA MRKPAPKLQLPAHPVHYEPEDMQRKVAERTFEISAKACFHVLFGDKSFVFPKLYFERR AKQIAQGPWTVGDAGRLQRLFNFKVKYGTMFGQAREEDITDQQTVEVFSDHVTYVVTH VKTPWHLPHAGAFKLVTKIVITHIAKSKCKLAIFTKVAWSKAVLSKNIVERQALDDAD MDAEELAEVATDQVRKIGPHSRTKRAIQIYGNIGQQTQVVLFSPADTEKTRKTHIRPH SLTSMLFDTVRSFAESALTSIIMFAFAGLKKLWDVVTAQRILLAVLAFSFIVNIVFSS QGASTWWSERKAAGFMQRLGVGPNVMMSKAIYLRDLDEAARFSSLDNSWPAEDSSCYA TFQSIANSTDIDAPYEGAGATLSSASSRATARRLRRSRQRLGTYRHDLLVAMRMVNSV EREMIQGEWENWLIDENYRCEQVAGVLRLWNTTASDDKTAGKGGSVVAVDSELQQKIL QEKDERKKETLMSWYTDYCGSCKQDKDDLMRDRERLSLA PFICI_03788 MATLETPSTPGRLETLPTVVLDFICEILADCDSRRRSLFAFSLV NKRCCAVADRQRFERIQCKVRGSSELREDIERWTSLLETSQQFRHVRKVKVIGFMPPD SLETEEDWVVSLKVAVERSARYDREERAGEGSDHDGFFDPPVEPVMVDVAESHLDTQE AKKQHNEAWQPFADFISRLPALRDLVYSCADQVPPCLLAALHQHHPQSRLHVHTFALR SLLQKHDEIRDIDLDEYALITSPCLYSVLLYTSRGYITTGHVNYNHEALFAMASGVSP GLRHVRTNTIQAGNSPALLRAARAPRPEWRGFFMNNNGTTYAKGQLESISIIGQGSSV NLGVAAWARITDFRKLRSLEHATHESTTDDLNMLVLMASNGQLGQLRKLSLQLNASQH VYPYMEANLAVLLEHLPPLEELSITGPHGPMSLSAITRHQGASLQKLKLVPDQSTTAG VSTLAVVDSSHLVNQLQRYCPNLRQLELMLPRSKGDEREVALYQALGKFKSLTHLTIL LDCCYQQWMNLHSIPPSRELIRDIFINATVDAALARSIFNFICTADPSAPSPLRVMRS SVLWCDYADIFGDSAIYNLLSWVGRSWLCQRYPRLDQTGEITVDEIQRSHRYEQIDIT SDEWDDFSHGSELKQIWRELWPEKTDIRVTDCTSFPLMNASG PFICI_03789 MCPITPEQHLQAIADNDSLPEQFKSNATLHLQRRVVLAPSSEAI SDVVVEPPSTNNVDFTWSIYTMDNKLGSEKTLPGNLVASSKSTTKSVDDVAYDKCHKS FAWTWKLFKEKFSRNSLDNNGLELRASIHYGKDKGDAAWNGDLKQILIGDGGRYATDD GRTTSPLSSCGIDVIGHELTHGVISATVPGLDPAQQGQFSKPWVNDSDVDPAFKRRME NLMSKDEKTMEQMGPGGMWYTWNQGRNMEAQTLNEHIADCFGIMVKHFSQDQTVKTGN WQIAPGWWSDDTVAKNNYTTNCLRSFDLPKSATDADQFPKKWDKNTMCFEFQWNSHFF AGIGNHAFFQAAHKFGGKTWENVGQIWYQSLTDPMFNNRDNQNYASWRDITIKHAGKL FGADGSKKMTESWQIVGL PFICI_03790 MAPRTREELLSYGIPDPALLAEIERNPVRDPQPSDPYYGKNTHG ARRAHRATTLREKHHLRYIPGPIPNEVAEEDRQIRVRGGAEIRVRIYTPVASGQIRTT HGDDAVKRPLFVMYHEGGWSMGDLTDEEVNCRLFSRDLGAVCVNVEYRLAPEYPFPTW INDAWDALKWAADNASSLGADPSAGFIVGGGSAGGNIAAVLAHLARDEGLSPPLTGQY LCVPAITCFLPPGDLPEAYRAEYLSHPSVTPSADPILRHVDVDAMFRTLRADPQSELM APFHYGRRSDGRGHADLPPAYFQVCGLDPLRDEALIYERILREEVGIPTKLDLYKGFG HYFWTNFPLLEQSRVFVEDTVKGAKWLLEQAASKRG PFICI_03791 MDSEDGDFFVKQLANFVRTHEKALANALQFRRQSNAPRHGASQS VSALPTPPSPAPLVERPSTSASTSTGGLAAALSLGSFSFTSHNMKPAKLALTPHHLFY LLSRFEEMGINVGPMKVRLENLHDASSSANYVSFLDPSQRTRSADVVSIRSVSSVRSV MSGMSALWTSFGIGSSISAAREEKQKAALAADLRYLYSAFTKIPCLRLAPDWRARLIR GYEEFPFDSAVPLYVFKNLQALEISGIDFRQFFGWDRLADQIRSLTLKRASIDDPADI LIDIVLDDMDKRRRRTSKAQHSPTVTNPSPRRSPTLQYAELHRSGSTPGSPVPRSSLG DFQVGSVGTDSSSPSGSRRPSVARIETEEPRSPAKSGRPRSHSPPRPISSRHHTAPAT SRQSYKVRRSGSGSSHSSLSDSWHNPRNSASNLLGMGVLPASKWRFLKHLSLADNSLT SVSAASLAPLANTLYSLDLSTNLFTQIPDSLATLTALRALNLSNCMIDSLHSLTRNPL PAITALNLRANRLQSIAGVEKLYPLERLDLRDNRLTDPMELARLTGIPDIREIWVEGN PFTRTHRDYRITIFNLFRRTPGFTEDVIIDASGPTYSEKRYLVERVAIPAAVPVVKPP VPEVPAVDVSKPAIIYDAPKEPAVLRKDRPQPKAVASENDVGSTRRRRTPKRRIVDLA TSDSAKANAQTMDIKELAAGVAIPNAGADTHYRISQSPETQKNSYIDSRLSSLPTLSE VPRIDTGISGPTSSLSSQPLQRPSSDDNTDWNTSGELYRQKIETLRGQVGNGYLSVLN EESWDSNRPSAFVGDYNQGATIRPNIATPRTQSVQTMASGRAL PFICI_03792 MKHGASVQHFDSLSNIGRSTTASSTRMETDVHHSARPEDHAMAQ VESTAYKTHGRNLGQNPFLIADRESGRKAITPKQSNIVASRNEEVHGSPTRNVLQPEL EKATPSRNDQRHTISRVGDTNMERQDNHRHHFVSLHGTPHVAEHLVDRFRSKLQNWSA QHSTKHSKPMTPVQAVAEKNATEEARPPHGHGWTEPAEKQQRSNNLDSKNLEKIAASK ITSWQQRIDDEGRNTTQAKASTGDQRPVVPRGRLNSPPAWLISPQKEPSQAWVHQKLR HVSSQGSHDAWRSHQPDTELPKRPKSSIHEDLRRKRSQVPVAERVKQLQDLDLSRRAS EIGTTAHEENAKSISEFCSSQTQTRHMNHAGLFQEQHKMPVSFAKSTSRNMAEHEVIH GKDLEVRPRDLVASPAQHSPQFAGKRESSFKHKFATNMATTNKRLEHFRRQDQYLQES YRQAITKAEAELDRLREEKNKNGKELTEQASSSRITASGFSTPSQILQPRQVPFNLQQ REQASDSQTSTEIASRRKEALMVVGKRSDLDLHRPSAVAPPTHECSWRDRYMALTSEI RQLKAEMSSVTRVLESTEHEVMHEDRMLGIESVTIVMHLKDKDDLVINTDLTQEGDE PFICI_03793 MNSFRVARAALRARPAAFRVPLQRRTYADAAPDKIKLSLALPHQ SIYKSQDVVQVNIPAESGEMGVLANHVPSIEQLKPGLIEVIEDGGSSKQFFLSGGFAV VQPNSAMSINAIEGYPVEDFSAEAVKSQIAEAQKIANGSGSEQDIAEAKIELEVCEPM VSLGKQE PFICI_03794 MTNNSIHELATSNILAWSSIGAHLQHEPDEEVAADVDEPPDDRK ICSNQAEFKRTLVRKHAEHPQSLLTQALHGQSDEESSPLDFVSLPLRRRSMNSTVSFA STAELTCDTGLTSPARTSTPSPPPPVVRLGQLQLDLLEPRPKIASGSLTMDHDTTPKK AVDVAAETQSSDPVVEQLAKKRCISFACGPKPEAKKPAQPLKLDTAAETAVEKPPQRK TCIKFACPSGPVRNAPSSNKEPVRAAASDAAHSAKARRDGSPATLLKQRSSSIGRSPR SLTPRRRSSHSPVAVKAKAFLTADSADLNGESSRFHEFASDEVREDDWIRQQLPALQR LTINDTLRKENEIRKLGQEAEEEALQEEFEDEEVDNDDDEDQDVDEDEEEDDLEVAGY DDVEDDLSGYGTDDDGSDGYHTDNEIGFADSDGDDDDADELELWTHGQAATLPNSGAT PIARRPSMAGNRSDSSSSSEAPAPKRTASREKTKRIRIRPGTPELPDSTDFVCGTLDE DRPMEDAYISCIAQKRREKMHLIPQDIDPSFPTSEPEDEDDKPGHDSDEHVWIHGELE DINGEPERADRRRKKSNHNSPKRYHSPPPKRHHSPAPKNRGRSPPPRKLFDRHSPKRG VSPPPPGRVIRSPPATPTQKATPKAIDFQTLASRPGLTHTKSLPRPPALFPNRFKGQR SRQAAYTGATGHVRGAIDIVKGLEHKRQRRREKYIQKHCNRARKGQVVEKRPEPGKGA QRMRELGLHMAGKQANTIHVLSI PFICI_03795 MWIINWFYDVLSSLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTLHPTSEELAIGNVRFTTFDLGGHQQARRLWKDYFPEVNGIVFLVDAKDHER FPEAKAELDALLSMEELQKVPFVVLGNKIDHPDAISEEQLRHELGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGDGIRWLSQYV PFICI_03796 MATEDAKSEKRANGTEAGPNKSARRVTFFGLGKPYHDYGFPPEA FDHTRLPDVDIDFLRPEDLESFAQALAAPDPINPANDDGLTIRSPTLRSASTFNLDPQ QTPTSPTVPTAESSGIGLGYGNGHDSRSATLRISERNRHGSQGSLLISAQNDWAPVNE KVGKRRRRRRKALGRSVDEAREGNLYTLLRWPFLLVTLAWIAGLGIAYLSTRLYVWGY EYFVAWRGQRERLRRSLRATSNYKDWSSAAKELDKYLGNDKWKQENEYAYYDSKTVRR VWEQIRKLRREAEAQEPGQDDGTLANSVRSNDRKRPIDELKALIEASVKSNFVGVENA RLYSETYFGTKNLVQNFVEEVEKSTRLLVETNQLSHEQKQTLFKHLHANYGRTALCLS GGATFAYYHFGVVKALLEADLLPEVITGTSGGALVASLVATRTDEELKQLLVPALAHR IKACSEPMSAWLVRWWKTGARFDSIDWARQCSWWAHGSMTFREAYERTGRILNVSCVP ADPHSPTILCNYLTSPDCVIWSAVLASAAVPGILNPVVLMMKNLDGSLSPYSFGHKWK DGSLRTDIPIKALNLHFNVNFTIVSQVNPHISLFFFSSRGTVGSPVTHRKGRGWRGGY LGSATEQYIKLDLTKWLKVLKHLELLPRPLGQDWSQLWLQQFGGTITIWPKSKPSDIL RILSDPDPDRLARMIHEGQQSAFPKLQFIANRLKVERQIERGRRETRNIRKGSLDNFI DEDDLQSLLLKGSVGTDEEVTGEEDDEEPLDEYAIADSDFEGMTESPGVYKDEPDEHD TEAKETREE PFICI_03797 MMLLNTLVFAALSLGPLAAHAHPGETFNKRAHMDEIANAHAVAD VNARALEACQNRPDVKERRERAVARRAATFERLRREKGLQDESFLHRRDAASLRQWMA KSHDKSSLKYTKDTPISELFGSNTSCILTPDNANGPYFVYQEQIRSNVVEDVKGVPMH LELQFIDVNTCKPANVLIDIWSCNSQGVYSGVSAAGEGGLGTTYLRGVQPTDKDGVVN FDTLFPGHYSGRATHEHIIAHVGSKVLDNGTYTGGQVAHLSQLFFDQSLIDTIEATAP YSTNRIARTSNSADGFTGYAASPNYDPFPNYAMLGSGVAGGLFVWAELGINTSSNWDY YAPYASTWKEGGGYNNPKFNMMVVATPPPTHG PFICI_03798 MSRLVRIAVVALQLWLLSSVVNADAVLDLWTKGQPSLDAQLAKS TTCTKEKLQIRKEWGDLTDTEKSSYINAVLCLTKKPSQLDATQYPGAKTRYDDFVAVH MNQTLSIHGTGSFLSWHRYYTWSYEQALRNECGYNGTQPYWNWGRWAADPESSPIFDG SALSMSGNGEKTSHTGQIVTGNGGGCVTSGPFKNLTVNLGPMSPAISPAPTKNSRADG MGYNPRCLRRDISNKLSSQYSRTEDIVKLITGNSAIGTFQNTMQSTAPINVHVAGHFT INGDPGGDFYISPGDPAFWLHHAMIDRTWAIWQLQDFANRQQVIAGGSSMMGTGKAQS LDDNINLYAVNSKVYKIRELVSLVDGPFCYYYA PFICI_03799 MVPSPRTPRSAATSSRPSRQKAALLPPQSRLRERDVTAGAATEP PPKRRRYVPGGPGGGGRWTEKDGSDNSGRRPSTGPSTNPRPRVRRNSSAVSATPVYPR RERSTRIRTATTRMAPDDEMQISSAAAVAAAVVQSEGYKPREERGWEEFHPNLEIDTS FVVFQADDVDGTAKSLPGTPLTFSANADNKRGSPIKDANVASGNGADASSSQANGALT SIADALPGTPTRRRSNRPRDSYFPFRQEMGTTPKTPKVLPIYNQTPKERLDLRQPQYR KTDRILLFESKKFGQAKYVDKAMMNVGYQESDNFIRDDQKLIKASDANIEDEADSPAT KTDTEPPSHSHALGRVEYDMDEQDDMWLEAYNEQRKSQGWSAVTREHFEITMTKIEKE WHALEKRIPKPNPKPPQTHRPRSSSAAAVNGEPQAGEEQDSKCAICDDGDCENTNAIV FCDGCDLAVHQECYGVPFIPEGQWLCRKCQLIGRGIPTCIFCPNSDGAFKQTNSSKWA HLLCAMWIPEVSLGNHTFMEPVMDVEKVPKSRWKLTCYICQQQMGACIQCGNKSCYQA FHVTCARRAKLFLRMKNSSGALDVLDGSTNLKALCDKHCPSEYAKENGVSRATRRAKR FYKKTMRDRIWANTQAAALSLAATHRNALMEHPADESQITGAKVSAVLGDVKKNQPGK SVWKLPSGAPIIPQAVFDIVDASLAKFSILKRREFVSDASRYWTLKREMRRGAALLKR LQLQMETFSSMELTRRNFAAMGPSGKTRLSRRIEFAETLIKDLEQLQAMSEEVVRREE VKLEDALLMQTFVDTCYFPIHKTFPEVMVKVFKFDDGKNVFKAGLLKIQDKVDERFYT TTIAFAQDLCQVVHDGINQEWESEPEVQPKLESVVAPLTKVDYSDIRERKKLGKRILK GVQPLLESALQSESRITNKTFEDLRQELGDLIEASFGVQPLPNAAEESQQGKDVIMVD AAEITVAPHDEEEDAEHEIDEQVDVVMTEPLEENQEDLDESQEEERSIEVSTLEKGEP APVTESAPQPSVGVGIEKDEAMSEGVTSGNAPDTPPATNGYVAAAPSSLPGPPTPPQS NGSLSQEQVNILTDGGVPWYLKEFDPIGTSAVAEPNTGEELLRSHSEALTEMDEDELK GLGVDFHSTSSMAVSPISTSNVEQAAFGSAKKSAKSKKRKPTTYRGRR PFICI_03800 MADQHDVDLDSIIDRLLEVRGSRPGKQVQLLETEIRYLCTKARE IFISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFVLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLP IAAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSE NDRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEF DNAGAMMSVDESLLCSFQILKPAEKKQKYVRRGLAANGSATPRKQSKP PFICI_03801 MAEAVPLHQPQEVASSIVATGTEDPAFPFPLPRVTIRFCTQCKW MLRAAYFAQELLSTFSTSLGEVALQPSTGGTFVVTIQHNSPPSSPSEASTLQTHVLWD RKTDGGFPETKELKRRVRDVIEPGRNLGHVDRDHQRPIPAAAAAAATGTAVDTTIMTD QQQGSDTTGAPPPPPGPRWPTSDSAQQPQSGAHNPDPIRRGHSRPMIPADEPATGTAQ AGAGGGGGGGDSDEYQPKMPRGGVKPVVKPPGEARNAEVRAKLEKTPQEDEGSGQAQC EDCQ PFICI_03802 MSSVQEQTARSSLPSVVLAGPSSPIASSAPHSSSHDTPLADKSR PASSTSSVKMPQYTSRDVGDPSQIKKNKQSMADLKLRRLTELNNRLREDLERERIPVS QASKSIIAYCNSTRDYMVPSVWGAVPRGEDPYAPQQSGGCCLVM PFICI_03803 MPPPPHQKPENVLKRAQELIGVGQAPTALNLLHEHVTSKRSRNV PIASLEPVVLLLVELSVEHKKGKLAKDALYSYKNIAQNTNVGTIELVLKKFIELAAEK VAVAQTKADEIQSSLEATTTTSVEDLEASETPESILLATVSSEQSKDRTDRAVITPWL KFLWEAYRTVLDILRNNARLEILYQSTATQAFDFCLKHARKTEFRRLCELLRNHVQTA AKYSSQVHAINLNDPDTLQRHLETRFQQLNVAVELELWQEAFRSVEDIHTLLNLSKRP PKNVMMANYYEKLTRIFLVGENYLFHAAAWSRYYNLLRQSTAIVAAGQGKKSDNPAAS DADLQKAASFVLLSALAIPVISTSRSRGAMVDFDEAKKNKNSRLTHLLGMNTAPTRAV LFKDALSKSLLQRARPEIRDLYNILEVDFHPLSICQKISPILTKIGADPEMEKYILPL QQVILTRLFQQLSQVYETVDLKFVEGLAQFPEPYQISRGTIEKFIMNGNKKGDLSIRM DHATGVLSFDSDVFASSKAVHAGSAAGSAESETGAVQRLQSTPSEIVRSQLTRLAKTL HTTCFFIDPTYNEARIKAHQAALARAKAGAEEENRAIMARKEIIQKRKEEASELHAKR EKERALQKRRQEQLLAEAEEKRLAQEQKEREEKRLKDERDRVRKEELKKQIADLKIGP NAIDIDVENLDDFDSNRLRALKLQQLEREKNDVNEKLRITGKRIDHLERAFRKEEAKK LPQDYEKQREHDLAAYEKSKAETLAESKAQHKANVELKHRLERLVPHYETFTKSLKER RRDEFEARQRDAQKEFERQVAARKKEYRERKLREKREREEQERALREAEERAEREKAE RERLAELKRAELAKIKEQREKERQEGLEKAAMQARREEEAMARRAAEKAAAVSGPRRE APPPQTDSSEGRPRLNLPGAGKWREREAVRGAGGDEPAAASAPRPAPPAERTDSNERS AGGPPRLNLVGKSGGSSWRDREAAKGTAGGGAAPPVRSASGRGPPERGADRGAERGAE RGDAPPAETLKASGAPGKWVPRHLRDKQ PFICI_03804 MAAPPGVVSHESIKPLLLEALDNIEPQNATDQSGTGPPSEEDQN AAIVNLLKAWLSVAEAPSLQSRAFHYVLADEPLDGPLSLSLLQGRAQTITRRMMSICE DLEVDLFLATLVKETRRDSEDDPNDPYGSYGRGYYDEDEDEDEDNDEEQSEEEPHPKL GSPDKDEAEDADYDLERVFDIVSGNHLVTDTKTSEGEILQNVDTCFEGEPDEVLEDEY VYMGESTVTSYHRRPVLVIVPAKTTFDYLWSSTGGGHGANRVDKSTKLLEWYTMNCLS PSAKPWHLAAFKRALHEALCRWTERVCDDLAMQVFQVIMIHRLEDLRHRVITNSSVRK PASFFIWAANNIDQEQMQWSRFHGQQYEILTELSTASHINEELESIITSSVYEIFHHL EAETRGGKEEGKTYYEMALFYKDLGFIKNKLVTNPEKYAYSTAFMLEFLRSLRRGIKR TEVPRAEALDIYQALADRTILSMNLSTMSAGPTKSKKSTQGGNASEFSETNEYVDPGM LSAFIDSLNEYKFGGLLSNLLALFVRDTAAIPSQEYDQLYIPVLHGLISSFEKHKVPL AQPAVQAAFKSILGGYILKYVGQEPRIINWTRDLTKCTCYDCRSLNAFLGNPSRERGY FPLAQNRRDHLTDMLRSSKINCDLEVSRSGSPHTLIVTKKVKHKRESTDYWIKLRLRA EDKISTFDQEILKLLLEDQYDEITTMRKLTPIQSQTPAAYTSTYSQSLSHLSSPSAGL QTHAQGNGSPHATSPSLYEWRPRGVTRHGQIKDYLVPTTPGAPMTPTAPKPTVYPVFA FKSAPQRREALAPRSGNESDQQKLARLQDEIARAGCIPTPSEKRASAAKANSRYNTPQ RPSASQPSWSSQKTPSTVGSTAGVKRKAEFVDLTLDSD PFICI_03805 MATGFRSFAVILTALSCLPRGLAWYRELPTCLDEFQPFVPVGCF DNGEPGGQEALSMKTEISVTGMTTEICVAECKGNGFRYAGLAWYGNCYCGQTVDTAMV DSSQCSLPCDGNKTQACGGDTQVNIYQDPTFLPVNETTVDEYVALGCYTDGSSLGKAL FYQQKMADTADVTTEACLGACLKGGFPFAGTEYAQECYCGVVLGNDTAQVDVAECAMP CTGNSSQICGGPDRLSLYVAKDLQSLEPCGYEPPANSSSTTTIISSTTSSSLVSSSTS TPASANATYSYSHVPSSSLSVPTSLFPTRSATLTTSVSSGVPSSAPSSAPSSVPSSKP STTTTSTTSSAAICTATTITPPTCEYSCGKWCSSPLPDWSDGTGCLTAWSSCSLQVAA CFAKAGWPDAMDCFSFLEWCADISTYCASKGGSKSDCFAKNPPKGGKPSTTSTSVYPC PTTTTSSVRSSSSTTTTTSSYPVPTPTGGCKQPTNSKYSYGDGAPVGGIALPVMTCNN LQSDYQSGNVFKLYTDSDSRKCASYPRSRCEAACSDACRAQYDDCQYTYAEGCRVNGS AGGKSYGGSVDRFTETYESASTRCTYQYLDCLDVNHGITGDGKCTKYGGGW PFICI_03806 MGLQRQESVKVANVLVPQRLLSTRNKNKEWRPQIVQNQEDQDIE AELSKARNVRDRPRPASATYNSSSHELAAPKPVHFSRPRKFSDPTSVRSSVLTAQDNP FHDASKHRSTGSSYPSSVHESFANFDWDGKKQHNTASTRTSSYTDYSDDLKQEQQQQH QQSQIPQRSNTVKTIAKAVLPDAVIERARSVAHRARRGSMVEVYEKAKIRGKQLERKK WVQVLFEYTIYLLLLCFIYFVLVGMPLWKGAVYWLYWVVSTKFVITGGWSITIGIALI YAFAPLLILFEKEPPMVERPADFDLKTLPGVHNTALLIPCYKSENIIGPTLEAAVKIF PRSHIFVIANGNSETPLDNTEEVCRRYGVKHVWSSVGSKIVAQYVGCYKAKRFQNVLL IDDDCALPPNFPVVSDRLVGKIKSVGYTIKSVGPESSKGTWCQQAQDLEYKLSGLQRA FAGKVGSATFPHGAISLWDRKFLVKTFNDHPGYSVSEDWFFGDSCRRLGGRIVMCSSV FVETETPSSVFFSSGGSRGGFGEMTIFSQRFMRWNFFFVNGMYYNMKYIIKSWNLGWW EIGAKIFVFQEVYETLLYLFTPFILPISFIVRADFCGYLLAGTCVMYFINVLIFNEVH LRLRNERISWTVLILYYMPYKLILTLVNVASCYWSLYKYAAYFAKRHPKIIEDEKAVE VAMRADAEEDVYEEKKVVSYSSSTVSSSSSSNRSSDYSRYSEHGLPHMTETTQHQTTY SSVVKKSNHRLSFVPGESDYIPPSPQPGIEARDFGARHEDPPVNPFFHPDDESSRRAK DPSAQSWV PFICI_03807 MALKHFILVALAATATHAVPNAELGETDNKVLVARTCDYDVDCK SATGSGIEAGKYCGFCKQVRPNYVADHIYQINGKSGAKSCCDYGYSTACADRWKVVKP NVEVNCKNSGKGY PFICI_03808 MAIEPETRNFQPATSKDYSVRAGAHIALEALGAACHSELPAAFK LHLDHVNFTALVAGTDNVCFPCPLKEQDLGAALKALEGCVVAMISDLQNGHQDRAINV DVGKVTGLLMSAYLTTLDGMGKSHSKMKYRLPDTDLNQAQSIIYRRLSANLYETCIPG EYYHIHGSLEASTTLAMLGLPPFMPDMTDYHECVRTIETAVKRLTVSELEELNQFYRQ AGVPVLTKDQFLATSHGQALASIPPFTVRPLGEVTPPQAFMQPDAETDSKQCLKGVRV LELCRIIAGPAIGRSLAAHGASVLKVTSPQLPDVPFFQLDVNTGKHTTSLHLKTESDR TKFEDLLASADVIIDGYRPGVLASLGYSPETLAAKAAARGRGIVYVAEDCFGGTGIDG AEWASRAGWQQIADCVTGVAWEQGWFMGLDEPVVPPFPISDYGTGILGTVAALSGLYR RATQGGSWICRTSISQYDLFLLSLGTHSLETQQQLWQSHDRAFFDLRHSDSVDEVSGR ALKSMKRLYPALFSDEMMQSAMSLGFAGRIRWPKEALSVSGLEIGHARVARPNGHDAP TWEGWEQDDIGADA PFICI_03809 MLVEYKAAPSEFTQDPQRHMIVEAPSNPLPSNTPMCLLPDIKTA SILVNSYFINTIGFVEVFDRRIFRDQFDQCFQDPLTIDPSVRCLLNLVFAIGLVLAKP EPESEEEEVIKKLREHKGINHAEVFFRNAKALADPESGFENANIWSVQALCLMAIYML SVSKRNAAYAYYGMAVRSAFALGLHREENDCSFDRIFDQTLGKVRRNLWRTLYVLDRF LSASLGRPMAISDEDCSEDPLEAGEKPLESEDEKVNSAALYAAVRTSRVIGKTLKEVY SKRKISCAITQEIAKKLVDWNGALHTELHWQQAKNNNVPRSRRITILHVNLLQCHSII LLARPFFLYILKVGMMQGSQARLSQRMDGYAQTCVEAAQHSLAIAQAAMDGDYLPHCN PFVIYFVFAAGLIILSNEFASLYINPDADMAVASCLSILGYCAEHDPQAKRVHHIIKT FQEVIVNRASAEPVLSFPGRIIPTITPASSNPHHDPMAHFFKNSKCGSKAQQLPIMPP TLRPEHSSMSASYQRRPSSSIISVHQQPSPDGTDGSPAAVVSASGSDGNTNPDVSFFL DDLFTSPYMNSNARMQMDHQQHIQPETFNNHYTLGPTPSLPFGPASIPPATMPPVSMG PHGNLFYSVGDNF PFICI_03810 MASFATACRLSARLTSRRVAQDASIRGLRTTAASRAAQNFTMPA LSPTMTEGNIATWKVKEGDEFAAGDVLLEIETDKASMDVEAQDDGIMMKIFQGDGSKS VQVGTRIGVIAEPGDDISALELPADESSSKAPAAPKKEDAAPAQSGQPSEAAPQKKAA DPSQRKKSGGKAPPQKYPLYPSVEHLVKEHKLDEAAISEITPTGPQGRLLKGDVLAYL GMASESRPADIKKRFDHNAHLDLSNIKIAQPASPAKPAKTEAAKAKPVPEDLVVAVPI SMEAVIATQQKIEATLGTFLPLSTFISRAAELANDELPLPANYKPSSDELFHQVLGLH KVDPKVSRGYYIPQIAALPSPSFTGVAPKPKAAAKIDIIDILAPKPKKSAKPMAAPLG QPGISTGSNTFSLKVPKSEEKRANAFLARMKLVLENDPGRLVL PFICI_03811 MATASTSCRGFTHPVLLLIRSSLSPAARHGTAASQSPCLLRLSA ASRRSSRLFTTSARRQQKPTTAGVAKTKVESLTRTPVASTSYAQALAQRPGGAILYEG AGQKIFIVSSYMAGLACLGGATFNIIFNVYNAPPGVPAWTGYAFGSVGLMLAILGMNF ILKPSNIVRRIRVLPTPEKTAAAPKAGGYSAPAKIQIEVLSRKLSPIPGMPLKRTVVN PQDIVLKAKMYNPKPFGAPADSVMAREWAERTMARADYDKQHPATAGFRSVGWAFAGF FHSIRRGLTGEGFAPIEVNGQRLRLDISEGFVLDDGKAMDRILTVKEEERPGMLFRS PFICI_03812 MKVLVLGMPRTGTQSIAEALIQLGISPIYHMREVGQNNHQAAWA EAMDAKFEGKGKPYGREEFDKILGDFEGLADYPAVIFPAELIEAYPEAKIILTLRSDE DKWFDSMMATLIHGHTHAPKPNPSPMAPVSSRYHRFCWNDDFPTHGREAYRKQNAVVR EAARGREFLEYETGSGWAPLCEFLGLPIPDVPYPRSDDWAVYKKEVQAQEELKKKQDH EAKE PFICI_03813 MVLINNDSSTQRVDILTNESHGTQDASSAAAFRRLTVDLPTTGS GSSNDVFSSSVGTIRINQGPSAGALELTLNRTIRVPDNRDTNMLPPSMGQFPLYRVQD YADRMPADMAAKGGLFFPMYQREAMWINFRASRPFALKVYVGGVNAVSGLPRSDGEND TEKTRQKRLRMLKDGKPVQDYMVVPGQPWLDGIASEDGRIRQFIAKPKGSGFSVEAQV TGEEKVGGVQIEVVPIKCGLPRELEVRYENSERGVIKRSLLLADKGLSEDSSVLDLKK LLKEEFNVALEDQIIDTNPWDSDMSSSLNGSESDAGATKLGSLYFKPNGSLTLSHKPK FDEYACDTRACFGTTSAPPPPPPGGAVFGAAAPASFGGALFGAAPSALNPQIVPEVMS LKSGPPGSADKFSMEMEFEQDFSMELEQTSACGKIMPVAARKVVKEMGLAAGGFIQQT IEPDRHPADVWDVDEANLLNLQILDSESFTEVTGLPPPPTPVDAETYAQNGYPFFEIW GEEKTGVKGDFAEVKSVAQIEAERAKERGEEVEEEKSVPQRIHVIGHFRSTFRPVAVL KKELEGLKLDD PFICI_03814 MLPNSRGCVSGHADGSAGPHPRAGFMISTLKLSQSARNGCLWCT IYWEAFKRIQGNRRPEDQEFIYWRYNDESFFEPWYQPGDVKIQIYIQDSREDLNEDLV CQFPVKNVLSDKTDSDATLTQIKKWQADCFTSHGTCHPANSNTLLPKRCIDVSNESTI QIVERGDNARAQYMCLSHQWGKEEMPLKLTKDTKDSLFSGINANELPKTFRDAVSVTR RLGCRYLWIDSLCIVQDDKDDWEEEAAKMASVYFNSLLTIAAAASSGPTDGLFESPDV RLMDNLPLELDQSVLPFPVYVRCEPRFEVGHLAGNEECGLLDRGWVLQERLLSPRIVF FGANEVSWECMSCKACSCEPIFAPYRLQQYSPREPMNPKAAFCQASSNEGQAQGMDDG RLLEAWHNVVNSYTGLRLTKATDIFPALAGLAKQVKTTRGNDQYMAGLWKDTIMHDLL WRRRVFGDWNQSIWTDHYDLHNCLDGGDRGKRKFHARVWDQDMSEIRTQKTLKHRIAP SWSWASLYNQVEYDRETNLRDFNLIDLELAKLVGVDCEHKYETGALESAENSFIRIKG KTAEVELRHVMVGNDFESRLMSNGCVMPFSGIDLTFPRRPPQDGTALTCLLIATGKIK ERVHMRRELGLVLLAQDDNSKPCHYRVGMFSHSYQIGKESSVFDAVQSTSLVQIF PFICI_03815 MIATELGTHGSLDHIIRTPGSTPTRLQKQYITLDIASGLQAIHQ AGFVHGDIKPANNIVSAYSDPRRDLIAKIADFGGSVEVQDHTNPVHFTRIWCAPELII PFSDTTSDWRKADIYTYGLVVASLWAKYPDRGSYGGGRLINPSSCFLSAFIPHPDTEG MGEDIITLMKCDTESNRVLSALDQRLDTIAPDDKSMIMNILTPILQVDPSKRPEQLID LLDDLASITGRNLIQETLDSQNSPESPDHARTSRPGSRLWPNFVVEGDGMLIKKIIAQ RCEEDIRSIYSQGQAIGISESSDTVEHLHAIAKQVLDIAENAAQGSLDLIISVLLCAA LQGISPWTQPISNAARGHGDFRVQTQLAKYVAALEFESISANDDDGLRSHKWMHIAAS QGIQECVYMAPFLLMGSKLESQFPLRLNLCLLTLSGSHMALQCLADRWPDVFKTIREI MRKLSRAFLHASTSSPGVLFRNTCPPYAQELHDIEHCEEYNGGFPLSLEDAFKIGAIE EIEQHIQTMAKEDCKTLLFKLAQSRLSDAEATSLARLSVSQGARLQLLTKCLIPDAPV ELYAISTNFHGSALSWSILRGKKRLALEIFCLHIEHDTPVPDFPGALVLSAIFLYHEL MEALLLLKQDNHSMWEADPDRPIPTDIQPFLTTLIASAGSTETSSSSIDQVFQQKIRH GPDWRTARKATLETLLTWGGRMSIYLAIRRDDVDALQLIIEDKQRSMREDEEFSWTVA FFDHWLFENENPTMVVGDITISLRLLPIWSVTISNDAQNCFSFLLHSFPGCFSDNISC WQGGPLHFACSSPNILYVRSLLESGSDWKGLDERGFTPLVHALARKNIAAADLINSYA QRDNQDAFTGCVGYWQSPIWFDWISRRDLRFIESFRWIVRHSNLHFFIKAPSIVPGRE AVMPVWSFLLYRPRPMQEFDQRCDLEMMEFLLSLEDFSSQINICRLHGMLPLHIAACF GHVQIVQMLLHRRELNVDVNAPVESSSFPDYSEFVSHMTAVDFATLKATAPEPPEISS GGSIAVCNWYNDLHTILQLFKAKGARRVFGDPEASKQIFQLMAFMSYLKTEAPDEENR KNSHPRSPISIGPFELVGKWPKRLSEIGLVKEGPSFPQTAGGGDTELPRVSQAVMEIM ETLRTWTSTEEEPWPGNAAAETERDAFNPAGRGTLGQDRPQVRWWEEESRRILRNFVE YTKRSRLQRAHERKRKGKEKEVAEDIPHTDSLARKLRDTILSGDLVDVKSILKQGVSV ETEDSLGLTPLHVAIMESKPEIVDLLLEEKADPNHPLPLDLVPLHLAVAFHSVPMTEA LLKAGADPQGMPQSIATPLQYSFAARSKDVVFCILKRSRSMEGLDSLDKQALILKLRD IDAKMTEALGTLMLRYKATKFGPDVYPFTLRNYDDDFHYTTGLQENDANDIGGLDIPH STLDTAI PFICI_03816 MSVDPISLALSTGAIALALKGAIDTALFVESFFDRDMISYGYLE TCYSTERVWLQIWRETCNVCENNDGILQEKPEYLKKQVIHILNTVHDLSQDAKKMIDR YQIVAPETSVKDLNKVVKSGHPSQTKNVADLRPNSRVRWIIKGRAEFEQIIRRFREQN RDLERLTLGPGARDGLASRVVSGIPESSLAGFAQGLHIVPKTNIALAASVKALQPSLE NQTLTSTQLMGERLKMVGPQSTRDSATGMLSLADGSQAPVWIEWIILEDGQNSNTNAY ISRINSLGGLLERVGDPMLHLLPCHGVFRDTNYQQKYGILRLGYVFGVPQGNDYESDL VESPPISLRQLIDGSNDTDNRGRLPRPFLGDRFLLAYDLAASFGLFHAAGWLHKGLHA GSVTFLQRSTQRSSAIELRDPFVTGFQYSRPQSSESLSRGPLENKDLDYYYHPDVGRG FTKRRDLYGLGVILLEIGRWGLIADAVSERNRPQDRQAWHTFLMKKAVPDVGWRMGER YEKVVRVLLECKLPGDEHDKDFYFQQFQERVLEPLSSCSA PFICI_03817 MYIEKYISPSFHLTSSCRMAAEDEGGVVNSQLLVHGFKNLRIAD ASVLPSAAPAQPMATVYMVAERCADFVKDTWSQTHVA PFICI_03818 MFDHSVLTEKVQQKWRFMLILVTLSVLTFISGIDATIITSSLPT ITQEIGAAGDYTWVAQSYLFACTIPQPLYGQLANIFGRSIPLLIFIRLFALGSGIAGG AHNLATLDRGGGGGGGGADNPRFRDQWNQRPPRNHHLRPNTAQRSWGNT PFICI_03819 MAFVIIFPISIAIQATTYFLPIYFQAVKNVSPTMSGVYFLTFAL ALFPFSGISAAILAKTGQYKALTLAGFALSAIGIGLFSTLDARSSHGEWIGFQIIAAA GTGFVFVVSLPSALAALPEKDVATATSAFAFIRALGLVWGATMSSIVFNAQVKGFKIY PFICI_03820 MLHSLVAFVLLASSGFASPVEMDGRQTACQSVHVFVARGSDEPY PGRQGALATAICDDISSCGYEDIQYPATFDDYCTSSQTGVTNGKSAITAYAAQCPDAK IVLTGYSQGAQVIGDILGGGGGSLGTCTQPASTGLSRTTSPGNKIAAALLFGDVRHVA SQAYNTGTGASKNGIYPRSTTQISGLNAYSSVLRSWCLSGDPVCAQGTDFNAHTTYFD VFTSEAAAWAKTKL PFICI_03821 MLSRQFSIIASSLLLGLASPAQALPGTNLEIRGDLPFPVSDFTT TVGSVQNTYCGSAANQPGQKIGDQTLLKAYGNGDSTQRANIYYSNSLGIIVAYEGTNL SSLTSIVHDVAAVQTVPALDLGIPASNLVDAGFQSAWYATWADVKQGLYEVTAQYNSS NIVVTGHSLGAAAALFAALAIQKEFGIVDKVIAYGVPRVGDPAFANSFDQVFLGKYTG VTNGNDWVPSVPYQWMGYRHLSGMVWINPANTTSWTFYPGQENPSGPQAAGTPEYFYP GTATLYFGDHQGIYMHNSMGTVYGPCPAAAGGY PFICI_03822 MPIYNELPVEIDAVDVIIAGGGTTGCVVASRLSEADSSLSILVI EAGPNNFEDPTIVTPILFVSHLAPGSKTMSFHVGKKSQFLGDREPVVPTARVLGGGSS VNMLMYSRAQGVDFDSWGMPGWSAEDLIPYMKRVETYHGEDLKGNHGVNGPIHISYGT YSSKRSQDAFLEAAKRQGLKELADISDLESVNGVQRAKRYISPDEGKRQDSAHRYLHP KLQDKNYPNLHVLVDTSVVRLTFDGKRVSGVTYQSSGAVTRTVKARKMAVLSCGACGT PSILERSGLGDKAVLERANVQPIVDLPGVGDGYEDHHTMTYIYRSDLGPNETLDGIIT GTFDVPSMMMRNDPLLGWNCLDITGKIRPTDQEAAALGSKFQRLWERDFKENPSRPLS LMVLANVGAEPVGEPGQYFTMSVFTAYPYSRGQIHITGPNMDDPVNFDFGLLSDPEGA DLLACRWGYKKQREIARRMKTYRGEVASSHPAFAAESEAKPTKCDNAQSLDIPEITYS EEDDAAIDQFVREHVSTPWHSIGTCKMAPLDKKGVVDDKLAVYGVQGLKIADLSIPPF NVAAHTNATALVIGEKAAEIFIEELKLM PFICI_03823 MISNWLYQQAMDIDTNNLIGYSLGAIVLYASYRYVYNVYFHPAA KFPGPRFASFSNLWYAYQWSTGKYPWAVAEALKKYGDVVRVALNELVFITPKAFTDIY DSHTAGLEHFPKTNFMDLGLGDSGLSWEKNPEKHREKAKKVAPAFSVKALRAKEPTMN KYTDAFVERMKDLGSRDEGIDLKTWTDWIAMDASADLAYSRELHHLQDMKSTSFLDEF PFKLLFVPPSIITSHGKVVEMNQKALDSRIERRGAVGHLDHFEQLLPAFAPEPTKEEK KNLEVTVGHLVVAGYEPIASQILCTIMFSLFEPDALKLLVGEIRSNLERYDDINAESL GSLKFLHASLMETLRMTVLQSSGQPRMSPGAEVDGNYIARGVEVQYGFLAFTRDARYF HDGKSYRPQRWLPRDHPNWDPKFKNDATEHFHPFSLGPRSCVGMPLAWRQTRLFVAKV LWSFDIEMLSNQNVTMENGFRMYGMWKKPNFWVRFHPVSREA PFICI_03824 MDDYQYDPLPGGNYIRTATILPGEADDGLVIDLAIVPFADSEPV NYEALSYAWGSPEPCVDAEIRQINDSIPALGHTGRRIRLSKNLAIALYDLRRNDNPRT MWIDAVCINQMSHEEKAVQVSKIGDIFKRAAHVVAYIGPETDGSTEMMEYLEFIGKNV VIDWDIRRVNTEAIRRHKWRGMRLVDDNGDLLIDIPLQDKTYQLFTRPWFKRLWIRQE IVLSRNKATIMCGRCGVSSQDFLCAWTSLYLHFSQTNSLQPQYLPDLWRASWWLDENR DPSLRNLRDSFAGLQCLDPRDRLFAVLELLPKAEKRLIGVDYEKQPEELYKDATLAWI QAYRDLSILQECELQNRWFPTWVPNWGTDSQLMPMMIMTSKTSQLAAVLDISQATRGA LRVAGTKITTIKDLRPSEIYSDTTNLMSKLHDLLDGLQLEGDYIAGGTLIEAYATTIA RGKFETTTKPESFECSSLDDMSRFIRLAYSAFPPQKDSSLEKGSVLDVRMFCVNRQLL KGSNGYVGMAPPAARVGDHVYSVVGCDCPLVLRNAGEGMQVVGQCFLTGAMEKQAILG PLPTQVEAIYVRDQAKGYQLGFRDRQTKEITMDDPRLSTLPLTEEERERLHNSSSDYG FEVSFDTLHKFNSEVKWLELV PFICI_03825 MASIYTALDISNYEIRIITIHPGDDDTIISCTLHKQSLLAEQEY TALSYCWGDPTVTDHIIVNGERAAVTINLRDALLQLRNIGVERVWADALCINQEDIQE RSLQVRHMAQVYARAVRTYAWLGKEGSDHAMASLIFLRQIMSAGIEIDGIEHMHMSEV DTGIDLDVASACPSCLAKASFQDLKDLFCREYWRRRWVIQEIVAARRVQVICSRESID LGDLMDALESCKKSKQWSPDLISCCKYLQQIIDIRLQYQSGRPQTLCESMAITQHFLS SDSRDKVFALIGITSDGGRLVPTPSYYQDFETMLTDLAAAVFREHRNFNMMVLDRRNR AATPGLPTWVPDLPVEDPKDLSSILHTELLDSRLLERSLSGPPGTLRVQGVIVGVIVA TSSVLKNVPLGISTAEVSSNDTISTATPLTQPQEYYGSSSKTLRVILAMLSLPIEDAY MNNERSQLMTQSAISSQPARVD PFICI_03826 MAPNYRIKVPWVYERTHSIDAEVELSDPSHDHPENTSMVEEHSN SLSHNAWGLEVLTSIASLLIFCVIIVIFIRMDNRPLTYWTFSISINAIISILTTMCTA AMMHNVSSFISQLKWLHFKGKQDRLYNLEYFDGASRGPYGSIVLISRVRWNLATLGAL ITISRLGFAPFTQQVIDLQPRNVTIEDPSATFGFSHEYDRHFTHRDLANTGTDNLKQD PSMEAAILQGVYNITTPSVFSCPGTCSWNSSYISIGFKSVCENVTTTTLKTKTCVFDN DGSVTICNMTTPKGIGLSLTSQDTNYATILQLNDTLPPMDMIPDIVNIAVYRSSIKPN GNITQGWNENITECTLSMAAYNYSGAYAQGNTFSFASIDEVKIASDLWNWQFNEWHDV ADNDLEIGQIWTNGSEAGVPRLVLGYGDFTSLRAYFQSDMILTEWRDGGGWPNTNYGI SPALIGDVNLPGLFEKMAESMTDYLRSGPNHQLANGTSIESVVFVSIRWPWMIGPACV ELAAAVFALLTIFRSKKYQQVPLWKSSITAVLDYHHDKELALLRNGQPLVHRQQNLDF IPEWERVVIPPFDYLLEEKRCEVDSERLALFSYSFGDYLAAPAAAFEPRISAVLLNGG VWDTFDSFSAPLSLALLQHHCQSIARSTRFTYNPLASPTATPDATIMWPDHCITVSWL RDILAKHHRG PFICI_03827 MRGLGAVIEAPKCDIGDINVLRDVVEAYRGSMPQVAGCNQSSMV LKDSVLANMSHEDWTASTKPKSAVPGNLHTVLPKGLDFSVLLSSISGIVGWVGQANYA AANTYMDALAHYRHALGERAVALDLGAILDHGVLATNEALRDRILRAGILRGVSSSEL LALLDDFCGPSTGGAVAGPHTAAAQVAIGLAPASELKAASPQTPSSLLSLPFYRHVFA STAAASPEQTSGEESIQAPAATRLCVHGQHWRHRLQGAVSMLGHHDARTAGSPGCQSI G PFICI_03828 MEVAGLVLGALPLILSAIESYDTVAQLSKNYWHYESILHDIRLQ VFAQDEQLRRTLKLINLVDPTQQNLEMQLRRRFPEKHENYLDIIRQMDKIAAQMMKKM ELDSRGKPAWFNDTSDRARYEWRRVKRSISHMKTWTFAEQLQYWNNVLTNCFQTPEVG ITSTKETPTLSTVKNGFDIDRCDKTRQNARSVFRAVQGAWKCKDVAHCHQGNLKLSWH EHDQPQIDILPIAITPGQSQPKSPLVWHSFVVEVHDGEVIASSSATTSTSSQHVNTET PVSTKKSHSISRRLQSVFRRKVPSTPSATLAVAGLERPLSAYREIGSLCSLIDETASD SRFVLGMAENKSRIIIKHDRLDSPQEVPMELKSLLSSSKRLRSSRGHIPRASLSERLA VSAGLCWGVLYLAETPWLAPDWEWIDDISIFKKPSIDNYVSQLPAIGWLGNNDRSAAD GGIQTFHIQRGINKTLFALGVLLIELCLDTSFQSLRSTSLSQRAANSAVPDDIEIADE LVQRVYDSVGRGYGYAAQRCIHGDFPGRRDMHDFNLQSFRLDFFNEVVAPVQATYKYF AP PFICI_03829 MDVMENVTSGPPPTLHGSVLASLSKFKSLLAALDKTAEGVLRPQ NIEKTQIWEGYGRLKLWAEESNAISPPEELGSLDQLLDSNDKIHEAILDIFTQLQNML QMGASSADAGFIKSSTDFAP PFICI_03830 MEVDLESSIAGMSNSEGTWSQSPSNLEPSTEQLGTRVSKLAIVV SHVTSKVGLLFQMSNVIRKLQPSHTSLKSLEDADVHQQLQSDLRRLGKGVEDSSIPGK DRSASSTSRAEAATTAHAPRLQRESASALPHNESYPKKISRAIEKRQHALLWADSSLI RTTEYLSMEDWPRNVMAEIDMSHAARRETPVLQAYNRQLLPLPVSDPTGRWTNPVGMF NEVPERLQINSLLLHQELEQITSIQFNFMPLRMGSPYKLLIQYLPDIELRLKALQRSQ EMSLMRENKTNTNTEKGTPANPAPGRRWPKGEVGDEDVRTETIATTVAHLECLLQFIN FDLAPLVELREEIKNQTLTHITFDNIWHLIKPGDLLLSNDGEKFQLVRAYSVTGGQRR TRNRSMEESLSRQVRGMKTATWNPFQQNEDSEDEYVESRIDATSGVGTWAPLRVDSFS MCANGFRVGPIEVPRKIKHFAGKKKITDLEIYPLVFHEHCEVLLEQMRHRGMKYIEAF GHKGYQGIGQLLSGENRLEEIDGDVFVDPESFYQAYPSQQPRLGRLRKSQPDASETTE SFFNHYMASIQSVLMPVKIGDFDSADVENVALLPRLVIGFSFHAHSWFLLDIDDIISI EAQSNHAFDQLVLPPGHKKLILSQVKNHMSQRSLRYSQKQVVGSKGHAQTPQDIVRGK GLGLIFLLHGPPGTGKTSTAEAVAAYVGRPLYTITSRDIGADSESMDKRLREHTARAE KWGCIMVLDEADVLTTRRSLTDIRRNTIVNVFLRELEYYSGIMFMTTNRVGVIDEAIV SRIHFSIHYPPLSRKASREIWWALLSRIKNAHENPGPKIVFNKTKILAYIDRNQGQMN GRQIRNAAQTAVALAKEGAASADSPDWAHSYDSSGLADLSDASGSTDSFYSSGLADLS DASGSTDSFYSSDLTDFSDSSDSTDSPQEIPGIVRLRCRHFKVIFALSAQFDEYFKSV SGFDRSTHAERNQLRNDNFELARNEKVKKVRKVKKVKKVKKTAQAAP PFICI_03831 MEEPPIVVLNPEQEPVETLRDVLQYAYPAVLLFTFVIVGATDSI IAASRRDDVVVPTITGPGGKPLPVTKRKRESQDNDEQEEHYSPTTKSFFRCCMMLATF TFFCAGAVIAARALYNRTASGGHGWWCGEPKTVFVLGSAFLYLYVTVTLFDWVASPFL VHLVFWCIAFIGDLMILVSDVVVLTRPHNVMVNLKHSKYIVISGTNAWDQADLSLAGL RLGLLAGVLIVYSAMAWQRRQNERARLAELYAPDVDETTPLINGNGNGHHANGHARMG VNQGANGTAEGISAPTVDEEATFYRPEKLPHTTWYEYLRGYSVFFPYMWPSKHFRLQA IVIICFILMILQRIVNVMVPNQIAEVTNELSGDDLKPGEQMTMPWVSLGLLILYKLLQ GPSGLLGSVRSLIWIPVSQHSYQALTTSAFEHVHSLSLDFHLGKRTGEVLSALNKGSS VNSFLEQVTFQVFPMLIDLFLAISYFFWKFDVIYAVFVCIITFYYLFLTIRMAQSTVD QRRLMSNADREEEAVKNDSIISYETVKYFNAEEFEFKRYRQAIKTFQAAEAKVTWSVS SMNICQSLVFMTGMLIAMMLSAYQVSTGQRRVGDFVALMTYLNQLQGPLNFFGTFYRT VQQAMISGERLLELFKQRPTVVDRPGAKPIPPCDGLIEFSKVQFAYDPRRPALRDLSF KCQPGTTTALVGESGGGKSTLFRLLYRYFNSTGGVIRIDGHDIKDVTIDSVRRHIGVV PQDTVLFNDTLMYNLRYANQSATEEDIIAACQAASIHERIMSFPDGYYTKVGERGLRL SGGEKQRVAIARTLLKNPKIIMLDEATSALDTQTEQEIQSRLAKIGQGRTLLIIAHRL STITHADQILVLKNGSIIESGTHEHLLEQGNVYAKMWSKQAKAQKAAQDAFAAQNRAE KYLRKASLNDNGQDDDSSSSSDESTRNNQRCGHDGAKT PFICI_03832 MRLSSPLGYFIDIRLPESEIVDRQLKRRDELLAEPMVTPNGPPT EKRPTRGEHFAYLWAFAGFKTHILDDFDMIEQEGHHKWGIEQMKSFLWDKNLHIIMRG NEYKPVEGTWDGDARTSDMVNMSDHGGFTAVYLSSGVEKDLGQRATWEEMLAQSTQLD GRGIVIRISTLMLGMYICGNGKLSAFRAQMDAGLDHVQEIATVGDRTMFPKEVFEDCP KEFLEGDVFQTTVVEEMPSNDESSSEDEMPSAKKLSSVYFWQVTES PFICI_03833 MAGDSEPFPVLNTSADQFPAADSTAPRRRRKSSALGQEIRAGDT GAPSLGTGGLASVHDSSPPSSSHSSASLNGDVRQLSGEKDAATKKHSKRRKARSLMSR LVRFSAKHTWTLPLVLLAVFGALYAINPNESNPLHHFIFLSYKLPLEAGADPETTPHQ YGKGLWDIAFVTFYTIVLSFTREFIMQELLRPIARYYGIRSRGKQARFLEQAYTAVYF AFLGPAGMFVMSRTPVWYFNTTGMYEGFPHKTHEAYFKFYYLFQAAYWAQQAIVLVLG QEARRKDFKELVGHHIVSLMLIALSYRFHFTYMGLAVYITHDISDFFLATSKTLNYLD SSIMGPYFAVFVCSWVYLRHFLNLKIIWSILTEFTTVGPFEINWETQQYKCRLSQVIT FSLLAALQALNLFWLFFIVRIAYRFVTQNVAEDDRSEAEESELEVQREELERKKVELQ RLTEKAEETPLLVKEDTNGHATNGAVKVNGKAR PFICI_03834 MDDARHPSSAAAVHLPRSDLSSSCSSIELPADAVLTLSNGETIT LAAAAVFEPSCTTLPITVRPAIIGNNAISIDETTSTTGSSADPKFSDFRDPFYASTFP QCYALAATTIIAYMLVIVLLIAPRSFLDGGVVVLGRRGFTNGPSNGVSIGGRPWLQKV AALTVAISLTIASADTFRVAEEQYATGIQNAQVLQDEVLDGTQLKVIRLISDTFLWLA QAQTLIRLFPRQREKVIIKWAAFSLITLDVIFGALNSFLYNGPGNVRPSNFTDAVPAL SYLFQLSLGLLYAAWVIYYSFMKKRYAYYHPLMRNISLVAVLSVISILVPVVFFILDI SKPDFTGWGDYVRWVGAAAASVIVWEWVERIEALEREEKKDGILGREVFEGDEMMDGL GTDFVWPRRRRTKKSDDDDSGESGQGRSARTASTGRSNMWPAMSSIASRYKSRSGSNT GEREANADNTAQATGRSATRLLQPPLWISRPPPAVTPPSRTDTASADSTVYAVRYQVG TETASRTTDERPDRPLSRTNSRVSSNPQNAPASPPAVNVADSNPPITETTAKTDGWRS RFGLRSGANAPPAEVLPHTQKSQPAPREYESRGWWDIRDRWEDFAAVQAERIREKLKP TPDTDSLEVTVIPPPPRRGAALAQVLEEEEREAISNSQSPRTPHPPMNIRSNSNTSTV PRPMRSPVSRTDGIQLRTWRQDSVDSPVSPVTPRMRTGEHSSSGTPVSPLSPSAPRRP IDDVFDDDHSRS PFICI_03835 MGAPPFTNNRIQERLLHRLHSDPSNWPTALEQRGVELLRSGEAT TFPILIRKVLDEVRHDTQAKGSKDLSSSKNNGEVNGSGATNGKAKTANGAGGAADSNS LALPADVVQDLVKYTKELLKDVMETDDSDDGT PFICI_03836 MAPEDKKKANLADVSGAEVKEENDTSTAILKKKKKPNQLMVTDA TNDDNSIIALSNNTMEQLQLFRGDTVLVRGKKRKDTVLIVLADDDLDDGSARINRVVR HNLRVKHGDVITIHPCPDIKYAKRIAVLPIADTVEGITGSLFDVFLAPYFREAYRPVR QGDLFIVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEEEPIQREDEENNLNEVGYDD IGGCRKQMAQIREMVELPLRHPQLFKSIGIKPPRGVLLYGPPGTGKTLMARAVANETG AFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKRDKTNGEVE RRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGVPDPTGRLE ILQIHTKNMKLGDDVDLEQIAAETHGYVGSDVAALCSEAAMQQIREKMDLIDLDEDTI DAEVLDSLGVTMENFRFALGTSNPSALREVAVVEVPNVRWEDIGGLDQVKQELREQVQ YPVDHPEKFLKFGLSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGPELLSMWF GESESNIRDIFDKARAAAPCIVFLDELDSIAKARGGSVGDAGGASDRVVNQLLTEMDG MTSKKNVFVIGATNRPEQLDPALCRPGRLDSLIYVPLPDEEGRLGILSAQLRKTPVAS DVDLRFIASKTHGFSGADLGFITQRAAKIAIKESIALDITRTKEREAAGDDMDVDEDV EDPVPELTKRHFEEAMQMARKSVGDVEIRRYEAFAQQMKNAGPGAFFKFPSSGGEGDA ASGSNNFGNAGEDDGLYD PFICI_03837 MSVVSLLGVNILNNPAKFTDKYDLEITFECLEPLEKDLEWKLTY VGSATSDQYDQELDSLLVGPIPVGVNKFVFEADAPDTKRIPDADVLGVTVVLLTCAYD GREFIRVGYYVNNEYETEELNSDPPAKPIIEKIRRNVLADKPRVTRFAIKWDSEASAP AEFPPDQPEADNQVDEEEYGAEELAEEEAEDGAVHTGTNGESSAAAGGDAEMGGTEEA AEPAEEDEMSEDGSVDLENESEDELEEEEGEGEAAEDGDDAMEVDDKPAGGPSSHIMA H PFICI_03838 MASDGFTDANAWGEDGGNGAAENLEQYSHEQQTQEPSTSAVSQF APGESPEDDADSGEYDPESVIISTTATPVSASAAVPPPTVSTSSPRPAKKPKKAGGFI VGSSDDEDDTPAPPQLSVTLQPQAVNDATDAPAQSFTHPPQQQTIAPHEAEPPTQVSN GQASKQQMPADIISILEERVKKDPRGDMDAWLALIQETRRQNVVQNSRSVYERFLQTF PQSAEIWVQYLEMELSLDNFAEAENIFQRTLTTIVDVQLWTAYLDYVRRRNDLNDTTG VARQTVNAAYDFVLDNVGQDRESGKIWQDYIQFLKIGPGQVGEGGWQDKQKVDGLRKA YQRAICIPISNLNVLWKEYDQFELGINKVAGRKFLQERSPSYMSARSANTQLDTITRG LRRSTIPRLPPAPGFEGDIEFGEQVEIWKKWLSWEKSDPLVLRDDEPEVFKSRIIYVY KQALMALRFWPELWVEAAEWCFEHKIQTKDGKDQGLQFLTEGVAANPESSLLALKHAD HIESTHPAGEGDAGKLALSQAVRAPFEQALGTLYPMVKDLKEREAAAVKAIENDSSLG PNDADDDDEGEVSSVKSFKEDIRKDRIKAVKDGFAVQIQMLRQQISYLWIALARAFRR IQGQGKAVSRDAPTTGVRQIFTEARQRGQLTSDVYVAIAHIEWDIYQDPVATKIFERG AKLFPEDENFIVAYLKHLHSRHDTTNARVVFSQTVKKFKEKPELSRKLKPLYAYFHSY EAKFGELAQIKDLERQMAESFPEDPSLTHFAARFSSEGFNPIAARVIISPSAQMRPKH IMQSVEHVPASIRGSPHPSALADRSPRPQFLQTVNSPKRPFQADDNDDLNPPRKVARG VSPLKGAAGRRLDQQRRAAQGQGVPAHSNPVSISRDITFLLGLIPPTHQYAAPRYRPD GIVRILRETGVPDFNDWKATNQGARHNRQVSTEFPPYAMPAGGRNSPFLRGGGAGPPP QGELTPAFDTSAAMLGGQGANPPNFFPGGFPYNMGQQPGVPQYPPFRQG PFICI_03839 MASSGQQGSAPRRPAVGRVASMDLDGASDRQRGPGSSQGSVSGA QAGGSQRGSAPTSPARSRAGSASTSDAGPGPFHKGSGVDPAFDPNDPRSSRVQMSDTE LIGKRVDLPAEAFSSSTVAHPFVKRPAFNTSSKPISVQLNLFSVTNLPAGDIYQYDVV VSPNPKDSKALVKKVWNSQAVQQWVKSTGSKWLYDGHKLAWSTKTLSRGEHRITVDLD KEAGKPPGGNNTVYYFQIKATTTIRMQMLTAYLQQKAQWDTHVLECMNFLDHVMRQWP SERMELIKRNFYPRDGQEFRLDDMIVVRKGTYSAFRLGQSELGSPSVGLSLNVDVANT AFWLGGQTFAKLAQYVCAPPATRRLDSTMPMGKLADLLRPKKVQDKLGNTRFIQSDDF KKLRRLLRLSFHVTHVGKEHNPRVYKVARIIFDEKYGKNGADSFAVTFVKKDKKTGKE EKPISIYDHWLNKYGRLGYPDLPMVETSRNGIFPMEVCKLVPFQRYAYKLDPDQTAQM IKIAVSRPKSRRDDIMNGVEHLQWDKDPYFREYGVRVQKSMVISKARLIQNPDVLFGG GVKIDPKVSGRWDLRGKKFIEPNPTPLVSWGFIGCGMNDGHAVEKDALKAFAQTFVRI YKGHGGRIQKDPYVQVYPYNVPYNEMCDRGFRETGMNAKAQPQILFFVVSTRNSLVYE RLKKNMDCRVTLVSQVLLADHVRKNNAQYCSNVAMKVNAKLGGATCQSVPAGAKAGFS FFAAPTMILGMDVSHASPGSNMPSKAAMTMSIDKTATKYCSTVQTNGYRVEVVQPQTM HFLFSRMMNHWKAKIGCSPQHVYYFRDGVAEGQFNHVIDIEINELKRMFREAKFEVPR FTVIVATKRHHIRFFPKPGDQSAGDRNDNPLPGTLVERDATHPFHFDFYLSSHVAIQG TARPVHYSVILDEANIPVDKLQQMIYHQCYQYIRSTTPVSLHPAVYYSHLASLRAQAH EDIAAELKEVPTGKAGFPLRRPESAYSGGKPPTEAPRLTPMGLPGGNLEMIKAINTTM WFI PFICI_03840 MAKAIVFTTLGWVYIILDIAWALLLAAGLAFLYRHRRLPFLQIR KLPLVFTAVLILHCYGAVCMLCLTVGQLVPCDAQFWIMSIYLPFGMALLQAANSQFLH VASQQKRFAQFSDLEDHDLSEKSRPIDPSWSWWKRNYEQVKRMDKVTQILFYIGIGMV VELLLTFLVYFGSEIFHPGYGLFNVKVPGTEQLREAQCFEGWEWWLSIAWQFFWAWFY APYMLYKTRNVEDTHGWRITTICCCIAGLPATPLWLAGLYAPGMAPLNAVWAPPQWYS LSIFFIEVFTIGIPCLQVIKTHNLQQETIDAIAAWERRNQGTATNPDAISQKSSGLAS STLAASSIAGKSARSKASSTDSRDSTLTMAALENVLKNNPQPLLEFAALKDFSGENVS FLSHVADWKRSSGLLKVGPTERYDTFIRAVRIYSHFISLEYSQFPVNISSRNGKELHQ MFAKAAQQLNSRRHSQSSATPFGDSPSDCSTADLNAGNNGMGGSDLQETLGKANLQAV SQMTDLTPDGMLDLSIPPAFNTDVFNDAEKEIKYLVLTNTWPKFVHSHDGRSSVQSRT GDRADEKHMFDGARKYFCGIERIV PFICI_03841 MIGSHRDQAHFDRARWRKRILLPCWIVQVPILLTLVGLFSYRLS NTVREFKNDELKGDVPMVEFVWECVNIAFSAASLVINLVQIAKFIAEALTPFAMVFGN AVSLTLSAAILALDITVYIQHDDRHYSSAGIGLDCALLFFTIVPIIYGTIVFRRLSKL DEYHLQHNVKAFGFSSEYDTSYNPKRTSLNDADPEALYDPGSTSSRRQSFSLKNVIPS KSTTVEPAKTSPKPAMERRESYNHERDTKFDEYIARRASISSAQEGGIDLGLGSEFGG ASGTRSRGNSLTRTSWDPNTALSMMDAATQQIPARGHALNAVPESLEEEDIAGSTKST TTTTTSPPRSRPVSETITGAGTVDRHASVNSGVSSVTDVTAVTGVSAMSSHHNYVSQA RDPRGGQEMEEIELRN PFICI_03842 MNGSFVFTYVTEDNGFVHIWDLKGCYKQLEDFLRKNTGKLAVEA IALDPTSRTDYVVVTMVEDWDGVNTYDLKRKISFPSVDKKLSSWWKACAESDDTSNVY LPVTS PFICI_03843 MWRGFSNNGPRYDPDAYNEATYQQRPPPRWGPEPARFDSTPRQR EWNTERGRWERERQDFTWQTETLQQMTEALRQSERNAEQALERERQRHAFELQAAECS NERLRAQMNTLLSSKDEEWYQLRQKLDQCHIAYQQEIEQIKANQETIQRENFDLHYDL QQMSLQSPIESEDVLAPDTHYTATSDEVWRSQFSLCLQGQCCSFVSLKKHQWQYCTNP SKDSYG PFICI_03844 MADNNTSSTQNVLDQQKSNFGTTAQADIGSNAELVGEAIKDKID DAVPKGPKKADQTTGGRVQVAETGDLHDLAARRQP PFICI_03845 MYLSNLAVVLSLAAASIAAPATSFAPYKRADVLTFRKYNDFQIS DGVAGNALAEVNAAFPVDMSDPAAVSTNDLNILIAARQTAENAEAAFNTAIGPTASGT DEAALEVGKIKNKVLKLRTFEMLVTVQMAQGATDKAAQLADIQAKLKTNVGIDSASAG DASQSVSFTDDVQPQ PFICI_03846 MASTVHLGLLKDFTNTRYSVMLSNGKRLVLFRLPRIEGTTSSRK PNETEDGWTYYAMEAECPHAGGPMIEAHVDIEDSSYVASCPWHAYDFNVETGESSFGI KTCTFPVVIEDGKVSVQVAGYGELGLLRLDPVSEKVKFKHGPKKEASKEAVSLGDDAT LCDWCVHILNTADPEQKIELTTRLFSLFATKEKTSSPMELGAGTVETPDKPRRDDYGK LNPGAMPRAGKGGTLKSRIMMLHNLANIEQWAIDLAIDICVRFATFQTNSPDKALSRE LPRTFYYDWLKVANDEAKHFSLLRSRLEELGSRFGALPVHHGLWDSAVITAHDLRARI SVIALVHEARGLDVNPMTIDKFRKAQDMDSVDTLDIIHNDEITHVTTGHRWLTWICEQ EATDPVEVFRANVKKYFAGAIKGPFNEEARLQAGMDPRYYENLGGGVGSTAEVIAGG PFICI_03847 MATAEHCIYCFESLAAHLEQRKSLSLADIEKLYPKYRQSNNTDL AAGASEGLKKQIPALERLADQSSGSNSSLPSSGSSMSLDADTPDTSTSSLPTEREAIT ESPLFVTWNTVSPKSGNTNLRGCIGTFEAQELEDGISSYAITSAIHDMRFNPISKREL PSLEVAVTLLTDFEECDDAMDWELGTHGIRISFTERGRRYGSTYLPDVAPEQGWDKEE TIVSLLRKAGWVGKKDKWHELDLKVVRYQGKKEHLRYPEFKQFRDWYSEHSKA PFICI_03848 MAGLSKTGAITWALTLAGLAHSHGDFIADRDTTSDIIKNKDQIL SVPLTRIHQSLMPEIPSRLRARYFQSSVKDIIGAAYLADITVGTGNPDQTIQVLLDTG SYELWVNPNCSKSSVPELCESFGQYDPSLSPTAQNLNATSQIRYGSGSVNISYFTDDV SISSGRISTQQFGVATDSQSVWFGIMGLGYGRRQAGSTKGSLKYDSVIDNIYDQQYTN SRLFGLELGLQGKPQIAVTGQIIFGGVDTNKYAGNLSKIPLEEVDSHYRVKLTSISHQ TPGNAASTITETSQIVIVDSGTTLSILPRDVVELIASQFPGATYDGDGTYLVPCALQD EPGSLSFGFDNTVITVPYSEFIWGAGNYNGTDICLLGVQWNTNSNIDAAKSYLILGDT FMRATYTVFDQDNNALYMSNYTTCGTQSSIVAVPAGLDAAANIPGNCEPPTVSEPAMT TATTGTNVTPISTGLFSSGDPNPVGPVSMTPSVSSFTPSSTSTAESGSSSSGVATSEA QQSEMSTPLSTATSEDFAATSSSGGSSPVNSSPSDSTPSSTNPGDISSASGSPIASSS ADTTIPQSTDQSTTVGGSDTQTATQTMTAESTTSETPSSSVTSLPDERIIFGVLADGG AAKNGTSKRSTISHGALKLAKRQSNGGFIGGAGPANPSSCSDASGFGLIDGQLVSNDG GGLIATEPGVESMVLRVSPTGGSINTTFAVVDGILHWFNDAFFGGEATFCQTPDGQVN LVFTEDGAPAGCGEVSVAVYSASQCQNGELVLSASSSATGSVTSSITAPSDGITATTT GTSTGAESTGDGVDQFPISPALVTSTNTITTTVTNYIAYTITACPPSVQGCPIGQITT STQVYVTTICPEDSAPAATTQPPSAGSGGGGAAAADGGNAVAVIVAVTVTEECETSTF AVSTCAANDDDNDCVLGRTTTTTYTRYRTITTTQEAMATATAVGDTSDTTPEMANALP EPSETLLIAPNKGAQIDSGSGFNSSAAVNFACPGCAVNVVPSGSAAFGTPTASFMPVQ AGAEMSRVGVVGVFVSAFVGALMLIL PFICI_03849 MSHAADSQEPTPISITICGDGGCGKSSITLRLVRSQWTSEYDPT IEDSYSITRRVDGASYHLSLTDTAGQEEYRGMWASTNLGADAFLLVYDITSSGSLESL SYFNDLIDMEAETRLDNASRAQKAGLRPGATADESEFGSRTIPPVKIIAGNKCDLQES RQISASQGLDWARKRGCGFMETSARLEVNIEETFALIIRRVVESRRRAAAGVVGNPEM NQRGATRPLTPIGSDRDADNEKRDPNARGVRNGPNDNTGKSKRQFWKSLKCW PFICI_03850 MQFSPKTSKPLTWLITGCSSGLGLQLARHALAAGHHVIATSRTP SKTPELVQEVESAGGRWLSLDVTSPLSAEIITALEEGGTQIDVLVNSAGVAKAGPVEA FSEEEIRELMEINFFGPYRLMRTMVPFMRARRSGVIVNLSSGSGLQARETLGAYGASK SALDNITTTLAREVADFNVRVLLVYLGSFNTPMASHVNLAGKPLDADYDGTVLQKYYD VFKSKNMPIKGDHEKAVKAIYEVVVGEGIGAGCEKEVRMVLGKDCAVRVGEVQENLKH MMGVFGDICNNVNIDEA PFICI_03851 MSFGFGVGDFLAVIKLASDIRKDFADAPEQFQQINAEIRNLVIV LGDVDVSLAAHDVSEDQNNNLKEIRESCRGVLEDSRKELSKYLSLDSKGGSLHERTKR VWKRVKWEPDDIRDLRHRITANIVALNSFTGSNIRDTVFKLEDRQIRQENREILEWLT PLDTAAQQADFISQRQEGTGQWLLDSNEYIKWKATKGEILFCHGIPGAGKTILSSIVV ENLQDTFGIQTDVAICYFYFNFKSQGEQKFENVLLSLLKQLSQASATVPDDVQALFNQ CKSNRSRPSREGIIRTLSSVAGSFSRVLIVVDALDECESSNGCQRELISHLIELQRNT GVNILATSRPVPHIVERFKEFTSAEVRASEGDIHRYVESNLMNLPRFVSRDKELQDEI KKGITKAVDGMFLLAKLHLDSLKGKKSPRALRQTLNTLATGNDAYDEAYQNTMLRISG QLSDQKELGMNTLMWIVHAKRPLETVELQHALGVEIGESELFEDSLPDLDDLVSACCG LVTIDEESHIIRLIHYTTQEFFDRHGVRFFPFAETQITNTCVTYLAFDIFESGHCFEN DDLRQRLTDFPLYGYSSQYWGQHADPQAESEPIRELLGRPNHAKACGQFLMALENQRH GFLSTYRSVFDMTGLHLAAYFGLAGVVGSILDDHLDPDIRDSKRRAPLWYAARNGHQD VVGQLLDRNCQPDGEDQNGATLFQQAVQNGHEAVIRLLLDYGADVNQMDKDGETPLYD AMDKDGETPLFQAASNGYDNIVRLLLDHGADVNHIAEYGETPLSRAAKHGYEAIMRLL LDHGAGVNHIAEYGETPLSRAAKHGHEAIVRLLLDHGAGVNHMDEGGVTPLSRAARNG REAIVEMLVKHGANINVRLPGNEPTLLLVTARRAFWRIVDLLLATGADHESRDIYGRS ALVYAIIWDHPEAVDVLLAQNKLDLDAKDHWGSTAISFAARSGNVAAFRKIAALPNVD LLTRDSFGRTPLWWAQKQRHDIITTEILAYQRSNNHDMIETHMRPAIGEPVEFLNTGA YCDVCFASVSLYEPRFYCSWCDNDKLLVCQECHGLGARCFVEWHELLIYDEDVRSDY PFICI_03852 MPRKTVFITGCGPDGIGYSLAVEFQLRGHRVIASGLNDTLLAPF RDLGMETMAMDVTSEQSIAAAASHTSKLTDGGIDILINNAGVIQIMPMADASIADARR LFDVNVLGVMAVTQAFLPLLLKSPAGIVANMGSVNQVLCPAFFSAYNASKAAVEALST TMRLELAPLGVRVILLKTGSVRTGLFGHALGQTTLPAGSLYEPLREWIEGRKMLEAGQ HVDSNVYARGVVDDLLRDNVRNVVWRGGLTTLAWVLTWFGWAGMLDSAMIKGNGLDKI KRPS PFICI_03853 MTGSSRDSGVCLSSFFSQRKVSHNFRHDCDNFAKAKFPKDDIRP VPTQGYCSYTMFIGDDKVAQFRPPDHGLDIAVTSTAHRIFGDIVPETEILGVVHDEND GTELHAYSMTRLHGTSLVDARHSDNPRPRNTKEARRRIVKDFARLQATSWNNRKPSEQ VSQKGLVGSSLVWRTKLLGSNLPERFKKFASSNLRNLDEIEQLPWTLTHGDFIPSNIL VSAKNGSITGLLDWAEAEWLPFGVGLYGLEELLGAEVNGTFEYFSEARRLRHLFWSEL ISLVPELRRDPRLLAAVQEAQVLGILLWHGIAFDDGRLDRVVEEGVDDGEIQRLDAML LGRSGLRSTSTWRERLFSIRDFVAYHSLGSE PFICI_03854 MTSSSHHQHNVPGGPVPVKDKVLETGAGLIQEFQPVKQICAHLN AFHTYADEPGRHVEANHYCAHLTSDMRQCILYDGPGPDAKLIGIEYMVTDKLYAELPE EERKYWHSHVFEVKSGMLIMPGPTAPGMQQAWEAAETEEMKEVVHLYGKVYHLWQTDR GDKLPLGEPKLMTSFTAEGQFDFEKHVGNRDKRFGSDWKRKKELRKNVKVPEIHPDAD QAWKRRNSF PFICI_03855 MAASRRGQPELHVRLPPRSPSTGTGLTTGTSLAAPQQLDLPPIS AVFLIEFDVKAGYTLTWKAAEPGIELEGAVEYKSLPSGAHTVTDDLIYFVHERYAGLS AFVNAPIQDTESRNARMLAVGVLVPLSYGRLGRAWRHAEGLKEIASKMVVSGKEVSVL EQYWEKNKARDESTEQDARASKESLDLPSVTFEDQPKPPKKQKHTRHRSASDGTGLLA PGHKLSPFHPAWSLTALLDTFGPLIYPIHRAALLRKRILISCHAPVQEICNFVYNISI LSNIPLPTYEILPPEGPSHRLRPLFNIGVHDIPFLVEDAKSKRSEDESSDQESGLGSG WIACTTDSILALKDTLWDMLITMPPPHASAGKVRAWPTVECPRGVPVKATQRDLRRYK ALRTGLSRVQNNSNVVAESPESPRPPTVLTNPQQQTQDDPSLDEAEKIVEPLSWAALA YNGFMWWASAGEQARADEVEESSNDASLLAEVTHPNMSMHMTSSLGSMRRTSSIGGLG GPLPMDEARAELAIITYFHRLTSQLLTRFAEIVESSEVDEEGEPSNEDDDAMLPDEVR EDYDGAIRINPEEIKSMGLDRWSKSDAEFIHELADVYFGRSAYVEGKPVEVCGVRVC PFICI_03856 MRKMRATRDHCDISSQNPCSRCAVHGTKCELQTDRLQSTLDEAG NWGMPAPSEAPGSQGGSVDGTGGGVDEQASGSGPVGAMNQSEPDPGHELRGHRHRDPG IGFSGWETYGSRNRPIEPADPQVLRYERRNDPTRTGAAAPQVHEVIYNYQALTACSDV MSLLILHTDLEEHQVSKGLQAGQRDPKTKALEISSWELQVNQSV PFICI_03857 MSVRAQYRDNQRPQRNRRNQGNQRGQAAQRPDEIECLSCWQSEL HCDGAKPACNPCFQKGTPCNYRWHRPRNEPLVVKKTFTTIQTGCTNCFNRWWLCDGRT PRCQNCANIHGECIYDGGGESAPINPNEGDEYMESNWDHTMGFDEYMDGQYDVIRSGG PVKFERTPEPHGRH PFICI_03858 MSSDYSYDEHGTFYPFFLFTITGVVTLPLTYSLLRPSTDAAALA PRIKSDYKSEHHAVVDAQRASLKRKQRRVKRAIAVILGWAIMGLMFYLVLTTQATVAK IWNPYDILGIPDSYSEKQIKSHYKKLSLKFHPDKVKPDPAKNETLEMLNDKYVELTKA YQALTDEEVRNNYIQYGHPDGKQGFSIGIALPKFIVSDGNGKYVVLVYGLLLGVLLPY LVGSWWYGTQRMSKEGVLIDSAGRLFREYEDSDEEGRLIAALSTGKEFEEKLKGQNAE SGLSKIESRIQAAGILSTKDQEKLESLDGVRRKVLALLWAYLGRVDLDDQALENAKYM VAPIAVALAQSYNAIALAYGNTKAILSSYTTTQRLIQAVPPKASPLLQLPHFTPEIVS AVEGASKTHMTVQQFMDQPDAQRRSLAVGKGLLSPDQYKEAVHVATQLPRFAVAKAFF KVTGEKYIIPSSLVSLVVKGRIVPPGSTNVPAVKEADLEDPDPVEGDLKALKKDEEGE RVLPPLAFAPRYARNHSPRWHIFLTDSKQGKMAVPPFTFTTFDKPIFDADGKPTFNMQ TLKAQFAAPPGPGHYTFVMHVICDAYVGFDTKLEVTLVVDDAAKATQAAEEDDISEPE EDSLAGQMNVLRGNGAPTKPRKASDSDEDEESGTDEEVDDTSDTNTDTEDES PFICI_03859 MAATERVPERVTMPFDQAKVDSQLAALTLEEKVSLLGGSGFATL TGVPRLGIESMKVAEGVNGVKDAMMIDSGDTVGDVLAGKGPKTVCFPSTSCFGATWNT DLMWELGKALAQQARQKGVATIMGPGVNLHRDPRGGRNFEYFAEDPLLTGRLAASLVN GIQAHGVGACLKHFVANEGEDFRRSYNVVDPTGGRALRELYLAAFQETLRYSDPVAVM TSYNKLDGVHCSESPIIAKILRGEWNYGGAVQSDWFGTASTVDSVLAGQDLEMPGPPV WRGRRLLNAVEEGKLDETEIDKRARKMLEWIEKTTGNDFYKQPQPEDDTKAILTSRRV AEEGIVLLKNDDRALPLDFTRPLKITVSGLPAVDPPVGGGGSSLAPPSSVKTPLDGIR DVHAYPDLVDFTGGCKMNKIIPLLPSEIVRNPQDGSSTVHVVYYNNKFPTAVHHEDLH TAQVMMLGHVKPGLDEAGFNYVMSTTLVAPADGTHTIGVRSTGSYRLLVDDIEVLSAS GIVANAEDLLFTPAKLEKSVLYAMKAGQSYTIKLLVHDQKSPKQKPNEPGAYASALCF QQEHPIEKVIETAVASAKRSDVAIVFAGRNAEHETEGGDMVDIQIPGDQLRLIQEVSA VSKKSIVVLYGGGAMDVSSFVDAVDAIIFAHYPGQEGGTAIANVLCGKTNPSGKLTTT WPRRLEDTPTWKHFPAIPKPDDGVNMELAEGLEVGYRRNWENIPGGPRYMFGHGLSYT SFSYTNLCLEPSAINLADEGTTDISVKLTLTNNGPVEGAEVVQLYVAPASDGNKSDPS VSMHHAKTLKGFQKLRLGSGESTEVRITVSLESAISFWHTETSEWRTAPGIYNFSIGS GPDAPYKSLTVTDKQ PFICI_03860 MKAPILSFRSQWASRLVKKNLLEDLPRLLEKVKQEHGHHAGLAF ISEATDFLLRPSVSQSILDTAFAKSNKPLLKHRICIVGAGVTGLFIAMLLDSLNIPGL EYDILEASDKTGGRIRTHYFSDEPHDYYDIGAMRFPDIVAMRTTFQLFEQLDIPLLRH YHDHKDPDSAVKGSINCPTMYNGITIIDGQQPGRDPFRVGRANGGSVPDHLVDRVNQL LSNAFEPFKRIITQDFEAGFKLLMRYDSWSTREFLRNPPPPEDGWEPWEPLDFFTGQW LETNTTSTSIFDQAFSESVMDSLDFSTTEKSEDTKWYCIDGGSSLLSQAMEKSITQPV QHGMRVEGFSQNEETNEITVCVAGEPEGTRLPYSTVFNTTSLACLQRMDLTRLQLHPT QRDAIRTLHYDDSTKVAMKFSYPWWRTRCGITAGGFACTDAPLRTCVYPSYNLQDPTD QPAVLLCSYTWAQDATRIGSLIQRKTGGIEGVATDDELRDLMLDNLARLHLANFQQQA SHSATLPTLEYVLELISQAYISHHAFNWSQDPFTSGAFALFGPGQFSNLYPYLSRPAA DSRFHICGEASSAHHAWVSGSLDNALASMHRFLIRFGMWEKRQELKAKWDTPGEIEDG VGGTLQLQVALGMVLGNFQCEMT PFICI_03861 MVMKMQLHDVVIVGGGAIGLSTAYEVAKAGKSVLLLEKNCFFNS AGSSNDLARMYRTMYTEEFMAKLAYQSIDIWKELEIAAGTSLRSMTGLLNFGDPTMGK NTPEGTLNGPIANLDKLGLPYRKMSKQEIESEYSFQNLPDTWEGVFARDNGVINVPLL LRTLLRLALDYGAHAQQYVEVTKLIPTKERGEDLWNVETLTNGNEKAVFRTRKVVVTA GAYTNHILQPSFDLKLKLNIWEMVASYFNVNPDPKKNMFPSMWFQFAEDSYGRSQLFY GFPPLDWGPPNICRIAVDAATRQITDPNQRSRNVVNPEDIHDTQEFIKEHVVGVDSTV PAYTLSCLMTNVFDNMFVLDFVPEHYLKGGPRNSVVLFTAGWAMKFAPLLGRALKDMA LNGYSEYALEEFKIDRLDPKIQDENGNLKYGIIDSVEGKGSSPAD PFICI_03862 MSERTVEEHRLDDCTTRGPTDEGPTASASDRQAMEIDVSLPPRD TGKQAWLFLSACWVIEACTFGFALSFGVFQEFYSTHEPFQNSDNIAVIGTTTSAIMYL AAPFVVTFCRFYPRWTRYLTFVGLTAASLSMVLSSFCTSISQLIGAQGVMFGLSGCIA YCPCTLYIDEWFDRRKGLAYGIVWSAGGVGGVILTPVLQNLLNRLEFQLAMRIWACVF FLVSAPFAFFVKPRLPFSSSHEKLSKMRFATSKRFLQHQLANTIQALGFFLPAIYLPT YARRIYGSTEFLAALTVMLVNVSSSVGLVAMGFLSDKLSVTTCMAIAGLGSSVSVLFI WGLSGSLPVLFVFCVFFGLFGGSWTSTWPGIMREVSQRDETAGYGSSDPIMVHGHLCI GRGIGNIISGFLSDALTKGLPGSGKYFGGYGSGYGFLILFTGLTGLFCGANFVWESLV KRVRQLCIVASRYLKA PFICI_03863 MTTYLVTCATGRQGGATVKHLLKAGAQVHAVVRNPESSVAQSLR EQGVVLFKGDNDNAGVITKAAEGCRGVFLVPQHQDSDHDAEVRQIRTIVQASVAAGIE NVVLSSAIATGDREKWNGEDQRKLGLPDYYAPKAAAEAAIKHPLIQSWTILRPSWFYA NYLIPAVHDYQPGLRERGELVHALDDGVRVAHIDVDDIGKFAAAALLDPSTYGFETIE LGSENLSPEDAANALSEVSGLDIKVRKRSPEEITEAQHRVRSQKFQLYANRFSLSLDC EALRAKYGLRLTSFKEYLQREKDLLLATLNFGHEQKVLVD PFICI_03864 MDGSIPTPIQTLVLLFTLDAVKSSICAFIIRRANVVQISASGIP PDSYSVTGTESGGILKGLNTIVYAYGDSLLFANLLGEMKNPSDFYRVLLMAVALVYAV YVFFGTFIYSYYGQYTYNPIVQGKPTSILMSRRKIAANAVAVMSSLIIAVLYANIGVK IIYVEVLHKLLGFPALRSQRGRWFWAGSIALYWTFVFLIAAFAPSFSYIISFLGAWIM LSYAFPALMALAYWMQKDIITADNQHISISGTSTSTNYGFQDWKRSFRRRPFFFAANI LLFGSAFTLFGCGMYSAIVDALHQEKDGSRRGLTCKGPM PFICI_03865 MFLATNMKRISLPILYIGLFSATVIVLTLKLWRVPLAQAKISPT LLELHKSLVEISSISGTEHSISEYLASYLQQKGFTVELQNVQGALQNVYAYLGSSRST RVLVTSHIDTVPSYFPYERRNDEIWGRGSVDDKGSVAAQITAVESLMTNQQIAEGDVG LLFVVSEETSGSGLLKANELGLSWEAVIFGEPTELKLASGHKGSTQFTVTATGKAGHS GYPEQGINAIDLLLPCLVALQEVELPSSEMFGNTTLNVGTIAGGVAANVIPASANATI LVRIGGGKVSEIQEILRNEILRVAPTLDVEFLNGWGTVTLDTDIDGFENVVVNYVTDI PSLHGVHRKYLYGPGSILVSHTDHEHLTVSDLENAVEGYKTLITKNLER PFICI_03866 MDPSKDVPPVKVFSNVLGCVSLVAWIFVLVPQLLENYRTKRTDG LSVGFLIIWILGDIANLSGALVTGIAPSAIQLAAYFCLSDAVLIAQYVYYNAVNAERA HRMEGSGDHHSETSPLINPRRTQPGGGAYHQRPGSATQEESGLHSSLPTAPHEQHTSG KNYWLQNVVAVVAVYLIGVLVWYVSDKAGLWNTVEEPNMPTEDTPLSNVGIALGYLSA VLYLCARIPQILKNHRQKSCEGLAILLFIFSVTGNLTYGLSISLYSQESHYVSNMIPW LVGSLGTILEDFVIFYQFRLYSPRRRLPTAEET PFICI_03867 MSQPISPYGGLIGLNSPPTSLSTTNTSTEAAMAWNSKEMFASEY LRSAEYCNIPGVAEEPVSSTFSTSSRAQPLIADFEPFVERAPPHPTTEPRTRSVTEER GWPWSDLDGQNNRPATVQNIGISNSLSKEVNGNFDIHQKNTSSIIQSDIDGHCQLIES SERLGSSSDSERVATTEVDPGSEKSNSGSCTRPSPDSSLSDRLSHLMECSKQLGFDDL DDALLCYYTSDVRDSALLSHKQSLSRARKLSHFLSDIREHSKAWSNWERADFMREIVK SAEEIYVEECMVARKHQVDINIGDFTKDSASVEHVSQKLKREVRISLFALLVHACTSF IRLLFFFSLSFKTLSTDYNSPLLLLILEYLGSKYLGFGRKHNATFHISGSSSLATNLT RSYATLLPQQGPGKGVPTVSSAHSTAE PFICI_03868 MAATRMQRLSVWFYSRLKPPIYSGIDTHDETDSGSEDTLLDKTS TYPAGGHVRRFPWLWVHCICLAFYCALFLTITVSSQEYHQCADDQSMVWSPAREALQP EKVFFWNEVGTDENVYKGPPSPELDAAWNQLVAYSNLRIKAEDLKKIDRTSVRLSDAS GHLTEYYWSGLNVHHQIHCLKLLRQALYPDYYFKGNVKLQRHLEDHLDHCIDNIRLTL MCKADISLGSYDWVDNNRRPLTNFRSEHSCYNWDKVNNWARDRHFDIYDNVTLVHPFL GKSYPLDAEGNYVYTADEDPFLGNKITPPLE PFICI_03869 MEPGKASYIEVETTIPVIEGYPSRIETSRLLIRPLISSDLDNLW SLRRAAAVMNPTGRGRPDSDIEETKEELSALRGPWYNSHLYYGIFLRNRDGVEGDLIG DGGVRQLFDTESGWPEFGYKFKEEYWGNGYATEFAKAFLEVWWGLARQPSKLSIHPAL LDHYHSLNAREQLYGWSRVTNKGSEGVLLKLGFRYLCELDNMVPEWRIAYQIQNE PFICI_03870 MKQDVAYEVLEVTGKDMALVATRNIEAGERIMASTASIMVDRNL IKHVPETLIDLEVGAIDSLPEEHRREYLNLSTHYHTTEHSERISRIILYNSFDISLDE VLGGVQDNLTEFRFKPNAGYHFDIDTFTHNVYAVRPIFAGEEITTSYIDPVRTREERS QQLEQSWHFKCSCPSCSQKREMIAVSDARVLQIQYLQEQLRNGILAPSTATGMAELLI SLYEQEGLWLVIDEAYTLAALQYNGIGEPWLAMKYARLAIQSGIRSSGIMDKYVRDNM ALAENPWEHWSWMAKSRPHW PFICI_03871 MLLFPTALLASQTILQGGRTDALPQPADNGVGIPHRQLDDSVCP AQTKHFTGSIGLGHGKELFYWLFSSASDPEHDPLLVWLTGCALFTFLYSENPMLTDSE PERGPGGASTLAAVTEVGPCWVNTTDNSTYHNPYHWAKHANLLILETLEAATEDFVDF LHHFVTKEFPELSKNVLHIAGESYGGRWAPAFMHKLAGLSDVQSARAIPNPLGSIILV NAVIGTLGADLATSNYEFGCTPDGVATKLGVGFNSTVCSKIQEVGPQCEAYGALCEST DSIAICKDASAFCAGGTMVR PFICI_03872 MDNIKGVDGLAVNTLRALSADLSYHAKSGHPGAPMGMAPMAHVL FTKFLKLNPATNKAWLNRDRFVLSNGHACALQYVLLHLLEYDISIDDLKNFRQLGSKT PGHPESHETPGIEVTTGPLGQGFAAAIGLAIAQSHMAALYNRPGFDLFDNRTFVFFGD GCAMEGVASEAASLAGHLQLGNLIALYDDNSICIDGSTESTFTEDVDKRFEAYGWHVQ RVTDGDSDLAAIAAAVENALAVKDKPSMIRVKTTIGYGSKLQGTASVHGNPLKVEDLE TFKQKMKLSNELFFVPAEVQSIYRETAKSGAEAESRWNDLFLRYGNAHPELHLELQRR IGGDLPDCWETQLPVYTPNDKPVATRKTSEFVLDAIYAHIPEILSGSADLTSSNLTRW KTAVDLQAPVTGIGSFEGRYIRWGDKGAAMNGIAAYGANLIPIAGTFLNFVSYAAPAL RLSALSQLRVIWIATHDSIGLGGDGPTHQPIETLAHFRSVPNLNVWRPADGNETSAAY YTALMSKTTPSVLALARQDLPQLSGSSREKAARGAYVLLEDEKAAITLVSTGSEVSLC LKAAALLSSTHDVKARVVSMPCMEVFDTQPLSYKLSVLTQGLPILSVEALSTNVWSKF SHEQFGINEFGLSGTADDLFKAFGFTAEGICERALQVIDFYRDVPAIRSPVLTAWNRN IALD PFICI_03873 MEGDEEPTAQQPQSLDAIMSSPMPPKPTTPRSVAPMKPAMSEMH PSRVHQTMAPPSSGLKFGFVDINANARTREQPSGITQTTPSKTPRAPSTDFTFRVASP TSGELGLGPEAQRMMDELREQAAVHKARIIAERQQEKLEKEQASQDARKIASAKGKMG RFSDVHLKEFKKMDSIATPGTHTVTKAFNFTPLKSGMKRSQSKANLDEPASTSRKAPA TTLDSKSHQKPKDRPEIPAKRVKQRVEDDASSARPVSRDGSNIPRPKSSGSDSIRSGI PRSQTMTNIMTPTKASAAKGSLNKTPSATLAKSSTKPDLTASAQPSNRSKLGSLIRSP TRSDLSSLLKSPGKTGIDGSLKKSATTNSLGTSTSIPSLVQTPGRFDRVKSILKRQIS VSKSRTQLPHFGSSTTQPPKTPGKSQKDVLAVPMTTPGQKFGKHVEFTPDTKQATLTQ NSPSPMKSGIPRSRSFKKIPAPNFQSLSAAVSVKSPADEVTYPDLSAYQEKPEEDIKV TVDSEDSSVLPNGVPGTFTFRSDHTIRFHSASPNGFGGAAGQASLRQVRASVGPGISM PGAYPTINEPASPNKENKDPGVISGIAHGMSNKKRHRAVWDDEEVKTGISHGLSNKKR GRADSDDEQEQDEGAHRGAKKLRKNPTAAEGQALVAPRLTGTPSPKKTLQPQQRFAST SGTPSPQKKGISMSRLNMLARPKIRK PFICI_03874 MCANCMVEVEDDSPETVVQKAIRRVERTDAGLSRQRWERGVAIS NDEGQESPHSSKVRTQRSTRSGPVSQVLQSVQIGHDGIADHTLSHAPCTVPLDSTIYV SIKDPIGLSAFKPSPTKPIPQRMLPPWKMLPSQLATSREVNRRPHPILDDQLGVTMLD KFPSPIIEESETICPTAIQTPTRVLSPQDQEKGVDRAPETEQKSTKSSKIVNSPPIMI PQARGLSFVSTESLQRPSNVSRKSTGDSKSSQGSDLISTPASPHPILSRSSSIKPKVP LISNSHSQCAAVTPERSSPSLSYAHLRTPSPFSQVTAYMKTKTARTSLPRIKDGPEFQ KSERSEVSNQRINTASLAVAGRGRVKRIGHWQQQQQQLSNSPTMEEASQGARFVQTRG DLKRNIAQGDLWTIFGAGQSNGK PFICI_03875 MAPMAPASHDELEAQLKESIQSMYNILVQTTAYDTAAASSGSST TSRPSRDVLAAEIKSLQQSLQAIHRTATTPSPEQSLPHVPPELIQYVENGRNPDIYTR EFVELVRRGNQLMKGKQVAFRGFRDILADEISKAMPELHEDARRVVKATDFPSSS PFICI_03876 MATSPNRKNVDDMFDELSQRYIDNFRKSTTGEKQSNHQPRSNWL RGIPAVPITDESNDKGNADPFANGDVDVDMVRQLCTAAGALELSDDKSDDRDKDPRTL DDSEYYTLVGDDDGRPLLTTSSPRIVTAVADGNATTNGNDDDEEYAEIVLNVAAQATT LDPQAQEFVPNPKLRLVQTRYGLFPETMFIEMSCRPHLRTRAFYNELQPYMRDWHDLV AAEGLEDVHAQLMYHPNSHDFRFEQLMGVRR PFICI_03877 MDTICEACRAIDFEKALQISAEQLRSIRDPFNKLTFTEPWILLE ENTTRFENAETASCPLCYSLYLSLCPCEDEWSEPETRDAAALSPFDLQALSFNENCLW AQGDVDPANDCQILLATRGMTSSNGNYAYHVKRESGYVVCLPKERRERPFIPREIPDH FDVRKARSWLRSCKKDHGPLCNQDVGMIPGMKVIDCDTLTVVEAEPEMIWVALSYVWG RPVWGHPKPDRHSADPESIPLAQRMSKTVKDAVKVTKSLGYKYLWVDRFCIDQGNWRH KQSQIGQMDAIYRGADLTIIAAAGEDENHGLPGVGDTGRKKQHIVELDSCTILSTGPD PAVEAQQSCWSSRGWTFQEDLLSRRRLFFTDHQSWFECGNACWMEGLGGPELCDSLDE IHATAGAKVLVRSRLSSYHDIHIDHVEGRDSADAQTLGLFFQLAHQYSQRKLTFHSDI LNAFEGVSRYLRNNGPQLSHFLGIPYIPSLLGLEAAEKYWVFSLCWFHSSEVTLRMNP SLSWSWSGWTGEVQWMTNRPEGSLQIIPKIRNIQTKNNGETVPWDAFLRSWSPNKSKV NDPALYFEARVVPSYMFIQYSPELHGGGAWESGAYNADDGQSDQPADMVDGQDDGNTT AHSVDLSEHGEEGLGKPEVDDVDDLFDNTAFTPHLSDGRDSDSVTSELDPQDWKNWRV GKHQLLEHSQPPCTPLEFIKNLDDNGWTCLLLADYDGNAGYTHRRFLLVVRWRDDGTA YRVGALVLNQHYYVDEDAKDFFDESDLSWRSVCLV PFICI_03878 MHLCGIFAFIIATRHVVADLLPFERIQLSDADVDSFPDIGFASS DILTDDYRAATNCKEYPGSAGWPTSQDWNSFNESLGGVLLHPLPPGAACYAGPLHDEQ KCKFLLSNATYTRFYSDDPVTVLAEWPTGSTCLPTLEPTGNCTQGGLVTYVVNATTTK HVQAAVNFARNRNLRLVIKNTGHDFGGRSTGAGALSVWTHNLKEFIYTEEYSIGHYDG PVAQYAAGTESWELGNRMASLNVTIVAPGGSTVGAGGGWLNGGGHTTITSTVGLGSDQ VLSLNVVTADGRFVTADPFTNKDLFFALRGGAGSTFGIVTSATVKVHPRMNVTGTSLD FAFEANTPPLNGSFGNFTLPGYYVKDAETFWKGTCLYYLFSKKTVEAGGIGFSYIYPL GNGSYSFTGSSTFPGKTTEEVYRFMQPLYDNLRDAGLNVKNVKPAISSPYGTRSTGMG AVPNNKRYTSRLFPRTHWDDPEVFQQSMAAVRVAVEAGYTFHGTLNGPSLEIAGWPGR DSAVNPAWRVAVLHAMLFYKDYLGVQTAQQARESEADINRYMDTWRELTPGSGAYINE ADPAEPEWQQSFFGDNYPRLLEIKRDRDPWGVFWAPTTVGSEGWAVRTEDDYPHSQNG KLCRVSQ PFICI_03879 MFEHLISRGADVAQCDALHYAARHDSQDLDTVTSIMRHFITKYN LDVDTRDRSGGLPLRERIDRPTMYRTGQPVRWAAYYNNPISLQALINLGADPTPALYH AVYSDRLECLGVLLDAGVEPSEALALAVSRDQPEAAILALEYGATADNALMRRAQLRG TGSFNPGFIPAVSDRMLEILNASQHYKDVWSSKTRIRRTA PFICI_03880 MTAPSSEHVATKLAHSCNICDQLVIDLNQSNHRHVLGQLDELLG RPSGENCELLRQHTAKRNAGPETGVELGDYRIYAAIDDPAPAAVPFRPPGIGFGSETA CKVARG PFICI_03881 MATTSHILITGATGLIGFRILVAALEAGHKVRYTVRSEEKAKTV SSNPAVQKLAFGDRLSPAIIPDFAVDGAFDEALKDITHIIHAGAPVPNAAYDPTTQVF QPTLKIADNLLASALKAPSVQRVVITSSIVGNLGMIPPPTVVSATTRVPLPDPVPETY DDVFSAYITSKMVEFHRTDEFVKTRNPHFTVAHVVPGYVFGRNELALDSRMMQQENSS NNFLMMCMLGAELPFPIHGGFVHIDDLADIHLRVLFLEKPGEGPADYGIATKINYDTV FDYVENAFPKAVADGVFKKGKLHTLNVEYDSSDTEKLFDKKLKSFESAVVEVAAQYLE KLGKDKA PFICI_03882 MADPASFPPGYWEEDNGPRAIAAATTMLVLTTLLFSLRLYARTL TTAQRGWDDHVLIPAYIGLLGLIVAIYIEVIYAGLGKHTVVNLTEDPSRLKTYLLWLY ILDWFYVPSNMLSRVSVVILYLRIFTDKWARAACWIVMSFLIGNCVATIITAQFECTP LAYTWDKSIEGGTCFNQLLWYQISNFPNIAADVMIMILPIKTVWSLKASTARKAGVAT VCLTGSIGLIASCYRASVFYNQAYIIANDPTFTDAGFSWTAIECGLYFSAACLIGMRP LFNRLPTLFKARVFRTTNRSGANTDHHGSMSRINKHRISEYATIQGDDEVDRKGAGGI SLQTMIPSAYHSPNLSSGDQKSDNIRVDTDIQVTRDFVQNYP PFICI_03883 MDPIREEAINNERHVKVICVGAGASGLCLAYKLQRSFRSYSLTI YDKNPKVGGTWYENRYPGCACDVPSHNYVYSFQPKADFSAVYAGSDEIQGYFEQFTQT YALERHLKLSHLVEKTTWQEDEGIWKVETRDLATGATVHDWCNILVHACGYLNKPAWP KLPGLEDFQGIKVHSADYDTSISLEGKKVLLIGAGSSAVQILPAIQPVVKEVTIFIRS PAWVLPDISTEAGDYTPEEIAKFMREPETVLELRQNNERTMNSLFTLYLKQTKLQNQC RTLLTTEMKKILNDKEAEEMLIPEFSVGCKRVIPSGFRYLKTLKQENVKPIYSGVRSF TSTGVIAEDGTVHNGDVAICATGFDTSYISRYPIYGPAGRNLQTEWADSIMGYMGVGI SEFPNTFTFLGPYTPVSNGPTLIAIEAQADYICAWIDRYQTEPSMHSMALRREACDDF KSHVAKFMSGAVWTDSCRNSHNNHAIGGRVPTTWPGSTLHYLEAIREPRWDDWEVTYK GNRFSWLGNGTSQTEWDPTADLAYYIRQNDNNGAWSARWRRDAVTNRSGSQPLRELHR LPKLEVQAGCADVQTEKVEPMVQSAAGSS PFICI_03884 MFHQAPVPFLLKSTPRATSGHALAILLDKAGYSRQSQYHHLKFV LEITPSLGPSPISTQQQWKSFMTDDHNPIELSWDWRTGDKPPKIRFSIEPIGLDAGTS LDPYNQFMVSKFPETLVRLLPQTNIEWLSYFQQQLNGEEAKGSVEGHSTTVFYAFDLD HGAIVSKAYFFPGFKAKANKRTNFQVIQETIQGAPGNTPDNLRALVKFQRYVDDPTSP PLEMDMLAIDLVDPSESRFKIYFRTRDTSFASIRHTMTLGDRVQQPGIEQGLEDLRRL YYALFGSEEAEDAAEDEELPTNDHRTAGILYNVEFKYGSSSPKVKAYLPVRHYARNEA AVISALSTHSCRTGPFAKNNMSNYKDAMESIL PFICI_03885 MSPISIALGFIALIACCQLIWTRYRRHLSSIPGPFVASFSNLWK VAAVYCEDMPGWNIGVHEKYGPVVRIGPSHVSFSSPEAFQTIHASRQAFAKTNFYEVG APPYHGELLENLFSLRDTQRHTTLKRTIGGFYTKTAVKDFESKIDTCVGLFMSKLGEH VGSEALELDMSMWLHLFAYDCLSEINVSERLGFLEEGKDVGGKIASADQIFYLVGLLT QAPVLHLLYNFLRDLKPAEEAEPIFRFTLDKIRSREKASQTQKDMLDKLLGLHQEQPD RLSIRDVTAAVYINLTAGHDVLAITLRAIWYYLAINRSILNKLRTEIFELGHDLSSPA VPWEKVSKLPYLNAVIQEALRIHPNTGTIIERKAPSEGVVIDGYSIPGGTTVGVNAWV LHRNKDIFGADVDKFRPERWLEASEEKRLEMSRYLFSFGAGAHTCIGKNIAIMMITKL MVEFFQRYDMALAHPERKWRVHGSWVTKQTEMNMVVRSL PFICI_03886 MPSEPRTGNYEQANRRRVDKTYELRRRKLMLAELNPPVNTNPPC DEVILVTQVSLEGWFFPGAWVDGHMASARYCKIAHLGLCESDHLHVTVVDAEGNKAHF RWQMTKNLIHAPMQQVQMAAVIVMESSSEADNILQRYVAKCTVTNIKAIVTWCDAPFC PDREHQVLIDATRMRASFSHVEEELAVGRLPWVLPIMIPDWPIRLRHQKEDLEETQDQ LWKRLSRSREEHGSTKKSNLYANAVTCGELKVMAPLLSDRTVDDSLLICVGHDIVKDM EAWQSNQKARDMTEDWRLQQNSIPKRVYDVETGQIVPCTTDTEYTALSYVWAQHSEKT TISMIQKLSSKMGTRYWWVDRLCMRTAEEKAEEIPRMAGYYQNARTTVIFDRDLASET FKSASFSRTCWTGNALESMSRELQTAVDYTTWAKRVWTLQEFVLASRVLFVTHSGLLE GYFYGSWSAGSPYWSSLYFTDVPWFSIVYEPSECEFQRATVGRRFGFLNDRPIGPSNY TWPRPTQGSSLSLGEAWTLGKGRQCTQKEDLIYGMTALLANSKNIIVEYGIDWAELMR RCCQWGLVQADVLTSRRICNEQGVCWAPDVSDHNVPILFNGDESRSNTGFARPNKMIT MNTLSSECEVNLPQLDIHCGPDTAKGNRTWGVLWFDGIAYSAVFINASIPLSHVNCTG LLVAGESKRLLLVLGQMKGTNDVIFYKIGMCEAVINEDEDDLPWEKISKAANRVMLG PFICI_03887 MMEQTPENRERFIKDLLRDEFGREVTGLKTLGRDSNNFVYQIDL ASDDLQDAVNSQTKKIVKPGVVSLPAETAIVVIRISNPQALVNHDARVENEVAAMTLM RDALSSYSSSLVPEVYDWNSCAPSDQDGTYGYVLQEHKAGVGLDSTFGLSANSEEKTS FEQLDEEKKRDLLAQIATVFKLIQSYELPPSVKGYGGLRFDEAGNIVTGPTSIPCGGP FETYPEMHAQMLRHQLQEADGNENIVRGWRETDLQPGGNSLRDRLDRLVAQDGIAKVA EQNSIHRPTLVHGDFDIFNMLFDVESNRLTALLDFDFSHVATPADEYFYSMGLLGHIL LGPLEEGPMQQWVKCLLHGCEGNIPTAEEERADVPWRTLEVLSEEFARAGVLRPQDIK GVGELAGVKWFLEAVFPPYFASQRWLSKRTPEQIQRIKKNHASQIDAYLKRWGY PFICI_03888 MGLYTVLPESVQEVDIIIAGGGTSGCIVASRLADADPNLSILVI EHGPNNQNNPLVTHPLLWRAHLAPQTGTTIYYIGKNEAQLGNRGIYVAAGGVLGGGSS INLSMYTRPQANDYDAWKSRGWSSNDLIPYINKVETYHGDGLKEHHGHNGPIHVSSGP YRQNGAERNFISSMNQLGYSENKDLQDLDSSTGVSHCLKYASADGTRQDVAHAYLHPR IQDGRHDNLEVLLESQVTKVLFDTTTNRALGVEYRANPAFSKEAASQPPRRVNARQFV VLSCGTLGNPPILERSGVGDTKILENAGVPVVADVPGVGNGYQDHQVISYHYMSSMAM EETSDIAFRDPATAIGSLLMSQSPILGWNGFDASSKIRPTETEVASLGQDFQNAWDKD FKDLPSKPLGTVILSTGLLDNPATFPEGVYFSLGCYNSYPYSRGHIHITGPAMDDALG FETGFLADHAEIDLKTHIWLFKKQREVARRMNIYKGEVEGHQPAYPTGSSATFAPAVP TNNRTLIGYTEEDDAAIGDYIRKNITTCWHGLGTCKMAPREEQLGVVDERLNVYGVKG LKIADLSIAPRNVSANTNNTALTIGEKAADLIIQDLGLI PFICI_03889 MDRNGARKPRSRRNRPCDFCRSRKVACKIEKEPPCQLCLDKSQE CTFNEATKPRKRRHVGTEGAPGTTAGSSSAATIHPEAGIAADMGWSQYEDDNDMLTSF LQTFDLEQNLLPGPASFLRPGPHSASSASPGSGIGQSATGTSGSQDLEHPTVDPKSRH DWTSTAKRYVGPSGDLDPFLLAYRSYNTDNTSVSHFAAIEYHRTRPLNGADCEILPPA VFTMSRSISSTVSTSLTENTLRHSFEQKIDDITASGLVALFFRFVHPYQPIISRLQFP AEEEGVSLIPLGLLSAICATAIPFVLYDDALCVESSRLPTSSELYDIASDALARDLGN PSIVTLQTQLLLLHRHRDKQAPIGDASIWSQCGQMVSLAQILGLNDDSSSWTALTGWE RRLRKRLWWAVWITEKWTALGQGMPSHISKATWTVPPLDRSDLIDDPGHNEEPSMYFK LLAELTIILNDIIEAFFTLNSTTRAMDDFGNSLQVARALRLRLKDWHNSLPETLQTEQ QSGSWMMDDSSSPDLNGNASLGVAFFTAQLTIFRALMRPISMKRLHSAIAGLSMGSLN SSKITPQSVSATLDGAIVALESLIRFVGNFNTTEWDAFWPGWSKHNFALASTLLLQCC LITSPTDSWQANQTSAPQGADPDARSLGQLDANDRPLESSSGAQLSAVHARLQNLAQR WRWTLRLATRGAANNKGLIDSSLRRVDALLTEWRRAQSTHFEHPTWANRRDKT PFICI_03890 MTNTNQRPKRPLRVAAAQLGPIHLADSRESVLQRMFRLLDQASA SRVQVVAFPELAFTTFFPRHLMDHTELEAYFDLDDPQNGGLRQSPGIKPLFDHARDLG IDVYVGYAEKAPTGDGFGYYNSSVYYSARSDRIVQKYRKVHLPGVVEPFKQPGATQQL EKRYFSNGDLGFPAFRAPGLLPNAAKTAAGPGEAIPLEGSGDAIFGMLICNDRRWAEG WRSYGLQGAELVFCGYNTTAYAPQLLGTSEDIDRKTAEEEVLFHHKLSCQGNSYMNAC FSVNVAKCGLEDGHSLISGTIIVHPNGHILAEASTKEDELVIANIDLGDCRRGKEKTF AFAKHRRPDQYRMITDYTGVVEPKMLAADGI PFICI_03891 MLSQLYYNATVITVDKLKRIILDGALLVKDGRIAAVGKTLELQR DLPDDVLQVDLDQRIVIPGLINSHVHLIQSIMRGLCEDLELHEWASCAIWPLEVSFRA DDGAVAAKLAVAEMMKTGTTCFLEPMLPSSPDNEFSRIVDAVGQSGIRACLGKLVKVP RSDPSAGIRDDRDGNAGQMTIEAAKLAHEQYHGSYNDRVSVWMASETPRGQDEAGFQA VGTACKDHNIRLTVHCAEAPDDCHLIAKHYKASPAEFLRNVNSTGPHVVIGHMVHLAE QDFGILRETGTSVAHNPTSNAKLADGIAPIQRMLEEGINVCLGTDGAPCNNGHDLFRD MHLAGILHKAVNTNSKALPAEQVLEMATINAAKALGLEKEIGSLETGKKADFVVLNHD GLHAAPYSPSESAKGGMPPSTLVVHSLSGRDVDIVVIDGEVVVRAGQLVTMDEEKVKR DARTAIAGMRARANVNAQKMARGWHYE PFICI_03892 MAHQFVVLGAGVTGLSTALELKNRHPNSSVIIAAQYFPGDRHPT YASPVAGANWLSVALDSGRQETWDEITFQRLTKLARQSQETGVERMEIRAIYDREPKD ADVLSVATGKIWYEQLVGGLRRLSEDALPAGAVFGYDTDTFVINTQIYLPWLQTTSRK ANIQMHRRIYSDIQELFSDYPSASAYFNCTGLGSAGLKGVQDANVYPTKGQVLLVESP KVPLKRMYFRSPRRVDNDTTYVFPRGPHGGVVLGGCRLDNSWSGDIDPHLAEDIKKRC CELAPELGRPDDLKVLYHAVGLRPSRVGGARIERQVINGKQVIHNYGAGGAGYQASW PFICI_03893 MEKSTALVVVCLELPALSSSMQKQDNAPTGDTWETGTRSAVAGG TTTVIAFASQKKHEESLLPAITAYHEKAGGNSYCDYGFHVILSNPTDQILENELPALA DAHGITSVKIYMTYEPLKLSDRHILYVLMRCRALGMTTMVHAENSDMIAIVIEGLEKR GNMGTWFHSVARPKIAEDEATYRAIALAELVDAPILLVHVSSDIAVEHVRAAQARLLP IHAETCPHYLYLLSQELKGQCNGSGHHHGDTDDFSGAKAVCSPPLRHDPADLEGLWRA VASNAFTVISSDHAPSTFDHPGGKKLGLVNGKIPNFTKIPNGIPGVETRLPLLFSDCE GCLPISEARLSLPQFVQMTSSNAAKMYGLEQRKGNIAPGFDADLVIWYPGDKGARLIT QGRLHHGVDYTPFEGIRVRNWPRYTLIRGTLVYNDQGGIIGDKGYGQYLKRGLGQILV GKTGRQARGMLKDERSFWQPTME PFICI_03894 MSTLSLQQGLTRNVSGGGIAGNFTEIPIIDLAPLTCPDSSAKDN ERLVSEIRGACKTAGFFIIKNHGIDWRIIENAFDGLEEFFALPHEEKMKIHQDQSPSY MGYEQMFYTNVDGLKRGDKKEAVSVAYNPEIDPEGVAKAAIPEPLRRENCWPDRSVCP KFQQSIEAYQMACLSLMRKLIRVMATALGLDESFFDKKTTYPIASVRCLYYPPQEPEE ENETGLGAHTDIQMMTLIAQRPYDQPALEVLNAAGDWVRPQITEPECFVVNLSDMMAR LTNDVFQSTIHRVRNNNNKAKQRYSLPFFFGLNQDELTTTLPQFVTKENPLKPTYERG MTGYEHYNNRLRKAHTKHPSAKNDVVNLPHSMIKVDGVLIGS PFICI_03895 MAQPTTTTTTTAKIEAEGIAGVFNGKLVLSQGMTRALDSGTGGN FKAIPVVDLTALISESASEADKAELAVSLREACTNVGFFVIKNHGIDWTIVEEAFAGL KQFFDLPLENKMKVHQSKSPSFMGYEEPYYTNVDRLKKGDLKESMTTGYEPELDPEGA GYQPEVLYRKNQWPEDGDAPRFQPAMRAYRAACLALMRKLVRLMGQVMGTPEGYFENK FTYPVAGIRGLYYPPQAADDSESTGLGAHTDVQFLTMIAQDPFDIQSLEVLNASGEWI RPKLEPHTFVVNLGDMMSRLTNNAFVSTVHRVRNITGRPRWSLPFFFGLNNDELVSPL PQFISKDRPLSEGYEHGITAYEHYNRRMQRAHHQHTTAVDKCDPTLPRGMTRIDGVLV PGM PFICI_03896 MTGLLAKVREVVWGQPATTKAERKLVVKLDCAILTFVCLMYWVN YLDRSNLSNAYVSGLKEDLNMQGTELNQINSVFYVGYTLGQIPNNIALQKIQPHLYFT CAIIIWGLFTLGTAFVTTWQQVMAIRFCEGIFESATFVGTHYVLGSWYNSQELGKRTA IFAASGVAGNMFSGILQAAIYTNLNGVRGLAGWRWLFIIDFLITLPVAALGYFVFPGH PQTTSSRLFSDAEKQLAIERLPEPDVQRGELNWGVVKRLVFSWEFYLLPLLALLAGDS EMYVDNAIMNLWLKALGTYTVQQINYIPTAIYGLGIVSILCCSWYADYFKCKGGRAQV GVFLAITSITSGAIMLQPPSYAAKFFALFLNGMQLGYRGVLFAWANEIMRMDDAKRAI VIAMMNAMTIAFYIWWTLVFYNTTQAPDWYMGSIAMICNGVAFALCVLLVAFMARRAS RKANTIDGMTEDEMSPQSIEIQSEHKSKA PFICI_03897 MDSKPDTAARAIVSRGPLSEGQWAIEPVTLKPLKENELKIQVLS VGVCHTDLTVGNTPREYGAYPGVLGHEGCGIVLDVGPKVKVAKVGDRVLLSFRFCKDC FFCKNGHPSYCSMFTPLNMGFGDYPREFATGTDGASVKGFFFGQSSFSEVTIVSETSV INMSGYVDTDEELKLLAPLGCGMQTGAGAVMQLQSLDEDDSIAIFGLGAVGFSAVAAA KHRGVKTIIVIDLVQSRLDLAKSFGATHALNPSLLKDKLTSTVKGITGGLGTSVTIDA TGNVNVIKQAINITRNQGEICMLGVPPPGTILDIDITSFLGSGKKLFGSVEGGVVPSE V PFICI_03898 MSSYTCCSIESEFSKLVQVDGRIEEADVAAVFDQAKPVQLDFML GQWEGGSFNTGHPTHELLQTNKWAGKTFRTINDVDPIVLYNEVGARVWCKDYGNAQLR AVTFRGVTSAAMIYDNFPIIDSFRYISDTIVIGAMDNKLVPKEAGTYYFYLRKLNE PFICI_03899 MAAENFSLREIIKYGLISLGYVADPGAFRIAQDLPFHSWSILVL PPLLSLTDIEEIARAGVETLLGLAGPESWTGQLSPIALAASKVCLSSMVPPVIGDPIY TIRTGFLTASHANCRILGFDPQVILQHHAKSPFVNTAHAMSCGTFNTGISGATEMQQY RSQKVINDMHPTLEQLTIPHHPYLDLIPWPSFRSRAIIASSMNPPLIDKNELCLDLLS DGLCCHGIPGVSLHGRGEGTPWDSRSWEARPWFLQKWSYLTGGSDVQQTSLWWRLRS PFICI_03900 MTGTTEFKAIYSYTKEPLPGLNKFGDVPNPHTQRKAARRRKNQL LRKEDPERYQREMADKKAIRDARLRAERDGKRLD PFICI_03901 MATQTPSDLPSWQKSVPTIHNMEHSQSMRVVWALQEIQDAKGLK YHIKTYPRQQPKNFDLLKVSPLGKSPILTVETLDGKPLPNVQIRDGILMESGLIIQWI ADNCGGRELWEPEDDQDKRRNEYFRQFAYGSLVERVDQAMLFEVMAFMSPFPLNHIMG LILRPLANMFKSFLIIFLDLMESELSEERPWFAGRKLGEADFAMEFPLSMAITRGYVD KEKYPKIHKWYDMVTARPAYQEAIKKGGGPKKYDLVRFGM PFICI_03902 MTSLLVSPAQVAVATTYNTDEVWTDSPTEHEQIDYKLRRKLRSK QEKLRAAKLELEVEKEEYHKVIDCYNAALDQPEITEQELDELWKPAEMKRRHVQAFKM FLRMAEDKAEKADAALRSFEAQTIESKMRRDQASTYFPFVLIFLDEVDSPLFNL PFICI_03903 MDCDMCFSHNSIRSTIEQISTPSSVTDEFRTTSEETSSNTDRSS SPTEEACSTTEHSPKPIEKTSSTTEPVRVYLNKYGDVPNPARQIKAARRRRNQELRRT DPERYRREMAEKKILRDEKLRAERELRRCR PFICI_03904 MFRTALVRSAASASRVASAPVFRMAAPATSRISAFKAVRMYSAA SGLKKEEIEGRIIGILQGFDKVNDTSNIKATAHFANDLGLDSLDTVEVVMAIEEEFSI EIPDKDADAIHSVDKAVEYIQSQPDAN PFICI_03905 MLTTRSLVRQPVAASAIARIVAQRMYATPSGPPPVGFRQKRPRA WDDEKETTLDRAGRYFLLTEMFRGMYVAMEQYFREPYTIFYPFEKGPISPRFRGEHAL RRYPSGEERCIACKLCEAICPAQAITIEAEERQDGSRRTTRYDIDMTKCIYCGFCQES CPVDAIVESPNAEYSTETREELLYNKEKLLANGDKWEPELAAIIKADSPYR PFICI_03906 MKHFRLLLGLASLAPGTHAACTKPPQYLDWKTFKGNGVNLGGWL VQEPFIDTDFWAANCGTDVVDEWTCCANLGDQCGPVLEQRYATWITTADIDLLASSGD VKVLRIPTTYSAWIELPGSQLYHGNQTAYLSTIANYAIEEYGMHVIIDIHGLPGGINN GTIGERVGGLDWFYNQTAFDWSLKTVDAVLDFIVSSDHPQSFTLEPINDPMDSPDLVG QASSLSEEAASWLLKYFDAVIERVEAVDPRLPTMLQGSFQTPDYWSANFTEGKNIVFD VHSYYFAGRPIGPNNVTEFIYSDAVAAQGTGKFPVYTGEWAIQTLYNNTFADRARILS AGLAAFGNYTQGSSYWTAKCTGNDTVDGEGTRADYWSYETFIELGLLSP PFICI_03907 MADPPTHDDEFVSFIKQRIDHKIKQFEKCTWESTQMKMTSIANE RQETKKQIYSLLETATICSPSQQTQEFCQKMEAHLNDLASSAHCGFNKRIEVDDGVIN ISFEPLSTADVVPEGKTPETSSSIRRSSLQDSIHVAPPTLPSNRTKLEDRDTSRTVSG QRKRDRNSSGEPLHSKRRQKSDKRPTGVTPTPPEEIHENHQGLMCHTPPNWPIITRQS VGEEYLFEFPFESNRIWVLRCPLCPNQRFKLIGADIKWATKHNSKFNFWKVRDPQFQV RYPYINPPDRKPGAERQPDRTAPTPARPLSGGGQSNGPSRNHTPRQPNKADCGRGNQV VFQDDVSGVTQDGKIVKRKPS PFICI_03908 MSGWKYAFSLSKAEVKEATPPGTVVLIGKADPEVGDNGDVTIRF PRPSADPADPLNWAPWRKHTVLLVASLFALFSNFTSASLAPGLSLWAMNYPNDPRPFP ILARLMAVNVLFQGAGNIWWVPLSNILGRRPALIAATLMLTLCTMWCGLATDYNSLLA ARIFQGFGNAAADTVAPALVGDIYFMDERGRAMTLYTVCLVAGPIIGGIAGGYIAYTI GWAYVFWVGTALSAATFVGTVLLVPETLYFRDEVVDGMRQGETAGLSKETAAHLEQTP TRSTNYRPYTFARSLGFIKPPGGWLHHFIQPWRTLALPGTWVVMLHYGGLVGGIVTIS TIGPQLVAAPPYLWAGNAGLINIGALIGCILGAIYTYLLSDSRLKSQARHESHGYAEP ESRLPTMFPALVIATGGFWVFGFCAQNPSTTGWVGLQVGYGMISFGLMQVPSIGFNYL IDAYSYLAADCFVMVTILRAIIAFAWTFFVSDWIAKDGAAEAFGIFGMLMGVFGLLTI PLWLYGKRMRIATASVFGN PFICI_03909 MASPRSILLSSLLLASSATAQDFGSSGRSEEAFSYIQPENTTIL TQYGSSPAVYPSPNITSDGTWASALVKAKDFLSLLTLEEKAYMVTGAPGPCVGNIYPI PRLNFSGLCLQDGPLSIRVADYASVFEAGVTAASSWDRKLLYERAYAMGEEFKGKGAQ VALAPVAGPLGRSAYGGRNWEGFAADPYLTGVAMEESIKGFQDAGVQATAKHYIANEQ ETMRNPEYNDNATLTDVLYEAVSANLDDRTMHELYLWPFANAVRANVASVMCSYQRLN GSYACQNSKALNGLLKEELGFQGYVMSDWGGTHSGVAAIEAGLDMDMPGGIGMYGLYP EAGSFFGGNVTTAVNNGTLDVSRLDDMILRIMTPYYALNQDVDFPSVDESGADLNTFS PRSTWLRDWNFTGEYGRDVRGDHGSIIRRHAAEATILLKNVNNALPLKAPKIIAVFGN DAGDDTQGFLNQVDFEYGTLSAGGGSGTGRLTYLSTPLNAINNRASQDGALVQYWLNN TLIESSEMPALWNPKTPEVCLVFLKTWAEEGADREHLSTDWNGDDVVESVASYCNNTV VITHSSGINTLPWADHENVTAIVAAHYPGQESGNSIVEVLYGDVNPSGRLPYTIAFNG TDYNAPPTTGINSTGVEDWQTWFDEKLEIDYRYFDANNISVRYEFGFGLSYTTFAISD IQAEALVDDITATPEAQATVPGGNPALWESIYNVTVSLNNTGDVCGATVPQLYVTFPD SAPAGTPPYQLRGFEKVTLEAGECQTVSFELMRRDISYWDIISQEWVIPQGDFTISVG HSSRDFEQVTTITPIAA PFICI_03910 MLRPSLINLLLLASTADRVVSSQESGAQVVLGQSAGHSPETVHH DFSTEEAQQRILQTVESYPDPVDAFLALHPNAAAALAEPRLLHVIGEDEPEWMTVGAK MRLRRNGKKFMDVTDYHDMYKEQVGALSGKAKLPDLTHQRLVKPLFPQVSTSKMHDVL KHMTSYYNRYYGGVIGETSAQWLHDHIAEIIAQSPFHTHISLEYFTHIFPQPSIIARF EPKVRNWTQPLTILGAHQDSANYLFPLLPAPGADDDCSGTVSILEAFRVLALSGYIPQ DGPVEFHWYAAEEGGLLGSQAIARYKKDSNAVIGAMMEFDMTAYVARNATESIGFIKT QADVPLTEWAHKLAKEYISIASDVYELGPNAGSDYMSYTKLNYPAAFASEGNPLAGGG FPGDSNPYIHTTKDTMDVDDKIGYFSIDHMARFSELAIAFVIEQAGWDNRWR PFICI_03911 MSIPSFKRLIRFVPKSDPSKVLIGEPESETIDVGAALWRREEIA AHVFSGASVLKPGSKTGGREIVERVLSPLAPEEVGTIRCIGLNYVKHAQEAKCDIPNV PTVFLKPNTSLGDPWPAKTVLPKATQETNTGDYESELAVIIGKTAKNVSEAEALDYVL GYTAANDVSSRAAQFAQTQWCYSKGFDGSCPIGPVIASKELVPNPAKLQIQGSKNDEV VQESGIDDLIFGVPKLVSFLSQGTTLPAGTVIITGTPAGVGAGKSPKVTLQDGDRFDV KISPHVGTLINVFENEA PFICI_03912 MCLPGSRFRQEAIHARTEHSTSYVRHLEEQVRRLSAFEAQAKAG QATTSQQPAPLVAKDAAPSASSPAYKENERHASSSYDADSPASLRLGQASGQEISGIN RHTRNVEFYGSSSSVALLSQVQRAGDEPEEAREDQDGEALVSNLHNHAFSPAVDAALG GQRPGRVTHYPQCQNFIMNYFTSLHFVHPFLDKTEFLSRCEQLWSQEGHHLHTSSFAA LYYSILSLGALVGPREEEPIGGITNMQWSRTFFDEAIARCHRLGMVTDLDMVQCYFML SKICQNELNAHWSYMYVGLAVRTALAMGINREPSPQSKKPVSQLKAEARTWWGLYSLE TEMSFAMGRPDTLGADLYHNRSFPLIGNDNSGSMPDDHFDPPQCAIIKSMVDLSRITR SICLDIYLPETITPRTVALAYRLEQDLDKWVEGLPDAIRPKQATGEPVSLKSVRDPQW AKRQRLVLGIRYHNLRILTFGSLLLTSSSNDQGALPGLREGVQKCLDSAKQTIDTIYI TYQHHDFFRTWYYNTTYTVFAASVILVYVMKEATEAEMDPLLRVVAMAIEILETMDEC VVALKAAKLMQRAIDKARRKFSTETPPAVPPAVDANEAMLHLNHYWGPLNLIDGEMDF DFAFQLDDMDGSNPMFLQQ PFICI_03913 MAQEQRKSPVQLDVIVVGAGLSGLASAIGAALSGHHVTVYESAK ELLEVGAGLQVTPNCTRILQKWGLPDRLWQSAAEPTSLLVHRYSGEVLAMEKDFDKNI RQKYGAPFIDLHRVDMQLSLYERAKDLGVVFNLGEKIESIDFDIPEITCVSGLKGRAD LIVAADGLWSKSRACLLGRNDPPIPTGDLAYRVVLNLDQIQDPELRLWVKYPSCHFWI GPGAHAVGYSLRAGQMYNIVLLVPDDLPEGVSKQSGSIEEMKALFKDWDPILGRFLDL VDDVDKWKLMHREELDSWVNDKSNFVFVGDACHPMLPYLAQGANSAIEDGAVLGSLLG HINSKAQLPKALKMYEKLRKARGEAIVRETFKQRESFHMHDGPKQQARDEIFRQYMDG EKLSGPFPSRWTCPEVQPWLYGYDAFKEVADAVKESPFTENKQVEADCATTWVAPVPV LGRVTSWIKSRMSRMSI PFICI_03914 MAYTKSNRLVYKVLDGQEIDVETYLPATEKKASRGQPVIIDIHG GAFMLGASGMVNKDQVEDCLSRGWIVLVPNHRLCPQVDLLEGPMKDCRDLLAWIYDGS LQKQLSTVQGDEFRVDLDHVFAFGTSSGGTLALSLGFGVPRPVAGIFDMYGPCNFADP FWTSPLPHMQARLPPLAADFIAKIYDEKPVPIVGGVSLEGQATGGPNFGDPRVAFAMT QIGNGTVMDAIYPSKDWAKVDPLLNVDGASFPPTYIVHGLADTMVPISLSRDLYAVLQ QKGVKCEMTEVPDEEHTFAGKMKVGSQTWDLQRKGFDFLESLIV PFICI_03915 MSIPLQSLPPQERTNYIIDQLEGERITLPGSKGAFRILASSKQT NGGIAVFTSGAVLSDAPGFHWHKEAHDVFLVTKGYLKLWNGDKCRIMGPGDFAYVPPT VIHNPELLGPHTETLGLVAPGDWIDFFRYVGEEYKGLIIPETDDRDLKSLLIPKLMQA KDRFDVQFERSYQPPEVAEWQASENVLGGPLEPYFLRANTGPRWLLGGVMSRPLINAS QCSGKFAITSLESSKVYGPSAFAQHWVAFPTVDHCFCVQEGLLRIQIKGEGSGAWSEV REGQTVVIAAGQSFKIDFGSRFVRAYSFTNGPGIEHLIHTAGASASGVVLPDEASPYD QSKFETVAKEMGVSLS PFICI_03916 MAGDTYYDLGHYRRPVTTVDEEARLWFDRGLLWSYGFNHEEAVK CFEKAIARDGNFAMAYWGAAYALGPNYNKPWDFFDATELETTVQRTHRMVEEATKLAG TVSPVEKALIDALHFRYPREHADGDFPIWNKYYAEAMEKVYQEFPNDLDVATLCADAL MNLTPWQLWDLQTGKPADGARTLDIKNILDHAMALDRGMQHPGLLHLYIHLMEMSPTP EAAKPAADSLRTLVPDGGHLNHMPTHIDLLLGDYDLGIQSNQRAFEADEKFLAREGPL NFYTLYRMHDYHFCIYSAMFAGRSKVALETVTRMESSLSDELLRVESPPMADWLEGFL AMRVHALVRFGRWEDIVGLALPKDPKLYCTTTAMMHYAKAIAFAALGDIKNAEKEREN FFVSQKAVPESRMLFNNKCVDILKVAEAMMEGEIEYRRKNYEAAFANLKEAIARDDGL PYDEPWGWMQPTRHAYGALLMEQGHYEQAAGVYAEDLGLDNKLPRALRHPNNVWALHG YHECMVKLGRREQAQSIASKLESALKKADVPIKASCYCRLSAVQ PFICI_03917 MGSIIENTPTLLQVSPLPQEDGKKTNFGAVVDGLDLNNISDRDV QALKETIWKHKVIIVRGQKSLQPVKQWELVTRFDPDAPQVHSHGDVKSFNKFGGLLSK SRDVLGIPGAENVRLIGKGYQGADHYGIKDKTVKKPLSHDWHATKLSQDEFDSGHTRF QRWHIDAPLYERDPAWFTTLRCIKRPTEPKVTVHWDDGSGQTMEVEPGLTAFFSNVQT YELMTPEEQKVADHSWVEYAPRPYTWMGECRGNPNGLGVASEGREKSLEELGDWDPAH VKRYPMVWVNPVTGEKAFMVHGICARRVFLRSSPDEEPRMVDDVAEIRRWLKPIQERV LKPEYIMLPSVQEGDIVMWANWQCFHTAVDYPDAYGPRTMHQANIGASSAPVGPVPIP VLA PFICI_03918 MHLQSLFVLAATLPLAWTHQHHGGIGRRSEQAPMSQPGLSINGI PYSTRAYWMRKANQALFDVLGDACPFAAFGTVIVNHTVDGLGDLVCIGANTNKVTGNP TLHGEMAAIQNCSEIFTDPSGPYNMTAAEALDAFSQLSLYTNAESCPMCASAIQWSGF AEYIYGTSIDTLIEKGWGQIRIGSMEVFRQSFDMGTTTRLIGEVLTNETDPYFLWQYD PSYSCPEGCSRSGSTCSNTPTTA PFICI_03919 MLTQILFAVLAFAAQAEAVNTRFCAAHHARLINLRQEAAATPFC IGYLSIGTTTITKNSIVTVTDNPIMVFATITVTVTADDLTLRTTSVVSRIISASAGAT VLQGCYSHQVPTKRDTSSYRAEIGFLRPSNIPIDWPDPAVSLACSCLAIPSPTITKIV VQSGIVGHLSTATFRPLTTIGTWIAQRVVQSTVFQTVTSTTTLTRGLAAQPTKLSPEG LRFGVFDHRIHTGGEDIAAADDLRSHKILDSGTIQNLNFDIDIQSQTMFLDGRNMTAR WRGINAAGYFLARTAGTYTFSTPLQEFWDEVFFWHGDLAYNKWGDKNADYFAWRDRWK SGAVSITLSAGQVMPVRYMWVNSNADLGFCDCDIDYGSSRLWITTPEGQVVKDSTGWF IRDCSDDTFSGIAHI PFICI_03920 MKSIFIFAASILLDTAVASPARTTNDVKRSISSQLAYFPAASPV GTGVIICPGGGYSHLSLVKEGSTPAAWLNDLGIDAWVLTYTTTANQSAPLYPTPLVEA LDAVEYVKSQDVVTKLGIWGFSAGGHLAAVTVTNPAADLDFGILAYPVISMGEYAHKG SRDNLIGANASAELTFNTSAQNLVSSTTPPTFLFHTADDATVNVHNSLLYAAAMANYS RPFQTLILPDGSHGLGLALDDAQRNWTPELERFITYSI PFICI_03921 MATKYEERPIPKISLSNFDQRIDEITQQLCAAAEDVGFFAIVDH GIPQADVDTMFATSQAFFQLPEPAKCSVPWSPQNVGYEYKSQVRPSTGAADQKESYQL QFGENMADKWISDENLPGFKDTSLQFMHQLQAVSNQLMVCLARGLGFPDEYFVQAHDV RRPNSQSTLRLLHYFETPKDGETYHRAGAHVDWGFLTLLFQREGQSGLEICPGREVVT EWASGEAWTKVAFEPGSIICNIGDLLMSWSDDRFKSTFHRVKAPEEPGDNWGERYSIA FFNQPCKDALIQGPKKKYPMVTGEQFTANAMGTYYKALQTKLKEQQNQAVKV PFICI_03922 MVVTSSRIAALAAISLFASAVNGTEATTSQCCDVLSEAGLDSKL HLPGTSTYENRTTTYYSRSNFLHPQCLVQPESTTDVSKIIQVLSANKCFFAVRSGGHT PNAGANNIDDGVTIDLSNMNTTTYHAENSTASIQPGSRWGQVYRTLEGQGVTVAGGRA ASVGVGGFILGGGISYYSAKKGLVCDSVHRYEVVLANGDIVYADKDHHSDLFTALKGG SSNFGIVTRFDLETFPATPIWGGAVMYPTVVGPQMIDIMSSFTRGIDDDPASSSILIW YYLPDFKDTFLVAAYENNEGVAEAPTFKDYLAVQPQITSTTRITNWTSITEELVDQDG LEKAWFTLTFKNDVRIMQKTVELHAILMEEMKAIAGDDGFTSMCMLQPLPASLGKHAV AKGGNVLGLERIEENAILLLATLGTTDPTLATLVEQKVQDWVNAVEAYAKSLDGDIEY RFLNYAHGNQNPLGSYGSDNVAKMNKVAAKYDPDGVFQQLLPGGFKISHVNDNDDTPA RDEL PFICI_03923 MQLLRFAALLPLASAAAVATSQLDNLDTLAKRSNFITVKYSIDN DNCYVVLDACGCSTNVVVGSGYCKNLSGDIAKSPCGGDLTLGDNGSTWTFDYITSDNN CAVGCDLAKQNDAGCSA PFICI_03924 MGMKDALQGGKAHLHAGREKLHLRLTEPSSWKLPKQHSSIAPPD VWTNADQDPVPPEKLTWTQWTFVTYWLSDLVTISGWEAASSIVTTGLSATDAVLITLV AGICNAIPTVLNGCIGADLHIPFPIAARASYGYWLSYFCVISRGILALFWFGVQSAGG GDCVTAMITAIWPSYQSVPNHLPEFVGVTTQGMVSYFIYWLIQFPLLLIPTHRLQYLF NVKACLALPMALAMVIYLSVKAGSSSNEFFTAPATVHGSTRAWLWLSSLTSVIGGYST LAVNIPDFSRFSRSNRDPWWQLPIIPFFKTIVGVLGIVAASASQQIYGTALWNPLDIV NEWQGTPGGRAAAFFCGLIWLLAQISVNISANAVSFANDITTLVPKWFNVRRGTIFAS FIGGWALCPWIIMASASSFLSFMSAYAIFMAPIAGILVTDYWLIKRRKYDVPALYDPY GIYRFGWGGNWRALVTTLLVIVPLLPALAHKVTPNSVHISTGLQNLFSFNWLYGFVVS IVLYYVLNVIFPHQPTLIDKVVPGYPYTIEGIVADTESQNSTREAKDEMPQKDGHGPR YSEAQEVIGSAVA PFICI_03925 MITTYRGEQNACRIAGEASNITPNAADLSINLDILSPVSAREQH DSIAILRHRVAELEAELHHQVDRAPASMPDPTSPSDSHAYVAPDPTSPSRNQDSDSTH DTVVEDAASILEFLAWGRRKNPDLNATVTSPEAVVNAPHVGDVRDTHVQEDSPNDEGI GFDDLNHTAVLQLLLPDKRRVWDLVLWHEQCLLWYHCSYYAPSLKKQLENFYDRCHGS IEHPEVNLQWVAFLFAVLTGSITCMPARTAEQWGFTDEERESLSKRWFQAVITCLNKA DYAANHSILSVQAITTLTVSAHMLGFSNLQSIHLAAAVRIAQSLGLHRLTSETPGNET EKEAGRRVWAQLCSQDWFSLPFSETYLINPLYSRSELPRNCHDNESFALPDSIPTVTG YSRFLYTIAGIMPRLQDGLMSCNTPFTRYEQVMKWDKQLRSLATNARPAYLSSCPLDP TWPAYIPWARRSLAISSSHKIIMIHRSFLSESFTNQAFAFTRRTCLAASKTIIKEYKN AVEEDGPVLWIHQAFSVAASIILILDVLHRGEPVAETEQHLQLVEDVLLILKRCRNSM IAVRGVKLISALLTEVASMSARNDTSRKRRADDDAVEPIVRSHKRRRTFNVAEFVKTF CSDNAARAPSNTQLTAATNPPSQPHTSDYFYENAAFDGTSFENLLYLANQDFCSF PFICI_03926 MKSNFVSTPEGTKYHYLEAGSESGPLIICLHGLGGSTDTFTSLV PRLPDSYRIILIDFPGFGQSPPPTERPTVARYVGDLDHVIKSLRKADGDQVILMGHSL GTAIALHFAAQNPSTVAGLILLGAARSASHIPAVRERMSNMAASTRQNGIQWAAELAS QSNFAPADKRTVDAALRAEVAKAVGASHVEAYALTCEMMVDESHKDPEYANITCPTVL VAGDVDVISPIERSTGLAKLIGSKTCWVEAVMSGHQPILEDVDGVAGAVGRLLREI PFICI_03927 MVIASVVTEEVEDLRLVLEKPSLSLPHATSSNGKTVPIQHGKDS NVDFGAEVYGIDLNNFTDADFDFIHDALHRHKLLVFKQQPEMLSPQQQYKLTSSFDPD ETTGGFAHGVDPFLTSHKGVNIYGIPKRPAIPVQPQVHILGRGALPENHYSFPPDFEV QGIDHEEFHLPPHISAEEREKGASRFYQWHFDGSLYNIPPPRVGCLLAVRTPKGPDVT VRWDDGTGTEMKIAPGATAMVAGSRALALLDDETRNLVMNSRIEYAPHAFVWMSTAHS TRLGHLIETEGLEKPLDQLPKWDKEKVAIYPMVWTNPVTGEKSLQVHGQGAFKLHLKD SPDGEEKIVDDLREVRAFMHKLMRPTLSPENIYAHRHEEQDVILWYNRALWHSITEFP KSYGPRVMHQCNVAASDHPVG PFICI_03928 MFKTLFLAAALASQGLTRPTTENARAIDPNQVFNSRMTWYDTEG GLGVNPGACGEVNNRDQKIVALNKFQFVELSNSANPNTAEVCHKKIRIKHEGKETEAE ITDRCDGCNYGDIDGTQAVFNDLVGGLGAGNVSVSWSFI PFICI_03929 MASIPFQYTPLDKGKTEIRLLEIIPQVDSSLKYSLTTSNLKTSP PYIALSYTWGNDEPSHTIVVNGRVFKVRDNLSYVLPHLAKLRRNGEQSGFRRDEQYFW IDAICIDQQDTKERNHQVAIMADIFNSASLTIAWLGPEDEHFRTLTTVNLGDLQKSRD SRESHANLQRRLLAELQELQNHGEDGLSHPLDSPTPYDAAFDQDFNEDEEQLWHQVKS CMEKIACMEYFTRMWIIQEILLSRELWVMCGNSICTWRALEECWLHCFGIGDPRYRDL AFKVSKFMDRVLEEVRNPTDPLHSVMASRFLRNERSFRLDVLVHLYHDRRCKDPLD PFICI_03930 MATTRTIGKLRETVALKADDAHHEEVNAWCNRDLIPLPPSRRTW GWFNFFGCWSVSSLTVATWQTPNTFLTQGLSVGQAMAIVIISRAICTIFAVAVAWCGL TWHIGFTVQNRFTWGMRGSYIPLLQRILLNFIWTAIQCWNGGKLASVCITAIWPSFAN IPNTLSANTPTTTYELNGFMVFWCISVPFLFIRPEKFKKPFFVSSVACGLAMVALMIW SLSVAKGVGPMFYQGQTVSSSSKWSVSWLMLSGINQAIGGKAAGMTNSSDFSRYATRK RDYIYGTLSVYWLVGVLVSLGGLITTAAAQKIYGDVYWNPPDLLMVMMDHGKGSSAAR AGVFFASLAFAFASMFENVCSNSVAGGIDLSGLFPKYIDIRRGSLITFFAAWIIQPWQ LVNRAATFVSVLNSFSVFLAPIMGVMVCDYFLLRKQKIRFSSLFYPEKSQYWFWHGVN LRVIPCWIAGWAPTLGGLIATAGGVSSPRGVYELYYMAFFIGFFISFVSFYVINLIFP LNHLGEFDEVDHWGTFTVREAEKLGIVPEGQEIEGSYGDWKDAVGTEVTETPGYKRSK PFICI_03931 MAPHLRIGVDVGGTNTDGVILDPSRSSESDRGIVAWKKSSTTKN PSDGINNVISEMFRESNVNPEDVASVTIGTTHFINAVVEMDQSRLARVAVLRLCGPFS KEIPVGVDWPPKLRNIICAYRGLVDGGLEIDGTLISELDEDEIRRQCEVIKSKGIRSI VVAGVFSPIDVVYRQEERAAEVIREVYPEADVVISKDVANIGFLERENAAVLNAAILS FARRTIHSFQNAVGSLKLNCPVFLTQNDGTILPASSAAQVPIRTFSSGPTNSMRGAAF LTQGLDKEAMMVVDIGGTTTDVGLLLKNGFPRQAAAYSEISGVRTNFSYPDVRSIGLG GGSIVRVADDATVSIGPDSVGYQIQTKALVFGGDVATATDYTVLADTAIQIGDRARVE AAKLESHLSAFKAEVKSKIERVIDVMKTSPEDIPVVLVGGGAVIAPDALKGASKVIKP QWSGVANAIGAATARVSGVVDSIESTESRTLAQVIEELSKRAIDQAVSNGALRDTVTI AEVESLALQYIADKSRVVIKAVGDFDFSRTDLSGVPEALTNGGNAPSEEANGSNEESR KGIVDDNSAPDKIVFTKSYIDGYKPKVVNRQWFISETDLDWISIGCYILGTGGGGSPY QHMLRLREMLRAGATVRVVSPWDLKDKDIVACGGGKGSPQVSIEKPYGDEMMESQTVL YEYLKVKPDAVISLEIGGGNGLQGLILGASTNMDIPCVDGDWMGRAYPVSWQTTPVVF EKKATMIPSTISDGNGRVMIMTKARTELEVERAFRAALSQMGSHVGCAKGPVSGSNTK TWVVENTVSLSWRIGRAVALSRCTNQVDTVAESIIEEVGGPKSAKVLFKGKIVGVERI TRMGHAYGEVIIESASEDGKGPVERIIIPFKNENIFVKKIGPDGQEEILAVVPDLVCV IDAQNGEAIGTQEYRYGLLVVVLAITASEKWTSTARGIEIGGPRGFGFNDLEYKPIGS FEKPRSVIDEFDVST PFICI_03932 MDQSYDLVVVGAGWFGLAAAKACTELRPNDNIAVLESATSCGGT WAEHRLYPGLKSNNMLGTYEYPDFPMSEKVYGVKPDNHIPGAVLNRYLTDYARHFGFL DRVKFKHQVDGVESLPDGSWVLTVTTPEGQKTIATAKLVLATGLTSTPNMPNYKGAES FEAPLYHAKDFCDRADTLKGIKNAIVVGGAKSAFDVAHACVEAGATVDLIIRPDGHGP VWIAPPFVTPLKRRLDKLLFVRWMTWFSPCPWGEEDGYISARKFLHGTALGRFLVKSF WSILGNDVIKANDYDSHPELQKLKPWQSAFWIGSGLSILNYSTPFFDMVKQGKIKVHI QNIDHLEGKKCHLEDGTVLDADVMICSTGWKKESSLKIKGLDAAGLSLPVSEKELGQL NKEADEKVLAMFPILKDQPKLRFEEKKGEPLRFYRFAVPPSMVKSRNLAFAGMISTVG TAVCASIQGLWISMFLDGKLERIAKTDEEISDEVMLHTQWGRWRYPCGYGASLPDFVF DSLPFVDLLLKDIGLNNHRKGGMIAELTTPYAPGDFAGLFQEWQQKHPDVKN PFICI_03933 MGDKLEDVRPMFELKGRNYIVTGGAQGIGFAVTRAIAEMGGNIA VLDIQQKPLDEFNTLSERFGVKTVYIRTDVTKQDDLNSSFAKALEELGSIDGCVPAAG IAIDKPFIEQTWEEFTRIQDINVRGTFFVAQLCAKQMLKQGKGGSMVLIASQSAHIGL PGYRMAAYNASKGGILMLSKALAVELAPHNIRVNTISPGFVDSQMTRDVRASKTKGQG EQMWLAPPMQRLSTQNDLTGAVVYLLSEAAKFTTAADIPITGGLHAGTIDGLITYE PFICI_03934 MTPKTLYPGVALVTGAAGTGIGAAVAKAFAAEGCKRIAITDRNT ELLSAVEQAILGQHGGSSSSSSSNDNDNGNARIEVLAIAGDIADAAFVQSLVDEVVAR FGRLDYAVNCAGVPGTGQRCTETSFEDFDRINAINYRGVWSCSRAELGAMIKQEPLET NVSGRAPARGAIVNIASQLGIVGKAKMGPYSASKAAVISLTRSDAIDYAKDGIRVNCV CPGVIETPMTVWDHESRRRQEPYVQMAPVGRIGQPEEIADAALFLCSAKASFVQGHAM VVDGGYILT PFICI_03935 MSSNNLQLSKLLLTALVLPLSFYSGSNASPVEDGHFEVGTPLLS VEISTREAAPEAVDHVQLESRATLTLDQNTGLTVQNNGRKSLNEVLLVWDDTLTAHAQ QWANYLAQIDQLQHSTSSQRPGEGENLAYAWATNGIKYPQTQASQGWMAEKSSYNGEV IPQGNFNAYGHYTQCVWNTTTKIGMANATASNGGVYTVARYSPPGNYVGQKPY PFICI_03936 MAPGGISAFEARRRENVANNAKLLQESQAIGAKMAKAAKPPPKP ATTRKRKSSPVQRTRVMPTRSSARLSAGPGADVEFESLKTAGELVEEQKPSKRARVTE DLKLDKVTLEGSRWTNGDALASFTQGAQPGFRTFTEEDIKETSDEKLKEVRKGMAELE LYDGWLPNDIKITPERIYSLTFHPIRDKPIVFAGDKKGVMGVFDASQDKPQYDEDDED PDIPYPQVSAFEMHSRTISSIIVPEFDSNSVLSASYDSTIRCLDLQTRVSSVVWQPED EDTDLGITCVDVPADSKDVLIFSTMLGSMGRFDRRSKAKAEIWNLCDNKIGGFSLNPL LPHLVATASLDRTLKIWDLRMIKGKGEMRRPALVGEHISRLSVSHASWSKSGHVATSS YDDTVKIYDFEKAVKWKPGQDIGDDEMEPATQIRHNNQTGRWVTILKPQWQLNPSDGV NKFAIANMNRFVDVYDSNGEQLAQLSGEGITAVPAVAQLHPTQNWVAGGTGSGKLCLW M PFICI_03937 MSTPPLLFLYQTRTILRCRRLPSITRSMHVSPSHRRKSDDHIPF AREIPEDVLPLEDTKETRGTITPTERQTFDRIFSDIAARGLKPRPQQDRNAQSSETTR RAANLILEAAASDDAGKGLRGKPISAAQMVSAAKDKEKALLRFPPSLRAAATRAFELL NPDHPSSYAPAAASTTADGDANSSDEIWETPKNSTMRLVEVDAKRYPEQKRVEDLMST AKSDFELWDIMEREVFTYPQKLGLHNVTAPVKISGKARRKDRKKKRQAQEQATGLPHE SKEDVFEGDPKLNLYVYGPLYPSFLLFGLRLLDKGFVTPSPLALSVLPRIKELGLESF VLGVSTPFYNELLGIYYGRHGDLTNMMTLLEEMSHSGLYLDEGTVSVLIKAYNQTEQL ATGAHGQFAHAFMTMPAYEKSVRNSIRHWHRDVDLSIKQRDADIDYRQMYRG PFICI_03938 MPADQKDPAKTSAGDDPLEKAAAKQEKGAAATEGILGEDALDAA IGDEADDNIAEQTSASDDPLEKAEAKKESS PFICI_03939 MGTAQAAGTDPEKEVPSVVQEGTLTPINDIESATEEPYSIYTRK QKWFIVGIVAVAGFHSPLPANIYFPAIPMMAEAFGTSEEVINQTVTAYLVMQGISPML WGPLSDRYGRRPIFLGCLTVLIGSCIGLALCPTNAFWLLIVLRLLQAGGCASMIALGA GVTGDIATPEERGSYFGLFNLGPMLAPCIGPAIGGALAENLGWRSIFWALVIMAGCCW VMLYIFLPETLRQLAGNGSIPLTGIHKPLITVVGRGKKAATPVTLPPSTKKQSINPFI LFTYPDVVIALLFTGVIYSVNYSIMATISSAFSDVYPWLSETLLGICYLPTGLGMIVG TQVTGRLLDHEYAKIKKSHGEGPFPKEYARLRTMPYHLIVFVMAVVGWGLALGKAAHV AVPLVISVILGWCGMAVLNTTMTLMIDILQSRSSGATACTNFVRCSLGAIVVATTDRM ITNLGYIWTYVMLAAICACMLPVMYIQMKIGPKWRLQRDELDM PFICI_03940 MVVESQSNLDQASKPADMEKMNAETHNVDIETASTKPARKWRFL DRLVASSVEARGVMPVPLEERTSTNYSSYFSIWFCMNINLLPITFGMLGTTAYMLSVR DCALVILFFALLTATFPSYLGILGPNTGLRQMIQARYSFGRYLVSVPVILNLATLTGF CVIICVVGGQCLSAVTGGTMSAAVGIVIVGLLSLAISFCGYKVLHAYERFAWIPALIA IVITTGCGGSKLKEQVATEPATPAAILSFGMIVASYMIPYACLSSDFTTYLNPKFSSV RLFLYGYAGCIIPTVPLMILGAAIGGAIGNIPEWAEGYDSTSVGGVLAAMLTPAGGFG KFVVVVLSFTMLGNISATMYSITLNFQMLVPQLVVVPRYVFSVIITAIVIPVSIKAAL DFFSSLENFIALIGYWSAAFVAVLITEHVVIRRGQYSTYDQDAWNSASKLPWGVAALA ASVLSFGLVIPSMAQVWFTGPIAEQAGDIGFELAIVVTAVLYVPFRLIEKRVADR PFICI_03941 MGKALSKGTLSIGAEALLSAVSADLDSTHRRSPTTFAGSADVHL GLSGDPSIKPNVPVWISPHGYPQVCENSSTPAAFARASEWLSYCVEHDGYCKPPNPKD FWPRRLVNVNLGDEAKDPFLVEPTEPMTYACLSYCWGPNADKVLRTTKDNIEEHFSAI PFDRIPKSVQDAVKVCRRLNIPYLWVDSLCILQHDDNEWFVASAEMDRTYFNSHLTIA ALEPASCESGFLGQQDFSTPGRRPDFEKLKSGNQWIEGDFSLNSRGWCLQEEILPNRR LCFNGAEMSWECLCRKICECGHQLWPSRNRRNLSDEFNFAELGGFLKNQNLTVTLKQR AKAENALDGGPDRKLLWLLGTHYDQYASTTMSKTREVWRQLVTRYSRRTLSYRRDKLA AVAGLAGMTLHALYRETLYREDNCEEYLAGLWKKELHFDLAWYIDIPVARLPDPVDRD DVHGASCAPSWSWASSDTPVLYSCTRSYWGWSETPRVWERCEVIETECHYSRYRCMHL CGWNK PFICI_03942 MFRLGIEKVESVDSAHWSEVPTQPKTLAEGMVEVQVHAAGVNYK DVVVTMELVPGDERMLGGEAAGVVTNISPEVSDLKIGDRVVVHARALPIVYMTTIHSL FNLSNIHARSRVLVHSATGGVGIAAVQLATYAGAEACIFATVGTIEKRQFLKETFGLT EDRIFDCRSTSFAEEIMTATGGRGVDVIPNSLTGDMLEISFRTLADGGIMIELGKKDS EFTLQKFLARNSLPMEPFDRNISFRAVDMSHHANPSARLLSKLFKFIEGGHVKPINPI HPFGFDDLSSVIRFVRAGKHIGKAVLSCKSSASSKVLVRRAPPVIRLRAYGCYLIVGG LRGLCGSLAIHLARVGAANLTVISRSGYSDDKSQRVIKHINELGAKIDLLTADVTDQG QVKLAFGQTTMPIVGIIQGAMVLRDRPFDAMNITEYREATACKIEGTWNLHRAAEDLN LDLDFFTMLSSISGLVGTRGQANYAAANTFMDSFAAYRRHLGLPSCSINLGIIEDAGF LADHDGFIDKHCDQCVFHSINDPLLFKIIDLSILQQSQGSPGTMPIATPMITGVRTPQ PADSLLATDARMAALFTDKTDKSMHERTADARGSVATRALMLLVKSKSAEPSDVLAAA IEAVNQGFMKILRLSETMDPDRPFGVYGIDSLAAVEIRN PFICI_03943 MAASLESHSQAASYAQSGYGNRMGWGDRPVLVLIDVCKAYWTPG SPLDCSAHEPTTRAPQVMRELLAAARASGSPVVWTAVEYTDPEMRDAGLFWLKSKTLN VWNVEDRRGLGDWVEGLVPGRGELVIKKKYASAFFGTSLATDLRVMGADTVVLCGVST SGCVRASTLDAMQNGFRPMVVGSACGDRSDEIQNANLFDLNAKYADVVTDTEAIEHLK TGWPKS PFICI_03944 MTFQPDRREDEESSLIPPTSQEPSGTTITDFLPIPDVQQITDPD QESKANHLTDEPTLSHALATDDHEEKGAAQMDHDHEDVADLGWHKKKDGMPDPLVGGL DNEELWLLMRRFNKQMYHVKEVPNAVPGNLDLNISDDEEFSPDKLRANIERLYMTVII GLLATVKHIACLRSWRETRRTTAFCAAYTIAWAFDFVVPLIVVTLITLIVYPPSRSIL FPPAPIALVDNTTGGVQKPKAGVLGSHDSATGAPENHKGEAVEQEASNFFNGITSVVL TGATGKHPQGDPTSDENEPGDGVPDPSSIAVHAADAKDVAAGGKPSAKHDKTKVPVEN AMWTKMRPVMHGIADVSDTWERFGNALSPTTPFPRDVYRLRLAALVAPLLLVSFFVTS YMFMKGVTFGVGFGFFGDPIITRGIALLNRKFPHWQKLLELRNTVLKGVPTNAQLTLT LLRIGEANKAPLPPAPRLQQAPPEKPADVTDAELRATGAEPPLNATEDELNEAMQHDP SVPHETGGEDIDAAKTPKHGKKGSKLLGFFRGTVKGAVKTSMGADKVRAKAGSHHAKD RLGAAPRPDISLLSGPVNFKARFNGKEGHVYITTKATIPAVAFSTDSTIEKIGTEDRE DLHPLWSIPVADIKELKKTGGYGWKAKLVVGWSLRREVADALEIIDRTGQMWKVTALP LRDELFNRLIAMGGQKWEAW PFICI_03945 MAPSVFQLSGTCNNYPWGKKGKQSLAAQLCAKTPGIEFSVKDDE FYSEMWFGDYPDFPARKLDTGELLKDVIDANKEQLLGQKVIQHYDAQLPFLPKILSIA KALPLQLHPNKELATKLHKKDPENFTDPNHKPEIAVALSKFELFAGFKPLTQIEPLFK LKPLQHFVPANTGSWNDETLRNVTRTILKTDLDEVQKVQDQLAQVPRSDLGDAAYILD LLPRLQDQYGRDDAGNLVALLLMNFLVFDAGDAVYIPADGIHAYLSGDIVECMARSNN VLNTGFCPPADRNSIDMFAETLTFKAHSKDDVILPSQKYEKSKHGKTVVYKPALSEFD MLKTDLEAGEKDEVSGSEGPGVLIVTSGKGIMKADGQVHKLEEGFIYYVAPGVPVTWE TDSGLQVHMAVI PFICI_03946 MAEPEKETHISGEQTVEHSHDTGAAVQLAHDVDNTAYSPWTMTM FRLYTCLIIAYLCGCLNGYDGSLMGGLNGMTSYQKYFHMTVAGSSTGLVFAMYNIGSV AAVFFTGPTNDYLGRRAGMFAGALIVIIGTCIQAPSTSHAMFLGGRFVLGFGVSFCCV SAPCYVSEMAHPKWRGTITGLYNCTWYIGSIVASWVVYSCSYLERADAWRIPIWCQMI TSGIVVVGVWFLPESPRWLMARDRFDEAARVLAKYHGDNDTSHPIVQLQLKEMSQQVK TDASDKKWWDYHELWDSHSARRRLICVLGMACFGQISGNSLSSYYMAAMLKSAGITEE HRVLALNAINPVLCFLGAILGARMTDVVGRRPLLIYTTIFCSVCFAIITGTSKLATDD PSQVTAANTTVAFIFIFGIVFSFDWTPLQSMYIAETLPTATRAKGTAVGNFASSAAST VLQYASGPAFEGIGYYFYLVFVFWDLIEVGIIYLFFPETKDRTLEELAEVFEAPNPVK KSLEKRSAETVLRTLHIEADEKAYA PFICI_03947 MPSQVTSPISAVPILQSPVSARPLSNRSPSIDDVHEHRQSNSNL ENAILDSLHNSTTESILSWSHLDAFPTIRQNYTSIFQLEQSRTPLATRSNTMYPYISP VDLDAILDTFQRTVNFWYPVLSLSQLDSTRNLVVQNLLESTDLVTSCCAQLVMALGCA GEVVTGLVHSEDTAPSRDEVDFRRARKAMGDLYFDGALRAMHMVHSEMSCMAVQSLFF AALYFAYLRRPLQAWTYIHNTASKCLLLLSYPPVNEPIENQECLKRIFWACYILESDY LAELSALPQSGIAQIESSVSLPGSYITHVDPAQTEHASLYFLACISMRRLLNRVHHLL YARDTGAATDISRFPAIVTELDHQLEEWRDVLPHAFRFDIPQNILDHNDNNNGVPLSE CGGFLRQRYLTCKSVIYRPYLAWLLASSPGGMHRDARGIRKEDIVARAQVCLDACTSH ILALTGFAHTVLVDTWICALSMATAMMILLATRRSARKIANRHDMMETGPHLRKVFRR WQDNLGTPESPSVEQALRIIYETERLMSGLTGGKITEEEVDVANSMCAMRS PFICI_03948 MADEIPDYANLKVLQRNRLPTRAYWIPDTTISLNGAWDFHYAPS PLEAPEVQAGQPLAIDNTWDRINVPGHWQLQGHGRPHYTNVIYPFPVCPPHIPTENPT GTYRRTFGVPGGWDQSSQLRLRFDGVDSSYHVWVNGTLIGYSQGSRNPAEFDVSSVVN RDGENELVVQVYQWCEASYIEDQDQWWLSGIFRDVHLIAFPGPARIEDFFVRTDLDDN YLDARLQVKVTTSGLTDHKIVVTILNGHNEVGNAEQKVEADTTMINLEVPVKEPKKWT AETPNLYRLKLSLVSAESKEIQTIEQNVGFRKVEIKKGLITVNGKPILLRGTNRHEHH PVFGRAVPLDFIREDLLLMKRHNINALRASHYPSHPRLYDLADELGLWVMDEADLECH GFYDAVARPQVIPEEMDYEERKTLTFPQAAKFTSDNPEWRDAYVDRMQQVIQRDKNHP SIIIWSLGNEAFYGCNHVAMYEYAKSVDPERPVHYEGDVNAASADMYSYMYPNMERLT KWVEKAGVKEDGSFDKPVVLCEYAHAMGNGPGWLEDYQDMFRKYPRLQGGFIWEWANH GLWNAEGGFYGYGGDFGDEPNDATFVMDGLCNSEHKPTPGLLELKKVIQPIKFDYEDG HVFITNEYDFVGLEHLVATYRIESFGERASLLEAGELTLPKLESWQRVELSLPFELAS FKNQSDETFFTIEVTLRSATNWANPSHEIASWQGKISGQSETRTASSPATSQISAQDS RTTLKISGSGWDVSFDKVRGYLTQWNLNGEALLEIGDNKAAIVPSFWRAPTDNDRPGD IDIPGSLPYWKHYGLDDLTSQLRHFTWKQGTDKNDVEIEAHTFLSPPILGWGYNVHVK YTIATTGKLFVNVKVRPTGPKPANIPRLGLDLRLPKRLSHAQWFGRGPGESYPDKRLA QKIGIWSSTVEDLEIPYDVPQENGNRMETRWLKLGNDYGSGIKATMTTDSQVFSWAAG RYSAKALEKAKHPCDLVPEDATLLSLSSRVAGVGSAACGPGVREDLQVKTVDDEFEFV LEAIHS PFICI_03949 MPISLVAFDLDGTLAESKQPLLDSMGEALAELLSVAHVAVISGG DWPQFEKQVASRLPPHADLSKLWLMPTTGTKLYTHRDGKWQVVYAELFSEEERKNIIK AFDDALLATGFKPEQTWGERIEDRGSQITFSALGQQAPPKEKHVWDPDFAKRKVIQAD LKKRLPDLSINMGGATSIDITQKGVDKGYGLKKLRDASGIPLEQMMFIGDAIFPGGND YPAKELGLETVRVKDPDGTLAAIAGICSCLR PFICI_03950 MSAANSHNQEISRVQVLGETRHDEIELSRELPLFSGNIEAGVPT QERLEGALPYSQHVQPELDDQSSRLPFRRLILAYSCLAAIYFIQSLDINAVATALPVV SRALGAGNSITWTATAYLMGQTAFQPLYGRLSDIFGRKPVLMTCIGFVIVGDILCGFA QNVIWLYISRALSGVGGGGISSLVQIVVSDLVSLKARGKYQGIISGTIGLGACTGPFI AARMIGSSNQDNTSDHGWRWIFWIPPILAAVCMAMMWVFLPLKPVQGNWKEKIAKIDW YGLGAAVVAVSLLLIPINSGGSIWPWNGSLVITLLSIGGVFVVIFAIIEKRIAKIPLI PLRLFSQASTAILIITSGVYNLVWQVDIYFLPIYFQDVRGFSPLQSATLVLPLLLLQS VAGALSGVLMTKCGRYGPVMYPGMALWVLGAGLKVIFSRTTPVWVYVVVLFLEGAGIG FVLQPALVALQVLCKPEDRAVCTSTRNLLRMLGSVIGMAVSTAVQSAVTIASVPEDVP PEFRSQVADGTWQQGSGWDSQILDAKMKGVRAVFILLVPLMGICLLGCCLVPDRRLRG DEKIAEAPKRPGSKT PFICI_03951 MYSKITVVSILCAVAAGQSTCPSVWTDVATDLKAIFVDSDGAAT DDARAAIRVSFHDCFPEACDGSMILANECSDRGENAQMVDICTTLGDMATQYNVSTAD IIQLGTALGVSAALGPTMAFKVGRVDSSTANPTGQMPGAADSADTIVADFAAKGFSVT ELIALVGAHSAAKNLAGTALDSTVDDLDTTFYTETADGTAPASLQSDINLSNGTDTSS DWSTFGGSLSDWEAAFVPAMEKMGLMGVDESTLTDCSDVVTAAFPSKKRRSMNFGPSM AARGGASKRNPHGFAAGASWMRHA PFICI_03952 MVNLREFTTGSNLRYPLTVSRIHKRKGEKVKRQEAVLQYTYKFK RTIGDPDLGEEREIEETGYGDWDCPSDGTVHKWYVSENDVIQRDQPLVVIDEPCSHDT QFAGLCTKCGKDMTESNWAAEGLDIDRATISMVHDNVALKVSATEAEKKELELQERLL TQKKLSLVVDLDQTVIHACVEPTIGEWQRDPSNPNYESVKEVRSFQLDDGPKHDDSPK CSYYIKLRPGLAGFLERISELYEMHIYTMGTRAYAKEIAKIIDPEQKYFGNRIISRDE NGSLAAKRLQRLFPVSTHMVVVIDDRADVWPMNRSNLIKVAPYDFFRGIGDINSSFLP KREDLLAPAPAPIPMHTNGGPTANSNTSELAATTLEHERPIEVSDDENALIQLQAQEQ ERELEKQLTERPLLHLQEELDKEDTDMEDAAPSTEAAPAPEQNGHAESPVHRHNLLRD DDEELFHLEKHLSLLHQNFYNQHESRVAAVRKETGSKEITADSIPDVGKLLEWIKKRV LRGCSIALSGLVPLGIDVHRSELGLQIQSFGGEIRTRISKSVTHLVISSSRPRTQKVR QAARIPSIKIVNQDWLAGSLAQWRQLEVEPFLVEVHPSDRVSHTPVEVGGRESESLSP PRPEEGENGEDPEEEAVDESGGDDEPDEDEDGEVQDLYGVMPTELEDGEQSPVDGLKT MDWGELDAELDEFMGSDAGTDSEVDTDFEDADATTTNRKRRPDDDEDEGSALAKKQKL ARDRTTGLRNVKNAADDGNRDGSSLPTPMGTGDDEEDGADGFDEDDIDLEAQLEAELA AEADASLEAE PFICI_03953 MGAIMVYGFYKLGQGIREQNELAREKMWSRIHLIPLLQAEEDRD LVRRHLADQAREKELLGENFKVYNTDRYVRPTYAVTPTTTTK PFICI_03954 MSSSLVWLPSKKYDSVRPLWQDQYIWSPLPVDNTIPLHQTSFID ISENDAKEATRSRANTLRSRAASTGSIKHVMRDDDNGEAALIPIQGSVCGDDDDDDHD NGGGDEEPNTERGDTEQDGHEKLSQEVRRAAARRSVLMSTKQRPQSSAEFKRLRSFHL PDRVRSEPSTATSEAWPPDVQLPEVIVVDEPPRDKRNVPSAVGDEAIGKPSRAQVPVV ATRRIQVPPVPDSKSRAKRQSSMFSVTELPTMDLPDSQPILRSKTFGSQRKRRQETKT EHSKREAKPHRSKHHQARQQSPAPLPTLPPPTRQPEPKSSTTRKGQAARTSLRLDLNL EVEVQLKVSLHGDLTLSLLN PFICI_03955 MATPSTLEPIPVLLCGKFPSHTKATSEILQPEFKVIKICTNPSE AATAVKALFSSSGSSNGNNNSNSKPILDGIEYDRPRVVIMGGGYSHADFAAIHNVVDG ARSVPWVRPLGTKPDGPERLPAQPPSAGEIAGRVRRVLDEHLGELREGGGAGQIWWM PFICI_03956 MAPTPPDIRPSNEEATRRRVSKKLHKKRREEHQPTMELPDRLKE TGDEVDEDVVPQQGGQQMFMNMNQSIFGLIAAAGSTVDFNDRFEEQYSDEDEAGDQRP EAKKAKGKEPEREDVATSQIPKKTSPEKAEKTDKKHRRRLSSQLLHSLPQLPRLSSKS RSRSSKLNSPSTELNAGLKDAAAHHGTFSEAGSSSLQSPNIEITGNDRDSRAAPVMSR MLEARAEMAARPSFDLERLSSDLRRGSDPGDNEDTELAVRLKEIFEFDQPEKVLEEYP CWLLQSVLLQGYMYITARHICFYAYLPKKAHEVAKSGYLSKSGKRNPKYNRYWFRLKG DVLGYYRDSSNLYFPSGQIDLRYAISANIVDKEKDGVHFSVVTEHRTYHFRADSAPSA KEWVKSLQRVIFRSHNDGDSVKISLPISNVVDIEETQMLEFADTIKIRVIDNEETFAI DEYLFSFFGFGKEAVNVLKILVEDAAEQQKEKQSELDVPGMQGRTGTSSDRSSLSASR TEAPSGELRSVKVRENVKATLAPASPSSHRESMSRGSGELSRGSFDGFRPFARRSLDM GSPTHGGSARRSFSGSRSQSRARTRITKVPQENPGSSDSYVQSLEDPSLSNMVASSSE DQTGSQILRDSQIFQRPTIYRRSTSGSRLKPIDDDASQPKEGLPSYRPRHAATTGYIA EPASDEKLHPAATTPTLQNIAKMGSYPLQRAGFFADYLNQTSRKMGSLLATESMGYVE KVSGMWKGGRKHYHDPNALRTDEEMDDEDDEGGQEATEVQLGKTMQRFRNHFALPETE KLRATFFGFMIRVLPLYGKIYVSDRYFCFRSLLPGTRTKLILPIRDIETCYKEKGFRF GYSGLVLVIRGHEELFFEFNQVEVRDDCNITMLKHLEAMRYLKDSEFAAKEDQEQVDD ALAERNALNEARLKENTEHEVQLPQQASFLGDASPTILFDDPKASFLNFKPASSLKIT CLTIGSRGDVQPYIALCKGLLAEGHKPRIATHAEFKDWVEGHGIEFAPVAGDPGELMR LCIQNGTFTFAFLKEANTTFRSWLDELLVSAYEGVKGSDVLIESPSTMAGIHVAEALQ IPYFRAFTMPWTRTRAYPHAFIVPNNKMGGAYNYMTYVMFDTVFWKAMAGQVNRWRKT TLGLPPTNLEKMAQNKVPFLYNFSPSVVAPPLDFSDWIRVTGYWFLNEGTDWTPPDDL RQFIEQARKDGKKLVYVGFGSIVVSDPAKMTQEVIDAVLKADVRCVLSKGWSDRMGSE SSVPEPELPPEIFQIKSAPHDWLFTQMDAAAHHGGSGTTGASLRAGIPTIIRPFFGDQ FFYGARVEDLGVGICLKKWGVASFARALWEACNSDRIIVKARVLGEAIRKENGVDTAI QAIYRDLEYARSLVVAKAGKHQASKQSKEAVSDSVSEDEEEEESWTFVGNDDDFETEV IASKSALVEAEQLAKESSKSTSLASRIIGSTTTSNAAATSKA PFICI_03957 MPTRLSKTRKHRGHVSAGKGRIGKHRKHPGGRGMAGGQHHHRTN IDKYHPGYFGKVGMRYFHKQQNHFWKPIVNLDKLWSLVPAETREAYLSGEKKETVPVI DLLPLGYSKVLGKGRLPEVPLVVRARWVSKLAEKKITEAGGVVELVA PFICI_03958 MSASRVEDITVGVQDIDFHHPYTPYDVQEQFMKAVYRVLEQGDG QIGILESPTGTGKSLSLICAALTWLRNHKKDTFEASVKDAADAFQDEPDWIIEQMLKR KREEVVRRWEEREAKLQRIRAKEKLMEGRGSKRRRVDDPGPKSDPSGASEEDEWLLDE RNEQEAQQPSETSSFSKETRNLMEKLGMGSLKKEDDDDQIDEPIKIYYTSRTHSQLTQ FISELRRPKFPSSVPADLLLGGSKSDAEVVKLLPLSSRQRLCINPSVSKLGTLAAIND KCSELQQSKSGSKCQYVPGADNLGQTHQFRDNALATLPDIEDLYGLGKELSICPYYAS RTAVPGAEIITLPYPLLLQKTARDALGINLEGNVVIVDEAHNIMDAVANVYASEISLG ELKRGRQMLGVYVKRFGKKLKGENRVMVAQVARVIDGLSEWLDGALRFKNDQGIVDSN ALLQTKGIDQINLYQLILYIQESKLAYKIEGYATHIEEQGDQSTRAGSSTPVLHSLLS FLVSLTNLGSEGRIFYHKSPKSNALQPDIKLSYLLLSPTYAFSSIASSARAVILAGGT MSPFDDYTAHLFPDLPSSKITTLSCGHVIPSSNLCVWTLGGTQPGVEFEFSFQKRSDK TVIHELGMSILNMCTVVPDGMVVFFPSYGYLDEVVSAWSDLPPGTGSTKQQSIWARLQ AKKALFRESKGGSSDEVLAQHSEAILGDPSKPGAKGNGKGALLLSVVGGKMSEGINFS DRLGRCVVIVGLPYPNINSPDWKARIEYIETTTTNRLVSGSSPISKTEATLVAKQAAR DFYENACMRAVNQSIGRAIRHRGDYAAIVLVDRRFSTDRIKKKLPGWIQGGLVEGSEK GGLGQMMGKLSGFFRGKRNQ PFICI_03959 MQRTHMSRITPRFQGTIGIKSPYSGVSPVSRHSLRVLVSARAGT KTALRPYSSSASGSSPIKPKASWAYSFLFIALGALLSDQYKSYRSSSITTGVKEEDPS PKAPKDPITTQLNTESSVYTDDANSVASYHVTRLEASSPCEDRYVHGELSASEGSVLQ GPWYAWAVFDGHAGSQTAALLTKRLLPAVQDALSSLDLDSADDRAVSETVSKAFVALD DDIVKGAVEAVRAGVPYHEQIAKIMPAYTGSWLSSASRTQTASGTNATSLSVDQTGSN DDEIARINAEHPGESGIVKGGRVLGLMVSRGFGDGRWKWDLDFQSEIKTKFELGFNPL SPAKYEVKTPPYLSAEPVVTTTQLDRKRPSFLIMASDGFWDRVSTEQAVDLIGGWLNS REMAQQVPLGRDTKSSSRAVVEDSRGPFSLDKYGWDKPGFRFSRDRESFQDANNAAVH LLRNALGGNYVEMVEANLVAEPPFARNSRDDITVQVIFFDPSKLQLPT PFICI_03960 MSAVTEIVQFNPKKDLNFDDAAHRLTRTIAQQSGVTRVRYARRH EDPLKGAIFIDWRALSDHKAFMEKEYYGPFLEVVRHLVDGPLSIYHVPFHPHPPTVLD NLDGRGRSKVAEVVHAYFPLSITSVQQQEILADVQQFIDQINPKGASGEHAHGFALED VEFKGEKCRALVLVLGWDSVEAHHAYRQTEDFAKNIPLLRNLPGLKGMEMWHVMNRET KV PFICI_03961 MLTIPSSLQGNCKFGPKCANIHMLADGRRINYGKNGITIGQSPY PGPRGNAGATYNTSSSALTNSFMKADASQPYGTYGYPMSPSDKLHQLDRQPSMENGLP TIDTTYSHTGSQYGSPREEDPTRLGLGLSPITAKGLSVLDAPLPASFDSNGISNAARY PGGPWPSSVPSAFGLESPSPSLIGAKDTGASEALKSLHRSAFGSSDLVNTVTASSPPT QPTDEYFGRRQMHSSRYAKPKLLSSSAPRAIVGGIDRDWDAEFPFLEEDYVPDNLKDL LTPAEKARRGSRAADEDANGHRVTNGILGMSGTGTPSAEVPSKFGSPMAASPSRWGPL FQRGQRDDDDAKRHALATSGAFGHVGSPLRNSMLNEDLMRPHGGSRSGSVEGLSALTQ QLQRTRIDGSGGDSPHLRPTIGGRPTNGRTTERHVSSGSISSSARYTTPIGEEDGEFV FSMDEDGDNTVRARKRTSGLGSAMNIWGNGNSYAGVASGAGAGKKDDTSRVVDSVGGR PFICI_03962 MCGLCCAITLKRPSQGGQSNDANSRQELHDNLLKGLDLIKHRGP DAQDVWINPGCTVGLGHCRLSIVDLSKEGEQPLHDDEGHLHAVVIGEIYDNAALTEQC AREFGYKFHGRSDSELVLALYKNYGAPAFLDHLRGEYAFVIYDDRSGEVVAARDRFGV KPLFWTVAGDKLLIAAEVKAFLPLGWKPEWNVDGIVLNSCYVGSETIFKNVHRLRPGH YLTASADGIIKTQEYWDLQYRDKTHVETRSVEEMVQAVREKLIESIRVRLRADVPVGI YLSGGLDSSTVAGITKYLIEQKGERIGSQDISKKIACFTIQFDKDSGFNESDIALRTA NFLGVDMHVKDMNEAEMARHFSDACWHNEFHSWDLGTVGKYALSELPRREGFKVILSG EGADELFAGYPWFVSEFLLEPDPSSPHLDLQRDDALRARLGAQARRDIESVYRKVSAG DADQNGSGSTDEIAPELRQRLNNVRNPYSMVTRLAPQEIFTPALQAKYDSMDKLRRVV DSWSPSAQRSIMDKWHPVHTAMYAWSKSQLPNFILTVLGDRTEMAHSIEGRPPFLDHE LADLMGGIPPSLKMRYGPELGQGPEAGDSAWWNKDEPQAAAQFWEKWILREAAKPFIT EELYLRRKHPYSAPITWPRDGPLHNLLKKLLTEENVRNIGFLDWPEVKRSLETGFGDG ASVASTRKSLIAASLVTLSQRFGIATARPERGAML PFICI_03963 MAAPPNKFPWDYDVPNDAFWTSLPFTTGRNFLQCFQKSEIEALS SQFDASLSTDDKHRLLLRIVQAKLAEAREAASQKSNHHGGSGKSPLLLHEINYDAWQK FMLAQETMQHNLGLVADEEATLKEMLAHPRPGQDKNWSALNMMSRLLEQQGRYAEAEG AALEVQPWMEAHAQVGRGSPPAMGNMRMILTAVWKQGRFDDARSIYKEMHHLVDDMGN GKFAQYQEEEAEMLETLMTDLEKWKLQHQ PFICI_03964 MASATGNWLSGCCPPARHITRLLGLLLVTSSYNGIVDGYQLAQN KDARFLGAGAAGAQDPAAAPQVKQNLVAQIGQLAFDLEMAAETSTSSAVAATATPLLE TFQVAEPVLLQPDSGTELYTVTLMDHQFANSYGTPFVGNYTPPDFDFDHVVINFTVEV KGRQYDRWGSVYLDNVEIFSTSTAEPTSYGISWTWLKDVTPYLSLWKEPQTLIFELDN VVTDVYTGLLNSTLTATFFKSSVQIGGQAPADLILPVSAGKSPVTASFWTFPDETAET SLLFPRNVNRAVFSASVKAQASDEFWWSNVPESATETFDLTAGEYPGYSPWREFQVLI DGQLAGVHWPYPVIFTGGVVPQLHRPIVGIDAFDLRDHEIDISPWLPLLCDGNNHTFS LKLVGLVDDGTSSASLSNTVESSWYIVGKVFLWLDDEDSITTGTIETSTNEDPTIEFV QIITQNVTGYNQTLDYKLVVNRDFSVTSQLSTQKGNGTATWTQSLSYSNIGGIYDYGY GGINAFSTTGLETAVVPGWDYKTSFEYPLYCNTTTTYLPEGNLTLWAELNQGLKLEVQ GNTVYATGLEAFEADGKTWAASVINTERNGTANYSRYADNTVTTGIGQTHQIFYLYGL AGDGDYSSTGDELYYRDVSAYNNSVTADYEVVAGQVVRDESST PFICI_03965 MVRHKKDFKGGRGGKNHGPPRGPPRRPRGDGDDDEDNSQGSSRP SYKAACWDLNHCDPKRCSGKKLIKMGMMRDLHVGQRHNGVIITPNGKHTISPADRELM EQYGAAVVECSWARTQEINWSKVGGKCERLLPYLVAANTVNYGKPWRLNCVEALAAAF AICGHLEWAEEILSPFSYGQSFLDINSTILRKYAACADEAEIKKTEAEWMERLEREYA ESRLEGDDDDLWKGGNSNHRIAVPSDSEDDDEDESGDEDDEARSDGEDGVDGIYLGKK PTAQWPKIGEGSEKAEKKDKDPFDISDDSDDDAEMEEIRRKVLASKTFANTDNAEQKK KPETISRPQPDRYKVDSDAAPDSDNGEDDDEFDNIIDAVPVTDRVGLCKLEKERAKAH TTSHTFMSGGVSAPKRW PFICI_03966 MEAASARAAARDRWGEMGYPTPSKLQRFISSACSPENYEPNLAL NLEIADLINSKKGSAPREAAVAIVGYINHRNTNVAMLALNLLDICVKNCGYPFHLQIS TKEFLNELVRRFPERPPIRPTRVQAKILEAIEEWRSTICETSRYKEDLGFIRDMHRLL SYKGYTFPEVRRDDAAVLNPSDNLKSAEEMAEEEKEAQSAKLQELIRRGTPEDLREAN KLMKVMAGYDTRSKTDYRAKAAEEVGKIQAKARLLEERLDAFKPGDKLEEGDVFEELA AALSSAQPKIQKMCEEESEDHEAVAKLLEINDSIHRTVERWKLLKKGDVEGAAKIAKG APIPSLQSSAKAGSSSSAANELSLIDFDGDASATNGSGNAGPSSQGSGLENDLLGLSM SDSGSFGQAGGISLGFGANTSKIDVPGLLSSTTQNSTATGVPMMTTSPPPQSFTPFAA FSSAPSASQSNTPQPSIMSAFAPPKSATPANDPFAALASSQFSSKPATPKPAVPAPVT SNDDDEWSFSSALPSETPALPKEHSASVKEGQLKIDMKAARSPQGPSAIALSFAFSNT TTNQSIGDLHFELAVTKGYELQVQPQTGRNLGPNQRYGVTQTMQVWHAGDKSKKVESI KLRWRVSYKLDNEVKTEVGSIPEFGLA PFICI_03967 MFANAPPKSNTPAPTAASGPAAAGAGNAAASQPSDPSVNALLPH EIEARRRMAAARAEASRIEQERAAAAAPKPGQGFRNTWNSQRQEEIKNFVRNEPGFMP RTSGHPAPFAHKPRSAFPHDHKHSPAFPQDRKQPHNVSSQAGEAPPTGALRTLMRKTS AWDPPKKQSTHPSRDDWSSGFIYNQKGSKPLRNTTQIDPSSTTSAPQNASSTANSQWG SLARRQQPGGASQSEFWSMGEKARPQKSNQSGPLDDWGKEFDKPQQRQQLEPRQSGIP MSRAPARNTEQDASQAFERKPRARGQRESVEVTEPAPATPSPQAFEYEDRKSRRHEPK RNNKYEIDRGQDRKPAKKRGGRSSRFEEEDDDDMDDDYEEYLDQRKLRKERKAKREAE RIGPIPIILPELISISNLAAALKIEKGLFLDQLAELGFEGVSLDSIMAGETAALVAQE YGFEPTVESGEMKDIKPRPPPEDISVLPPRPPVVTIMGHVDHGKTTLLDFLRKSSVAA GEHGGITQHIGAFSVSMSSGKQITFLDTPGHAAFLSMRKRGANVTDIVVLVVAADDSV KPQTLEAIKHARGSNVPIIVAINKIDKDGAQIDRVKADLASHGVEIEDFGGDVQVVCV SGKTGQGMDDLEENILTLSEILDMRAETDGPAEGWILESSLKPIGKVATILVKRGTLR PGDFIVAGTTFAKIRHLRNEAGQEIEEAPPGTPVEILGWRDLPAAGDQVIQGADERQV RSAAEYREDLRKREEDAAAQVKIAEQRKQLSEQRREEKAAEEGKYKRPDGSWGTQEVL PADEVDGAKMVNFLVKGDVHGSVEAVCLAVQEIGSNEVRPRVLSSAPGAITESDVEHA ATSNAVIINFNLPISGLIKRKAELADVKILDHNVIYHLTDDVKKHMSGYLGVDLSYKV VGEAEVLQIFPINIKGRQYKNIAGCRIRNGQVGRNNLFRVMRGGEKLYEGKLESLKHV KKDIDEARKGTECGIGFELFQDLEVGDQIQAVEEVRTERML PFICI_03968 MVKQRKGVKFNVPKKKGTGPPVPFKAPPEVLQPLIETLDEKHIY ILHVDNKPIDLKRKVFLVPVFMNLAIVALFAWRMWVILPWYLRVLTSTLGYANETTLI AAEMEWDELVPEVIRRTGQFMFDLVLYVFVWPWPYEFAFGQQHANPLAWRWNTGFRDK EIVARRSRGWDTVVTDVVNDKNSKDVFMSLVGIATSAMLINDKTGYLLMNKEWNLDWG VMIDATTMVDKKMAAIEAFRLVVLVHQAEYGWMVVDHKLDEGDAEDERRKQVFQFRDA LTALGKEDLFFRWIEVVQFESSQPGGFGAERQEVVAQQIRDLFQKEGVDFDQLWKESV GTEGIAGM PFICI_03969 MAGGTGKAGGAGRGRGGKFKKFTRGGGHHFSRDLRPLDADGNEV SMWSADKQEDSDDSSEEDSSSEESSEEDSDDAGPAKAAPTELTREERKKQKAAQKAAA VAKKNKGVVQVGDLPSDSEESDDDMPANPNHSKAARNMAKEPRPVEEVTEGVKKLTTS TAGMSRKERESMEAAQAKERYRKLHEAGKTDEAKADLARLKVIRERREAEAARKQAEK EERDAQEADRKKEIEAKEAKKREAAMGKPAKKGKK PFICI_03970 MERPYVYQALALPHNVRLLRLSPNAEDSAPLYGHLIECDIKDFY GQPDSFEALSYVWGAPESYYSISLDGFDLPITTNLYVALKNLRHRHRDRLLWVDAMCI NQTDDREKERQIGLMYQIYACASCVVVWLGENEDGSEYVFEDLRTIKQKMLANDKEDK PSAQRLVKFFQRAWFRRVWVLQEVGAARQIQIRCGRSLMDGYAFSMAANRVNLWLQTQ DRGQSQELHETIQDVAYLIQGSIFRPPYHGPGTLPEGMGHFKQMIDLFHSREATKIHD KIFALLGMSSNDMSTSGLLPDYTIPFKHLFERLVKFVISDRLLVECQQDRPLAVIKAT GWMSETAMALFGHLPCFLFQGNIEMRQAFTTNDPNWTLPTSSKPVQKDDLICLFDGTA TPTIIRPYGDVSVIVVAAPLLCHNMKKVKLSTNEWIKRGESGRLSSRHFLLIWDWNYS SKSYAHLKNYQSWSALNKWVSRDSKSPTEEELNSLIQRCDHTLLASDGNGDSPQVLKE ILMHFETVLRIEDSYIAVDRKLTEFLFGILNSSAHTEFQCSSCTSLHDITFSLLVWAL DVPLGKVARRIYEFHRSHTINSYCSDTILRSKLDVIYGRGDVEMIEWVIEEGADVNRP AFGIMARTALQAAAEQGYMNNVECLLRAGADVNAPPVRTRGRTALQAAAEQGHIAITE RLLQANAQINAEPAVIGGITALEAAVISGNVSLVEILLERGAWPNTKDVHGNTPLILA SKKGHTAVVEALLASNADIDARNRRRETALYWARKNDHVAIVAALRAAKRSVKTGNVL GGIALTMVSKVSTAAQRKRAVTDLA PFICI_03971 MSSALEGTIVLTGANGGLGSAIAARIVATTGLESYHAIYVVRNA SSARALRSALKETNSSHTHDIVPMDLSDLDQVRETVVRINARITAAEIPPIRALILNA GYTEMAQQTFTKDGLDTTFVANYLGHWLMTIMLLQSLDRQAGRIVVLGSWSHDPYDPQ NKASRQFEDDKYKTIYHDNTESIAKGTWSSPQEDQSWMSGHRRYGAAKLCEVMMM PFICI_03972 MTAEPSLSGARCLALLSTVGSIVFLPGHTIYTSSLSSYYSLASS SATPLCIVSPQTAQEVSDVLQVLITTANTLEAHEKSVCQFAIRSGGHLGFKGASNIDG GVTIDLRALNSINVNEGRTSTSVGTGATWDAVYDTLDPLGLSINGGRAAGVGVGGLTL GGGISYYSPRYGFTYDSASDFETVVANGSIIWGGMTYHPTSTLEGQIDVFVKLNSAES YDEYASQILIYAYTAVMDMVPVSLIVNEIEYTKPVVNPPVFEPVMSLSRYYSTMRLAT MGELARDAGGAQAKGLCQVWATVTFESKPSMLNATYNLWQKGLTDVKSVSGLTWAISL DPLPPAIYAKGPDANSFGLSGRTKSLVVMLLTASFTHVSDQEKIEEVARNLVSSLQEE ARKQDAFDPWVYLNYAASWQNPIASFGEASVKDLEDVRKRVDPYGIFTYNVPGGFKIH SSV PFICI_03973 MKNKRSFDFARAIYVSSRESPSGAVDLSWMLHNSWRSTIPLTPS NSGGTAAQLLRTILFQVSNKLCQALTEPYHNSYMTDRGEHLLVLFHELHKTHPMVLGQ LLTWTDPTSEAIIESLYEDAVRYGDTALVSTLLDATKDANDLLNLKISQKVLQSNGKL HLSLDIHISTSTPLQWAASVCDVKLATVLLKAGAKPNIGNPAPLEILCSNTKADAADV LRFADLLIHFGALVDICTDGWLSPLMGAVLSENKDLVRFLLQSGATDVIKPATRYSET GMIHDLFLTEDYKFMFPETDRTIDSVSALQISMVVNNSTITDMLIHSVSSQEGGNKIL EWALVTACVAGEEHLVKRLLAHLQAHFHDKISLSNEMILATAWNMDCRIARLLIDHST VRRELQNLSLSLFQAAALHGNIPLINFLDSEGFDVNSGLALQFRLWDRKEYDLAKGQP PPLASTPMGCAVWMDHKDAIEVLLAIGADVAGIHLVCAVRSGPHNLVSRVLERCNNVD ELWDGQRAIDVAIRYCRGMALIGKLIDAGAILHGHELVDAVRSDDREVVHFLLPKFDV LATNKGGENVLEAACCTGNLDLIRYYFICRGAYSSRAMLLAASRAAKTHDYHTVDYLV TTRPPGPIDDYEASALALSIRMEDSVLVNILISDQFGLSSALSIYCYCPRLGEWRRGI GEIKEHVYPLDYDNHSIGIGDLYKTDCTRGLKCYRRFSPVLVAALMGQGSLVRTMLNR GHLPDALLVRNGYESSDICQETRDMILTAYSSRIPLIKDQEWNERLLTVTLKHNADTK IVQEHLNNLTSLEFWHWDYGAPSTNDRSSPLEWAVRSDRLELVELFLKAGANPKGQDP ERPDYDAFTLAIIRGNLAIASLLLDKGADINTLECAALSFATIRGDLRAMTFLLQRGA DLNSPGTSAGPLQLAANLGMIDAVELLLTAGVDIYGRRRIHFIRAVRYATERCHYALA KLLKERGGWTKQDQDISKTPRAAQYGHADNCARFLYDDPSLEPCELCPVESKTPSSPS SDDECIISDPIGLVAITEQLEDQEVGPLTDELLILELEPDIIEHGRITESFDWPLVPY GPQDRELDNIVQGLLLEDGMIIDME PFICI_03974 MGCTFLIDSNLPINTEDEKVAKVLRDFNVTYHETTDLPLIGREI RAHKPDIAYIPSADWHRSLRDGDRHYSGLVIPTSKFTGKTDLPSVLVVRRDDPATSLV DLRGASYGYINKSCTSSYFPPIVMLHRKGMCADEFLDMRPVKAWQGQIDAVVDGEVRA TMVPEDTWRILGANAETTKIIDRFEEGKPGIVVARCDLDQKLRAELTDALVEWTPSSC SIYSRFKPFQQEDTESLFRQLDQLPPGS PFICI_03975 MLNQHTLEASPGSPRQLGSSRPLRQPLVRIILVAYPPKLGQERP AVHSVNLPPPPHVAPDLPLLTGIGGSSASARSLGLDDVDASVLVRLVISHHPAPPDRP GLSLGPPGAPSLAFQRRPAQGTEVTAGRDT PFICI_03976 MDVINFDINDALKHYMSDPASVPTPEADSALVDCENDPETLTNA VINPVLNSIVDAVAENPDFITRSAYFDSLQFLLKCAPTSLDPLPTDNPPGLFSPRRRS SSRVQEVPISELFVQSRSVQFLPTHALSKIFDLIMSGLAAEADAVNNDLENPDEQDII AHHKMLLEVYGFLLQWAIACVEIKAAEKSSTAPVARARGKGAKSKTTGKDAVWDSAAQ LQTALDIMAKVMRVKLSKIFLTTSERDTFIGLLTRPVYMILESEQRCKNTHIRMHAWK VLCIAVKHHGHGYAAQISIVQNLTYFEHLSEPMAEFLNILSDQYDYPQLADESLRELS NKEFSTNDTRGPKSVSAFIVKLSELAPRLVIKQMTMLAKQLDSESYTLRCSLIEVCGN MIAHLSQQEERSENHKSQLNAFFDVLEERFLDINPYCRCRAIQVYTKLSELDQKFPKR RQKAAELASRSLSDKSSHVRRNAVKLLATLIKTHPFTVLHGAQLARKDWQERLDKVDS ELAALQPPAGEPGLGDTTINGNLVDEPTQVESPQKARDPTDLTEEEKQAIVRKAQEDA ATSEAIEKLTLTKRYYSDALKFIDVLHDSTSTVCQLLGSRNKSEVIEAMDYFEVCNAY NVEDNMIGIRRMLRLIWTKGNSDEGKGVQTHLIDCYKRLFFEAPDHFTLNDAAIYVAR NMISLTSGTTPAELTSLEQLLATMMKGGMISDLVITKLWEVYGYHKREISRSQRRGAI IVLGMLATANPEIVVGEMETMLRTGLGSHGRNDLQLAKFTCIALRRLNPVGRQAKEST VKFNRLPNDHAVLARLAAITDVPSDSKEWFGVAEQAINAIYALAKHPDTLCGEIIRHK TKAVFAPQQKSGSRPVSRDTDATSTSPMADPQGLTVALNEPTQTVNRTPSEQPAEPKQ KAAIALSQLLFVVGHVAIKQIVHLELCELDFKRRKQEKEKLASSEPAAEAPAPKTKGR KSKTPAPAQEDEGDELDLIGGTTEDDFTEAMAHIRERELLFGPQSLLANFGPMVAEIC SRSDIYKDKGLQAAATLALAKLMCVSSEYCEEHLPLLITIMERSPDPTVRSNVVIALG DMAVCFNHLIDENTDFLYRRLADSDLSVKRTCLMTLTFLILAGQVKVKGQLGEMAKCL EDSDKRIADLARMFFSELSTKDNAVYNHFVDMFSLLSAGELEEDSFKRVIKFLLGFVE KDKHARQLADKLAARLARCETERQWNDVAFALSQLQHKNEEITKVVTEGFKIIQASA PFICI_03977 MATLSRRRVAKEPFQIHEDQPEDMADNLNVGSDESYAQSHSQGF QEIDRDRTEEEEQQEDQENQEEEEELEQEPEQEEECDSDSSDENEPIDLTVQHDMEKL TQAFPDFRKNYRLLKRIGEGTFSTVYKAEDLRYDQYSNSWDLDAKDSHWEPPPLKLAS NARSNSRSFPHKKQRKFVAIKKIYVTSSPSRILNEIELLHDLRESLSVCPLITAFRES DQVVVILPYFRHQDFRKYYSNMTIPDIRVYLRSLFTALAAVHEKGILHRDIKPTNFLY NPDKQRGVLVDFGLAEREGTDNKPCLCHLDPESRKQRIRAGYAANTSQTGSQHGYPKH DTRPSRRANRAGTRGFRAPEVLFKCTEQTTKIDIWSAGVVLLTILCKRFPFFNSADDV EAMIEIATIFGSKRMKIAGQLHGAVFETTIPTIGERGFSFEKIMLWSTCRSDGVSKDS FLTEEEKGAIKFLERCLDLDPSRRISAEEALQHDFLRIDDDPEGDHDEDDVLMV PFICI_03978 MGSLGRDTSISTTPKRLIICCDGTWQSSVSNIKNVPSNVTRLAR AFARVGRDADGRIWQQIVHYDAGIGTGQLSDKEKDRQGGFGIGFVENVIEAYTFIVLN YAPGDQIFCFGFSRGAYTARAVAGLVNDVGVISPRDLQDFPDLYKLYQENSDSHGFRK SDAYREWVHGRLHEDTDQMNCAQYGKHCHTDAPESSREVQVVGVFDTVGSLGVPDLAW TPFHLTFLDQWLGIPSAGFHNVSLSPYIRHAFHALALDEHRAPFSPTLWHFPRDGDLT TKKPKKPLDEIHKDWERVRSDPKSTPQQLSAQWAELIDCQMYDKLKGTDSELLQVWFP GFHINIGGGDDDPLHDRKCDFEQIALITFAWMCEQIAPYVQLDSNLLKLATNSVRDRY ELIHAPLQLTPQTREEGLLKKASDLLNRAGAGQKPVSPEVQYGWADGPIVDSFAGTMK LAGSKPRTPGRYSHSGPDGAKLGKTNEMIHPSVQYRMQRRPEYRPEPLKGFTRQQTDH GFQWKQGEIVVPEYRIKSSDVFSRYIVDQDSLKGESQASKFVREIDQAIGQNFLARLV CANFKILSYTALALAKSASAV PFICI_03979 MSINHDSKIVIVGSGVFGISTALWLARSGYRDITVFDMQDTYSS GYDPSQGIDSASADLNKIIRFSYGDEIEYQKLAFQAAKIWEQWNEQIVAADESELPEI LRKGSRKLWWNCGYLRMSETAEYDQFELTTLENMKHEGIRDTQFMVDNQEDVVRAAQN GWSHKLDPCQRTERFGVHKAVLDSSAGFVVAYKSCAWAQHLARKSGVKFALHPEQGKV VNVNVGSDGKPSVETADKVTHTADLVVVSGGGWTPGLVPETEGLLETTAGSVATIHIP ETRPDLRKRFAPENFPVVTWSKVRAIYALPMTEGGDLKIGYRRTKYTNFGNVEGRRIS VPKTAHVTSEKETNITVEALDAIKGKKRILPIDNSFVIDFVPGKDKVLVCSGGSGHGF KFLPILGREVVKIIEGKSKDDVYSQMWKWRTSDSLKKNGLEEGEAGPRVLSKQVMASE ANWAFEES PFICI_03980 MDSQQQAAAAASIPEYNYRPLDEARNEIRVLSLSGMGEDDNENP GRGLVHAEMHHVSLDAHLPDFSAFNANVAPRPLSRRGTDEEWRRFSDQSRRDTENAGP QPPARSHPRYHRFTWGDYGALSYTWGDQSDKVPIILDGHVVCVGRNLEAALRALCTDP DYMDGLRLWVDAICINQRDLDERSKQVKRMGDIFGGSLMMTIWLGTATGNDDQPLPDD VQSGLDMLVEAVEHSSDEGAARVQLNLLLDNPAKRAPALDAIELVVQKTYWSRVWVIQ EKCLGPYNPVVVLGRFRFALMRLHLLLAYANSVSGATRDREKVWRILKLVELVVANQE RLGSSVLPAEASLQQVAERRRRDLDDIGLLLMLGRLAGCQDERDKLYGLMGMMPKCVS RHIVPDYGAPVPRVFADFARALIHGFDSLDLVVTGATDTPLGARLASWVPDLTDTWDM YLWGTSCAASGDRSPVVRIEGANGEILIAAGFEVDVVDAAGPHLGWGPGGLEVWIPGD QETSDAPMPSDPRAAIARTLYRDAQYDVSTHTSVIDIPWLTSLDGAVEARIGAMLAKG WGPVLNHASIVDLHLLRTRLDEQFRPWSLRFRSFFPGGSEGTDWEVPECTDPEGFVRA LDKHVGIVYPVMTTNRGRFGASIKRARKGDRIFVLLGCSAPVLVRPTTVKDGKEADVE AFSVVSQCYVEGVMKGQALRQLGDREAKIGDIRLV PFICI_03981 MAEPPNNEMTEEEFEAKARAELELTEVKQCLKEANRTFREAAIN AVDAFKAHSDHLESRRTEIIERLGRQYPHIADELRQGYLIPDNSRGTHVSRGILFSYK PQCERGSETFIETSLSSHLLDNASNCQEDSSEIRKPLLSKLSPPPLKSASNIRTTESD DTLGKPCSRPRPSRLRPIKTTQGTIKTSVLRNKNYWIFEYRNIHTSGYYILRCPSDDC PNPVFSKNPLCEDRAKRHLQKCGHRIGNVDDLIYHYARTVVTGKKDNGKKREVTSTWV QNHNRNLLSRMEENLKGENLREI PFICI_03982 MSPLSTLGIDFGSTSTRAFLWCPEENKEYYIESTSKARNNHRFS AGDFSSVGYPFDDGEVYLGQKIDASRQSISLKYAFYVLANAPADLASQYQVSEPLRRR QREPDFLVRLQEGISQLFNTIYHRVVEVCREERLRVTTIGLSVPSQWTLDFMDLYRGI VSDAFRHDPKSIYFVTEVEALAHFLFARKVNRLIPLEDSVQNAIVVILDFGGHTMLLH KNTCTLKLVHDSNGLPAFYLIGEPEGVSGGSELWELLLVETSLEILQREHNIVPSGAN RQKLLDSINNAKYELGPQYENKDFDHIVRDGKKDYMIGLDESCVTNCFDKALRKPLAL AATRIRDAATTLKLDNQIDAPDIMLKPRVILSGGTASHQGLARMIEDICRRNGLDKHV ATDDIRIHYDSVKIASGAAFAVASSLTVEKFLQRGAAFGLQMRQPVNPGSTESEHKWD NTAYFLWSLRKPNQPLDIFVTGRDELKIICDPFFEKEHGNQKKELHYDKCYDFVSLGR PTKGTWTFSLDLVGEDDKIRLIIRTSRQFGNSRPQSYEPVGLLLHYNRGSNSIHPGRE SCDSTEDPDILALKYYPERVREQEQDTRDLVVPGTRRMVARRGRSRKMPRSTTFPDRV ARRGARRC PFICI_03983 MMENSFKVAIIGGGLCGLALAIALQERNVPFMLYESRSSFTEIG AGLTLNAPALQSLSLIKPALGEAVIQMATRSLPPYEVSTMHIRYGADLRDHKEGDVIF ELASPPPGMLFVHRQDVLSLLVEALDPENARLNKKFVSYRQRDDGLVDIYFADGSEET ANMLVACDGIHSRVREVMFGKDNPISKPHFNGEGGYRGVIPMEKLEKSLGDEAHQSQL LLGPGGFLLYYPVSGGKNVNCAAWFKNDDWDCEDWVVPGNKEQFESQYKSWGSTARKI LDSFDREKLMFWTIHHFVHQPDSFHDSRVILLGDAAHAMAPHQGAGAGQGVEDAIVFA EVLDSMIRKTRGSDNNGNESHMTLAPTAGSIAAALQAVENTRKPRFLEVQRMSTAAGK RWAEFYDQVMEGEELSTWVQSAKDQLAWIFGVDMANDVNIAIARFHELVAMS PFICI_03984 MESRSETLLGELPVNAAGKADLGVETVFVALAILTTGLRLWSRH LIRASLQINDVLIIAALTLLVIRYIIAMILVLKCGLGLHADEIERVAGPEMIVLFRKL VVAIDLMWLTLVALVKTSILHFYASIFRHNTFCRVVYGVIALVVAFWCAAFFSDLFFC RPVQKSWLPETPGECGNSILMYIVLASTDLTIDVIILLLPMPVLWGLQLPTPKKIALT FVFGLGFGIIAITSVRIKYFFELDPADITYTFSKITLLSSMVPILGIINANLPILGPA FKRIFNSSLLTTTVKKSTLTTSSGSQFRRIADGEYPLVNIDAAPESRSTYEAGISGIS VTKEFNLQTEVKRKESGSPFHD PFICI_03985 MEVLSAVSAWAATAVCRNTGLSDWSHALVAGNGTDLGSRLSAGA ILYEPGSSGFINATTRWSAFDAPVVNLVVVPSTEEDVAETVKFANQFNLPFLAISGKH GAITSIGQMQTGIEIWMDQLNNITISESGDSARIGGGSLSKKVLDTLWDASKQTVTGG CECTSLLGPGLGGGHGWLQGRHGLVADQFLSMNIVFANGSLQTINEATDPDLWWAIRG AGHNFGIVTSVEVKVYDIEYSDWAYEMFTFASDKVEEFYETINTVIPNNATDAPVDII HYGFFYNDATVDATGPTTLFWILKEGATSIDSNYTQPFHDLGPVATDAGSGNYLDLPV WTGMDNTAGPCQHTGISNMRFPIDIKTYDPQAMRKVYDAYAAASIETPELNGGFMLIE GYSLAGVKAVSSETTAFAHRDDNLLFAPVMHWNSTSAELDQKAIDLGNQLRDIVYQAT NQTELHAYVNYAAGDEGKQSWYGYEQWRQDKLLAVKDKYDPQRRFSFYAPIA PFICI_03986 MAESWTTAGAVPAHAVAITGMACKFPGADSVDDYWKLLDEGRSM VTKVPAGRFATEEHWRSNDKSVFTGNFVNDLDAFDHKFFGRSSREAATMDPGQRLLLE TAYQALESSGFFRGVEQDRDVGCFIGACLSDYNDNVASHPPNAFSALGSLRAFLPGRI SHFFGLTGPAISFDTACSSSAVAIDAAIKALRAGDCTSAIAGGVSVFTSPHIFQNLAG ASFLSPTGATKSFDELADGYSRGEGVGLVVLKTLSQAVADGDHILGTILSTAVKQNSN LVPITVPYSPSQVALYGRVLERAGVNADDITYLEAHGTGTPIGDPEEFKAIKEIFDTP TRTQKLHFASVKGNIGHSEAASGVAGLIKTLLMMQHRAIPRQASFKVLNSKIDLCDGR LSIPTETVPWHGKPLIACISNHGAAGSTSAMVVREPFAALAAPTHKSHSTKFPIIVSG NCALSLRRNCAALREYLTTSDALNKGAGLADIAFNLSEKQNRDLSHIFSVAVTDSSDL YKKLDLLGQDLSSPNPEAKPVVLVFGGQQRKTVTIDRDVYDSCKLLRKHLDECDRILH EFGHQRIIPGIFESGFHTDAVYLQTAQFAIQYATAMTWLDCGLKIECVIGHSLGQLAA LVVSGVLSLYDGLKLVYHRALLIRDQWGPETGAMLAVFADLEETRALIASIKKIDPTT DISIACYNGPSNHVLAGSRSEIELVVDVINRSRSMKYVVLDTTHGFHSRLADPILHKL RHIASGLTYHPPAIHIELCSENEESAMNINATAIAEHTRQPVYFDHSIKRIERRYGHC TWIEGGSDTSIMSMLQRCLPAKTHGGHDFRPVSVSRFDSLGLLAETIAHLWSRRHHVQ FWPFARCQRGEYRALNLPPYSFEKTKHWLDFKLLVDSGVKEVVKEVVKEVVVFKENVK KHHHLLMFAGFQDASELTAVYNIDPRSEEWQTLCGGHSLLNTPLCPAALYVELILRAT KNIVEVKKGVSSFRVQLETLEIQAALGINPRRRAIKLYLTQADGAGNKWNIALRSEPE DILETITTHAIAKTEVVMGDDMDTTVELARFGKLLQRGSFDASTWNAVDRIHGPVIYQ IFSKVVQYHDYFHGVHDLSFKNHIIVAEVFLPKDQPIITSELLHNPVAIDTFLQVPGL YLNCLSSCKPGSAYINTTLERLRIAPDFEYKPRGPWKVIALPGDIDESGHGSFDIFVT DPKMKSLVVAAFTARFRMVSISSLTKSLSHASEYSQALLPAARETEYTTQLVERTSHI APSVVERVSHVAPSIVASDSCNLRESRLERAWVEEKLSEILRKVADVPVELFRTNASL EELGIDSLMWTEVVTEAEKTFGFTIPRSELQKVQTVYELCDYIQYRDVHVTSVPARTL SVKHSLPRITTSRAEFVETPLLSSRSEIITTTRHTHTLEHILRDLAEILCNHASDFVP SDIRITTNLNDLALDSLLAIEFLDDVKAKFGVTIDIGSFSRMTEVGQLAEMIFKSLTT ETGNPPRSAISKSSSGSTAFMPSAVSKVNQGLQRPKSNLSRKSFTRSRDSLLQFNDNI SYSGSTLAHATSAFRRSIMDFEKLAHKNEFAGFYENVYGKNCNLVLAYVVEAYADLGI NLATMRPGTEIPELNVPHKHHQMREVLKGVLVDGGLAISDRVCLIRTEKPVDPTRSSM LFEDILREFPQHTKEHMLLNITGAELAQLITGAKDPLAVLFGSKANRQILEDVYSTSP MYVVMSQLLTQFLESTLSAAMASIAGSGKRFRILEVGAGTGATTRWVVGRLVQMGIPV EYTFTDISSSLVQTAKRKFAHYDCMKYTTLDIERQPPVEHLGQYDIVLATNCIHATSD LRNSLKNINQLLKPEGFVAMVEFTTRMPWFDLVFGLLEGWWLFQDGRDYVLAEPQFWE ECMLDVGFNKVMWSGGSSKESNVVRVITGFKNA PFICI_03987 MTDLIYGQAPIAPHGGRWEWCPPSIFDLGLAYGGSDPSDDNCQV SRLGTLSGSFMVFELHEDDQVLPHDSHPAHRARITSALPDRKHHFILTTQFLMRNSHF ILFQANILRVKAISGNWIGCCVLQRPPVAQHALFPERQLCSFGRLLGSAGNMRPALDV RRLSGAHKTAQRTSGFRPWLAEFFEPDAIVDNVSYFPQPIWIFDPSVKSVDHERDGNI YYIEEADALVTGVAVIERNVKENQIEHAFASILPPLVRTRPLYLLRCCHDASWLHCIQ LLPCDADMYGYAGFIDVGGFRPL PFICI_03988 MSSTVSQLSSQWANPSDVTTVLMVIGGDVVQKALAQTTGCWYTP VCFSFGWVAYMFMALVGIIGDGRLLPIPDYPAKVFNLKTGYVRDNKNWVIGRLLRDHE AYMSRKEPAPTSGVRIAVWEAKENKNCHKQISFSHSRIHIWGGITMAAQLIIAAIPVI KNHRWGILLITASGTVLALMVGGLPQWRAEKLPNRQQSKKVFALTTGNGSRDIMVIIG AGKILDLEDLSAQETPRSEKPWEKFKLEASRRPQRHGWAQSGRIEKFMLDHTGSFHFS RMKVHGILTRPVRGLPAGFLLTMVVCVVQSILWLLLLITVAALSTDTWYLILVGGIGM FQNGYLAAMERTPEHRNCPLELKEVITTAKVMDGLMDLEVAYGRDFMPNDDSIEPIMT PLVKEFFPGRLRLDEEDWWKGKCLDYDQIRSKEKEWRGVPRRAMHPDAAPKEYWNAPE KAPLYYYGGNQIPFEKFQPVVSSTARYDWGINSDRQWKVEHEPISRPKSPQQAYHASS SRLPGLPEGEAFADLAHVTGDTRIVQARRSNSMTSEPQRNSMLQFERTFKRDDPGYTF KSPPWS PFICI_03989 MDHIIADFELPPNAVPPVPDLTEDGAQFPDDWDTFQSYPRDNGW RLDENTYNLKLLGTERRTVDRPKFLQSWLFFGLIFTIVQQEGKPILQYKQLVEVKANS RRVTTKHLPAALEKWRKWEQERCDLGYLSEIRLRMVRVEAVLDAARRTIRANYGYSLG SHAQQPRTVDDEQSTLRDKVALSLMILGETLSLFKAQIMKATQSELKGWHSEDGRGWG PPAYILNRMGRDKWCKRTIHLWQSQLRANATLMLASYLAYNSLDRFKGPHHNGCDETD CKVMPTDKDGLYQSAHAYGCDTFCGMQGPDLTFIRGHLDNDEIPLLQFNQPPNHDGNG SQISLSVSKIPENKTRPFKYATISHVWSDGYGNETENKLPCCQLRYVRNILNKLDPED GWETPFWMDTLVIPVGPGQEDRTRRKRAIKQIFQVFKESTYTIVLDAGLAQLNPGEPD RPAVAAMRILGSSWMRRLWTLQEAFLSQKIYFAFDYDDQLSHLKDLKELSQDLASGGH AQATTALLDMVNDHLQHLIMSHEQETRDTYLFPEAGLSGSKMPQEKAALLVASSWKAA RWRTTSNSFHETLALATLLNLDYEGTKIAEQGLRQRNVTEQRWLWSQMREKDPLVEIR DRDRLVEIFWTQFNDRWHKSIPPGIIFLPGDRVERIGFGWAPRTWMTAQPVDHPDPLA LMTSTATMNGKDGLQVRYPGFILHPQERSHILATDKHRKRFWFPTGPSFLDWYVVETI EDDTPQQFIQKIQESDARLGIIVSRVKPGDSPAEIGLLVQIREERTNQASTTGPLDVV PEEQEPSQRSDAPTTIHQKEREASDLYCEIVRRVKVSRETRAEFRTRNRARFLTADDR EAIPSHRVLRAQDMPTRGSMVPQVMTDSADTKGKICLAEELGPEQVWNVDGFFPDRDN PRSPNGATDRFQPPDNARPLLLRLFGGSGQPKQKQPDNSDPPRPLPRISSARDQLTNS PQPGSHRDFETRKARTFPKFLKLG PFICI_03990 MKGLGDVFGSSRELVRKLKAIDKRYNIWVDSVYVQEERRKDQMK GDILSDHYDLWLAKHTTDMAGLIPPETKLTAVFRNLTRIITTNQSYDAHLYRVAVQEL ENLKLENVVAIWINQTNALRPGSTVLSANSEVLESNVHETVQSHSSSQIRPSSPSSWT PGWFAKKRQSTFSSDTTFKSDSDKASIGSAPNIPILQMEDPVLRQIINMGKSFIKRGE LDNAEIAFQRASAILHPRSRMYDMRVSSPEVDAQLACIALYRGKYAEAHRKFEALLDC PIPQEQLKNEYNAEICRWIGVALLHQGKYESAIVQFQQLLLQSPRYSSDEEVQIRRDL ALASAYSGNFDEAFGQLKMANECLQILEERQALEQKNSMENTTTGRETTSTQAVHPTV TPRPTKSNDKEDTSSVDMPLRTPKTSELKAKKDGLLFTQAKIALA PFICI_03991 MKKRLGPGHLKTLECASLNALLLALNSQTRAAETACIATHEAMT TELGPEHPYTLEAMGHLVQIYQMQSRFLEAVDTANSLAKIVSSAPTETRPQILHSRCI RAESELKMGNYATAELELGDVTKVAQASFKDNRLENLHYQSTLALAYNHCAKNEQAED LAGRVLRKQLKIYTTRHGHGERGFNEEKVAEEDMTSQIAMIINIASLEPLHSIIEKLI DQGFILTLRPPVLETLWVIALILQQKEDPASLELAIRLLQALWDRFVQGGQVESQDAL RVRYDLALAIRRKAEAETSHENGDKRLGESAEHLRNVYRSRSQVLGHENPETLSARLE LIITNCTLGRWEEMLSLAEVSEREKLEKSPEQVDFFSSSSLDQKSWTLVQSESRKIAD LHEAKLGENHPETLKSLLWVLAVQALLGDIATATKTSEKILRRLKMVRTQRLVESIHL ERKLALVVVVFDRHWADIILRGTRETIQEKRDFMPETWQMLDKMINGELSRLEHMSR PFICI_03992 MEPYSLITGHLLLMNKIRKQFPSDSHQTIINKKIMENWNEYFPG ATECPPVIYLDLWPFVPFTFAMVVSPELCAQLTQVTPQPRQPMFKWAQKPLTDGLDML SLDWTGHKLWRSRLNPGFSSQSLNSHFPALIEEVDIFSQIMTSQAGPAGEWGQMFTMY DKVIALTFDIIARITTGLRLYEQSSGPSPLLVSLRNLIACLKLDSLKNRIERHTPSFK RKVYQNAEVIRKLLQPEVEARILESSKLADRKTVVDLAVKEMGNEQSTRSEVFVEEVI ANLKIFLFAGHETTAQTLCWVYWEINKYPGVVEKLRKEHDQVLGKDPTAAKKTLLSSH HKLSELSYTTAVIKETLRLRPPAGTLRKCAPDFAFVEDGVQYPTYDCVVQTTPVALHR HPDLWPTSNEFIPERFLGPENDPLRPVKNAFRPFELGTMRCIGEELAMMEMKLALVFT LRELDMEFNYDLWDKVQGRAGPVQMQDGERAYRAGKGMGTIKDELPTRVRLRTI PFICI_03993 MEQHEGGRVTERHIHRRFSTIEGWREVANNLYYAAGHGLINLST YPDLDSIHDDNGETITRQASGTAHDESTNDSTIQQTDSEDPFADPVPVEDRRRTQNLF SNSLGIGNAGENQDTFKDTKTSPAEEPYHVFTSRQRWFVVIIIGAAGLFSGLSSNIYF PALDAIAQDLNVSIQTVSLTITSYLVIQGISPVIWGSFADALGRRPIYLASFAVYITA NVVLSFSPNFTILIIFRGLQAAGSASTVSIGNGVIQDITQPAERGSYISFYQAIRNFS IAVGPVLGGALSNSLGFRSIFVFLLIISSITLGFILVFLPETMRNIAGNGSLRLKGIY RPLIRIFRKEPDYMRDPEYAVQRKKISISTFTDPLRLLGQKDILLNLVFGGIIYTIWS MVTSTTTSIFKSAFGLDELLVGLAFLPNGLGTIVGSAVIGKLMNKDFAAAEVTYRAQH NLPPEYKIPSKAIPTDFNIEHARLKHLSWVTLLFTLATGIYGFTVSSSSLTSKPVWVI VPLLLQFFIAATSNAVFAMNQTMVSDLCPGKGASSTAINNLVRCGLAAVGVAFAETMV TALGPATSFLLLALIVVACTPMAVVNWFYGPGWRRQRMKKQAAKAGGDTEKA PFICI_03994 MSFKLIASYVADWVILIAFGLAGYAINNITPNKHAFSLEDRNIS FPLHDDTVSIAVCGIVSIVAPAAIILVVTFLVVPGPTAHKGISQGLIWKRRLWELHAS LLGLAASFITTWFIINGMKNLLGKPRPNLLARCQPDLNNIADYVVGGVLTATSDGRLV TAAICQNPDSSIIDEGFRSSPSGHSSISAAGLVYLTLFLATKLGAFIPFLHHGTYRRD ESAHSAFPSRRHYRQITSPIPLEQRGSDQQAPLETPKDLAATRKEAAAPPLYLLVITV VPLFTSVYICVSRWYDYQHHGFDIIFSFLIGFMTSLVAFRLYHLPLGRGAGWAWAPRS QDKAFWAGLGSNNYARARDMSEGRGEVENLV PFICI_03995 MLVPATYLYSLLQQTPEPQVTSRTSPAPNLQATDVSNRRTREPD NGELPNKRRPGARPRASQACQSCAAAKIRCDNLTTCRRCKARGVVCLRPVRAVHLHNE TTSPSTITAPIAPVRQQSPSESLSQCHVTNQSDPTKTTPCSSERSNVDTFVNNDNDDN NNSSNPSVLLFATSPSTWPVISEKTYNPPQTDASEARDAVYQEEGHANGFFDLFPIAA QQWANWRQPMDWDLDQPFLNALMPIDVQDGENQRPVDLDARMETPISSNGTESEAKIS KYAVDTYNDTLGTWHPKPKNYFAAEKTFLSIPLEMQEDILGFPESLESSVINHRLMPA RRDDIIVVVNGRFSGGQPLSSIQSFPSSAVLDKFLTVFLTMQKSQLCSFIHLPTFDPN VCDLSLLMTCIAAGATASPTLAAQMFGFALLEILRWYIPTLADNDNTLTRSIPFVQSL VILSNVTFWSGDKRKSEISDIMAEFAVTMIRHARRLAGSSYLSIVPIPNSTPSQLELT WRHWVSQESGKRTVYQHLMNCIQRAVLRNTVVIMSCTEVCTPIPEADQLWFAQSALEW ASTHGGLQASRDRHPLSLTDCFTSATAWQSVPTLQDRNLAKSMILYSSISMLVEDHRR SFVFNLQADRNWAYERISDSSTAWHIASLLDEMRGSMSKDSLGGSSANAMFLLEYYGL YSSSPNYLAEALLGDERFGSSRKALSCLRDWRKTRLARTAVWHAGQLFRLGRSITSKQ DMDFEVFAMYQAVLCLWMYGYVSHESSSSLPGSITPKEPSGSKLLEEPRIRLDGAESL ESQRWVSHNRGTPYIAKSSSMIGVLDSATEIIPITAANNAKMSFIIPLVGMMETHFSL EQVGRRLPILSHICRILRALGRVPQLENWAE PFICI_03996 MALLENLTESHVSVTRSATLGSVLLLFIWIAWKVTERVRSAKKY NLPNLIPGLPLVGNAHQIPASNACLHFQDLAKRHGEMFTINIGGTYWLFLNSRRVVHE LLEKRAAIYSSRMNLPMAYRVISNEKRTLLMPYGDLWRRERKIMHQILDSTQANVFGP FQDIESKILLYNYLKQPESWFISHGVFSGSVIMSVVFGQRAGADNRLQELLAVAEQFV PYLEPGRSFVDNFPFLLNLPLPQSLQPWRWWGDKLYRRTRSLYEKEMNALRERMRSGV QNNCFMSQFYESGKGDEFEEDELLFIAGALIEAGTDTTRVSLTQCVAAAILWPDWVER VREQIDKVCGANAERLPTEQDAPDLPLVKAVVKESVRWKPCIGETGIPHALICDDVFE GYTIPAGTVVTWNHWANSNSPDEYTEPERFWPERFLNEDIDKPLKGHLGFGAGRRACV GYNVANSNLFIALARLLYCFEFSQPDDAPPLDTSKPLHSATTTPPFPVSIKIRSQAHQ YLIERECDSISF PFICI_03997 MTLTDRVAVVSGSSSGIGAAIAQELSKRGARVVINYPFEAEKQR AETVQSTLARSTESIIVEADLSTTTGPTKLVEAAVAAFGTIDILVNNAGIVVPADMED GDEERLLRAWDATVNLNGRGTLLLTHAVLQHLSKNNSRIINISSISTRDPEPFALIYG ATKGMVDSFTRTWARYLPRKYGCTVNAVAPGPIGTEALLTAPLPLQDSVRETYERTPI APRMGTVSEVAWTVAMLCEEEASWLNGQYIHVDGGLILF PFICI_03998 MTVTGTTQDFTTTTDTQANIMDNDLGASKDVFLNKFNQDIEVNG NEEFPAAKYPNYLPTWDPDQSYPPLEAFPFADRGQGVDTTYPDLFGYGVEVSEISPKT GSEIQGLQLTSLSDSGRNQLARFVAERKVVVFREQQFADLPISDVLDFGAYYGRYHVH PTSGSPKGYPQIHLVHLWHSDVTYERQPPGITFLYVLEKPESGGDTLFANCFEAYERL SPAFQHRLHGLTAIHSGAEQIKASIAKGSVTRRAPVVTEHPIVRTHPTTGEKAIFVNP QFTRNIVGMKQEESEALLKFLYNHVSYGADFQVRVRWEPGTVVVWDNRVTQHSAIVDW TSKARRHLARLTLQAEKPYETPFSATSN PFICI_03999 MASHANTVFEQLAVDETGPSEFISRYLPVKMVNVANIAYGGCAL GVAISAAYQTVHPKHHLYSALGTYLGPARTDRKLIATIKTIRETRTFATREVQISQKQ EGSQPDRLCLIAILDFQTNEPASLLSYSPAPLKLYKIAQDLPTPQQANQDLFVTGKIS KDQLDGRTRDSALMHKFFDIRNSPEGVMAQNLGGAAQIPTTQDGLAITDKTSGEHYRL KDGVPSQANHSAALGFMMDASLAFVPMAHSSLPMEMASACSSLEFALRVFVSDIDLNN WHFRELFTIAGGAGRTYSESRLWNNDSTLVASMTQQSILRPAATKL PFICI_04000 MRLEAAGHSLKLSAAALAATVIGSASADSINPQLRLAYHGNDGM IVSWNTMSRLDSPTVQWGLSRSNLNNTARSNVSVTYPTSLTYNNHVRITGLMPNTTYY YLPKSLNYTSDCLEPLTFTTSKAAGDDTSFSVAVVVDLGTMGSRGLTTSAGSGVSQTN ILRPNETNTIESLASFKEGFDFVWHPGDIAYADYWLKEEIQGFLPNTTIADGSKVYES ILNDFYDEMMAVTASKPYMVGPGNHEATCDNGGTTDSAKNITYTNSICIPGQTNFTGY KNHFRMPSDVSGGTGNFWYSFDNGMTHFVQLDTETDLGHGFIGADEIGGTEGMGASPM STILNAQTTWLEADLAAVDRSKTPWVVVAGHRPWYLSHANASGTICWTCKDVFEPLLI KYNVDLVLSGHAHVYERQAPLAEGKIDENELNNPVYPWYITNGAAGHYDGLDSLQSPR QNYSRFGLDTTNATYGWSKLTFHNCTHLTHDFVASNNGSVLDSATLFKNRTCAANTSQ EDINFPTVPLSSNTTIPMISGSSSPKTTGTTTPVVSSATGPKSQAFFAFAAALLSLAG L PFICI_04001 MAPSLDTLPVDLFREIISLLSPPAQASLSRTCTAYNRCLLPFLW SEIECHHLGTHEGIDVESEVSEYKYTGKLKSHKSRFNPRVHRREDVVYPYEELMYEPS RRRYCQEKFDPVTWSKEKRFDQWNNSEVVSPPTRLSENCNRRNFQFGREEQFISVRKI SSPDRWVELARHVRSLCMSIGVDDEVIRVIGSLPNLTSLELVGLPLNNGHPALAPSID LPVLKNLKLRGYLPSAFVRNVCSNAQNIKYLNIGVLATPKDDEAYRRTLLLDDGNSEI ITEEEAASFQRKGIEAIELVAGDGSIAENGDGDADAKEEEGDEDADDSDDDQEEDDDE PFALHGAIWLPKALPSQFATVTHLHLVKPYTGETSLGDLGNDAFTDIPHRYEQVLCHE WVFLLRGVAPTLKELVLEHRVPQHWGDTVGDGDPHPRTKGSNRGGPHNPFIGTDPDRG DVLFCRSVLRLLLEQSSRFENLRRLALRGIQINGIPTQRNSTAVPGIDGAPNNDKLLE KAFPACDLELFHRVFPLLVYDGSTFEGWEENRHEAMQDEGDGLMYNLQYFNDYKKRFG PQWRKMD PFICI_04002 MPQLNFNEAFIEIQAGNHFIDDTLIMSLAEPNNTLYWQDKTVRL ENIEIPAYVVASYENVLHTHGTFEGFRKIQSENKWLRVHNSHEWYDLYQNDSQSELLQ YFDYYLKGIDNGWEETPQIRVSLLDPNGTDVVNRVIEEWPPSDYTFSQLYLHPNNTMS PDQGAIAANVSYAAVNGSAIFQIPVEEESELLGYMKLRLWVEAVGSDDMDLYITVTKV SANGDALLRTLPQGGTSISAAYQYSHVSRRQLDTDKSTESEPVLLFQGEQMLSAGEIV PVDIGLWPLGLRIHAGEMLQVTVEPGVDSIGGPAFGSAEIPVPRESFTFMPADNITFV TLGDPTLWAPDWVTEQAPSQTSRNIGTHVIHMGGQYDSHLLVPLKTVRHV PFICI_04003 MGIATALVWAATAVGVTSAVTVAHAEDAAPYEANVTLLTQKVYG DDTYPVWHRRLLPPETPRARYPGFKPETAVLKKGSKRREGAKSLRCDILLERDVAVTL RDGNTIYADIFRPTTNGTYPGIVHWSPYGKETGNQHLDDIPGRYNVPLSSLSELQKWE ANDPAEWVCNGYAILQPDTRGAFSSTGNFPFWGRQLAEDGYDFIEWAANQTWSSGKLG MSGNSWLTISQ PFICI_04004 MRPFSVFLLASANVAVHALPVANPYGLSATETGSVSELQSRTPE DCYGSCVTYVTKFDEGESAEEESTEDDSTQLENRQTECYGSCVTYVTKVAMGESDEES TEEESTELERRQTECSASCANSIQTECYESCVASA PFICI_04005 MLSYWTRKTSVTSSADDLRTLSLHVLARAGFGKSFKFVGHDEQQ PSTSPSSSYKESLQTVLENLVLILGLGTKFLAIPWLPRKLRLVHEACVSFQAYMTNLY EEEKRAFAENKDRDHNLMTSLVRASQDEAKTSGGLTEGEIYGNMFAFNFAGHDTTAHT FTFALYFLAAHPEVQDWLSEEIRAVAGGRQPSEWDYRADFPRLKRCLSIIFETIRLYT VVPSIKWTADKSQPISINEKTVIIPPDSMIAPSYGSVQTDPRFWGSDSLEWKPSRWIK PSATSMPGDEDLNMPVRGAFIGWSDGTRDCPGKKFSQVEFVATIATLFLDWRVDPVTA KGENINAARNRVEQLIKTDSGPVLLLQMLHPERAPLVWKKR PFICI_04006 MDALSVIGLHSNATDLKSSVDLFYTMRLRGFTIPGLHSLISLVC RPFTEYWISTNKLIKWPLVGLLALLATYITSSFHFNREISRNKQFNSKDRLRNAPVVP YWIPGLFHGFGFMNPAEFLLKLQRQFGSNTPLQLKAGPFRFFFYQSADDIKAAFRACK RTANKSTTLFALKNLFGLPDYAVRFYLDDNSGMGQIPRAGSNVAPHNRINYLINLNVK KYLSSEFLNDLDERFMATFIDHLDTYMIKDDWTDFPDLHAFIQSAAIKPCIESLVGSE FLKLNPHFIQDLLVFQEYTPDFLHLLPQWIIPKAYNVRKRLLESVKRWHQHAHEHYDC SKLGSDDPLWEPYFGFKLIRARENYCMKTEKMTADARASEDLGLIFTSTTNVVTSMFW FVFEALKDPELLTQLKQEVAECTAKEGSNVQIKKLTLQPLLQSNYAEVLRLYVAVAAS RVAEYCDINVAGYSVPKDSYLVMYSRSSAFDYPGWERAGRSLKKPLEEFDAERFLVDA DWVPPSLAALRKAEKTMARNTTSSTQKRFSVEGLLGLWYPYGGGDHICPGRHYAKHQI IISFAMLSEKFDFELQDPEACTVLPNMRYAPFGALPPVGKIPFRLRRRDTVQK PFICI_04007 MNIPTLTIEKAGVWTGVAVSALTLLGRLFARFRGMHRLYWDDVS VIAAFILVVITGALWQWGAPAMYYVLNVQAGLELYDQTRFFPDMKRWLLVSLIVELFF YTSLLLIKLAFILFFKRLGQRIKFFKWIWWPVLVVTLGSYFGSVGNVDYKCLIAPVET ILQECNTASSIGFVVTTLKANCALDIFTDFLSKNYPPYTFPVL PFICI_04008 MAPLDIQFATSASTVQTKRSTILDTMNCFGRVREPFALQLLGKK LYICTDPVDVSTIFDDTESFNFDHHLTDLLNSFGISNDALRRSWHVPRPGDWCFIPNN PVNPKQKSLIHCVEDIYRAQLLPGEHMNRWTQKFLNSVRDSLYTMDSLAFCLQPGGAG SYQGRTLSRVSLYSLVSSINVQATVNAMFGPRLLNIDPLVVQYMTTFNEYVWMIIFRC PNVFGSPILAAQNKLLAAVRTFVQLPEDQRKEASWAITNTLKGMEIVGMDLESKVSMI LMIFWAGVSNEHNSCFWLLTHLLYDETLLNLAREETESAWQSGHLDIKYLCANSPNLD SIFNEVLRLNNTAAAVRVASKDTTVGGKHLPAGSTILMPFRQLHMNENVWGTGVSEFQ PLRFLNRKSLTRSASFRPFGGGATLCPGQTLARQEIYGFIAALLHRYCVDVTLDSVGN KPPFPRLNSMTPSFGLNGPIKGTDVFVSISEKW PFICI_04009 MPEEAAISAQSLTPERQLLASWRLTTVIGSLCLGVFLFGLDVNI ISVAIPHITTEFQSLADVAWYGSAYMLTLTAFQPMFGNLYKYFNAKVVYLTSLVIFEV GSAICAGSPSSAVLIFGRSWLGLGAAGLLQGALAIIGQTVTIDRVPLFQGIVVSSMAV AVCCGPVIGGALTQYTSWHWCFWINLPAGVCVIISVILFVPLGEPSQDGNKALSLREK LMHMDAPGLVLFLGAMCCILLALTWGGTVYSWNDQRIVGLFVGFGVMMVIFIYWLIRQ GELALIPVRVLKTRSVYVGAICLLGFGGVSVVYGYYLPILFQSAQAVSTTESGLRYIA LVGPQIVSLIIIGGLVTKWGYYVPYMVAGSALCSIGAGLLTTVTLSTPTTHWATFLVI TGIGLGMAGQLPYTALQAVLAPEDVAIGNALNVFSFHLAGAVGTAVGQALLLDGLKVE VPRYTDAVTAREVIQAGATGLENVAKSPVVLHALRAAYAQAVRRTIILGLAGICLVVP ISFAMEWVNIKEVAETRLRLGESQQLQSESKDPHTSAEKVNDV PFICI_04010 MSAESQQSRPLLPDGNGALPSERPIHSDNKQTPSQSLHKLQQSP LSHWRPPYLRRRVLAIFCGIFIALVIALSVLLSVSNNNTGLATGYQGDHYLWTYGPTA ILTVIAALFNRVEYQSKMFAPWESLSRQHPAKGVDSVVLDYNSHLQPVAIHHAIRKRH FTVAIATTVSLLIKLLIVLSTGLITLLPMEVIDHSYSMILENEFRYSKTSSLGFGPAG NVTSPLYIMQSLQGNTGDRLEFVTGLFKNFAFPSLSTNLPAVAKFRATVDGLETWLDC NPANLTLPDFGGDERDFPAIYQYAPRTDITLTSSDCQMTLSLRDPPWDDAPGPDQRRF SRFVEQQCDGTTGDAGKRMALVTGVLEYFINETAPISSDCDSWSTCQNNQTYQDAIRT RGRLLQSAQLLCKPAYRITKINVVGNGTSTLSLEPAIGFPNVTLVTVTAWDIATAHFR SYAAAVDSAPSKEVRTNDGKTVVDYDVYSKGIIASLQTSDTEVDWVYDQGHIQELLDA YYRQFGAIIGQQMLLEPASIPMIGSATLVKNRLVVQQWPAELMIGVVVVSLLLTLLAI LTVPQHGVLPRSPNTLLGTAVIVSHSPGLLAMLRDFGDVASHSLGKTLHQAVFHTRII DNLADNEKVFAMIWNKPVRVGSTNMPRKIGQEKSDQKYPRILHLLPRLGLFLILLAFT VSLEMTLRKSNVNNGLGSAGENSSIHYLWTAMPTIIFGGLAMVFSSMDFNTRTIAMYW KLSKTVTTEIFVELDFLDVSILRAMYREARFRSFGALAMTTLMLFASLYTIFAGSLFQ AVPYPMTFSTSLQVNGSMSTNSSLYTAADDEFLQDVGNTVSLIMSANLSFPQFTYKDL TFSQFMPAMLPTTNSTFDASTVPITAVIPAVRPAFDCRLYGRADIQSKVIMNYTAEAS SDKDAEGNLIPTNPLELMIQDEQCNYFYRDPKQVGDLFKWNFTLVIWDATNVTYFGGG FTDDTKCSELLYIWGHLGHPEDGNITVQHVAALGCNQTLEVVDVDVHFTGAALEIDSK NPPNPRDESRRKTAVKVDTAGLYDYLPGSPLDNDIYLNHFFSPLIYSPWAVPLQMLGN ASNDKDVQDAALSQQGILVSQMLNKQRVYGNTTDMMPGLSSEVQGANDARSYNASGID ATSSRRVVQDPVSTHVLEALLIASALLLIVAWALEPRTDIVPRDPRSIASMAAVIAGG NLLDLIPADVQRLSDKDLKDALRPDTKFWLGRGVAPGGKPLLQEDSDGMDRFGLYAR PFICI_04011 METRPNVKWASLSYVWGGPQETRTTRTTLSKHLEGIEMDVLPQT LVDAIKVCRGLDIPYLWIDALCIIQDDTSDLSRELASMPKIYQEGYITIEAARAQGAQ TGFLGKTVYSYDSFPPTQIKYESIDGRMGQVLLCQDNHENILTGDPINSRAWTFQEIL LSPRSLRFTLDVMRWSCRSARRFDGNWKKADRLYVTDTYNWLYGDVPCIPGRPMPAWE PVVNSYSGRKMTNQSDRLVAISAIAKVYGDSNKHTYLAGLWKETLPLGLCWMVEQGAR EPRPKEFRAPSWSWASIDTPFCFVGNWWIKEGRTKDGHTGLFIGQETVSDGVDVIEAN LEPTSVGTEYFSIKSASLTIRAPTRLVKWDIDYRDGIGEISIDDIVFTGYADAWEDNW PDKETLLEGLSGKGSYEAAEENDDQSSVSSDDAYGSLQVIAMLISKSSHYDHGYLGID ATTTLGDNYLLSRCGILLVKDGQQYKRVGFFRGYVDEELYSCEAASLPGFKVDTIAIV PFICI_04012 MKSLLNAIVTVLVSGQTAAALVSGRAGGFGDGQPIDGNGKGAPI LGGTNKALDLQNPDNLGQQSTDNGVVPNLKWSFSDSKTRILKGGWVREQVVTDLPVST DISAAQQHLTKGSIRELHWHRVAEWGYVYAGSVLVSAVDENGQYQVAKLEVGDVWYFP KGEAHTIQGLEDENEFLLVFDEGNFDAVGTTFNIDDWVSHTPKSVLAKNFNLSESVFG SVPSPNPYILNATVATEDVTGGNGELTGNASYVYYAKDHPLENVPGHGGTLRIIDTKT FPIATTIAATVVTLSPGGLRELHWHPNAAEWLYFHQGQGRATVFIGNTNARTFDFSAG DTALFPDNSGHYIENTSPTEDLVWIEIYKSDRVKDISLTQWLALTPANIVADVLKIPL DVAKSLKTEKQILIE PFICI_04013 MALRVFKGLSALSFLAQEVAGLSLPPLIPSIPGVTEPLASNAPP LPILQLPTPPLPSPEFTPSNIKPKKIGYFWTAAGDNIHADFLATYSLDDDTFGTLLWV TDVPTSGNSPHHLGVSQDGKTLVGGGLLSLLKTQDTAYYFDTTNPYQPTFLKSNRGIL ASIVDEIRAKPDGGFFITYMGSAVGTAPGRLIETDANFDIIAEWPALTDVSSTLNILG EQHSPHGLSVDWDRNVILTSDFVVPITILKPTLGIQKASTLRLWDLPTRSIISTITIP NGEGIQDVKFIPGNPEGAAIATAVGPGQVWIIYPHRKDANGNQGVAELLFDLGEKARN NLAIYSDISQDGRFAYFTLTLADHIAALDISDLSNVKRLDDPDEVQPTIGPHYLKITP DQKHLLVTDYFVQTGEIGIVNTPADFKALYIDILDDGSLSFNRSIDFPREFANRGGAK PHSSVVFDFTDPENPLYY PFICI_04014 MNLLSAAKEDLDSQSGRIGPNLKFLQKLGKTYLNKGQVDDRQFL YEDVIRLAVSLPNNSGVRQDLSSQFIKTLWGKLEHPPLSYLGDEFKYRMADGSCNNIK YPQLGAAGSHYARSVNSQHTHTSTLPDPGLIFDTIMARRGPARPHPNGVSSSLFHFGT IIIHDIFRTDEHDSTRAKSSSYLDLGPLYGHNQGEQDKVRTFRDGMLKKDTFSEMRII AQPPGVGALLVAFNRFHNWIAGELATINEKGKFSPPPRLPGGGEDIAAIMKRDNDLFQ TARLITCGLYVNVILNDYLRAILNLNTNPFPSDWRLDPRDTTTSVFDPEGTPHGIGNQ VSAEFNFVYRWHATVSNSDEAWLNDINRQIFEGVSHPESLDTKAYFVLLRKWFTEKVP PEPENRTFGGLKRGKNGSFNDADLVKIITQATDTVGGSFGARNTPKALKAIEVLGIQQ GRDWGMATLNEFRQFFNLKQFTSFEEVNSKDPGVADALRALYGHPDNIELYPGLMAEE AKPVVSPGSGLCPGYTISEAILSDALTLVRGDRFYAHEYGPQSLTSFGFKAASSDFDV ASGGVMHKLLMRAFPGWHRNNSVYALYPFSIPSKTKEIFSSGGAKPPQPISYDPLSLV GPPIPVTSWQGSIQVLHDQEKFKVPWGLHISQLTGHDFMLGGDKPSNTAQREFFKERM FRPEDTLAEVRDFYEKTTAKLIRKNGLKLGGSSQIDIVQDIGIQAHAEFAGRFFGIPM RPEGSEPTVHPSLPHAYTPSELYEVLAKLFQYVFLDLDSAKSYKNLVVAGRETVQLGE TVRRVVQGIKGGEGLLVQLFGMVLGKNGSGDVHSLEHFGRDLIRRLMEQGHGRDINEV VWALVPTVAAACVPQVQGWAQLIDVYLSDDYKKHWKDIVRLSQSDDPKAFEQLKQYAL EGFRLFPPASGVIRVVASQAPVTVASAPKPLSPGSGVFVDFMTAGRDPSKFPNPDSIK LDRPYNAYLHQGFGPHSCLGRPIVEVAAAAMLRQFARNCPNVKESPGDAGKMKTKLFN GAFPVFLSQNGDDWEAFPVAKKVIYDGFAGMPTGGAGPRKNGFANGYN PFICI_04015 MAINYSLLAIPAHWLISIAPHAYALQLIKNATNGRWNNANPRGS TWGAEMQRTVSAEVLARFERAEAAHHNGLENLPFFAAAVLTAQVAGVDREVIDTHAAL FLAARVVYTFMYINIAKGKASFARSGIWALSCFLCLSLFVKAALLG PFICI_04016 MFSPGVAELRSYPAAQGSRLIFITIESRSLTDAAKALKVVADAG IDHLDLVIANAGGTPVPTTPFESVSADEMIRDYQVNAVGPLMLFQACRPLLLKAASSK WISISTGGGSITLMGTIRSRDGAAYVAAKAALNWITRAIHFTNEDLTAVAINPGLVGT DIGNWIAKEWGIPPTYTIEESVTGMMNVIDGATREACSGKFFRRDPMVESAWQFKVKA YCL PFICI_04017 MQRTATKSSYTRRDKKSRSGCRQCKQKRIRCDETRPSCTNCVRS GRVCPGYRVSLCWSTKHEQPASTSSPRPLGPADFEYLASTASLAIHGAGVRREGYSKP TARAFDNAPIIDYSGMIPPGHVDQYGLGLQNASTVKGYDVVTPPPEVILAPTGFYPLP LVPESPPVVIQDLMPRIAECPIDASLSSASPVTDTGWTIDDGQTPLLSPPQMSSPAVS PPEISDEPGTCPNRQLGALDTPKAGLLHLPTLLVQHWFGSVCRYWSAFDSESNPFRLV AASQWGSSQVVFYSIQSMSAATLVETMPDVKEIIGCAPMLAVEAISEELTELYTSEAD DLHFPCGLMLGLFVMGSSTCWEDPKKTGTHFYRQARKLMRHFELRRNRLSKEDKQLLE FFAGCMKYEEMVRKVAGEPVAPAPKRASDGENRGREISIRPHAWTGVSPDILSLFGDA ILLCRKECERRRGQTNMTRESLREALAAIDAAQALEEALLAVEPADIQLDDPHCTDAT RWTHLRDSTEGYRVASLLQLYQTFPDLVARRIPEQVGSDGLVPNSAWLVPLALHLVTI LQRTPPSSDLRCIQPLLYLSAGSCLTFDDVSASTSCMGIPGDVAGLVATGITSDPLAS VFSTASLTENAMSKSSYLDVASARKLITERLGELEQVLPPKPIRVAQQLMKATWEVFD GEICAQRKTHWLDVMTSTSLTTLFG PFICI_04018 MMQDTLTIPGYTIYREEETGSSQVDAAPLQIPLHPLDQLSIEEV RATAKIIRNHAAFHAVKFNCITLREPRKDEYTAFKSGQLARLDRRAFAIVIDKTSGQV AEVIVNLTEGTVEETLAREDVAPTLTLEDLDVCERICRADERVIRVCREIGITDMSKV FLDAWAIGFDDRWGMDRRLQQGLAYYRDSASDNQYAHPLDFSVVLDTDKEEVLAVDVR LVNGERTPVPLEQHNYLPEYIGNGYVHDSLKPIDITQPQGPSFRMEGNELHWAGYKMH IGFNYREGIVLSDVSMFDMYEQRQRDLFNRISVVEMVVPYGNPQSPHQKKHAFDVGEY GTGLMTNSLKLGCDCKGVIQYLDAVLSTADGLPAVIKNAICIHEEDNGLLYKHTDYRD GSVISARDRRLIVSQIITAANYEYAFYHIFTLDGTYKLEVKLTGMLNTYCLHSSETAA PYGTEVAPGIDAHNHQHIFSLRVDPAIDGPRNSVIEQDAVPSDYAVGSPENMYGNAFL SKPTLLKTAREGVRDYRHETSRAWAIVNPHSINPSSKKPVSYKIINNNCPPLLAKPGS MVHGRAAFARHSLWVLPYRDYELFPAGDYVCQSAGQLGHPHNPTIVDWVERDADESIA DADVVCYIQFGLTHFPRTEDFPVMPAEPVSVMLRASNFFVKNPALWVPPTAINKDMSS QYADACATPGAQPAPAAASTSCCAAKKVPSKL PFICI_04019 MVESKIDPSKIEKNIDDYDQDEVDLAILGHEQVLARKFSIWSLL ALSFCVLGTWSTFAQGLSSGLDNGGPVAILWGLVLVLFCNVCVAVSLGEMVSSMPTTL GQAFWISRLCPGRAGRFLSYMCAWINTFGWWTLCASQNAFMTDFILGMKLLFDPDWEG ASTGWLEFVVYIGITALMSIVNIVSCRKDAVLPMFNNVIGATFIGLFFVISLALLISV GTKGDLEFQPASFIFGSWLNQTGWSDGVTWFMGLLQAAYGLTAFDSAIHMVEEIPDPR RNVPKTIWLSVVCGALTGFIFMIVCLACIQSLDDILDPVTGLPFMDLMSSVLGTKGGC VLLSLFIFNGIGQGVSIITSASRLTWGFARDGGLPWSAYLAHVNDTWKVPVRAIVAQG IIVSLVGVLYTFSSTVLEAILSVSTIALTISYGLPIAVLLCMGRDKLEPGPFKLGKFG AVINWIAVIYCAITSVFFFFPGSPDPAPEDMNYAIAVFGIMLIVAVGFWFFKGRTSFM QIEGVDESHPVPKHSKIAEMEGGSVEQTIPVKL PFICI_04020 MADGSAVQLTAPNGVTYLQPTDLFIGGRWVKSSSGKTIESIDPS TEKAIVSVQAASEHDVDQAVRAARKALKSPTWRDLPGTERGQLLNKLADLVDEHKETL ATIETWDNGKPYSVSLNEDMGEVAGTLRYYAGFADKIFGQVIETTPDKFAYTIREPIG VCGQIIPWNYPLAMAAWKLGPALASGNTVVLKPAEQTPLSVLYFANLITQAGFPPGVV NIVNGFGAEAGKALASHMDVDKIAFTGSTATAKDIMRMAACNLKNITLETGGKSPLVV FDDAELENAVEWAHGGIMSNQGQICTATSRILVQDGIYDRFLAAFKKQIQDVSIVGNP FDEKTFQGPQVSKSQLNRIKDYVSIGKAEGAKLESGGDTPGDGKAGYFIQPTIFADVN PQMRIFQEEVFGPFVTISRFSSEEEAIELCNGTQYGLGSAVFTTNLTKAHRVARRIEA GMVWINSSNDSDWRIPFGGVKQSGIGRELGEAGLEAYSTVKAVHVNMRSKL PFICI_04021 MTTPRITTILPCSDMASAIKFFVRLGFTAPTQAQLSEWDQYLGL SHPNGSDIHLRELGPEEEGWVVPTRNSFGIYVYSEDVEALGVEFADEIIEAGKKPEVK EWGLLEFSVNGPDGCLVRVGWPADEIEKKNTTS PFICI_04022 MASSSHIKEFPRETDPELLAALAKLADGCDELRRWIKGSSARAI ADANRHGQTNIIKRVNTFSDDEYQQVLGLTGSPDHLEPNDSDVADAADRISSKTRSLT GPAPVMPPPTLKPETHSVHRSDFDIQRSQASPYSGSINVRTRDPNDILVEYPLTEEEI RKSKENLLKRFDSDLFVITLMELLDPDLDALSQDYFVERHGQEIEHIKKDIEMSWPEE FPARDESLHYIYDSTRRFNTIRVWYFGGTKESFLHPHDMTYLFQAFSSSPAYSTDVDV DNAMLFMKDLSRFCPAFRMISGSSSPLRLSVRACECFALGQWDSPFSSSLPAISPLCA IKFERQKGRILNKNTGNGIDLREWCSVTYFDTRGTVILWSDDFMPLIANDQEIKPCGW HGEGFTVLLRIVVEVYKEWNSIWGKTLEKIDGLVSVKPADTLDRKYWQTLMFDSGFEI SETYFTILQMLRIFDDWIEETESDFTKLNDNIVSRAQSMVIYEDADVDLTILTTNLNV VMGRLQSSAKSLRDRIKRKKEEVQSLRDGVSL PFICI_04023 MSNLSRKLDGDTDAATPGSFIASWIALPLGTYLASMVFLFVAWV NTSPEGGSFWAKAQRKITDAWKPAREVRSKFDFKRMRKLPFQLGKKSNGFLSRETRRS EGNDVELESGAGGGI PFICI_04024 MADPLSVVGSAVGIVSLGIQVVHSIYDYYTGLKDQHSSVGHTLR KTDHLLGILNNLQSRLKCRSFRADETDLVVNVQSAVDQCNECIQELQNEVDKFKMTPV EGLCAAAHQLGRRLAYPIRQSTLLKLNEDIYELVVHLSLALQLLEHSTIDRITDSVDD VRTVLDLVRSSQVSFEIREWLKAPDASTNFNEACKMKHAGTGMWFIKSSSFANWLHQS SSFLWLQGFAGCGKTVLCSTAIQHTLRHRRSAKDIGIAFFFFTFNDESKQDASAMLRA LLFQLTSQLNDTPRALKELRDKYCPGTPPEQALLDCLYKAVREFREVYILVDALDESP RNKYRQSVLECIQDIRAWSQPGVHLLATSRDEPDIRRRLEQAGCETLSLRNTGVDKDI ASFVSSHLRADPDLSEWQEFFDRIEETLIQKAQGVFRWVECQLKALLTCPNSEYFLDQ LLESLPETLDETYTRMLQNIPSMYKHDARQMLAILSCAMRPLTVPELIDALATETSEN PRFNSKRRLKSLDSIQKLCPGFTEIDTHPGNGVQTVRLAHFSVLEYLESERDAQNGQV AFFKLCRQDANNLMAYVCLTVLLEPGLAECEQQIESIRSQYPLVEYAAEYWPCHLQEG KGSDLVEKQVMRLFLETNGAFDTWAGIHGPFGHFDSKWYHWSSPAYVASLLGYHSVIT AMLNEQCGDNFGIDEELLHECDDEHQTGNTALYAAARNGHKDVIQVLINMEANAECLV PGQDTPLNLASDIDPKSIVELMLLYREPMFDTQHKHSPLSAAAENGHTGIVEILLDYM PAVQVHRDYALLRSSTRGHQDIAKLLLDVGVNVNFEDNGDTPLTLSSKNGHKAVIELL LESGANVNGGSFQQPLAAASREGHEDVVELLLDRGADVNGRVDAWTPLAEASRGGWKH IAELLLDRGANVNGHSTILVMTPLVVASRAGKKDIVRLLLERGATAEWDGLTEFYPFV EKDDREAVQTLLRNAYWAE PFICI_04025 MASSKFSALLALAAGAGLVSAHGYVSLITVDGVEYQGYPPSSAP YENPAVDRIAWSDSATDNGYVAPDAYASGDIICHRDGSNAALTATAAAGSTVSFQWNT WPDSHKGPVINYMASCNGDCTTVDKTSLEFFKIAESGLIEGSSSGGTWASDELISNNY TQSITIPSTLAAGNYVLRHEIIALHSAGSEDGAQNYPQCFNIEVTGSGSESPAGTLGE ALYSEDDAGILINIYTSLASYDIPGPTLAFADSGSAAATTVAAAAEATTTAAATSAAA TTSTKAAATTSSSTSSAAAVSKTSSCKKRRHARDVVKN PFICI_04026 MSPPRSTTRKIFHYIYNTVYVVLCFVLAALILVTPGDAIRQTYY KTLQYTNIVIIAIAYLVTVLIVLFIYSLRLYVTRTVLASIPKSWAPLNKGEIKKNVRE MIHKDLGRSAAIAWQARPKVSSASFMDYADDLSAVAEEDEEYSDRNEDGSAHAKESRA TPDRRLKRSDTIEEEMGIALPPTKPVWGHIDHPGWGSPESPDLANIQYSAVLAELPNL IEGKAMSLAPTLPGSSEEMPLLDPEAVEALQRQANMTMRTYIGHLVDLGVLEASKDTA EFLDVYERARFSTKQIPGDMFRRLMHLFAELLRSAKSLDRGVLETMRSMNEMYTESNA GSSLDEQSFYFAQSRHRADIDDDAPQDTSPTTPARSLRSLDSDSSPRTRNNTRPSLVG RTSSGAKSKPGRSRTVSSKRQLMRTTSNISSVRSGSSGNSFAQTRRPYLAGSLSSRSR GDSLRSGSRGSDGGSVIRLATRDDGVDLPYVLRVTTTL PFICI_04027 MTVIRRAPYKDFLQPCMQRRFASALLVLLALSYLESLTLSSWNS IIWSWFPIGIAGIRTIFIFGSVLLVVILRIAHPHIGLRTSDSPFDTFTHNVFSLAAYE TIVTYALSAFLFSQVYLGSVADQSNLHWITYHSGDRARLNERTLFYTVSMILIGVAAG FLHLFFDVDRVLLGTVKETDEKPKQEDPYEKLLSKGPSLVVRSVTISAIVATASYAGL YSWLFRYTAWGWAMFFLRPFYNLPKTNIPPSGAPWSIWMLGRTIAAGTLLNVLWQFSN EAFTANFAKAPVKNGQPITSESKDPNGSLLNGLKNKKARISSFAMWELALIARDFDVR RKAIFEDIDRKDGPMWSQVYKLCMDPIKELEKRIDDYGKPPAAPASAPPPAQPQSRAR VVEPPVSANVWQPAPPPKNLRDSVGKFVAEVANSPGPKPSESLVPLAKKTAADVRDTL LSKQHQEQLSRQGLVGMLHSLLLMFPVNWRWLFRHTHNRRVSTAVLGTPFGDVSLYVN SAFALSRLAVASLTEDNYGNVHRDVPTIIRTLTTVIKKLEIFQDNFPAHWTDPDSVRQ SASVQEVLDAFKDALARVITAFETYSSDLKLTRTDLRLAQEAAQKPEQAQQVEKQVEK QPAMQQVL PFICI_04028 MSFDQLSSMESGGGGRGNSRRQGQGAYSDSPEFSRLSQDLMNTL FHLQGNNSKLRTEINRLGTRQDNPRLRERVQALLEESRDQFKDVGEGVKKLQQWGEDI TPTQKYSQQKLAREFGASLKEFQSLQRTALEKLNASVSAAKAALDAESPSEARGAQQD ELQLLQQQQEQVHLANQDDVDFQDALIAEREEEIRQIEEGVGDLNVLFRQVAQIVSEQ GEQLETIESNAVNIRDDTRGADYELRSAARYQKNARSKACCLLLILAVILTIVLLAVF LG PFICI_04029 MITRLPFNIYTSRLLQRRSQIAPVQQGWFMKLWQDSIREGVPPE EMKKEVEKRAKKQTKRLYRALGVQQWKTLSILLGFPFWMMSLEAVRRICGWSRGLQAN TNVDGNSVASTPALQAPSTPLSDTAQVVSSTSGNAAAVSSNATTVADLPSTAAQVLDP ALASDGILWFTDLTAADPYFILPTCYTVLMVLNVIPKDEGQETIAIASTSITHAGRQY RGRTRLASSTTSIYDGGLAGSVDRHRQLSSSNAFVYHPFAARYHGNEVNTFKDLPYGT ESQVRQAQRILGHSASVGKIPESVA PFICI_04030 MASSALIYTAAAIGLTPFLAVLFWNYGLPTFFRFLSRTIGASLG WYLRRKTDGRRALIERLSREQEQEFEKSGSKKPSDSLDDEWEHIDPSTAGTSKSEGNP DWDGIVGFFHPFCNAGGGGERVLWAAIRATQKKWPKAKCVVYTGDHDVTKDKILERVE NTFSIHIHPPTVTFLYLSTRRFVLASTWPHFTLAGQSLGSLILGWDAFSLLVPDIFVD TMGYAFVLGLSKMLFPEMPTGAYVHYPTISTDMIESLDPESPLGSRGVNAGQGAGLKG LVKRYYWNIFAAVYCWMGASIDVVMTNSTWTQAHIKKLWGPRRAKRSREHPIVVVYPP CAVRELEREIEISEESEKQREKALLYIAQFRPEKNHSLIVNSFAELVNSGSEASKNTR LVLVGSVRDDSDSKRVYQLRLLVNELGIKDRVTFQLDASWPDILQWLRKAYIGVNGMW NEHFGIGCVEYQAAGLIGVVHNSGGPKLDIFTEVDGEPTGFHATTASEFAQGYDKALS LPNPLSVRKRARVSSMRFTEEEFARRWLAQMNTLVGMKN PFICI_04031 MTASKNDAAQVQTEEPLPASSTEKYSWYRGVFFNATVVGIAAFA APGLWNAMNSVGAGGQQTPYLVMAGNAILFAIMTFTCLAGSLVVNRFGYRAALIFGTA GYVVYSAALYTNNRYGTVWFIYLGSAACGVSAGIFWATEGAIMLSYPEPERRGRYLAY WLTYRNSGSILGGIINLAFNYKGASTGKLDWRTYIVFVVLQCLGPAASFLFTSPEQVI RRNGTRVQIAERISDKDEAKGLLQVVLRKDFFLILPYFVYVTWELPYIGSYLSLYFSV RSRALASLVSALAQVVATLIFGAFLDWTRYDLNKRARYGYIFMMSLIGGCWIWGVIVQ NQYTQHKPALDWDDVGFGKGWALYIFWQINFSLTYNFGFWMISFLAREPSEIARYMSL ARGAEAAGQCISSGISSTSAPLISALGVNFALWGLAVIPAWFVVRQIGVIYHGPEKSV SPEQDNDHKLQSHVKVRGT PFICI_04032 MAKHRFEAFSFNGQQDTAKLGFDHGVSFPLALRPADGWTPTLDE AIATIRQLSDSGDIFRQVQHHGGAFLIRGLPICTAEDYSKVAHAFGFPPHEEVGRPPL RTVLARNVKTANEGPPELPIWPHNEYGWSTINPSWLTFSCLDVPESGGETPIISSLGL AASLKEHAPEFVQKLLAKGVKYVYRYGVEDVASNTGTSVLGAYGQHVHPEDDAETRRS RIEKEVRRHSNRFEWHGDGSLSVTHIVPIIRIHRDTGLTTWFGNLTSAWGRSKYHGAT EPPYRGDDDSYHPPPLYGDDTKIEKEYLDLALELAEASQVLISWEKGDIVLLDNYAVM HSRSPWVGKRTVLAALWDSEVTRIADFSAVGLNGTEGNSNATEARL PFICI_04033 MDMTIPSLPLEIWTRILGHVSEPHYLPRTWLNCRRVSHAFKAAT ETAFTDVYLRRMVIRTELAEIIDLTFAGFAENDRSVVCFAHAPADRRDAPPRMPPELM ARLEQQAERAWTREHQRYLSSIENGSPSLLYQIWLMGHVEDTGFPGLKMDISSRSMWF EWKTLLSTMFGEIEYRKWLLRKEIEASLGEGNEESWGESPPQDAISRVANIEMHVRKL RPWNAASKPGRDRRQEWQVNSLQAYRPDLGVWWREEENWGVVYDEVHPRYPQRPPET PFICI_04034 MSRPTPLIKFDVCIYKKNGMPQDEFMKWATTEYPVKAAPLIKRY GIVKWTQTIQPAGFRKPLRDSLQNNMGRSAWTVPDYDLVTTYWLKSLDDMHALTMDPE WAELEKDASTKSNMSIGHFVVGHEIIHFEGNNSTADA PFICI_04035 MEVLLKTGADPNASSASTLLPLSVAAVWCEIDVIQLLIKYNANV NARDPLGETPLESACNARQRMPAQKVIATIKVLLDHGALVNITFSYGETILGHIFEIR HWENEELAALLNLTLSDLADFQEISRSRTSYIEWVWLRLEFPKYTSPTHQDPESLEES RAHNGIFTNAVWSLFKILSTWETRSSSGITLELSAHSLADARFDYKHLKWRINDTAWK RHDSPGPKRILQHPVRRWTPSHHLSIRRLFGSDPGLQFDPRALAAQSGNTLPQVPVIE SICIRRQFMRAFSVRDGLDDIFRSTPNLADFRYETWRVVPENDRFNFQYGYNNGPFIR DWDHTLIFSLLLRQSKNLKRIALFQDDNVAILGRTDLPDPAPFLGYSLARASHHLEEI HVGMFLDAKDFFFSFWPGTRSPQQRNFQTLQVGPEEYWGQLRDLSLWSVHLQPNNWDI LVTAAGLAARRMPQLNKLELWGALRPDACIFRFRRSVPRAKIELLSTWGAEITPEVHE AWAQVARDHDCYLEAEESRLPRHNVIGWPVRGKHCIVEYLPHLECKDKLLNEVSLQLL NDPN PFICI_04036 MYFTSAAATLLLGASFATAAPCSKQRTFYNGTCTAETVTIRKEW RNLAESEQASYLEAVNCLMELPANTSLSGVTNRFSDLQATHRDKTNATVNGVFVGDII HSVGQFLPWHRWYIYAHEVMLRDQCNYTGPLPWWDEAKDADTGNAFSSPMWSADAFGG NGTGSDLCVVDGAFANHTEHIGPGLANTDYCLDRSWDNEWAVESANSTSVKNCTRHND YETFWQCMVAEKSTHKGIHTAVGGLMGDKDTSPGDPIFFLHHNYVDRIWWQWQTANPD SRMYDMSGTTFNTTYLTVEGIDAPDVATASLDYVINIADILPDVIIGDVMNVQNGLLC YDYDY PFICI_04037 MARMSASPSTVDFNDEIYCFYQGKGNSGELWYTILRENRWGGEH KVPRTTLSAEPSAVVFHSKIYCFHQGKGNSGELWYNVLDGERWGDDQKVPDTSLSAGP SAVVINQRIYCFH PFICI_04038 MASTWFTNIEALSYSVVEFIPYVGTVYSFKRAQLAYQERDWPRH WQSVANFLESAIRDVILFAGVEEVAGVVILHTIAESFTDKLVELYYEHNKDEADRVRQ PRIEIPQPQALDPSNELVVVAGRTKGAHGEKVFHGKAKGVHRFHGARFAGTIKHSKYA PSGEYIQLHIPQGLFDGARVTFMWKWTKDEWGTENRPEVIVGTISLYIGDDKLTWFKF TKRQGAGWRPGEGSDFNCKVASLNLIIASTTVGDESLKIDLERI PFICI_04039 MSVYVITGASKGIGFGFVKSISEDPANLVVGLVRDKAATEKKVA EELGGRTNIHILHGDLTSYESLKQAAADTAKIVGERGVDYLVANGAYPSQFDAYVPIG ALGDQVEELEEVSAKLFKTNVVGNIHLFNLFIPLVKQSKAKKVIAISSGHGDLDWINN NDIEISSLYGASKAALNVVVAKFSVQYKPEGVLFFSLSPGAVEVGHYDNVSPEQVQGL MGFMGKIQAYAPHFKGAVPVEDAVRTIRATWERASIETGYAGAFVSQHGNKQWL PFICI_04040 MAQQKVIKYTVEHNRKDGVSEEDFIEWFTNTLIPQMVPVMQKNN ILKYAVHKTDHQISTAFQSQVDKVRPGWVVSKCDLILEHWVNDLGDIMKLSQDPEWAA ALKDQDVWMDNSKSNIHIGYDTIYIEDGTITNVPRK PFICI_04041 MTAHDIHSPAEGGLPPVVRHILGHDAEGKSVFLPTDCDGHHRKI VNNPVIDNNLNSTDQQIIDFDGEVNIKYACENKLNVSEESRSVCRMIDFTPGSVLPID RVNHLDSAVVIEGVFKLILDSGEERIMQRGDVAAQLSTTHKWVNATGNGLLPARILFI LLRANNLHTISRKVQIKPDVPRRNTISLVSLEVTDRPHRSKGPDFYENDFFESEF PFICI_04042 MAISTPSNGVSHVAKPLPNLKEVNKGIETDSEDRAFWWGALSEP LASLLEANHYTKEVQLHYLRWFYQWILPALGPRPLDGKPYYGSWITHDLSPFEYSLNW KEKSSKQTIRFTIEAVTKQSGTASDPINQLGAKEFLEAVSKDVPGMDLTRFNQFLEAT NVPNDCVDDAIAKHPAHFPRSRVWIAFDLEHSGNLMAKSYFLPHWRAIQSGISANTII GDTVKECNKADGSSYDGSLNAIESYLATFTRPEEAPQMGLLSNDCVAETPGSRLKVYF RSSADTLAKAKDMYNLGGRLKGPKMDASLKGISDFWYHLFGLDSSDPASDDKVCIGNH KCIFVYEMRSSQGSEPDIDVKFHIPMWQLGKTDGQISELLASWFESHGHPDLASRYKS DLGTAFPKHNITGKSVGTHTYISITHTPKTGLYMTMYLSPKLPEFYY PFICI_04043 MVWAWQQWLSPRPQKRTKNTSQVAFYTSENAALYEPLSKPDGNW YVRETHFRENPNVRAGLTGPPLHLHLQQDEYFKVEQGVLGAVKDGVEVAITKNDPVLH IPRGTRHRFWPHPSSTEDLVFTAWADPCKDHDHILDLNFLRNLAGYLADCDSEGLQPS PFQLILFFHEASSILCPPFLNWMPLWLLIWVHNGLAWIAREFLGPEQANPL PFICI_04044 MTETVLVIGATGHIGVSAVIGALRTKRNVLAVVRNAAAVDKLFR LAGTRDGITTVEADITSEHGLQSVVDKVKNGELPAFQHVYSAVGGVKTTTPLKDLSIE DFRANMSINFDTNFFAYRATIGYLLSQSNPTTYTVITGAIGDVGIWPGPAMSQGALYS LGISAELENRDTNVRFNEMYLAFPVMVDEEAVKEEAAKMGVVKASDFARSYENLLAKD EIKGSRIFIFTPGDIDTLRWESKAFKGPPS PFICI_04045 MVSLKACVVAYGFTLLPALVSGSPLVPRYFQKHLVSRCNISAIT VQSELGPQLSNTSLIFGPDNVLFPNATERWNTLDTPDVQLVVQPAAESDISKIVKYCN DNSIEFLVRNRGHGTTTSLSAFSGIEINVELLQGITIQPDGETAIFQAGTYGAEVINT LWDQGYVTTTGSTACVGLTGPSLGGGHSRYEGLYGLVMDNIVHYNIVLANGTEIGVNE TSHPDLMWALKGAGHNFAIVTSLEKKIYPGENWHQHTYTWTQDKLETVFEALNTFHKS YNGTTPPKMGVNYGAIIMNTSYSTTEAVMEWGFQYAGPGDEAEALLAPFNAIGAIAED QFDASYPTIAGTTSETCGSAKRAISSAMTLDYNITTERALYDHFVAKVAEYPDLAATA YLWHEGYSTEGYQIIPEDSTAYPHREENHLMVFFTEVPEDSDLLEPALDWAKEAMDLW NGGQPDRLPSTYVNYAQGADYETLESVYGYESWRLERLRSLKAEYDPENRFRYFVPII SDEA PFICI_04046 MKGRISNERFVHTSHSDMELYYSLASSVPVQNMSLLDLPTLWNA SGVEALDSDLFEYFCCVASGSLPTFGHDATALRNILVRTALEGETASAAAVLQALLAF SSLHRYGLQPQALELKITALGSLAKGSFTPGLGTKETIEHIAAGMLLSSFEVHQSSCT SGHWTGYLGGVKTITDMSSVKTLLQFSSDVAVLLDWVHYHNVLARFSLLYWNGEETSE FPSTPTNLLSSQDSSLPPPIYSMMDLLSQICDLSNSAIPTGTSDEVDNYKGFLKVLDW RIRSLPIKGDNDGEMLLMKLYQLALLLFLNRSFEGLIDQPIRMQQQIGQAFAILPRLS SCRQQFPIYVIGCEARTDEQRAAVLDLITKTEKMSTSRSFNHCRTLLQAVWTQDDLAE WDDISYRAKLTLVISRCAVAPIFV PFICI_04047 MSSLYTLWQQFYPPAPTFTEKDVGAGSQVGKVFIITGANSGIGY ALVKLLYPTGATIYVAGRSPQKIQTAINEITSVSPSPSTPATLKPLHLDLDDLTSIKA AAAAFAAQESRLDIIWNNAGGGYPVGSVSKQGIEAHMGSHCVAPLLFTNELLPLLRAA ARTAPKDSVRVVWTGSAQIQLNAPQGGVDFARVEKPTTHDMQDYGAAKAGNWFLAVEG ARRWGKDGIVSVCQNPGNLSTPIYDIFGWFMLALIRGLFLYDAKYGAYTMLFSGFSPE VNKGTNGAYIWPFGRIKPPPRADVLQAGSEGKAKEFWEWCERSWKKHV PFICI_04048 MNTSPDYAQPGPNQFHHGAPSIYSSSSSNGVGGEVPITAQLLRG PPPPPPPPPTATATAATAAATTTTAAPSATGEPKQSGPTVPAACLACRSKHLKCDGGN PCARCQASESICQYVASRRGYKGPRRNGTQNPNKRHAAASDDGSPNSNGSNESCPMLL GAGVATPAAPSLSAFNPGLGIPETPMSTIGATPSYSGLQIYRQPYLDANGALVDINPR KAPQTIAERCIDSFYFHFFPGHPSVLPKDYLLRIAEQRNIEHLLAAMRWAGSLYFEVG PTRATFFEEAMRLMYAKDVPKDGFLVQAMLIVLVGLDGSCQQERARDILSDAERIAIE IGLFQRSFAAIHGQGIPVIEESWRRTWWDLFVVDGMVAGVHRQTNFLLFDIVADAGLP CEEHQYLAGTIPRPLYLDDFDNDIFSGEEYEFSSFAYRVASIRNLGRMMRLPESVFPG DNNVDRVENFLSNWRMHLPASKRDCLNKDMKLDEMMFQAWMINHACSIMLHQPLSQLD SSPARDVTSCAPYQMVRSGDTFNTHTRHIVTAAAEISKMVTYAVPITCHTHFFTCVLT LSSIVHLSKWALWFVQNDDDLRQQIRLNIGALNKLSTVWSAASRASGQVKGVAQEIFR SKKAAQQNNASFWVGFTQEEIISSMAADETIMSEINTMLEPVTTSG PFICI_04049 MADPLSIASGIAGLLSLAGAAFHVVHQYIRTAKDAKDFVKALSK ELQSLSSVLQGVKILAEAYEQDETEPSVGLRLEHISACSRVLDDILKGTSSIRDTVKN QKSRTSKVENLKWPFRKSKTDELINELSRHKATLSLALDAASLSALVDCLGKVKRECV LNFFMPIDPSPNLQSSWKLRHPGTGIWLTESLRFRTWLLEEGSKIWLSGIPGAGKTVL AGAAIREALQQVATVSNVGVAFFFCDYKNKKTWNITNILGAIATQLAWQNESAFAKLK QYHNEIKPRQGMQLRPKAEDLHEVIIEESEAFDRILLVVDGLDECGDNAEIVSRVLSR LNQDIPNLSSALFSRDEPGIRDVLQDQFDQIDIAAHSEDISLYVGAELEKRIEQRQLR INDMHLKDEILLILTTGAQGMFRWVACQLDALGECITDADRRTALYELPKTLPETYER ILVRVNQKRRSVQRLVQLTLRFLAIEHPIQLLTAAGLCHFVSVPEKSGTKWDISSVVT EQEVARACSSFLRKSADGRLFEFAHFSVREYLQNSSLLERPDLKPYHISKSSINDTVA SQYLRFLQLKNFDCKPCRAPGDGCGLCAGKENLGSLYTVAAASWPMHVQAVENCGSHS SAELLELQKSLLHPAKSNVYMNWVTRFCWCLHQKVNTEYVLDLVLEPSLSTLHVAAAL DLTEVGTWLLGTYTTINSEVQADSLLEFSIAGIFAFVKDVIQDASHAFSRYKYRSRTA SLMDQLSSRGAKLSGSSQRFQGRRLMQITCNFAACGNMTPLKCLLENGWNLCSEDISI ASCWFTEGFCKDQENELRNLVKFLNTHEIHKTREGYQLCALIWNMAIRSSHKFTADTS LLPSSITLSDAALVETAVSAITLDDVQALVTCEQDVRFNIREFKDKDEVYAVHIAASW HAFKILKYMLNAGCEMRRSDSDGRNFLHMLTRSNTSRTFDASVLEEIRAQHGAEFEKL MDAVNHEGQTALDMAFEISNINVAKWLFEKRGRELSCCHGKICIWDKAANAESIALLQ MLKDSSYPCHTDCSAGRPFHALNPGAGMEKFELLNTLYPSSLKSCTSAKLALESCLSR WARQTVLPYTMDGSVIQALLHKSETLSATSPAAIDFCCRIISETSWRRFERTIKILEP FLEHGIDVHPGSGSRSMLEEACNLFNITTAQVRDGDIHLLEAILDRVDNKKLNRYDRY GSSILHRVCTAPGIDPE PFICI_04050 MSGQFPHDTSLLDSLIASSKQTRRSAQILLELGADPALASSGGG WDAAQAASSRGLRPFLQDLLANLTKTSTSFNWDRKCSVSVIWDDSRRNLDGLNSMHLA CLEGHQDCFNFFLDNRLINDIHALTQEGFSCLHFAAYGENTELVDCLLDLGLDINQMA VDGTTPLHMAVRKNSRRCVERLLSRGSLIKRDVVGMTPMMYARQLDLDDIVGLLAGLD TSDTKTENPDARTRNAKTRSWREALETAILMNDEQACSRILEEGCSVNVSLSECGGCS PLLLSIRCSSSGNCELVRLFLDKSASVCKQACSIHGSNSALLKALRRRHLTPFLPLML DLCLHESLGYIYAQLLVECIEWNNDEAFRIVVDHFCDNKADYA PFICI_04051 MGATPTLPPSITWLHHLGSSAEGQTRMTAELFRQAPIKLIYEPF PPNPTLHPKVLAAIMDSGQDLNAEEESNRSIMLVAMHNPETATLILNQPAQLTETGPF PWSMIGSMIEDVSWMNQHWKHYLRRFRMEDLRRVSNLEPRRGWSPLCLATCTDAVKVM EHCLEMGTDIDFEGSPYGSALMAAAGMNRIESVKFLVRHGASICYEGKEGFTSAVVVG ASSQRVVQWLLVGRFQDQGKLEAVSESSTIITENVPIHSWSPARLNFRLAGKFERQPD ESSLDYLKALAEIKKNLRGQVVHLPKPEYLETSVVTAVYDI PFICI_04052 MTRHFDSDQPVAPILMAPWAFVENAQIMALLLDSILEAPQGTPS LFIDLEGEDLGRNGTICIMQIFDAAKGFTWLVDICTLGREAFDTKANCSQISLRDILE CAIIPKVFYDVRNDSDALFAHFGIALQGIQDLQYMEVATRKQWEDNERLRSLTGCIQE HLDLNEQERKGVIDTKAMGRRLFSPREGGSYAVFKERPLRKEVLEYCVSDVKYMAALW RHYSQGMDEKTWRRVLTGSCQRVAESQSKFFSPSGYGKNLIVEGWGLQEGDDSYVSLS GSAATQVPVAPVATTTTPQPNAMEITVIVIPTSSLTVTPTYAAGSGVASGSHAGPQTV VVTIPADNGEAATITVTVTGAGPGAETVTVTAGRAGAETVTVTTGDNGSSFFKGAHSG AASSSLSARQVMENDDSTANAESRSWANGEGWGAEDRSCLAQDHEWSDNGGDREAEAS VVWSPFEGCSPEWFERVSLIVW PFICI_04053 MAFSIRQYPSPRRHPRASCLPVPQRVAKDQGYGVVKLRASNYRD GKPTRYDLVCDRGGVKYNSTAKKRNPSTRKVDCPWRAKAVCEVSLANQWRFAVQDARH NHEARVPAAPPGQENTPLAQSIRSLTNKLDRISHDMSQGLGRISSQMENIEKRLVDVE QRVISMDTRMSGMEQRDGEMGVLSDNMTMEGSMLGQADEVVADMMSTVSSAPAHAASS RSTSPSDQEAQRPKPDPAYKMYDD PFICI_04054 MAANAQVPKAATGNSQGTPEEWTEEQLEDALEKLKILHVKCREL RTTIPRMLEPLALKHTTPQDALNTLQKSVAGASTEIEDFRSLYKSEDTKKVFDQAQKS RQVQPKDIKAWRARDHPDWLDVKEKASST PFICI_04055 MSRFFTGGDSSSESSSDEEELYSEEEEEDQDVEEDSDEEDSDED DDDDSDDDSSDSDAGGKQGANRFLKDADSSDSDESDDERVKTVKSAKDKRYQELEAII KAIENGEKINDWGSISTEFDKLNRHASKVGGDSSNPPKVYIKALVELEDFMNETISKQ KVTPKKMNATNARGLNAVKQKIRKLTKDYQTQVDAFKADEDDFMKSEDEAPKPKPRKA KVTDVYIEAPTAEDDGEFATVGKGGKALQFTPESIFKHLRSIVESRGKKNTDRLEQIK IMEKLHEVAVTPYQKIRVLLTLVSARFDLSSGPSSSMPVEQWKAAEKDISSLFEVLDQ NQDHIVVEGAEEWEDDEKQPTILEGEKYLKVPGSIVSFVERLDDELTRSLQIIDPHTS EYIERLSDEGSLYNVIFRGQLYYEHLRKDSALEVPQDSINRIVMRRLEHVYFKPAQVV KILEENAWKATSTGVVSTITPQTEVIEAGKLVNVLCNYLFSNSEGIIRARAMLCQIYF LALHDEYYKARDMMLMSHLQETINSFDVLTQILYNRTLVQVGLCAFRKGLVYDAQNTL QEICGSGRQKELLAQGVMIQRYAQVSPEQERLEKQRQLPFHMHINLELLECVYLTCSM LLEIPLLAQTGSSPDVKKRVISKTYRRMLEYHERQIFTGPPENTRDHVMQASRALAAG EWKKATTFIHNIKIWELMPDSDGIKTMLSKQIQEEGLRTYLFTYAPYYDTLAVATLSA MFELEDRKVAAIVSKMISHEELAAALDQVTSTVIFRKGVELSRLQSLALTLSDKAAAL IETNERTLEQRTQGTANAFDRRGQNQRGGQRGGGQRGGRGGARGGGTGQRQAGGTQFT GGALGAAVRG PFICI_04056 MSTSPDTATTATTTSAPPCPEQEKSKTTPTSDDDKSGEDKAASL PPLSAAEFRQYNAMADHMDMFHNHFRSTWNLLWSACESGKRPAGMSLRAFINQGLQFV HHLEMHHGIEEAHIFPVLARRMPEFKAGKGNGAAELLRQHRQIHKGMDGLEEYLKDVQ AGREEFNLARLRERMESWSDVLWTHLDQEVKTLGAENMRKYWTLEEMKRMPM PFICI_04057 MSLILFESSYDEETKFSWFKNSTVEVAGDKFKSACGPLWHRRKR LKRTCMIDQDLLDMLYLCRAEELAKRPKILDLAIRVREYVTTRDQAYYEGRENESDDA IRSRMINLLVNPGNYTTNNAEAIPPPDDSNVTESAMEE PFICI_04058 MRLLKVGSQGELLFTKDLIHGKDEIPPYAILSHTWGSDPDDEVT FKDLKKQRGIQKASYEKILFCAEQAKCDDLNHFWVDTCCIDKTNAVELTESINSMFRW YQQAERCYAFLSDVSCSREQDNHSSQLAWQQQFKSSRWFTRGWTLQELIAPSVVQFFS SDKRFLGDKNSLRQEIHEVTGIPIGALLQTPLSSFSVDERISWATSRTTSKMEDKAYS LLGILSISMPVIYGEGEREAFNRLRIEISRRSVLKMECDEVIGQSRTGILGPSILAQV LARLPVAKGAAFDSYSSQHDPLCHPDTRTKVIQDISEWARDTQSKPIYWLNGMAGTGK STILRTLADLFSRNHHLGATFFFRRGQSDRTDMGKFFTTLASHLAQNLPGMAFHIKAA LDEDPEITSKAMRQQFERLILDPCSELPADFSPGRTSLVIIDALDECERVEDVRVLIR LLSTINSSRGLRLKFFLTSRPELPIRLGFKAAHGTYQTLVLHDIDHSAIKNDIATYLK HELCEIRKHFNKNADQDDQLSRDWPRSSDLEILVQMAIPLFISAATISRFIADGRVGS PDNQLREVLKHQRRSQESHLDTVYLPVLHQMVTGLNPMARERVLIRFHKVVGSIVTLA SPLSPIALAELLCLSLSEITTALQTLHSVLNVPSTHDQVIQPLHSSFRDFLVNAERRR ESFWIDEKEVNRHLATQCLRVLNTSLKNDIANVRAPDAEAFPTLSVRLSSEVQYACRY WTLHLCESAYIGREEEAILREFLSSHFLQWLEAMSWMRRYWESRHMFRALRSVITSHN FEWTADLVEECIEFIEDMGPGIEVAPLQVYSSALVFSPYRSIRELYQKECPSWVELKP RSSTYWSEGKSILDKHIHGVRLMDFSSDGMQLASVGSDDSIVIWSVATGHQVAILNDP IQTVSTYMVKFSPDGKEIALASEKGTITIWSTTTGSQKVRIEGHGHYISSIAFSPDGE KILSTSLDESIIIWSTMTGERQGTITGLVDVGSATFSLDGKRVMFKSSQVTHIWFFET NSKCPLVRSGQKLSASYEADLLSSQETIYAIPSRSDSSNGFCLARFHRDIKYSC PFICI_04059 MAEKAEKSPMGSNALGSSDGVSIENIVVDKVAERGFVKKLDFYL LPFLSLMYFFNSVDRSNLGNAQTDELGNDLGFVGNQYSLLILLFYIPNGLCDLPLNML TKRFSGKVMLPGLMFAWGAMALFQNAAKNFAGLLVIRLLLGAFEAGFFAGTVFYLTLF YTRGELGFRIALFFGSALLGSAFSGLISFGVFQIKNTSLHGWQWLFIIEGALTVLIAI VGYFWLPASSSTAWFLTDHEREVARLRSLRDASGKVDIEFSMRECFQQWNDWKFPLWC VIAFTYPVAFATCANFLPIVLQRLGYSTVMTNLLTVPPNCCGFIVLLIVTYWSDRLRE RTSYRFLPHSVFASGAYIPSCLVQSWHNNNNLNENSRAANTGFLVGLGNLGGILAAAT FRTEYAPRYVPCLIATACCNVVCIGFTLWLGLWMKRENNRRDAQAGAVLLPGDVDTRE LENGEDDAKWRYFTKHIINEPTTLVSNIMNDPRFPDDMKESEAVGHPATMARNSTERR VSEGKGGIHGPSIVTISSGHQEVSRAAGIPGAVPDPKMKDLPQSKRDQSNNQLSNLSK Q PFICI_04060 MIDSKVHASPTLHRERILAEVNTSIDLLGIDKANTYYLHEFDRQ TPLEEQCKAMNDAHRAGKFDNFGFSNLAPAEVKQMVHVCRRENWVVPSVYQGHYNAVA GGEEDELLPLLRKLELSYYAYSPAAGGLFSGTQTGDAAARKQSGRWKQDVRVVTAPSY GESWEYISTVLVRC PFICI_04061 MSYGPISMDSHKEAMARSLPYQAGLEIAPQYHDQDPQHVHPQYT ENFQGPPTPPLIKPEQKPERTILGMRRTTFLLSVALVIVIIAAAVGGGVGGSMAAMNK KSSACDDGYSATATVTATATVTAAVVSGATGTSTSDGLVVPTGVVALDCPSLTDVDQI ITLSDSVSTFKPTCGTNYFGADIVSVISYTFNDCLRGCASMNYYLGNTTCAAVSFVAN QTYQIPQNYGNCFLKTSTGRTDTGLGDGIVSASLTSHTT PFICI_04062 MSDLDGTMSNLPNVYPTEGPIAPKAMNSMWWFFRNKGELEAYHL ILQQISHNLRHLGELAAIAQKRLKECSPADPTTEAVVKAISIDVTKAMQIDQSKYDKM RDMELSDSGSQHFEDLPQHTRDAWITEYVMALRHRENLEKYTEEWMKWLVRKPVSHST PRPPSRMDYADAEAACMANWSDETEGKIFEMLNDKINSSQSKVWNLYKTTGRIEYNPS AGREARDWRRRIASIYKRGFWKIRYGDDVQKQTKFKDLSTATQRRWIEDYMTADAIVD EVDGIVGEWLNLTLQLNGPPLSPPR PFICI_04063 MIWRTIGFSFLLAAMSAGGVLGQSVADMISSVPECALPCVVQGV LGGGCPMTDVKLLEDCVCTNNTLMAGLSTCVQTSCDFADQVTSGNLLMTMCAAYPKES RVGEVRTVAIVALALSSAVVTARCIARVQMTKRLWSDDWTAIFATIILLGGAGLELAA SNLGFGLHFWDVYVNKATRLLQMFYTIEIFYTWVKLIAKASIIFLYMRVFTARWFRRA CYGCLAYCCISLTIFTFVIAFQCSPVEGVWNRFISKKCLDVNAIGYAGAVLSVVEDIV LIVLPMPELRKLQISGRKRIGVALMFVLASFATVTSMIRLKYLVQFSTTLDSTFDNVD AIVWSTIELTCIIVCGSLPPLRPWFGKLIPSINTIKSISKAGARSRSKSSPSNPRSGN MTDQSQSRTYYPEDKELRSPSSDEYPLKPMDHKKIWNEP PFICI_04064 MPSESTHRSPWDDCVVVDTGNPRPFNSHKPTMRQLEADLEHQEK TLHSTMRAARDFTRLMQDAKDRNLLTEQQMSDGLKVRRQWIIDADDSYERAEARMAEA RMEQALNSAIDDPGIDAGPAFLALECSHGIDENVERHESSLPETASPPQAHHAAATTP SAPQVELNRYGVKPNPARQIKAARRRRNWALRKSAPEVYEVVMEEKKRHRDEHLREQR RRKHGG PFICI_04065 MSQVKPFKIDIPQARIDRLKQKLAAADLPPEIEGSGWEYGAPLA DVKRLAKYWQEEFDWRKAEAELNKLPQFTTRIELEGYDPIDLHFVHAKSPNPNAIPLL FCHGWPGSFDEVEKMLPLLLDGGKDHPSFDVVAPSMPNYGFSSRVHQPAFGALKTAET FQKLMVDVLGYPEYVTQGGDIGYMVTRYMGYLYPQNCKASHYNFPIPTEPTDENFPEV AKEYRETKSEADVEGFKRTEWFNNEGRGYAGEHSTKPQTLGYSLTDSPVGLLAWIYEK LHDWTDSYPWTDYEVCKWISIYWFSTPGPLATLWVYYDSKHTSDEKRSEVVSGYLKDV KIGVSKFPKELYVFPASWNKTLGDLVLNKVHKEGGHFAAFEKPELLANDLREMYSKSG GAYGVVKGKTGYA PFICI_04066 MATPESRQDRGSRAVEADEAAPLLGDSASIVSQPLTDRSELWLL LEYSAPLIATYFLQYSFTVITTLVAGHLSSDDLAATSIGLTTVNIIGLATFEGMATAL DTLCSQAYGSGNLHGVGLHVQRMLVLMALAIIPIGAVWICSPWILPLFVKQEHLAVMA GSFLRVSLIGLPGYASFEALKRFLQTQGDCNAALVVLIICTPVNAFLSWLLTFKLGMG LEGAALGAALSNNLRPILLLLYIVFFAKWSHQCWGGFSREAFTHWGPMLRLSAAGSIV NLGEWFAFEILTFSTSYVSIDSLAAQTILTTLSVTAWHIPFSISVAISIRVGHLIGAG LVDAARRAASIYAGVFTVVGIFDAAVMLLLRNELPKIFTEDSNVRELCAEAMITVSVF QIIDSINCGTNGMLRGLGRQSIAGWIIFPVQYLFAVPLAAWLELGSPNLKLNGLWLGL AAGLVATAAMECIYMKVTRWQDCVESAKSREEA PFICI_04067 MAASKRSKPSRRSRKSLSTVEQVVNRLGMRWNDEQKEEYIDSIK VVELKRLKVPYPTDDYYTATTAAGRDQWERFWHQRPAKDKRVNGGQRRQILLLDKSRL QYIIPQNESVMFRDADTKEIFMVILRDFIPDNDLREDMIATCRQIIKYRRDDRREDPG QLVHFGYTCGDRARPTVGLAANCRYISPKYHRELNNASQGMAGIGWNMLRSRLPQVII DDYNNTIKNIPGCPRMDMEPTKGVKNDLFSYNVEGEELTFNEVGDLELPPPSGLSAIN YARFTHRENNGNEWFIAVTCLAADSPDIGGNFYNASYGIMMEAAINTVSCFKPNDYHG TTLYEIVVPDGVYDASDPRWRIDSRESRPDGGENIGFAFEVSKGLANAKRKRDARQAA RPGRGGKRNRRSVYSKEPDSNAESDEDYVPKRPRLR PFICI_04068 MDSAIRDASSGDKKLPDEKTPTYQSDVGDIEAVPADIDHFAEVR DVRQGLKQRHIQMISLAGAIGTGLFLGSGSALAKGGPLGAFLGYTFTGILASSVALAV GELGALVPLNGGIVRYSEVFVDPALSFAVGWNLVYFYLTSCPNEIVAAAVLIEYWVSV NNAIWVTIFNILVIISCSLFVRIYGELEFGFSMLKIMLIVFVNILALVVTCGGGPSGE AIGFRYWHTPGLFVQYLDVPGATGRFMGFWSTFSSAIYSYSGIETISISAAEVQCPRR AIPQAAKRIFWRILLFYVISIFMITLVVASNDPSLLSASSTAASPFVIAATNSGIKYV PSIINAVVITSAWSAANSGMLSGSRSLFGLAKEGRAPRIFTRLNRFGIPYVSVGLFSL FLCLAYMTLSASASLVFEWLVNLVSVAALVNWSIILITYLRFQYGCKVQGIDRQELPW AAPLQPYLSWVSLFAFFLLLLTSGYTAFIKGHWDTEVFVSSYINIPIIFALYFGYKIV KKTKVIPLKEIDIRTFIQIANDNPEPPAAPKRGLKKFNILW PFICI_04069 MNPDQNHADDSCQVNQTVQPSGLLTTSEKDLRNERERSISSPTS SDSEDRHDGDKDLEEPFPEGGFRAWLVVFGSFCTMLSVYGLINSSAVFESYFATHQLA DSDSSTIGWIFSLYLFVVFFAGIQVGPIFDRHGPRLLVAVGSILVVASQMILGLCTEY YQILLTYSVLQGLGGALLNVPAYGIIAHYFKRRRGLATGIASTAGSIGGIMFPLLLQS LLPKLGFAWTTRIIGFILLGLAIPSNLLLRTRLPPSDKVVSVIPDWSLFRNLKFTLTC AGVWFLEWGLFVPLTFVVSYASDYGQNANAAYILLVYLNVGSFFGRFLPGFIADRIGR FNVIILTISLCVVTLLAFWLPSGSSHALLIVFVVTFGFASGSNLGLYPVCLGQLCSSR DYGRVYSTSLMVGSFGTLTSLPIGGALRDVGDLRQGWLALILFSALSYFIAMSCFLGV RVLAVGWKAKKVF PFICI_04070 MSEIKTFPLGNFKLKSGGEIPDAFIAYKTFGSSSNPAIIYPTWY SGLIADNEWLIGDAMALSPKNYFIIVPALIGNGQSISPSNTPNLRPWPEVTFYDNVKA QYQLVTEGLGIKHARCVLGWSMGAGQTYQWITQYPDFCDLAVPFLGSARTSLHNQVFL EGVKVAVLAAKGAASGGVVQGESTTPASQYRGWSEEERQTGLKALGRVYAGWGFSQAF YRAKLHESYLGYKNLEDFMVGWWEKWALSKDPENMLAMLYTWQAGDVSDQEPYNKDFA SAMKGIKTKTLVVPGQTDLYFPPEDSEIEVENMTPGVGKCVVLPSIWGHWAGGPGDSK EDVKWLDDRLKAFLKDGSW PFICI_04071 MSIYPPFALTVEEQSSFHDDLEDLLSSIQTILSRPGPLSVYDWS EALRLVRDAFAFVTDMHDLSSPTADADTRLATLFLYKGDCFRGLGRLREARDAYLAAC QVECQGSEDQASQFAAGDRLEDLDEWCGDDLRAKRLGGLWNALHTGLTNPDLSVLGYE TELWDPEPPLVIRTAERWARRVENRIPGTFFALKEVAGGSVAVKIQGLRSRKRENIAK VKTVECAS PFICI_04072 MSDSRATKPSAVVTESERPEASSSSDSRTESLHPDAPIEAVGHL EVEDDETPTPRFMQEEGAWKRWKWVPYPVRRFSIAAVKWSKGPREPKVYKIKPLLPKV QEAPLVLMDKYLPKQKHRVWLFIGYVAIWILTFCLVMRSGLKASEIADYGTPSDIACG TTYWVSGNQCGTDGNSCRPFTDSGFAFKCPANCASYKVLNPRAVGDQEVVYTQLVVGG PSSSANTTSAIYRGDSYICGAGVHAGVVSNSKGGCGVVKLIGQHSDFVSSTRNGISSV GFDSYFPLSYTFETGIECETKDVRWSLLAVSVTFTAVLSLVTSSPALFFFPVFIGIFW QVGMASDAPSHSSVAGLFSNILGKFLPAMFCAWVMYDKMGIRRTLQDCTAQIEKTVLW LGACWVGALTNYTFDFIPIQRLTGHDLDQQPGAKVALAIIVIVLTAVAVSQVWFFRQE ARLTKYLKLYCLFVIGIIICLVLPDLSLRIHHYILALLLLPGTSMQTRPSLLYQGVLV GLFINGIARWGFESVLQTSYALQGDAQLGSPLPSILTPAISLAANATSNENATAAAAE MSSITFNWQSPPAPQFDGISILVNDVERFRSYFTDVDAEESFSWSRESNLDLNEYFRF AWMQGSSTMDYTKAGIWTADGTWSQMADGPSKVKARNIDGETQFLR PFICI_04073 MGSRDLESTGKMVFNIAKADDGVAARLGSLKLAKSAPLETPNFI DITSRGAIPHLTTDVMTKHTQVRGAYMAIEDFVEKYVKRPAPAIFAASEGGRSRLHSY TGLPSEIITIMGARRYPAVVAPMGNGAKHLSILTSTGFQSLEIERYCDNILSLRPDIV IPLADLTFDKGTRSNKRLRRMLERTDEWVEAFFKTLDPDTELTPLGIHVFAPTLPIEY PLQWEYLNRLSEDHAHKLSGLVVYDADTLADLEAHSPLVSLPRLSMDSPSSPHEILRQ IQLGIDIFAIPFMNGVSDEGIALTFQFPAPPRIGPDNLPLGINLWSKEHQISVTPLAD GCSCYACTKHHRAFICHLLDAREMLSWTLLQIHNHHVLTEFFKGIRSAIGDGTFDQKV EDFARVYDPELLHSTGERPRTRGYHFKSEASQPKANVAPWRAYEDSNGDDPTLAKEVA GLVVTGGPEVDAESPLIPGASATELDRNGFAEKSF PFICI_04074 MLSRLSPVVVLSWAAFASAQTFQRLGTCPTLGCVLPPDQQDFLP GQYFDLRLEVHAPVNGSEAYNNGVPDDAFTVTISKEGGEAQSITDFFNVTEPEIEKWT FKWYEDLYAEDAKTPSVVNVASKIYRRIAIYEPGTYTVTLSYYDGETTTAEWVVRPLA TTKKAKNVILFIGDGMTTNMITAARLLGHKSINGKYQSTMQLDKFPVVGHQMTHSIDS FITDSANSASALYSGHKSTVNAMGVYADSSPDLYDDPKVETIVELLKRIWGSAWGAVS TAFLADATPIALTAHTRSRYAYGPLIDQALNSLTNYTWTDHGGPDVYFGGGAEQFYPG SSSYQGKDYYAAFAEANYTISLNKTSLASLPDTEKALGVFCKSTMPVWLDRNVFVDNL ESFTNDPTGAKAPALDLPGLKEMTLKAIDILHNRGGDKGFFLMSEAASIDKQMHTLDY DRALGDLLELDDTVRATVEHLKEIGELESTLIIVTADHGHGFDVFGGVDTKYLAEQET DREKRRAVGVYQNSGLSQYTVEQPGISYNTGPNFPVNWDPRYAIAAGMGANPDHRESY RVSNSTPRTPATNTSGFASDDYFVNPKDNANGFIVNGTLPTNEAQGVHSLTDVAVFAM GPCSETFGGVYGNIDVFYKMANCLGLARPDANEGGHGCAAKK PFICI_04075 MSEVKEVPNNTELKRQESSGHYVDGQERLSSEAARLEQLGYAQE LPREFSIWSMMALCMCLMATWEALSAVLGSAIVNGGAPCLFYNYVLSFICTIAITCSL GEIASIYPTAGGQYHWVAALAPSATKSAAAWFTGWISIGGQVVLTASAAFAAALQIQG LAILNNNAYVPERYQAMLIFWLILVYSAAMNIWGHKLLPSVNLLSAVLHVVGFLAIFI TLAVMAPKNTSSFVFAEVMNSSGWSSDGVSWLVGLLSAVYPFLGYDAACHMAEEIPNA SRNVPIAMVGSSVVNGLIGLAYCIILLYSTGPVDDLFTTVTGFPFMQIFLYATKSNVG ATILSLTITLTAVAATVAGVASTSRTVWAFARDAATPFHRALSVVDGHNEVPTAAIVV VTVLQMLLGFIYLGNSTAFNAILAMAIIGMYLSYVLPICYMLVHGRPRLLSTADYGPF RLGRGLGIVCNVISVIWLVVSIVFSTFPSAMPVTAETMNYSSVVMAGWMVFGAAYYFA RGRKNFRVPEVRMTNVVVGVQLSQK PFICI_04076 MASVHPHPLKQLSVDETNVARDYTLKLHEGAVLEFREIFLEEPP KAELIKFLDLEHSGQLSDSSPRPPRLAKVQYDVIGEGNKVPVFHESVIDLRTGDRISH EVISSDYQAALTIHEFMTFIDVCQKSPLYQSKVAKLRLPEGFEVVIEPWPYGGMDATE ERRRYFQGLLFAQDKRSGNPDSNFYAFPLPLIPIMDWAKKEIVKVLELATGGKGDSLT ARTEKANVIDHCKASEYIPELLPEGPREGLKPLHVVQPDGPSFTVTDDNLVEWQNWRM RLSFNTREGAVLHDVTYQGRPIIHRLSLSEMTVPYADPREPYHRKQAFDFGDGGAGNC ANNLTLGCDCLGVIRYFDGVTTGPDGTGEVAKNVVCLHEQDNGIGWKHTNWRTGRAVV TRRRELVFQFIITLANYEYAFYYILDQAGAITVQVRATGIVSVVNIDEGKQSQYGKIV SPGALAQNHQHIFCVRIDPSIDGHKNTVVQEESLPVPMNPETNPMGNYYEVVQTPIKT SAGIDADPSRHRLFKIQNRNKLNPVSGTPVGYKIVPPATQLLLADPNCIQSKRAEFAK HHLWVTKHKDNEFYAGGRFTLQSTRESEGLGDAAARGENVEDEDIVVWSSFGLTHNPR VEDWPVMPVEMLELHITPSDFFTKNPAIDVPSNKDLVSKLANGCCEKQELPVRSSL PFICI_04077 MEQDTSRSEQPVRASELHKTSRRPRANTGPRSKRAKYTAIACIR IDKLEQEVANLRQQVTRLASLLDKGHTPRNEFHAVESAYTPSQASLSAAGVKERKEVQ FVGTTRPAYALNVAKATLSVVDEPSDNSGSEAEDASIPPHTHSPADTRDPSQDPLLTM PVSMIYRLLDVFRDEIEPVYPLLDTSSLRSRMPEMLKQFEQEQSFQFDGRVSQKDIHL LKIVLATALVLDSHEKTELCARLISSFEDDALRITSPSDVDLQEAQIFAIMSIYHFHC DEELLAYRSIGISTRMILELGLHRRRSLYENYPNPAHRSLAIRVFWCIYVLDRRWSFG TGLSFALIDRDLNPPEPSPDMPYFYCLVAYAKLCSKVWEAIPHYGSSHEAMPPSTESS LESDIQEWFSTIPEDLYLTRVDASPPAQLNPPDRNLFRHLQTLLYLRGNYIRCLIHRH HVVSSAAIAKNPVNARLVVSIAQDTIRILVTLNDTSDIYSKHQVAYNYFLISAISILL LAVCHAPAEFAQGCRQDFSTAINLVRGLSQISLQGRRLWHSIRGLVARLKQVGIIDAS STSHEPPTRRARRSSQQAVHNSNQSLAQSPQTSIIRYPTSATARATHDDGHSTLESVN FQTTMPDTNMMGDELMGVFDTFGAAYMENSLEANPQQATSHFDDSLSFLNQDVNDFSE YFMGLI PFICI_04078 MTVPKTHTIAENGVNGAANGVDGGHRIKTSHPNPSLLVTADHQI KMAEAPVEEPGPGDVLLHIKATGICGSDIHFWKAGRIGSLVVEGDCILGHEGAGVVLK CGEGVTDLKPGDRVAVEPGVPCEKCFLCREGRYNLCDDVAFAGVYPYAGTVQRYKVHP AKWLYKIPDNITWAEAALLEPLSVVLHGVKTGGLSLGKPALICGAGPIGLIALAAARA SGAYPIVITDLEPKRLAFARDFVPGCITYQVDRKLSSEENAQAVRRLYGDNEYSAPPT VLECTGVESSVVLAAHVVRRGGVVCVIGVGREIMNNLPFMHISLAEIDLRFINRYRDT WPAGINCLAGGILDLKKLVTHEYPLEEALEAMNLCSDLSKGSIKVQIVDDVDAQII PFICI_04079 MVPGLVNETQNPSGIPVSKKDVISTEDLIEREKPSHDLVLKSFR LLIADLCQQFGGGHPGGAIGMAAIGIALWKYVMRYAPHSPDYFNRDRFVLSNGHTCLF QYTFLHLTGYKAMTFDQLKSYHSQRHDGLCPGHPEIEHEGIEVTTGPLGQGIANAVGL AMATKHLAATFNRPGYDVVSNHTWCMIGDACLQEGVGLEALSLAGHLQLNNLTVMYDN NQITCDGSVDLTNTEDINAKMRATGWNVVDIIDGCFDIAGIADAMEKSKKSDKPTFIN IRTIIGLGSKVQGMADAHGAAFGVEDVANMKRAHGFSPDEHFAIAQPVRDFFEGLPSR GEQYVQAWNELVEDYTKEYPELAARFHKRMRGEITGWEQLIPKSFPEKETASRAASGL VFNPVAEKIDSFMVGTADLSPSVNMIWPGKEDFQNPNINTACGINGTYAGRYIHFGVR EHVMCAVSNGLAAYNPGTIIPVTSSFFMFYLYAAPAVRMGALQHLQVIHHATHDSIGM GEDGPTHQPIENAVYFRSLPNFLYIRPGDSEETAGAWTAAIKAKGTPSMVSTSRHKLP QLKQTRRDGVLKGAYVLEENEHAQLTLIGVGAELSHALGVAKRLKERGVETRVVSFPS WRLFEQQSREYRRETLRRHRIPAVVIEPYAPNGWERYANAAVCMIRFGHSLPGTSVYK YFGFDEESLTNKVLSYLDNLKEDELLRHEFVEL PFICI_04080 MAAPGDPPDEAPPFASEMDFMQVDGDNMWQAAASGLSADLSFSP FLTSGAFGSNGPAGVSPDHSSPSMTWRPDEFMLNQDYQTYKEELRSLIFNTAQSAAPT RQGSPAPEEVNLTHELHLGDVQSRRETAKILAVGKRVTYLKNYVEQVAPWLDMFDGDR VFGMQISSLAQASPPLLYAILALSARQMECKEKRKNSFDSLELYQEAIKSLAPLLADR DVKIIPICVVLCCLEMMSASAQDWRRHLEGCAALFTTFGVHGFSGGMLQAVFWCYTRM DVCGALISDGTQSTLLDPAKWLPAGTEPHEARALFQTSERPDMHANYAVWLCAKTCEL IADRTRFIELGEQNGCTGEAFTTRWVALWDELQSWLNHRPKEFLPVKTVDTKPFPHIL FVHWAAISSTQLHHTACILMLSSMPKPLKLTMNLGTTGSTLWHAKRICGITLANPHHG CINNAIQPLWLAGRLLSHRSEHEIVVRLIWNIEATTGWGSCWRIPDLESAWGYKVKRH GVSNAAR PFICI_04081 MSSFFHLQDVSPSLSLEPVDTFFCSISFYYMGRELEQELEKAER QRDRKGKEVVSLTYKRKLEMMQEEVEKLLEAIKLLYKAILTILIILASLFLFIFNPSR FQRPKHITCATMPWSIWPALVILWGVCWMFHSPWSPLSAGIDYEELTNQVLYEFTAAP PLDPHIGNEFDVQHGQLWADDYELGQLGSSTTFQAPFTITGDAGLHSKGPSDLVTSDP QQQDAQLSSPLSLSRRHAAYENLSAVSEASPQGEQSKAPICCTELNCKTSSRTFKTDR ELQRHINSIHKQTTSFACTVDGCDRGTQNPINRLDNFRRHMQRVHSQAGQILAIPVPE TTPKGQKRQADTMSPLVSRPEKRHHRTEDAPVHTDVQGSPEPGVDQSVEVARLQRQLG DAIAKIDLLEKGIDTRNKLIMELLTERAKGSD PFICI_04082 MKANRGVSGASPVASSVKKNAFTVNESSSPTKAAPPTPTYCKVK FVDDNDEASPTQEPQPPPAHEEPPVQSIANLSQGKDQDTSPLVNVSQRSFHDNAGHSN ANEGEQPISSFDRWSPEILASPSTNGGDQIALDALLSLGNEHATAFIDRNVHRNSVSS AGFHGSDRSIIYHDPLTNPEESSYDAPFSPTSPPVPGPDVPEELEFSLMKHYRYEVAP WLDICDLAQTYGMAIPCLATESPAILQGLLDISARSLKAMTGMDKMSHLNSAIPAGIS EMNAEHRLLCASLCQATEPFAQDVFGADSYVYAMTMGIAHDLLLERPTSISMAAYHVL LRLDMGKALINELPISIPLHVPEMTHSPWHKTDSAVHIFQCANTPLFLCLRAMRFCWG DPADRMGLAGDMVSAWRDIVDQLNAWYSQRPQEFLSMVEIEMADDGFPLILFTSGAAV FGNQLYHTAMFLLLRHKPRTVMLPSRHRSSTMSTLWHARRICGIALNNDRRECWDMSL VASLLVAARTMTHEAQHHVLLAGIDKVERLTGWGLSVIRDILKAEWGL PFICI_04083 MIGSSALAVLLLASTFLTGLSAQNISASVDQPNPIAQMYPDLIS GNLNGTTMIIPIAIAQAQSLVPEYPILESVYTSLLPTFPAGMYPLVVTVKHDHDIQLA LYNLSLADFTRAAFEFPFLDLSGDGATPFRLQKTILITASNQIAIEGAQGYDITAYPA NFDPPNDAYRSDGDSGTYFSANGVRNGSDIARYMTIATQSTTGGYTANPYPFDFIKNI TNQVTFAASSLCDDYQLLYNTSLTAAPFEPKPVIGTVTALLEPFDAPQSWTGVYGWQY AAAFLEPVAPAACPSAKR PFICI_04084 MRTYTAIAAGLLLANSAVSLSVPWRFTTPKPRHNPFLGKRDSLE GYDYVVVGSGPGGGPTAANLAIAGFKVLLIDAGGDQGTELIEEVPALNLASTAYAETE WAFYVWHHPTLEEQAQDTKMTYLLPDGTEYTGLNPPEDAEPLGILYPRSGTLGGCSRH NALVTIQAFDSDWDGIAELTGDDTWKAAHMRTYWQKIEKNHYIPSSIIGHGFSGWYDT SLTSLITAVKDLKLVSLIAASATAMGQTVLTALLTTVTGLAGVLLTDINAPGQLKIQG VYQIPLAMRDSKRGGARDHIIDTANAVNADGSRKYQLDIKLDTLVTKIIFDESGDVPV ATGVEFLEGKSLYRADRRSSTASPTGNGTVTVNREVIIAGGVYNTPQLLKLSGVGPKD ELEKWDIPVVVDLPGVGLNLQDRYEQTLIGETPSNFSLIDGCTFMTTEDDPCLTTYLE NDDQTAKGVYASNGIALGIIKKSSAAETDDPDLIITGGPANFPGYYPGWSEEALKDHV HWSWIVLKAHSRNNAGNITLKSTDPRDMPVINFNSFQVGGDEDLQAVAEGLEFGRRAF KDVIPLDGGFTEVWPTEETASTTEELKEYARREAWGHHASCSCPIGADDDVNAVLDTN LKVRGTKNLRVVDASVFPKIPGFYIAQPLHLVAEKASDVIIQEANASA PFICI_04085 MKLSFLTFLLAALLQVRFCAAADSTDTDVTIPTCGLKCILEIVP ESVCSSITNLTCICTNEDVISDLSTCVYASCSTRDALSTKKYSDVSCGRSHEDNSLQI IVTTPLFGALALFIWILRLVSRYLTGFRATWGMDDWIMVPTVIASIPMTCLSWILAKH GLGHDMWMVDFDDITYILYLYYWDEILYLFVVPATKISILCFYLRIFPRRGFRIGAYA LIVLNVLYFVAFEIITIFQCTPIPGAWLEWDGEYEATCRDVNLQAWVAAAVCIVLDVA LIALPMPELWNLNLSMKKKVQVMLMFAVGIFVTIVSILRLQWLLVFAKTTNVTQDFVP VGVWSTVETSVGIICACMPAMRSLFATVLPKVFGTTHHKSQYPTGNSTSNNDKSTNDK SISSRIKVKSEFVISTSRTKAHDEGSFVELTPLDSDDEQHRHERKQSRFDFEWERQDT QKPAAPRESV PFICI_04086 MRQLSSDIQGTEWERFYFHRFRAEALKGLVLHAANSGSFWQGLV PRFAHHNDAVKHAIIALGSTYHLQLQQRTTVAPRLEAFTLQQYNKAIQSLREPSDGTP LEQVEVLLMCCLIFICIESLRNNKQGSILHLSNGLQIIGQLPTALLAHIISDTDSDPN NYEERLTRSELKQFLAYFQDLEIGTQVFGAPDKPVLSLRMFEVTKDDDGPRDEYKSLQ ECHTQLIRYGHNVHARIWHTLPYKGDNEFWASPDQIREHERLLDRGRRVLEMTDRFMS SPLGPDPDTDRAGFISGTLDRMHSRGILLMAECMPKNITRRQLHELDPQWSEVATLVE RLYDLIHSPPEAAEDGLKRTSALHENTRAITIDNGLLIGIHATLYGSGNRDTRRRVMD VLRRMESRREGLYDASVLLRVFEAMGADGHGTYASELLLDDLAGPGLSGVNGLLGLEA RLAELHIGWRVPPQS PFICI_04087 MAPGALLNDAPEEVVFHAGKGKQSRPVLRGSDMKPTFERLPEVD FSLASSPQLADRQAVARQVREAFTEVGFMYATNTGISASLQTRVTDVIKEFFSQPLEE KMKIHINKSPTIKGYEALLETRLDDRTAGDLKEAWNMAEDPFEPEQNAPPDLDLSAYP SPPLTQWPEHPAEFRKTIYEYRAAAQAFSETLLRLIALSLDLEENYFDYMTSFPMAGL RALHYPPQPVAADNQIGIGAHADYSWFTLVNQLTDTPALEVLNANGHWVPAPPIDGSL VVNVGDFLERATNDKFVSTVHRVRNISGRERYSLAYFFSPSQDVMIRTLPTCLDEGEK PKYDDIKAGDWQTQRLLRARVNHPASKAAKERGEI PFICI_04088 MEKVRRKLASVEVAFSSKRAFLSAIETKESAAGRLNNRNPWTNE DLDISPKRDWTWAWWDYAAFWWSYGFSTGVWTAGSSLVSLGLTSWQAIIAIFISHFLG AVGMVMHSRSSATYHFGFPIACRIPWGLYGGYFPVFVRVVVGTIWVGVQIAQGGYFVA VLFRAVFGQGFADMKNTIPASQYITVQQLVGVLVFWVCTLPLLSVPIPKVRVLFTIKS VVLPPIVIGLFVFCMLQGRSSGQSPGDFASSGTLSGSALAWTMLGGINSVMGKTSTSI VNQPDLARYARTRTAPTWSQLIALPIGNTLCATLGIFGASAIRAGWGELIWNPWDLCS AILDRYWGNGARAGVAVVSLGFIFSIIGSNLGANVIPWGADTTVLMPRYINIKRGMYI SYIIGLIICPWRILKNAATFLQFLGGYSIFLGPFVGIFLTDYLICRRGNIYLKELYTP SGRYWYDFGINWRALVAYLVAVVLPIPGFAETFGEPVPIAWLRIYQVGWLLTCILSSI VYWLLSFVGSFALEERKMGFETIAWEQLDAFIEPVAEYSGHDGSQSTPISLLADPKS PFICI_04089 MSTTRLSEAPAATPPYALEYGRDEEPWKCNGHYQPGSGPAPSCS QHHHHYHESQQKTANVVPVPLLGRFAALAECPGCRSVGPTSVQYRPGKGTQYVLHGRR DSFIVPSHFQRTGC PFICI_04090 MDDPTPITPPTDLGLYRILSPKCGLRVSPLQLGGMSIGDAWSAF MGSMNKEQSFELLDAYVAAGGNFIDTANNYQNEQPEQWIGEWMAARQNRDQLVISTKF TTEYKAHALGRGKTPNHFGNHRGSLHMSVRDSLAKLQTDWIDILYLHWWDHTTSIEEV MDAMHILVEQGKVLYLGISDAPAWVASAVNTYAAAHGKSPFVIYQGRWNVMLRDFERD ILPMARHFGMALAPWDVLGGGKLQSKRELEARKAKGESLRSVFSGDMTANQTQDEIRM SEALAQVASEHGTESVTAVALAYIMSKAPNVFPLVGGRKVRYLHDNIQALKIILTREQ IAHLENVVHFNPGFPNNFIGEDPKVTGQAGFLLASSAALAFVPSPKPIGY PFICI_04091 MATIGGGFAIWTAVVGSVTVLNTVKGWIQELRQFQIEVRRGEQT LDELKLALDTSSANLEAWREMWDINRDIDKGYPRGLWGRTAANTIESHLSSIQRLHGE VENILNTHRGRKPAKILKLVTTSAPDILRKFEIINTQMSSVKSLSDAAFKNRHQAEMD AAKSKEILGSAKEIMFLRAIYDSRIPSGDFYDSFCAAKARLPSPNAKLEMDIYRQYLG PLDTRSMLQLQYNIYLPVASFSFYDLVVEGPLPIEAEPTSSYSSFIEACQESRLNTAR QFFKVGNTWFGSKESRAAPAVDKSFRQHGDLWLQRLKPISSIFYSLTTTYDDLPDQDF PLSERIMFAFKTAEYGFFFCGTNWMADLKIRNVHSGRDGQQTRHFYLETNGTPRRTPP NGHNERRRNAHQFARDALLVGTLILQAGTGQFASYSRNHKGEHSFCMHPIATQNNAAT ETKTLSEVCMLLQRAISDDYAKAVETCVQCGGKWLRDSKNESLSAEDLCNKMLQEYYN HVYLP PFICI_04092 MAESAAQVEYTFTRTRVRVLQKMVQERKLRLAIWAHDCGIQKDD LAALNKDKDTSLIDALELLFSEIFKEQKCVFKGFCDLRNMALEAVKARPQVSLDAIDE AQKNIEGGCDRLNRHLHELAKLQNAIKMSLAVKGRGSEADMYNWIRNRSQATETDAIS TVSTGNASYKSGDEQCPKCRNWFPSYAFDLHQDDCTATERIQSEGNGDAVDKSSNEDI SLPKDATNVTTVAKDGPSQTKQASQTHVSATKEGHKGAFLASTPASANKSSLQEWKEK QHPSNKNLSSSISGSLRVHPLTSIRRVQGWSPPYSVTQMLIVRVARRHLAK PFICI_04093 MNVDVIENILPQIDDIGIIIVPLTIVRKCDTCQELFVQALGAPG HWWDEFLSNEPGLSSAGYFGCEELTDEDGDSLAHVSWLRSSIKDAKNIGEGYKWETIE IFTHWSTRRKRSIVAIFSENATLSDQFAHELSQNVKASNDPFWVYPRIFGVISRLQSR ACHEIQQSKELLVSPGRYVPHEMTLYNLWRNATVIAETIEINLCSLSSILAQHGRFVP EPEDRITASRQRLMLRKLRSERSEVHQPRQQLGAEHTSRQVQDRLLYFQQVFRGYGAT MASIKELLRFVTRGPAP PFICI_04094 MLPKLFLAGAFASQVLASRLPIWQRDGGIESYQGPGKFVRDLKS RSTAPSLRPRTSDLHTRDVDQDKAEKLLGPDSIVDCPPNGLTDWVSAVGAATLFISSL GGAPVTIQPGACFHQEVEQTMAQVCNKGTSPVEVTPAQAAVGFDVIEETCTSQKSSGT IRTSAGVQYAIYAKVPISGRKVDKRGRPVKRCLDSGTQEVTGCEDTVCSPAIEMNGSG DCPNVGNDETDGCSYYCEVKAAKYYGVAEVYDDTRYCAGNDCYLEEGESFTVEKSLSV SFGLEGEGPLESVLSAGISFGIAVSETTSITQGFNFGEGACGWWYKAPVMLHSCGTLS EYEPNFTGGEFGPLVCLKDAPFSKTTANACSDIQATSINSDDADVLVIPKWVNCGDRS DVPDDQNSDAWKDCYSGQNDEVHSC PFICI_04095 MGANDRDLRSLLVTVLGEAFVVSHARDSKKYYTTFAIKDASTDA DECLEAHVFDFSEKNSTHRRFLENRILSKLLKLDVFVQDYSGALFRVVICRQKEDRTA TPRVLRIARPNTGAAEDDSNKQLSTAASNDPIVFVARLCNNALLLNPRRSSSQLPPSL AEIERTLQDEVLSSWPSDGKVSRPLKPDGGQDPLVESSGYVFAPERAHDQQSNIGQRR TGGRHRLTPRDRRKHFVVDLATLIAKDGLVDKSLSNSMREPGLGFCIRHLTLPILDVH LPLCEKKVMAEKSRCWAKYLQRIQQQLPLLLKAAALEQKKTWASAIPTIITIFEATSK SGIFHELSLDRIGTQSLAAKKDHPDADLHSSETSAEKSSEKQEWKAYLNYVMSASFTR PRTTSIQCAIDILPLEADKPEHTDLAWRKILVWLGMIHIHHRMNIWAMTKPSDLTRDR PHGQDLRNLIQTYTMTQLVIGVQPIVDRMVRLAEEVAANWERVVNGQDIRDDNSVPCD IFKPLWHLRSRNLLETPTVIRGTALRTDEVEGNHPGWRSGNYEDLSLSGSADS PFICI_04096 MKTTIIISYLATLALGARCAFHNSTTSPNTPTYPNTTTYTHPGL LHTESDFNRIKTFVNEQREPMYTGWLKLVNHAYSEYTPSAKATVCRGSGGDCTENYPS LYRDAAAAYTNAIYWKVTGSTQYADVSGSILDAWSSTLTAIDGTSDKYLASGLYGYQL ANAGEILRTYSGWKGLNNLTSMLENVFYPMNHNFLVNHNDAAIDHYWANWDLCNLASM YAIGVLSDNATMANEAVTYFKSGQGNGAIEKAIWVTYNESGSGKVLGQNQEAGRDQGH ATLDFALLGAFAQQAYNQGVDLFGYLNNRILAGSEYASKYNLGYNVPYTTYNNSDVSQ PIISNSSRGTIRPINELLFAHYSSLKGLNSSWTGAYRDLVVEQSGGAEGGGGDYGTTS GGYDQLGFGTILYRLE PFICI_04097 MKLSITCFAQLTSLVYASIVDRQLLAYAPVPSSAYTAPVPTGIT TLLNFIHTRPELSNLSALVEDVPGFVQAFNTPTSWKYTFFAPSNTAFDKTGQYFETFA NTPKGKWWLGNLLQHHYVPNTELKSSAFNSTALRFQTGSFLYIGAQVDGDQLRLNNAS TVTEADIPVTNGLVHIIDHFLDPSAQIFEADLPRASQSFIAGSCSNTALPYC PFICI_04098 MSVVGWNSWNAFKNNINETIIKATADALIDHGLVTAGYNYLLLD DGWAAYRDENGLQQANSTRFPSGIVGITDYAHERALKVGIYSDAGILTCSFKPGSYGY EELDAATFAGWGIDYLKYDNCGGFQANTLAPQERFWRMAHALKDTGREIFYSLCQWGN QFPWLWADQFSDSYRIAGDIHASFASDSSGVCTTAYCLNTGYAGTSVLTTIRKMRELS YFQKPGSWGDMDALEIGNNAMTVLEEQTHFSFWAALKSPLIASTNLLSINQSSLDMLL NQEIIAINQDDAGLAVSYVPDLSSEGSVQIWAGPLTSGDSKFVILAFNEKNNTQDISF DLGNVPQFANSGGGSSRIRDVWGQKTFAVNGTVLLSQVGVHETKVLVFS PFICI_04099 MGRHLTEEEQYRVRTLRFDAGKTYEEIGKITGYTSHQIRGALAE DPKEKRQRHARENRRRGRKRQLTKQQEEDLVEYVTSSKEGREASFLEISMTLFNCVSG MYAIRAALRRMGFKRYVPRHATVSTQ PFICI_04100 MPAIKRSSDAVNEKPHKLTKSCLLTPENNRSPDSEKVANLHLVP DQLPKDLIQKLFSHSTIAKIWGVAEGGLRQAIPPLVFPEYTKPGHTEYIYRDLDFWTS GFFPGSLYLLLQRERKYRLRESYSNTPVLHEDQLQFACKWWTENLHQNAVLGTTHDLG FMIAPWAKVAWELNRDQRAFETLKSAAKTLHGRFRREVGLIRSWDTCVTKRYQFLDPQ AEFLTVIDNMMNLDLLFYVAKHTGNVEMYEAAVQHARTTQRTHIREDYSTVHLVHFDP SNGDIRQLLTNQGYKDTSCWTRGQAWAIAGFAETYHWTHDKSFLDTARNCADHFLRRL PDSGVPPWDFDAAEEDNSGKQPPDVSAAAITSYGLLLIHEALVALGHTSEYLGHALSI MEGVSTHHMNSPATFVDRQQAIPMVERPTQDIHLRHVEMGVGDTILNGATINNHEYAP RQWANHGLVYADYYFLLFGNKLLQMDARGLLGKFATLTEQK PFICI_04101 MVQEEVTKARRVKRVAKRRQNARVHGHALTAPDTKAKPGMRTGA IENLNQRLVSLEKMFLGQGVLWQQVWKCLDSVSSQKHHDFTDDGASLPEYTAQLKASL SALHSDNKEHTLRADEPASLPKRRKLNGESDFQRSDPFWMKDGELCLPQDLVDSLVEI YFLRIQPWIPILHVRLFPEAMKVPLERRKMKTIFHAIASLCVRFSDDPRLASPDIRSR LGKQCRQAVILESMESFSVENLQALIICAFDMIGSGRGPSAWSIVGSIARTVEHLQLN VEEEDRYHYGPDKKALITRIAFLPPCVNWAAAEGRRRVFWNVFLMDRFCSIATGWNMS LKSTEVKRRLPCEGALWEAGEPLSIPTPYFGTVEGPTGENSDPLGVIAADEETSSLGA FSYCIEATESLGLVTTFFQEKSMDIHNPQALRLWLIRFKQLDLRLMQWKIFLPVRWRE ACAVNADGNMDPNLTLAHITHNTAVVLLHQSIAYPTLEWQSSPIRLPSSSSAETCLAA AREVGIIAQKFLRSAGFVTNPQFAFCLFICGRMLLAHSATYNMNLIQEFESLLGSLWE IARRWNGPHVSDTAPSPDDNLASKLAHRLFKARQLGPHDLDLTQSAFVDNETQTSRVR FTGSLTGQSPDQTLGVGIASPTKNCHYPMAPAVSTEGQGTPPDMISLAFPPLPMAFQV PSASQTAMHSPNINSLEHYPNQSAEAYAQQYPVGFEDLHSLLEDPFLPNQRVSVFSHP IMADEMESMGQ PFICI_04102 MGFPDSIEPRAGGEPTTPAKLEADTAPGQDDCETTPYLAQEALY IDDQTNKKLFWTVNRRILACMLGTYFCQSLDKGTLGFSSIMNIREDAGLVGQQYSWLG TILYMGVLVGEYPTNLLLQKLPVAKYLAVNVFCWGVVIACSAAANNFTSLMVVRFLLG VFESCVQPTFIIMTAMWYTKKEQTTLTSLWYCMSGVQLMVGGIIAWGVSHFNGHPIKS WQLLFLVLGLGTCVWAVFIGWWLPDSPMKAKCFDEDEKRLMIERVRANETGIQNKVWK RYQMIEAFKDPIVWCYVLLNVTSTLVIGGLGVFSNIIISSFGFTYLQTQLLNIAQGAV TIIVMIGSATLATWTNQTAWVMHAWTIPPIIGTAIICAIPPTSSNKVGLLIAFYCTQF YLAEGNLIFSLISRNVAGQTKKSTTLALSFIFWAAGNMTAPQVFQASDSPRYLKGFIA HFCLYGLFNLVLVVLRILLVRRNRAKRSDAAETQSNGTKNGSDEKIEHVHAFQDLTDM ENPDFRYDF PFICI_04103 MDHDKLKNDYFFAQKHGGWRKGMYGRWKEILENGYDANEEWNNN SKRASPLFSVVLDNELELAELLLAHGASTEKPHSAGRTPLQEAVVLSSAAMVQLLLDR GAKVDAPIRSGLLVGGTALHLAVMEGRMEIVRTLLAHKADPRAQTEAGWTPVDIAMLD HQVVMLEALSKDNDLLSILDRDQPGKENGGFSNRATIAFHLLENSVRHTDRSHASFYQ GCLSAILSELRHYQKEISNLAMILCREMNAALKAEAGVNGDIVWPRKLCDACGRFDVQ DYHDALKIFEHSPNFSALMQSSNNGCNLCQLLVGALGEKWCLLHQIDKKWLKEFGKDP RVRVRLDPRRNVKTKDGEYQLIVVCDEKIAFLDLNHVQKQLNHAVAESQPYDTQGSGS DRSLAMAQAWMQRCEDEHSSCRRAEHGMLPTRVIDVGSNDTPPRIYVSNRERVPYAVL SYCWGANQNILLLTTNVNAYTECIPVESLPRTIADAVIITRKMKLRYLWVDALCILQN SEEDLAHELSYMGDIYANALFTIAAKDSNASTDGCFRERNWPASALVPLNIRLPTKTT QRESDILGQIVNTRTSCLNRLMIVSRWRGSGDRNVPVLETRGWTLQEELLSRRVLNFE KGGLSWTCLEGMCSEGSPEQADVRSWHKWQYAIKRVIVTGFEGYGSLNRVEETELFNY WLEVVENYLSRKLTKPGDKIAAIAGVQAAIGRHLKDMPIAGMWRDQFFAPSLLWRVTN KHKYEYLYSFPCPSWSWASASRSVIYTPIPLHPRDPNKPWVWWNEWTHSPSVVDWNIT ENGLSSIDGHVAVRCRLIREDDMECEKHFVDDFYNNPPRSQVKAEAIHFETHHDFVGA AAINDTYLMLVHTAQLCEGETAFGSRKHDIKTHLLRLQKISEDEMDFKRIGMVITRSW ANKWLEKADEETIRLF PFICI_04104 MAEATTSPSALLHVGLIKTISADSLLATIAIVVAIVFFIQTVYR SLFHPLRSVPGPWINSVSELPATLALVTGNQHIYYRWLHEKYGPVVRVSPNELGFVSV QAREEIYGLRKGGLNMEKSPIFLGAVGNVNGQTGVSLALNENHARQRRALGYLFTNSA LIQHESLISVHIHKLVAVLKRFAQEKQSVDFSDWYTYVAFDVMGELCFAEPFGCLDQG SVTEWSTSVINVFIAAAWTQGIRRLSGVGTWLEYVLVKLLVPRKASQWRTTHLENSRK KTLARLGAGDRDHPDFLYHILKNESRKSLSQTEVVLNMALFISAGTDTTATAMTGWTY YICTHPKVYDRLVKEVREALWASDDIKWEKVKDLRYLEASLNEALRLFPPSAASQQRI VPPGGATIDGYYVPAGKTVAVSPWATTHSSLNFCKPDSFRPERWLGEGEQFTNDHLNA SLPFGTGPRVCIGKNLAYMEMRLLAANLLWHFDMELDVSDYRAKNEIWGLNGKMKPVK VFHSTMKPELWVSLKPVEQNNST PFICI_04105 MVDTEELAKGYTHVNSTQYDAGLFLLKRLGIAPGMHVLDVGCGT GELTTHVAGLVGEDGKVVGVEPSNERLAIARETAPPNLTYRQGFAEDLSSFPASTFDI VFVNSTLHWVQDQPKALKEFARVLKPGGRVGISGGSGDFLTHQERIKEDVLSREPYRH YPEEAPPKFLKRQEIENLVDNAGFARKDIVINKIIKSTKDADGMINWLDTSSSGKTYG GIPLDLRPKAREEMRLEWDKLATHDGIHMDMELLVTVATK PFICI_04106 MRLADTSVLAMLPATSLAACGTAYSANQIDGSLLRAIVLDMDTD AANVTAANYDQYFAQGSALEGVEAVIAASQFYINKWAIPGTEAAFQNVSQCLSDGYLV NQVPWLYYNTTTASWWGGFEAESQADSYDTAALSVVTGLVAGLEVRFWDTNGDGFTDL IDADYVEGVTVDTVTKNANGTYTVYRGNIDVANKTPYEGTIFDGDLFDTDGPSILAAN FDTTIGAGDVALFWYGPNGWAIKRAQEVVGLFIDGADHTNYDVGGVVYEDAMRFSRDN LPISNRPGEFTDAQKFFKLTNDSAAGLNVSLWLVPVTNTNNTGAPVGITSDSNSRSFL AKAIAQAQAELANVTISTDGTDVSSTQEWVTQAVYTQLDDAIARANQSLALSNSSSFL LDYQTYLLYLNLYGSSDDIGAQFAGFNYTGFENEEQFGTA PFICI_04107 MSGNVKDEGPVISQPSSLSTMTNSDCTKEIPQLEPQSEFLVWWD GPEGEDLENPMNWPQRKKWANIFTTSVISFLVPLVSSMLAPAVEEIMAEFGTDSDLFA TFVVSIFVLGFASGPLLLAPLSEVYGRVPIYNTTNFMFLIFTILCAISQSEDMLLAFR FLSGFVGVATITIGSGTIADLMPREERGKALSFWSIGTILGPMIGPIIGGYVTEAAGW RWIFWSISIVIGVVVVIAWLVLTETYPAVLLEKKAARLRKETGNPKYRPKIGTDAETS KLIVQSILRPLRMLFCCPIVTIMCTYVAVLYGILYLLFSTYSFVFTEVYNFTTSGAGL VFIAGAVGTLAGLLYVGSFSDRTLKMRAAAGKTITPEDRLAFIITIPGALAFPAGLFM YGWAIEARLHWIVPQIGTAITGFGSILIFISIQTYLVDAFVLYAASAIGANAVLRGTA GAFLPLCGLKMYDKLGWGWGNSLLGFVALAFAPLPWIFGVYGARIRKWTPQLNL PFICI_04108 MQPKVSIVGAGPAGFALAADLQRHGTDVLVYSHPDHLRHAGHVI ENGFLTTTGIIKGPVSLKVTCNMTDVVHFSRFIILTVPSTGQETVLKELKRFCLKCHT IIAVPGNLFSLIADAELEVGHILETNLSPYSCRMDQGNLIVLGRKSRFFIASLEKNIS PDLKNLINGIFPMELEWCSSVIEVSLMNINGVFHPLMMLMNAGRIESAGDFYLYREGL TRSVANAMDAVDKVRIQIGEAFGLRMESTIKVSNDCYRHTFTDLVDLAQNSKPHKNLK APDNIHNRNISEDVPDLLVPWHDLAEKLGIDASPIKAVILMAEMATGMDYMKTGRNLQ KLNLNHMSSHELVERFHLLVDGRRR PFICI_04109 MKTFHSVLALALSMLETFTVAAPVDVSEKRAVSSIVSGTPIGFA SSVTGGGTATTVYPTTIAQLKAYLTSDDPQNIVISGTFNFQGSEGSSTYSACDAYSCT PSAGGQALLNTLSGCGSKSTYSVTIDTAAYQGINVKSNKTLVGKNNAVLYGKGLRFVG VSNIIIQNVAITNLNPKYVWGGDALSFSDTNNIWIDHVTTSYLGRQHYSFGTGSSNGI TISNSFLNGYTSNSATCDGHTYWGLELVGSSDQITFYKNYVYYTSGRSPALSGNTLFH AVNNVWSSNSGHLIEGDSNGMGLYEGNYFLNSPTVLSSDFSGRLVSSNSGDVSECASY LGRNCVSNSFSNSGAFSRNDNSFLYLFSGKTNIVSAASASSIQSTVPSSAGNTL PFICI_04110 MGNSSPLTYPRVFWVSSPDLENWSAPVWGDPFGIDPHLFADPKS GKNYLTLMSLNNDYDRLWGIGQCEVDLVTGKCIGTFGNIWNGTLPVTTSTRPEGPKLF YKEPYYYLLIAEGGTGITHRATIARSDQPNGPWTASPTNPLIFNGIDTNLTIGATGHA TMMDTPDGRWFATLLAYRYVGQNRWAIGRETFFAPVTWEDDWPTMNDGQVLLLSQSFD YGPNQTRPAPAYQDLFEGEELDKGWYQVRSPYTQNYRLKGASSSDTVSFAANSTAGVV LLPNSYTLSDRDTPSAILRKQKSLNMTFTATLLPIDEGLGPFQSVGVTAYVSDQNHHD IGVRGCANTTGLCVFVDSTVSSSGPGTRPMTIETSLNASALSEELDLIIRPNFLTYSL GFNRENGTVTWLQTFPSSDLPSGFDGVMFGLFASGNGFPWPYDAPEVGFRKIREEYYN DDLPDYIQ PFICI_04111 MTVITTSDRVSGGWLIALVTLVDAMSAMWFGYCQGVFAGVLVSD DFLKLFPQTSNANISGIVTSCFLLGAFFGAILAFVLGDKLGRKKTIMLGHTLNFTGAL LQCLSWSLPQMIIGRLVNGFGMGMTSTMSPVYLAECARSHMRGRLLVIGASSNVTSFC IANWISYGLYYQSGPLQWRFPLGFQLIFAFILVPILFFTPESPRWLLLVGMDDDALQV IARLANVASNDATATAEYRSIKEAIRLERESRVPIMDVLCHRDKTQNFRRLILSCGAQ FMQQFSGINALGFYLPTLLQQNIGFDNRMSRLLTAIIGTIYFLSAFGSIAVIDHIGRR RQTNVDRFRSNRHLSPRRIALSEGWHYMGNVAVAMFVLYHVFFAPTWGGVPWVYAAEV NSLGWRTRGAAAATATNWIMAFVVVQITKIGLDNLGWAFYLIFAVSTFSYVPVVFCFY PETSSRSLEDMNDLFIRNPSVFVCGKKEMTQCARPMALIEAEQSRIARAEDAQMRQTL G PFICI_04112 MEFAGSCQNPTSEARGAGTYIYTPLEEERSIRLIRLHPATDPDT PLRCTITTRPLANGVSFEALSYQWGDPDRTHTLFCDNVPLGITTSLYKALINLRPKDT YALFWADAVCINQDDLTEKAIQVTFMAEIYKAAQRTVVYLGDQSDDTALAIKSLKQIA TICMEASGERFDHINRVVDEETQTFLDRVDHARMNTLYTSDERILAAFRTFFGHGWWN RIWAGTILLNHTHSG PFICI_04113 MDPSSLFRTAALFQATDPRDKVYALVAFESLNFHRFWRIRVDYK LTTAQVYCQATAGCILQSKGLNILYHIHHGDTNLDEPFSTPGRSLIEGEFATWVPQLK GVHYSNAFAWEERWTAHGELVTAFEEDDAEYQKFHVRGVEPSRHGNHEHVPLHYHTSQ VVSAIQCPRDSSIKTLKVRGIQVDVAISGSMPLNPAWWDPKHKNFTPVLLSLLNDMQV SRQPDLESQTTMERAQGILSSILQATIEDDDTSRNQLRLYWSYVVNVVKTMRGKTLPP DFYNTFPGLTSLTEEQMRQGEEWVARVQQYTCYRRVFRTCRGIWGNGPSSMRANDIVV VVYGIIVPLVLRPSGDKWQLVGTCYLHGYMHGLAVEEFKMGKLEMQVFEIE PFICI_04114 MENHQSFNYPGNPLKLEDSFRVLALEPGQGRDPVKVRLLDCLLS DHPNYEAVSYAWGDLSLTADILVSHDGDEAHYQPVPATTNCQAALKGLRYSDRERLLW IDAICINQGSVKERNHQVQMMSKIYARASRVVVYLGEHTDGSDLVMDWIVQSAEAPDY GEPGAHEPPAPPKEALAALLGRPWFHRVWVLQEISFASDCIVLCGDRAVAWSTFQQIR RTIVDAGTFVKIPYSLGFSDRKESFRYATYGFIPQARQLLNMLRSSRHCKATDPRDKI YAILPLLKRQHQRVLSALNRRLQDPSDESIKHVNADVIRQVLIVRPDYNATAAEVFTQ IAKDLMQELGAGIVLREVITPCHVPGLPSWVPDWSVDWPHGKDRYRSIIDRQDDYPLY ELRKTSQDSWWITDYSLPEGRPSCQLHLEAFFIGTILHLGPVCDLDKNFFPLFQWWDL AKDREHPEEALRLARQSPVSERAKNFWGALCLEETWVRRVVPLIIEMAGRYAQSSPDN PDREVSLLSFREAMFEWPYDSNTIRAKELLNACDGRRFFLTDSGCMGLIAGNLDAKIG DMLFSGQKWNRPFILRMIGILDETDHGERMVNIPGVSPGQDREAVRVFSVQGKASIGT QWVEDHAKTVFAEKTEHIILR PFICI_04115 MNYANMIEEKNLKKTFIIATLCSTLVGTFTSSIGLWDRVKDRRQ QKQRDTKQDDKIAKLQSQIDDAEKRNKEKEERIERLSNRGGDRDRDDLGWALERSGAA INREFDEGYARLGRRFAIGDTVTENRLQAQVIALQQTVINVLQDALYSGRQLDQYDMS KLMAASEAAKNGSLDALRQQKQRQLLAIEGPPQPQLYPPPKRSSTIIETDPLYCRYAL DLQYVRNKPLATNFAPGGSCRCPECGVRLDVESDDFWEIDKRNVVTTEGGDRYRERRE VIEEREFHLGQRFIVKCHTPDGEFACVLCSRHRDRDAICPTVKSLVNHVGRFHTVEEL EREVDFAQHSRTLPMPKALPAAPPAPASPVLKERRTIEYAPAVRTRDDFEYDRQSNGR ASVYS PFICI_04116 MVDVRDNSSDTTHGSGRSRALTEPSRPNTQQMPTPPNPQDNSVT AEKPSADVRNDSLDRPSSSPYESGSGFHLIDGDVNGPGYNETTVDIIAVPCPGASPVD TWARDPLSDDYFNVSNAEQYPTARQLPGSSILSPAISRHLPRAKDLWILQGVRTEIST ARVLLYRHGELNEGTTIDQLADDLLQHLCHLRQEHSKARPFFFVCHSIGGLVVKQALV KASLNEKLRWIVFDCHGTTFFATPHRGSSYLSMPNLRDSIEHLLYLSRPLPRSISDGL RLNHRPLLRLHERFMDIASEMNIWTFYETIDSQLSGLGMSEFDEVHFSAPLASIKSSL VGSRHEQALSLESEHAKCASFGPKNTRIMESYLEDLHQAVNKAQKLSADYIHTPLHLP EHVKLELIGFYDDPNPDTVSDVRLYVSKHKLNEFLEKGPERCLSERLNTKATKPRRAS IRPASRTRPTSAGGLSHLGAGALGIWSNVQDFGSRILHSARSQSDTTVDSPEQAEGPQ IVVTSHTQRPTLGDTRSAASAPAIVPSRRSRGLTVPALATPDFRTPSSRESSPGRGTD SDKTSRTLSEPLGSDVSPRTAGETAPLAQQLTGDAPLNLDEKEYASTSGDRKHRSSRA SALEDLTAGFSRPDPSKRKFMWIHLPYTNPQWVKTIFDKLSETQHRNYSNLLRNQYWT GRHVQGRHGQIHASYVRPGCAFVPAEASKSCSPRPTSPDLSGRNSPALNSPDHFYLYL PYLHFDTYRNLIRRRNIVRRRLEHGRARPVPKDVADMSLDIRVIWEYLGHDPPLNTRR TLDQYGYPSLRDTYARDDDQMMYKLTKERISGPTGRKNTDMFGTRAMKEQTPISPASR FASIVNTVKAELVMHDKNESPGDAEDDILDGNILMVDQLWLWSVDMTTITTFFPKRES HPTEGPMFQQADLRNSIYNELNGDLSGRCDNALDLAAFITLHAVTVLLDRTSHPDLEI FRIFEEAIGILTERMTSSLKRFRMQTFRERIHESESDSEDYDDNRSESIKQRHKRELE QAERENRENTSALLELRDMDDELKTLSNLFREQTTVIEKMRSLYEDRDELREHTHNGR RYLDEALSRLADYEQQVEDMTVRIAATRSDYEKLLEMAQRKAQVDEVRWSRLQTELAS TQNLSVIIFTTFTVIFLPLSFFTSLFGMNTADWAGEDGTAYMTLSTIGAISLPGSFAL IAVALIAAFSSRVQGFFKSTFEGMKGGWEGIKESMAKMQSDSRKEAKLTRRRLRQERE KLSRAKKERSYDFWEAVRMQRQSTYRIPDLNRREPARYALAKRKTLRKSIWKDLTT PFICI_04117 MSRSPSDGYNSLVEAIPALASLNQTFSNLSPYLGGRNFTRGCLL AVSQSLQIIDGQIAFKQPSYFNKSYTIADIEDAGTYGSLCTTNGTAPRVLVPYRWCAE HCSGWELSHYNSLQQWIGPLVQFILPCLAFCLSIPRGWKISLPRWIFESDEDNIVAFF AYPAKFIIAFILVFFDTIIWLSICFAFAGPMFMSAVYEYMLDGMILDFLVPRKTPQPS IPAVTRARLLLAAVVGNIKLEPKRANRAATLPGMRTNSPTDEIWAHIMRIAEELLPRP LSSGRSPPVQSENPPLHSRSLSMPANIIEARSSISPSSVSDTHRTSLRQLNSGPALKL KALLNAQSSFGTAVGAPVVFFIGSFVYNIVEAETRLGDGDTAHALAFGMWWMTISHVA VIASAMLASNNPSALQGLIGKARIGRRGSSTSSAVVPKSLWGRLARWMKEIDILERAY DATYEPMSLWKRGPNKRLWIDKTIEAFEDERDSTKHIPVNEFRNAFEVGLVTRVGIWV NILIILLGAPCALAFATSYTTPVAGLGCRSLTHVIYYSTQVIQMMLWTWNIDVTRKNP KSRELRVCRGLQGVFGVVAVFAAIGGTIMQILGVYRNCISWSWTNKDHPDSTVGMSYI TPDSVPMAGVWKITGALAAGILGLVSALAWWHQRRLRKKFIFEAESLERLGPM PFICI_04118 MSSINQTERPSVDKPWLPLGQDGFSNEKTATATCYCGSVQLEFV SINITTGWNAPPLIPSYTLDKQPVEGDDMVDSFICNCTDCRKITASVFASNLIIRDRG IKHLRGRDKLTEFGQNRTIVTGNTMTNYFCSVCGTLMYRISSASPDKKIMRLGTVDDF NLHETKLKPRIEQFCKDRVAWFSGGDGVKQYDGNYYGGSSKKASV PFICI_04119 MQLAQLHRPLVAASSSGSSAARTGAALRQLQDGLAALRIGNTNQ AVEGRRYASVKSQGAYRIRDSSTIPKNLGAKKTGDTYVIPGNILFKQRGTKWHPGENV GMGRDHTLFSKVTGYVKYYKDPAKHPDRQYIGVVFNKEDKLPYHAHAMRKRKLNMTAS VIPEAKIEPEISASGIPNQVVRQGYGRNPHPRDERIYKLQKDGSYAYREENWRLGSLI RTEKRKMGSRRVAMKHRRRTVKAIQLEMRRDREDKIARRKEALDEQRAAKQKKFRDYY ARKKAEAEAAAGGAAPPTEKPAPRAQA PFICI_04120 MRAYATILVVLAAFLSTATAQFGFFDQMFGGGGQQQRQQPQNVP SDSTIYRQNFESFTCDNYLCPDTLACVHFPHHCPCPFPANEDKIETSDGHRLCVSKGG FKTGEAARKVELARKGLL PFICI_04121 MEVQTNPPAELHSPPPPTAKRPRGILKNSFQKSPPLSPTQEKDM TEKELVLQNTQVNTGHRRSSSAASRPAGSRRQSSRTPSAADQDPDAPAENRLKWDEAN LYLTEQERTSTMKITEPKTPYAKHYSPSEDDDDEFESSHSEIPGLSLGEPEEEIPESE HSSTGRKSKVHVNDEDATPLHDADADMLGMSPEEREKHRRFEQLRKKHYEMSEAVGLL GHPEVVDEEMEDDDEEGVDGKRNQVPPVPKIPSA PFICI_04122 MAPKRKKPTMALMQQDLPTIEEHSPPITPGFANPPPRRSRRNVR KSSGMDGYPIGEKENTESVNGHQDVKEDKPPGRAKVSSAMHDLQEMEDAFRKSAKRQK LAVKSSAVMNKKFNGSPTDAGNAFMPDMGQTSSVLSDDDTLEFRRKEQAKKHGVDPEV PQLEQDAAVGEGEMGQGVDPEDVSDLKEEGARPPAVNSDYLPLPWKGRLGYACLNTYL RLSNPPVFSSRTCRIASILEHRHPLSDPSQPEHATKNRPDKDQPADVARGQRYVENLG LANARDIVKMLRWNDKYGIKFMRLSSEMFPFASHGEYGYKLAPFAADALAEAGRVAGE LGHRLTTHPGQFTQLGSPRKEVVRNAIRDLEYHDEMLSLLKLPPQQNKDAVMILHMGG MFGDKEATLQRFRDNYAPLSEGIKARLVLENDDVCWTVHDLLPICEELNIPMVLDYHH HNIMFDKSKIREGTKDIMDLYPRIKATWDRKGITQKMHYSEPCPEAITGRQRRKHRPR VMALPPCADNMDLMIEAKDKEQAVFELMRTYKLPGWDSFNDIVPYERNDENKPPPKPK TPKKRKAKGKKETDEDDEAEPEESEVAAPPLISPGEIGMGGPNRRVYWPIGMEEWLRP KKREVKKAADGDNKKPKKTKVKDEGGYDE PFICI_04123 MADSFASRTESFLNWFKGLPGTTFHSDIQIQDLRQSNRGRGIIA TADIPEETVLFTIPRDAIINTLTSDLPKRVPQIFEDSTAGLEDTDNEADEDGDATGPP DSWVSLILVMVYEYLQGEQSKWKPYFDVLPEEFETLMFWTDKELEELQASAIASKIGK DEAENMFRVKVLPAVQEHADVFYPQGAQRLSEDELIALAHRMGSTIMAYAFDLENDED EPEPEDEDEWMEDKEGQLMMGMVPMADILNADAEFNAHVNHEEGSLTVTSLRPIAAGE EIYNYYGPLSNGDLLRRYGYVTEKHMRHDVVELPWDMVLSALKEQLRLDEKVWGKAIN DLEDEEIEDVFVLDRDMEGPDSQGRLHGEKDFRHLPEDLQEQIATLLKAVRKTTPEAI PDKRKRDEISITAIHRALQLRLAQYPTNESQDIAIAQEADNWTSTALASGANVSSEAI KSAHRKKMATVVRVGEKNILQEAIQLAERRLAELNESDKSAEPSAKRKKTSR PFICI_04124 MAQVDTGLHAYASGVAAETVANHSSEHPLKLYAGWFCPFVQRSW MVLEEKKVPYQYVEINPYKKEREFLDLNPRGLVPTLAVPMDGQGKVLKPLYESTIICD YLNEEFADPTNNGPDLYPENTYQKARCKLWIDHISNKVIPGFYKFIQHTPEKEYTIEQ ARSDFLGQIKILVKEMDDSGPWFLGHRFSMVDVMLAPWAKRLFLLDHYKPGGLAISAD KANEDAALWDRWKKWYNAISERQSVRDTWSEEDLYVKAYQRYAEDKTQSQVGQATRKG EKLP PFICI_04125 MTVGFVDAGIGMAEDVFLICISTVELHKLKMTRHQRVFLSAVFA MAISAMLASAMGLKNLLKVSTTYDSTWDSVDLVVWSTIQLLLTILIGSLPAFDPWVSR ITSRSSVPCNHPDAVKNGSSIQATTIVVQHEEKNEHGRPSMSVHNIPPRFSGTTKTAS FAMSAIPSFRSSDYWEVRESPLMIPTSKFQDSAVALPPPTYYKRWSQQPDSPTDPNVL AKYTSLYLTRVASEDLESNCSRDTWILRDSYSQRGGERVSSRLARY PFICI_04126 MLRSCSTLLRAWTTSAYMQNVVAGVGIAFSAGVFVSLNLLGAGG GRPESADVIQTANAILSSVWFLSACFGGTYLNIFGPGVTMCFGICTYAMYVGALWYYE VTGQAAFPLFGGAIIGVGAGAVFITSGYIQVAYSNDQNKGQFIAIQNTLQAGGSIVCS ILPVILNRNNIQRGGVPAAIYATYIALMLVVALLSLVILRRPDEVKDDTSSKAIVIER KSVASELLSNLTVFSDWKLLVMLPAFLPAGSFLIYLGSVNAFENSLRARSLLSFIALI VQIPVGHILHLILDNHGWTKPRRALFGLGFVALPLSVAWVWEIVRTRDFNRSFPPENP VDWTEARYGPAMVLFVLNWTASVLWQYIVPWFIGNLDIPQDKISHYMGVQRGFLAAGE AICFGVDAAKISYVAFASAIFALYAVGIAVLVYMGASQLVESSSRQLDVEAREGSEVG VDHARDEKGGPSSSASDRFTSIDG PFICI_04127 MERKYAPGIVVLNKRYFTRDALSAAQVGASAFTLSVLRVLIDAK VLSGVVLYSRDEKITAADIQIEEDWSGVPVVTVYFNFRMTQETVAPVLSMAFNYTALK QHGRRCQPIVYYQTDTLLQYHPHGYQFCVTHHGPFVSHFTEQFSPELSRLAFGGDANK VDVLDQQQRSGIDRLLEDKFGTVLAHSNLQRRVLQSEGLSAGRFKYLRPPIGVPQLES PSILPENMQQFIAGSEILLFTAVARLDYFKNVELLVQSGIQLLNKGLPVRVLVVGDPE EDTTRRANLLSSVPEHQRHNFLILPRLPKDHLYALFAATTQNGIFVCPSRYETLGITP LEAAASGVTTLMTETPNVEALDFMPATCRVPQEAESIAARVEKIYGDGIPVWAERVKN HVRPETSIEGFGADLLKAWAEMTMSRRRVSTPTTLTRQDRVGSWGDRVTRPRPRLATG FLSESFSEMLTHVPMSPVSLIVSP PFICI_04128 MEASDTQSEGESQGDFPICSLANLQERLSATHPKLIQYKEDFKW VDAHQTHLQDDARDTFKNDQGILADISRSAEELSHLVSRHQLGPNELADVDHELKYIE DTMIYISEQIGSYKEEIDEYVQFTSTNGKKNWDHQLCWGCLKRHSEDNMVEIGCISGH WICIPTLRQCVIRFITMPNVGVPLCCMTFPMYEARYRSRYREALGFATFNLFLKAFER RYQPLGSTSLPSPSYEQDNEVQGNAEDAAEQARTRVATPVEAVVEETTKEAVAVEGTV VEQATSSLSLLAVSEYGASADDEQAERSFSS PFICI_04129 MHFNAVAGLLTLATVAAANTLNAADFPGACADQCNYAVSLSSTC ADQTSSDAEERQCICGAGARNELMYCAACSISNGQNDPDSNLAEILTSCGWSYADAAS SAASSAGDAATSAGGDVTSAVGGVVTTITSDGSEIVSTITSGVGAIVTTITSDGSEIL STITSGVGGVVTTITSDGSEILSTITSGVGGVVTTITSDGSEILSTITSGAGGVVSTI TSGGSEILSTITSGAGGVASTLSTGVSGVVSSATDAAGSAAGSATSSTGGNAGVAATP AVGGLLAGLMVGVAML PFICI_04130 MTSSVDTIINRATPDDVPGLEDIVKAAYSKYVERIGTPPAPMLA DYRQLLKTQDIYVLRRGEKGSAVGSIILSVDDTQGSVKINNVVVDPSAQGRGYGRLLM NHAEAVARSKGLEALTLFTNVKMFENIGLYNKLGFVETERRTEGPYERVYFRRNLA PFICI_04131 MRDQKAPNVEASLATSAVIERPFQKSPKQLPSPVVTDTAPRDNV QPPASWWRRVFSFIWDSVEGDPEYRQYVQRLDLFFFPTVCLGYFIKYLDQTNYSNAFV SGMQEDLGLYGNERNWLNTWFSLGIMVGSVPAQLSQLKFFRSSVLLPCCELIWSSLVI GMGFSKNIQTMYALRFFIGLFEACAFPGYIAMLGGWYGPKELTKRLAILLQIESIASM FSSYLQAGLYESMNGHAGIAGWRWLFIMDGVISLPIALWGFFGLPDLPHNTRAFYWSP EHIRYGIERIERLGQRAQTKLTSKEMKRIFLGWEIWVFVIPYTMVAACHTATSYFNLW LKAAGYSVVEINVMPTGGSALNIVVTIFWGMLADRFGCHYWLIISIQLLMILSNVLLS VWSIPKGALMFAFYLSYAGSAATPVLIAWGNKLNAADPSLRQLLVAVANVVSYAWVLW VPLVLFPTQDAPKYKYGYQILILFGGLAILSVTLMKYVYRRRE PFICI_04132 MTPEATRIVLENVDFRLPTQVTDDNSVVALKSLVFEPLVWWHPH GCVKPGLFASWESSPDARSWRFHIRDEAIFHDGLRCTADVIVTYILGFLDSRDYFGMP WSYSRYFAHARISAESETTVTIETPAPFPDVLEVLCDFWPSRIDAADKPVLGTGPYSV RQFERNDGIGRATLEVLRPSKSHKSHVIVATQEPDGAKRLQLLRDGKVDVALNLERTR NLQLLNFDSSLNWGKTHSTLSVIYYLNCPEGVFSSPELRLAANLALDNAALVDKVYQG LATPSASIVSPFHHGFVEAALQPIPYNPDQARRLVKKFGSEQNLELKLRSPTYMPEHA ERISQFVASALESIGFSVQVEVETNRPEYARQIGLRKEIGDLALFDSTPNSTFRVLDD KVSSDARNTWWLGYHDDELQKRFRAARQTIANKERAEAYGSCLARLHENPPWLYIAHP DVVWASRPGLSLNIGPSGVLSL PFICI_04133 MDAIYCAGAAALLTVLQGAWLRTQTGAHTSEQLDHRNIVHDSLV MFFILYLLTKIYRVFLYHRYFSPLRHLPTPEGNHFLFGQALNLIHAESPAALYVQWMK QFPDAPVIRFLTFANTEILLPNSPNAHKDIFQTHCYTFRKPDRWLRMTRDFIGEGIAT LEGEEHRASRKMLTSSFSFSSIKRLEPVFISKAREVCSLIDHAIESSSDSGTGMIDCT DTFSKATLSIMGVTSFGIELDYLASNATATRTTATTPSDYSFHQAYTTIFGPDLTGKI LMFGSMFFPTRWLPIKANREYKLAKRWLQTVLRELIQQRQSTIRDAFSKGIHKKDDFK DLLSFIIEESMPGGSAEGIPSTHITGHLLQFLGAGHDTSANCLSWSMYTLASHQDIQH ELREEINRIIGKRPSPSFADLDSLHYLNNFVREALRLYPPATIIYRHAGGDVIVDGIH IPKDSSFEIVAAVTSLNPLIWGEDAETFNPDRWDNLTEEQSSPYAFATFSNGPRICIG RQFALYEIKTILVEIIRNFRVLRDVSPFTIENPGLTVRPRDMKVHLERLE PFICI_04134 MGSSSDAIESNEGQPRAWKAQDVSRDTSWAMRLTPKQAEGFTSA LKHAKQIGKPLLEMTRADYPLPDTSRHALEQAVETTQGRWGMCLVKGFPTDDWSEADM RLAYWGMSLYMGVTRPQNRASEVINDVRDAGGSYKVKGGRGYNTNAELDFHQDSADVV SLLCRRTAKSGGTSKVLSSIALRDRVQELRPDLIPVLQANNWFHSFQGHQDLAQPEFY RCPIFGNDPEHFCARANRKNTLAAQTDFARVPRLTAQQTEALDLLDSIMPSEEFCYSM ELERGDMQLLNSFVTLHSRTSFEDFENPDQKRHLMRLWLSIPISMPLPAEWAEYWGDV RAGSVRGGYRGTAITSEFLEYEKRQSEVMKMAFTPWKPLICKEDMAAILQAP PFICI_04135 MSPTQNRVNGADEVYMKPSTRLRKLISQDGVCVQAPGVYDGICA RIAIEQGFEYQSGAMTTAARLGKADLAFASLNDFALNAQMIANIDPRVPLIADADTGF GSPPNIARMVQMYDQCGVAGFHIEDQVANKRCGHLEGKQLVDMETWKSRIRACVLGRE AIYGHSDIVIIARTDALAVEGFESALNRLLEARDCGADMAFLEAIETEEQIKHAVQAL APMPLMINCVSLGKTPWFPPKKLAELGLAIYPGAAGKTVIHAIRRSYKLLLEKGEDDA ATMGLEPRGFFDVMGLQKEMEIDRLAGGNAFGHMA PFICI_04136 MAEATSSSQVYDLLILTDATSSMDEYLHALNQSLPKIISISALT GAFARIGVMAYRDYCRPGLLEWSGWFGRDGDIGRDELLHFMRSVRAQDGGDWPEATKT GLASAYSVMREDAKTLVLLYADAPPHMGGWDNGPNYKKEIEYLTAEGCKLSPSAGLFA DWVSAARTLNGTGHGAKQAQIFSIVCSHTNEAFGPFMYMSHQTKGTCIQMKSPDSPLI SKLTMSVLLAWMGVKKAGEPEETFDDAFNLCYNNLDDIDALENEKDPKADIYFVLQRS QVEVFRSNICQNTLSDEKQLRAIITPRDIPVTDFAKRYVSDEDYRKIVVENLSKIIRE DVSSITLNPVFGALWRAVCNDRRNEARNVLIADLGVSIANMGSSSEKSNLQTWLAESY NYAAEIAAMIEEVPLAERFPCVFLDPTQHWHLHRSEDGDEDDQKDGTITSFTCSQLLE IGRSCDSKILRRLGTVLTRLTYVTTPEELPNHVMGMKDDELPRIPIALAKQQHNRVFW KILLHLVVPGIKLGARPAALLAALSIRMGMRPLMNAADYEMAAWKDKWNNINASETWN ADCLALILDADKSFEARRRVLDVPQELQEASFLSTEDRHLFETLVDYGMLMANLNTTL TAKIGWKPTMSKVPIGPLVVCKACHFPRSVSMMAHKGICGKCITPKAQYVYGVTKAES ILMSVSQGTTESHEASFVECRIITCRAQYVVYNVDGLKVHPKCYYCRFVGTDRAPWVE CRKCLNRMIWPHEYRPLDQDVAQNFLCSACNSGRATIVDFEITLADLCKENGDKWLLR HDGKLEKPFEDRSLFKTVLEAGIDIFAEHVEVLPQSNTDLRIDGKIIHNAAELKSALT SWIKSRRTEAGLCNLCFSNFRKSTLRSACGRSGCGQRICRDCKASWYSMNSRGRIINT AALCCPFCRRVPSPRAVPKSDLVFLGNLKTAVEDSGAWIYAWCCACSFAERMMERVCA QGAPPEVRDWECEDCSAFRTHSNESLLRQAIIRHCPRCDVATKKLRGFDHISCGCGAH WCFFCCRQLPLDDIYRHMANVHGGLYDILGAEDDDDVEMDLD PFICI_04137 MPTQKVFRLPQRKSIHDLVVVDEPVPEPSEDQVVVKVRSVALNF RDVAIATGQYQFNVKENPVPGSDIAGDVVAVGSAVTGFAKGDKVISTFDPTTIAGPIL NWNGALGGPVDGGLCQYIALSWTALIKVPAASTLSYSQLAALVCTGTTAWNALYGNKP LLPGQTVVFMGTGGVSVTGLILAKAAGARTIITSSSDKKLQYVQEQYGADYIINYKNN PNWGAEVQKLTGGLGADYIFENGGAGTIKQSMEAVAYGGIISAIGFLAKCPQDQMPDV AALCLSKGALLRGIMVGSKMQLEECTRFITVKGLQVPVEKEFGFSRDEVIAALEYMLT GQHIGKVCIKLD PFICI_04138 MKICLTRYYRDLLSRHQRLSHSEGAATNDVTPSQLDESVPEIQN KSRLPVNIETFAAQELQELNYLPNATTTTAVAQDGQGPENRDFSTQSMSWIPQPLDNE YHVMPNMMLDYGNIPESQFLWDNFLIADQPAPSEFFGTDTATMNQVAGPSQHVQSSFP FNQMSSQPGFQDRNPSSPVTDHGQSDNLRLRLPSLEPAIQPPEEHAREPNRAPNPKPF VVVKTPWKISTEDYVRITERLSSANPGLLHSSNFPSRHTLSRYLEGYFRGFHDHFPFL HMTSFSAVSLAPELLLSLAAMGAFYRFEHSQGHKLYLSARKAIDSRIDAIRAEEAAGT QGTKSSGLPILQGLIVLLTLASWGDNRLSRDAMSLSSQVVMLARDLGISSQEKVSDSP SWESWIMAEERCRTLWSAYSLLTLQNIISDMTPMALNREISMDLPSCNCVWRAQNSVE WAQYKAEETLSFPKVLRDLLSGVPIHQKKLISSFGNYVLIVAIIQQVFLARLGTCQLD DSESPLGKESIQIMETTLLLWQQSWEATYESTLDPSSPKGPMGFNSTAPLRLAYIRLN SNVSPASRGIYEETPCGSDGFMKAFHKPLHRSSHTDRAVLQCIYALSVPVRVGVAFVA RTQTLHWSAQHAICTLECAFFLIQWLRSLSTREDGDVYYNIRLGLLRPDEQRLLDMLI SLIRETDMASTVEYPGPQQIIAKGLIVAVAKLWAGTIEGLQVFEIVQKVGERLQALSA YLQAERI PFICI_04139 MKFSAAILGLVGIATALPQNIPAVAPRQLTRRTGGRPQHFSSPI SRNTSYPQYSSNWAGSVQIGTGFTKVQGTITVPDVSGSSDSAASAWVGIDGDTCSTAI LQTGISFYGDGSFDAWYEWIPDYSHSFSSFDISVGDKIYMEVDASSKKAGVATLENLT TGKKVTHSFSSSSTPSSLCETNAEWIVEDFESGGSLVPFADFGSVTFTDASATGSSGT VTPRGGTIIDIQDSSNNILTDCTTSGSDLTCKYTGN PFICI_04140 MPSAIVPKALKPGATIAFISPSARLNDVIPAAMERATALLVAKG YKIREIYLGPDSGIQSSIANRLSEIRTAFTDPSISAIICTIGGPSFTELLPSLLADTE LHKAIRADPKIVVGYSDITGLHWFLHAIAGLRTFYGPGAIPELGASKAADADHEASPL AFVEKHLFRTIADTQPIGDVARSVTYAPDMAAMFKEPSSVATPRLSATPGWRWLRQGK GHGRLFGGCLTVMARLGGVRAISPDWRGRIVFLETASGDDENYGNPLHRVQAGVADLI AHGVFEDAAGLVVGRPYGYDTPELIAEYTGAITGLLCEGPLAKKPFPILFGVDFGHTT PMVTLPYDALAVLDSEKDKFAIIESAVGA PFICI_04141 MASLASRITNDFAPLNISALASVNGSSTIQCWQLAAVPVEARSA LNFELGNTTEATWSIIQPQTVVGEAWAPAVQLTMVMNGMIHVSAPAAQHTGYSSTKEG SNVVGAVPPVQGYFVPGTLSSSVLIAADLKATSNIAGHFTEFPGNEPTVLVQIPFVNN EPPKHTVLYDGQCK PFICI_04142 MPTLEDNNGDGHIRVGIMGAGIAGCCLAIGLLKNPRLDVHVYER YNETKAHGSGLALHANALRSMDLISPAIKKAYFRKSHYMANEEEIEMATQFILAAGDN ASELVAELGRAKGRRTVHRAHLIQGLMEDAIPAERIHYGKKLSNIEEDPITRKVITTF NETETETFDVVFGSEGVSSITRKFILGPNHPAATPVNHEQWRLFHTYVRMEDAKKVVP NESIEKVRTYCLPVGYINGIPVDLGQTFSICCYQRDEKCPVQGAPFNAKLWKGYMPEV DALISCLERSPGETWILQDHDHAPTYAKGHVAMIGDAAHATFPHAGNGAAQAIEDCAV LAGIFSSLSNTDEIDPALQAFDVVRRPRSQRVIDITRRFGILYSKEPDDIDVNSMKAE MKEGGMFTNGVDMEAQVKTAMDLFEEKKGYN PFICI_04143 MLEHRATSTPGEQFYSGFNGRPGDSRSNLGGPQELIEEMMRTRN LRHVQSFPDSWTFFIDGGMYGKSFKFVKEREQSMAAFQPAMQAGLCIPQSKGELVLTR QETMLQSLNILCDDILEIGSTTRSQKSVPKKPTDTAAAALSKLSIKQSDELPQLDLPR LIEDARDQKASMDDDLALLCTESVALAHEVNYWFFSRPELVPDEKGRSLPVHTDKFIS GSVLEAVHHTVRAAATWDYMIRLLELLATITDKSRRAIVLQEISNLCHFEYSRTQAMF KRQLSTASSAGSKWFKCISNTYDNGIPRIALKGKPEKLTQENPHLYYLLRLSQSDTTA TKAVDWFKKLDEYERTHPGARESMNNREADSHGDLAIIVSFYQSLASVVSMPAFNRKK AQCFITKSGELEAELQGLKPQLDLRDYAAPIDNLLEPGMTDAALNALDIFLVEKTGTK LGFLYQDLINECVASLEAQLKATLARQEKIQADKQTKAAEYVPFPVEAPQAPDARVQA RKEKEKTRPVHSSAFEIVPPAQPSATKESETLQSSSTFKVKDATFRVFEALFSSSQAR GSVSWYNFESAMADLGFSVLPKFGSVYTFYPPQTMPNQRPLTVHRPHHSQIEGYKLLS YSRRLKRLYGWRQKNFEIA PFICI_04144 MEGPGIGDSVTELQHDLERKWRRHGTRLKDFWRSFSQGQRTQAI KAGTVGVLKHSEDRSQGNVYKFAPEFDL PFICI_04145 MAAVSRQPFAPLDGTRLQTLTSLKNKQNAIPVSSPGKRKAFDAI DADDSENVDPVLFSKRSKGSDSFYPAKDFIKPSKFVLTKSASANDIVSSPLKIASPRP RTLLQPRSPAARLNSGITKSSPLTAPAGRSPTRGKRSGLLSSRRRTAGSYSRVDPPIF GLGASAGSSSAPFSLDAALKGTIPSYTGNAVASTRSTSRSSGLGDLHGSDMKTSWFFD IHEDTPEQEMTNLLQHSTCILDISSDEESESRLERERAEGKENVPPADDMSQTSRPRN ARAVADLDDMIFEKERNPLGEMKASDYYAEGCDETSVVIVPGDDDEETKTEREFTPEI QARDATASVPEPAIEDGAKTVDELMQKTEEPTPTAAVLQPIEGTSESFEVWESGSAHD ETEASTVSS PFICI_04146 MSSINDLAAAATIASSAVALQSSPQQQAAQPAVQSSPTPPAPID PSSTKPASASTPTQGSAPASDPAPSQASPPATTVLVASKASGSTLGPASSPPGAQAAA ASTSASVDGAGNGPAAVSAPPPEEKLKKKKPVRGAFIVFEGMDRAGKTTQAKLLQQRC IEEGKNVKFMRFPDRTTPIGQMIDAYLKGQTEIEDHVIHLLFSANRWEAIKSIQTALA SGQTIICDRYYHSGIVYSAAKQNPSLPLSWAKAPEVGLPRPDLVLFLDLEEQVARERG GWGGEIYEKGEMQRRVRDLFWGLSMGDVSGASGQGKGKRGESGGAGGADGSHQSEPLR PHGEKGEFRQEEEDLQIVDADLGVEELSDKVWEHVLPRLEQVERGEVGDRVRTVR PFICI_04147 MRWMVRQAWRGISAAKPRVTPRVTLMTRMAQRSQWQRAQPSQLC GAHRSNHFTVLKSGMYGTIYLSIALLVTDETLDWATRQNLGIQAVHGITHAETHQEQL ANFWILGEALMKAHSGTLPEYHEPIRPDEESGLADDLEIRLLTVPDPEMPGENLFLCQ AAFVDEEVPDFYVATHSGRMSDAAHSLFPGIEKFAKGHNASRGALLIIHPDGNWYCIY YDGRRWLNMIFMEWQTAASLGLE PFICI_04148 MTQKETLKHEADAVAGRGVVLPFNHGRELGSQRLKTRIMKLNSP GTYKPIEIALRMIVEHSSQRLKTWDLQNYQSGTATESWASSACFAGYAISTDQEAEEN WLVLRKAAEDFSTILQRQEPMLVWSTCSIIIQLFAVNTELAMGFIRVVLDFCIKQLRE GDPLRALWEAVLRTDPSHIPQLIARLVAAQLGVLHQTTASTNPFVVQYTKSSAKYLHD RHLLMSYDAHNQIEFIIQALNSKLKIDSTRSIRNSLISASLFQACIHLDDKEYEKVEM ILDTIQPKVNNPGFGIEHAQVVNFYEVKAEMLMERGNYERGDNRWSEHYYKKALEIAQ TNLSETMPERIGFCLMALGTFYRRIGDANRLEEIQVRYDKHLKWMAGEHLQGMAGEKH PKWIPTNKEDIEEDIEEDSEEDFDVEEDVEEDFDVGEDVEEAVEEDIEEDVEEAVEKA IRMPLAEWNIRWVASNAMHQVPDSSTYPMDQVSEACSNPIDQVSDVFSNPMDTLSEVF SDVTDQASDYSPSPIEQVSDVLSYLGPGQHIIEVHVQWELPDFVSQGLDNMQELATVM TITGQPYESYINSCENYIKSTWGKNPLIIEFFQAFVKSNLDFSAVSHEQFIFSSNKLN VSAMISSPAFPGDSNGFTFRLRATSHHLVETCQCILWLAAACRPPESGSVYASVANFR KIGVQRFKIDTEPLKPLTSLGSQSEFPCCWLNMFDGGYVLAQGFPTPPREYGRGLELP LDIMIEQAMAYSAINHTINGQDCMILKGRHTALVPIRIEGLETLDQTSEVQWHLVGKR PDLVPIDSADQIKSEQKYHLTDVEFSNTSRDLSWEEIDAMDESGLRIFPIGSIREVSS KRSFVGHFPKAQIFVGSDRERYDELKGEPKAEPVGGHVIKFGQAVSLTLGTNAATGGL LTMSAGTTIKRSKFDSVPKRSKAFIADKLRNDRNKPHILYDTSKKIGWMIPEPCLILY LIHYWAALQSEVPTSSRQTGQQKHHHHGKDDGETQPMSSVKEHMPFIKASSNAAQDAA DAILHQFMEPSELPRHIRFLEDPEKLVYVRDIICNMYLAIDTLVEHQQQKKPGTLNRL NPLATHHDLWGYDLADVAGFDEAPVKRVSIDKTQCGGWHELAKPNTRIVVLFGNNFGE LIKYEDGQMICNQWKSVPPKRDFLSADSGTLEYLQELVNRGRCGIFLTDKNFLISNLE QKDCLEEDWPCCNMTLQMTLTAPKRTIRVGKGEAVIIGKVLRREKRKSFGEMFGQWRA TPDETALQEPRKLPKQNHSQCAFSPPGACPLCSEANRLEIGSSSEKSSPEGADLDLGT TPGTSSSYTPQSS PFICI_04149 MAPSSIDFVSLGMIVLDELRLPDGRVLHDCVGGSGAYSTLGARL TAAAQPDTVGSLIVAGNDFPDAVAELIRSWGVSLDFIVKDGKSTRGLLEYHDAVFGRK SFRYLTEPLQPVASSLTQELLSSRSFHMLYRPAQLVTEVKQLKSLRSALGVAEIPVIV WEPFPALCSLANLQIHIEACKEVDIFSPNHHELLGLFHRAAEPFDFKVIESCARQILN ETDLTTTSKRHYAVVVRAGEHGCHIVSQQSTFWLPPFYTDSSSVVDATGGGNTFLGAF AITLARTSDIRAAAIAGSVAASFAIEQIGIPHRTTENSEELWNSKGVLRRFEDYTTRL K PFICI_04150 MLSTLLLACAATVTAYNATGPYGLHITGKEDSSIDGYAGACHAG AAIEGLCFISGELNDTTTYQQFNFNYSSYDSTTGEVYPYGWLEWELPLSTGTDGEITS VPSALQIDLNLGSNVNVALFYPGYDSYTSLQKSENGTLYIPGGVDDTQNTDTYPNPVV YQGNLTNWYLCYQFTGGYYYQSIAWVNSLPPQNPTCAPVDLTLETLGY PFICI_04151 MSTVPAAKPIHAGPEDSWHGVVAPGSRFAPEAGRYHLYIGLFCP FAHRANLVRHLKGLQDIISISIVKPYPKGDDKGWPGWQFPGAQGPDDVYEGATRDKLF GSKHLHEVYFKADSEYKGRYSVPLLWDTKENTAVNNESHELLRWLPTAFNDVLANGSP EKEMTLYPEHLRADIDEISVWMQRDLNTGVYKAGFAPDQETYDKNVPVVFAALNHLEQ IIYGNSGPYILGKDLTELDIRAYATIVRFDAVYVQHFKCNCK PFICI_04152 MASKFYAVVIGAGPGTGRASAIRFSKAYPVALLARSPESYEATV EEINAAGGKAIGINADASNPDSLKAAFETINKEFAGHKLAAAIYNASAGYGVKPFLEV KLEDLDRTIEGNIRGLFNFAQSTLPLLEDAVSSSRFPPTLIVTGATASIKGSARFACF AAGKSGARALAQSIAREYQPKGVHVAHAVVDGVIDIPRTQSYNVNGGAEDGKISADSI AETYWNLHTQHRSGFTWEVDIRPYVEKW PFICI_04153 MVEFAATEAKKAAQDSAASSSTGVSDIDDAVNGSAQVPQDSDRK SKRRKRKIRSKDGGADEFEGSKSLAKRRNSLHKAARDPRDEPEGKQRKKPKVEESITR SPSPVIDFDGLSRPSRGTRERLEESSEQAAERLEKMRNAVRTILECVGEDPDREGVLD TPTRYAKAMLFFTKGYQQNVKDIVNDAIFHEGHNEMVIVKDIEIFSLCEHHLVPFTGK MHIGYIPNNNVIGISKLPRIAELFARRLQVQERLTREVANAIMEILKPQGVAVVMESS HLCMVMRGVEKTTATTITSCVLGCFERKEKTRNEFLSLVGVNRRF PFICI_04154 MSAASAASAHPLRQTSFPPEESQGQYSPNYRRSPSVDNMSLVSG SQVSAAPKKKRGRKSKAERAREAAEEAARGGTPSAVNGVAPSVISNASGANKAAADDD ADAGEGPGGYEVPENMASRAAARSKEEIKEEERLRALLKQKMDDNQFHRYEVWHQSKI QIGNIRKYINSVTSQSVAANIPQAMQVVCKLFLGDMVEEARRVQQEEIRAGVKQTDLP DNKEHEDEISEASKHRRQPPLLPEHFAEAYRRWKRSGATGGSGGNTLAWSQQTTNGTE RFAARAGGRRIFR PFICI_04155 MQTYSAADLSDAALGGPLRIDDGYFVDGFGRTVMLRGWNVSGAS KLPTKPNGLSHLTEGFYEHRTVTFIGRPFPLEEAPLHFRRLQAWGMPFVRLLVTWESI GHAGPNPSKDLDLEYIAYLRKLIEIMPRYGIKCFVCAHQDVWSRFSGGSGAPGWTFEA VGLDIEAFTATGAAYVHGQDELRRAQAPSNEKEPSGPFVWPSGYQKLAASTMATIFWA GDALAPKLRCRRSALDKKDGVEEVSIQSFLQDAFIEAFGRLADEIVDLEAVIGFEPIN EPHRGLVNLHGFHGWNYDTDLHIGYYPTLTQALALGSGYKQTVPYYVKSWPWPTRVSH HAAMDPQGRSAWLTSGSSDEVDRPQGLGQCVWRAHGVWDWDGEQSKPVITDENYFEVD HRPGRQGKEIEWYRDCYAPFLCRFSQRVSRNKNTRFSFVEPIPNEFIPPWPPRHDNKG VVIRKKQTYAVPTVLSSDHRPQNLVYAPHFYDLNVLFSKLHSWMSVNVQGLARGMFVG NAVYFGVGGLRRNYRKQIENIVKHGRLSLGRLPTVIGEIGIPFDINGGYAFLTGDYDK QRDLIGALVSAMEDNLVNGFTLWNYNPDNRVEYGDGWNKEDFSIVNGNEETWDDGHGR TMQVRPDYRNGDHEKQELYRGGRALDAVIRPYAVKVAGLPVSSDWNLENLRFEFQWRN RPLKKLKAGDDRYSTTEIFIPRYHYHGHQIHVTATYGLDWSYTADNQTLYVVQRGGGM LHKLVVEIRDKKTHISRRVHRHRKDVPQQTLQALIPTAFEIWWRSTDSVPELLAAISV TVAVLACLLLIYATS PFICI_04156 MSYYLPSFSAPPSRPGSLAASRANSYSAARSRPNSRPVTPRGNS TAPVTPLALTRENSKEDEDEDNSDDAVNSEIMHNEKGEPMIGRIVGGKYVDAENEDID SDCGPIKTVDIDMPLTLTEMVKHNDKEYIVLAFATGDKENPFNWNPWYKRSITTMLNL MTLFIGLATTAYSSGITSMCEDFGVPTIMGQLGLFTFNFACAIAPMVLAPFCELVGRK IVYAGAFLCFSLLFIGLALAKDINTIIGLRLLLGLFGCVGTILVGGTFDDMYEPHQRS RPMAMFSFIAIFGTVAAPIYAGFIDQSLGWRWIEGIQGLANVPLLIIIFVAFPETRGG ARLHKRAKQLRKATGDDRYVAEDDIYTPNLKAMLKASSVKAIRMLVTEPVVFAFGLWI AFCWAVVFLFLSVIPITFSEKRGWSEGVSGLPYISLGIGTFLGWAAHHLQMRKYSKLI EDPNVKVVPEHRLYGAMFGAIWLPVGLFIYSFTQYAFLSWVGPVIGLAPIAFGIYFVF ESTYSYTADCYGESASSAIAGQGLMRNTLGAVTPLFASAFFHNVGSQYAGLILALFGT FLSLIPFVMFKFGHKLRARSKLAKVY PFICI_04157 MATSNQPTKSDQPYDLEPLQRATPQGAFIDISAAQLMGPRTRCP PQVWTNSQSSYGTQQTSRTCSSISTYQSSAQSWVSPTSTMCEMPGHLALAELPSPSQP VFGSALTESPVTEDLRGSALSLAVANIDLDMALSTSLRHDSYDIQPNWSMGNPDIGFT TPTKSDVAVPPPQPVTEAFGDDFRFVAPGSILCVPVDTERRVSGSSIQAPEPHTFDFD YHEPDASQSSPSASLRSDP PFICI_04158 MMFSKFVGALSAVAGALSQPLFERAATYNFSIYAYSSDTIHGYP VISINNTAYITSADVGTYTNGHNVTFDALSSHGNFTATIPGGGTPLFYVPSTSGPAGF TNDTDDDTLITSGFGLYGHVVFLQSGTSMMTEWYAIATDIDSLWQLSWDDTDGFPITL RSIAP PFICI_04159 MYATLFTASLLGLTAAFPSFSSPGLSPRATCSGNTADTRSEWCD YSIDTDYTSTSPDTGVTREYWFELTETTASPDGVERYVQAINGTIPGPTIIADWGDTV VVHLTNSLSSSTNGTSLHFHGIRQNYTNQNDGVSSITQCPTPPGSSITYTWKAEQYGS TWYHSHFALQAWQGVFGGIIINGPATADYDEDLGHVFLNDWSHETVDQLYYTAETSGP PTLDNGLINGTNVYGDDDDDDQVGTRFSVSVTEDSSYRMRIVNAAVDSHFRFMIDNHT MTVISMDLVPIEPYTTDVLSIGMGQRYDIIITADQAAVADSFWIRAIPQAACSENDST DNIKGILYYGDSTTTPTTSRPSSYESGLDCIDEDASNLVPYISQTITSATNEGEEDAT VAFNDDDLFKWYLNSTTMVVSWSDPTLMQVYDNVTSFETSDAVIELPDADEWVYLVIE TSFTVPHPIHLHGHDFLVLASDEGTYDSSVTLNMDNPPRRDTAMLPSAGYLVIAFKTD NPGAWLMHCHIGWHTSEGFALQFVERQSEISALLDYDSMSDNCDAWSSYETTESVEQD DSGI PFICI_04160 MKFTTSAAALLMLASGIQAHPEKMTPEKAKREALMVGRSTGKCA AAIEARKAEVMAKRSARLLQRRLDDGKITHEEMMAKRNELQYTTIQNDTCVLAPDTIW GPYGIDGEIVRHDLRELSTGQEGLDFYLDIGVIDVETCEPLPNAALSIWNCNATGTYS GFTGIDPDTASFYDGVSARADGTTDDDTFLRGIQVTDSEGMIEFLTLFPGYYITRTTH IHVTVQTNITNGTGFSESAIQHIGQLFFEEDLLNEVYQLEPYSAHLETLNRTTNAEDS LYSSASGDGYSAVISVSQIGETLADGLVGYITIGVNTSASGLETTGGSVNVQGYLPTV SVASSKLAQATAVDVADGYTS PFICI_04161 MLPDEIRQLAQSRPEQSGWFRATCYNPRTLCGVIAIFNWQAIIP DGSRSGLRGSRESGNDIDQDADGPFFLFSHSDDIGVVGLCECIDFDTLLKSQLSQAFE IAKRHVADCHKDLEPLSHCRAMWDIVLSCIIDPGTRPSRRRDREDWYGSASFIEAGQE IFRELWGLSRPDFPTNHRTRTNPTFISRHEFAKVQSQAQHPNDTAMAEYYLKSRTTML EGILSMALISTDIRLDGDR PFICI_04162 MKNLAAFGLVAACASVTLAHPLRARQGDATLPFETCQFDRFAAF QPSATSSSQASKRRACEAKHHSSPSSSQITSAASTSTKPTSSSTSLESSLSTRATATA GPSSSVVKFASGSATTASKTSTASAAATSAVDSWDPPSSLATALEEVWNHEISTYSDP LAFMNYGYDHLMDTEANIQYCVRWDSAQSVTAEQRASIETALQRQYNKWVEGALASFD GFPYTTTNVKVTGWATNNTALLEGDIADGVTVHSSSDTDGIPQCDPACGRYFHYADGD YSSCSGGDAARYDVSLWLTDGMDGVGAGGDWGQRIGTTYMLENLESENIHILLHEMGH TLALDDFYDWTPTGVTSFIMLAGSATEVTEFDAWMARDWWRNLKSRYNL PFICI_04163 MAFTRSLPELGQIIANQANAIHDTLNAAGIQQPSFEYGATHYAG PYGRAMEDSRAQLLEALDELRSLIIGPAGHIFFMSFMGPAWTATLHVLYKFELAKNVP MSGSISYGELADRCGLSEAQTRRYIRSAISFRVFDEPIVGMVQHNAASALLVTTTLHD WIGMATEEIGPAALKVADSMQSFPSSSNPAESPFAIAHGSNGDKDLFAIVGSEPDRMT RLANAMEWSMKVPGMEPPYTVNHLGWDQVKQSQRTWCPKVVVDVGGGTGTLCKTILQT YPSVERAIVEDLPDVISQASMQDPNKLDGRLEYHEYDFFSEQTIKDADVYIWRCVLHD WSDSYAVDILRNQVPALKDGARMIFLERCLGAPRPYGHVSDQFDIACDIMMHMCANAQ ERSREDWEALLAAADKRFEIEFISTPPHSALSIIQVIFRDNLYTGNDKGFDVGSLPDT GSDFDVGNDSLSTVDLDSSSESECNYIHTGMATVEPKDASICSQGVDGSQSTVIGSYV PFSYGEEEDEDEAYAWRYYGFEQDGNTARSSVDEWALIRRDNFFP PFICI_04164 MGSLPEEFDIIVCGGGSCGCVVAGRLANLDHNLKVLLIEAGESN LNNPWVFRPGIYPRNMKLDSKTASFYESRPSKWLAGRKAVVPCAHILGGGSSINFLMY TRASASDYDDFQAKGWTTEELLPLMKKHETYQRASHNRDVHGFEGPIKVSFGNYTYPI KDDFLRAAESQDIPIVDDLQDLSTGHGAEHWLKWINRDTGRRSDSAHAYIHSTRAVHS NLYLACNTKVDKVIIEDGRAVAVQTVPTKPLHPNQLKTRTFRARKQIIVSGGTLSSPL ILQRSGVGDPEKLKAAGVEPIVDLPGVGLNFQDHYLTFSAYRAKPDTDSFDDFVRGVP EVQKRVFDEWALKGTGPLATNGIEAGVKIRPSPEELEEMASWPTPHFKDGWESYFKEK PDKPVMHYSVIAGWFGDHMLMPPGKFFSMFHFLEYPFSRGSTHIKSADPYATPDFDTG FMSDERDMAPMVWGYIKSRETARRMDAYAGEVQANHPFFSYDSPARANDMDLETTKAY ALPGNLSAGLQHGSWSSYNPEPSKKAEAHILNSNKAHVREELKYSSEDIKAIEEWVKR HVESTWHCLGTCSMAPREGNSIVKHGVLDERLNVHGVKGLKVADLSICPDNVGCNTYS TALLIGEKCAVLTAEDLGYSGEALEMKVPTYNAPGETALPFRV PFICI_04165 MGLFSKHKARQEPTEGTAAPASRGGLFGGRSHGNKRVAESYSMS KRPSFGQWLKYTWLDILTMAIMGAIGLGVYEAHPAPTRSFPVVFSDGEIVYPEFGYPL RKEIVPIWAAALLAVFVPIAVFLFMQIRIRSFWDINNATIGLLYSLITAAVFQVFLKW LIGGLRPHFLDVCKPDLSLASNEAGAVGAGYNAAGFKELYYTREICTGDIDQIDDSLE SFPSGHTTAAFAGFVYLYLYLNAKLKVFANYHPAMWKLIAIYAPILGAVLIGGALTID EFHNWYDIIAGAIIGTVMAFSAYRMTYAAIWDWRFNHIPLNRGAPFSYGGSGIELGDA LWTRKVGWGSGGHGFHHGHHGEKTHGNDYGLPSNGAGVSRKPVGGGTANADNMV PFICI_04166 MRFSEVFLTATSLLGSLALAGRDAPTPVVRAIEARDTAACASAA SQWLSELDSTLPTPTGEVLTYLATATLTDQCAIPTVTGDLGSSLSSYASTYSKWQSDH LPELRSIYHACSDVPQVTSQLTAAFASASGSACSTILAQITGTGATGNAAPRETGMPI AAAALAAGIAAVML PFICI_04167 MADVKEYTTSPAGKVLDTENAPSRVDSNTVAINDETAHVVDKDG ERKLCRKFDFRLLPVLAVMYLFNALDKSNLGNAKTDGLPDDLGFRTGQYNLIVGIFFV PYVIFAPPFAMLAKKYGASRALPIMMFSFGSLTLLTAATHNFSGVFALRWFLGMSESA FFPVVIYYLTTFYRRGELARRLAIFYAASNIASAFSGLLAFGVFQIKSHLLDHTWRWL FIIEGSCTILFSFFAFWYLPKSAAEAKFLTEDEKALAYHRIHVDSSSTVNEEFKLREA LKIFKLPTTYGFLLIEICLGVPLQGVSLFLPQIVARLGYNTVKTNLYTVAPNITGAVM LLILAFSSDLTKIRFPFICLGFAFTFIGMIIYASIQDVVAQSQVAYFATFMMCWGTSA PSVLLSTWYNNNISNEGERLVLTSVGVPLANLMGLVSSNLFQDRDAPKYLPALIATAC FGATGFLVAGALGTYMTFDNQRRNRRQGVTLTAQDVPTSKLRDGPKVDEFRWFL PFICI_04168 MSETAKTPKKSGNAWTPEEHLNLVLTVMRSENPELSVSGWEKIA LEMNRIYPDKGMSSFKQQFQKLRNAYIDQHGDATREGQVEGEMNGNLGKSNLKKRAAP GLGDHASNASTANNRNTRVGKKVKFNDPADDQEEYERSDTTTDSRKPGETMPSAPPKQ ACKPRAKKVKVELDADANGKDDGHLVDGLEIDEI PFICI_04169 MASSTRGGVNPLRPYYIPPTIGDQTESLPGQPNPFSHANATSGA GSAKYASRARDIFPDLDYRDYVNDPSPSTIQSVKDLIDELLWKYTSVLMAQPFEVAKT VLQVRTQDDLELSETGVSSLQPSRPKITSPRESLYDQYPESDSDDEPSYFTSNAPYQT PTPSSRSQKRTMSSTDVPKPAGAPELPPHQLNIKRPDSILEVIAQLWSKESAWGVWKG SNATFLYTVLQSLMENWSRSLLSALFNVPDLGVKDDVDKLVDIASPYPWASLCVAAAA AVATGLALAPLDIVRTRLVLSSTSSGRRRTMSTLRALPSWLCPSSLIAPTILHSLVHP VLTLSTPLVLRSQFLIDKELSPTTFSVAKFCTSCASLFVKLPLETVLRRGQASIFTQP AYIRALEKTGTLDTIVQPGSYNGVVGTMYTIVAEEGSHAVPVPVKAASTRSKKGKQAK IGETVYKKGQGVGGLWRGWKVSWWGLVGLWTAGVVGGGGEGEF PFICI_04170 MSSALPHINSKLSPQAVSRSTRLLDAQTRTFTTSPKRLHGHVTP PKAGEELYITFIDKENREHKIAVSKGDNLLDIAQAHDLEMEGACGGSCACSTCHVIVL DQDLYDKMPEPEDDENDMLDLAFGLTETSRLGCQVTMTKELDGLKVKLPSMTRNLQAS DFNS PFICI_04171 MAPLKSTKKRSHHDSSTRSKASRPNKKQKKQPVYQSESEDESSF KPVNLMDSDDEGGLDVVVDDAGDLSGSDADSQSDSASENEDSARDKLGRPKKSLPKAS KRKLAQDAPSSSDDDAEGEGNNGNSDEDDEDDDGDSFDLEGSDNGDESVLGGNRKSKS KRNDPAAFATSLQKILGTKLSTARRSDPVLSRSVDAQKASKEIIDAALEAKARKQMRA QKLAAKEKGRVKDVIAGSGDNTTGEPQVSTGEIREKEKQLRRAATRGVKEVFNAFLRA QQAGMDAEAQARKDGFTGVEGRKEKVSEMSRKGFLDLIANGGGKLKKGGIEEA PFICI_04172 MSPALVARALSGFRSTLRTPRGGNGLQRVTVINRTTPSFMRLST AGTPQDTTPQRRPSSTDRSQNKADTLALPGNGGRIRKYSSIIKDPDAWSVVSGLESNS PITLSNPARPKPLVLNLHALRDACACDKCVDPHSGQKNFGTTDIPEELSVLSSRRLED ASLEVVWENDFITSEHHRSVFPAEQVREWGFPQTAVSHKRTLPHARLWDSESFAATPS RVQYDDWMSDGSRYHEAVAQLHNYGLVFIDGVPKSEESVVGIATRIGHVMETFYGRTW DVRSKPQAENVAYTNTFLGLHQDLLYTADPPRVQFLHCLENSCEGGESIFSDSLRAAK LMELGPSHLFDALQKRKLVYHYNKDGHFYEKRRPVLDRFGYKVYWSPPFQSPHQSMGG HEFITTWVEAAKMFRQFLEDEKWLYEHKLEPGQCVIFDNLRVMHGRRRFDTSAGSRWL KGTYVSSDEWKSKRMTLAKEITEVNGENNPTPRKQARRLKAKHHI PFICI_04173 MQSVLVAIAALAAQQVAAHATFQDFWINGVDYGAQCIRLPLSNS PVTDVTSNDIRCNAGTSPVSYKCAVAAGDTVTIEIHQQPGDRTCTTEAIGGAHYGPVQ AYLSAVDDSSTADGSDGWFKIFADTWAKNPSGSSGDDDYWGTKDINTCCGRLDVKIPT DIKAGDYLLRAEALALHTASSSGGAQFYMSCIQLTITGSGSASPSTISFPGAYAASDP GILVDIHAAMTTYIAPGPTVYSGGSTKSAGAACEGCETTCTAGAGASGTATSVTLPSS TGGGSDGCTVALYGQCGGIDYTGCTNCYQGTCTVLSDYYYQCA PFICI_04174 MKAVYLYASVLCGISATVAQSTTSSASEGTGATDSDTSTSLSLE TAYAGSSTFTATAVTEITSTITSTDANGDTSLIITSYATTSTETISGTSSTTFSSVVA SSSIDTDSVTTISSTTSSASTFSTTSTTSSITTATTSPTTPTTTTLPISTDASSYLSY AFPTGAPQPTWATGEYYTMLASAIYSVDRSFIQQSDYQSIISAIQSAADKAGNQVSAS VESSAWGWGAITTNAWYQDQVPAALQTEVLDYNSAWHSAVSSIQALATATTPNRTVAA APAPRCTGIAMAGIAAGMAGIFVAM PFICI_04175 MVRIAYSVALYFAVSVLASPVTPDPAGVKNIGNAAGQQFIGGEC LSSADCASTCCAFNDNGGGVCSGVGAQFQAGKSGCGFGDGGASPAAASSVAAPAATAV ASSGATTIDSSAPGAQNVGLGNGQQFITGQCLSDADCASGCCANPKGVCSAVAVAFDS GKQGCGFVGTAA PFICI_04176 MRLALRWLAFFVPAVVLLVYLRRSNYLLPGLGTKTPSSVHGEET LRGGAKADAPSIKPISLFWERWSQLMYDTRPEGTPITITGNAGTNAPKDASAQRTPHK DLVTNAQVELELIRLAHQRFMKELESLDRIDYSIFSGRGVVMVGGGEYFGPAIIGIQM LRRTGSTLPVEVFVPNDNDYEQDVCEKYLPKLNARCVVLSHFQPDQDQSEGNIAIKHY QLKAPALLLSSFAEVLLLDSDSIPLVDPETAIFETEPYQSSGLLTWPDFWVATESPRF WDIAGLTEFPENLPSTSSESGQLVVNKKTHLAPLLLATYYNLFGVDFFYPLLSQGALG QGDKETFMAAAVALDAPYYRVKTPAVSLGRHDGIKKKGTAIVQHLPSDDASSRQGGSD KIRPAFLHSNTPKMNAGHLVDEGDLIAKNGKSRLRLLGPKAEAENIFGFDIEDTLWDL LVQTGCELADTIEEWKKRDRLCERLTEHHNLVFES PFICI_04177 MTTHDEMTARMDRLESLIARVCEAADLKGDKDSESKDLEASIST LIDRLCAERNQQTSAQLLQSESKPSKRLEPKFYPTAGHDQATEAPLMEFIRASAIIEG PQVVDELASTNPRAERFSKAGVSRNHIRRLLPSPSEVNQILEATCLYWQLWPLHPSQL SPASNLSLSVVTIARSFIEESLERGPPDVMARALIWFALCIQQVPRSIVSDIPSLAES SSAVVMQFLSASDALLGPDVQGDFTQASLTCLVLQAKCYVNMGRLRQAWLATRRAVNQ GIILGFHDQRKHLDHEDGRIWHTIWAHDSQLSLLLGVPSSLPPYCTISLDLPFEMPPE ASIMHHISVLCEKINARNQNHRQYSYADTMTLDNDLDKLRAMIPEEWWKPDLTDKLPL PTFHVRQASKLYYHHLKQLIHIPYMLKATTEAKYQYSRTSVLEALGGMMECYAGRRLH PNGQYAMCFLVDFLAFSAGLILAADLLSQQSTWGSEVELEQWQSIRSLIKELRIAATL LDHTVAQQAAQLLEYLDSARHGAYHGPDVYDAVVPYYGKVRIRRPQILYPSTNIGLDA EPGVVGTVAFDSNIYDFNPSLSFNAAELDRDWASLLGDDITYDWTGVFEF PFICI_04178 MTAIRVALIGLSSVAKTSWAAQGHLPYLSSAKGRRHYEIVALLN STKSAAEAARQHFGLPSNVRTYGDPTLLAQDPEVDLVVCNTRVDVHFSSIEPSIAAGK AVYVEWPLTENLQRAYQLTRGQPLPNSIMGLQGRVAPVITTLKDLLDSGRIGPVLSSD IKAFGKLLANDALPTGLSYFAERAVGGNPITIAYAHMIDFVHEVLGNFESFQSRMTIQ QPTIKLLGSGGEDLGTVTSDVPDFLAVHGIVKGRRTASDGAVLAVTFRNGKPFKDTPA FTWTINGQKGEILVVSPSGPYIHSDSYHAPITIQVHDHATNQVETVEWDWEDWQKELP IRARIVAELYERFAWWWENGRPTGQLPDNREWPRLHDGLGRLQELEELFAQYDKQQ PFICI_04179 MGKPNTEAEAQADAVSLHTNPGDRESFPPYNDDDAPELDIDALD DLPPVYADVVEESSSSSAEAPLLGESAPVRSSQFRPYRTDVGTGAEFYIDGRLESPTD LAQYVRILAAKPPRPYIQILGTHQASRKKSDGKTENVKVTDFDVSVELTPYLYSNVQY GKSWTQLRTVDNAEKVHRGTSLKKRAPGAKQDLEVGGAPKPTLEEWCHRFCASHAGLK SFALRREITGFDFQQVKQELHSLVRSTNYRGHLEIRLQTKDALVEIYNEARINRWRLI GWLRFVFFITLMFIFSWPYLFFRTKRWEVAVAEWPFSRMAEGSRREYVSITEAQWYNM WARAILQAVLEKRQTVLDQADLRRAQEPEPSFETGNTTVDGAMGFFRAGMSAMNEVNR QLGWGGDC PFICI_04180 MTTQAVKIFGPGKAEIAEVPIPKLRDGYVLVNVKAVGLNPTDWK ALYRTEPPTGSRSGCDYAGIVEEVGGNLRKPFKKGDRIAGMAHGAYSQEPDFGAFGHY MIAMADVAMKIPDNLSFEEAATLGVSIVTVGQGLYRALELPLPTAPLRSPEPLLIYGG STATGIFGIQYAKLSGLTVAATASPHNHDYLKSLGVDAVFDYKSPQCAADIKAWAEGQ GKQLRKAWDCIGSEASATICAAALSHDEEGSIYASLIPVDHKTLLATNPGVKESLWTL GYDVFGYSYQAMGKERPASLEELQHGHKFWDLARDLLEQGQIKTIRPTVNRDGSGLDG VLKGLAELQEGKVSGEKLVYTL PFICI_04181 MHRYSILALVPAVLACTNPDTDACASYMSANSATASAFCATFTQ STVTATAALPAWASYCSSKPSAISKECTCAFSGAATSTTTIATSTTTRATTLTTSTST STSTGPSTTSTGTCASPNYALVGYGAGATGGGSGSGTTVTSCSALESAIDGAGVITIS GILDGCGILDVLSDTTIIGTGSDSGLTNGGFRIKKANNVILRNLKLHVAPESKDLIEL QYSTNVWIDHCDLSTIGITGDKDTYDGLLDITHASDDVTVSWTKFHDHWKGSLIGHSD SNGDEDTGYLHVTYHHNYWTNVNSRLPSIRFGTGHVYSSCYEDNPTSGVHSRMGAQVL AEENYFLNTKLALVTNLDSDEDGYILDRNNVFVNSTESITQVGSLTPPYSYSLDSASC VCELVKEYAGTGVVA PFICI_04182 MAAQADKAQESKELSMDLPVIDVDIFRSKPRDSPEVIAECKKAA DALITYGALVVHDSRVSEEDNTTFLDLLEDYFAQPEEELKKDERPELGYQIGVTLENT EKPKCAVDEPCLKVIERLAPSERPLDIKAHSPDPKCRFFWRMVENPPYKTEFPGLNAA NIVPDARQIHDRWEPVMNAWGNTLRDAVTDISGMAAVGLGLPAEAFIEAGRYGPHLLA PTASNLTKYGKKDSILAGFHTDLNFLTIHGRSRYPGLHIWARNTGRRIPVSIPPGKHL LVQAGKQLEHLTGGLIKAGFHEVVVNDATLKVVERRKQEFPDRPLVRISSTFFYHLSS DYLLSPNEILAEQARKIRTAQFDLGRDEGEEVEYPAMKVGQQVQK PFICI_04183 MKYISAILAASLAATKVVAQETGEHTDWPRWCGKVYEAGYPSFE PGGHTTEPVDNGTTNLYIQFKPRYSIYLDGESSGSFVVNAALSPWYGLSWSNSTSNEN TTKPFDVLFFSISLVSNDMVLVEDSIPVNVTGKEYEFDLSSVAPSTTPYDVVLSGSSE YGDWHYNATSTLLYLPDNPEGSATKIDNLKGGLLFKSPDTGNIFEPLLPYGYYGLYNG SNSTEAADDFVRNYTSDGQGLNAIISLAGFDDANPVYNSMDQQRLRFMYDLRGSYQNL SIVEQHVNAVKNHTSIFAYWTADEPDGHQAPFDAPTNAQALIHQLDPYHPVAVTLNCQ DYYFGPYSAGADILMEDVYPIAINATFSKWNTTCNATLGDCGCDNCDGDVQDVSSRLD DLSTYEYWLGRWPLPKFHNPQVFHGEDYWFRDPTPGEARVMNALAFNRGVTGIFGWTW PGSWELFDVHTEMALVVTQPPVRDFLLSGNPGRIFATVSELLDIAYWAIDDRVMVSVV NGGNVDLQGPLSFEIPGHVTTIESVPFGNLSWQFTNGQLSIDTLPTMTASLVILKE PFICI_04184 MADSNEIDDICTPGTVHLVDLEHTIVAQHVRDRADILLVPVPLQ DPEDPLNWTPKRKYLALSCSLLYTWFNGIALSVVYSVLVPLSEALNVSVATLNAGTGY MFLLLGWSLLFWQPFALQYGKRFTYLLSLIALMAVTIWSPYAHSTGQWIAKSILTGFF AAPIEALPETTIADLFFTHERATYMGWYAFTLAGSNFFAPIICGFINDSMGYKWVFYF PAIFIAVTWIFLFLFMEETNYDRESKRRSASDQQRDFSKNTKSFRSKLSVLNISRPFM MHRRAWQSLKLLSWPVVFYAGFSYGTYLIFFNILNATSSIILGGSPYNFTPALVGLTY VACLLGFYGSVLRPIHYSGSPQQQWCSRART PFICI_04185 MGLFSKKPKAPKVVGKEFPGDSNSTTSFQSDHETLKSPISSNGR SFNRASATGASIPTTPLTPFSPKVDMPKPPDPNLDPAAYLQSLGAVRERSKIVAEKAM TNTLNHFDVDMSKFSDVISFVCGIIKRDYDAPFNSIPPHGRYQHFCVGGRDRIANLLS TFVGIDESEKCRRLIDLFLISVLLDAGAGTSWTFKSAENGRIYRRSEGLAIASLEMFK SGLFSGDPNNKFQVDKNGLRRLTVEALAKGVQSRPGNELAGLEGRAEMLIKLGDALDQ KREFFGESGRPGYMLDYLLSHPTTQASSMPIVVLPVLWNVLITGLAPIWPASRTAIDG VSLGDAWPCSSMPQAVSSPSSPSFSAFPKSQPPTAAWESILPFHKLTQWLTYSLMQPM QSLLKIHFAGAEMLTGLPEYRNGGLFIDLGVLILKKDDMERGLQNYADYCRRKNVKAV EVAPMFEPGDDVIVEWRGVTIGLLDRLLPEVNRSLTRELNGSELTLPQLLEAGSWKGG REIAEVSRPNTKEPPILIDSDGTVF PFICI_04186 MLPSRGLSISRPVAGLRQSIPRPRQTISSWQTATRQYSQIQRFG RSSQPWSPSSGALLGHSSALPRLSSVSRNSLRLGATAGASRSLSLWPFGSSQQISESK NASSATSSAAQTGLSNETTTSASPLSSPEPHFAEHTTGSPDVFESSADPAASSFSPEL FSDLESASILDIPEQIGYLKHLGLDFGWGPTAMCEWLLEHIYIYTGLPWWASIAAAAF GVRLLMFYPTVIAQQHSSKLALLHKDPEFVKASAEAKEVMWDKDVDTMQRMKVQARVQ AITKRAGVSYSKTFLPPLAVIPFSYGMFRLLRGMAALPVPSLETGGFAWITDLTVYDP TYILPLASAALSALTMVQHQKANLNPTPQSESMAKFMLYGLTPFMFVATMWFPAAVQW FFFVFALSTVTQGTATLNPMIRRLVGLPAIPGKSASISPTGVQYQAPSRGGIRGMMDG ATQNMTNLQKGIEDYTGGPAKAALKKAQDYEKKRAQEEREKAMNRMLENQRKRMSRRN PFICI_04187 MATADEPSSHLAESGVTIHSDSEQYSAGEEASTSRESSSSSTPM ILYQPPTIWGLIRGTAINLLLPFINGMMLGFGELFAHEAAFRLGWSGTKVFPLSRRTA HPIGPGIEIREKATPPTPELQDLTAME PFICI_04188 MAGDTDHDTLVLQLLEFVPNATPEQATQYLSANNWDLDAAAASL MADADEAEEDAGTEAAPASSSSAAAAPPGYTGPRTLDGRPAQGYSTSSSGSAKKTQPK KKGLATLSSLGGGGHDHDDDDSDDDDDDPHRDTYAGGEKSGLAVQDPNSAKGNAQRIL DDLLAKARSQAPRPEASSAGPSTAASFRGTGQTLGGEGVESRTIPDPHGAASAPASAN APVQERRLHLWRDGFSIDDGPLRRFDDPENAEALRMIQQGRAPLQLMNVRYDEPIDVK LEQHDEDFRQLPKVYKPFGGEGRRLGSPVPGDSGAATVPVAAPPASASTAAPSTAATN VDSSQPTIMIRIQMPDGTRLPARFNTTHTVNDVYNFITQSSPDLRSGGWVLATTFPNK DHTDKSLVLGDMAEFKKGGTAMVKRST PFICI_04189 MKFTVFAASLLSACAVTAAPAPKPDPVAEPAPLPSPSGIPTAAA AKTQLAALTVKASASGDDYDRDLFPTWITISGTCDTREFVLKRDATSITVDSSCKATA GKWTSPYDGASWTDASDLDIDHMVPLKNAWISGANEWTTDKRQQYANDIVRPQLWAVT DNVNQSKGDRSPDAWKPPLSSFYCVYARAWIQVKSYWDLSITSAEKTALTSMLATC PFICI_04190 MSEFEVPASLPAGQSAVTGDIRNEVKKPKKKKVLLMGKSGSGKS SMRSIIFSNYIARDTRRLGATIDIDLSHVKFLGNLTLNLWDCGGQEAFMENYLSQQRV HVFSNVGVLIYVFDIESRDVDRDMATYVSIMSALTQYSPGAKVYVLIHKLDLIMTHVR ENVFMERVRLVRQKTAEFRQGSGFTGDLDVTPFATSIWDQSLYKAWASIIHDLVPNLS VIESQLEALGILIEAEELLLFERTSFLVVSNWTSEEGHNNPTADRQERISNILKHFKQ SISRFTGTPRNAEQFTLMEHKAGGRFSIFCLKFTTNTYLMVILPPGEARFNAAKLNCQ IARESFKFLDGPVSAPGQAQITA PFICI_04191 MEEDPSTAPVPAPAPEVELVSTPVPHDIHEDTLGGIDGSPQVAS AHGISEQPQQQQYHQSPQPFEQSIHMSPGRDAEIDPHTRYHTPPMPTQQQPHSISRPP SGLSGNGGDRHYSDHASRGSSSAEQQNGRNHVVIKVGMVGDAQIGKTSLMVKYVEGSW DEDYIQTLGVNFMEKTISIRNTEITFSIWDLGGQREFVNMLPLVCNDAVAILFMFDLT RKSTLNSIKEWYRQGRGFNKTAIPILVGTKYDHFVNFPREDQEEISNQARRFAKAMRA ALIFSSTSHSINVQKIFKIVLSKAFDLKCTIPEIENVGEPLLLYQSC PFICI_04192 MSYRLFSVRSAIRAASRQSLGRQPALPLARRTYASQHGAAKSSD MPLLLGSASVTVVGLAYLLSGSKKGGGHDEHGADHGDASTHGSDEHDTQDKNESKDTG KDKSESKDVGKDKKTDVNDDDDQGKEDNDSKKKPENGSKGDAKAANKSSQTGQNVPPP SSDSSSGSENKEEKKKDQEEYKETIEKKDTKVATSSSDMPSKKTAGEHPREDPQKGEG EAKQKGGSEDSKDD PFICI_04193 MASGSINTPTIGTFHQLLTKLTDPDPDFRYMSLDDLLKILNGTI KTDILQTNYSTSAQVVDAVIKVLDDQNGEVQNMALRCIGPLIGSVPLSVVPTALETLS GLKIKNSVDNSLPYLALRNAIAALPKPVRGVAPSREVIEASNIVSRVLIPRILGYSVS GRHQSDSRGLLDEKNELTSEAVDVLIEVVLSYGSLLQPLEIEKLQDVVVQVLQRPSAS GPVKKRAVVALAILGPYLSDDVLTALLSKVTNALGQKSLSPVMRKLYITILGSMARSI PFQLGEHLPTFLPYILTALGKDELQVQLDAISEGHDQGTAEFNEVREAALITLEALLA SCGTQMRPFTGEVISACLRYLKYDPNYAMDDEDEEMEDEDEDGDDEEDEDDYEDDADF DDDDDASWKVRRTAAKTLYTLISTRSSDLLEQGTLYSNVAPQLIKRFDEREESVRLEV IATMALLIRKTGEGVIRSISSEERAELLQSLPESRKRRRQDSGGFAITNKAPYLGPTG LTSPTREEIPPTGPRADLSQLTPQMVKISAKLIKGKQIPTKQAIIGLLDDLISLQNGG LSDYFDQVMQPIIDTINSSAGVVGSGSSTLSGGNSSATATTLRVAVLKLISDISRTHS SSLFQPYLSKMTLSIVAAVQDRFYKISSEAINTAEELVKAITPPRALRTSQKYKPELV QLYDIIVDRAASNDADIEVRQKAIQALGTLLSRTSVSEGALLLPAEKRQAGLNLLLDR LRNETTRLYAVRAIDNVAAHSSAAGSLESGWLQPVALELCGQLRKTNRALRGASVQAL QHLIMSPASKGNLEPDTTQGIVTAISPVIAVNDNHLLSPALIVLAHLVETNPDLISST DLIDNICSLLKTAVSESTLNALLALATSVGRGGQGQVLMQGVLAVGVGGDSRAVGKVA GTLFVASDGKAGITIDSFIQELNAASRKNPPDDLRQCLALYILAEIGLCLGSKSPISP DVFLSQFREEPDRVSLAAATSLGRAGAGDVAKFLPIILSKAKQPGGQQYLLLQSIRQI LSQVVDSGIDISPYEVQIWDLLFSASQHEDNKATCAEAIGSMAIISPKTYIPKLQQLL QSPEPQYRAVAIQAIRYTLPESEEAFDSMLKTVLVDMLLTVLQDKDMEIRRLAMTTLN SAAHNKPDLILPHLGSLMPFVMGESVIKPELIREVQMGPFKHQVDDGLEVRKSAYETL YALMETAFSRISSLEFYDRVVAGLKDENDIRALCNLMLSKLIVIDPDETTRRLDTIAE CYRKVLSTKLKEGSVKQEVERQDETNKSVLRVTILLADKTKTTLPSTSTGGGAQSQQQ SQQQVSNPVWQQYWEWVNRDFERPIKSLREESKETV PFICI_04194 MVTYKDIQASNALVNDTTAPSVAVFAGGTSGIGQLTIRALVATG ASMRIYLVGRRSSADRMHVFIQELQDSNHRAKVIWIEGEISLLAETKRICQVITSKES HVDLLFLTAGYAPMSGRKETPEGLEITQSLAYYSRILFIQHLLPILSRAAAPRVISVL AGGLERATMNLMDLDLKAPGGFNFIKAQSQYATMNTTTLEKLANENPDVTFIHSWPGW VNTGNVNRGIESNSITAWLVWLFLEPLIRLFSFSDEESGQRHLFQSTSAAFGGRGVSW KGKPGVNSASRTENGLFLVNYKCDCTPNSTVMASLRERAQGIIWDHTQEVLGPYL PFICI_04195 MASIARSLRAARPATFSRFVQTPVARSNWQSPSIRAFSVTPSRE IRKYTKDHEWVDLNADKTSGVIGISSYAAEELGDVVYVELPEADKTVQAGDAIGAVES VKSAADINAPISCRVTDVNLVLEEKPGQINAVPEDDSHGGGWICKVAVDEQGVQDFEN LMSAEEYKAFTGADH PFICI_04196 MSTPATQTVIAPSGSQEKKTTVRVGTRKSALALAQADFVVTSLS KLHPHVNFEIVGMLSAADKDKTTALYNFGGKGLWTNELEAGLMAKELDIIVHSLKDMP TVLPEGCVLACVPEREDPRDVVVIKKSLEGKYSKLSDLPEGSVVGTSSIRRMAQIRRR YPKLQFQDMRGNIDTRLKKLDAEDAAYTAMILGAAGLSRMNWLGRVSQYLDSTTEGGG MLHAVGQGGLGIEARIDDEATLSILQAFEDKHVMLAAEAERSVMRTLEGGCSIPIGVE TKWTSDRKLHLNATVVSVDGTEAADGNLEETIETREQAVDFGKRVAQLLVDGGAQKIL DAINAEREANPSTEKWVPA PFICI_04197 MASIRILDGGLGTSLEDKYGVKFNHSMPLWSTHFLVQGEETLLA CQADFVTAGADVLLTATYQTSIEGFQRTRTADHPDGISKEYIGGYMSKAVAIAEKAVR DSDSKIALSLGPYGACMIPGQEYTGNYDDDHDSEESLYSWHLERLQLFTVVPGLIERI SYIALETIPRLDEFRAARRAVQDSGIKAPFWMAGVFPGEGDTLPDGSSIVQVVEAMVD PATRGARPWGIGINCTKLHKLPSLIESFESAVKELIESGRLERSPAMVVYPDGTNGEV YNTTTKTWEKPQGQEHKDIANADSWASQLANVIRTSSTRGCFQSYLVGGCCKASAVDI GDLKREFASQGST PFICI_04198 MSIPGLGFISAAASSNTIAAPAASTTSASASTLANISLQPFWEY RFQVPFSSSLNIRLVSGLAEKEGTELAPNVTYTFSGTKSKINTWQGCELEVSTENAGS YDAHVAELTAEDTPLVSYLNLHMKLQGLRAATVAGKSSDAMGPRVLVVGPPNSGKTSL VKMLTGWATRMGKQPLVINTDASQGMLSMPGTLTAAVFATLMDMTTDWGGTPSSGPAG IPVKLPLTYYYGFERPRGNPKLFRGLLSRLAAASTNRLSEDPEVRSSGMLIDTGAVDA SEPNYDMISHIAAEFSVNVIVSLGSERIAGDLAKVFGGQKNSLGEDVIVVGLEKSGGI VERDPSYMQQHREKVIKEYFFGDLKRTLSPATQNIGFEGISLWKVVEADQNAGTEDFY DPLASTSTLEKVEPSLMMLNCTLAIMYASPRDHPDAIRDANVMGFVYITDVDEKKKRL KVLSPVNARLGDRPLVWGNWPEPMVSLLG PFICI_04199 MNGEPSPGLLATAATFPQMKRALSGDREMPKRSELVDYNYAVAG HAGTLCDADGELFIKPCTKSEINFYESAHELHPDFADLMPLYYGSLSLTENTTDQMIH DAIPAIVENADVPAAIKEEVQSHLHLDQRSTAPVIPELQRAATDGVTWVPNKSRKITT DRAVVLHNSSFGFKKPNIMDAKLGLRLWADDAPLQKRERFDEIANSTTHKKYGFRVAG MRVYKGSADPTELDEEGFKVYDKYWGRDTVTEENVLDMLKAHFIFNKRAGIDEELGKL VAQAFLADLQRVQEVLESHESRMYSSSLLFVFEGDGKALRAAMEEKTALVQERETRRS ERASVHKASPSVPCANLRVDSGIGMDDDGQAVFTATVSEVVGDEDGSEISFESEDFSS EPSIYSLKLIDFAHAKWVPGQGPDENVLLGVRSLVQLFEKMTQ PFICI_04200 MESVKAMDSADDAVNEPQDGVDHSVDGPADDSVDAASEEPTEAL EFAKKKKKRKSRPGKTRRAITGFEVEADMTEFYADPPTTPAEYAEEKNLYSADKPIAQ RLEEAIQRYRQKRRFDNQKSFMFDKYLALGGIDSSQRMFQGLDAADAKDMNAEEIRQM TSRYAVQRGFAGSKFYDPDEPGDWRVDFPIIVKGFLSRWIPENYPCNSDPHDDNVQAA ALIANFLNYLQLHDVCPEYKAQLVTAKAICEVAPVSLGHARDLFQELKGVFNTIAESL YCDGGVFEVYTSPVDDNESLPEQPGAQHVKLNSYNQFLILRLSIMAASNDLKHPIVDL DPQDVRVESTFVETYQVVSIKRRTKREMNMWDKQLAQSDFKDKVQAMGSMVLRPSVID HAYSNALRPGRVDFSKAPTETFIVDNDILEKVELGMKMRLQVCRLNIGTVFIKNVIDV RVGFDVLLPQSLMLNWKDPSPNDRPAPSIHDIDQNNGHEQTGTNEDDL PFICI_04201 MPWISCLPLIPAASRGKTAPSCHRISGSIPTISHYSIHFNIVLN RVVNPGDMPTTDVSELNIIATVLGLFTILFGLTSFKIKDVWYLGEALPAVATGIVLGP IGSKFLDMDRWVDKSQQAKATLGVMRLMIGIQLVLAGYQLPKRYLQTRFKEITTCLVP VMTIMWLSTTACVMAIIPRMPFTLALVAAACVTSTDPVLSQAVAKGAFSDKFVVRPLR EIISAEAGANDGFASPFLMLGIGIIFQSDKSNDTAGTLATFGGVIKFWIIEALLYTVF LSVGYGFIVGYCAQRAVGFSLSRKWIDSESYLLFPTALGLFVVGTCGAIGTSDLLACF IAGCTVNWNGRFHAEAKRRHDQVNNCVDVLLNYGGFMFIGVTLPWDQFHQPEVTGITW ARLLCLGCMVLIFRRIPALLMCYKFMPAVVKNWKEAIFMGYFGPIGVGAIYYLEHTQL LLLSRGKISSKEEQLLLSLTPIVYFLALFSILVHGLSIPALNMIYVRFNVQPVTNDAE QVRRKSAHVATPINAISTEQGTFIAFNRFDRPDQGGDQDERANLQCCGTQSLDSTNET TGSDLALKAKTTRDQCATTHQPNAPDIGVEKVV PFICI_04202 MTSSLTDVLILGAGPAGLAVAGGLARQLHTAIVFNSSQYRNARA QHMHNVPGWDHRPPSEFRDKARADILSRYETIQFKDVEISQVRKLDTGQFEAVDANGD SHVGKRLVLATGVQDVMPDIPGFAELWGTAIFHCLFCHGYEERGHDSAGLLASGPMMN TGNSSGVARMASRLAGQVRIYTNGNTDFGAEVRVLLKSTKRFHIENRRVVRFEKDPSV GGSAGVLVVLDDGTVNKEAFVAHAPATVQTAPFAQQLGLGLAPQGHVETNAPFNSTSI PGVFAVGDCATLIKAVPPSIMMGGLAAAGLAHSLQEEEDVAE PFICI_04203 MDDSMRVEYPAMLANLQPSQAVQVLNDRVKRISKLNIEIADWLQ ERRRVEEVYVQGLRKLTQFRVPNAQSELGTFQVQWDKIVQSAEGIAMSHHLFATKIEQ DVETPLRTFNTKKEMQNMQTIQGNLQNMAKELDDAQKKSDALNKKAGKASTAKIDQAA SRLDAATNQWESQAPFIFETLQALDETRLNQLRDVMTQYGTYEGEEAQSKQAEAETVL NSLLDYHTGNEIQAFVAKTTVGKPRLQARPPNSARAPSSLGTASASLAPTASPSTPTP APAPSSMPPPPTSSSSAMDDARSEHSVHEEEKKGSENKLRSRIGTMLGRRRQSVHGGF GQLSPAKGAFGRNAKSSHSNISPRASSSNLAEANNRLPSLAERPNSADDPLRMSETRE KSSHEGTNGIGANNPASTFSPTDEALAQLNGTASRDLGSVSPPPGPPPSQREPEKDAE GFTVPSFSHDPISAAQREAAETIPEEGEPAFRLNIQKEPVADEDPEERQAALSKFSNS LSALGMPTKRAGTVRGRRDVRNTIYIPAPVSESSSLPTLNTASSLSRPSTVAALTSEP SATGASDTQSIRSSNSLNSVVQFKHPDMHEPGLNSSLIETVSATFEDGEAKTVKVSGE IAFSFNSTDAASHQTHQSIRVNNFAALEVIGPNRIFVANHTPEQPDQFNLDLSHLRQS QATVGFSYRLHVDAASPPIDHVPFLLKPAWKPQGDKLGLLLQYKINPVFKFGSSITLR NLVLLATYDGKASGAQTKPSGTHLKDKHLVYWRLGDVTLDASQDWQKIVCRIVGEAGV EPKPGIVEARWELTPSGQAADAISISKLEESKGKEIELSDDDPFADTGAGDGGEGHWV DIPAVRRLVAGKYEAAS PFICI_04204 MSGETDWRSNLSSLTRYENIQALKASIELADPLHASAQKQAFTI ENEAYKNSNTLDEYHAACQYNHQSEPESLATEQHTELIEPAVPISNISIGQYGNCLYV ASGVTAEVYRSGSRALKVITETRSIEPHNPAREAKILATLERSCIPLLETFRDQEQRF VLVFPYMPTSLEALIAQGSISLARLKKHFIDIFGALEHIHEQGIIHRDIKPSAVLLAS PDGPAYLSDFGTAWHPTFSATAEPKDQKILDIGTGAYRAPEVLFGDKSYGTAVDMWGA GAMLAECSRNPPRTLFESRPVHEDGNQLGLILSIFKTMGSPTRESWPEAANFKTPPFD MYRSFEQHTWEEILPDTRADFRALIARLVHYNSNRATAAQASTRVELLYS PFICI_04205 MTAIQKIAVFGAAGNFGVPITDALLSAGYQVTAISRLESASTFP PAVSVIKSDYTVDNLTKALNGQDAAVCVVGPGAMSSHMAMIDASLAAGLKRFIINDFG WGPDFEGEPEFQEIKQRRLVAWDHAMKLASSNPTFTWTGITIGNPIDWALPRFGLMGF NLKQHSAVIYDQGSEDFTGTTLEGIGQAVVGIFQNPEATANRFVKVRSIQTCQKQLLE AFQKATGKDWEVRNSTTAELAESGRRKHKAGTAGWVLDLLVFQLFEPGKGRCIVATSQ DSDNTLLGVREETPLEVVSKALN PFICI_04206 MPGAVRMEAPNGAAPLGGVYAGMFRPPTSPSISNSNYFGRSPGS IYSDSPTPAFNVKRKRYEPRDSTALYSDAAMDVDSASGSRPYSGSGHDTRYTFAASIE TPYGAEHKQLGHMDDSTYSDVDYRRALISRRTDMPCSKPPTATSIPGWSRLALETIGG VVGKVWDFCTVGAFRGFYAGGGRGYDVNPGPVRGSIWCNEHDVPTLPDIPGGFPDADY ARFQAQSHTPDSTPPPAAKRRQVSNNAANDELRRNWVMVEDPTDKPRNMGSPQSQRPT QNRPLLNRRISKPIGRLANTGSTQQSARPVSHAGNVALTNRQPASYASPRSPAVSDRP ATPSRLPVPSRARSSSTATPPRMLQQPSQIPSPSPYTARGHRRTHSAASTASGTLGQI QRRQSSAHEIVEETSPRLDARARNMVAKRMIQEQETDARINDFNSRLMDMIREGREAL GTTIEVDVHDDYRVGNDQWEDD PFICI_04207 MSPSTLDGDFKPVDAGSVETAKVSGDWTVEKALATIHPEPPVSN STSPLPFFHMLERLKTSKREGWRRFGIEKGESIADHMYRMSLMTMLCPPSLASRIDLP KCMKMCLIHDMAESLVGDITPVDGVAKPEKSRREAETMDYISNGLLGGVYSGLAGAEI RAIWQEYEDSKTLESLFVHDIDKMELLCQMVEYEKRMNHTLDLGEFAYVATKVVLPET QEWAKDILKERENFWAGKGHVQGDHRANGGVSEQKVEQQDAYYSKDDASTA PFICI_04208 MKLLYPTSLALDVQSLEGFSVDLQPYDVTKPIPEEHTDASALVT WTNTSANLSDAAKRLTNVRWIQSLAAGPNDVLGAGFDASKIKITTGSGLHDHTVAEHT LGLLLNAARRFYEMRDYQLQSKWPQHLGGPQPDRPKGKFTSLRDANILIWGYGNIAKS LAPHLTGLGAQVRGVARSAGVRNGVEVYGEDKLAELLPQTDALVMILPGSESTKHALN AERLKLLPNHAWVVNVGRGMSVDEDALLAALESSQIGGAALDVFDKEPLPESSKLWKA PNVIVSPHAAGGRPQGAEALIAENLRRFQAGQQLKNII PFICI_04209 MPSIGEKIKSVFTTEHSAEAEKGVVDHRTPGSFPEEDSAAQDQH QHREHHEHNKLHKRDDPRDYTIPISQENPSAAGYSHKPVDSGVGLGGQPLAHDSVKNI SASPATQPYAHDNSRQATDEQNRPDTSAVPGTGLVAAGAGGVPARALGEDRSGQKPLS GIAHDGHASTSRDTPLDRSGLSGTEAGNNPGYTSHQGTTGIGAAAVSGAHDNSLPSQA SRRDVADSKDSSAAQKEEPYWGDLPQGSGVYNTVIGHGSGEDTSTQHRAIPRGPDDGQ SPRNAASSSSPDQLSYGSGVYNTVTGHGSNDEHDAMHYNEDGHRVFPLNDSHDTSHDR HIGEAGTAMGVGAAAYGASQHHKHAKEADAGTLPSGGNVTGTEARRPLQDTMNVTPTN TRDAGGAALAGRHKDQTQHVTGGRTTAQDSNDYNSKAADPTGHQHKITGFLHRRHDEE GGSTAHKRASDIVTDDSVTSGSTSRPELKQSLEDDSSHRNRNAAGLAGVGAGAAMGYG LSRHEKQHENDTPVQYQPEQASTINNAQTSLSHGTTNQPAGYAENDSQFQQSRQTPSN VVHDDRHASQARNLAGVGAAAGAGYGASKMAQHEKQPIDTRNQTGIASGSIRTPENTS VQSAAYNSSTSTKHDSYDHLASGTASGVARDTHGHGQSNLANTASGQPESSQYTPGNQ GGQSPYNVLASGTPSGVQVENKPSTNLHTSSTPSSQPLSKVSEDCPKCGATK PFICI_04210 MAKSNTGNPLLHPILFIFQLVQWVIGKVLSPEPPNPSTRLGRPK IAVIGAGITGVTSAAHCVGHGFDVVIFEAGDRESLGGIWSKVNNTSGLQIHSLMYRFH PSVKWDRGYPDRQQIISQVKQLWERYGLDTRTKFNTRIEKVYQDEQGRWIVNDTSNGR FEGLIAAVGTCGDPKMPTMDGMSKFKGDVYHSSELTGKNAKDKTMLIIGGGASAVEAL EFASEEGCKKTYILSRSDKWIIPRNTLVNVLLSFNIFGQETLFSWIPETLLRKFFYRD LQDLAPRNKGIFMETPMVNSDVMDKLRSGEAEWVRCDIEDFTENGIVVNKRDKGVPPG GPGRNKEIQGDMIVMATGYKRPSLKFLPDDCFDEPYGPPNWYLQTFPPTHPSVSAINC TYVNAIGTVGNWHIGIYTRILLMFLVDPLTRPRTFWMERWIDMTKILKSTAPTGAFDF FTYLELIWWFTFCVTINPFRWKWVFFVFFGLGYALPKRIVATEERIRNGLGFHQEEGR DVGKSF PFICI_04211 MAVQTSLPALLASLTQSLDSALEATPKLAGIEPPTDGVSLLNVK NELLLSYLQNLVFLILLKIRNAKGSNEKSSNKDSDLSNQVVEKLVELRLYLEKGVRPL EDKLRFQLDKILRTAEDAERNAQRDALNGDKDNESVVSDESDNESEAGQESATRQPHD KMAGPGFNFIAGKEAVGMAAATKDKTGVYRPPKFAPTVMPTTERREVRDRRPMKSATM DEYISNELSAAPVAEPSVGTNFIAGGRKIKTATQRAEEDRRREYEESNFTRLPKESKK DRIARNKAEGKTSKMQFGGEDWRDLGEGAARIDRLTKRKDGSSSGTRALLEKSRKRGR ETTDSARGSGLNSGTREIGDHFQKRLKVMEGGRRDRGKR PFICI_04212 MWPLPNAPSLALTTLLVLPQAVSAFYLPGVAPTSYKLKDNVPLY VNSVKPTASNEDALVHSVMAYDYYHERFNFCKPPGPDGPKYVSESLGSILFGDRIMTS PFELHMGQNEICKKLCTTAYDKSSAHFVNKRIVQGYAMNWLVDGLPAGQELFEEVSQS TYYSSGFRLGAVENGAQGTQPVFHNHYDINVQYHEVGNDPNQLRVVGVIVEPLSRHYT EGSECSDDPNIKYSPMVLSEDSNTEVQFTYSVYWTKSPTAWATRWDKYLHVYDPKIHW FSLVNSAVVVIILVLTVSSVLFRALKKDIARYNRLDQINLDDLSGTSALEDGVQEDSG WKLVHGDVFRTPSHPLLLSVFLGNGAQLFVMTGFTICFALLGFLSPSNRGSLGTITVL LYTLLSFVGGYISARSYKSMNGDKWKLNIGLTPLLVPGIVFGTFFFLNLFLWANQSAG AVPFSTMLVIIGIWFVISIPLSFAGSWFGFRASPVEPPVRTNQIPRQIPPTTTYLRPI PSMLLVGILPFGAIFVELYFVMTSIWFSRIYYMFGFLFLCYGLMIITCAAVTVLMVYF LLCSENYHWQWRSFLAAGTSAVYIFINALIYLISKLSLGGFAGIVLYIGYSALLSFLF FVLTGSIGFFASWWFVQKIYSSIKID PFICI_04213 MASSEAFTFITESKDLAPQFFGPYLDRTGAKVADLQTHLLTDLR RQYPELIISIIPAGNVNLLAFAAAGFAQAELDLDDEPIVRWRGYSPPRTRHGSGALAE SRFFAKYRYKWNNEDFILYSVIVGIQSLQYVLKEPHGSETTLSNSAIVDKLILAIGEW YTVDEDIIYVYDGYWSASKTLWKQVQDASWDKVILDPKMKKDLVEVSGKFFDSKDIYD EYGVPWKRGLIFHGPVGNGKTISIKALMHTLNQRKQKIPSLYVKNASQTYNIRNVFQF ARSMSPCLLVLEDIETIVTPNTRSYFFNEVDGLENNDGILMVATTNYLDRLDPGLSKR PSRFDRKYLFPLPNLNERVLYCQFWRRKLDGKPDVDFPESLCLPIAKLLDDFSFAYMQ EAFVATLLILARGSESSASINCLFNDPNQYRFYRIIKEEAQMLREDMDKSEITPPVPL VAPNTSYSGPWTATPGTSLADQHAIKHDQELVNLPGRHSFQSDPNTAAVRSLVQYFSV RL PFICI_04214 MRASNSVAALVAATILSLVQAQCDDIVPGQYAGTAFQNSLASTH DRSELTYFKIRDPSGQHVCSSDPASDISLLTFQSLNTTGGRPIDADLRRAVIVLHGAR NDPWNYHAAMIQALELVETDDISLDNVAITAPYYPNDDDAGTGFPYNPSGATPADQYP SPALVWFDDEWSGGANNEYPPNTKTVSSFDTLDQIIQYYGNKAQFPNINQIVVSGHSM GAQMANRFAAVGKTNDQLGITTPVSYWIGDPNSLIWFSETRPLSTSKCPTGYDDYREG FTNYDTYGSDHSTPMTYNNALVAAGRDALLANYNSRTIAYARATKDKGDYNPNDECAT YTTGADRNERFFEFIKTFPATCENPAGPCRTVDIVVSSHDAPTMFQDVSGRTRLFIDN WAGLGNRAYDFGYPRYASYDDPYPDPSQNSQALIGADSTVYAGGKTYQGCWTDVDNAQ SIGALPVSAYVGSLNSRSYCSDLCTQQGYSIAGVSYMNCYCGNAMGSESVNVVETSCA GPCPATGATGACGGANRLSVFSSVAL PFICI_04215 MTISPTGPGLDLFLVSVILGALSCIAVVLRLVIRKRIKGIGLDD WLMLLGLIFLILTCISTIVGSFNGVGTKNEHLDSYYLMQGKKWFLFAQLFYVLSTVPI KAAICVSLLRITTRNLYRWILYGVIGLASIACVVTDITLLTWCQPVSATWDSSTGKCA DASIVTNISYFISACSVVTDWTCAILPAFILWEVQLKPKVKVSVAIVLGVGVIASSAT LVRLRYLLHYSDPDNYLYSVADIAIWSVVESGIGIIAGSAPALRPLLKYIPFLGDTSS NRTPGTGGPSGAAGASYKLNTFKNSNAPKTLVQVGDNGWDRLSDTESQRYILKDNGIK VTRDVTFNVTHDADSDAR PFICI_04216 MFAAFVAPNRRGDAWFSVGLASSFPNITESGSEIVADTRPCGQG EAPGCKVFQVPKDDSSLAAQVASSDVEEAAFTARDLKDQVLVFQYKGKFHAINNQCPH SSFPLSNGSPFDIEDFGIALSAGISCPKHGWSFDLFSGQGDRGTYRLKLWEVQARPVA GAPADDEEREIWVRRKQRMG PFICI_04217 MRFEATELGRVANAAVRSTRATLKRAPQPFIPVPSRCKNTASAS LVSSFQSLGLGGAQMRYASAWTAPRSGRANYGDESNIAKPKTTISPQAFRSAKPELPD PDTTKPEPTIDNEDMVKDVSFGLGDLSNSKYWSEDRSQITAKYPSIRCVARTGRTIQI GKGYDASRAFRMLGMQVASNRVRQDERLQRYHERPGLKRKRLKSERWRKRFKKAFRST CARVEELRRQGW PFICI_04218 MSYNPYGGVPGFGPPPGYNSYPGATGPPPGMSTPPGLGPPPGMS APGMAPPGVQQPILNQANRPSGLPSNFQAPPNMPNINFNAPVIRLGTLPSKPSTPGVP GRREHDAPQSAGPRSGLGMDRGIDQTRQAVRESMQALVPPTKEEIVRTIFVQGITDGI RGNDGIEEIFKIVGNLRKWERASDAAGKELPFGFAQFEDAESLWVASELLKEIQIPTK KQAPTEAPEDADDSYEDFAKSKLQFKLDENTRNYLESYVEGRTNESEADARLSAAIGA LKQTVRNFFYPPLKSGADADGDVAMGNSGQTGENVEVVNIPLAQDDELADIPAEMRET VAAEIAAFRERSIKRDLERLKREEELEAMERQRAAGNRPSRLASPPLGAGSSSNNTPL GPRGVPNAPSGPKGQKTDLGKDYNRSINFVNGGITNGGLVPNREDEDSDASDEELERR RVAKQEAEAEKLYLDAERRWLNRERTRAAALERERERDETDEADVAKRRESMLERLKH FDDDAEASRKKEEYYADRSAWIRNRTAFRAREIAADDADRAAEEQERIAEDAEREQAR GMADSFLNEQAREMEQRHTGRPGGAAPQPFKLSLGAAAQRAQAQRAAPQRKTVAEVEG LLEDEEEDNKKRTIVPIKFDPADAAGMSNEDREQAVRSLAQEIPNEKEGLWQWDIKWD FLDEGVIRDKLRPFVEKKLVEYLGVQEELLIEVVEEHLRKHGQPAELVETLAEALDDE AEALVKKLWRMVIFYTESEKRGLSA PFICI_04219 MAAKGSYPSFASAPPEVPHTDVSFPTDYPHVMLVTLNRADALNA IPRPQHYALERLWDWFDDEPSLRVAIITGTGRAFSAGADLKEWDNINSDDNGQVPSAP SNRRDRPSGRFPRGGFGGMSNRGGLKPIIAAVNGICFGGGMEMAINCDMIVASSDAAF SLPEVTIGVIALAGALPRIIRTVGRQRASEMALTGKRYTAQEMKEWGLVNEVVPKGNI DGGAKRTLVLEKSLEWASKIAANSPDAVIVSREGLKLGWEGIDAEKGTETLLRGWYSK IEKGDNMKEGVKSFVERRKPKWVDSKL PFICI_04220 MEEAYSYTALPTPGHIRLLTLKASKSSSADLHGELVDYPLQECE GDLHCYEALSYVWGSNEKPRQLWIGNCKLDITESLYAALLRLRNRSADRSLWVDAICI NQTDDDEKAIQVQRMAAVYSKASRVRVWLGEADAADGSNDALYCLAEAGAAEGPYELP DESLEPIRSLLARDWFKRVWVIQEVAAAQHVVIMCGATSIDGYAFCVGLQALHKSDKL PDLQEHIGSIVYLIRRSIFRLGKQNHQASQEESPTFSLNIRPLGQLIDMYRNRQATDQ RDKVYALLGMSSEVIPQDLMPNYSDAMTWDALFSSLGRYIFGTEAHVGVVATNIAAVR IKCEILGHVSSLRPDEHRYDMQVLTIASWSTDMHAYDQREWIAPTSAVSVNVGDMVCL LDWGQNIAILRSETEFFRVVMTSGRWNAKSAQYDEVLEKGDKHELLLAWDWNSPEQIM PRFIDTIPAKSIITQHTNGITRRQIVVNALLSAYSDIQAESSLLDLEQEYQQQSSESM FAELDRRAKMVYLYLRTGRLDEAERRYKDIYQHAKAMARTEWLSQSISQYLKFFPTAA YPQKARIWGTLKSVIDENVVTPQHYIQTHRRLTDTLAKCTAAEASLLIDLGELFIRNP ETTSMVCTRDNSSYVSEDIIKALEESVVEAIAGNSAFGAQEGRRVMELLLERKGDQVP ITEAVVIKAASTYYQLGLLELLFEKRGDQVPITENVAAAGNDHSGREVMELLFEKKGD QIPITENVVKAAAGSRFGRKVMELLFEKSTFLTTLDAVKSMALNKAAAAGSHEVVELL LEKDADLTVPDPDGRTPLINASRNGRLEIVQQLFDRGADWRIPDSSGLDALQWAIWED RYNVQDFLLSVGAVESDDVYGIRALFEEELTVLS PFICI_04221 MRSFSLFVSLSAAAGALAAFGELPANVPRNVDEFRDKHPYKPSP GCGGGDRPIVTIRDSKNDTDDVADEFYAGLQEANNGGTLQLEKDKLYIIGKPLDLTFL NNVQVQWDGEVKFTNDTPYWQANAFAHPFQNSLMFWKWGGKDVKIFGEGVLNGNGQRW WNEFAGLEILDPDNEYLRPILFYAENATNFAMEGIHEKDSPCWTNFIVTSQGVSFKNV IVTAESNNASALPKNTDFFDSLNVVDLTVEQVWVNIGDDCFSPKSNATNIHVDTMYCN GTHGQSMGSVGQYEGEYSFIQDVVIENVWLLNGQHGARIKTWAGPDVGYGFVNNITFR NFWQANNEYSAFLDSCYFNINASTCAAYPSQMNITNVLFENFSGYTSGKYGTAVASLT CSTNPNAVCDNIQFKNFNITSPCGDAVIICDGIKGGLGDDYPCVSKNTTEATAALAAT CSVPLATSTVTPW PFICI_04222 MTVDTGLPPRYEIRTLGMEHLDWCKALIMHSNVFGSPIWAIVYP ENKTHRLYETYHAIDYLVRHQLESGLSLGIIDKEYVYKKPESAATGGRLYWDLKNENV EEDELLEQMDFPLVSVALAYDGFNKLDHARIEPLIATLPPFGVMYAVLAERDPRDPDS WQPKVPGQVLMRNATATKLDEESHGFMKILARYMMHKAASEGFRGIQIETAHDAVSHV WGKPPSPFVGHRVSELNSDYALKDENGNTSYPFYPAKQDMVKIYVDLKP PFICI_04223 MMLLTFTFAAVVSLSALASVVPTERASSVDLDAFVTVERSIALQ GVLNNIGANGSLAVGAAAGYVVASPSKANPDYFYTWTRDSALTLKMVVDEFLLGATYL QTHIEDYLHAQAVLQTVTNPSGTLLPSGKGLGEPKYNVDGTRYNGNWGRPQRDGPALR AIALITYSNWLVAAGQAGRAKSVVWPIISNDLSYVGQYWNSTGFDLWEEVSGSSFFTI QNQYRALVEGATLASTLGVNCTGCDQAPEVLCFLQSFWNGDFFIGNINTNTVRNGLDA NTILGSIAIFDVNASCDSGTVQPCSSRGLSNFKAFVDGFRNESLYLITSGAAEYLYDA IAQWTKQGAITIDDISLAFFADIFPDAKLKTYSANSTCSGFSQIIEAVRAYADSFVSV VEQYTPVNGSLSEQFNKTAPGNPLSAYDLTWSYAAFVTMAERRAGQYPPSWEQSTLAD LPSECSASSIKGVYVPAFAAGAPNVSTSCTSYVQFAVNASTYFGENVYLVGNTSDLGA WDVDNAQPLLSSNYTSERPLWYAPIALTAGEYINYGYARQEDCDQPWIFETVNRTLLV PECDENDVEAIRAETNDAWTGSVGSSGGC PFICI_04224 MFRPVYVSGRVRWLHFFVTFIIICVLLSAVRYHRPPPRPPSIYD YTDGKTAGTWLNETLNEVLVTDEASYLKDLVSQYGLSEDMAWYARRLRTSYKSNVRNS MTDTGLKFMARDFQRVRPEEERLHLHAEKAIHLAMQRSPTPDNLDVSSLIFGISSSYG RLTYADDALLRDWARWLTDGKGRTNGASLVLTLHRATNAEVSYLSAKLRELGIDAVVL AANENSDVTSRYIELMHMISRRKDELSREGETKSFLALVDDDVFFPSLGKLLGRLAKF SSSEKVYLGMPSERADWTVENNITLTYGGGAVFFNNRMANDLGQLPCLQESDSSLEGE LKPRGDAYWDEHIYKCISQHTDEDMHVLPSFYVPEDDYHGLRTGFEGGVQPLALHHYK HRHRFEAWKAHMVAGLCGEDCFLQRFFFRDSWILVNGHTISHYPDGVEVVPMPRTSRL VTQQGRDKEREVKTAARIVIEPVEGGGVKQRKVVSWTGVKRTWRLLDARMGEDGEVWQ AYVKRRGSPMSYGDENDWMPDDTVHSQDSSSDEDSMIMLIWEP PFICI_04225 MTTTIFPKPEKAPKLPGLELDRSSNEAPQLPRHLHMAAAVTPSP TSSPVAQKPEKQYRTMTQQEWVQFCHGVGVMKDNESTTVIRPTAWYWPPRGFPDGLYQ DVLWEKAKFTYSFHIISTIVWILMILQLALNAVLTAVGSSSSNDSVVITTIAGVNTLV SGILALMHNSGLPDRYRSNRNEFAKIEDYLKEIVDTRLVPVEDSIVEVMAHCFDKFAS ARQSVQDNIPASYVPAAPTASSKGVPIASKPAATDVKH PFICI_04226 MAPQSKNKKAQAQNSVNSQRITVNGEEFLEVKEGLGRILNPVAK SDGSKDAPTEEEQQKVFYNPIQQFNRDLTVLAIKAYGEEVVAKRRAATERKINNKKRK RDEAEDGNTQTQDAAVSTAQIDATTAPAPEKQEQSGDPMDISEESKDAQVGETKTNGT EGVPKQRNLQPPKFTILDALSATGLRALRYAQELPFVTSVTANDLTPSAVEAIKRNVA HNGVESKVEVTQGDARAHMFSLIAAEVTREHETNPRGFKKGPNSPSGKYDVIDLDPYG TAATFFDAAVHAVRDDGGLLCITCTDSGVFASNGYPEKAFALYGGVTVKGFYSHEVGL RLVLHALATTAARHGLAIEPLLSLSIDYYLRVFVRIRKSPASVKFLAGKTMSVYSCDQ GCGAWETQLLLRNKKVANNKGSGVFYKHGFAQAPTTDSTCKHCGSKTHLGGPMYAGPL HSADFVQRILSSLPDASKDVYGTTTRIEGMLHTALEELSLPAPEDPLEACKDDELAAL EPYPFFFHPSYLAGAVHCSCPDEESFRGALRGLGYESTRSHCKPGSIKTNAPWSVIWH IMREWVRQKAPVRTDKIKEGSAAYKLLRLDQASSEGKDEQQTEKSEIDKLEVVFDQSL GKDKSRRGLIRYQMNPKENWGPMGRASGH PFICI_04227 MATDGHSTAAGQATPDQSHHLPTHQIVYINKDSASGGRYNIFSI TEDLNNEEKPFNLTKSQVEHVPQPLLAEFLQDGPPDYLRDAADKKVHVVVSTGSGTGL ASKFYNFVLRPLLQELGLSERQSAGSENGSPYALLITQDAQSIQRFARDLNISSKVSD GSLRLQHTVILLSGDGGAIELLNGKAPGDDDGERIESPSHASLPLVAMLPLGTGNGLF HSVHKPLYAETGDKGPSSLVLGLRTLLRGQARPLPSFKVDFSAGSRTIVYKASGAIAG TDGGAASEEVEIKEESDTVSHLYGAIVASYGFHSQLVWESDTPEYRKHGSKRFQMVAA ELLKENHAYSASVELTVADGTTRTKIDRQKHAYILSTPLSDLEKTFTISPASKPLDGV LRLVHFGPTSPEKTMEIMMAAYNNGSHVGMTWEADDGKTEQIGYDAIHQVRVTTQEEN PRWRKVCIDGTIVEIPQGGTMTVTKEERPHLRLLVHPSIVG PFICI_04228 MTSMAAESVATIVCTSKQTRFDIIAPNYRELDVEGLNITVSAGS RAADAKGKGKSKNQGIEILSNAKLRLKAGQRYALVGRNGTGKSTLLKAIAEKLIPGIP EETRVAILQQTDASSNNSTESHESESISNSDGPDVLEEVIERATARQALQKEIDVLSR GIDSTNAHGALRGLRKVRHERLQKELFLKDKDARLRSGARGMQARKALIAMEKEVEAF ETVYQEKDQDITPEALARETSEAADLLAELELQLEPAKVAEVESRAKKILTGLGFTEA YMQKPVSSLSGGWKMRTALAAALLQDTDILILDEPTNFLDLFGIMWLQQYLTSLADGN DPPTLILVSHDRDFTSLCTDLLIVKDKGLNYFHGDLPMYESSRAEKKLYLTKMKEAKD KQKEHIQQTIQKNMKEGKAKDDQNKIRQAKSRQKKLDDRFGMEVNAKGGRFKLNRDRE GYHLSSRSDVEIPQDEKTVQILLPSPPDLRFPGPLLSLENVSFRYLTTKGSRTLAPIV LKGITLSVSMGDRIGILGLNGGGKSTLIKLLVDEMKPTAGTISMHPRLKLGYYSQHAV ETLQKMGRQDQTLTSLALLTKEVSGELDEGEVRGFLGALGLPGRVASDTPICKLSGGQ LVRLELARLLWKCPHCLVLDEATTHLDYETVTALREALRDWEGAVVVVSHDRWFMRGV VEGLVDDEDSDVADNDDDAAPRRRVVYRLKTGELCKLEGGVQEFENIMEKRVRKMVGS A PFICI_04229 MRNIDELPIDIPSSPLSSTSWEIRPSPGKGLGVFAKSPIRTGQR IMVEAPLFAITPPQFVPGKGYELSAMAASVDAAVASLSPADRNVFHSCHAHYLPGEAA ATEEPGSGADMRRNRNMVIFRSNAYTLTNGSVAMFPQIARINHACRPNAANVWSAASD RRIIWAARDIAAGEEVTVTYAPLLKARSDRQARLAPYGFTCDCEACRDNDPEGTDRSR VRMGRLLAELEERLAQSTSGAANKRLLPKALELVQLLEKEHMMDYLPNAYHLAAELAH RMEDSAAAMTWSEKALQLHRHADEISHAAMGEQSYLDRVRM PFICI_04230 MAGGVVVEGTTDVARTEAPVTFKAYLICAFAAFGGIFFGYDTGW MSGVMAMPYFISLYTGHQYDYGAAAPIGIDADHFVLPTSQQSLFTSMLSCGTFFGALI GGDIADFIGRRPTIIAGCFVFVVGCIMQIASTNQEVLFVFGRLIAGGGVGFISAVVIL YMSEIAPKKVRGAMVSGYQFCITIGILIANCVVYATQGRADSGSYRIPIGVQFLWSII LAIGLFILPESPRFYVKKGKIEEAAKALAYVRGQPQDSQYIKDELAEIVANNEYELQV IPQTSYVGSWLACFKGSLSQGNSPIRRTILGTGMQMMQQLTGINFIFYFGPVFFKQLG TISNVFLISMITTLVNCLSTPISFFLIEKLGRRALLIGGGSGMIISQFIVGAIGVTQG TVEANNTSAVSAMIAFICINISFFAVTWGPTAWVIVGEIFPLPIRSRGVGVSTASNWF WNTIIAVITPYLVGDDKAALGPKVFFLWGSLCCLSVTFAYFLVPELKGLSLEQADQLM NEVSPRKSAGWKPTETFAAKMHHVHGDEKTETHVAEQQV PFICI_04231 MHSRSIIAASLLAFSPFTAAAPVNGSTSSSAYTAQATPTANPLA ALGLSKTAQVFLSDSRADAINNVLTSNDDFKFSFVDKKKGGPGEGGEVVPANRKTFPA LTNSGVGAAVAFLDACGFNTPHVHPRATELAVVVQGSVVTSMFPENGVNLTDGTRREI MTTLNQFDATVFYQGSVHSQFNPNCEPAVFVAAFNSEDFGTGQVAQELFAGSDEELTA AIFGNQISGADVDKLRGQISENVARGVEECLTKCNITKRK PFICI_04232 MKLAVQTALLAALLSAVDQCLATSHHRHHHRRHSKPEISDRNTT GDIIHQKNLELKARGLDTCTFPSSDGLIAITPGSDNAGWAMSPDQPCTCGSYCPYACP PGQVSAQWKDGSTYATTDRMAGGLYCGLDGKAVKPFTSKPFCVDGTGTVQAVNKVGKV VSFCQTVLPGNEDILIPNDVSDTLTLAVPDTSYWQSTASHFYINPPGVDSDKGCHWGD DSTPIGNWAPYVAGANTDASGLTYVKVGTNPIWQGSSLYDTKPTFGLKIECTSGSCVG LPCTVDGSGVTSDLKATGAGGSDFCVVTVPKGGQANIVVYSLDGSSDSATTSSVATTT SKAQPTTTSTTSTSTSTSSTTSTTSSSKTTSTSTSSSSTSSTASSTTLTRSSSSSPAK SSSSAVVSLVIGGIFQENGTTTASETGSSSAQSTAAATTGDSAGSGESSTGSAQATES SKSESSAAQGNGAIAGLIVAIVAAACIL PFICI_04233 MALTGQFLTQVRKFLASRDGEQLRQWLLVEPPVPDHYHMLAQEL RGTASIEKLVEQHLPEEDDVSSESGTAWPGFNAFMVDYLNYWRDVDFEDLLRAHELLM GLTNSCTTALNHPTYGIIMLQTSVALCGSLSKLSMTLNKRPDLTRKLQTIDTSGGDEE EKSIIDKAAEVMQRVFTSCLTDRTSDRLSKPEGKKVGVYTFANITLKLLFACRKTYLA KTIFMNITSKSPPLSFYPAAQRVTYLYYLGRFHFINNHFSHAAQCLEQAYLQTPPVFQ KHRRLVLTYLVPCKMILGYLPSPNLLQRPEAATLAPIFSQLSLAVRTGNYLLFQSTIS ANEKWLFRKGLLLTLIFRLRPLLWRSLSRRTFLLTYIATPMDPSAPSNKAATLDLSHL LVAATYIQKRLEGYVPSEMAPRQRPPHVNAMFMKAVTNSGMPETDAHSTLVPPPGGPK KLRPNDGLIWGNMAPTAEHVEDVVASLVAQSLLHGYVAHSLGRFAIMGAAKKGSPVAA GWPSVAKVIGQTDDDVPGWVKG PFICI_04234 MATQHSSGSDSTLNQNDVHAATGLQDMSKQKTRDPELDVNLPYR TLSERANMAEYTTEQPDGQIPITAPDSKKEYKLVAFVENDPENPKNWSKAYKWWCTMV VAMTCFVVALASAVITADLDAPAKELGVSKEVSLLAITVFVVGFGVGPMVFAPLSEVY GRRVIYGSTLLLAVIFIIPCAVAPNIATLLVCRAIDGIAFSAPMTLVGGTLADLWKNE ERGVPMAAFSAAPFIGPAIGPLIGGWLSMAAGWRWLYWIQLILAAIVWILITFTVPET YAPTILKRRAEKKRKETGDMEFVTEQELDKRPMSARMKIFLLRPFQLLFQELIVFLIS LYMSVLYGLLYMFFVAYPIIFQEGKGYSAGITGLMFIPIAVGVVMSAMCSPFVNKHYL TLVKKHNGNPPAEVRLIPMMFSCWFIPIGLFIFAWSSYKELSWVGPCLGGFPVGFGFI FLYNAANNYLVDSYQHLAASALAAKTFIRSFWGAGVVLFTIQMYDRLGDQWASSLLGF IGLACCAIPFCFWKWGAQIRRRSKYAYAGEDEEEDGKGIASRGDVEKGQGDAGH PFICI_04235 MVLQSMRPAARTILRNGPRQCSARHFSAQTCLRQEIRDAYILSA SRTPTAKFNGSFLTVSAPKLGAVAIKSALEKSKVPVEKVTDVYMGNVLQGNVGQAPAR QASIFAGLPSSVEAITINKVCASGLKAVVFAAQNIQLGLSEVQIAGGMENMSQVPYYV PRSSSLPAFGHVKMEDGLIKDGLTDVYDQFHMGICAETTAKKYEITREQQDQYAIQSY ERAQAAWKDKVFADEIAPVTVKGRKGDTIIDTDEGYLDIKLDRVPTLKPAFIRDGTGT VTAANSSTLNDGASALVLGSKAIAQQYGAGSRVLARICGSADAAIDPVDFPVAPAKAV PIALERAGITKDQVAIWEFNEAFAAVIKANEKILGLEGAKVNPLGGAISLGHALGSSG SRILTTLLHQLKPGEYGVAAICNGGGAASAIVVQRIESV PFICI_04236 MALPYCEQAILFQTTQYVAAEVADQERANADFPPPKQREQNRSA IEHELWRKTLRGKLFLSPIEKLDHVLDIGTGTGEYALTHANSQVIGTDLSPIQPSYIP TNCQFEIDDAEDEWLYRQKFSLIHSRGNHLSFQSPETVVSSAFNALARHGWFESQDIV LPLKCDDNSWEGSAIQKWNNVITECFLLSGRRSYVEEYAQMFRDVGLDNVTERLFVWP VSPWPQGPRNQHLRELSQIARRNLLEGLEAFSMVLFTRYANMSKDEVLNLVQNAKDDF WDPKYHVYMQV PFICI_04237 MSTAARRRLMRDFKRMQTDPPAGVSASPVPDNVMTWNAVIIGPA DTPFEDGTFRLVMHFEEQYPNKPPAVKFISQMFHPNVYATGELCLDILQNRWSPTYDV AAVLTSIQSLLNDPNTGSPANVEASNLYKDNRKEYTKRVRETVEKSWED PFICI_04238 MSGANTIKVVARFRPQNKVELASGGQPIVSFNGDDTCSLSSAEA QGSFTFDRVFPMGTQQADIFDYSIRSTVDDILNGYNGTVFAYGQTGAGKSYTMMGTSI EDDMGKGVTPRIVEQIFSSIMSSPANIEYTVRVSYMEIYMERIRDLLQPQNDNLPVHE EKNRGVYVKGLLELYVSSVQEVFEVMTRGGNARAVAATNMNQESSRSHSIFVITITQK NVETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGKS SHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETLSTLRFGMRAKSIKNKAK VNAELSPAELKAMLKKSQTTISSFENWASAADGELQLWRAGESVPKDKWVPAFGTEGV AAAKPEAKAPRPGTPSRLITESRAETPALTERSGTPSIPLDKDEREEFLRRENELQDQ LAEKESAVATAEKNYAESKEELSFLKDHDSKLGKENEKLTTEVNEAKMQLERLTFESK EAQITMDALKEANSELTTELDEVKQQLLDVKMSAKETTAILDEKEKKKAEKMAQMMAG FDLGGDVFSENEAAIAKAIQQVEAFYEHSSSGDIIPTDDLQDLKNRLVETQGIIRQAE LSLYSTSSGDSDARRRAELEARLEKMQQDYEDLLARNLSEADTEEIKERLKEAYANKQ TTQMELADELKVDIAQKSAENSRMKTLIDDLQTRLKSGGGAATPGLANGKTVQQQIAE FDVMKKSLMRDLQNRCERVVELEISLDETREQYNNVLRSSNNRAQQKKMAFLERNLEQ LTQVQRQLVEQNGGLKKEVAIAERKLIARNERIQSLESLLQDSQEKLTAANHRFESQL TAVKERLEAAKAGSTRGLGAPAGNGGFSFGSRIAKPLRGGGDGPGQGPTIANLQNESG ASKRSSWFFNKS PFICI_04239 MADNKQHKYRQEIQQMMYVSGETGEPSTETTAMIEEIVRQQVIE MLRNCTELAARRGARSITINDLIFQIRDDAPKVSRLRTFLSWKDVRKNARDSDEKGGD VELGVDDAAGPAGAGMPGGAGPVDEAAKKNKKAKVKLPWEPGSFYSQEPPERDDEEDE EEEELNFITLERLRKADERTKAMTREEYVTWSEYRQASFTYRKGKRFREWAGFGVVTD SKPSDDIVDILGFLTFEMVQTLTEEALRIKEQEDLWKERTGGDNNNTKKRKLAPGGLF DPPSEGKTPVESRHIQEAFRRLQTRSKKSRAMLNGTRLQQRTNLRLF PFICI_04240 MSAPQIPNLLSLRGRGGGLRGRGAGGRSRGGGFVSPATSHSHDA TIQGTDTDASVSRLSAVELGYLQDPFAQYFVQQSGPPVRRLPIINRGTYTRTTALDKL VSSFLARDGSTGTGSKKQIISLGAGTDTRPFRLFSQPHGAGVQDVIYHEVDFPAITAK KRTTVQAVPALRSIIPPSGNDDSSWRNEALPNGCSYYCHGLDLRDIARSETAPPSLTP EQGQQKPPVLKLGGIETNVPTLVISECCLCYLETLQARAVISHFTSLVPNLAVVLYEP VRPSDPFGQQMVSNLAARRIRMPTLEVYREIADQKARLRQAGFVDGAEALTVQDIWTS WISSKDKEAVDNLEGLDEVEEWELLASHYVVAWGWRGRGFEGWRRA PFICI_04241 MYRDIATHVYRRAVEDDAVYQLPGWAFGIALLDVIIFLPFILVV GYTFQNVFPVIAMIEDPSPPAYEPVSLNAETESLAEDNAPIADEAARAGQDTRAISSS FRSLHRTMTGISGWKSYFRGLACWAALTVSTMFVYGIVSALPFIPAIVAAMISAVALV QLYCAWLHIVISTPSEKRFYQRLPPFKKAFQACALPTVIYFLALEFHSWVPKFLIGVL GMTTYDPSNPTQVPQPSPSDSWKGFLVFLVTMALTVFVTIPAHVVLTRTQASLLPEED ETIVPFDRSFQGKLEPAIVGGRGYVTIKDAWETFSRASWIRLVKLYVKIFVATMAVYL GFALIIIPEVLIIASGTKKAQ PFICI_04242 MVVKNHLRSLRKRCSRWRTTISANLQKGQLWQRILKNTVCTAIM ISLGLVPAVIQVYGRSTYLGPMVTVFGHPGQRFGQMAEALFLIFFGTAFGLGWSTLGL HLSSLIYDTNISAAYAIRAIFFALAVILHGLLRSSTPRLFLFVFFYLLISLTVLTTTA TAVSSALVSQISYPILSALAAVLVVNLTIFPESSSGFLGNAVIETLHDSVKCLDDAVD WFATARAEHQAAAKPDGEQPAKGTGQSLHMQLVSLTDRKPKLRTKFAGSKKAQAECNF EVTYGVLDPASLKSISLTSMSRLVQNAISIINACESKYAMLGEEEDSLSSQESDTDSD SESDASSDASDSNDTSSDDDDSSSSRSSSTGRPKNVRKKSKHLRNLELVKPIREIESA DIELFDHILSQVREPAKVLQNQIHEAVELITCSLAHCYDVAKLPSGAPAPRGITLEEI DLRTSIFSEALELFDTDSAEALEHAAAIAYNGAKVDVMPRTETFLISSFLVTLRQAAS QVNHMLKQSRDLVDKRQRRRNRRRLYFPRISWRRWLKTGGEKDANAVPESARKEARAG QASHKEHAHLDEEAPTPSPSNSRLCRDLGDEEANRVDEKQERPVPKNVPRAKQSSKSW TSTGLWLRGLLADAIEFVASSDDLAFALKMSVAGWLVTWPGFVPSTHAWYSSIRASWA SLQLILVFEVSVGTSINGFLLRVVGVIYGCVAGFLSYEIGQGNRIVTAIVLVIASIPA SYTQLGTPYVKTGIISIVSMSVVGLATIVTPDTYPWEIFVKRMTCFLVGGTTALIVEM VLFPVRARDRLVESLASAIKQISNMESSVAVGIETPCAVDLRSEALKNRFKRSKGKAE SALAAAQTFLPFTLTEPRLKGSFRGQAMIYNEIIYVLHQIVDRMDHVLHLREAYGSAV LEELNEFVLPYRRNMAASITLTLFAVHEALTTRLPLPQFLPSSRVAHLRYVTRVRELM LERNRSAPPSRPASIHPGRHSRRSSMAPEAHVLKSVTKQKFLSWNAGSAGLMEIIEYL EELVDLAKLLVGVNAFRTGMLERPKFHEYIAKIKAREFASATAAETELTIEKIKSNAD ASIRNRRRGRPSTSAGRSDSQGTTTLKKRFSFGGRVGAETAVESDSEDDIVEELPMSL QRVRTRRMEEQKAERGRRASIADPKGKGPQRSKTWAM PFICI_04243 MPPSATLSTKIKVQLKLSIARLRMVQQRDEALSKTSRRAMAQLL EVGKIDSAKIRVENIIRSDITIELYEILELYCELLLARAGLLDSPTCDPGLEEAVKSI IYAAPKTEIKELHQVRALLAEKFGKEFALAAIDNTDGKVSEKVVKKLSVTPPREELVQ GYLEEIARAYGVDWPKKPRDLGEPPAFIDDDDDDDTPSGGQAQKIIEPELPADSREAQ EREDLSRATPPRNLDNPLHITPSSPSSENPRPKVTLNHMELKPSKKMQDAGLAKKRGD KSDGPGGSVPDVSELAARFAALKR PFICI_04244 MDLSHNLEILSIERLENVSLEDLGARVDRNIYSTISKQQALDTY LGWLREQLSRDMFVQEVDIIKDWTTSLLNRSFNKEDILTSFKQWQNQEALVDRSTLRR FLMVERELSDFLENPTRGNSTKREQSPPTEKENIEPRSRTAKRQTGANETPVGERRPL GTSSTHREVSKKQSSGPVHLTMRPSHKNHTLPSISSTPRTAGHSSVADKEGGHSDRNN LDSSTEKTPRGRHSDDDMPVWASYPKDYKTAVKNGSLDNTHPHKGYVCNRCGQGGHWV HLCPTNLDPSYDSAPAPDYACKLCGEKGLHFWCLCPSNKDKSSITQQRKRAGIPASIE LVREKRRSPEVLRISARARDTSRSRRRETEGHDQRYRADQRSYSPRRRDDRSLAGERI RRSPGQERSRLSPRRERAVHSPYRERSWRSRSRERDEDVDRKSRTRQHDGRVTTNHSR TKDSSTRSRSRQSQSSRRRSERRRHHVDTYPRDHGRLRYESDDGNDTYADSREKPGHR VASNTPRTPSPSIRLGSVTPCPGEAMVDADTPSTQDAQTSEAVAEANLFLCSLDNQIL EDHVERACKQEMTSIMEVVCGDEDPFLYQDNHGVLCKRVTNPPYDDQVVQLFALRSNP IIRPQTKRAPALNYWDNNVQSKFEDMAPEDHYVFH PFICI_04245 MAFANNQVHRLVAPRDQKYQSNFVEFRNNKIVYRRYAGLFFCAC VDTNDNELAYLEAIHFFVEVLDSFFGNVCELDLVFNFYKVYAILDEVFLAGEIEETSK QVVLTRLEHLDKLE PFICI_04246 MAKYDKTTEGLTLVHDFAQEVKGKIFLLTGPSKGGIGAETVVTL AYGGPAMIILLGRSLSKIQPIIDSIKEINPDIKVKFVEIDLSSLRSTREAAQKILDDE EISHVNVVINNAAVMGPPWQQTEDGFELQLAAGHLGHFVLTNSIMPKILAAGAGARIV NVSSSGHRYNPFRFFDPNYTIPGSYNGFAAYGSVKTANILFSVALNRRLTPRGIHAYA IHPGSISTNLQEYMKVYGAEEAAIMEDGCWRVLGMSLATHRATGGWKTLAQGCATTLR AALDPDLVKEEGVYLEDNNLTTDTRLIKEWATDAELAEQCWKLSEDLVGQKFEI PFICI_04247 MATETTIPVEKNFGLDEHGSPEKEKSGSVSAAVDLHIDPAAEKR LLRKLDMFLAPMMILCFLVAYLDRSNIGNAAIAGMTDDLNLIGNQLNVAVTLFYVTYI AFEIPASLVLKKARPSRLIPTFVIGWSIVIIGSAFVKNYAGLIATRLLLGVFESGLFP CLTLYLSTFYKKEEQARRISYLFVAAALSGAFGGLLAYALTSMHGAHGLAGWRWLFLI EGLISAAVGIMTIFLLPDHFETAWWMREDEKVLMRVRAEQTRIYAGQSDELDKAEVKL AFKDPKVWLSSFCQFCADTCSFGFGTFLPTIIKGFGFDSVRTQLLTAPVYIWASAVYI FISFMSDKINRRAVFMVPLALVSAAGYSMLLGVSMSNTWVLYFATFVTATGIYCIVGL NVTWISNSNAGYFKRATAIGLQQTIGNSAGIMAGQIYRLTEADGRYTIGHAISLATVV LAAIGYFVMWAALKRANDKRDNMNAEDRAMQIEAGKSGDRHPDFRYVL PFICI_04248 MVVIHASIAGKDCTYINAGAQTNSVTTTHEELLDNTEGGHEIPL DERRRLSPTILNEGSRGTLSPQRTADIPQCSVGDLYRSRDAPSFFGSSYFGPQAAAKI IQAPAPDFSSGLPNKSIASSIHSFRDEGGPFSQIWDLLGILPRKKATVDRLKDAFVQE LNWAIDAIEVKDFSNKYELFWSRTFGFDDLATIDLRWLALLFIVLAYAVLLDGKEPAN KDIARDLQDTSLRFYWAARRAIVIAPTFYGESVDLVRAGVLVTWYLIYSRRISESWLT SSFAMRMAQAQGMHIDGERWGLCRQKTEVRRRVWSHLYTLDKTIALSIGRPFAIVDQQ CIVKLASNLDLDDLDEAEAERAVERPLSEPTLNLGNRLGHELSVIVGKIQERCFGLFQ VSYDTVLALHAEIVAWEDKLPPYFRLQEPDLSKDDQYKWLRWSRLRLHSMSHFAKVTL HRPFLLRQSITNRYRFSNDTCIASACADLEMRLKYFSQPLVDRMKWTLGPHNMFNSAL ILGIIIVRDPFSNRSQAILEDLEAYCELQRNDVWLNEFALAEVKICELCIKKTRQAIR GAARRMTANSSIPPSGGSSNSAIPLPDSLPALSTPSLHQTAAHQPIMDHVEGMEGGDP VNGARLRDPFFGMSMNAAAGFGSVKGATMWDDQNYAFPETVDLTHWEQVLDTIMQDHQ I PFICI_04249 MPVGAALALSQPVTVRTSSAQSVLDLSAEATGEIRTIGKLLPPL SSEEVGTIRCIGLNYRNHAREMNLELPDHPTLFFKPATCLGAPNAPLVIPQQATDSQA DYEAELAVVIGKSARNVPVDRALDHVLGYLCSNDVTARKHQFHGAQWGFGKGFDGFAP MGPCLVSPRRVPDPSVLKLRTTLNGEVMQEGAADDMIFSIAEIIAYLSQGTTLAPGTV IMTGTPHGIGVSKNPPVFLKPDDDVRIVISHGLGSLVNRVVYEETSGSLKNGTV PFICI_04250 MAAVVAGAGGQLNPSRQVFEFALRSTSSDGKLWPEEQYKAAERL NPDDSEIDKRKYQEFLLKLGGLLRDQFLIRDPVARTRNYILKELPENYHIRRKPKTKA DKDKRENHDYFIYGHPLSDRSGNPRSYATANEFFHHLIWIIGGSNDQGDCACKLCNKS AKHEPEALKKRITAATGPVSAHGSPAASPALQATSMRRSASASSVNKSQALPRAAVQP QPAAVPHQQQQQQQQPIPVPVPAAPAAAVSTAAPAPTPVPAPTPSHMQTPVHIPVNAA SSSNLTQIQTEARPSPEEIVIFREGEIVWYKNNNAWRLGVVLEIVPPNGAGNDQPKCL IKPLAHSAIQLENVLKTEPDMRPYLAFSVPPVNMREIQGRKMSQVDWHQYSQYAGGDR QKQEMLGLEASKLAVAEINDSYSTFNNYAINPIDPNKQSVGGLFLGAERICVNEAVRY RLRSNENNPTWIKSLPVVMHLREIYVAADGLHFVGDIYRLEERAMQQPMPTHGPLPAA MIREQQFRSEIRKRAGTRFDWVLISQNQDRDETSIRGRFYEAAKLLPIIDLANFQAAL SRGIVSDVQSYLNTRLETLSTATDRRKQNRREALSTAVPDGFFLSFGPDIRE PFICI_04251 MKTILRKSGDGTTSSPASTTKTFTKRLSAAPQPEPQPEETAAEP EMLSPDLSADEEVAQAYVADYQSAAQVPRAQIYEERARKLGDHYGVEIEPTGWHATEG EVYRIEKPIRVRVHKDCHRCQTSFGNSSQCPNCNHHYCSKCGRDPPKRTDSQKQASRE KREKAETSAMMRKQEQFAPIIPHYGLAEPIVVTRPSRTGGQPLVHKVPRMRLRRSCHR CNTTFTQSTRVCENCGHRRCDDCPKTPHKKKKYPYGYPGDEDGTSSSAFYECHECHEN FPAHADDGVRCANCSHEKCPECRRMPRRVVNLEPNPDILRGISSRFEHLELTEPISI PFICI_04252 MPNIVVLGAGVSGLTSAYLLSKDKTNKVTVVAKFMPGDYDAEYA SPFAGANVLPMSLQANSRWETRTWPELHRLAKEVPEAGIHEMSKLYPQFKSRVYRRKK DLEKLKNGAYAFDGLFLEDPWFKSLFDDFRELPKEDLPEDVASGCEFGSICINTMLYL PWLVGKCRENGVVFKRGNLKHISEAVGMSHTGKKADVIVNASGLMALKLGGVMDSKMA PVRGQLVIVRNEAPYMITKSGTDDADDELFYMMMRANGGGTILGGTYQKGQWESRPDP NIAMRIMRRAVEMVPELTNGKGVQGLDIIRHAVGLRPYREGGVRLEREKIDGTWVVHN YGHAGWGYQGSYGCAERVVELVDEIQTPSKL PFICI_04253 MTDQRPGIVAQAVTFVGDVANRRHVLSQLVPIGLWVVDALLCAL IIWKVPYTEIDWKAYMEQIAQYMSGERDYTKIKGGTGPLVYPAAHVYTYAALYQITDN GTNILRAQYIFAVLYMITLAVVMMCYWQAKVPPYVFPLLIASKRLHSIFVLRCFNDCF AILFLFLAIFAFQRKAWTVGGVLYSWGLGIKMTLLLVLPAIAAILFQGRGVSGSLKVA MIILQLQIAIGNSFFQSNWTGYLTRAFELSRQFFFKWTVNWRFVGEDVFLSREFALTL LGLHVLVLAAFMVKKWLRPALSRRPLMDLIGPTLQLSSPFGPRDEALVASAITPQYVM TTMLSANIIGLLFARSLHYQFYAYIAWATPYLLWRSGAHPVLQYALWALQEWAWNVYP STSASSAIVIGVLAITVVMSWVAGSKEPVPAPVTATQTQAAPSKKSD PFICI_04254 MGRPKRNAHAAAVESTTPPDELAANQSLACVIKAEGNSVYSCSL PDKRTVMVQLADRFRNTIWVKRGGYVLVDLTPSQEMKGKVEGEIVNVVREERLWRKQS YWSVSTLLFHLGPSQKLTNGRPKEFAPVVYSDEEESDSHVGKMPPSDSEDED PFICI_04255 MAALALRNQNQNQPNPSSTSTKTSTTNPAVAALSNANTTPFTNS TAVAEVEPEPAAGASVTSVPPSCPAATAAAAATTTSPLPSRLTPGKGLPKVDTDHKPA PPSSAGLEANHVQATTASTTTTTPTTTTTPLIIASSSSSSTPTTTTSLSVPTTASNSS SNQSSSKNSSTTASSSSPPCATAHQATTAATFLYSSAGPCPCSSPGPGLSSASSSLSL GTSSSTITTTSTRTASTTTSRSLSGSSNNSASPAKPTSSSSSSSTSTALAFVEASPDG PIASTLNNSRQHQLTASTSTTPSSSLLSPSTTTKPLQKPGGLLDFARSFSYTPSPHSP AAAAAEPAVVRPRNSSSALARLSLLHNNFLSLSRPLSFTPALDSPTSAGDLSPRFGAF SANSSAATTPAVPCSPQFEGKRSSQLSLVGDPISRPYSETDPNTTPPILIKAEKKMHQ TSSRLLRMTDDDRPFTRDFKDLFSTLIVSLLPLGAHRVRLTRVEHTFLSEDAINNLGS LKFSQSNRMPDPKDPSRIVTTTTTTTFSMAKDMARSICQRFVEARFIESADGKLQQVY TMKGSVWQLTPKGVAILDTFCSRNGIQQRQIGDLVATGVSQLVILERDAQSDKIVMDR GTMEVVFRRFCGANGLNLKSSVSSADSDSLSDYRDGMTGVKMAIERKITGKTFKETFT GKGCSDWLMDCATTVDRRETYEMASLFVEYGLMESVQQDRGYMANSSSGALFQPTKNA IYQMTARGREVFNGAGGRGRTSESESTISARPGIARDSNTQRLDKILNDAALRLLFRE NLRETHCEENLSFYLDVDEFVRGCRQAIRSAQKNPNATSMDGIKEIMAQAYGIYNAFL APGSPCELNIDHQLRNNLATRMTKAVGQDVAMIDTLHEVTALFEDAQNAVFKLMASDS VPKFLRNAKYENTLKNYDFDNIAGLPGGRVPERSQSRSNRK PFICI_04256 MQSRAVLFGFVAAASAQTSDNTSTETGSMTEMASTLVTASPMVT TEPSSTAAAMMNGTIIDAGAAASSGNATWTYFNTTVTATVVVAELTTFCGAATTLTFN GVEFPATEGETITITNCPCTVTTTTPTLTSEICPGPTGGAGSSAVPPPPPPPPANNAA SGGGEAATVPGAASASTYVAPSAPTSGVVEVSAASSFSSSMGLLGAAIIGFAGLISL PFICI_04257 MSKRTTFTTISPLPADIGRQPVLDFFHNHEGMIDLNPLVIERHP LPEPPPHCPDEERECVWWSMTDKITYMPGVKSDLTYTAAFQDLHDGIRTHCYAPMGTH IRERWSLGGTLPGEPKQPVELGLGAPSQGLYIREDVEVRCNFLMAGFVKKTILKSHGV LVERLVAGARAQAAKSSSSPNSSVVAGARPSMPLSPSSTTFYEGHPADMRSSSVHDEQ RKYSGDASGRSTPHDSIGQRDSPPPSTHNHQAHQYHHQYQPQYQQQQQQQQSQAQHPG QNQHFYEHQRAAASETGLVPESLRAGRSSGQFNTQGHVRHESGQVYSGLGWQQAPGPK PYIHDPHSPYTQQQQQSQRRPSGPVAELAGSETHINELQ PFICI_04258 MAPDTGSARNKRARPQQDDESSARAQSTDTAASAKKRRLNGSAE TSIEAPKGFSAITSAIGSMFGYKRSSNATATAPKSSTAATSKSAYDDVPDSDEETNLV PAQNSKTKPAVSYTKLNQKSARSPKKSSKNVYDVPSSEEELEFSTPMGEKTGTPRSTK RPNGSARKASDKEETAVDTADDTEEQTQGTPSRGRRPRQTLGSKVDASNSDVLSSRKP AVKNIPARAKPRQTEALENQQATGPKGILTPRHKRRGRPPKSVAFDSDDNKPAEVFFK DLPKTKTPLPKLAKPQAGSKTFQTGKSPAETVSVSGDEESQEDGESEDDEVCIICSKP DSTARNQILLCDNCDRGFHQKCYNVPVIPKDDWFCKDCLQENMEEEITEGAKDATDQS MDVPDIANFEHHLRTMQRVLIDRCSGSRRLALKGQGEAYQKTYQLVEQTVMAGEGNSM MIIGARGCGKTLMVENVISELATESSDAFHVIRLNGFIHTDDKLALKEIWRQLGKEME VEDDLMSKTSNYADTLASLLALLSHPSEITGTDEGITSRSVVFVIDEFDLFAAHARQT LLYNLFDIAQARKAPIAVLGVTTRIDVVESLEKRVKSRFSHRYVHLSLPKSLLAYWEI CKQGLQVEEDDLESEGFDVGLEGLTDFQKYWNDKIETLHKSPSFQAHLEYQYYTTKSV TEFLTTCVLPLSTLSPSALDLKIGASLSRAVQIQTPDSKLHLLAALSDLDLSMLIAAA RLDIVAHTDTVNFAMAYDEYNSQMGKQRVQSATSGILALGAGGRTWGRAVAGMAWERL VSLGLLIPAGIGGRSNAAHGGLEGKMWKLDVSLEEIPTAVELPGFLAKWCTQI PFICI_04259 MSSEDDAMMNIFKKIEREKALINAANAMRQQTNNDDVRSRLDSQ MREGRRNLQFFEEKLRDLQVRRLGHGVDNMSLSSGSTAVNSARPTSSELSQGAPAPPP KDASGGYGAADRGSYGSVDYSAIGAHGDLMPPRHPYAAPAPGTGIPRPRPNFTKLDLI KYDTPHLGPRIQLMLGQIQFKLNVEEQYLKGIEKMVQLYSMDGDRKSKADAAAKRIES KQKIQLLKQALKRYEELHIDDIESADSPDDDSINMPNLRKPLTGQLMFRVTQVKDVDH ASSSRFSRGPETFVSVKVEDNVVARTKASRNDRWEFETHNIDVREANEIELTVYDKPG EHALPIAMLWIRISDIVEELRRKKIEAEVNSSGWVSADRVGNAGAAPPQFPMGSQGPQ FSPPPGSPELTSSTPQQQQQQFGPGALPSVGSQPIDAWFTLEPTGQIHLQLDFNKMNK DRRPVDLGLGRKGAVRQRKEEVHEMYGHKFVQQQFYNIMRCALCGDFLKYSAGMQCED CKYTCHTKCYSSVVTKCISKSNAETDPDEEKINHRIPHRFQPFSNFTANWCCHCGSVL PVGKKNCRKCSECGATAHAQCVHLVPDFCGMSMAVANQILEGMRNQKQRQQKGTSMSD RTLRHGKTTSSGSDGPTSPYSPSSMPSYQGSVASQEAQNAAKAMYASQTSPTRPAHPD RASSQASTAAAAAASAAMGGAMSPQSGRQGSMSDYGSQGGYGGMDAHDDPYASNQGYG APQQPKYNPAAYANVNSYPNQPQVQQQARPIPQQQSPPPQPQAQPYQPSAAVPKPAAD LAAARAGQGAVTGPGKPPLPLATDPGTGQRIGLDHFNFLAVLGKGNFGKVMLAETKKS RRLYAIKVLKKEFIIENDEVESIRSEKRVFLVANRERHPFLTNLHACFQTETRVYFVM EYISGGDLMLHIQRGQFGTKRAQFYAAEVCLALKYFHENGVIYRDLKLDNILLTLDGH IKIADYGLCKEDMWFGSTTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVLIYQMLLQ QSPFRGEDEDEIYDAILADEPLYPIHMPRDSVSILQKLLTREPDQRLGSGPTDAQEVM SQPFFRNIVWDDIYHKRVAPPFLPQIKNATDTSNFDSEFTSVTPVLTPVQSVLSQAMQ EEFRGFSYTADFE PFICI_04260 MPPTRISRPILGASSRLLRAQCQRTSTNGIAPASTLAATAVAAF RRAQFSKQSSPPSSSICPSCSFHTSRRLRDSSSRSPPPEPPNFDHLKPEDKSSSHPNF DHLRPVESKPEPEALASKDIETAEASATESAPTLDAETSKLSDKDESGNPPLPSHSES QRSGLSTAFTSFMDRAQSKLFLASQRINDLTGYSGIETLKNQIAGLEASLAAAQEALH QARRDYKTAVADRSATQREVTTLLARQKTWTPADFERFTALYRTDYELEAGVAERARD LEHAEREAERLARELSSGILARYHEEQIWSDKIRRMSTWGTWGLMGVNILLFFMFQFG AEPWRRARLVRGFEEKVREALSHEREKDKAERLEMLGNLFQSAGLEGAAAAAAAAATA GNAQSAESPEEEAATGTKADVVGGSVEPPVAVAAETVPELIREQHSISWRELFTSTVW WRETAADLTSERKVAIRMCDVSLLALEGAAAGAALAGTIALFFIRRA PFICI_04261 MGSVPPASSLPALATNPKAIFFTDFDGTITLQDSNDYMTDNLGF GVELRRQGNRDVLEDKKTFRDSFREMLDSVQAPFDECVRTLLANVKLDPKFAEFNSWC RANNVPIVVLSGGMQPVIRALLAHLVGEENVKGMQIVSNDVAPRPGKSINDVGGWTIV YHDDSGFGHDKSLEIRPYAALPEGQRPIMFYAGDGVSDLSAAKETDLLFAKAGRDLVS YCDREKVPYTVFNDFGDIHKVVKSVLDGEITVQQAAVNKS PFICI_04262 MATPDLDPLLLLRQSIASNSQIIPTASDDAAAPEVELSKATHLT FTTPSRISLPITTPTRFVANDTPVDLRSIYFLWLKREVPIPEYNAAVSQLDDELVDGK VHKPAYFERVELIAWLEGSSEESEYIKPLVGDKDGAASNAAAALASKGAAAPAIGGTV GKSGKGSLDPRLAVIYNSERKMGDRNSCLRGVKPTDFSHVRKLAAPFMSKKPSQSATQ AAANPALTHNQKQRRPDPIILLSPSASSLLRMTNAKFFLEGGKFVPADSPLASAEGST MLHINRTLPGIDPNRPFRFILVESPERFKPEYWNRVVAVFTTGQAWQFKSYQWSNPNE LFKRIPGIYVGWRADKLPENVQAWGHRVTAVGVERWKDVAPSSVDASRWRDREVVEQI WRVIESNMRSKGWSRERAPTSI PFICI_04263 MAEPRSLKSVFQAAEQKRAALQNAYEANSPSYREDLDFSLKSYQ ECLQIIHSVALFSDNEGLEDINTSDLPYLLVNYRIAELLQKVSVTSPVERKEALATTR DAYEKFLFILDVYSILSPSDAKLFESYVEDPEAFSVIATKDPGARRNAKIANFKQEQE LKRKLEYMRQRPRYLEDGGDEEAVRELYLAELAFCAHQAFQGLEHLNLEMEMLQLAPV NLMPNTTTVQEDERRRLSPTQDEYSERLDRPLRRLQSAFEGPILSKEGKPLRPFTLTA NRQDIAKGVFRPGHNLPTMSIDEYLEEERRRGGIIEGGGEASGRPKTPDEDNYEKADA ETMKAREWDEFVEANPKGAGNSLNRG PFICI_04264 MTAEDSLRLPSARDLAQEVPEAIVELSSDDDELDLEDKIKSQPL PSKRTVDQVEFAQWVRQKQKRMNEQSLAESTEPFGKGPFQPEDIKSIISGRLPADKQI ISSPREYQVELFERAKEKNTIVVLPTGTGKTLIAALLTRHIIEQELIDRASKEFGNKP RISFFLVDKISLVHQQWKVLRANLAYNIAKFHGEMMGSALTQEFWKQQLEENMAIVCT AEILRQCLSHGYFGMDQINLIVFDEAHHAKKNHPYAMIIKDFYLELGKDCQRLPRILG MTASPVDAKTDLAVGAAHLEALLQAEIATVDDPSLMRASCSEDGTALERVAEYWLAPE DFTTPLWQKLHSFIGRNAMFRKLFSYARASTKELGRWCADRIFYLCLTTQELAKAKAK TERNLMTLRTETLVSSIDIQTALIDNVGQILSEQEPPEVSQSSHHLSNKVETLLEILT EYFKPASDKCIIFVEQRLTGVVLADLLNQPSLRLHGLTAGTLLGAGPQDIGSSQSMSN YEQQRAIQKFHTGDLNVLITTTIGEEGLDIPDCNMVVRFDLYHTMIQYIQSKGRARMK DSKYFHMVERGGEQVQKVFDSQDKEAVLHRLCLALPEDRLLKGNDFDMEFFLRQEKTK RVYKVPTTGAKLTYESSLVVLATYVASLADRPDVALKADYIVRSVGTEFQSEVILPDN SPVKSAVGRRASSKQAAKCSAAFEACLKLRKCNELDEYLQSRRKKRLPAMRNAQLALS SKKRAEYNMKIKPDIWNDRGLPERLHVMVLRLESPESMGRPSRPLLLLTRKPLPQVAK FPIFFGNQQTSMVECFLLSREITVSVDQIKGLTDFTLRIFKDVYSKGYKSEPEKLPYF LAPSTRDHASSALKQIQDASKIIDWKCIDMLQARSELESENQPESFWLDRYVTDPHDG SRKFYTRKLRGDLKPTDPQIVNAKGLGEQRKRRNAAKDIWNYSISMWSKSRSHLEVRD DLPVIEAEFIPLRRNLLDEYEKSDYEGNTCYICFATLKISALPVDVVAMAYTLPAIIH RLESNLIVLEAVQGLGLQIRPELALEAMTKDSDNSDEHSAEQVNFQKGMGNNYERLEF LGDSFLKMSTSIALYTMSPEDSEFDYHVDRMVLICNKNLFNNALELKLEESIRSKSFN RRTWYPDGLEQLSGKLNHSILGRKGAGRGVHMLGDKSIADVCEALIGAAYLTGRDSNS FDLAVKAVTTLSNYKLQNKNHTMVAYEEFFSAYEVPKWQSAKPTAVHLDLADKIEKKL GYKFEYPRLLRCAFIHSSYGFIYEHIPSYQRLEFLGDSLLDMVCVDYLFHRYPGADPQ WLTEHKMAMVSNQFLGCLCVALDLHRHLMCMTAEMPQMIATYVQEITSAQEAAEEEAV HANQARSSYSRNFWTSVREPPKCLPDIVEAYIGAVFVDSKYDYSQVEAFFRNHVEPYF EDMHLYDTFANKHPVTFLGNKLHLTYGCADWRVMVSEMPADTPGGSPGETQVVGVVMI HGAVRAHAMSASGRYAKVGAANRCLALLADMSVDEYKTSFSCQCKPEDVAGSDANKHA TAV PFICI_04265 MGLLGFLSRKPAAPTIDVADSLRAQPYNATVASLPPIRGTVPVA GNGPNNLETLQRTRPRAKDLPNPPSSASVASSSLLDDKVDRPRTAPSQDRQTWSSSRR TSSMKTNPVPALPLVLPPLPLSSKSRPPYRVPDKPEPSPSASSFKSRAPWKLLDKTDK SLPDLPDLDKPLPPFARRRSSSVNSSQLGLANGHVDLLDAQAGINPADFRQRVQANGV RDYGEDVADRNLVESTPNLHAPGSRASFAAPSIGSRPTSFIPSVHEEPEPESEKDIKL LKRHSLGSSLRTRSMVSDVAVTRHSSNRKSVHADSRPRSRVRIDEDGGGLVASIKAEW RKSLPSYMASTGKKRDDELDTFPDSLRVKRDDAFGSIESRERSRSRPSLIQRPSTKDA QSKEKPASANGISSRDHASRINNEPSTGSGSGSTSNGPRDAERKKSTQSSAAEMDQKP SKRLSLQSLQAFTKRRDDLDDEAIRIRSRSAAAEPRTHRRRASTTGSQDFPAELHFSA FAPEKPLPKQHGAGRDRLLTVKTNTRANRSPELLSSKALMRVDSEDIPERSSSIRRWS MESTSATSLSSNPFRPQSRHTANTSIDLSPFAKDLNFSHDSLSTPAAPDSLYPVSGQP PSPPPRSARRLQQQTKKQPTNFNIYDYVSDDDDEHAPRQSRGAGEEHLLFRDDGFAMS GFGLPGLNGAIDTDAPLYVPNSPPRLSYKPSKPKTRVSDDLLLQKYKLMMAEHKQATR PRRSRHQAPARYYEDSDSSDDVDWRAASDDSDDELSFDIPMTRRSNPSFPYRPTRYTS REQVIVEEEREIPQVELPAALRSRAEDRRRKRLSGMSGMSGASGSTIRLRSDSGKGKG KEVARLRRYDVAGFDADLD PFICI_04266 MAERITDQQVADLLVILRKDVSVDIKSQAVTTVKSGIKQHTVPD SCVQPLFEALRIASMSQHAILANAGFTALNHLLTRLSRQEPKYIIKEAKATLPLVVDK LGDQRDKLRALAIQAMTTMYLVTPTEAERFVRNVAMAGKSSRAKESGMQWLLQMHKDH DMPFRAFVPTLMELLEDADGMVRETAKGTVIELFRHSRDASNPAKSDLKKQLKNFKVR PAIEAAIVKELAPTSASRIEPETRPDSAPLVRPKMTASVSSLGPERSNTPAPPEAKAD SVEPSYVNTNRELDEIFREMHIWFDGRETEQNWLKREESITKLRRLIAGNVPSDFAAP FLQECKALQDGIIKGVTSLRTSLSKESCALVQDIANTFGPGMDPMVELFMQTFVKATA NTKKIASQLANTTVSTIVGRVTYNARIMQHMWMACQDKNVQPRTYVSGWLTTLIKKEG HHKSHIEHSGGLDLLEKCIKKGLTDANPGVRAEMRATYWTFAPIWPSQAEKIMNGLDG SAQKLLQKDVNNPNSTAKAEPAPRPGLGFSKSTIGTTSKPSLRETMMAQKRALTTKTL PPRPGSAMAAVSPARTTSTTTTVSTASGAAPSTTRPRSDTAGKSHAGISAAPMRPAKR RPEMAPRPATAGPYSGRNHDTRASPPDVKSKPLASKTILGSPKRTAPKSKPGHQPTRS ETGITSPPRPLHSKTAPILVSPRTSPAKVKATVSNLLPSSPSKATEANEDLTLEVPSI DTVTESANVPQSPPEAPTIITPEIVVPEEDDHAAEPASVDDAIATEGAPTPAQTPSKS LTVYEDPFTEDQATPKPTVSASPERPVLEDKPVNADAAQLSGLPNGNDATPITLSADK SGPNARLLDSGIKRVKAQTLDVHGFRKLQSILRDPKSIPSDSSFDALLLGLFEYLEAP LDSLENTKIQDVKAQILATTKLLLKNARDNFQPHVSRGLEALITTRANYDARTHIVSG LELLAEELAKLGDAPTIAVSMTRRCESLLEKADARSGRSLQMGLHIMKQLVENHADYD PSESELQGMCTLSARCLDSKESGVRMDAVHLCVQLHARVGEQRFWDSIKGLKDDPKNL ITYYIVKHQRETGMTA PFICI_04267 MPLPPFSSVAPRPEHLFMPAVSYQIEAPSSTAAAPTPSPLPLRL APETSTSQSLTNGGETAEAHKPFPVPKLRLEMRDLNHAGAGIFLQAINVAGTLPTCVQ NVLQKLYMSPGAAGAPHYYRPPPTRSVTLVLRDMGGGVAYTTGSDLDSDHKEIHFNLG YIAGLAPERRTAEITGVLTHELVHCYQHTGYGSCPGGLVEGIADWVRLRCDLAPPHWD SKKPGTRWDGGYQHTAYFLAYLEKRFGEETVRKINETLRTTRYEEKSFWTGQLGRPVE QLFEDYTSELKKEGNVTESAEKRGKQENKVDEGTQT PFICI_04268 MPAINHAALSAGVIAVGVVVAVGVAIYESPELRRIAEDLRQRIA IALHSLGDSISPQERENLYNRPEDAEGFLLSRGIDIRRGDEQGVDADEETRRRQREEL MYWNSIAESKRNQEIKDQEKTPQVEPRRTASRGSSFDDFLQKDTTNEKGAFIFNSGAD VQGAEAGGLRRRGEGARGLNYSILTNPFADEHGIDEHVALENSLMEPEKDELDDIYSV SQDHQDNHDRETSATLSAPPEHIPSNLIDVDTLARQPLPEPVSAVSERELGPEEYMTA GQEDRHEAYSSIQAWAEASHSGFYSPLPVSPAGPLSEPELISEGQLTPTDSASLAGSG EDIGEEIHSVSGRHDDVMSDDEGMLTPASWTEVGSVISESDGGAHPARA PFICI_04269 MPSQDIKSVLDTKPVQFTLKTGGGKWKCTLHSDRHAYDKHRTAN PGITRTDSDMSTSSSKTTSSAGSVSSSH PFICI_04270 MLTLRAISAPARRQCFRAAPRRFYSERVAKFTGQKDASGNYPVS LIEGDGIGPEISQAVKDIFAAAKAPVSWEPVDVTPVLVDGKQTIPSETIESIQRNKVA LKGPLATPVGKGHVSLNLTLRRTFNLFANLRPCRSVAGYKTPYDNVDTVLIRENTEGE YSGIEHVVVDGVVQSIKLITREASERVLRFAFQHAQEIGRNKVRVVHKATIMKMSDGL FLKIGQEIAKEFPGIEFESELLDNTCLKMVTDPLPYNDKVLVMPNLYGDILSDMCAGL IGGLGLTPSGNIGDECSIFEAVHGSAPDIAGQGKANPTALLLSSMMMLRHMGLSEYAD RIEKATFSTLAEGKALTGDLGGKASTSDYAGAIISKL PFICI_04271 MATTDFKRNVLEFYGLSTEFPQEWPSDKDKSDASDSEQEVKKQK IQRRKSRYQALERAVSTRSSVLGGDKSVSSLLSRDEPDPLGGSDSVVRSLIQFGVPIK EDTRLRTKFLLSSTNFSPSLFLSQLHSLDDTRSLEAGLDNLSQSIDQKSASLKVLVES NFERFVRAKATIDNVYKEMKYRGAEPPPPSRARAHSRHASRSSFRNSISGANLGNNPL TPVTPASPGTDARKKNALIKESDYGVAGIKTPLLDVSAKAEDVWGPALGGREKEENLR TVQTSLERYKDYIEASSNVADSIKRKDYETLIEEFSRARKFADDARKLVQNLGSTAPS ESQTYQILLAARMWHDVDDQIQAFKRDVWKRLITSHTASKTENLAGRPQDQHMELIGL LLELGVEDNPIWVWLLSRYDYLKGKISSMSDRAKVEIEVLRRRLANGDKPAPQTVAAH LRTLGRQSVEGKPSGIDSADVTELWEKMLSFLTTLLSPQGVLGEVLEFWQTVEGFIDG KTQKSLPVGYNAESREHHRFSEQNTLELRKGTVELVDMIRQHVLEFFTGAPPEDISLL FSPLPPSPGTPMSASLTPTALRDPRFNFDAKNLPPPSPKRGESYEKFAFWPPNSNSVS GVHYLSKMLSLVGTGAAEMAGVSPVGQGDTRQLDLLKTMVGAARERCVNAICGAWNKD AENIKFVEDWQGSREMGDVTRMPATFSGFEGALLSGMQKILYVPEAVAKPGAADIVPP PPTKLLQMVRSQYVTTLYKALSGMVENAERSVKTEEDEWTTDLTAPARDGIDARASYN VGLRGIDAGDRNVRMLLTLSNLAALRNKVVPGLNTQFENAFSVKLTDESKTIRDTLSQ IDARLFQTYTRPSVQNIRQIVRTGVSDPSWGNVPRPTQVRPYIYDALLSLVLVHTQVS TTAPSLTHQVLSFLLEATSRELLEAFKQRSRYDIGALMQATLDVEFVAQTLTQYTTER AGQTQSEIYSMLDSRTDDATRASLQNELPGMRDVLKKLRELSKNEFGCFKKPRRQQSQ GGPGGLERTQTG PFICI_04272 MGWVKRLSNSGQEQDANLTSLSLQASSPSPERALPSNPPVNSLF SQSPARDILTQPHTPESANSGRRKRGIWKGEVKSCTVIAGELRGQLLEEREEESATPT RPPAHAQTDQKRDGQMNWREELDGFRVP PFICI_04273 MGRRPNPLILTYFERGPKLSDNSNRYPHRCKACGEDFPKGRIDS LTNHLTKRCPAISEAERINACLQLHGINGSPARRAHTSAVVQSNAAALVDSVAADNAL AQTNWTALETLAEVSRQINEFSGKHDEHLPSPQHPPHDATTASFSHPLLALTSHPFEL QEQFTLENPPLSYDNRSQQEQHQLQPQELHEHGDLEHDQEMTEEKLRSLLESADHALD SGDHALDTNLSMAAAAAARLNPNYVDPRMHQQLQQVLSQGLEHDSVETPTSTTNTTGD TAAGTPAVADINHGHVETSEDMIQAVVQHTEALDSNTNMDVVEAHVTTDVVDADLPGT DDIVMAQAPDDRHSPLVAAPMNAPINSAHASAHDLIPSWGELTYIPNNIQPPATVSDQ SQQLAYTLNKGGFRMDTLSANGTRVRHSRARFDPTRRKEVQEVRRIGACIRCRILRKV CSKGTPCDTCRKVLSPRVWHTGCTRTKLAEQIELYSAGVQIVVAQKRVNKLKEDYNLA NNGLVVEASHFHETGHHITLGVLQNASPAEAQESHTDGDNAPVLPIIMIDNTLEDIPA EVENYMRRMLPEFISRETSSHVRVTLEYAQKVAHDTNDELLRRSLELWGLTEIMDRER QWSFQKKSNDNDSSNVWLKDETSTNDHDNEVFTTFCTQLTAGAERKAAQTSKSLLVGI QRNLQDGRSKLGFPMFLTAMIFLNCVEKMTWSFKAWDGEALRSLWPLEKQPDNYYNQG YGLCELLKMLLHIRHILPKITDGPSDQPIRADEEDEAIKKYYEDLNVTPNFLRERRDN FSFDQSDSRSLEFLFCSALLQP PFICI_04274 MRTTKAISLLATASFVSAQDLADYVRPDTGDTNGGNTFPGVTLP LGLVKLGPDLYTGSDSYSGYQATGNFTGFSMLHESGTGGAPKYGVVSQMPVAGGVVNP LLDHSDTRAETDVTRVGYYKVTTGSGVVLELAGANRAGLYNYTFPATTTTPNIIVDVS HVLPSFRGQGLGQNYLGGNITVVPSSDGSSLRYEGSGSYDNGWNRSPLWTVYFCGYFD QPTNYRTFVATAADSTDLVSYDDTNSVSSTARVGAVFSFDSQKVTSRVGVSFISSAQA CSNVDDEVPTDATVSSLEQDARASWNDAVLSKVTTTDTSNTTNLQLLYSSLYHMNIIP TNKTGENPKWTSTEPYYDDTFTLWDLFRCTTALFHIIQPEAYEEFFRSLIDIWRHDGF MPDARSSFFNGATQGGSNADNVLADAYVKGVRGNVNWDLAFEAMMTDAEVVPPNNNDP RDTSSSTKEGRGALPDWINLGYITTRYGRSVTRAIEYAGNDFGLYQVASGLGKTEEAA KYLNRSRNWRNQWNPDMTYQDFSGFLGPIDTNGNFIDQDPISCGGCYWGDYYYEALPI EYSFNAHHDLEHLVTLCGGTDAFIARLEIMFSQGFFNPGNEPSFTTPYLFNYVGRQDL TVYYTRWYAKRYYAPTPTGLPGNSDAGAMESWILWVMLGLYPITGQTTFLIGSPWFDD LTIHLGGGKELHITTTGGSEDAYYVQSLRVNGKDWNQTWVTWDDVFANGGTMEFVLGT DNIVWADGPAPPSPASQGDSTTQGAGVVSRIRRQPE PFICI_04275 MSTAPRALQACRPCKQQKRKCDKSQPICGLCERSGRSCDYSSSV PVVAPTTADLEALQSRLDELESRLNNSHGTPPAFARTATQSVNSSNDDASQCLYQSEN TSSLHTPPSAVYSRDEGASRAHAALFLDIDCYKWSGFSIKGISGEIPVDVLALLTQGD AIMDVYAAYFDTVHTWFPVVSKKRIDLGIPMRHGGPELAVLFLAMKLLVTLPKDVTNS PVYVAVKQFLSLLEARGCCSFTCLQAMMLVALYEYSHAIYPGAWMTVGACSRYADIIG VTGAGSTLDIVHSVTTWSEAEERRRIWWGMYILDRAISIGSRRRFSMPEPSENSTLPS DDSGWDRGDAMRAVNLSVTTDPSVRQSPFARLCQSAMLLSRAMQCISLTGPPESQQNE VFSVLSDQLMNFLSVVDSEVSSTVTDPDNSLLLLGPRCLTGSALFLILDIFSCPEKMS QIPGYISTPGAKSNEELQRQVWASSLLKRVTEAFAKFGTGWIDTLNSSEDAPQLGQFP SLALDAFYSTMATLLWYRREGMEDWNEASLAHTRRILQVVGARWKAASHYVQIAERSY NTICP PFICI_04276 MSVRMTTFLPHKAPLTEKNLPDQRNKVFIITGASGGIGSELAEI LYGKNGKVYLAARSQSKTDQVIKSIREKHPSSTGDLIFLNLVLDDLTTIKATAEEFLS KETRLDVLWNNAGVMVPPQGSTTRQGHELQYGINNIAHQMFTSLLQPVLEKTAQSCPA HSVRVVWVSSSAADGAPHPAINFDNMYYHMEEGIWSKYSRSKAGNVLQAVEFARLTQN SGVCSLALNPGNFVTNLQRNMPKMQLAMFKLIAHEPRNGAYTELFAGLHPSIDEKDKW VSPFGKVEKARKDLLDPTLAKKYWNWCEEQYQAFM PFICI_04277 MAELKTAQTPTLLIKYHDHGPADGWPVILSHGFPYAPSAFDDVV PLLTRRGARVLVPYLRGFGPTRFRDAETPRSGQQAALGTDLLALMDVVLGSPDDNDEK EGGDDDNHNNKSNKKPIVAGFDWGGVASCVVAALWPERVAGLVSYAGYDIVDVAGQAS PAKPFLESIMWYQHLFQTERGRQCLQDHRRDLCRLLWQQWSPGWHPSDDVFARAASAF DNLDFVDVVIHAYRFCFGLESGLPELAALEKKLAEKPKITVPCITLDGSQDPLKPGGS ASHDEMFTGRHERRQFNVGHAFPAEAAKEFADAIYDVYMWSH PFICI_04278 MATEQPQRVPLRERRPSTSAPIVDIVGSVGPEGISRPKHKRTYT GFGANDAQNVEASIPEPQREAWTKHQVQGFTDKDGFEKEVVRHVETTLARSLYNCDEG AAYSAAALAFRDRLITNWNKTQQRQTFADSKRVYYLSLEFLMGRAMDNAMLNLGLKNV AKDGMQDLGFRIEDIIGQEHDAALGNGGLGRLAACFLDSLASLDYPAWGYGLRYRYGI FKQEIINGYQVEVPDYWLDFNPWEFPRHDVTVDIQFYGNVRKSQDENGKSVAHWEGGE IVTAVAYDVPIPGYATPSTNNLRLWSSKASSGEFDFQKFNNGDYESSVADQQRAETIS AVLYPNDNLERGKELRLKQQYFWVAASLHDIVRRFKKSKRAWKEFPDQVAIQLNDTHP TLAIVELQRILVDKENLEWDEAWEIVTSTFGYTNHTVLPEALEKWSVGLFQHLLPRHL QIIYDINLFFLQSVEKKFPKDHDLLSRVSIIEESQVKMVRMAYLAIIGSHKVNGVAEL HSDLIQTTIFRDFVTIFGADKFTNVTNGITPRRWLHQANPRLSELIASKTGGYDFLKD LTLLNQIEQYAGDKEFRKEWAEIKYANKVRLAKYIKSTLDVTVNPAALFDVQVKRIHE YKRQQLNIFGVIHRYLALKAMSPEERKKQLPRVSIFGGKAAPGYWMAKQIIHLINNVG AVVNNDPDIGDQLKVIFLEDYNVSKAEMIIPANDISEHISTAGTEASGTSNMKFVLNG GLIIGTCDGANIEITREVGQENIFLFGTLSEDVEDLRHQHNYSNHSIDSNLSKVFEAI QSGKFGEPNDFGAMISAVRDHGDYYLVSDDFESYLDTQKMVDTEYRNQDEWIAKCIRS VARMGFFSSDRCINEYAEGIWNVEPLVVKN PFICI_04279 MFAGSGESGKSTIVKQMKIIHLKGYSEEELHAYRATVFKNLIEC AKAVINAMDQFDIEPSNPANREHCNFLLSYTVESGPSAHIDFRVGQAVQSIWSDPCIE RLMDHQTEFYLMDSAGYFFDEVQRLCAPDYLPNEMDVLRARTKTTGIYETRFQMGALS IHMFDVGGQRSERKKWIHCFENVTSIIFCVALSEYDQVLLEESSQNRMMESLLLFDSV VNSRWFMRTSIILFLNKVDIFKVKLPRSPLGNYFPDYSGGNDVNKAAKYLLWRFNQVN RAHLNLYPHLTQATDTSNIRLVFAAVKETILNNALKDSGIL PFICI_04280 MADEIERLVLSPFREIVEKANLAVENADAADDEPSAAPMRKAAQ ALAKEGERALKKIEPLCNKNHEEYASSFVDAMKEHEEIAQFRSELEDLLWDFDDFVEV DQFDADKFDELQKASRRAAPKIVDILKRIKLVAPSAMLSPLLAEAMSSSSGRASIIVD SPIGVSREMQEVEQRLNDMSVMGSQAGPDLGVDGTLGSRGNSRTASRPLSDLDRNSSH HSNRSSEPLGSPPRPPSTDPWRVDQLPPPVPDASSPDDGASIERRAPVFGGDSPTLPP AVPTAASRGTSDSGRRDTRYLSADTWPPQAATVRIDPDPSYWRDQAPNGRMRGDSVSN KSTYESHRQTYSSFGSAELPRYSSQSYDSIPDVTRSPLGSPHFGNPSPAIPEDSAVDN YTHRPGHNSGNPMNFPPRQASLAGNNSHPVRAPSMDSLNSSIFDVVAEYGSTSPVAST QRTSSNMSTTPGSPYSAGGHRPLYSTPPPGYKSPLHSPVGTISNNSSATITTLHARPH TSGGINRPLPPTPDPGLIPVESEIPENPQMPPRQSDCSIGPHSSFYQMKGFCKGAENV MRGDLGFKKIKRPVGGFSHTTVAKCTDCLYELDFATVEQDLNNDSKGNYTSGTVGFRL RVLQKCHLPIRHIDEQLYGCVFCIHDGKTLDESDATVFFNQKQLFMHMARHPRPLPKI PGLTVIEGSETPETFRDNFDLHFAHPPMQSVMAGIAPEISKLPTAVATETRRNAHGIM RSPPDRGAVLQFAVGAKLVGIEFPAKYEGKWGIGWHDGVRAAFEAESVFLDAPPKSET RMQGTSSMQAVARWKWSQKGEGMWLKFDKGDVIKNISWTYSDHWCWSGTSGKGWGIFP QSHLEPHSLKIVRPGEEASVSSNEKKSGLSLFSIKRNTEKKTDKKTGGHGKKTNSHKE EKEPGRKLAASAVSITAEAW PFICI_04281 MEFVTALRGTFDDQKPSLFEILSEQQLNSLLPPTLRYLLTVLTQ RYPRYLLRALNSFDELYALVMLIVERHYLRTRGGSFTEHFYGLKREKALAAEIPRAST AAAGIVRETLKLRSTDVWKNLAVIIGIPYLKRKLDESHEIEAPRALLGANYTRMPANP TVRQRILHYYKWFIRNIYPSVHAGYCFAVLAFNLAYLFDGTKYHSPLMWLIGTRVRRM NGADYRAVEELGKPKPGLPPAAGLSMFHPRTLGPRILGSLSMVLPMSIFALKFLEWWY ASDFAKQLTRKAAENLELPPPVISGLSAKKSNTKGEADNGKAAKDDEGKKEGGVEDAP IGATSLLPIYTVAAPEDSGLCPICEDEIQTATACQTGLVYCYSCIHRWVEGSHSRQED FMQDKAGQWESGEGRCAVTGRKVLGGTEGLRRIMV PFICI_04282 MDAVLRWISETPNGILTRIAVAFLLVAFFSLYAGLHLVAPKPRP VYPREKHYKTTTGDGKWVLKKLPCWHDRWLAERHASETRTKDDADVYIPDTGNIEPAS LRLSVVIPAYNEEKRILPALEEAVKYLDAKFGREVAAASGVISPTTAAHRRIKNPPQE EPAGGYEILIVDDGSTDKTEQVVLEFSEKHGLHDVLRLIKLDKNRGKGGAVTHGMRHV RGEYVLFADADGASRFSDLGKLIEGCDDVKDAGGRGVAIGSRGHLVGSEAVVKRSALR NFLMRGFHVVLMILTPPATSRLRDTQCGFKLFSRAALPHVVPYMHAEGWIFDIEMLML AESAPAVPVLSNDGAVIGSSPGIKVAEVPIDWHEVDGSKLNVIQDSIRMAVGLAVLRA SWMFGVYRRRLT PFICI_04283 MSTTTVNTDARAERRTKYHEADVVIVGAGVFGCAAAYALAQQDR SVLLLERWMKQPDRIVGELLQPGGVEALTKLGLRHCLDGIDAITCNGFDVIYYGEQVV INYPKIEGLAGGYANGSANGHANGHANGHANGHTNGSAYPKEKDSRPQGRSFHHGRFV QRLRESCLAHPNITVVETEAVSTVKGEHNAEILGVETRTFDPDTGKKIPDFFFGQLTI IADGYASLFRKQYIERTPVVRSKFYALELIDADLPQPTFGHVILGNASPVLCYQIGTH ETRALIDVPLDCPAASVANGGVRGYIEKVVIPNLPPQLQPAFKAALEKENKIPKSMPN SWLPPSKQSHPGVLVLGDAMNMRHPLTGGGMTVAFNDVVLLSQLLAPSNIRDLGDSAA VLRAMDTFHWQRKSLTSIINVLAQALYSLFSAADPNLAKLQRGCFAYFRKGITSDPMG LMGGLIHRPLVLAYHFFSVAFLAIWIDAKSTGLWNIPVVLFNSISLLWTACVVFLPVM YKEVF PFICI_04284 MTPPVPVPSKAAIHALRGLALGSSCALGLIVEDRRRRISTLKTA VDNNKKIRTSRQYHGATEALRQAVEDAAVLSGQDIHWHYDAGPSISLEHGQYRATTEV RRPSNTQTDARTVDKEERNSVAEHQAKQLAPQDGPKPRMNSSERTGPRIHAIRPSVGL TTSTDSGGWARPARLSKSATPADKIAEILDLPVPSIKTRLQEPGMLATYKAAFIAGTK MRGASKKKQKEKLNEQWLNVSEALCTYCQENELWQDAQEILGVVVGFGEVDETRFYAH NPFSVIDSLLRDLEADGDAVAGKLDLATRLFVANFEHEPALNIDKISQVAQDLIPRLL QYHQIRQAHQVYWRVLKQLDQTADFTAWFIERLSQYGDHKSVIKYFRLNFTKAATKYT TFETTVNRVLDSVEAMRGARAEPVARALLQCSRFGLTPKAEWLQRLLQSHWDRYQDLQ KSHEFFHELVNLGLLSTLKHPESVYQFMVKFAALDGHSQVALYYYEETLKLAPHMRND VWLNGCMTLTKAKHGCWDDVYLDYSEMRQYARSQPAAYSQAFVALLKVFLDSHTVAET EDFIQTYVEKMDVRLHRYVITLVANKYGEVHDYEGLLAWLQYCRSQGLELDAAFTNAI LRNLRLKWKFPYRQLQRVYKRIRRLDLATVDLATTRIMHGAAMEEGNYAGTNIKKRLG TLGTSPSKLPYTFKSANERDVLHAMTEELLRGNPVRAVVIYKRALRFGMPWCPRCFRV AVKASLKRKGENFSITAKLISDTYAEGHDITGAASILIKSQITQFRGPFEEVMANLQS LTTRFESLGLTIESSVMTHAAIMSTQFKQHTRAVEFCRLAMEQSGATNPCFSRQSMRA LLSAYWQTLDPVGLRWVVESLPSSPLAADKHAFHLLKSTRRHMLKWNPSSRVNEIIEI LEDGIDRARQQRKVAIQVGSLMHNETLRIMSDAAGNIDLGRTEHGHRGQQTNHASDME KTTLAPDMRTPASVQAYG PFICI_04285 MSLAHRIANFFSEPTPATPDPVARSLHDDGRSEELRPLPDFNTG THSFKEVDMTPNDELEARPPILHSMLAGGLGGTTGDMLMHSLDTVKTRQQGDPHFPPR YSSLGSSYYTIWRQEGIRRGLYGGWLPAMMGSFPGTVFFFGTYEWSKRLMLDYGVQQH VAYLSAGFLGDLAASVVYVPSEVLKTRLQLQGRYNNPYFHSGYNYRGTFDAAKTIARV EGPMALFHGYKATLYRDLPFSALQFMFYEQFQTWAKQYKQSRDIGTELELLTGAAGGG LAGAITCPLDVVKTRLQTQIETPQPVKTKERTSVDAKAGVKETSAKKPGARRPISTSS PSTHTPRPGAIVLDTSSVYQGLKLIYKTEGLGGWFRGVGPRFVWTSVQSGCMLFLYQT ILRRLEIWMPLADSETSI PFICI_04286 MAVRSVWNRVRINQRWRAFGDFSFYMFYFATWIPVVVMLKSYVG EVVSINGPSMYPYLNSDKDSSLRRDLVWSYKFRPQEGLARGMIITFWSPLDPEKVVVK RIIGLEGDIIRTREPYPARTVQVPVGHIWVEGDGAARDTLDSETYGPISTGLVIGKAT HILWPLHKAGRIKWWEYAGKFRNPEGRMQ PFICI_04287 MAAGSARIVRYILFAFFFIAVLYFISHSSYDGAGLSPESFGVGK GSSVGGKGSNHDQTSSNPIGSSPGSPSTSHQDLGEAPMAMTPADAGWDNLLGTAPGPR MNATFVTLARNSDVWEIARSIRQVEDRFNRRYNYDWVFLNDKPFDATFKKVTSSLVSG KTHYGEIPKEHWSFPEWIDQDKAKKVREDMAQRKIIYGDSVSYRHMCRFESGFFFRQP LMMNYEWYWRVEPSIELFCDIHYDPFRYMAENKKKYSFVLSLYEYVETIPTLWDSTKK FIKNFPEHIAEGNSMGFLSDDGGESYNHCHFWSNFEVGNLNWLRSKPYLDYFESLDKD GGFFYERWGDAPVHSIAAGLLLKKEEIHFFNDIAYYHVPFTHCPTGEKTRLELGCHCN PKDNFDWKGYSCTSRFFETNGLEKPEGYENQQD PFICI_04288 MAAPGFGQTHDPFADLSKYDEELEALNFEDTYDGLGDKLDETDD AFNDDTFGGEDAFSSAPVGKDFDFFGATSKVADAMEEEHARFSRHQPFGKAPSSASHA AATGSYHGYAPYPTAQKATRSGYEKYQDPEPVADLQVDASIWGTAPKQPTPAAQPAPQ AASRKVMSLEEVEAAMRAQSAPKATPVQQPPQGHYQTQQPHLQHPRQDFTDIRYAQQE QLHAQGFPAHDTRQSQQPQMGGPHGHPITILQRPSSKHAAPTVPSPLAQPADSPAQHH QPTVTPTQILQNPNRLSGDAARLGQPSHKAQNSFSRQGPAVPAGQLANLSEHEKAAFL DAEARRAKRNHKIHMLSRDNGLMTPHDKSFITRIQLQQLMSAIGDSTEAGSDAALGED FYYQVHSSLKAVNRPNPNQPLSNFAQTYLFQTGNRHGGMRRHGRGPENHVQRMEQQVQ RAVEAAKNKPKNKQLVIEGSLGKISFSNAKTPKPLLNIKRTESTGDARPGSAQRAVSV NDRKVALRAIENVYTILMKMEDHERTMPPPSPNPSPELIQQQAEWQATAEKLNGQLWD ALKVHEQIDPRQVHPFITFLSYPKGKKAIPRAFRQLSHEQRTTILTLIIVHLDQLDVV QGAQVQSGEPINLSANIRESIDLFSMAVMPQLFNLLADAELQIITAVLSIIIQRLNID TIARTRVGISMLTMILSRAEIIKQSGHANEQLWQQWAATFNGFFNHLEPTLPSIFPGT VTSGEDIYVWQFLAAIGIGASPEEQQRLVLAVKDRVMDTVAMSKALPADMAKQRLDNV NLFMRSIGLDVELLQ PFICI_04289 MDVDAPPPASPAADPIDPSTSTSNNDNDDSDPIVSSYAVFSNQP PTSTRKILILQHPNKQGPTREPFQTLSEVRIKPSSGMIEVDVPLSYHDGSYDRDKGMR WGQALTRSMNQKNGGSHGLAGGFGVGVPAPRAGRPRKEEDRDTYDWTEAVRRDQVLRT QTLGGQYAGRNADFGDNECRWMVGVFKGGNLHLTPAQSEIQLRPQLHHLDAATEQDRL TRPRDGPGQGPGLAKDGSSAGGPAPAPKAITMTIKSTAGGDQPSTETMADRLRQVQME HWQRLKYVEDDKDEAWELYQKTLIYRSPEFQQAAQDAGKGKGKALDVKLDEDEKGDLQ SKAMRLQTRWSEEDYLRGVAGKDKEGVLEGYGTTALPGIKPEDVVEEGKVDADGKKTA SAAAKGKGRAATGTAAAASASTGTTAAARRAPTAKAKASTKDNAMEID PFICI_04290 MAVEVEDLLVQPFRELIKRGNEAITNGEAARLENPELSQVMLRS ARAVVREGERALQKVQPLLLDHLERHGDAFRDAIKNCDEVFESQRQLEDVLYDIDDYI EVDTFDATKFAQLQAASKAFALSLIDNIRRLRVGDALPSPAAFPPLPAIPRRRPSKVE PLIITSRPATRTGHYSTDQTVFTPQATSAPLVSHSRRALSTSIRSSPGEAHMLRRMSS KTSQKSQKSLAPSTSSSDSHYSQASFQWSGAGQSDTRGYNSQQPSDVEALGDEIQNLL SEPNSPKLRENRQTTSEVSTPWTSAWVHDQLSSPRDMLARETIPEDSPIGRFSEESTE SARTKRYTVESDTLATDIVFPTPRISQASKTRRASMLSSVNNASSYGGLSTSAPTGDL RTSLPVQNSQETLVHGMEPYSPTKRSAGSLHGSHGSMSNVSHISHGSIGSENLPPEFF QRQIWRPNIPSDASVKDLSLEQPRSAPKPPVYRPPRVTPRVEDNENPKPVWYSREENC SIGPDSSLYQMKSFCEGALAFKNGRYQEATKTAMFIDSVVPTSAVFHDSMSDVALSLG TNQAISFGPRKTVTQCINCEYSHSAAEFRRDMAKDKRANATTEGVNYRLRFLYKSHLA AETKGSALYGCIFCAHLGYTSREGDATVFTTERQLFRHLSHHPQPLPQIPGLTVLYGY LGSNCTEAEDYDLHFPSPQFASPIIPEAPLLTRRPTAVALQPQLQKEGSRPLTDPDGR RDVLQFLAGARIVGVEFPDAWGGKWCTGWHDGVRGSFPAKLVQVEAPPKSDIRLPGTN NDGLVVVSRWKWEPRDVSAGWLIFDKNTTITNVSWLSYDSWCWSGMTKDGKVGFFPSS HIKPDSIRDPSGLYGSSLGNKKDPARRLFKMRHAKSSSSSGSS PFICI_04291 MDAKIESPPDGGQGKEILQQTDDAHLCEKCFELMKDLSDQSQDL ADWDWRLNKSAIAARYAANLNPANLVDSVRNMRSSSKTGIFIAELGNRFRQAIDTNCT LCRLLLASKVETTSNESRDDGGGDELQGFLLRDYFLFNHRRSNPLSSRETKLGEMIFL AVVPANFDASSSEDDQKALELQTASKGCLVLTNKESEQTILNAVTVSPHFEPSVVLEW FDFCRHHHDELCQQDAASTVAIDGFRWIDCDTKMVETAQAVQPYIALSYVWGPPSPSS APSEPEDPNADQVPLPEKLPATIEDAITVTKQLGFRYLWVDRYCINQKNPEIKLKQIQ QMDLIYQNAELAIIAAAGTDERHGLPGVGGKERASARGSSVKYKNIDITSTLKDPQGV VRDSRWYTRGWTFQEGLLSRRRLVFTEEQVYFECNAMNCFESISGSLTSLSERDNTRA ATFMRPGLFESHRRRTTSAVGAKRVRSVEEMDFSALFDSYLIWSQQYSARNLSFDGDS MNAFLGLIHKIEKIPKPLLQHWAIPYPHSDHKLDPLHAFAEALSWRHKYSCWDDDASK RPRRRTEFPSWSWVGWASEIVYPTKDALVEERLYGENIEITRFRNREMTLGETGRGSK WSADKKVSYGVLSSNNRVLTIHSRVIQASDLSYDAQTKQWKLYGHPATVAMSQGPPTE DEFYEQLRQDKQWACVLLGSASSQMVQVITALILERSDDDDSYVRSGLLIAKMPAMSW TGRLMKTAFQDLTREAKSMASQFQTFNIK PFICI_04292 MEKYIVYDNGLTKADRLVLKALAVDIEARNQGDQNRTDRQSTQD AKQEEDHVQSLSDEDERTLSYLNALNDPSSSDFQPTVFQGTDYDGIDWKRPFNQYVLK HYIQAARSIVRVETDVVMLTHLLLYFTTSVPSAAYLFFGSFTWIHGVLHSLMQLSYMG AYTLMMHQHIHMRGVLHKRLGFFDHTFPYLLDPLMGHTWNSYFYHHVKHHHVEGNGPG DLSSTMSYQRDSFLHFLHYVGRFIVLVWAELPLYFVSRKRHTLAVKTLFWELSSYGLL YSAFKYNRQAAICVLLIPFVLMRLGMMVGNWGQHAFVDNEEPDSDYRSSITLIDVPSN RYSFNDGYHTSHHLNPMRHWREHPNSFLKQRHVYASQNALVFHNIDYIFITIKLLTKD YEHLAKCLVPIGEEQLAWTMEERIEHLRSRTRQFTPEEMKLKYN PFICI_04293 MKRSAPSAGSKAAPKSKKPRVEVPEYHLTPSLRDESGEIIWPAP NHQIETARKRILECAAAGKGTLIVPDKDADGLTSGAILQKTLVLLGLDPNLISAHLLQ KGGNIHDDAERTAMAAHKPEYVFVLDQGSRNSPPVIDAPHKALVIDHHHALEGDFPEG AEHVTACDSPPVATSSLLTYHICSHLHEGVREECDWLCVMGTHGDLGNTLKWEPPFPD MKPTFKTYTKKALNDAVSLINAPRRTATYDVPGAWKALTSASSPSELLKNKTLLAARA EVNAEVERCTHTAPKFSGDAKIAVFRINSAAQIHPVIATRWAGHLQSPKLEVILVANE GYLPGMVNFSCRIPRSARARDPPVNIIEVLRGIADKACDSTLRARLGESFARGHKEAS GGIVPKAEFEELMEVLEIGKKPEDGSPSKKKVKNPLPKQSNTLMNYFGKA PFICI_04294 MDEKRSSVIDGLERRRLGSSRLVKYLAVGALLCFAWFQFNRSQI WKPSRHFCHHSDASLDGKLKYPGENITWEECGDLIGRKLECSEIEVPMDQYNPDNSGN KTFSIPLIRLRGKNATQNILLNPGGPGGSGTEFIWRKGEQLNAIIGEGFHLLSFDPRG VNGSRPKATCYPNEETRQLRSQVRANKVIEDSAEVYSWSQNFVRACVDTMGEHAGYVN TPQTAADMNSILDAVGQQEMVYWGFSYGTTLGQTYATLFPERSERVIIDGVGNNFDWY ETPIDSEELADTENVLLGFFDECIKAGEDCPLSSLAESKEELQEKVLDFADKLNEPLS VYVNNTVWGVLTREDIILSGLFPALYKPANWYGLADRLAKLLQGNATEAFLAYGLDGP WSGILDLDTTLMIEQNDGASGPDIWPQDRQSMLDMILPFMNSSLFAFMENSQVYSKQQ WRIPKTHSFVQKYGVKTAYPLLILSTTFDPVCPLISARAANAAFEGSQIVELKGYGHC SLAMPSNCMAQHVRAFLYNGTVPENYTQCEVDGPYFIKPEDNNATAVTLLQFDDAEDQ RIYSAQLEIARDDSWPYRW PFICI_04295 MRLSMSTLCVLLATGAIAHPLVARTPTLESRQASGPGTTLQSGW YWVRAVVAPNYHKYLQTKPANQAGVAILESYTTAGQYKVEDGQLVANTGSGSAPLYLN VEKPADLTAQPPQRTLGTSFNTTKNTFGTFVFQGDALTWSTPEIQRQNLAAWLVCTGQ KLYINTGAYAYQTPSGCADQTIHYYNDAHANGK PFICI_04296 MPVISSNDTTNITCTDIYSCFNMSPLGGPVQGDLVRRWASSGFS YSAGRTNDTLSWVLVGLFCVLVLVALMTCCGWCIARRRVHKRRKLNNIPRHIPIPNGP MSTVPPVPQPHGVAPGAAPGASTVVPPPVATVHR PFICI_04297 MSTTTSSALSKDVLLVLFITPRNEEWISRVKAKHPGLEIRWANI LEDGGTFSKMKDPGDEIFQGVTLGFCFQYPPAAERLQSVRFIQLPSAGIDFWSGHETF NRKEVTFCTANGVHAPQIAEWVIGSYLSHQHHFNRYAQSMKTGLWEPPFATTVQDCTI ARMGILGYGAIGRQCARIAKSMGMDIYAYTRSERSTPESRKDDSYCVPGTGDPDGLLP TKWFHGSSKEAINDFLSQDLDILVLALPLTKESQGLISTEQFEILGKKKTFVSNVARG GIINTDALVDALESGKIRGAALDVTDPEPLPADHKLWKSPNLLITPHVSWQSQALMSR VLNILETNLDNLGAGRPLINVVSKEFGY PFICI_04298 MAATREKPDIIPGTEVVYKDGTHGEITSPKDLVLIPQPTSDPHD PLNWSTTWKAIVLGNQFLFTFITIMTPLSISPLSVIFEHEFHKTLPEVNLLFGVAAIT LGYANFLIVPAANVFGRRPILIICSIVCILANIWQALVTSYPSFLGARVISGLGAAAN ESLMPMVIADVLFLHQRGRGMTFYFWAYFMGLFIGPIMSGAIASQINWRWFFWVCTIL QGVSFLFILVAHPETKYDRPSPGSRSSSPPVSVHQVREAKAGSVDSRTSGTESARTST GTTLRHLPSSTPASGPRTGKPSRTQFSLLPLPRFTRGGLASVVRDVISPIQILFYPIV LWASCAMGFASNSLLVLNITQAQVFAAPPFLFSPDQIGLVNFAFVVGAAIALVTAGPF SDWVALRRARTNNGVLEAEFRLPALIPYVAINLVGMAITAVGYQRSWPWPAIVVAGYM LVGIQVVGIPSIVIAYAVDCYKTLPGEIMIAATIVKNTFGFGMIFYINDWAAKDGFLG PILMLMALTVGFSILGLAVFLPFGKKFRRMTKDSKLHSL PFICI_04299 MIPSTITAIAALVTLASAAPRHHYHNSTGPAPANTYAECQRKTH NPLSGCPKGTLYVSQANRSHADFTSIQAAIASLPDDDSAHVILIAPGNYTEQLNVTRP GPLTLLGVSDDPVRGASYGAAVTSDTDRRNEVQVLWAAANSDNTGKITDNAVTTVLTV APTWNASLTGTGPTGFAVPADTPFGNSDFRAYNMDFRNVFSEYAAGPALAVGVSYANA GFYGCGFYSYQDTVYIGKLGNAYFYDNVIAGQVDFLYGFGTAWIEQSTLALRSCGGGV TAWKGTNTTFDNKYGVYVSSSSVIAANTSIATTIVGECPLGRPWNNLHRSIFTDTYLD ASILPAGYIIWQATDPRFAANITLEAVYNNRGPGNDVAAQLASNVTIVLDSDGFAPYS GPAKVFITPDGETDNTAWIDRSVYRE PFICI_04300 MYLDQDFVVELLSKTTHVPLPEPTSAKPTPLPTVVPTVPRVTFE TLHDTGKRTLWVVVVVMALSSLAFYVQAARVPVQKRLFHVLTSFITTFAFLSYFAMAT GDGIGFHQTIIREHLKETGQHVVKHVINRQVFWARYVDWTLTTPLLLLDLSLLAGLNG ASILVAVVADVIMVLTGLFAAFSNTDGQKWGWYAIACIAYLVIVYQLAQNGRRTVATK DNKTRAFYSSIGAFTLLLWTLYPIIWGVADGARIVGVDGEIVAYAVLDILAKPVFGFW LLTTHDKMSQTTPTVDGFWASGAPTEGTLRVGDDD PFICI_04301 MDRSENDGGDDPRDKDQGHSQNSNRQGVSNHIGVLQVYPAIGDD IETDVDIFAIHGLDTTSPDTWTFQRKHEARPTEKNNQSGLSGRLFERRPTRVREKSDR RKNGVNWLTSPDMLSYDLPRARIFTCNWPSRLYRDQGSVELTVLELARGLLADIQSER RRLGAITRPIIFIASCLGGIILAQALTLAAESENEYYGLSTATAGVVFLGTPFRGTAL AHVFGTAILSLEARGVVKSQSLARGLLDHLGKSTAQLEELVNRFSINCCLSRNLPVYC FYETIESHFVAKSFPKWTPKWLKAHMTNPKVIVDSSSARLDVAKESIALHVTHVMMNK FPDREDSSYRRVRGTIQSMIEQAMSVRSQTLVFTGDDARSWNLLTERIPSPGHEMEVI EDRKERLISACSEWILKLIDWQQGPDASVIHFVGGPGTGKTMAMINLIRYHLTNTDQQ ALSYFFFEGKSKDECAFYRGLIYHLLRNIRNSNLISHLTQELASGSDSALDDAIALRS ALQRMLADAKPSLLFVDGIDECEDKHHLKNILRFIRETTTELGIKWVVSSRSDPIISE SLQSLGKKCLINSIELSTVLPEISIDEYIRRKVELLAETKDYSADLRHKIHYILKDRS AGIFLWVSLVCEMLQNDHESWDDRETLHLLEKLPTGLPDIYSHSLSKLRELERRWSDC VTVLATAATTLRPLHLEELASIAFSDRKLQRAQAERCVRLCSNFLVLQADIVHFIHQS ARDFLKDDPMGDIFDRGISDRHSLTLSASMRAMKDLKENIYELEHCSTEITEIITPRS GDPLLAMSYSCESWIDHLIASFSDLEQHAIRPEILCDQGEIHEFMSHYLLCWLEALCL KRVLHKGLDGVRRLEAFINQWEPGNLSSLLKDTTRFILRHMSMIDSMPLQIYRSALLF SPKNSIIRRLFLRKHCPIKVILGLEPNWDHHLQTFQPYMSYGISHIALSNCGKTLASG DRHGAVQVWNMATGRAEFAHPCDPWEVDTMQHLAFSPDGGKLAILSGEHIRLWIPATN QFAWITPCSTRHGGIIVWPNKEKLLVVANYGTMLLLDAATGQAVRLPKLAHFKISCSS FWPQGNQLMLGSTTGRVQLLDATTGQIQQSFENKDKLIPIQFLAKSADGRKLITIQHE KEGRGDVGHIVLWCAADGGVERTWEVSDLRSPDSLVFWPDASKFAFSPRWSGDIWIWD IVTGGVLRVFYGHTLAILSLVLSPDKQQLISGSIDGAIEIWDVATIETEKPNLGRQTL RITSVAFSPDGKYLAAVLVSGMIHLHEVASGNLVQRIPPQEYAGRIQVSRQFIEFSPD GLKLASWCGGELIEVLNLTEGRVEQFLTGITTDSGDFRRILMAFSSDGTKLATYAYNC KIQIWDVARGSLLAEKQFNIIYSLAFSPDGERVAFEQEPWKRFASHDFDRISLWNMAT NRMEPVLDQHEMGHIESLAFSADGRKLATSTSDRTIHMWEMESEVDLETVWLPATPTN DFGHMKTYSLDPLKRWIYRNGSKFLSIPSEYALSSTEAITNDAIAFGTEKGQLMILHM P PFICI_04302 MDSAATEQFASFAERNGIRRVFVHIDPDLSVADFEAFVARCAAQ RVDVEALMGDPGWVLNPHHESFTGRLAWVVAYQARHKGNPAMQIRGLHLDIEPWHLPG WEANKSRYMHSFLQVIHNYTQQAHAMTPPLPVTADLPFWLHTVPPPPGCGGDYHVDAL LSTLDGAVFMTYRNTPAVLADIAGPALAAAARHPGKSMDLAVETRNCDEEGRHISYHG LGLARLEADLAVIEGRMVPWADRHRIGVVVHDYQGWTEMR PFICI_04303 MPNHHEHKHLARAETVYATVYTTLSATFTDEIAGYSTVGVDNTA TSVANAAATTAAAEAAASDDSTLPASLVATGTVDVGSESNLAMATSTSSTSAKASSTT GSAASTAAAAAASSSTSSSSSSTGDSSGVKAGIAFGVLGGLLLFGLLAWFLFNKRRKQ MEQQKAIDNEKMSGNAGAAATGAAVAGGVAFAAAANRRDSVQTTHTTADAPRLELRPV TQFMPNFGERRSSKGAALALGLAPIGNNSQARTLGAGTDRPSTSQSHENPFGSGAEQL DGVSEMSVQAASQPHNPFDAPENVVGLAQSTDEHNVSPISEHAPDGFGSAATGAAAGA AAGVAVGTALTRKQSKREAPQTLDLTKANKPAQVLDPIPAPASPAGTEFSLTEMEPGQ TPGPSSSAAAIAAAGGPPQSTVHRVQLDFKPTLDDEIELKAGQLVRMLHEYDDGWALC IRLDRSAQGVCPRTCLSTRPVKPRPGPPGAGPRGPPVNPAGRGPPRGPGAGPGQRPPP GQRPMTPQGGPFPQPNGPKRPMNGPGRPQSPAMMRPQSPAGMGRPQSPAMMRPQSPAM MRPQSPAGMGRPQSPGPRQRGYSQSSQRNGPGPSPMNPASGSPPQGPIGRKPVPGQAY PFICI_04304 MADDIRSTQRAPKSCTACYARKIKCSKELPCRQCVRRGVPTECR REVVRVKGRIHTVDASQSSPSYADLLQENLRLGALLAGHNSAPVTESTSRLPALADKT EWYENRLFDMMEHCPGLRSVWGKEDILLPSKSCSDAILAFAPQWTFWIHFAVSTPQFL QEHEDFWCLQSAAESLDHAEPLWLAVYFSILASTLLFMSDEVIDTIPAPPGKPDDLLR NWYTIVVLGMLFTNMGDISRQKIMWSVAIRVAQQLKLGNDSAHEDESFSDQQVRRRLW WTIIICEWLPIPFHTPFVNDVDFDCQLPEDVDDEELSLPSSHRLSRSKPRPVQYHIIM ARLARIYYSFRYKLRIRAWSAEEITSIVLAADDELANLVGELPLFLQSDQQFANTIDD GDSRQPWMLWQSQSLAMAFLYYRIAINRVLQQYWLKGSPNQARVRAICLSSAQAIVRA IVTGKLDTSKFRSWAIATNVFSACVTLLLDNTSRESSYSNCRTEIDLGIAFLQRISHS PLANHGGRILEEIQKNQI PFICI_04305 MPGRLQDEGSYASEPTVDVQKEYGDWRDKLIKDGYVVLKGVVPA ERAQHYLDSIFSWLETFPYGFKKDDPSTWGPEHLPAHVKSGMYHGYSVSHEKFFWDAR LEPAIIDAFAKIWGTDELLVSFDGVNLTLPSANRPAVGAWPHVDQSPLRKGLQCVQGL LNLAPNGPDDGGLIVMKGSSALNEEYFATHENSQETWGPADWFGFSEKDVEWFKSKGC EIIKVCADPGDLILWDSREVHYNQLPKSDAVRSVLYMCYTPASYAAPEDLKKKAELFE SRMGTTHWPHANIFPNNAVQTRLGKPETYSRDQPIESPMMSHRMLQLAGVKPY PFICI_04306 MANSASGSPSGKLQWWRNSGLRRLVFWQTCILVSQMVVGYDEVV VGSFQSMDNWVQDMSNPSDEILGLITAMVFIGGVIGALVASWPADTFGRRSTLFAGSL LSVVGSILQAASPGRTEFIVGRLILGIGISFTTSAGPSLLNEVAHPSLRGGMASMFNV LWYVGSILAAWLCFGTGHLNTTWSWRIPSIVQACIPCLVMVASIFMPESPRWLCSKGR VEEARKMLVKYHANGDNESELVAIEIQEILSALEYERQVQVGSWAQALKSKSNRKRFT ICVAVAVLTLWNGQGVISYYFSPILDSIGITSTEQQTGINGGMSIWNFLCSLVGALLA DRVGRRTLWLASFIGMIFANVPLTIASAMYSNHGSQAAAYTTVVFLFLYNAAFNVACN PLLYCYTTEILPFSIRTRGLALQILVSQVALTVNQYVNPIALARIGYYYFIFYLGVLI LSTIFIYFVFPETKGSTLEELGMLFEDKHPIEGKIVEEGVAPVDDSKGKMSISAEVTN V PFICI_04307 MTPDYDIIVIGGGPAGLSAVSSIVRQDHKTLLFDSGKYRNGLSN HMHTVASWDHRHPSEFRAAARADLDRYGSVTIEDVEVESAKKLEDGCFQVETAGKSWV GKKLILATGVEDVFPDVPGYEDCWISGVFHCLYCHGWEEKGVKSAGMLAEGATGKWVP ALHFARQALRMAETVTLYTDGNEELGQEIKDALKANPAPMTVDTRKITKLVKAPERAQ VTLHFASGESKTEGFLAHKPQTRLRGSLAKQLGLEMTPMGTIQVTPPFNQTSVKGVFA AGDCSSPMQTVTAALHSGTCTGGGAPLQIQAETYGQPAIF PFICI_04308 MGVIRQSFAYGMIFSAAISIGYDSGYLNGVLGSEDFIRLYGISD DGGLNMYLSPTTRSIFSSILIVGTLIGCLANTFITSRIGRRGSLRVAAVLYTIGVSMQ VSGSVQAVFIVGRILLGMAIGLISNTVPSYLMECSTAANRGRLMAFYLQFLTTGNVLA CAINYGTTKYSDSRSWRITIGFQLFLAVIIGVGAFVCPESPLVLSQRGQIDAARASYA ILKNRPQDSHEVNDSMQKLEQHLQESAALGVVNVAECFQGSDLRRTMIGLAMSFFTIA TGITFWFGYGTTFFQAAGVENSYLISLILALVNCVFTAPSIYLIERFGRRRSLMYGGA IMALTQILTGIIYTLASKSVASQNMLVAGAVVFIAAYAPTWGIGGWLLMAEPFSNRLR TYQSAIVLAFYWIITWLVGFITPYLVDATAANLGVYVSYIWLGTGVLSLVWTHLCVPE LAGLSVKEIDQLFERKVPAWRSRAWQKKLRVINSIDSPSIDDASGLKGITVGNEKKV PFICI_04309 MTAQQLPPHLLAVSTLSCRKDGTRIDIEPQAQVPATIVDFKEAA IHSTPLQRSVSTELSSCASESIPGKLGEENSTPHLYLHSEFSIPNTQKAAMTATVGED LNIQLCKIKVKIPKENEVVVRIAWTGMCRSDACFSVGPEPGFPSHSHIAGHEGIGHVV ASYDPCLLGRPVATRYIGYTCQTCRFCLGGLPESCPSHTAFPKHHQGTFQQYMTAPWS SLVPLPRWVFDTKSGVWPGAYTAALCSGSTALKAVQTTDIHAGDVVVVVGVLGGIGHL VGQIAKRVFGAKVIGVDIEEKVTTAIGEKYGHCYDRLLASPYLADAPSWEAFVQNLHD VCKDLRGNKFDHNRADSVIVTASRYEAFHGLDSYVRDGGSITCVGCPRGNGMFTIPLV SALIERQLKVQGCMMGGVQGAYAVMDYIRSGLIEVMTQEIPLEDVTDRMGQFLDCKNS GKLVVRVNGSLPT PFICI_04310 MSHLKFYAYEGQGVSKREQFSYSQAVRVGDRIECSGQGGWDPIT GEFEKEINAQIDLAFQNVERTLKDAGGKGWSQVYRVNSYHVPINNEALAAMVRNFKQY MPDHQPLWTCVGVSRLGEDDMRVEIEVVAHDPEGA PFICI_04311 MRLRLVRNAVAGEQKWACCNGTKPSCLRCTERRLSCVYANDIDH RGSAPRSLVSLLQNRIKLLEDVLQRHSIDIEASIAQIQGGESQSEEPRQETCNSSTSQ DLNSGQDLQKPELQGALYSEQPGSGLHPVGEDQMRFFGPASGRLDLQPSQAAVDGAAA DSTHADDRSDQPQSKRVNNPSQERFNAYSQSLAAEALRDLSPELVEHLIDLYFEWEQP WFQVVDEELFRASRMQNGRYYSPVLLCCIIAVASRYSDRLEVRSDPQDANTAGLAFIE HAEALIHFDLKWPSITTVQSLAIMAIFYVASQVVSGSL PFICI_04312 MTSASKEAFFNSCLMTLQDWLFSLPRELRIRPSDRSDVLSSSPQ VFILHMVYHTSLILLAKPFVPSKIPQRPSNVQTDEPSESLQNLGLRALHICREAATEI SQIGDIYREGFGSFRCSPVTATHCTLTACLVNLYLVDKGDLGRTHHVNARLASCLSTL RELGDSWTPARRYWETLKRTIGDVEQVKNVVSSKKGGAANTRSLRRRSKEHESLSRLG SIGVGTSTSGEPMIDDGFVNDHAENPYSAALWSLDQNGSFMDLGKLDLSVIGSLPWDY ALESSSMEFW PFICI_04313 MRHNSFLAAASLLVPALGKSVFWSPVYNNVTSDVELLSDPTNLD GFKMNASAMVGGAFDFWYFDVASESTNAGVNIVFFNTGDFKYQLGNEQPLAVQLSGKF ANGTEFFVQTFATEGAFIKNDECGVFADFKGAGASFVGTNLLKDNVSYTITFDGSAGG LKGTITFKARAPAHFPCDTKDVAGATQNLLPYLFWANAVPDAESVVNLTIDGEPFQIA DGIGYHDKNWGQKSVITSPKYWDWGHARVGPYAIVWYDLLDYNDTEHNYAYVSKDGEE PFVGCGGDTVHARQWGPANTTYTYPPTNKLGLLANNGLLIDYELPSGDKLHFNITTTA IIKTETGNVYSRGVGDVEGGVVGGINYSGRAFYEEFIYGLLV PFICI_04314 MTLIPRGELLPYKGNFYLWNYIPSMAAAVIFLLLFLVASLAHSW RMYHERLWFCLPFVIGGYLEVIGYIGRAMAVNATDQLGPYIIQSVFLLVPPSLFAASI YMTLGRIMRALGPVGESCSFIRVSRLTTIFVLGDVFAFLVQSSGAGYMAAGSSSKTGE NIVIGGLLIQIIFFGLFVVAAVSFHLRYRQSASSLAPSNVPWQSMLTMLYVNSALILV RSIFRIAEYAMGSTGYLLQNEWPLYVFDSVLMLAVMVVFYKKYPSDIGKALGLGGKTG YAPAAV PFICI_04315 MSDSTITSSNYNPQIASGLFHIPIELRHEIYFDLIGTTGLHITR SKSGGFRLSSCWACDLDAELLGFECRPRGIVNSEVWRRRLLSPWGRHWQCEEIVSEEA VDNKDPLDIILRVCKLM PFICI_04316 MFDILGFAAQRLCFNVTDMETLGALFEVSDTSVSKTPDHYSFQR LFLPNITQLDITLRLDLPAVEVSRDTSTGETHCLRNGRRRYTFQRPFDDIEEYRQLWS GVPAKIFEHKELRKLRIWLDHPDDLGWAIVRKREVLSTFERLAIPQHLNLVMYIPLVF PEKEEPKRSSFRNVTEAKGSSLPSFEICRFQRPHFESQFEKWREEELNADISLPLVHI HWAPQSLRDAAMAMFNGLS PFICI_04317 MLRHPLLIASLVAPVLGFDQVLGFNTVIERENRTLDEIYQAALA EGNVVTLWHGGDEKDQQDGLKQAFEERFPGITLNLTVDLSKYHDVRLDQQLAAGGQAV YVDSIILQTLQDYPRWAQQGALLNYAPNGFDEIEQAFKDNEAAYYGVYVFFWAGAFNT EKLPGIEAPVEWEDWLRPEFKDKLVLTYPNDDDAVLFAFYLIMQQYGESWFDGLIAQN PLWVRGTATPGTIARQSNNTRAAYFAAGGGFGSSSPMNFTHPVNGQYVSWAQHAAILK NAPHPEGAKLLHNFILSPEYQAATGSWSVRRDLPAPDGFPDLRNETATNPTSFPLFMA DRALVERLRFYFESRLGPAVGLDPIDDDI PFICI_04318 MFLSITFLLGSLVTVSVASDCGPQLPIVPLDQGAACACVQLATA YGDAVLYQNSTNYMAESINNWDKRCNLEPKCIFMPTEAEQVSKALSVLSSCGAQFAIR GGGHMNFPGSNNIDGGVLLALNNLTHLEVSSDNTTIEVGPGNRWVDVYTELDKYDRYA IGGRLKTIGVPGLSLIGGWHYLNNKYGWAMDNVVSYDVVLGNGSQLVADVTSNPDLFW ALKGGAANFGVVTKFTLKTFPISQLSTTIQSFNESDLPEFIDATCDLVENDFPDIAAG GVISVSYNQTTDTFTGQLLGVQEGTESPPSRFANYSAIPSISAMNNVVRPVQWHANLD TPNQMFRVQDFHHTIKADKAQLRTIFAAWQEAVRKGISDVEGLYATFVMNIMPKSANT VAKTNGVGNVWGMDDDESYILWQFSTAWANKADDLRMTNWAHNLVEYWHQDNQVKGLA HDFIYAGDTSEYQDIFASFPLENVQRLKEIRSVYDPMGVFSRLNWGGYKLSI PFICI_04319 MSTHRQSDRASRPRRAHHKSRGGCRECKRRHIKCDEGRPHCVNC SVSSRHCEYAGAAVATSTPAPELLPISSPPAPVSGVKYTDRSTSPIIQESHIHLPGPS QILSHGYAFTLEHMQLFHHVEHGITTWLGVTDSMIPLVEQYIKTALTTPYLMDQLLAL SALHLSYSVNHETVRYRSLATELQTRGLSLFNAMRGTDSDGTARWYFSSLLAVHHLAT TLAVHDEQNFDAFLDNFVSHMGLHQGTQAIGIESWTSIRSGGLRAWLDQLDEASSSVS SSPSAADEPMFARMLQTSRLNAASVNTCWEAASALLFVREKTQPGPHGWGPHAAMAWP NLVPHEFISLLGERVPEALLILAHYAELLHTYRDYWVFGNAGEYLIRGLAARLGATWT KWLKRPLAVLSETESLHTPSGF PFICI_04320 MIGLVNIPMQSTTGLYAPRCAIKAWQLTPFEAAAFNQSNDQQVD EIQSYCSRVRSATGAGSNTLFIIPQEYSRGSLKITEPCVDALATSIHASPMFKTMINS FERDLTDYYIGRALLEFSPSATLDSQGSAKKAFEFCCLLPYIEKNQHKVKHLGADNCY REVLQWSVRQQGLYSSYNSTNPKTETTVLVNPADELWRRIKSTYGGVAVNLSVDEGWQ SIPCRVFESLTANWATYIACLHRAVEQIKRDAAVTHFDQPFLGEANTQSLRDGMDMMD RLETAHHVLGNIISTLSQIQAEALKHEQIWTRNRAEAEQVTFSHRVKSVVRQLEFERS QVERITTRLKGVVAMVRNSMKQSRLAFFRLTRRQIRDLVNLRSTNNMEKMTARTIMEA RTMRIIAVVTLCFLPPTFIAGFLDMGYIKVASGGGQLLVNAEPGLLLYTAITIPVVLV ILGGYLWWDWRTTRNLTSSLDPV PFICI_04321 MASALSPEDTASSAGLRQRVAGSDDDASAVPSNHAHQLPFDATD APHDADPRVDAPRTLTQRDVAALIINKMIGTGIFTGPYTVLINTQSKSVAMGLWAVGF GYTILSMLMYLEYARKLPFTGGELVYLDDLLKPKFRLWAYTLYAFYFVFVYTTSTNAL QFASQIVLAAYKKAAWKELPPGEVSLHLLRFLAVTITTATCLLLYISNSKSRLFNKAT AAAKILSLLIIAGFGAAYLRKHGSHSNWASDDSLGETLPDYDIHWVPAFIVVLYSFHG WENATLLGKVAGEIPSFSVLKWGFIWGVSVVGSLYLLLAGILCAAFDWQHGPLPNYTA TYFSTATMPEGQVSETAAVATAVLIALSSIGSMLSVAYTGVRVKQSIGWTNILPWSWL WRRTGPLRPKYSWRDVDHGQRKVALIFDQDALTHPGSPEGGVLLYWLTTIVWICLSAS STDTTYAVNFAGNMLVYGHFFVEGLVGIGFIWFDPFQDRFQNTGYPALDWYRTESDRN PAPWMRQKPAEKGQYWSLYRLKDGPLQTLLGIIILAFSLVIVISDLWRSDGRTALAVV GGVLVVGWIYWWVFVRYESARHVFKFLGYDMIPCTHGEDDLANDPPTRICHWCVTLRA GHRHPHDSYLSFNEFSLRGKPRPRFLYTIFGDGSEAAYMIQSWSDLMSALRGSLSSDD LE PFICI_04322 MSDLEGSEAARLFVEYAEENKCNNTELLRRLENATKRDPSIELT GDTKKDLLPTREKLERVLGPNDTVLSLLCCTCKLCQRGSGFRELARNTRILEDAKKRK ELAVLILSGCLFALGDLCTQADFKVVRFAAQSIAPWSQQPTDSSCFSRIAPIPSQCPH TFFDKEDFSFPRIRDLCLACRKRVFQSAALEKSNILNIPRLQRSSAPVIFDHSTQNLP FIFECPQTRLNTRLTPQFYTSQIEPSCCEDEQLRDQVLFRKVFKYQHERSDLISEAAK EALIAMHLARRDNESFVEVLFALTYKDRFYTYVEIVFPFYDRDLGHEFELRKLGSHLS TPGCLLDNPLWKASLDVVRAVCIMHDAIDKMEVECSGHFDIKPENIVIKEDKNGVMKL FLIDFGQAAAHRAGTNLYQPPEVTRPRSNTNPFTFAYDVWSMACVLLEVLVFIEFGLS ELRLFRSRVRGNDEDEYAFWQGTSPHDMVLRPAVTGRLQTLEDQGDQRTRAAIRQLRA MLSIFPDQRPTMRSCLGEFQRINLNRQDLGLPTQDWMNCGLERWKTSYSTSRMARPNP GNIYFFRDKPGQIQADERITLDIESVIKETKAVKTVTFIPHAFFNPSTNPGHTFACHF DNLHEGFTFHFSSLDKFLEFMSLLTYQRIVPDISEDPNATGTRFKLNTCQVKIYGWPS DQTRKFKGGTVQIWRQLSQSSYDRYHKRSESLSSTSSTTGSGTGSSEGAGSGASTTGV NGVRHSTNAWKLAIWTHDAKTEERTCVVVDIGAKTWRLEDPTGQRVPQRLKIKPHYGH ADFRGAIFTPSTARQNGNGPDDRYPGFPIGPIDLQTKMRSRLTEVTIDFLDNTHRSQI LHIFRNNKFNLPG PFICI_04323 MNEILPVPLTCKAGVVTRHRPDFQLEVVEVPVPVPGPNEILIRL NATGICYSDIHYMLEDLPLPRMGDYGVRSPGHEGAGVVVMVGSQVKNWKIGDRAGIKP TWDTCMACELCWGSHECHCPQAIPTGLKVPGTYQQYIVSPARYASPIPDRVDDYCAGP IMCSGSTIYRSIVESGVRPGNWIAILGAGGGVGHMGVQLAKAMGLRIIGVDSGESKRN LCLDLGCEAFVDISTTKEMASEVRRLSSGQGVDAVFVTATSASAYRAATSMVRVGGRV MCVGMPASGTAIAGDDPMILILNNIKIIGTLTGSLKDTHEALEFAARGLLKPVYQVFS LADLPEVVSSLRLGNVTGRCVIDFNA PFICI_04324 MTTSFGDVQIIFGDPRQPKALPLSHPKARFGEFGTLTKVLPKGH RVKSDSLPLPCDILFERDIPVKLRDGTQIYVDVYRPHNSPTTCPALVAWSPYGKQGGR GNQVLDDFPFRMGVPQHKLSELQKWEGPDPGYWVQHGYAIVNPDPRGVGKSSGNIYQF GSQEGRDGADVVDWIGEQEWCSGKVGLSGNSYLAISQWYIAAERPKHLAAIAPWEGFT DLYKEGNRGGVESVPASNFTLKLLQVNFGEQSWENTVAMGLEHKIHGPYHDDKKARVE NINVPAYVVASWSNPIHTYGTFCGYHRLKTDKWLRIHDAWEWPDYYEDENIADLHRFF DRFLKGLDNGWEQTPRCRYKVLDTAMPTPKRISYSESNSFPIESTKPLKLFLNGKSHK LDAVKPAPHQRQYAASTEHVRFTYTFESDTILCGPLELFLKVSVTGNDDSDIFVACAK ICPSGTARKQLVVPYEKWYQSRVIRGAYNLGLAPDAGALFYAGPTGQLRLSRRQQMPD HQVPGFPTFRMDKYAPLPPNQVVPIQIPMTPIGMRFSRGETLEIRVSGTNSAVFPPVD QATLDVQGLTDVNEHAVITLHLGEESHVSLPVIPSASLG PFICI_04325 MGNQEDTQQHVVDTEHMRESPPEHTKGAAPTEVVELPTRLSLYR SAHGLGNHYVIGEHREDPLLAVTTHSGFGRAPDVVLHSGPAKDSAMLAGVDSNVLVHS TTAKLRLPGSPTATEEKTVEIAQQGGAHRFVVQDESRQPVTFEWLPHAETKTSLLGDS RVLHWELVRYAGTGAGKDGAEVQSDEDKQVVATWANESSMSLSKVLSLEFLVDATRIT TRDSWVVMALITALRIWDSNTKSVSNKQRMS PFICI_04326 MASTERFFHCNIQDSGQSWCERNLASRSSMDQTMSVQHLSEAII AIMTTIFVMRCIERISSHHWGKDDSTLSVAVVAIVCGTSLGFALSSHGLGRDAWDLPD SDVSMVRLLIYCAEFFYGVSITLIRLSALFFYLRIFPQTPFKFYVYAMITFDILFGVA YNAVFIFQCWPINSIWAASNVASDATCVDIHQLALSGSVINMLQDLMVVVLPTPILVK LSLPISSKIQVFFMFSLGML PFICI_04327 MASSNTITVTLGQIAKMIDHSLLHPTMTDADILEGLRISKKYNV ATACVKPYLIPMAKNELDGTDVLVCPVIGFPHGNSTTDVKVYEATAAAQAGGKEIDMV INIGKALGGDWDYVKTEIEAINKAVTQNGAILKVIFENDYLQEEHIIRLCEICSELDV AFVKTSTGYGFVKQPNGQYSYKGATVPHLALMRKHSKPGVQVKAAGGVRTLDDLLHVM SLGVTRIGATATIAIMEDAAKRGITSEPTTVEFKPIAGESSGGY PFICI_04328 MGDMTSELDEIRHESLSIQVEEVSINVALARRDGPLEPIVFLHG FGGSKEDYLDIALSKSFRGRAFIAFDAPGCGQTTLADYSKLSIPFLVKTAQTVLKQLG IQRFHIVGHSMGGLTALELATLMPESVLSFVNIKGNLGPEDCFLSRQIFTWADEDPEK FLSAFITRNYHSPLFSASLYASNVRSKVRAEAVRGIFESMVHLSDEGRLLEKFLALPF PCMYMFGEQYNTLSYLPTLEKGGVQLAEIPHCGHFPMYSNPVAMWTFISEITSAKVA PFICI_04329 MQFAHSGIDRSALMLRSFGIEAAFLAFFVAILGTIPQAIFKRAC AVMLLAALTYAMENSIVPLCRSRPHWAATAASLLWVQFLSASKIIVVSRVQMAQVAPR HRSALARARSVTGLLWNMRRNGTQWQVKNVPSVKGLQKQGRVDFILRRFAVTISAYLF VDIVVSLPPADPAMVHVDKAALFPPHGLSLEDLIFRAIMTASYFLMTGLLNLCMANVG AIISVATGLSRPTECPPLYGSFADAYSVRRFWGISWHQMFRIFLTGHAKLIIDAVLPF SRQSAMSRYVRQTVAFLISGAIHYRAEQLMGVPDKENGALVFFMMHSAIIILEDAVQP LISGILPRSLSRPLGYLWVVAFFVWTTPPWMYPGSRLGIDPAALLPFRVLGPFIAK PFICI_04330 MTLPIFNLNAPRRLPQQPNPNAVRDYIRQTLITRLDTTSTFADE VAAKWPVRRSYELYAASSENLGKVFGQELGPVLHRIVQDDIREDWWNSYDALLRSGFL AISVTLSIYFFVCACRGSTLREARCAFIGAIAWADSLAERQEKQRS PFICI_04331 MARYVSAISVLLGATALGSGSAAKCGGNSSTTYAIPLAAATGAV DVEFTSTNAVPDAPKLSAINSSSFDWWYFDTVSRGGDQGLAILFNAGLSSGLLGGNNE TVPYFSISGLLENGEYLNYVAWAEDDGAIITTGSDGSSKAVWTGTGGSWVATANMGTY TISVNAPQVNGTISFKSVAPAHNPCGPIQTGSDLLVAPSIGWTNPLPDADISVDLVTT TGPVKYSGVGYHDKNWGSQLFPSTFNSWYWGHARAGAYSVVWFEVLAPSNDTHYSGYL AKDGQILHSACGAESITVRPLDTAYPRGDDESWPSGYTIEMEIGEQKELFTMTVTNVG ILNDAALAHRYVGTANVFFHGEEFSGIGQWEELWLPYV PFICI_04332 MTASSLSLRDDIRHVWLAVIPRSGYQVGYVRRGILSLAAIHKAF LTPNSRQEYLTMAAFLQAEALREFRATLSTPTSSNWRPIYCFASIVVVFVYSLPTRSE NERLIEPIADILELFNVIRGLQALLDPFLPRLINTEFAPMVQGIWGVDVDDSSLPSLA SSLLPLDTFSALTRLRAAFSAQLHEDNLMRYNKCIDGLEMCARILASAGTHVEPGMVL LWACSLDGLMLTDIRNREDHALVLLSYYTIFLKVPERMHWAAKGWAVPLFKDIEMCVS HREETMLMLQWPRAHI PFICI_04333 MASQLFWIGLGNMGRGMCKNLVEKGPLGGSPLLVYNRSSKRSAD LAVKLGSKVKAVSSIEEGVKQADIIFTCLSNDQAVEDVYRTISALGNINGKLFADCST IHPDSTEKVAKIVTDAGADFVASPVFGAPPMADAGQLIFVPAGPKAAVERLRPYTTGV MGKAELPMEDEPYGTASTLKIIGNTFVLNMVTQLGEGFTLAEKTGVGAARVKKFVDGL FGGPYSAYGERMLSGAYHSMEEPLFSADNAIKDASHAEDLAKTAGMEVKNATTAKQYL EEVAEHAGGAKGDVAAIYGAARMRAGLEYENN PFICI_04334 MSTQKAIIVTSPHQEGLVTDRPIPSLRDDYVLIKTVCVALNPTD WKHIKFLAPPGCLIGCDYSGTVEAVGKNVTKFKKGDRVCGFVHGGNAVQPEDGAFAEY IVAKADLQGHIPKGLSFQEASTLGVGIYTVGQGLYQSLQLAWPDKPIDTATPILIYGG STATGTLAIQFAKLSGYHVITTCSPRNFDYVKSLGAHHVVDYNAPDAAASIREYTKDS LQLAFDTISLESSAKFCDASLSTKGGDYSALLAVGIDRENVKDRWTLAYTVVGEEFTF GNQPWPAKPEDKEFAEKFWPVASKLLSEGKVKVHNVKVNPGGLNGVLEGLELMRQDKV SGEKLVYNIAETS PFICI_04335 MRLINVETYKLDVHATCPKGEYAILSHTWEDDEVSFQDFTGQLD LAKTKKGYAKIEQTIRLARKANLKWAWVDTCCIDKRSSTELSEAINSMFQWYKDSAIC YAYLSDLSPQSSTMDNKAFQRCRWWSRGWTLQELIAPKKFHFYDKEWDFYEKRKSLAM QIESFTGIDHRVYRRGPTHFRVAQKLSWAAKRETTKEEDIAYCLLGLFDINMPLLYGE GDKAFIRLQEEICKTTSDFSIWAWTADCVSEPSDANQDFRGLLALKPSEFARSGELSR GMHHEIRRISVISQEIHLYGTVLFSSPEHGLWLRVGKRDDDGAVWIALTKTENGYVRS RPDVLGLTFIDKPAEHEMNPQPWEILLYGGEKQRIPGRIPGRENIQCRKYLEDKESAK LRKAVDDSLLIDPGPRVEITAAYPEICWNSYTKTFLPNRYDVGVFEITVKNGANHVAF VLLCQWPKYDSKASIAETRRWEHVKYVILRRTDKLVDFLQVISDGKMIAPRNRAAACR MMEAEVCAGWDTSAEKSRDLLWQDDMKRFGLQGELTLNVQHDKLSLKLARIKLSDLSK EEGTTGKKVHRASKNGASQDQARQLTPSPPESQDKHQQRHQSNDVDSSEQSESTTGFQ TDSDATYSFSDSDNDRRGP PFICI_04336 MSAPQHSLTKAVPEIIVPSEWVPGHNDSTTQQYAKARGPESPVP LSADQNSNPCPRCHWNRQSAKLFPWGGDENVLQPPFMTQQSQESCIRCRILQLAVEAA GVKLGPRDIIWIDEDGCSIQFEESLEFGDVISLDIFAVNDDRGTPPPEWLPQGVRRPP DNTSTSQALEWSKAEIEQCCQSHTRCRIPPSSFAPTRLIDVRPQNVDGDVVLRDGSSV PRGSRYCALSYCWGKLKPACLTTKATLPQRQAGIPWSSLPLTFQDAIKWSRSMGIDYL WIDSVCIIQGDEEDWRQESGRMFQVYGNAYVTLVAAFGADSSAGLYSLQHNQVPAKHI ANLCYDGSPETTWPLYVRQALHDNYYNWENQELPGILPLLQRAWCYQERLISPRTLLF APGEMAFQCFERVSCGCGRDDASLGDATSNSKHKHILPRSTDKGHNDDSLHRNPSQTW WLLVGAYTDLNITAESDRLPAFAGVAEYIHQFRRSEKYLSGLWSGTLVSDLLWIVLHP TDGSRAQIQPTATKNPSWSWASGSQGRTVSGYVNDFDSHVEVREAECQYAADNSYGIV TGSRLVLQGRLWKFSFALEKEEGSTWFISPHATFRLRNPVPGRFNHLHLDSGSHWRKW RTLSRFVLLEMGKSQSESGAVTWYMILKSTSKSSNTFVRLGQCSIVTKSLTTADCKRW ENLGTDTVCTIE PFICI_04337 MDSCCAQIAVVLGDLVHLPGTGLYNATETSYWSTQEAVLVPGCV VLPQTSTDVADFISTVSRITNCSFAIKTQGHAPAAGAANINGGPTLDLSWLNFTDISA DFSTARVGAGSSWSNVYDTLQPYNKTVTGGRNGAVGVGGLTIGGGISYYSPQVGWTCD TVVNFEVVLSSGDIVNANSTSNPDLYRALKGGGNNFGVVTAIDFYTIDAVPLRAGHLF QSQDYAEQILRAFARIASANDYDVHASIVTSFIFNQTTREWTIVSVPIYTLPEMEPEV YKELFAIPNITELTTATIESISTLATEAPYAQKYQAFFTSTYAADGDLLVALFSIANE SLGCDSYPADVSISMTFEPLPTIMTRHGEKKNSLGTSPSDGNGVILLISVSWSNSAST LSAERIGRELIYKLDDAACTVDKLRKFRYLNYASPAQDPLKSYGEDNLAMLRNVSRHS DPQGMFQTRVPGGFKLWEDSLAKP PFICI_04338 MSQSQTGFPEAQDAQADEARRAYRHGLIERFNTIDYQKRMRLNP EHVPGTFEWFRNHKAFRKWIDDDSEHLLLVSADPGCGKSTLSRCLVENILPHQKPDAT IAYFFFRDNAEQGTFANGLCAILHQVLQHNHRVVDDLQDQIKRTRTGVTKPTSELWTL LTQAATNAASNEILCVLDALDECKDEDLQTFVKVLLSMRSKSADTIKFKLRFLATTRG YPKILNQFSELNPDCIHLSGDSTEERDQIRNEIELVLDHNLKAFSRKNGLSIQRQATI RDALLRQGSEQKTYLWSRLVFEVLEQSYNKLAESERLIQNPPSSVHQVYEELLQSVSE GDMGDVRVLLQLLLAAYRPLTLQEMNIAVHVRDHIGASSEEDIGLKSDDDFSQWLART CGSFVTIHDEKIYLIHQTAKEFLMAPKSSTDVNTRTWHHSMNEYKVHSTMAESCIAYL SLHQFQNWPILAEAFAKDPFSVVFEAPSSNCGFWDYTINEWTRHFRNCQMSRGKTIAD ISDIFVPAYLSFFDMGWVEIWRESDAYKRRYRNYHNRRGYLPRRMADQDTVKSIAVAF DHARLLEHTMQKKSELQPIDRGQRQDGHASLLVEAARAGAIWCTKLILGYAFVVAPNR EGDNPRACHKALFFGHAETAKLLLEKGANPNIGNWINTTALHSASIFGYTAIVELLLA KGADVDIRSKDDQTALYLASKWGRTEIVKLLLANGADINARTESGQTALQVASQEGHA EICELLLEPRPLVETITEQSTAEPEQPNDTVIAADQSERNAFPNLPSLVDKIFEALGL SYYNEPPLPQGMQRVRWTCDHCGIKLFDDFEIPAPTALNDPPTELQDNDSSPNGALFR CKVLIGQLAHEAWRFILTNIRRGQKTRDHNDHELPVYAESASQEQRPPATPDELYLLF IQRAPKPDEVVHPEKSKLLAFTEIQS PFICI_04339 MESPISQDEVRLAVDDTALLSTYEAVRGQVRAAIFAETSSPPKD SSLLKTAFCSETWPSLHSGYEKLVNEIVRYFRTELGKRKFDCLVLGRVKSAESVQKSL DRREQYRGKSYSGLEDIFGTMHDLAGSLVIVQYARDIETVNSLISQSFRATKPPTHWP CERQPGELWDSQFGSYESHNHHVTLGMMSGSSTITFEVQVTTCSDFMYNRIAHDWFYK KDRGSMSRKDEMEREQENSAESKDQFDLIQATKLAHGVEEWQLQFEIMNSPSHQLKGS DSRTFKENAGFSKTLTTFASVASLLDEAGKTSITLLNTIQTWNDCPSALLALNNEVSE VRLILHQLGITHKSPKARLGLFDKGLMPAIERYVKTTAVHLKLLNTLLDELQESQAFR DKLKLLSGDGNVHRLQTALRKDRHKINDLLLFHNMTNTTKTEVELESVGCIIDERQYK HNSSSALSGKEFHELQEQSLVTRELVAPSQDGNVRREPRSISRPVSGDQFSGAIRHVE HDETQESRPGASNLYVTRKGNRRASGSSKVQPNLTRRADRLPDLHDTTDLKALEAKVW EVLFQSTNHVSSQACAFAVHSQPSNCADKCPCSCHRRRPLESRFSLPPLLRNICGTLF GGYKGDPIVSSRCDRSQCLRQGHMRLRLTYLFPSWFLRRVLHTFINWSQGSITVSLKT CDIRASESFAAFKSAHEGNLQVLEQCLRDDPAGVNAWAVNGSAPLHAAIYVGWVQGVE TLLKYGADAYLEGPGNPSAISKASQLILCERLSPSICCRLVELLPVSSYVKDLDLSFL AKVVIGICPISLESILQSHDPLILAQLDSLDESGRTPLHWAAARNDAVASRILIQAGA NVNIRTALENYTPLTEAIRCSPADTTILVDTLIDAGADINAVDSYKLTPLTTACFTGN LSIVRKLREAGGGIDAPNPGICAEAPVTIAIYNNRPKILKYLIQEGADINQTNPLLHK TPLTMAIATNTHECFRILLAHGADYLQVDDQGETILHYLARMGHEETIRISKEHGLAG LDLTMRNSSRQTALEVHQLYGSKDPIVSQAFQELLSTMDNTSSGESSSDEDAIFYDVT EEL PFICI_04340 MELATLSMAQWAAVSPALTYLIVSSFYETLYHFNAFPQYCLVRS EEETRRTPVPKLRVLQYVASYNIAITCVLLVLPDVTPSSPKNAYDVTFVAQLERLPLG DFILGHGLALSCLTWLFTSALFCLRMFLAFVVLDTWAFWCHWFQHKNAWLYRNTHALH HKIHAPYAFGAWYNHWAESLIVDSFGGLLAVTIIGLSDMEQVIFFASGTAKAVEDHSA YVLPWSPFTIIGRWTGNGVEYHDTHHHPRKVKYNLQIYFTWWDSLMGTNYAEKRIAHG KVKH PFICI_04341 MAFRTTVGRIVLFGSVLTFATVQYVHSQRPVPLLERLLRPLAFL FLLNYTWTILRWITYEPQAGITDDDRLPRIDVVIPAYNESAFIRESMNSVIHSNYPQQ KIHLIVVDDGSTDDTWYHIVAASKSALDIQMKCTIKQHEQNAGKRQALVTGFSQGDHE VLVTLDSDSTLTRDTLRNLVTPLVLDPRVGGVAGHLSVANTGNPRHHSFRFLIPRLLE ILFQYGGNIPRSAQTKSGFVVILPGANSAFRREAIRPHIATLNNQTFLGHPLRHGEDI EFAMKLLQDGWRTVYQSSAIVSTTAPETAYKALLMYIRWERSSYTYLLLGLLHLTYQE ARHVLKNAVCHLGKSPMLLPTSANKPCEEDAKRMVVGGHGDLYPILNLICTSLSSITY IGIVVLRFLSFAKEPWIVRTDFWCLIVLALWNSLLFIPDALQDDWNNMRSAEDDGTEG QEVEASDNRRLKLVWKLQYAGLSAIFQTFFISWATVPGTVALTFDDGPWFFTNYVMDS LARYGAKATFFLNVWPHVNVNTRPEYWQPVVRRMLAEGHQIGIHTWGHENLDTLTDTE RMATVKKATDFFAQPDFLNGTRPMYIRPPFGECSVETGCVDIFTRAGYRLVFWDWDSN DWKHDAGDLAYLTTEGLQEKLDTLTPAVDNLLVLMHDNRVQTAMNVEDLLGRITKAGF TPVTVGECIGDAKENWYSASIANSTTADLAHDQLEVTHQALKTKGHAEVFSLLLLMAM SIGAVIWAMRRFRVLRKQVLKLKLRKPLQTDEKHGEESGLLI PFICI_04342 MVMSLIARIAPCLGIFFSHVYAHTLMQHPIPFPSQLMDNGPMAK DGSNWPCSGETEYDWSGISNIWERGSQQHLQAMGGASHGGGSCQISVTTDLKPTRQSK FRVIHSIEGGCPIRNLTAVNYGDSPTVLLPSIYNFTVPPWLPIGPAVMAWTWYGRWSV PEMFMNCAPVMIMGYDQGVDIPDAILEDQYNKAPLVFEANNGNGCWTRNKGSCVKFPN PGNSVERNMECPFDELTQFTGTCSPDVTLASQSRYSLSYMLLCVMVIAILGYGIVFGV QKLARRMHRADGWSLVSIGKENHQRQRNPAV PFICI_04343 MSGTELVIAVQPQSAILDLGPDSRRMTQQLQYMEDDSANGRWPG RELAPVDRGPAAWRLLGAAFVFETLLWGEFLYLKYLDPVKTALMKNEFASNRYISVVG TVASGFGYLGAPFIMPFIQRYQRWRRQMIWIGWPICLIGLALGSFASTLEMLILTQGV AYGLGFLIFYYPILSMVNEYWIARRGMAYGVLCGASGVSGAFMPFVLQALLARYGYKT TLRAVALALALLTGPLIPFLKGRLPPPERSSIPKINWEFLQNPVFWLYSTSNLLQGFG YFFPALYLPSYASALDLGSKTGALILALMSVSQVGGQFIFGLLSDRKVPLDVLACLST VVAALACLCMWRLATSLPLLIAFAIIYGFFGAGFTAIWARMSTTITGDVTAGPIVFTL LNFGKGIGNVLAGPIGGLLVSNAKSSDVSSSSNYHWIIIFIGVCMFASACTVCSKYLK HLWILVVL PFICI_04344 MSRSLDISRTKSFESCTRSNSDSHLHGVKEWQVNRIWIESSRSG FVDAQIGTFGKGDGSNILERLAERNDSTNPSAESLLRIGHKPPFSSNEPVSHQSFNYR PSPLRDWYQGVVFIAIITLLCLTAVAVGTLPNERTTFAPFIEVEPTNKNSVKNRGLAV VGDYKQSENYVYARYSVNPEESVGSDLMRRDVECTTTVYVGKSKESTTQDTTAFVPSY TGAYECVQVTLSWRWVCPGCTGDSGGYINIGSNTITVMPSTRAPTNVASTAQVLSGAT ATRTAAVPPTTEISTTRITSQSKSETKDPQTSKQSTNGGEETTRNSVLAATESNGALQ ILPSGDVGTSEVSIDGSMATTTGVIRTTMINGSPTIITDSVRTTINSGSLASMTDVVQ TTVIDGLTATMTGGVLTAVFDGSLTTIVDASQGLSTNVIDALVRSTYTTAFTNSDGTL TTQLVYVADILMGTLSGTLTGSQGSQTRSALTLITDFPASSSVSPSSSSSPTTPPLNS DPGYSGSPTDTNASSGTPMLQVYVVTEGQYFVGLFLPTLLTTFLAIPLRIMDFNIKLY RPFHNLLSKDGTEASRSLLLPTTGLSSYFRASDKITRLTGALITFSAILIPISAEAVH MVLHRGDGCQSGQGNAYNCAMTLGASTVPAYITIALLLVMGILIISVWYHLRNYHTGI PGKPWNLAFMSSFSSDPKIQSLVLSKLCANAKPLKRKDLLQVLDPWLYIIESQTKATS GCKVAIFDKRQNQGKDERKNLVLQKSLSETKVQSSKARGAPSFVLTPQGRILILLFIT GVFCLVVAYEPERPANGFENFMNSIAWLSPYKIASRELPPALAQKTLELTLPTNPFSG LYRAISGSHPDAYLGIVSLVAILAQALPTLLVNVPFRMVETYKTHEICLWSAGAVMAA MICTVVGSFFVELPRMAVDPSTVAGAMYYATVDRTVYHNKRDYQKFALWNK PFICI_04345 MRLINTHTLEVISKSDNDIPPYAILSHTWGRDNEEATLQDMLVL FEAAKNDPAVLSTHPIARKPGFIKIQQTAKLAASENRDFMWVDTCCIDKTSSAELSEA INSMFRWYQQAEVCYAFLSDIEDSDLEDSADDDQITQSRWFTRGWTLQELIAPPVVHF YSKNWRFLGRKRPASRSTVPIPSPSGKEPDSPLVSDPDFLSIIERATSIDKDLLDGSL DLNEFGVAMRMKWASRRQTTRVEDRAYSLMGLFGVNIPLLYGEGTNAFIRLQEAILLT TDDQSIFAWKCPTGEGGDKLSGLLANDPRFFQYSGHVKPLPSNTITSSTPLGITSAGL RVAIALFKLSDVAPRQFSASVDSDNLFAGVLDCSPYFWVDGDEGSICKPAVVLLWLGG DQFARFNASTLIHVNATGLQHIDVNSYKEVYVKQNPATLSLPEIYLNDQKLVASTHRE YVIVDVWLPQGRWDESTRTVRCKTTSNSGIMCIFRYSLRHRNFQDKH PFICI_04346 MMIPKVAAANTYVFTSVQTVSPAQATSVDQEGGDLDFHNWVQRG KTIRGGDSVSSCLANLTAALELVGSLNAAEYNGAAGALSILPTAGALLGAPTREMWIV YKLVPIAGVLSMLLSLGGSLTPSNVGHYNPDESFSYGGFMPTNLVTVPQRQESRPSSH VDDQHQRPKLESLHGEPKPQNQKQKPDISLNDMQSFAQEVRIRAEEPSGGSIFLGIWF AMALQVGLIVALLIPMYFAQQGAVITWWCRAWGWMWFWYFLVTAVAIFDNLVAAPFMK SWTIRVSKAQTGLMLGIDAPKIWNATDYPNAFEFIKSGPGLNRKIRVSPDQGLTYPRT CFYVVISVNRISSMHAAAQVIAKCSNVAVFAFGTALFASATLLSISSALMVLCLILPA GVAGRVIATWIVSAMSRQNKPILHKTVRSENDAAEYFEELSKLPNIQMEIDGHVIVNG TVVTSRHKLFTPATYIGLLAKPFDVLALNDEIIQRPVNSNIYMAGMYLSRPALPSLPR EYSYVHRAPSSSPWRSQPSPFMHGQNFDERIDHTCSWNNGSGANNTVYAAGNDGFDSG NDPDRG PFICI_04347 MKSKWAERMWTLQEAVWSNSLLVFTGEAIFDLRGVHHLGEARLN EDIAGDWAGPNGVLSELRRRCNDTILADRALVSTWAEPWECVPTLAENIDTLLEVAAH RQCARPNDKIYALLGLTKHNDFWITYNESVESTLIRAINAGVVSWPVLTMVKTEEGSQ NCWMPNLKEAVRVPEANSSIRTIDMVEQMIKLDGFIADTEELKSQVEIPDSHSSNQIL LVVPGIGGTDSLAMRAEVVKDRVLHRTGAWRIKMSEELKNAHQEEIGTYLVGATLLQA PFICI_04348 MVTENAAQIPRIVDLDGHRQLHVGGKPFLILGGELQNSSFTSAE YMRPVWQRLIDSHMNTVLGCVTWELIEPVEGEFEFRELDLIIQDAREHGLHLILLWFG AFKNGKSTYVPRWIKLDSDRFPRAKIFDGSTTKTTEVLSLFSPEACISDARAFEKLMS HIKEIDEAHSTIIMVQVENEVGLLGDSRDRSEAADKAFAQPVPRELIDYLKTNWASLH PDLRSNLAGAEPALFARTTGSERGWSQLFGQSASTEEIFMSYHYALYVNKVAALGRTA YPLPLFTNVWQNYAEGGSSSVSTVAAGGGSPGDYPSGGGTSNVLDIWHRFAPNLDFIA PDIYLNDYHKTCEKYRHSGQPLFIPEQRRDGVGARSSWIAIGSFAAMGISPFGIDTLE AQDNEYAKHYALMASTSALILEAQLRPASSVGFYFPPPQPAGGRDTYQPLVKQWDDYE FTIERAFVFGHPGPAAGMVIHQGGPNLLLLGWGFQVRARSLAIASTFTGIVRFEEKEV VDKVTGVLRTLRSLNGDETRSGQFAIMPNKDPDYGGFPIAVTIPARTGIAEVEFYSLV S PFICI_04349 MFSLNSVLSVAALTWASISSLASATPMENLVPRSPEAYSDFNNN VVFTPGADYTSWKTIYPRSLQLGDGTLLLSWEDYPPEPPLAHFPIWKSVDGGASWSDF VNVTDQANGWGLRYQPLLYLLPQDFGGYVAGTILLAGMSLPADLSEAWLDLYASTDEG NNWEFVSHIVYAPGPETVTNGNQAVWEPFLLMYNNQLIVYYSDQRDSAHAQKLSHVVT NDLKTWSDPVDDVAADTYGIRPGMATVAHIETTDRYIMTYEYCVSGSGCGAHYKIADS PLEFGTAENTIILADNVSPGGSPYVIWTEDPSGSGSGIIIMNGASREEVFVNSDAADI DTWEMVNVGQWAAYSRCLEIINDNGASKLMLSNAGNMVANADNYVVVGVVDIPTLA PFICI_04350 MKRATACERCRLIRRRCMRRGLGQACSLCQSRNLQCSFAKSEIL RAPRPIWVCPASQRIAAQSTTIDAPDTTATRDVTAIELLPASTVREMVEHYLTKLHDR PHSLFHPQSLLRSIEDGTIKKALLYSICSLGCRFMATSSLRDLETVFMAESKSLLQAD FEHVSVQNIQACILVANLCAAHLQTQSEALYFRMANSIMQIMEVADPLPSCTSAITKE IRRRIWWTLFMADYWCSSGLDLPRQLNYCDRVDLPMAEDVFHAMREDHIEYPIATSWK PGLWAHMITLVQFFGPVMDLNRRCASPSFSAEERDRTVAALSLQLHQWEEMLPCDVRN STENLLEHKARGLGGPFVALHLGFHHYATLLYFQFLSSPNEPLYARRCKYHARAYSTL LEQARKTGSCEAVYPTVGHMATVSSATLLYTLLFGDEFELADSRAGLNTNFEALIELR TYWPRLTSWQIERLITFQNLCLLAVRNENAQTHQLDRWMLRFLVEHALPLESKVPGLS NMNGMWVQAQELRKQGRFTDFTEMAPAHYTGV PFICI_04351 MELAYPQHRGKLTTLYNTLWYLGSIVAAWTVYGTVGYEGQKAWQ IPVALQALMPLLQLIGIFTLPESPRWLCSKDRTSEARDILFKYHAEGDHSNTFVLAEF AQIQDTIRLERGASEQEWSIFLKTSGNRKRLLLVVLTSFFSQCSGNGLVSYYLHDILS SVGISDPTYQSLFNGGLQIWSFLVAIGFSVFLVDRLGRKALFLTAAIGMLVVFSIWTA CSAVYAQTGNTGASSAVLGMIFLFYGMAGFAWPGLMVMYCSEILPYGLHAKGLALCLA VTALSRVLNQYINLISLAYLAWRFYFVYIVILNIEVLCIWSLFIETKGTTLEQVAYLF DGEDAMVAAELEDRIDNNVTVLQEIGLHIEFVERNWINYKKKCSCFPGEFAILG PFICI_04352 MGVENLHAGLVKMQSSRPTTNSGGEGTDPAMNPRESDPENDQSH TSSSQSSEPEEPEETNERRRLERILLDQYLQDEETDSNFIKVKSTTNELIRESSRTNT LGSALWLASTLGLKRSMEVMINEIRKDFREYLSDILNWCNKQHDNSTPLHVACFYGSS DVVTLLISHGANKEACDGKGRTPLHTAVLESESEIIKLLLDQNADILAQDNEGQTPLI MAIKNEDMSCVNTLLDIKPQRKQRYKPHSQQKSAFQWAIEIRFTQGIVKMFEDPSDHP DDEDVSKAANELLEHAVYTEDDLDDQAEKVVKFLLQKNSSPPKGSKDLGNLKKYLSWA AERHGRHRIAKLLLQQNERGSSDNSAIEMAARQREPQILWSLIAASPRDSETINQVSA ALKHFKRKYRKVDATGGTTASTDRKLLNAKDPKIIHILHNPPMGLLFGVHGKNDSKVS YPGLDEIESMRQFDDAIVAEFYGGRDVPIQSAHNVYDVVYEKGPMNLLLEAKELSKLY AKREQPTAAKFIWVHLPATNDLSSKLMDGEEIRSDEETTDVKSFLRTSWFQIPDQSSK TRIMRPQFIERSNLSASKTRDENIDTDVPAEAPHIHESSVGEDTKDEGRVVVGKDTQG VEKQKQSPFVASSAVYMPFLAFSTLTGTMKSEDRKEDENWPVLSKIAERRIDEIGGKY RNLIDKYDGSQTVHGCATLDEAYYHFSPEMGEPGVATDCISRNRSQVVTKHFLRRSNK SLPTNDAPDTDKTYWPILRVNQIWIWTIANKWLISATSHQPDDYEQSWIDGFIQHLNQ RLATGGTQPQPATTSEMIKVMVDYCIGFYERRRVWEDLTPKTDTQKDDEAEKLSMRQI FSNHINFIGRRETNLFEEFRREVGGKSDISTQVKVAKDAILDAEKLLSEIKDVRDELN ILRSVVNHQNTVQRQIYNKTLSGSNLSSRFISHDIEDMESHAARIQSAVDSTLSLSGT QIANFQAEEAARQGKSLMLFTISTIIFLPLSFLTSLFALDIESFQNVPGWVFGVIFGG SLIFTVVLATLALYWESMWKNVLDPSPSDDKSGRSISMRSIKDSISQMNKQNQPDSGN AKLSTGAATFNRKTKNQGIKNQGRLRRSDEEWNAGVAGSEVQ PFICI_04353 MQYAGAAYAPIETARNSSHDEVLLKSIPQATNEITEPPSRPTRK LGHFQYWLPGIQISLTVFPILFIALAATAITLDKQPISRTGDTAQYAIALAPTIFPIV FAAIVGKFFRVLGLYLAERGTKLGTLERLIGSHSLFAVVEKQLVLPGQYLLGLGMILL WALSPVGGQLALRLLQTSPRVTSDNSTIRYLPISACLETPMEGGPSANNAWPLYGPLF MSSLIASRSLENPKQDMYGNVRVPQLDRLMTGDTVDNDTWVVVNSTEETPWSSLLGVP VAGVPKTGNLSFNLVSRYYAIDCDAAVHVENSTIFSNTSSGLGAGYYMWDASSTFAVQ SPAGSELLQLWNGTRFIFNITSANDYTGSDVSFTTCSLSPRDVDSSVLCNDGSCQVTA MRNMTVDLPLWWSQYFSPFLISLHDLPLVTIGAMHQGSKISSTLTEMWIQDPHAVYDP EKDQKFANFSMLSKETISQNMEILFNTYWQSICGSRYLFGGLSTNMSLYDNIFSYYEG SVVVDFNTSQVTITTPDGDEYSCNMTFATLLIIISCILLLVSLASFTLGTMTLAPDIL GYISSLTRDNPFIPGNEASHQHGLERAKALNNMKVIVGDVDAGAQSGYIAFTKKTANM QRLRRERFYR PFICI_04354 MDAYQYPPLCKDDSQIRLLTLLPAGKFTDDLQIILSNAQLSRPQ SANTLHESAASQENPPSIADHSFTALSYVWGSPGNPSHVVVRGRSKDRIIQVTRNVDT ALRHLRTHDKERVLWVDAICINQGDLAERSSQVCLMARIYNRALDVVIWLGPEQDDGE YALSLLGTWGSNVEVDWKVMTMAPSQDAGSETHWADSNHQKTLDERQSLALFRLIHRE WFERLWVRQEALTGTPTIIRCGNAEYSSQTLRNAIFMLYTSGVLVHLPTEERTKFADR WSLVYSLVTSFASKRTLEKLRFDLRGLKWGDPVDAIYATLSLLTPFKQAIGIVPDYTL ASHGIQKAVVRNTHALDATDGEGTPRIAKAVEIMYNLLPEDLTETYIGGGDICEAYTR TFTADLFADRFIENRRLPSLDQAKTFIRQLKSINDIAAEIASFLETHHVNELRSYVAT AMHMFSGRSFFTTDQGYIGLAPCDAKPGDSVNVIIGCKTPMMLRAVEGSDSPQWQIVG ACYCPGLMFGEAIYGDLSRNLSPIQHAVAINTYQHSLKDKFSGTVLKNSKHVLEHCKI PVAVVGDNPGRLEVTIEALQEKGIQLETLMIV PFICI_04355 MSSQTMSLAAWLVAAIELTTINRGASAAAVPRAVTCTDAWTSIT AADYIAAINPGWNLGNTLDAIPNEGSWNNPAVVQATFDDVKNAGFKSVRIPVTYTDHF TGSSPDWTIDAAWLQRVSDVVDMALSSGLYVITNVHHDSWDWADVTASGANLTMVEEK FYRTWVQIGETLACKSSMVALEPINEPPANTAADGAELNKLNELFLQALAESGGQNAL RVVTLAGGGMDSIKTSEWFELPTGNYKETQYLAIQYHYYSPYDFIFQAWGKTIWGSDA DKAAMEADIANIRGNFTDIPLVIGEAEANMLLEPAARRKYIDFLARTAEKYDTSLIVW DNGDGYLDRTTHTWRDPQIVEIIMNAVKGVSNSLSDSTTDASATSQSSSAYIFHKVGD EVTDQTVTFLLNGNTISSIKATDGTTLDASSDYSVSSGDVTVKSSFLSKYLSTTAEPG SKTNLTVSFSAGADATVEIVQWDVPVLASTTSQAVSGADLIIPITWKGLYKLAAVKML RTDGVYLFDDWTQYLGPLQQARATYSGQWDRDGASVKLTAATVAQVISGGVATTFTFE FYPRVPGNSVNYTLTV PFICI_04356 MEALLLSLSLATSLVAASWPNPGPCTGNCIGIDPAAIRRSDGQY LRFNTFGKIQYYKAPSLAGPWTSQGAAIPAGSAPDVRKVGHRYILYYSVSMPNSQNSA IGYATSYTMEKGTWTDHGAVGISTMPGSNRNAIDSALFLDPSSGTYYMSFGSYWTGIW VVPMNAAATQVAKNAVYTNIARQPNGNGAFEGSFLVFRGGYYYLFFSAGDSGDFDPDN LPPAGQEYKIKVCRSKSVTGPYVGPVGGSCLNGNGLVLLGSHGDVYAPGGQGIFDDPK LGTVIYYHYGK PFICI_04357 MTSSRPAVLVIGGAWHPPACYDPLRVRLEAMGYEYYCPQLPSLG AESRGVTFADDVEAIQKLAVRLFDQGKEVVIIGHSSGGLLACDVTQGHEVAQRAKEGK PGGFRHVIFLAAFFVPARGMDMLQTLGGAWPDWQIAGEPYTKGHLLRLKDSAKQKLFS DLPDSEAQRLFESLLPHSQDAFETPSNFIPDDITMPKTYIICEKDAILSIELQKRLIA QSPGFRSESINTGHSPFLTEPDEFAELVKKIIIAD PFICI_04358 MHRRTAASKGAYIIEHEDKSKEPRVAGDCDSKPITWYEDATDSS AATARMMLIQWLATFAIIGGLWWYFFDEIRAMLKI PFICI_04359 MSGSTISRDEFLKYVRAFNAHDFEVQHGYYHEDVTLDLPDPQTP LLVGSEGIKSHYIPLFEVADEVLVPLVVAIDGHNIFYIMECYFTYKKKLPHGVFGHAV EPGDIVKIQVWAHYVVVDGKMKTIVCNLLKDTFLGKANLEEALAESRSRADEELKSFY PFICI_04360 MSQPTSVPKLLLHHAVESRDKVAFLGPGWSITYSDLEKRTRLVA AHLARAGIGRGDFVAIVLGRCLEAVESVLAIMRAGAVSVPLDPRSPPADLARVLEHSG ARAIITDDRHLATVSAAAVKGSLIIISTTNAQVDVIESLKTERYQDWVEDDGYSTSDV HLDSLGDEEEAFLHYTSGTTSLPKGVLSSQKSALWNVEKVTSVFEFSSEDRFFWPLPL FHILGHSLCILATVAKGASAYLSDPDQLLLDNLLVKDVEDTTFIAGAPATFHELVEAK AASSSTLSLPKLRACMSAGAAASVSLCDQVHELFGVSLLNNYGCTETCGAIAISRPGH VYRQHGSVTPLPDWEIQLMDQDGKQVREGEQGELWVRGPGLMLGYYKETQSPFTEDAW FPTGDTGILTTSDVGKELSLVGRKKELIIRGGENIQPAELEQVLLQHPGVADVAVSGI LHGLLGETPAAFIVKETPDLDLDLSSLIATCREALPDYKVPTAFYEIDAVPRTLLGKP KRLAVASYTSKPLTVRSRLQTRAAVEALVLAETAGACGVQAEPGEKESDPDWLRKYAD ESFSHLGLTSMAGVVLRDRLANLTGLVDLPNTLVFDYSTPAAVRDYLFNRLREQESPL PSKSAPALSLPSKAEPIAIISMACRYPGGISSPEDLWQLVSDEIDATTDFPSDRGWDI DSLYSTDPTEPFTSTTKRGGFLPDFADFDAGLFGMAPREALATDPQQRLLLETTWELA ERGGIAPLSLKGTQTGCFIGTLYDDYEANGFGNAELEAHLGLGSSGSVMSGRISYYFG LHGPSIVISTGCSSSLCAVHSAAQALRNEECTLAIAGGITCMASPRPFTMFSKRRGLS ADGRCRTYSSDAAGTGWSEGVGLIMLEKLSDAQRNGHRVLGVIRGSAVNSDGTSNGLT APSGPAQQMCIQSALSQAALSPTDIDVLEGHGTATPLGDPIEVQAVINTYGNGSGNDP RANPLLIGSIKSNIGHTQAAAAVAGIIKMVKSIHHGVAPASLHIREPSRHIDWDGCGV EPLSKAKQWPSVDRARRAAVSSFGIGGTNAHIILEQPDSIEQNGVSTPKNHTIAFPWI ISGADENALRAQAQSLLAAWRKSLSHESPSDIAFSLATARSSLKYRAVVTYTAGGDLN DQIETALTALAEDESHPDVVTGHTNTTGNKPRLACLFSGQGSRMPDPSAIEELSTVFP IFSRAFKEACEEVNQYLECPLERALSDSSLLDRTDFAQPALFVFEVAMYRLLESFDVI PDVVSGHSLGEIAAAHISGALTLRDAAIIVTTRSRLMAALDANGGMVSIAAPEQEVAE ELSRLGSTAIIAVVNSEKSVVVSGTREAITAVADRFTELGRRTTILRNVNHGFHSPMM NGILGDLEEALASSIGSGTSSKIPLVSTVTGKLAEAAQLTSPQYWTRHVSEPVRFADS VNELRSNERVSVFIEVGPSAVLSPHVPGTVATYGTVGKLLNTLGQIWARGVPVNWQAV FGGVGAHLVDLPVYAFQRRKYWLPYRTLLPAESVGASGASSPGRTSDIGTSTLNHGVL YRTTSIAGTNDIICAGFVSASKQPWLRDHIISGQSLVPATAFAELALRAGRECADPSG SEQVILDELIILAPLALSLEEDDEEQEFEVQVVIKELEDEESTIRRSIDVYSRLHAVS TQPDWVQHATGTLKLISLPPPEKDVFTNGTHDVENSEVDVSKAYAMLEDFGISYGPAF QGVRGGWRQHDNELLVQINPPQDQDSKAGFVLHPALLDAALHAPILAAPEKVSSGQIR LPFSFKGIQVFEAATSTSGPVLARIRDLDDERFSVTITNKATGAAVAEISEVMLRAVQ PPVVEGDLYRLKWTELKAAQTTKPNLVDDIFTVQAPRNVDAADIPKAVHNAVSEALRA IQQWRTKKANSSDKIRLIFVTEQASLHPDVNVIDAAVWGFVRSAQTEFGGENIILIDL DGSAESQEALPSAFDCGQEVVALQDGKIMVPTLSKEPPVPSTSTTLDVSGTVLITGGT GGLGAILSRHLVQTCGARNLLLTSRSGIKAAGATELLDELSAQDATVVRIESCDISDR AQLATLLEGNHGHPPITAIIHCAGVVDDGVLTSLTPERISRVLQAKVDAAWNLHQLAP ETTRTFVLYSSFVGIVGNEGQAAYTAGNAFLNALARMRVAQGLPAVSLAWGPWANDVG MAAGDKLVIPNLRIASAQPVVDQQGLHLFDRALQTSEPVLVPLLLRGPFPMVPSAAAV TKSKKATAKGKAKTGAAWRKKLAAVSPESRSDTLLGLVRDEIAAVLGYQGQELPDGPL SDLGFDSFTSVTVSNRMRVLTGFRDLPVTLALDYDTPQALVQYLLDRINAEPETEIEL DQDVAEEETVSGTNGHQNGHQNGTQNGHSNGHANGASTNGDATDGIDPEEFRGLSTLH RRLCRLEQYTAAADLLASAALAMPTFPSNGRKLLDYVADPHRLATGPEVSPGNDAPLP VVFIAPFFPRIKIGGISLSVYSAVAASLNGKRDVFELPHPEGQYVPEDLDTLAELHVS TIEQQFGDRPGIILAGYSAGGTVAYAVASKLAQAGKHPRLAGFVLVDTYLTMTGRGDP DWLNALPAEALVSRLGGPDSTGESLVGDLDLALAKVGGYFRTLRDWDQELYPLPDALS TLFVRALDPSEKMPKNADIWRPRWQRANHTVEVPGSHLALLDKRYAPAIAVEIEHWAK EQLGV PFICI_04361 MVYQKLAAANPSLPHLKKSENGIQLIVKGKPFLMLSGELHNSSL SSAKFMSEVWPAMKAQSINTLVGSVSWEMIEPEEGKFDFSELDKVLEGARQHSMHLVL LWFGTYKNGISTYVPGWVKKDAKRFPRVHVLEAGGVKRTVEMVSPLSEEGCTADSKAL AALMGHLKKVDSEDNTVLMVQVENETGLLGDSRDRSRMAEEAFKQPVPKDLLKYLTTT ELHPRFKERFADKLAAVKDIENGSYSWQDIFGAGIPANEAFMAHHISTYVGKVAAAAK AVYDIPLYANTWLNFDDPSALDLTDVPVVVGGGAEAGIYPSGGPCPHVQDIWRHNAPA LDFLAPDLYFHGYEGVCRDYTAHDDNPLFIPEQRRDESGARRVWLAYATYGALGTCPF GIDTGAEIVGREYKLLDQTKDYLLNSRPEQRMGFFFDELPASGPPKGQEKWTKVFGDV EVIIERAFVFGKAGPGAGMVIQLGDAKSARFLVVGRGFNIHFKSRVKGATFTGILDAR EKEYDAETNSFNTLRVFNGDETRSGEFLIMPNDDPDYGGFPIAVTIPARTCIAEVEAY WLCEDEADL PFICI_04362 MALNIPLGSGGGAHTQPSLPSLPAHLQSDTHITAHLASRFHVSI PTARLSSHALVSLNTYTSSTKGVDGGKEGSAMAGAEDMADRSYIRLGHRSENQAIVFL GESGSGKTTIRSHLLTALLNKSSTPLSAKASLAAYVFDTLTTTKTATTHTASKSGLFY ELQYDTASTTNPLLIGAKLLDHRLERSRIAEVPTGERNFHVLYYLLAGTSDAEKSHLG IDTPRDAAGQRRWKYLGHPTQLKVGINDAEGFQLFKNALRKLEFPRSEIAEICQILAC ILHIGQLEFETTSDTTPTADDSGGFSHEGGQTITGAKNKDVLGIIAAFLGVSSADLQS TMGYKTKMIHRERVTVMLDPKGARANADELARTLYSLLVAYVIETINQKICAPEESIS NTISIVDFPGFSQQSSTGNALDQLLNNAAVESLYNFTLQNFFDRKADLLDTEEVSVAA TSYFDNSDAVKCLLKPGNGLLSILDDQTRRHRTDLQFLESCRKRFDGKNPAILVGSAQ AKLPGSNFFTENTAASFTVRHFAGEVEYPIKGLVEENGEVISGDLMNLVNSSKNDFVV RLFGQEALQTVMHPKEKSTVMQASVSSKPMRQPSVMSRKGGRAGRANRMRQSSVDDFG SDAESRHEGRGSDSKKSSRPSEQGASGQFLASVDNVKQSLSAPNTNAYFVFCLKPNDR RIANQFDSKCVRTQVQTFGIAEISQRLRSADFSLFLPFGEFLGLADADTILVGSEREK VEMVVHDRQWPSNEVQIGSTGVFLSERCWMEVAQLEGHSASGRYPSDAGDGQTPSPGA FGASKERLFHSGNTPLLHSKERSGYFGGDSDARSDAGVSAFGAGDMFKNLDTREQMAE RGNEKSLVEVEEFRDSPSRKRWVFTTYLLTWFIPDFLIRWCGMPRKDVRMAWREKLAI NIIIWFSCLVAVFFIIIFPMLICPKQYVFSTEELSSYNGKSGSDGSYIAIRGQVFDLE AYLPSHYPPYITEKTITQYAGSDASDLFPVQISALCQGTTGSVDPSVSFGNSTNYYAQ YHDFRYNTDLYQPDWFYEKMVELKASYKKGNIGYSAEYVSTLAGKSNYIAMLNGRVYD MTDYIRGSVSLQTPKGVNASSDSSLLDFMDDLVVTLFQLKSGTDVTKLWDSLDLQTDE RKRQQLCLDNLFYVGDVDTRNSARCQFAEYLVLAISIILCCIIGFKFFAALHFGGKNM PENLDKFIMCQIPAYTEDEDSLRRAIDSAARMHYDDKRKLLVIVCDGMIIGQGNDRPT PRIVLDILGVSETVDPEPLSFESLGEGLKQHNMGKVYSGLYEVQGHIVPFLVVVKVGK PSEVSRPGNRGKRDSQMIIMRFLNRVHYNLAMSPLELEIYHQIRNIIGVNPTFYEFML QIDADTVVAPDSATRFVAAFLDDTRLIACCGETALTNAKASYITMIQVYEYFISHNLA KAFESLFGSVTCLPGCFSMYRIRAAETGKPLFVSKDVVENYATIRVDTLHMKNLLHLG EDRYLTTLLLKFHSKFKTKYISRAHAWTIAPDTWQVFLSQRRRWINSTVHNLMELMPM AQLCGFCCFSMRFIVFVDLMSTVVQPVTVAYIVYLIVEVATKSTVIPLTAFIMLGAIY GLQALIFIFRRKWEMIGWMILYILAIPVFSFGLPLYAFWHMDDFNWGNTRVVAGERGK KVVVTDEGKFDPASIPRKKWEEYQAELWDAQTAHDDTRSEVSGYSYATKQGAAVTEFY AGSRNGSTHGLIPPYNMAGAGHMSRMSLAPSEMMGNRMSQYGGSQFFNNPQEMEMSNL AGVPSDDALLAEIREILRTADLMTVTKKGIKQELERRFNVPLESRRAYINNATEALLS GQL PFICI_04363 MANHQARMSMYSVASDSATGHRGPGQQASQVSTTTLLNGTHNIY LSSQPYQLDAATSIVVNTWLTAAQVGPDGRTGGTVDASLAARAWEHARRRAEDGCIVL SSLHTSTPSVLAPFLQTLPLSLPSSLFAALEAIRPFIHCVTPYNPSTPLQAALGVTLT LNLTGNLTATTLALSQGGIDTAKGLLNIPAEAGYRAFDVFYYLLTSASTPAEREFLGL KPAASYSLLAKSGTYDPPSYLPTADDAAAADDFRAALKEIGIKGSAHRNFISTLAGLL KLGNTIDYSVDGDLLDDICEDVGGLLGLDPEVLARQCSTEDRQTLVGGLYEALVDWVI SRANEAIAVQLSRTRDGADSLDGHDSSNDTVCITVVEIPDPTLGKAVAMRGIFDDSTG INAEMKDDGVEVIAAGSSVLREMQNAVAEVGPELGIMTGPAGRDRQHELEKREVVLEK VGLAGDDDGFLKKLLFPVAGAGINLGRAGRFDLSSVLASSRAWYHLSIHPTDDTPASL AALPSITSAWSAGTVSRQLRAWRLPEWANRRNKNLDFTADFDLEEFTTRFAPLGCRDG REGIETWILERGWSNGEVVVGRERVWMRESAWWEAESMLDMKPADMPMGASMMLPSGA LETGYSNTGSGYFGGPFMDQNSNMSNDHMVHSRNQSQITLAQQGLAAPSIAPSAMRNL SSGDYGLGNKGDSHRDQIYYTSGGDFGNNLDPDLAQGKHVETESVTFGRRAWVMLVWT LTFWIPSPLLKYVGRMKRPDVRMAWREKVVLVFLIALANAIIIFWIIWFGQLLCPNSD KAWDRDEVATHMGSADFWVSIHGKVYDISKFYKLQHSDTDTETSSSNMEQFAGLDLSE YFVAPLPLTCPGLGIDRTTYLKQNSTPELTEGIHYTGYLQPDTTSKLASDTWYSDYFE PRIKEYYHGDLVWDKSDVETDGSDNSHMWVIYDGGIYDLTDYFVTIKYYTDTAHNFLD TTLVNAVKENPGQDITSNWNEHINSVKTNATAYATAMNSLNCIKNTFYVGIPDFRYSA RCQVNNYLLLAFTIILCAVILLKFVSALQFGSKRRPSPQDKFVICQVPAYTEDEDSLR KALDSLTALQYDNKRKLICVICDGVIIGKGNDRPTPKIVLDILGVDPKVDPPALPFKS VGAGNEQLNYGKVYSGLYEFEGNVVPYLVIVKVGKESEQTKAKPGNRGKRDSQILLMS FLNRVHHRSPMNPLELEIFHQINNIIGVDPELYEYLLMVDADTSVREDSLNRLVAACA NNSKIAGICGETALQNEERSWWSMIQVYEYFISHHLAKAFESLFGSVTCLPGCFSMYR LRTADKGKPLLIADGVIREYSVCNVDTLHKKNLLSLGEDRYLTTLMTKYFPYMSYKFI ADAYCQTAAPEEWAVLLSQRRRWINSTIHNLVELMMLKDMCGFCCFSMRFVVFIDLTG TIILPATTIYLAYLIYRVATNTGQFPMISIIMLAAVYGLQAIIFILKRQWQHIGWMII YIIAFPIYSFILPIYSFWKQDDFSWGNTRIVIGEKGSKQVVAVDGETFDPRSIPLQRW DDYAALNNLPGRRGNYSEKAEVQPFDDAYEMDDMKSVYSSVRQQSVLHGLQGNRSTFM PPTAQSPGHGSMSRNSAYMPYSDGHINRQSTASFGDIQRINSPYQDRPSVSNLRSVAN ISPSRDMHRQSQVTLGYSGGSRLGQGAGHQSQSSFDFQRGHIGPDDAMIVDAIQATLR EVDLDTVTKKQVRALVEQRLQTELTGERRTFMDRQIDNELANM PFICI_04364 MIPLRRPLGQMLPRAGSIRHPAALKSPFDQLCRLSSTSAPDLSL SDKKWSQPPSTATVTDSDVANLSNQHQHSLSLADLVKHGRPPLAAQSLFSSANFTLSL LPIRLAHRIQALRNLPFIVVSNPNISKIYNNYLHSLSTLLPWKHRTISNVEGEIQFTS VLAELVHTHQDTIPILAKGFLECRRYISPTEVTRFLDEHLRARIGTRLIAEQHIALHY SSAVHFDPAASPTPCPEHPSFIGVIDTALCPADVVDSCANWVAEICEYKYGIRPRWIV DGEPDTAFAYVPMHLEYILTELLKNAFRATIEGRNSKEPVVITIAPEPPDAGPPKVKL DPPAENKGAFRSEAIKPLDDNAPGVTIRIRDRGGGISPEVLPNVWSYSFTTFSEEDSP AGANPGDALSVISNANSGGSSIAGLGYGLPLSRAYAEYFGGGIAVQSLHGWGTDVYLR LKGVGKIQ PFICI_04365 MPSYIVTCKDDASPEQVAAAKQHAKDQGGKITHEYSLIKGFAVE FPKDSVQTLESHEHVKAVEADQEMKTQ PFICI_04366 MDAQSHKPHRAAKEKKQHSGDRNPKAFAFSNPGKLARQAARSGD IKEKRLHVPLVDRLPEEAPPRLVTIVGPPGVGKTTLLKSLIRRYAKETLSEPQGPITV VTSKKQRLTFVECPNELEAMVDMAKVADIVLLMIDGNFGFEMETMEFLNVLSATGMPG NIFGVLTHLDLFRKPQALKDAKKRLKHRLWRELYQGAHLFYLSGVINGRYPDREIHNL SRFLSVMKNPRPLIWRNSHPYTIIDSFRDVTHPNQIEADEKCDRTIALSGYLRGTNFS AEGQRVHIPGLGDFNVKAVETLPDPCPTPQMEQAVAKATGKPARRRLDEKEKKLHAPM SDRSGLKIDGDAIWITREKGFTFNKDAADAERGEGEELIVGLQGERRLLGQTEDGMQL FHGGEKITAVPEEEDTGRKTQRTARFAEREEDEDLPDDEGFVSGEDDEANSEEDEFDE GKLGKMFRQDADKNGDEDDVAFADSDSDFGSLSGDEDPEGSDSEDDFDDDDEEAAALR WKENMTERAQKLHGGRRSYRTGDLARMMYDESITAEEVLRRWKGEVEAEEEEDIEADS DDDEFFKKTKQETDDVSADDRSVPVYDYQQLAAKWSVSDNVELLRQRFTTATLNDADG DGGDDEFSGFDEDDEGDGEFEDLETGEKHGGAPEAQPAESLEDERAKNARRKEELKLR FEEEDREGFSNDKANARREAGNNADEFGEDEWYDAQKALIQKQLDINRSEFDALDERQ RAAVEGFKAGKYAKLVIEGVPAEFVQNFNASLPVIVGGLAPTEDRFGFVQVRIKRHRW HKKILKTNDPLIFSLGWRRFQTMPIYSISDSRTRNRMLKYTPEHMHCFATVYAPLIAP NTGFVCFNSFDSSNPGFRIAATGTVLSVDESTEIVKKLKLTGVPAKVYKNTAFIKDMF NSSLEIAKFEGAAIKTVSGIRGQIKRALSKPEGHFRATFEDKILMSDIVFLRAWFPIK PHRYYNVVTNLVGGWHGMRLTGEVRRDQGLATPQDKNSKYRKIERQARHFNPLKVPRA LAASLPYKSQIVQMKPQRHTTYMQKRAVVLGGEEKKARDLLQKITTIRKDTEAKRKVK KDENRAKFRKRMEDMNDKKEAREKREKKEYWEREGKKRKAGDEGGGGKRRR PFICI_04367 MSSSKRNRSLGEEEGSCMSRGGGQDDSPDNANDGGNGGGDGADD QSSSDEQGSDNGSDSAAEYETRP PFICI_04368 MASRPSARHNGGLREKSPRLSSQQNSRDAALPHHRGDVENGHHR RTRKRPQKGLVRVKSAGESGRRGLHPLHFFRIVWRSTSWLSRAVNILWPIVPAAIAVH YALPERHTLGFVLSYIAMVPCANLIGFAGQELARKMPHVLGVLVETTIASIVEIVLFM MLLTKGQYILIKGAILGSILANLLLCLGCCFIASGVRRADAVFDETISEAGSGLLLTA GVGLAIPAIYEHSLSTALEEGTITQTLIDSNVTGISRAVAILLLLSYIVFIWFQTATH HGLFTAMLEYDEERDHDKEKDMRKDKLTLTECIVALTVSVALVTIIAYILTTEIPFII EEHGLTDPFMGLILVPLVEKAAEHLTAIDEAWDNQMNFALIHCIGATLQTALLNTPLV IIVGWGLNHTEMDLNFEIFDITMLILAILTIGNFLRDQKSNYLEGFLCVVVYVAIAVA AFYYPTSEEAHGSASGETTTEHLIARAMGAL PFICI_04369 MASKEKDPQAIEAAKKLENTPWCDDYEKMISGVLYNCLAPELVQ GRFKARRFMHKYNNHFPDDATPESLEAFRYDLLKSTLGGVGKGAYIEPPINIDYGCNI VLGDNFYSNFNLVILDCGLVTIGNRVLFGPFVSIFAATHETEVQSRRDGIEYAKPVSI GDDCWIGGNVTIMPGVTIGKGCTIGAGSVVTKDIPDFSVAMGSPARVVKKVTPVPDM PFICI_04370 MAGSLVLRLLFVLLPLAGFSSAATNAVLHPQLVARVAPVPSLPM PQTISSTPGSIINTCTKPGVVALAYDDGPGIYTDQLLDILRQNSIQVTFFVNGNNNDG PITQGTLPKTLKNALLQGHQIGSHTWSHADLNSLSREERWQEMESLQEALANVTGFAP TYMRPPYFSCTDDCVQDMTDFGFHVVTANLDTKDFLGDYEAARNTYSNALGSADPTTS SFIVLVHDIHENTVNPFTQYMIDVALRYGYKFVTVGECLGDPEINWYHHTVRTEKISG NTTVNGTRGSTLNVAHHPWGWHQQPDCSGATTFSPVYGFPILCVGYSMLWALGGV PFICI_04371 MRSRGLKSSAASGKAFASSGSGAFGAFSSASSGSKLSYLTEPPD YSTISDANVAVSFKNLLKKDATTKSKALEDLLAHVEAHPYEKDGGVEEAVLEVWVQLY PRISIDNSRRVRELSHTLQLELMKSARKRMERHIPKIVATWLAGTFDRDRAVSRIASE GLSSFLTTPEKTIQFWKRCQPQILEYASDAILETADTLSDERSTSADDAEAKYYRVLG SSLGLVLNLLEKMSPTDMEKFQDSYDQFLEVEKVWTSALVGDVVVRRLASQMVAICIE KRPDVVGDKLALLSKVYISEGLKSSQTGSATEFVKTITILTNKFPTIWTSDYRGKNPT SRLKTFVEKGSQGSTVAFWDALSQLLSCLPVEILPSSDKAAAVAFMKSLRSGITSREE PKSHTVNAWTCYIGLGRLFVERLTEGSAAFAEETVFPLIEQYLFTELPEWSVESPIPV LKAYTASAASPSQDVREAARQQWSRSKEQFLSRIRDSLPEASKDFQKSQKAIAEEGGR WFDLTGKILDAHAKTIGTDRPIPADAVKKPSIDLVLDAFQILQKRNYKPFGAAAAIAA AFENSQPLFQANTDSEAADKVLQELQGALSDDLAAILNSSSMLYILSCVTSLSNIPDR TQDFENLWQVSVEGLAALINDSSSGLPVEGVQALTKLISTTDSAALARKTETLQSVLV RICLECAKGTQLTESWDAFDAALTFNVFDDIHGHDLAKEITAILAKQPTAEAIKSLLL VAQKKPELLSGAETHMSLMTSLLSLSEKSDSPDIAMLRSLLSQPTSGTSSNVAGIIEQ NLSNATLECLGIDTLVQQAQQLRKTKDGAAVVLPDTNIWENELLVIMDKEHLDYSLSM TSNVGGSYFLVGPAGQSTSSKTRRDRAGCSIPGRMAQYVSRLVSLGLTTELPLDKQAQ LLILTSITAELAADQLTTMKEGIVWDTAANGASSAEHLISSSRAMLNSLTDEASEWRS DSSSASLPDQLVHEVFNRLLETSKQPTVFGLYSSKVLTNLLESLAEKHGFPTSAEDWL VKLDVLKSTPTTILPAVSLLRGLGPTLAPTRAISNLCNRLVSDAAGATLNVDKSLSTL VLLNACMQIYDVGDLPVANNRLVFAVRQITSWLEQPSEVESRFAAEICKSLQYLLPCI KDVYGSYWERAIGFCIYIWTRNTSSEELSSWLPSIHASIRLLKTLEAIEEPNDDLTEA LESTMKERSLAFIELLRLPHTKETQPLDMVDSIVCRQVEKLPLEYIQDPADLYDLVAS DSRAIQTAAFTVLHKALPAAQEQLSIDVLLEKKAAQLPDELLSLLLEAPTLEAYSDEE LSRFPNPIRSYLLTWHLVFDAFNAASFKVRGDYADNLKTNNYIGPLMNFTFDILGHSA AHGLNLEKAGFTDDDIRNYDIKLAEAETDERNMQWLLVHLYYLVLKFVPGLFKTWHVD CRSKQTKIAVEDWMVKYFSPIIVTEALDDVAKWAETQEAPEEDEKELVVKISRAAKEV TAGYEVDESQATIAIKIPHAYPLEPVLVVGVNRVAVSEKKWQGWILSTKGVITFSGGS LIDGLTAFKRNITGALKGQTECAICYSIISSDKTMPDKRCGTCKNLFHRSCLYKWFQS SNQNTCPLCRNPIDYLGADTKSRRRG PFICI_04372 MLTNIAAHSTPRSGMLQARASGGRILRPATTTLQLQSPHHGQQT RAFRFGIWSSYLDPEVQKDIRRRQRKLKHKYAESLGRKLKWDQDHLAEDPRAILRRVV HRYMYPFDIHGKSTRFAHVDGSYAWSGRTSGSAKRENHGRVFSSSPNSAFGGDFGSWK TELDDMVNSWTKQKSGATSRAKSSSKTSTNASTVNTSQAEEEYVIDPITNRKVLKKSY GSMDYTPASSPGTFKAYRSQFTAFAPPGLGDQPQREPVHSNGPPPLGELNKYNDVEIE PESAAQNSAVYSEEYSLNHLPPEEAAEILEDVEKYGKSEYDQVESISESQAQKYDDLH KYNPAQYDAIQEKSGEPIDKYKDLHEYKPYRYNESASLDAAPNPEYDDLHKYRPYMHE ENAAKAEAQPKYDDLDKYEKFETSEEVRADESAPKYEDLDKYEASEFLDQVKPEESAA AYKDLDKYKQPFVSVDDEVVALKSDRYNDLHEYQPTNFDDHVFDKKDQPFEKYGDLSA YKAYRLQDPDSKASLEQDIVQACLEEFDNKAESRETLEKSLSDHIVASDAADLEAMAH VHQSRERSKTASAPGFTGNFVRDFPEDFSNKWNETSSGLQREVSTTKGLDSDYEKQVQ ASIQNAERNYSEALSQTTNASVLETALDRQSKSRAQPAAERNKSSMSRRQRPKSDADP YSRQPQGLETSFREECGYESAGTPFVRMYGSSPKVKTQKEILAYDPTMQNVSIAETTS IVPDQATPLTPADVLLRLSNPTKFFPHFAPLQAQGFEIVSGSGDVLVFRKTRDIATAH ESNPHPVNPIDMMGKPTVHPSAAAFASPTGFINYDAPTTEEQSPAFRSNIDVRREEPV FSGPKATAPGSKQIRKKRSITKRVLIGGVWIAGLSYALGVIGEYFVTGGIDGKGPTGF PFICI_04373 MAEMQIIDGQPLSHTSLQLDSSEPPWLISRTLFAAGSAVTKDIA SSVSDDSLISNGIAQQNLDVMVLKNIFDTPFSWDNDEEELVRRVSFETPETYCPVYLS ARVNHDRILSRATELWQMDEGEISEPASLPEGMRFIDCYSDSTEGIAVETSQVRYAAL SYVWGRPPQEDQESVSATKMPHATIPATIRDAMTVVRKLGIRYLWVDKYCIEESSKHV MISQMGKIYKSALITIVAAAGENPNHGLPGVTFMYHLKYHQAKKEIKIQNVWTEIASS KWSTRAWTFQEGALSPVNLFFTPTQWVLESESAIASDTQGSTQIGSKHSDQGVHYIFQ ATNEYASRDLTYPCDSLSAFLGVLENWQSADYSHLSGVPHNTKYGRGDSLSFGVFLHG ILWGCHQIDLVRVPNVPSWTWAGWRGFTKQDRPYGQFDLTNYYKILRAWKHKDVPDID IKIYLNDAPYSLWAWDGEELIAKLNENNEKGNLVDTLHITGWSVVELAYSQISEFEVR WDSIAFLDRHKDRHLVMLIVFWPSPPGENTYLSSGPQILVLGKASDTKDAYHRLGRLL WDTTGGLNPEQVAIEGQYFKRRSFTLK PFICI_04374 MASKQQPPTNIPIDTAASSGLGAPGPTSPQLEKDAARAMSSTSS WKPAYERKQSYHKEDQKHELQMSGLKGNSGGGSLGAQTTAASAGFTEK PFICI_04375 MTTPEKTSVNTIEAGEPSLPPPSILPSTTTMAAGAKRPATALLP AFEPLSSSPGLPRPLKRQALDVAFGSAVHKYPTPVPTSSTGILSSSPPRVQRPALTRA PSGVSERAPLSAVPTVELNENGEELLMGRSSNSSHYQLSASRIISRVHVRARYIPATE PLERDQVEIKCTGWNGLKLHCQGKTWELRKGDTFTSETEDAEIMLDVQDARVMVQWPK RDRHESLTNLSDSSWEESPRSRPQHNANLLSSSPLRRQTRVQSPESPTPGIKSAAASS SSLNAILATDNANDQVEIYEDPEEGEHEESKDDHDLDFSFAPTELTSNSFSSDLSEPD DENYPNEENDPIVHSFGPFGANLSGRLASITSESSRHPLPQPSRVSADTTPVPEERPL PEHLDVAAITNHVVNQLAYSRLSSNPLSGILNNLPAEEKKGLLKKDLRRIIESTECIG TIARHGKDAAGKQLESEYYYVPEKDNDDSRRLAVTDGLRKPSLRACRKQHKQYFWKRP KTP PFICI_04376 MGGSSITTDCIELGNTISRASLVLNEFVREVREARADLDVVSRE LHSLQSVLDLLKDDAGALPTRIATETPALLQQCNRVVSELDADLLALDGSALSRPQKR MQWIAVGKQQIADILPIIEAHRTMLGLALDLVGVTHGRDLHDCLADGDQDSPQNEEKL TEIRNEAIRLVGEMNEVRRQRSGLFESTGPYRSLATHLVALRIYANSLIYNKDGDDGA FLGEGTGMGMYVGDEPDSAIEVNDGSSLHGFHTPPPEAHSPVMTPPTALQYIQDVDEL RDALNDDWVDGSAPPKSRLGSVDDSVADSRDQPEDELSTTEFDEMTDVPSRAPTPPPK DMKRLRAQRNTMVSPFEPAMVDESLYGVVTEITSQGRKPSNGPPQTRGRFGRLFGHVK NALSEAPSTTETNSTTSSTETRPITPIAQASLVRRGSRRLSTSIKRLPMWNTELEEPE GPAAPGSNAVFGVSLSKSIQAAKSTAKTHHTGSGSSRREFPLCMHKCVVFLQMEGIEA PDIFAEPGDGYRVKKLKEAFSKPPSYGEDINWDNYGVYDAADIVLLFLSQLPKPLISE SIAKRWIALSKQATLTGSHGTRLDQCIDFWEESLGGLRGPARSLFKLLLNLWSSIAES AEKNDMTAERLAGVILKPLMHTSSEKHATDFMLALAFLIRKRSEYTTMLNEGRKSRAA W PFICI_04377 MEQQRFSDIAVEVLSMIRDAYSKEHVHGVSHIDHKLLVQIILEI KATFKKIKKSLDKYSNTMDQPRSDVPDEVMRRGDDMLKALLLEPTSATETRATPSLVE SNSLEKMRCRFLVVVRRLWTVARNPRRLVWVSIDKESIEALVQKLSDMNSYLVSLLDM TRAKRLEHNDQAKDTNILQVLRELRDVKAFIRAMSIDSGDNGTYEHLFATKSKSLQIA AELQKSSHEAKKSQLKKLAELKIGWMEAEQWEDEDLSSIKEEFQTMLLDISEFQFSTT RSSASLHGQRTIAFWHDRCVWVDWTQSSPNGEMFEERVAEREERIALLVRLLCNEIPA SFRVLQCLGYIKNVDAHNSVSFGIVFSLPSHAKSQPKVMSLRQLMERQRMPPIPKRLS LSIALAQSLSGFHLVDWLHKSLNSDNIVFFEDDTGAFDLTRPYIAGFGISRPSDRVDM TEAPTCDPTSDIYRHPHAQFGEAKTVYRKSYDIYALGVIFTEIAVWKPIEATLGIGDI MAMTRDELRDIYRRLLKFAGGDGNSSEMRLSENETGQEEITDLTSICGSGHRDMIRVC LQARNVEKPKYRNEPPKSIHSRMQTMFAEQVERKLSMMQMELS PFICI_04378 MGQNSDSSEREYQRIIKKFPSLKPYKDSKRMVVLLDQVGAKETE FKKVLKDEGVTDLWIPLEHKFGRFFLEPGSLSKWRKQQSDLLSTTFDFEPSPGLKSIP PHYNLSSTSCGDLGYPSNLDIGDTLGTTKSAAVVQVSVKGKEKVPLAQKIIYRKHELQ EETKQMRRILGEIRALKKLRHRHYVQLIASYTTEQQVGIVMSPVADCDLHSFLESFES MKALSSLKDFKALQDKQNQLARFFGCLAQAVANLHCRYGIRHRDIKPKNILVRGDQVL LADFGIALDWSDTGETTTYSKEGMKDPAYCAPEVYHGKRKGTKSDIWSLGCVFLEMAL VLEGLPRDDVKETLNRGFYWEDIGAIQDRIKEIQRFRSQWNHEPLEWVQNMVREDRDS RWKAADVVRAVIESPLQRFAAHCCHVWREPELSEDEDLQEYRDEEEIEQFAFIYDQFS RHVKVRMIEDSKYFSNWISSKIVDRYKMETWSTKSSRKIEVDKHTIHSKRLVRLDWRK PGGPTREEEFFVAELPDTIEMIVGVDI PFICI_04379 MSPEVSVSAIMGLLLHVSMSTAASANVTSIAASGCLASMASPTY SSFSYEQMSTNRYATPLPTPLSLPTYAPGFSEASALLPANVTYTTYSLDKAATSVDDG PYGQSAYVALWQNLTYSSDPPFTTTVSPTPVASSELIFPPPLAARPVNEDKSLKLPCD FIWGVAASSWQIEGGLQVEGRGVGVLDGIGAVGTTGQDDANIADMNYFMYKQDIARLA ALGVPYYSFSIAWPRVMPFGVAGSPVNTQALDHYADIIDTCYEYGIIPVATLLHVDSP VGIFDDLDAFPEHFLYYAKQIMTRFGDRIPIWFTINEPNIAVPYVYSDYNVLVAETKA HAAVYHWYKEELNGTAQITTKFANNLAVPRDPSNSSDVEASLRYQEFILGIMANPIFL GQQIPQVVLDTPGLNLTALTDEEIAYINGTADFWAFDPYVAQYAWAPDAGIEACAANS SDPLWPSCVETGTSQQNGWLMGVPSNAYPMIAPQYVREQYGYIWNTYKPSGIMVTEFG FPQLREEESELAVKLFDFDRSMYYQNFLTETLHAIHSDNVNVIGALAWSWIDNNEFGD FANAYGMQTVNRTDGLFTRHFKRSFFDYVDFFHNNIAS PFICI_04380 MPSLRELLHLLPLATLTLAKPQRQQPLHGSVDKHDEPDDTPLPV IIWHGLGDNFANEGIQSVGDLIEEIHEGTLVYYVKLADDATGDQSATWWGNVTEQVDK VCADLASHQILSTAPAVDAVGFSQGGQFLRAYVERCNFPPVRNLVTFGSQHNGIVDYR TCGATDWLCKGAMALLHGNTFSQYVQSHLVPAQYFRDPEQLDKYLEHSNFLADINNER VLKNETYAKNIANLDNFVMYMFEDDTVVVPKETAWFEEVNGTERTPLRARKLYEEDWL GLRALDRKGGLKFRTTPGEHMRLSDKVLAESFKEFFGPWGKKYSKEAVTFNEL PFICI_04381 MAPTKEMKLRSSDAALQLVDPDQTLKASKALLAHMKKASKEKAE KADKKNLLAADSDDETSLNEQPVWLTLTTKRHIVDSSRLKPGKIVLPHPLNTDPELSV CLISADPQRHYKNLVASEEFPEEWRKRVTRVIDVGKLQAKFKQYEEQRKLYAEHDIFL GDSRIITRLPKVLGKTFYKNTAKRPIPVNLESRNKPKVDGKKVKKVKGDDTPKSCTPA ELAAEIEKAVGSALVHLSPSTNTAVRVGYAGWSADKIAANANAVAEVLVEKYVPQKDK NVRAMYLKGSETVALPLYLADELWLDGQKDIMTKEAEEAKALAEKPNVGKKRKSLDAQ PEETAPATKKAKKTKAKAQPESDDSHLDKEIAERKAKLKKQKAAAKKAVDV PFICI_04382 MANDANHNDRSGPVPATGSQNGDHANANGTSPTYTNPHPGRVFL SRQYVAEEWINRQLLLVFSDSGKEARDRFEGVDLIDSLVNFLQLPIKTFDAACTFFHK LRLKHGENQFNTQDAAIACLFVACKAEDTLKKSREILCAAYNLKMTNDQRSPDDKLFD KSAMVIQTLERLTLEAIGFDFRCRYPQSYVIKLLKLVLSYEEARTIYPVALDMSIDMY KTYMPLKNTNFGMAYALVELSSRLTGQHVDKLKNIDYSRHKVPRMRIREGMLDLLDLY TKHHKQTKLGSRFSPDAFVQVKIDINKEIEDSGAGALPQCPFPLPSNEDLHATPVPTD PKSVTNRFVFDVDEARREQVTVQQFLEDDYEEVEIEYEEEIPEPIPARPRDRQNHGHG GNRGGHSHRGHRGNDGWGRGGGRHGNDRRRRGGGGGGW PFICI_04383 MTAQTRFLALAALAATAAGQTAITVDTATTYQTIDGFGFSQAFG RASEFEAANATTAKTALDYLFSTTTGAGFSIIRNRIGSNGTGDSIEPNSPGSNTSTPT YVWDGDDSGQVWFTKQAVSYGVKTIYADAWSAPGFMKTSGSDQLPGYLCGTTGHTCST GDWKQAYADFLVQYVEYYAQEGLTITHLGFLNEPDYQVSYSQMQISSNGQEAIDFIPI LHDAVEDAGLDVAIACCDPVGWNTQATYTTALVNAGSTDYLGVITSHSYSYDATTPLN QTALPKWNTEGGPSSAFTTTWYSSGADNEGFTWANKLAVAMVNAQLSAYLFWEGFEIE QSQSGAHLVDAIDGVNPTPSGIFWAFAMWSRYIRPGATMLGTSGSITSVITGAFQNTD GSVVLVFTNSGTSSQSAAVSFSGFTAASADAWLTDQSNTFASTDAALADGVVTVSVPA KGVVTVKLTS PFICI_04384 MTAVLESSPDYKWPLYDNEPLEGAFQVEQPDGTLDTAVVTKVQR PWLFGFRPATTTTTQSDNGRGVLILGGGGYTQLMVGREGIAVARWLAGLGFHAFVLVH RFPTAESGPQAPLDDARRALGLMEERAKVPNGLGVCGLSSGGHLGAALLAEYPSMWTS SSPSPPPRLKFAIIGYGPISTNAVGRTIVPNKAPLEPPEKQTLYNVVQPDVQVSLPVP PTFIVYSGNDPVVPVVNAYRLAEGIGKVGGSVELHVFADAPHGFGIDTEGLPVSKWPS LCEAWLTQNGLLERST PFICI_04385 MSRIESRTETAAPPGSSKLGRWFSEWSLQRRVKDFSANPRAVPD RQRIELLRSVVALADDQESAWLAARFGGEYSAWLRRLVEFQAAYSRDYMRDGRLGRLH RDGLWALEDELGGLESYWRYFTALDDNRLVQAAACEHIDLVNSDARKRIEACRAEIEQ FNGVITDQRTAWLLKTEMLR PFICI_04386 MSSSGTKITDWVKPGDKSGEFKRPASSFRDFISTEAGAKFPPEK GRYHLYVSYACPWACRALIARSIKGLEDFISFSVVHWHMGEKGWRFPTSEDTDAEGEN VIPDPVPGHEKITHLREIYFAADPDYSGRFTVPVLYDKVQQTIVNNESSEILRMLGTV FNDQLPADKAAIDLYPEALRKDIDEVGEWTYDQINNGVYKSGFATTQEAYEKNVVKLF EALDRVEEHLKNGKGPYYFGDVLTETDIRVFCTLIRFDPVYVQHFKCNIRDIRSGYPA IHKWMRNLYWNDPAFKKTTNFLHIKNHYTKSHTQINPFSIAPVGPLPDILPLDEEVPV VKAYKS PFICI_04387 MTTPPQVDLVTRLQVDESVVGTGSEIDESLYSRQLYVLGHEAMK RMGASNILIVGLKGLGVEIAKNIALAGVKSLTLYDPEPVAIADLSAQFFLHTEDVGQP RDAVTAPRVAELNAYTPVNVHQSAGLGDNLSQFDKYQVVVLTNTPLHLQLAIGDYCHS KGIYVVCADTFGLFASIFCDFGKKFTVLDSTGENPLNGIVADIDEEGVVSALDETRHG LEDGDYVTFTEVEGMEGLNSSEPRKITVKGPYTFSIGDVSGLGQYKRGGLYQQVKMPK FLDFKPISSAIKDPEFLMSDFAKFDRPQQLHIGFQALHAFSQTKGRRPRPMNEEDAIV LVASAKAFAEAEKLDVEIDEKLIKELSYQATGDLNPMAALFGGLTAQEVLKAVSGKFH PIKQWLYFDSLESLPTSTKRSEELCKPIGSRYDGQIAVFGKEYQEKLGNIRQFLVGAG AIGCEMLKNWAMIGLGVGPKGQITITDMDSIEKSNLNRQFLFRAKDVGGMKSDCAARA VQAMNPDLEGHIVCLKDRVSPETEEIFNEEFWGNLDGVTNALDNVEARTYVDRRCVFF HKPLLESGTLGTKGNTQVVLPKLTESYSSSQDPPEQSFPMCTLRSFPNKIEHTIAWAR ELFETSFVKSPETVNLYLTQPNYLEATLKQGGNEKATLETIRDYLVTDKPLSFEDCII WARTLFEKQYNNAIQQLLYNFPKDSTSSSGQPFWSGPKRAPDPLKFDPKNPTHFGFVV AAANLHAFNYNINTKGVDKATYLKVLDNVIVPDFSPDSNVKIQEKDSDPDPNTSSFDD GDELQEIAKSLPPASKMAGFKLTPVEFEKDDDTNHHIDFITAASNLRAENYKIEQADR HKTKFIAGKIIPAIATTTALVTGLVVLELFKIIDGKDDIEQYKNGFINLALPFFGFSE PIASPKVEYKGPNGKVTLDKIWDRFEVNDITLKELIAHFEKQGLNISMLSSGVSLLYA SFFPPAKLKERLELPLSKLVEFVSKKPIPEHQKEVIFEMVAEDLDDEDVEVPYIKCRI R PFICI_04388 MQIFKHLAVLVPLSLALTASAASLSPRQNETAEDAVIDTYAASS VQLNNFCPTVTRTSTKTETEIKTSTTTCYETITATSTVYITQSTERTKTSTVTDEETT TKTTIDTEYITQTATSVVTTSYPSYVTVCAGSRVTVANVHPTSAVTCVVSTIWNTVTE TERTTFTLPVTTTATDTETETDTATITTTETSETTYTTSVPTTLTATVTSTTVVPTTV IVDHTSTLTSSVTSTYTTVSIFTTSVPTTITDTLTLTTTSVSVSATTESTTSYTTLTT TATYVTVSVSTIEESIFTTNTFTTTEVLTTTQPAVTVTTQLPGSITTLPGSVTTLPGS TIISLITVTESGTTFTTTSTIIVPPVTVTATSTITQISVCPVPTNTGSAYPVYNPKSD LTFGCPAGSVCSPPKPNGCNVFAEIPANEYVCTGACIPSPNPQTVTWPDEDEDCKNTT GGYYPVVDGFFYLNPEAFGLSYDIFTSEVYTTTITGKHGHKKTTTVTTGNWESQTSLS EWPLPTTTTTTSSSIAAYPTYEAHQQRRDHPLVDRGLFKRGETAPAKCFTFCNNAQTE AQSVGKSTGLCKPDSVFNQTLQACENCIAGHGGEVQDTSEGYVRLTFQQYLDYCKEQD AGQVTTTTSAGQGEASGTAPITTESQGAPSTGSGIVSVPISSDSSASTGSSGSSGSTS SRTGSATTSGSSNTGASPTGSGNSTSVTGGGGASGSTASTDSSGHTTSSVDAVTGSGT SSGGGSGSTVATPTTSIVGTGSLTGTSTTGTATSVVTAAAARVSLATGSGSLLFTILA FIFAF PFICI_04389 MPWSRMAFWALTAGMAAVTNAQASGWAEGQVNATMCAWQNPRAA QIRDTLYIDGGYLFWVPGMSDGTYGPATQDNNPLGLIYTLNFSKPFNSSTNISDIMET ISKAPNGNAANNLAPNFFDGAMLHNDAEFFLYGGLTTRTDKYQPPDADDVLAYELYQY GAEKEQWFPGFLTDSLGDDITRYLAFGGGVSAPSEQKAWYFGGLRSQTWGPIYYPGIN DSLNPLDASNTLLTLDMAEQQQETWANDTLPGEVKGRGGVDVVWVPVGDQGILVALGG VVYPDFNNGNLTSQNRGQSETDSPAFMSTIDIFDIAGNRWYQQPTIAGPNQLALGCAV VAAAQDGSSFNIYYYGGYNGLDMDQPFNDDVWILSLPSFMWMKVASGTEAHARAGHRC VKPYPDQMVVVGGYRPLSGSGSLDCLEDTNIVQAFNLTEGKWMDSYDPEIYEDYGVPE MIHLMIGGSWTGGATMTTPTPTGWATPSLSEVFEKAYPTSKLTNYYPYGRDDGGSGRS DVSSGSGTPPWLAPVLGVVLGLVFITAIIVGVLLYRRRKQLMRKPGASEVATDENGHR ILSWMRGQDNNGKAQTVTSEEPQTFDDVESRVGGQTPYQTHPEMAKAQPAHVMAEMPD TPLFEMMDTSPRVELSDTGLTPVDIINKHSHFARSPHTATTPTNPSSFSNYSSNIEHA SISTNSQTRGIFPGSEARPDSPALPITSASTPPLGAAQPPPDNRVVSDLSGISNREQA HLRNISDASVVSSGAGVVGTAPPTPVMGHAFPSPALVSPPSAGPEGREDYFQSVGQTL NTGNSSSGTPLGSGASPLRRSVFVESQDDLGLKGDRR PFICI_04390 MNCKVQVFELEGDHTQAPRQFDDAMAMLRFWENTPKDGSESRTN RRIILLEDMVSRVNEILGVSLGIPPHFFLAHCDNFTDLRIIDDTFAAQNSSYWKVPFP IARFVPQTVPPGPYTAEVGNFSRDHFDIQPPGPINFNGYVSYWGRSYGLDSWTAVMLI DPPQAWLRQPNVSYELQIRFYPRDILHEVMISGNDVNRRIIQPCHRNMFEAAVQAHRQ HPILHTQDPFTGSMYVRNLIRSAWEDKIMREATSFADVLGEDRDKYRVHQAVADTGKT AGTAYFDLMVKRQSIQQAKERILSIMWKFRLYDVDDTSKANDLFTTPEQAKNTRLQRY LEEDRQRQVYLSEEKRAWKMLFETQCSLESKAAEHMEMWSQRAARSATANGCGESNGT NIRPIDEDCDNHRAMHLCRIHFLHGRKVCSRRRPLLHLLGCLRTGHYHPACLGIVWRQ HTKVLGKAQANMEF PFICI_04391 MSDANATTPRVFIARHGETEWTISGQCTGNADIPLTENGVKQSR STGEKLVGRGKLIDPAKLAHVFCSPRQRAVKTLDLLLGEDTKATLESEGRLSITDDIT EWDYGTYEGITPAEIKTQRAEKGLPKWDIWNMGCPEGESPTQVQERLDRLIGNIKKIQ EPFMHGGPAPDVLVVAHGHILRAFTKRWLEWDMGFPFTMMMEPGAIGVLSYAHHNIAE PSVLIGMAFPTTV PFICI_04392 MAHHGNFVLFKDVSGLYLKVDRRCIQEGLLLVDKLNISNIDENV KDNVYFREQRKRLSEFCAKAEEFVHSVVKAKQQEIISGLKKRIAGIGSPIEKFPAEFQ PDRKAIESVQNGAGITQSLTKSECAAKPSPINAIVAGQDDEAAVALGDDDEEM PFICI_04393 MADPLSIAASVVGLASVGFEIAKSLHGLADAVGSAGEQVRVYAS EIEVFSRVLQLVRYQLHELQDVSINGLELLKDIVDICEDIVKRLHALMQSLGPLLSRF KDSKNKLLQFGIRLEWILTKKEKLLFYRATLKQQQRNLTMAMNAIRLKTSRDRSDPSV RLARSSLESTLAIQATLKSAKFSTQMLRSSNESSQAILTSRTLDPFHQDLPESTTDTS STTQTEVNVDGDGVDDAAAKIENGALVLASVDSLTDDDLAAIDQLESSLEPSSLPSTY EVWEEMRVLTRRTVKFAKKILNDEVDSTIPLLETRSGTDGYTTSAPVDASAPIKTSVP VDASAPVNPTIPTDSPAPAHSAPGQSPRINASQQSNLKSPSMSTLPSRIEKPVPPNPD NWIKVEDSFGNSHLCAYDDCSTWEGICTFIGSMKPNLARNLPEYNKYWRSQTGEDRYR DLVQSRDFHFVGADDMIIGANAWSLVQPGWTVQLEFSDDDFNTNPNLKRARMEKSLAW EKSEAETHKAKEELRIMKESPLPDMYQLQHIALQRISFVAL PFICI_04394 MLTQDDVYQGYISPKGTVVLANTWAIHNDEAEYDQPELFIPDRF MDNEYGARFPVDGKMDDHRRTSYAFGAVRRVCPGQRLAKNSLMLNMAKIAWAFGSAPE PSTWTTTSAPPTQIVF PFICI_04395 MAATKHWIVSFLVYIFVWLTPARAQNAYYRLNYADSSMLTGSSQ ECLDTFNANVSCNQAIGSLYANLWPDLDQTILDALCTSTCFNSLQEHRSALISSCGSE VTYYSVSEGSTWPVTYLTDKAIYGYNLTCLRRSDEYCNLWFQDGANKAENPEPTATAD CTSQYEVQIDDTYLSVSLSQGVATHDLITANGLNYSLADFPTSGTLCIRNQCTVYVIE ESDTCHSISEAQDISQASLRSWNPFINGLCSNIDLFAGQTLCLTNPLGEYGLVNNTDS ATYTDPAVVPSNVAPNTTTNCGLYHEVQAGEDCGTVELKYGISLGDLIFLNPMVEQNC TNLWADTSYCVAPVGKIEDYPGYVEETPDYTFEPEETTEVEYVDPWVESGDSIVIPLA NNTRDDCWEYFWWNDSLSAPNTCWEAAQSNELSNEQFVLWNPSLDQNAEDAASKTYDY DCTLAPSVSYCRVLASPTPAAATTAVPVSPRAAGEIEGCTRWFLAVLSCDAHVLLLRM SFDWFYECNPSVGADCSGFVTGTYYCYSTSEEGSYPFSSTDSETGTTTTGATPTTVDT ATTTAARTGVVTPTPTQSGMVLGCTSFYKVVTGDGCWAIANDHGITLDELYEWNPALG SDCSTLWPDYYVCIGVDGAAGTTTTMSGATTATSITTTPMPTTTSNVSTDGTCGTAAR DMSCAGSAFGDCCSSSGYCGSGSTYCGSGCQMAFGICDEDGGIVVSPDGTCGGDNAYS CAGSQFGSCCSQWGYCGSSSDNCGTGCQSDFGTCT PFICI_04396 MGRLSSLLLPLCFFFALSKANSIVTIPVTDIILPRELVARANSS GQTSSSATSNDFTCGPDKPCVNGACCGKDGWCGYSSTYCGDGCQSDCDATAECGQYAD PPGTTCPLNVCCSEFGFCGTTEEFCADGCQSNCDQPKPSVAKTNVQQKIIAYWEGWNE NKACENMTPDEIPIYDISHLIFAFGFITPGDFSITNMPDVGAELFEQVTYLKNKNPSL TIMIALGGWTHNDPGKWQPVFGDMVSTEENRQTFIKKLLGFLAQYGFDGVDFDWEYPG ADDRGGHDDDGANYTQFLKELRQAIRSSGRDYVVTFTAPTSYWYLRHFDLRAMTEYVN WINLMSYDLHGTWDADNAIGSQVLAHTNITEIDLALDLFWRNDISPSDIVLGLGFYGR SFKLENSACYKPGCPFVSGGDKGKCTDTTGFLSYSEIMNILDSTNAKPTYDEAAKVNY IMYGQNNWISYDDARTFQDKIDFANERGLGGIMIWAIDLDDAQHTALGALTGNGGLED EDSDDTGFTITNGFAYSDASGIGHSTDDASKCRITDCNGFCTQAETAVGRVKSDNGNN ACGGESKKARWICCPAWTSLTADDCHWNAGGGAVKTDCSGKCNVGDINMFSDSWGWTG DLKKGSYDYKCLRGKKVFCCKAGNLHQYLDICSWTGCEEACPSDKQNVLTWDAGGPIG GDNSRCDSYSSGDIFTNPTPVGDSSDPKVGTVGKRKLCCPQKDSFKNCAWKGNKICSE LCSDGQITLDLDPQGQGGYYCANGRQQVFCCDPPGHANQPFLPVDLDRIFPPDYLPAA DAVPQFELINFNGGIGSEDPNMTGVAFFLLAGSDTAIASMSKRGNPGVHFLDCPNNLM DAAVDKTHSARVICMDVDVASCFALTKNGVEGTIVHMPDTCGNGSYARAVSLEPSQDQ SIPIELAMENPTSAVYDFVFDYNMALVRRDAGSYSIRMDYSNVHGYWDAVVDSPGKSK RSLDDLIGRFYADNRDDWFSTYDGLAFDKSASLSDIDKTSVDHLVFFDAQMCTTDEGR SGQGIAIAINGTLDVNFYYGFSLIATWDPTGSVIVHQSAGFLHPVGTTTATFTVAGIG TLDSSKKLNGDSITKLSGKSSMGGHSLFHGWASFSPYREDGVQLKTTSDNSREVSFNG YMELNTRADWGSTTVHFPGDPSDNAKDFTASRNINLTPVGNDASTSKISVANIVTLGM DVQLAFSKPYQSAVAGTLPDMSVTQTLTALYTLEGSETEVCLSTGVIQTQSVGLVDGA YVGWTSSYNRQYLTKARDVLKRECFLGIEARSAKSEMDVLTDVQGLTAKKDKRQNRSV PGDFSHFVDLPDLTDLINREASKSDTPEISCNGCGSCVVSVDSDDNFCCNCAWLPPED DSLAHTTISYKRSVFEPLMRDAHILEDRTADRFGIGDGSKDIAFWDTTDFWLGTSIQP VTVVSEIYPAFPNYFMNPNTNQDWDSSPKASSVKKYFHNSSAVCTSFDVAQSNTYGTI YPWPKKGYHGYTYRRGQAYHMEYQTEHVFEGQTIARFFTQWLPNLTDQTRRRQKLWTE SFILSPNSFWGGKPFVHRLVDQLGSKDHPERLTVFMSRPNGMKGALFGGRVALTEATF RGYDKGGDQLLAARQLGMIFTYMNIDTVWESFCSSYNGMLADLQHFDNWYQDQTGADS YLADEWPSFIRSELDMVVKRARADLRMMNQAKDAAGIRFATFWATVMNTEILKVKLER TDKCTNLPATTLGPT PFICI_04397 MARTAQAIADPPDPPPAEPRPQPVGEGPGEWFYADLGPIYVSNF DADSIIMCPHDYSYARDDSLDAQWRTGYDTRLCWKTCLIYKQISTAHRRLVPFIRPDA WTGFPILARSSGPPLKQFLAEHKSELYPQALVQSAACRVVDRCRPLVYQWALHALSAL AFMHSHDVVCGDFSEDLCLLSALPSLSLSVVGFPFAGYRRLDHGGRYYEAEFYGGDAF SETGLGPKQGRCRPFPTRQFDLYQWACMVFRLMTSYFPGDRMGLNETEIGSLVSQKAW PVLEPEFMGDIIRKSWNGDYNSAEEIKRALISLVEEQGWSVNDGDDLQGFDATGLFQG SSEVLGFR PFICI_04398 MTLCTPCATIDLDAIRRGDYWELKNSKDMRESASNGCDGCSFFL DSIKNFSKRLSENFEDFVEQARPMRIGKFYDGNDYYLEVGSDDVKDYVNFDLCAAETG FNPPNDEGPKRPISQDLNGDEFELARIWLDRCSQHEHCDAQEDTQLPTRLIQVHDKST LHICPTQKDWRGKYVALSHCWGKKPFLKTEHSNFQRLVTGFDYEELPRSFQDAVTLTR KLGFRYLWIDALCIIQDDEEDWARESVAMTQVYQNASLVISANAAPDSSSGFLARKVF RSHRFGTGAGLLLWQSPAITGFKSFAEIRGEPLDRRAWTYQEKIMAKRILYFLKSQMA WGCSTCIYTESMGTTPTRHASTHGPSIKLEIHRFMRAESTELEISSSKWESLRSRLDC WYYCIQEYTHRDLTKAGDKLPAFSGLSSGLCIPELGNYLAGLWEVDLFRGLGWRYVDR GAYPVRDYNCYVAPSWSYMCAQGRIKLIGLDFERQREASDSELLSERRWKEVYRPQLI DHDIKPVLEENKYGAIKPGWILIRACCRRILVQRSPMREAWHDEMNDDHDRLCFDTLD GRFVWRFDATDGYHCPKKSRGEFESGWGEPWADDGEVDEYTAVQIYRYRREQAYFSDQ PPQLFMLILSSTFHNREEAYERVGIVSVPLSDEQLYHEKWQSLELKLF PFICI_04399 MGPKRNKTTGRTSLGVGTPKTPTPAHEDSMEIDTPQPVDTPRAT ETPSAARPNQPSAVELLADVWTDDQTASLYKGIIRWKPAGMHKHFRMLAISEHLRNHG INPDVETHTRIPGIWAKLRTLYNLEAIDERENYDEDEKRYKDFTLPELEYGDSMWARR LPDDPSEAPSSPPQLDFEDTAKPPSVSGGTRKRKRGNASTTDAASVAGSTARTRGSTV EDTEEETPVASSPIAKSARGARSRTRAAAKARAESTEPEPEPEPENEANEDSEDQEED GDEAEDDEDGDEEEEDQDEEEEEQSEAEDTTTKSSKGAAKGKARKTRARASTRKGRK PFICI_04400 MVRPEAPDVFAIPDFWRNTRLLHGILQPGQSEFFGLDIKSEDRT SFLETEKKVASVNSDEFFKIPPALQLPSLGTQDQEHHDTQPSDPPRIVKRQEENLFDD DFIQNAQAPRSTAEYKTWDGFVMPEVPLLEPIFITEAGAATYDAALDTPSDPLALQNS GRNLVETHPYISALLALSMGRGSIFFTWNEKLGSFVQDLDQLRISGFSETVLEGLLTR CLQCGNISRLLHVFVQITYKTHPSAGRVALAKAVDTLLVVVQSKLGGRAKKVASLLQL QSLVSPVYAILAYFNTLVNRLNKARSDEQMLSILFAETQALEHGDELLGDIMREVLAR VSEPWLDFAQMWMGVKAEHGNPISKEGPGKSFVRVEDVAYVDDFGVENEELDYVLDER HVPAFIPDDISRTMFETGKSLRLLRTHHAEHPLCRLGIFESTKPPTLDWHYSWNDIED LQRRVNEYENSVLEAIRHHRSGRQPEMRAEPNTHTGYSLQLFGKEGDQLAQQLIASIT KLNQPLPSVSATDKLSQLLHDRLFVDGNSNETSGLDLVPHWSLLPLLSFAPLVEAQAR LVNREYMRLIFSSHKIRDHLTLQRKFQLLGDGLFCTRLSHALFDSDLESAERQTSVAR GGGVMGLRLGSRDTWPPASSELRLALMGILTECYFPAAKQVSWGQNQPELPGDMSFGV RDLTDEEIEKCLNPGSLEALDFLRLSYKPPPLLALIITPVILMKYDKIFKLLLRILRM LYVASELCRDIHGRETKWHDVSNTALRFRAEAQHFVTGITSYFFDDGIGPSWNHFENW LDTTQSDLENDSLADDKAPVISPDNVRERHEQVLDSIMHTLFLRKRQQPVLKVLDDIF TTILVFSRLSRLEALGQSRAGYNGPSISELYKTFKNKVEVFMTVCRGLSERKGHNAKA AKGDVTKEDARPAAHEENTIDRLLLRLEMSGYYGRPSYEHM PFICI_04401 MSRSAATRNLTLTEELEKLEQSITLTLQEIDSNFSKAHRIVTSS ILPLVEQYGEHSKNVWEASKFWKQFFEASANVSLSGYEELANDENATEATGNDETTRI EDTTASEDYTQEDHGEDDVTADQSAYAEQSQHRDVEDSLLDDGSLAGSTPRPPATKSM KTMQFAEMDNSYENLRRELKNETDGAGRHGFPPEADEDDEDETVELPDMVTQTKLPDM SMNLGSSLMLEAPTPNKGNNKDPLLHRLLDKNYRIQATPHKLTPAKLPVGKATAGGLQ DMDATRRALWQDSPMSSPEIAAPTLRTNLYSAASPLKRRGLARGLGDGPRTPGVSVQT PGAASKTRDVFADEKGKRKRDPDEIDWSDDDETDEVFKGMSPPKTIQFALPPSKLMQT PAREASKRIVDDILLTAGAAPQYLEESEEYSPTMVKMNKDILDDSF PFICI_04402 MSYFPTHIHDHKHSSQLVDMHLALDREAAPYLSIASSKTSKSHK QSSSSDASSTYSRSSFLSTRRLLKNASSRWSKKSEKDYS PFICI_04403 MATNATSFTSPLADKFAAVSLPPQVDYVIEKVASAGILTWLFTL LALAVVYDQISYLSQKGSIVGPAFKEPFIGPFLQSVNPKFEEYYAKWVSGPLSCVSVF HKFVVIASTRDLSRKIFNSPGFVKPCVVDVATKILGEKSWVFLDGKEHVDFRKGLNGL FTRKALECYLPRQEEVYNREFKKYVEITKEAGGKPVPFMPHFRDLMTDVSCRTFVGYY ISQEAIKKISDDYFLVTAALELVNFPIIIPYTKTWYGKKAAAMVMDEFAKCAAKAKVR MASGAEVTCIMDAWIKDMIASKKWREATEKGLPTDGLDKPQPLIREFTDFEISQTIFT FLFASQDATSSAVTNMFQIMAQRPEVLDRVRDENIKVRNGDPNAAVTLDELESMTYTR AVVRELLRYRPPVLMVPYKVKKSFPITDDYTVPKGAMVIPTTYMALRDPEVYENPDYF DPDRYYSGDAEEKGAKNYLVFGTGPHYCLGQHYAQLNLALCVGKAAIQLDWKHHATPL SEVIKVFATIFPEDDCPLTFETRKW PFICI_04404 MISSFYRALATASMWHGILALSFPDGSGKGYNISSPLDSRISLS FKETHICETTPGVKSYSGYVNLPADPADGRDYEIHTFFWFFESRHDPANAPLSLWLQG GPGYSSMTAALGENGPCLVTSDSKDTVLNPWSWNNEVNMLYIDQPVQVGFSYDTLING TIDEVASPFQVTPHESLASANLSTTVLGGTFASGSPLSTAHTTVQAAEAAWHFMQTWL KEFPHYRPNDDRFSIWAESYGGHWGPTFSDYFEQQNERITNGELPSGDNKKDAAIPLH LDTLGLINSCLDMAVQMPFYPEMAFNNTWGIQIINETAYEAAAAAWPQCRDHIDECRT LASELDPQSNGTSDQVNKACAGAYSFCFGNMWQPFNATGTYQFDITSRAGVPFPPKYA AGYLNIKEIQDELGVPLNFTGLSAGVTQAFATTGDFVLGRNVAVLGELLDRGVNVALV YGDRDFQCNWYGGEQISLDIQSHITNDFHGAKYTEIKTNESYVGGLVRQFDKLSFSRV FNAGHEVPWYQPETAYRIFQRVMSNKDVATGTASTAGGGKNCTSKPYSTSQGLDSIQS VLNHQQPTKHEHECYFWDMLETCSTEEKQMFKNGTAITKDFILIGHIGANGTAIYF PFICI_04405 MAPLSRLAVLIAAIISCSIRGCAAGLNQQYMGGDQLPFEFRAAT IDDIDDMVTVFIEAFSVSPAWQYIHQFEDAYPGYTWHCTRDSWRDSYEKSGSNATFRV ISVPDETAASGSRVVSFSIWEFNKTGGSDANRQSIWSMGNRTPSWANCSAHLDVNMTR VEDYVKQGAEAEDKYLNDVYDCQDYLALLATHPKWDGNGFAAVHLQWGMALADKTAIP TTLLATPAGYPLYKSLGFKDIYNQSINRLDGKGTFWHEIMVHFPEEGS PFICI_04406 MASKYALRGALRSARQLSSCAGPVSCRTFATASRSAAAAICQPR PSIQAATRSFGATVSTTRFSGRGVRWSSESATGSKIYDFDEIKTLSQDPESSIQIIDV REPGELASTGRIPGAKNIPITTSPDSFHITAEEFEDRFGFERPTPEDEVIFYCKAGVR SRAAAGIAREAGWSKVGEYPGSWADWTGKGGKIQRS PFICI_04407 MSGAGYDAVVDVDDEGDLGHTDLQEDLEFHNSTFTAPSNSTRKG GSGGSGLPPPATAGSGSGSSKRYLWSLGFYAQFFDVDTSSVLSRCWAALYPRANFLDV LEGNPDLYGPFWIATTVVFILFLGGTINQYLTKTDGAPFLYDFKLLSGAAGLIYGYTL GIPVLLFGALRYFGSESANLLECWALYGYSNLIWIPVALISWSPVQILNYVFVGVGFG LSVAFLLRNLWPVLSATDHQTSKILLVLVVVLHAALAIAIKVLFFKAGSPAPIQTPNP PAEDTKPEEGAEAMLRFLLRL PFICI_04408 MPLQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQR LIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKALASKFNCEKMICRKCY ARLPPRATNCRKKKCGHTNQLRPKKKLK PFICI_04409 MTRKIQQGYRAINANMSFKEPQEDPPLLSGVRKRLERDFCPTWR TEQDLPDAARAFYQEAADVAAIPLKLLLMTCSQVERRLEIWSHKREMEKDPDEEKGKG KSVAQ PFICI_04410 MAGLSSYHKMRHGERCEECPTRHWYEQEGLRFCRNGHQLEGFAA HEAGEDEYNSTGRVTKAKKEKQTREGLKLGGSEGRRLYLQALQFVLKRQVEWLQKAGL QLGEEQGRTYEELVKELWSLACAMPGVMESVETGELTDYATEDTVASSSGPEDSDAST RSNGWLDKKGSKLPSLIHTLALCYLACVILKQPLTTADFHRWAQRGEIEFLAALHCLP RNVQSRLPAMYHRSMQVRDHIRPGKLLRTAQELAVALNVHYAIRLPTLNYPQILIQYI LDLTLPTDIFLMAKSLIHILNATFEFPDGTRKRTRAMDSPELLLIALVVVSTKLLHSL DGIERQPVKHDDPRVKQIDWLEWQKNRSEASAKRSEHRLELGTEYRVTPNEAVLMNED KMDDFMDWYEKMWVSTNDAEVPSKSLYHLLQHLPAPAFRFELYGLSWLSSFED PFICI_04411 MQCSALRKNGFVVIKNRPCKIVDMSTSKTGKHGHAKVHLVALDI FTGKKLEELCPSTHNMDVPNVTRREYQLVSIFFFRIYTKFDNPWDMSLISRQLDIADD GFLSLMSDDGETKDDVKLPDGEIGEKINRLFTTEEKDTNVVILTAMGEQAAVEAKEAP RGA PFICI_04412 MWLDRLAGQQSASPSSSQPGSRAYSPAPGRRTPSGLGPYLTSSQ RPGLTPRSSSLSLISNDSSTSSLLAASRRANGSALKQSTTAYAGPDPTEVLDKILGQG QDEAHEQPTSTITQHDLELQFEFGGLSLTSLALSEEPAKESSSVHRPQTVEEYERDKT RFEDLHRSIQACDEVLSSVETNLTSFRDDLAAVSADIETLQARSTALNVRLDNRKAVE KGLGPIVEEMSVSPVVISKIVDGHIDEAWVKALAEVDKRATAHEKTAAASASGKGLQD LGPLLEKLVQKATERIRDFLVGQIKALRSPQINAQIIQQQNFLRFKDLYSFLHKHHAA LAEEICQAYQNTMRWYYHNQFSRYEIALRKIKLHSLDKLDVLGNEDTSRKTGVLSSSK LPGPPHDAFNIGRRIDLLKTRDQTALSSYLAEEDNGYHYLEVPFRNFNLALVDNATAE YTFLASFFSPALSYSTISRHFTYIFEPTFAQGHSLTKSLVSESYDALGLLLSIRLNQH FQFTLQRRKVPAADGYINGTSMLLWPRLQSVMNAHCESVRALTTALPTRPASKSELLK LSAAPHVATQRFGQLMHGILSLSTEAGDDEPVVASLRRLRSEVEAFLTKYSGQWGSDK RKRERFLYNNYSLVLTIISDTTGKFAVEEQEHFEGLKTAFQDAA PFICI_04413 MSTTAVEPGERSETLGTRDNVAVDHAKSRAILDACSRDDIEHLK ELAVSKGGFLSDAIRFRAWAVLLGIRPSHAVALENDTSSPKPHSEINGSVDDTSKEAD AINRPWTTLPRHRDEDQVRLDVDRSFIYYPNDQSQSQLETKKTELSDLITETLRRQPY LCYFQGYHDICQVFLLVLPPQLRASAVARLSALRIRDFMLPTLAPAISQLRLIPDILN AVDPALCRHLSQTEPFFALSGTLTMYAHDIQSYNSIARLFDALLAREQIFSVYMFAQI VLNRREELFDTPDSEPEMLHSILSKLPQPLDLDKLIADTAALFEKHPPESLRSWRRIS SASVLKTARNVTICSKQTLEDGHRFFEKQLKEMQWAESRERILKQMWAYRKPARGIAL AVLIGLAAVYIRKSPGTWGFLEALWSKLR PFICI_04414 MALQTNVFRNGEWVTRTVSPGDLFAAASSQTIKQPKRLSAPPTY GLLTRTVIESPVFNWVLPVQLRSPKYNDVVFVGDNFVQVSELGQDIQLHDIARKQDFG SRIRNACVIGSPAHYGEDASVNEMKAEDDDVDMLTATSHGQLPPQLVALVLERGDLVF LFLEQDTFGQWHFVSHGEKIPDTRLMLPGYHLAVDPSSRYLALGCSETHFQIWNLESI DTLRDRRARGLPFRPVTKSVIPKAVTGVIHKIAFLSPGADNEENVVLTLILVHKGSSK LHRFEWELTDDVAYSLRQPSPGWALAPDYQMPLLMIPSTIRESFLLVTETSQAHWATL RNGQLEAQVVEMEERDQTELHIGNTMPLWTAWSRPWRLPEYHLDKDTIWMAREDGILQ FLEIAAVGGISTNVILGEVKCKIDTAFACLYDRFADILITGGDSGPGAIWRAEARQAP SHIGTIPNWSPTVDIISTKPIQVSQSDGKKHRSRNAQNGESCSRQDQLFACAGRGQTG SIVEFRYGFEARVGFDFDLEDHVQQCWTMRSPANSPDSELCLLLAYPDKSTIVHIDID TLAATFKSQEEVPFDLFSTTLAAEELDDGTIIQITTDFVTIVDPLDGHLRHPMSHVVG NSEAVVTDATARTDLVAISYYSGPDFRVSIGRVSGLDLNLGPTYNISGEVTCLAWSKF AGESALLVGVWDREAGKPLLMVFSTQISPENQTPEPIRLDIVQLLRAQQPDNNPLAGM HPTEAFTSMVSMEHKEGYATICIGSRSGEVITLALDPNNMQTFQGTCDKFGSAGAYVF PMSTESEPSSLFVCCDSNVFFLSSRATRGRPRFEKKDRVWVTGVESETSTSPDVSTVG RMRRNLSQVAGKSTLTMVSGSSIYFAELQSVSKPVLRHLPVLGTPYKVMYHPRLDVLV VAVLYRGCPTLRFIDPVTGVDLSMPTDSGGVEVPFITGLGRPGSRILALSDWNYEKDG RTWSYLVVSVRLPDGQGQVLVITASKDESRVSDGPLRIRFFTKLKRTGYADPVWSIAT EAQGLLLCTGNTIQYEILDLEEKKLRKVKEHVLASPATSMEVVHDRLYVTTTRHSLEI VDFKSSPNAETMTRLNTDDKARPALHCLDGVDTSRKGNHQGVILVSDNSCGVWGMWAP AESDRPFKSIFLAELRASVKRFVRARTRMPWSGPEQQSLYGHVPSSRDGADILGLGID GSLRHFTILDASAWRLLRFIQNLAVASPNICHPAASYAEIGNGEDGEFEAMDTDWDPE PKSSPIMNMHVDGDILQRCLDLRALEKLTINKEHAARLCKLLESLEGGKYTRELQDDG EMTAYVDLAYEVLEYYLSPVL PFICI_04415 MAPKGKKGKKGGNDDWEAELGESIAPVNGSEAPADDAANGDDKQ DEDAAPAGGLMAMMSRRREKRKKQGLGEDFEVPKEEEPAQDATPAPAPVEANMDDEFA LPEKKGKGGKGAAAAKAAAGADDVGEDGRILTKAEKEKLKKEREKARKKEQAAKKKTT TPAPKAEPAKPAPEEKKKKEAEPVPEAPAAGKKGKKLPPHLAALQKQQEELRLRREAE ERQAAEEKARLEAEEKRDAEEVKRREEEKARKKQKEKERIDQLKKEGKFMTKAQKEEK ARNERKLQQMLAAGIKIGPQEGEGEEKKKKVVYDNRKRGKAGKVDEEKALAEAAERAR LEVERVAKEAEEKAAKEAAEAEAAAKAAKAKEESDIEDDWEAAAESDKEDVKDSWDAD SDEEGSEKKSTELPSRRKATEDSESESESESEEESEEDEEQTAAQAAEAQRKREAAER REKAHQAALAARSADNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGITQQIGA TYFPVDAIKQKTQVVNRDESFEFKVPGLLIIDTPGHESFSNLRSRGSSLCNIAILVVD IMHGLEPQTLESMKLLRDRKTPFVVALNKIDRLYGWKKVDNNGFQESLALQNKGVQNE FKNRLEQTKVAFAEQGFNSELFYENKSMAKNVSLIPTSAHTGEGIPDMLKLIVQLTQE RMVGSLMYLSEVQATVLEVKAIEGFGMTIDVILSNGVIREGDRIVVCGTEGAIVTNIR ALLTPAPLKELRVKSQYVHNKEIKAAMGVKISAPGLDGAIAGSRLLVVGPDDDEDDLI DELEGDLNVLFSRVTKTGRGVSVQASTLGSLEALLDFLKDCKIPVANVGIGPVFKRDV MQCGTMLEKSPDYAVMLCFDVKVDKEAQQYAEDQGIKIFTADIIYHLFDNFTKHMEEQ LEKKKEESKMLAVFPCVLNTVAVFNKTNPIVIGVDVIDGNLKINTPIAAVRQGPNGKE IVPIGRVTSIERDHKAIPVCKKGAPSVAVKIEMGSSQPTYGRHLEETDTLYSQISRKS IDTLKEFYRKDMTNDDWHLIVKLKPLFDI PFICI_04416 MDKSNKPPGEPELEGDHGIMMQNFEKHLGDEVTSVPDEKKRLID AVDGASVDVTVEPTARSLRICKKLNMFDSSIFEGKTPLILGAAENAPIRSLQLLLDRG ASPHVACTQGRTTALMHAACSNYSEVVKLLLSHDFNPDARDNDGWTALLLAANSKQGS NNGLAVRTVQQLLDSGFDPRAVGFDGSTAIHIAAFQGNTELMNILLEHGLDVDVRSHD GSTALMRAAMAGHLAAVRKLIQAKADVNAADGDGRTAMMWAMSRVCHVGVLNHLLGRG ADLHIQLLTDGDTLLHDAARMGNLKGVRFLLDNQLNIESRNKLGKTPLMRAISANQVE VVKLLLDRGSDVNARGELVKVSDFTVSTSPLTEAIEIGNLDILQQLLAKGATIGVPEH EEETALLFALSRGRREQDKVVSLFLDRGANANYNDSKTKTTLLLLAIRHNRFGCTNLL LERGADVDYEERNGFTALLYAARWGDAQIAETLLSHGANIEHQDTNGFTALVLSATKG HLAVVRLLLDRGANIGHQTIKLGVTALIMSTMNGHIEVVKVLLDHGAEVGQQDEQSET PLTMAASRGCAEVTRMLLDRGSDPDHRNAADMSALAISTQKGHLSVVKILLEHGADVN ITTANWNTPLIIASRFGYEQIAEVLLKRGPNIEACDDEGDPALLVAAARGHTEITKLL LDYGAQLSSVSPSKNNRSTLALASLGGHESVVRLVLERQAQIEVYDDNRDSSLTLAAL NGHEGVVKILLEAGANVNTATQRADGAVTPLQIASSRGHHGVVQSLLEGGANPEAPDG KGLTALILAVQNGHVKTVKALVEGGASIEARNQYGNTGLHLASLSGYAEAAKVLLEAG ARTDTLDQRGRTALHWASFYGHAGAVKALIEGGARTDTLDQRGFTALHWASFYGHAGA AKVLLEAGARTDTLDQRGKTALHWASLKGHAEAVKALIEGGANIEAPLHDGSTALVRA VADKKEAVVKVLVEGGASTQVRDPRTNTPLIIAITKDSEASFEVLVQAGADINASGEG HMSALSYAALEGRTRMTKMLLEAGAKVEGCPGEVGSPLIWAVRKGFLPTVQALLEGGA NAGIEAHDHDGHTALTCAIERNSADLIRALLKAGANIEGRHKNGRTPLLIAAADGHPV AVKTLLEAEADVDASDPIKGLTALMWALRMRHKEVVEIMLEKAQETSHLEVGAADEPV LAWIFNRFESLMAKFLSTASKSRTEIEVMRRRLANSEKPSRRTIASYMRCVDHQVISK EDELSSCELPGCFQLWKKMLGFLTDLLSRQGVLGEVLELWQSVESLINGATQHIVAVG YQGQLKEDYRLSQSEAAGLQNQMIELIDMIREEALVFFESPPENMSLRSSPLIQSSES SSTPKTPEKYPSRKDLGNNLDLSSVAPPAPKRGDAWEKFAFWPPWSNSRSGAHYLPKM LALVASGACDMASISPIWREDTDGLENLKRLVGVARERCVVALCQAWHQDAETFKFVE DWVQPDDGGGITMMPASLAAFEGAVLSGMQKILCIPSAVSKPEAADLVPPPPPPKMCQ MIQSQYITTINVVLGGMAENAEMSATSVDEDDEDSDVESDTAASGPASGQAPKAESGG AASACDQNTHMLLTIGNIKHLQTKVLPSLNDQLENVISTKFAEGRNQLSDVLQNIGAR IFQSYTRLMVQKLAEAISTGVTLPNWMPAPGRKPRNASPFTYHVLREIVVVKKDVLAT ASASLATHVVSVLLETVCAEMIKALGSYTSLNLEGLMQATIDSEFLYQVLSHYTTPRA SDLQSREYKMLDGLIDNKIRGALAMEMPEMRSVLKRRRRACMGEFSCLRKPKKNYFKH D PFICI_04417 MDARPIPSATAETQKIMNISENTFGDNTHIHHGDIINNLAFRKP LPTARDAEFGSFAEQHNRDCLPGTRVDLLREIMEWTDNPSTECIFWLNGMAGTGKSTI SRTVARSLQERGALGASFFFKRGEQDRGHARLFFTTIASQLVIWKPALQGSVAEARET YKGIEDKSMREQFEKLILQPLSKIQRLDEPVVVVIDALDECDQDETIGLIIQLLPQVK ALTSVRMKIFITSRPELPIRLGFKSITGTYKDIALHQIPEPVIEHDILLFFQSEFARI RGDYYEDIQLPSGWPDNNAIQTLVQMAVPLFIFATTICRFVADPVWSDPVSQLEKVLE YRSNAGESELEKLESTYLPILNRLIDGTAGLKRSRLVDEFRAVVGPIVLLATPLSIAA LARLLDIRPAAINGRLRTLHSLISVSAQPDTPIRIFHLSFRDFLVDPIRRHEFCVGER ECHERLAHRCLQVLEANLKRDVCNLQAPDVAGSAVDPSVVKMYLPAHVEYACLYWAYH IEQSKGRVTEVQIDLFLQRHLLHWLEALCLLKKIRASIAILETLSKYVQPGTMLEGFL RDASRFTLNCIPVMIRFPLQLYNSAIVFSPQNSEIRNAFIDEMPSWISPFPVVDPEWN TFLVHTLEGHGGPVNSVVWSHDATRLATASRDKTVKIWHAVTGQCELTLEGHSDSITS VTWSQDAAWLATASNDYTIKVWDPVTSQCVSTLEDDTTFGFVGWSHDAQWLASISSHG GTIKIWERATGRCKTTIRGHEKDFYFLSWSHTAALFAYGSHYDVAIKIWDSATNKCIT TLQSGDTSVRSITWLRSGTNQIMSAAVNGTVKTWDVTTNQCTSTVKVEGRLHNITWSH DATRLASAFDYNIKIYDLATGQIISTFGGPDHNINAISWSSNIARLASASHDRTIKIW DPADGQYVSTLESHSARVTSVAFSYNAVYLASASADHVIKIWEPETGQYLSTLEGLGK ETRLMSWSYDTSHLAVLSDDCTISIWDIQTGDHVSIPVAHNGVINSISWSYTAARLAS ASDDDTIRIWDPATGECIFTLKGHKDFVNSVTWSNDSTRLISASEDCTIKIWDVATGQ CVSTLKEPSGWASAVAVSQDMTRLALATPSFAIKIWDPVNGQHRSTLGGHGNYVRSLA WSHNAARLASAADDKTIKIWDLATGQCMSSLAIDDPFCRKDFQFQKTELYHLQTTLGA FEVQIATEPLKQSDSPPLLASYGFDTEYTWLSYKDQNLLWLPSEYRPSTSTFHGRVAA IGCRSGRVFFFNFAKPNPLS PFICI_04418 MAGEKQPACREDFRVGILCALSLEFDAVSLLFDRFWDEDGDPYG KAPGDPNQYTTGTIGKHDVVLVLLPGMGKASAAGAAASFRSSYSRLELVFLVGICGGV PGAGTSEVILGDVVISKRIVQYDFGRQYPTEFKTKDTIEDGSRKPGRAIHNLVAVFET ELGRGRLRGKASGHLKDIQAAAVRDGRQADYLYPGSAEDKLFAPTYVHRHYKECNLCG EGPCPEAIQSCCAELGCKEDHLVVRARLDKKRDMAPEQAQAPAIFVGRIGSGDAVMKS GAHRDALAKQHQLIAFEMEAAGAWEEVPCIVIKGICDYADSHKNKRWQDFAAITAAAV TKAVLGRYDPSDSGILAENVLSQALSAPQNHNTISNNNFGNGTRIHFGDVHSVGSTWS GFA PFICI_04419 MSTEATVRAFFSSPNFAVVGASTNPAKFGHKIFAWYTQHSIAAV PINPGSASIDVGSQSYATKPSLSALPNPKETSVSIITPPFATLKVLKEAKELGIPAVW LQPGTFDDEVLKYARATGDDGYGGHVIAGDGGRGSEGWCVLVDGERGLKAAGKL PFICI_04420 MLKTLNIRIIHYKTVAPCRLLRNRTSFSAKSYAAFRPSYPPVLY KTLLAYHKLPTPSGKLLDLGCGHGLISRELSPHFKSTLAIDPSAGMVNQAKDMTKDPK IEFKHGSAEDLDFVPDSSLDMAVAGQAAHWFDYTKVWPNLARVVKSGGTMAFWGYKDN ILLGYEEATTIMEHFVYGFGEVAPGVEGMGKYWEQPGRNILRNLLRSVTPPESDWEGV ERLEHEPGQKGQEERIAWQTKKMKLGEVEAYTRTFSCFTGWKEAYPESRSRAEGGEGD VVDIMWDHMIDAVPEWKAMGDKWKEADVVSDWGTYILMARRR PFICI_04421 MAANYESQASTNYKEAFSLFDKRGNGRVTLDSLGDLLRACGQNP TLAEIRDLEKNVGGEFDFETFQRVLNRPGGFRDPGEPDEYCRGFQVFDKDMTGFIGVG QLKYILTNLGEKMTDEEVDELLKAVDTSSGQVNYTELVRTILAN PFICI_04422 MAPDKYKNPPQAPPTFTGTKESIVSDAKALCDSTRSLLDKLAAD VPVDQASFTKVLKPIAEDEDHAGLSAHILGFYQYVSGDAELRNASSEAEKIMDEFGIE CNMREDIFQLVDSIAKRQKEHEADLDSESLRLLDKERKNYIKNGLGLPKGPQRDRFKE IKTRLSQIGIQFQKNLNEEDEGLWFTKEELDGVPDDVLEGLAKGKDENEGKIRLTFKY PDLFPALKFAKNPETRRKIFIANENKIPDNIPLFREAILLRDEAARMLGYPNHAALRI EDKMAKEPATVNTFLSDLRKRLAAGGVKEKEHLLQLKKKDEESRGIKPDGKYYLWDHR FYDRLMVEEEYSIDETKIAEYFPLSSTIRGMLQIFEEIFGLVFIELDKEERARLSPTN KAEDIAWHEDVIIFSVWDDSLEGDSFVGYLYLDLHPRPGKYGHAANFNLQPGYLKADG SRRYPATALVCNFSKPTEKKPSLLKHNEVVTLFHELGHGIHDLAGRCKYSRFHGTNTV RDFVEAPSQMLENWCWTPSQIKALSKHYKTGEPIPDDLIEKQISTKHVNDALSNLRQL HFGIFDMTVHSPASHEDLEKMELSKLYNDLRSEIAGLDGPDGETSTWGHGQATFGHLI GGYDAGYYGYLSSLVYSSDMFYSHFEKNPMDNKEGRRYRHTVLERGGSQDEMTTLEQF LGRQPNSEAFYREMGVGA PFICI_04423 MAFGPCAALALFALVACCIASFIRAYLSPLSSIPTATFWAPHSR LLWAFPTEFRGLITVNLPKLHEKLGPLVRIGPNEVSFYSVDMYDAVHKVGSKFKKDPR VYGEFVQGGNPALFSITDPTEHSKRRRLMGQLFNRSQMHKLEGLMMHHINAFVQLLAT TSHKTNLTPACRALEADIISDFSFGHPIGAIDAYSRGAELAMVAKNDEKATWMPLLTS FPTFCETWERLEALVFSITGYRTIYSEAMTAFHEWAEKSWRSTLSGGANNKKPLSLHP NLVQTMVDSGLPPLTALSEATENLGPGTDTTSASLAHILWALAHNLSYQDDLFADLAA VSFSTDMTTLEATPRLRACVKEGIRWTGAAAAMLPRIVPEGGADLFGHFLPAGTVVSS SPIWYLHDSVAFPDPKKYDPYRWLTSDGREIKNDLLRDKFYLPFSKGANVCMGAQ PFICI_04424 MVQHGVVEDSTDKTPLESSVEPTGNTQDAKILENLGYKPVLHRS FNLFHNFATTFAALYFIGGVRVTFATGIAAGGNLAYWTSFIITCVFTFITAAVIAEIC SSLPLAGSIYLWAAEAGGPRYGRLFGFVVAWWSTTAWTTFCASNTQGAVNYMLSEIVV FDIDFPTDTTDVKFRAVQWILTEVMLALAAIWNLLPPRYFKWIFYLSTSFVVLDFLLN MIWLPVATSRTIGFRSAHDAFLTTYNGTGAPDAWNWCLSFLATAGILIGFDASGHVAE ETKNAAVTAARGIFWSTIVSGIGGFIVVILFLFCVPDADTFFSFGSPQPFVPLYAAIL GDGGHIFMNIICIAALWFNTAIAVLAASRLVFAVARDGVLPWSSWVSKVVDGQPRNAV LVVWGVASVITCTILPSSVAFTSLVSAAGVPSAAAYGLICLGRLLLTPKTFPKPAWSL GRLSKPFQAIAVVWNAWVVAVLFSPYAWPVAADTFNYAPVIMGATTILALISWWIIPA EQWLPSQRIQQTLEARGSGETTDAVQ PFICI_04425 MNDGNHEAKVLPPLPLPAGVSEAYIDCTSSCGLNFHVLQAGDPT KPLVLFCHGYPELAFSWRKVLPEVAKGGYYCVAMDQRGYGRTTGWPNSKYHDVDLNDY VLTNLVRDLVCLVYGLGYTEVHSIIGHDFGAVSSAMAALMRPDVFKSTVQLSHPHHAP PVPSLGNEPPKKKVDIQAELAKLDPPRKHYKWYNSNPSAADDWNNPPQGLEKYLRGYF HLKSADWDKNDPHPLSKWGAKDLEVMPEYYIMQKDHTLPASIAENMEGEDYSKTEKWL SEADLRVYCDEWRRTGFQGALNWYRAQTASTPQSAKDMLLYAGRRIEVPCTFISGKQD WGNYQQPGAFEGYKDPKTVKTGCYRGSVLIDRAGHWVQQEQPEKVVQAIGDFLKTLQ PFICI_04426 MASHEPTDHSNGTIFGPYVHVFDDTMPAEAIQAKANSIFKQMEA NEFCAEGYALLFKPGTYRVLFDVGFYTHVAGLGQNPDDVLIEGGANVPAYWMPNRNAT CNFWRSFENLAINASEATNCTTTIAVSQAAPLRRLHIKSRNGLWLFQVDPATGAGGWA SGGYMADSVIDGQVLPGSQQQWFSRNSRWGSWANGVWNMVFVGNHNAPSEANYPKEPY TTIDRTPVVREKPYLYIMPDGQYAVFVPALQMDTQGPSWERGATPGQSIPISNFYIAQ PPTANASSVNSALQSGKHVIFTPGVYHLDRAIEVTRPDTIILGLGLPSLIPTHGNAAI RVADVDGVTIAGLIIDAGTVNSPALLEIGHPGSSTRHASNPTFLHDLTVRTAGRQAGR NDVGIMIHSHDTVCDQLWLWRADHGPGAGWDSNPSKNGIVVNGDDVTIYGLFNEHHKE YQTLWNGNGGRVYFYQSEIPYDPPNQECWKSNGGQRNGYASYKVADHVTTHEAWGLGV YSYFRDAPVKVENAIETPKTDGVKLHHLTTVWLNGTPGSEITHVVNGTGGCVSTNNPP EAMRQVVNEFPSRAPVAPRPRPPPPPAPGMSKRGLCWPIDNKDPVFPFTKPGSKITWL YNWSPNPQPNTTSGMLEFVPMQWNHVYIDQLADKIAQAGAHTVLGFNEPELPDQSNMP VELAASVWVQYIEPLRQAGIRAGSPAISSAPQGVVWLQQFIANIQAQGSDVDFYCLHW YGETLGQFYDYIWSTYHQLGPTKPVWITEFACTNWNVDNPLPEDYVEGFARDSVAYLD TLDWVERYAWFAPTPDTGTVGKWAAMLDSDGNLTPLGISYRDV PFICI_04427 MNNTEAKQKSTFRPRRPHKKSRNGCLRCKKEKRKCDELQPRCSR CEKRNVTCVKPEGKEKHVKECEKRYATLSHLIQQCNNNNNNNSSSSHDDIDTQSEPRK DLLLLDPVESELFNHYLEHTARSVAADSADLYALTTGIKRLVSDSKIIMHSVLALAAI HKCSTMLSDPVQKQLAASGRGRRDKHAGVTHLLHAAEQYHRQVLHQARGDVKHMRRYD HVMVNAALMVLYSCANHSVRISLMTETAGGADDACSMPGSFRPGDLQWMSMIRAIQSA YSGLSNSRAACLDENNFHSPSDEQPYYCMDMPRSLFDELDLCGVSGPIMRTRRLFLPI VAATWNGALDRLDAAVERSRISELRETASVSEYQMCTSAMRLLRDIFLEVFTAKPSSQ ASSPDSSSSEGASSSSEGPSKADEIAKLEPWLRDYLARVTSKAPRQPLRRAIMAFVHR LPSGYTELVQNAIDVTSRSPDGQDDATDDDQCSIERLSLQRLAVDIFAHWLVLVMLLD GIWWIGEIGTWELKQAISAMAILNRLDGSQYLCGWWPSSMYNTKLEIDQHEVRDLD PFICI_04428 MPTPTEDRALSRTLLPPDSVSPVSTISPTRLGRSETSFEQYCDQ QFYTEETIESSPRYDGNGHNLSYTDIDNTEYVEAPRRGPTGPPKAASLHQNGYQDSQI NGTDITDSTRGTKSQALAQQSSLDASPNGKEETWIAEPWQPLCLQPPVLLSLSATFLI CMLVTALLYHTSVQNNGLSAEDETRHYGWKYGPTAVLTVILYLWYSIDLSVRSLVPWQ ELRQGPKPAEVTLLLDYISPLSTTIIWRGLRNRHWAVVMTVAGRFLILLTTAFSTALF VLSPTEMEFINVPMSVPTFDTESFKLDSVGPFATNMYYAVNFGNLAEPLGAFSDACVP SPTIQADTQSIPSNAKIWATVAGLGFDTRCEILDIDTSTQAPKRPPPSTLGYANYSQD RYFTINVITPDCLITEAIVADIAFYDGDDSISDYQARIDSYACNLPYNYRDINSAGSG YGPLVNVSSTAWYNTTNDNRVLSTVSEVNSADNGTFVLKNITAMLCKPSYTWGNYSTF YDGASKTARVESLQTARHPTQDDLGFSQGAIAHAVMRTAEQVNTVVPNSEEYFLTPLF FGLMKLHSNVSSMHQFMDAELLQDSFLQVFNGIAAKLAYEMISNKSDKKQEIRGAVMF TQNRLHVTMLSTAIMCSAFGILAAISFGLVFLAPRSVVASKPGSVLAMVNSLDLTSDA AEILQNLGHVPDETIRGAIAPYLFTSTGSREGKAGKLTINTATEKYTALVNSRTVMKT ELQWWKPLGIQDWFLFASFILPLLFILLLELYQRQSDEKNGFVEIGSRWGEAMANYIP SIISLLVGAMFASMVSACAVFAPYVGLAKRPSHFSSSVAVTYINQTGPQLGYSSLKNK HFGLSIITLAQLIASVLTIVLSGLYSTVEAPVHAGITLRRLDRFNISDALSFANPNTG LLTKLTTYYELKYPSWTYESIALPRLQVKDRSSLGEVNNGTIRSGLPGIRGDLNCTAV PPSHLNISITGYTESNVPIGMGPILSIRGSLPDDRLCDQASVNSSSSITQMPWMLNFL LKNDSTPSSFGKAAAIPSFGSVATENLRPSLECPSIAIVLGQATAMHVGTEDGWERES EWGSDSGWVPTDVDIGVLLCRSKFEQLSVDATFAWPEMELSDQEPPIIHENSSRWLNN PTTGNPAWGWDYSDPYADPGNWGSLTNTNDSYTRYGYCQNDTCFDPFILALLDGKYKV PLEQISGENKTGALMQQARGLWGRLLAQSLSQNMRSEQGFTASTPVSNSTTAPGSRPR ARAENTDSVSVFYNADFEAIDSASPQRLKQNALSKVILQIMLGLMSLGVVLMRSLMDF GKVLPHNPCSIAGTMTLVVDGNLSRNTTAAMVNKQVGKKKKHKQYTALHASDENGQET QSTEPKFIMGWWNDGDTRKFGIRCIKDGD PFICI_04429 MKTTHILSLALFSALGKASYPASEPKGHHFVAPKADDSRSPCPG LNALANHGFLPRSGKNIDLIMVQTAAAEAHNFRTDVLTTAFQQAVDFKLSTTGNYSTI NLEDLKKHDTIEIDGSLSRNDFYFGDDLHFDPLIWATVAKSLSLYNLSGEGGLYITVE MAARARAARVADAIKANPTFNASENEQQGSPGTTALWLTTLWDHSLNKTHKDWTSSWF EFERIPYLLGYKKPSVQTTPMFLGAMVQAIKSVPV PFICI_04430 MATVSEVATLPAELKSTLALGHDIDKKEGYNEKQDVESVGAGQV SSNDEPPTYTDEEYKKLKRKIDRYLLPLMWLCYGIQQTDKTSLGTQATFGLRDDTGLV GQQYAWLTTIFYITYMCFEFPSNIILQRYKMGRTLSIYMLCWGVVVLCIGFAKNFTQL ITLRALQGMFECCISPGFILVVGSWYTTREHASRALVFQSANAGFGIISSLVLYGIGT VEFRDPESESWRYMSYFLGSLTIIVGVLCLFLLGTPSEVRWLSEEERKMANARILSNN TGHDRTGIRKWQWKQARECLVDPCFWFAGVNAFLSSVPNGGLTTFGSIINTTFGFTNL QVILLDIPRSTFSVLWFVFIGVMTSRKKDLRMWFMMFSTLPPFCGFLMMALLPNEAHY KWVKWGGYFMTVPFVVSLFLAWTLIPSNTAGRTKRTLTSSFTFVGYCVGNMTGSQIFK SQDAPRYISGTTACAVCFGLEFLLIASWRTLYVVRNKRRDRKLQEEGISEEERVKRGQ VLGEQDCTDFENPYFRYTM PFICI_04431 MIVGEAVLEPTVDDVAEAEVDVALELVDVEMTLDEVLVEVTVDR LEEVEESELVADAELVSEAEPVEVLELVVESVTVDEVDDEVELEESDEVVEEETDDES VDETVDDIVKELLEDEESVTVEMLEESVKVEDCVSEALEVIDAETVEESVVVADTVSE ALDEVVNEAVDDEESVKEELVADSVAVEEELVESVAVDEITDELEESVIAALVESVEE LVVDSVAVEETLDEESVKEELVIESVAVEEELVVESVIVDETVVELEESVIVALVDDD ESVEELVADSVDVEESVDELDEVESVKVELVDEDESVEEEAVVDSVAVEEMVEELVVE SVAVELLVDELESVSETLDEEVADEIDEDEESVTDELVDVELDVESVEELDAESVEEL DVDSVRVLDDDESVWVLDIDDVEEVKEALVDRVAGTVTVVVSAERVMVVAS PFICI_04432 MHGIFVDESPHAWSSAAFAYSETVDLAIKNAAGLAGPRLVIHNA GIVPDTRFLAGANIDASIVSSQTYADWQSSGPASVAALGTSHLGVMLNSVPIMTKTAL ASFVSSVNNVAQYIYITNLSSNIYGSFGSDWFDFVVVTGTSTSTTASASSLTSTSAMA SMTIVVPLYIYPIADISWAKLFTAIELRLDVSFIVVINPNSGPGSGTAPDYYYGPAIA KLNTYANVQTVGYVRTDYTRRDIDAVIADVETYAGWSSISSTYTMHGIFFDEAPYEFN QDSVTYMETIDALVKSSTGLKGAKTVIHNPGTIVDPAYLVDTNLDFTFVFEHDYDTWM NSQAAAVAALPAARGKYALMVNSVPTMTTTQLRSFVASLSTVSQYIFLTDLEVDIYES WGTDWLSFVAVANT PFICI_04433 MASTLPEGALNKIVDSVKSLITPAKGTDTTKSAQSTSPAGTEKV PMTNTEQTLHMEEAAPVEHETVKRVEHEVVETIVNKDVHQDHYHRTVQPIKERVVLPT KHIYIETGSDREYDHRDVAATAEGSQRDAHRYPDEGRVEEPRRAGMVAPNQDAEGYVE ERVRHVVTNETIIPPPIETTQHVHKTRHLAPGQQSATNTSKPGLDRSQEKSASPKDVD ASEAH PFICI_04434 MFSSSGQETAAVGNVTQMFAGIKSNMTIQLDNHYATRVYTSASS ISGHTKIQVAKDTPFDKVQILLLGTTKTRMDGVRAPHTTSHTFLKLEMPIPDSSYPGS RIYRAGQTYDIPFNFVVPNQLTINACNHKVDTSAVHDTHLNLPPSMGPWSGQDDMSPE MARVEYCIVARVLAEEDRHGKRARILEASQQIRVLPAHAEHAPLAIGPKDAVYKMSKS KTMRKSLLSGKVGKVTVSAKQPGAIMLSPDGATASKTVAHVDLVFDPASAQSLPPRVI GVSSKVTALTYYCNGAIRSFPNMGDWTRVYGADSRGSYPTATSISAIPIDNCNWQQSL KGETRRGSTYSADTASTNGSDILSDTDRSNHDESRSRRGSKDSTKYASPVYHTASLKI PIELPTHKKTFIPSFHSCIASRAYVLWMTVSLSCSGNSYSIMVGVPLQVGVESSDAVL ESLEPPSFETAVQEAEIDDFLRPRSLVMPDVVFERHGLPGYADLMSGRMVAVN PFICI_04435 MIARGFLCRVSLLALGLVSIAAAVNGTQGTGVSAASLSLEDIEE RLQLCPVVADLSAEKLADSAGASTLTSRIFGVLFPGSPAVNAILATLYISGPPNFLLA LCPPNIDPSSLSVMVAFAVGGLMGDTLFHLLPEIFLGEDSPEHVKFVLVEPNRNLLLG AAILAGFMTFVAMDKGLRIATGGHGHDHSHGAHEHGDASQVQGSSTSVQVVEGEVKSR KKANGNAEPAAHVEKEINPSVKLGGYLNMIADFTHNITDGLAMAASFYSSPTIGATTT VAVFFHEIPHEVGDFALLVQSGFSKRAAMGAQFVTALGALLGTLIGIAVQEFGGNSAG DAVTLRGGLFGTSLTYGDLLLPFTAGTFLYVGTVAVIPELLETGPNKKAELQKTLVQF AAIALGAGIMLYISWD PFICI_04436 MPNPTAKSNDDSHKAPTPTTTGQTFPLRPITADRSTAAACPSLD DATSAENIISRDQSPPPADQGFELEELLLTDSAAVDPHGPSSNDHPDSDSSLTNYNES ELTNLPDDPEQRDPMSRAPLLLRSSPGFGTGSYGAAPINPVTEEITENQNEEDIYNDR DVEEVRNRRRKSQSTTRSFGTLSRTGTVSRSGTLGRASTSSMRMKRKSEEILGGSISV SGLSGRFGVTETSLVEDTMSDAKSDVSEYMGESGDESEIESINEDDPPDNSPYSQVRA SVAPTDNYTLSISTPRMWILSTLFAVLGSSTNLFFSLRYPSVAITPVIALLLVHPLGL LWDLLLKRDDDPEEEFVDGVRVAISAAGSPTDPGVPPVKQRSRAQRFRLWLAQGRWNE KEHSCVYVSSNVSFGFAFATDVIVEQTQFYKQDATIVYQLLLTLSTQILGYAFAGLSR RFLVRPSGMIWPGTLMSAAMFGTLHKEENKPANGWRMSRWKFFYLIFFSSFIFYFIPG LLMPALSYFNVITWFAPDNVVVANLFGVASGLGLFPLTFDWAQIAYIGSPLLTPFWAA MNVVGGLVIVMWIVAPIAYYANLFYSSYMPILSASVFDNTGNVYDVSRILTSDFVFDK DAYKNYSRVFLPITYVLSYGLQFAGLASLLTHTACWHGRDIWTQWRRSLKEVEEEGKP SYEPLGTENNSLSPITRQPGDLGMRRSTPNFDDLLSREDVHNRLMKRYKDAPLSWYLL TAAVMTAVGMFVVEYYPIHLPWYGLLLALGICSVLFIPIGIVMAVTNQHSSIYLICQL IAGAVFPGRPLANMVFVTYGYISSAQGIKFAADLKLGHYMKIPPRILFKVQMAATIIS SLTQIGVLNWMFANIPGLCTPQAINGFTCPIARVHFNGSILWGVVGPSEFFGPGATYR PLVWGFLIGAIAPIPLWLYARGKKNTIIRKINLPVLFGSLSWIPPATGLNFSVWALVC YIFNHLIKNRAGAWWAKYTMTLSAALDSGLAVGIVVVFFGFIFPGWMDGFSWWGTEIY KQGCDWQACAFKTVENGTHFGPDQW PFICI_04437 MQQPGPALDADMNSSTLNAAKNQLRALMKQRLANIPSDSVTSQS SHVFNSLSRFEPYINAKRVAVYLSMPHGEIQTDPVVRHALSSGKQVFVPYLHKSGLPP GEGPARLMDMVSLNDIADYESLQPDKWGIPSVDAATVNQRQRSVGELDGNVSADVPLD LILLPGVAFDTDPETGSIRRLGHGKGFYDYFLHRYALRANEEERASDGHPAILLYALA LREQFLPPSSGESVPVGPHDQPIDGLFLGDGDFKQSSHRA PFICI_04438 MTGRGGGGGRKVLLPPINFIFKLLQSHATVKIWLYEQLAIRIEG KIRGFDEFMNLVIDDAVEVKQVTKTNPEEKRRSLGQILLKGDNVSLIQEAV PFICI_04439 MASNGAQATFPPEQVLEAMLTMRSNDADRKKSAHKFLEEFQKSS SAWTTTFSILQSDAEADVKLFAATTLRGKITYDLATQIPAEQHVAVRTQLLELLKVYA PGPKPIRVQLCVCLAILAIQMKEWKDVLPLVVSSLGNQAESHAAILDFLRILPEEVTE GRKITLSEEDLNLRTIELLSDNAPQVVQLLINYAHSSPSAAKNPQLLECITSWLREVP VTDVVNSPLLDIVFNAVEGDEAFQEAAECLSTMVRETREVDENIETVQKLLPRVVALR PKIQKVVEEEDVEAYKSLTRVLSDAGTAWVVAIARETTAFRPLVDAILECAASDKDRD VIEYTFDFWYELKQLVVLERFIEARMELVDVYSKLVDILLKHLQYPQSEDGRDLHPRD LFDGDLVAEEKFREFRHAMGDTLKDSCEVMGVTECLTKVLDAIKIWTQKYASQATATS VPHWQELEAPLFSMRAMGRMVGKDENIVLPQLMPLLVQIPAHEKLRFATIMVIGRYTE WTAAHPQFLEDQFNYIVSSFQTDSKEVIRAAAMAIKYFCTDCKHLLSAQVVQLQQFYD KILDNLPDTSQEEITEGVANVVSVQPKDDIYRLLKLYCDPLMQRLMTKANNATDDDGK LAVADHLQLISIFVQQVVIVPPVNAGEEDPAVKYWKEAFPILATVLDGFIDFAPICER VCRCWRFMVISHRGAMTPILPDMANKLAAGFERSRQGCFLWVTGAILREFSDDREHVD QGITESIYVFFEAQATNMLRFISELTPQELPDVVEDFFRLLIDTLLYYPQKLIPSHLF TPILEAGLSVLGLEQRDPLTATLHYIRDLLSWGSKNPPSSSEHLGPSVVEQLRQIVRQ LLTAKGDVLVQRILAGMMITFPRDCFADGSGALLTLFEIMPGEATVWVEQTMKLLPPG TVTPADVQRVMVKIRERLQSNDSGDVRQIRSILQDFTNTYRRRYIAPRDGLGQLETAR FRFSG PFICI_04440 MVNFTIDEIRGLMDKPSNVRNMSVIAHVDHGKSTLTDSLLAKAG IISTAKAGDARSMDTRADEQERGITIKSTAISLFGELPSEEDLKDIVGQKTDGNGFLI NLIDSPGHVDFSSEVTAALRVTDGALVVVDTVEGVCVQTETVLRQALGERIKPVVVIN KVDRALLELQVSKEDLYQSFSRTIESVNVIISTYLDKAMGDLQVYPEKGTIAFGSGLH GWAFTIRQFATRYAKKFGVDRNKMMERLWGDSYFNPHTKKWTSKGTHEGKQLERAFNQ FILDPIFKIFASVMNFKKEETATLLSKLDLKLAPEDRDKEGKQLLKAVMRTFLPAADC LLEMMILHLPSPITAQKYRSEMLYEGPTDDEAAIAIRDCDPKGPLMLYVSKMVPTSDK GRFYAFGRVFAGTVKSGIKVRIQGPNYTPGKKEDLFIKAIQRTVLMMGGKVEPIDDMP AGNIVGLVGIDQFLLKSGTLTTLETAHNLKVMKFSVSPVVQRSVQVKNAQDLPKLVEG LKRLSKSDPCVLTMSSPSGEHIVAGAGELHLEICLSDLENDHAGVPLIISDPVVQYRE TVMGKSSITALSKSPNKHNRLYMVAEPMDEELSKTIEDGKIGPRDDFKSRARVLADDF GWDVTDARKIWCFGPDTTGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPIAEEPM RSVRWNIMDVTLHADAIHRGGGQVIPTARRVLYAASLLAEPALMEPVFLVEIQVPESA MGGVYGVLTRRRGHVFAEEQRPGTPLFTIKAYLPVMESFGFNADLRAGTSGQAFPQMV FDHWQHLPGGSPLDSTSKVGQIVCTMRKRKGLKEEVPDVSNYYDKL PFICI_04441 MSPLKTFLDLVKATDNYPLVDIPRLPYAPDRSASLYQLFLPNDP RPHGFILPSVVDRMPWTSDFSISHSIPRTVQLRDASDGADTAAACNAAFKKVIDAAGE AQVFANLQRVWPEDYKVLGARCSGGSRVQLLRAAAGLFGISCRGAHMTVYTYTAAGEL KIWVPRRSREMMTWPGKLDTTVAGGVRAEESPFECIVHEADEEASLPGALVRKHTKPV GVITYVAESAAGSGGELGLCVPDVLYCYDLEVGQDVIPKPQDNEVEGFYLMSVDQVKE SLLREEFKTNCASVMIDFFIRHGVITDDNEPDYLDIVTRLHRPLPVPTTPF PFICI_04442 MAESKVPADYILVEGYPSVGDYVHLRTASGLTPKNAEQASAAIQ GSWYGVWAAEASSPDRAVAMGRIIGDGGWYFLVADMATLPEHQRKGLADVILKKLLAR IQSHAAKGNAYVTLGADVPGRRLYEKNGLKDTMPEVMGSK PFICI_04443 MAADTGQNESPSTRWTNFNPTFLAVMIVCGVLAFFFLNYFNRLF ASVISYLIRLYTWHKHKIWIDIQALQVSLLAGRVFFTGFRYHGNNETILIQNGYITWS YWLWRVKDVDLALPKEHEKSKEGDAENPADKKDKSKRLPCRINIAVSGLEWFIYNRSP VYESILVGMTDPVDLDENTAHDPEKGPDHPRQRLQRASQKVEEQLNKLDSRRSDKKDS ETSNEERYSPNGHRLKASRSTSLTNQDDDDSDGAGEMPLMLQLLPIHFECDRGAVVMG NENTKGVLIVKTQSLSGEINAIGCETPDPYRQIFKIQFEHPIIEMKDNERYQEDQLTR AVRDKQAALDTGAPPYRSFFRRHRRRVLGKLRDMVPYWRRSVESFSVQSRKATTAADN HLPGSHHWQGLTRYLNEDGEGDKLRWSSVEYAAVNTILDSPEATLTIFWDVPGKVTRA DDPGGSARNDNINGAAPPAWAINLAVSGGMVNYGPWADRHRAELQRVFNPTLNKDAVA AKKLQIGADRVPTQFKLYLEIDKEVTLRIPTREDSKNWRWKKEAAELRQYQKQERRRG KKENKDKPASAAAQQRPHGWLDIKIGANATVSYSMDMLASPSGYHNTLEVELPSTEIS TSVNHGTLWKSGTQRITCDMSAPLKWNSLRNWKFDIEGDELELFILRDHIFLLTDLID DWGSGPPQDYLLFVPFKYFLNFQFRNLKLFLNVNDVNIVNNPTDMEENTFLILSTPLL KADTCICLDAFRPSRNAVPFNVQADALDIALHLPAWNTQATFLTSKDFGRLESLALDG KYHYNASTSPTNTDTLVLNVNGQSPVVVLYGFLIRYFLQLKDNYFGDHVHFKTLDEYQ EMLRLKATNPDLEAATHPPHKKSNDMDVVLSVKTDDPKILLPANLYSAKRHIAIEGAG LAVDLRFTNYYMDLDLNISPLGLSLGSDEDGAISPISSTSSTQMYIDGLGIYGHRLFG LPPTEPTYLCNWDLSVGAITGECTTEFLMTLVGGAKAFGFSLDDDENALIPYSSVVMY DVTFLRVIVHSIELWLHVDEAAFLFSTKQIDVNFNDWARTHYSKRANIKVPDLQIACV NSESAARHKSRLRSRVETDAMLKTSIHFAIIGRKPDFAEARRIQQELVRREDQRTHRT EFLLQHGILGPYIPDAVDEPAQSVPMVPQAVFLDPDNNDKRTLSSQGSARQPSRSLGH KSSFLSFSTESNDSKKRSRSMRSSWRMPSTREQASMSEQKSSEIRPRMDRKHSTSTGR HSALFSTSAPQHEKRDMTHNTVAFSSQFFAPYFPLENVRPETNEPAMQSFADYDDDDA FHTAQFGLDDIDPEQLSQGSAYSSFLIEMPSGVTAFLNAASLKSIASLIGALQATQPE DILDNAQISAMSDIFDAQKQQKTNGSITDVVVRLPQANLRFLNCSNLDSPDPSLEAQD QYDFSITKLALAARTEKSLAEHESFKGTKTSFHLRVASIGASAAERLANLDAAQAAVA ASLEHVLISMGSKDVTYIDGDVGSLRASSSSDSIEYLAALIHRTNILAAENGTLFSKV LGRGEQRLKYLTHRLMADSQSIGDPSFIVRPSAILRAASEHLRTYDSWKLISRLRQVW SSTNRKTQDGLHMDCQNSDLALPDDARQKVVMSFQKWRNWDLDNIDGSELMKNIFGTA SIGAALSSQAMPLMAVFRLQEAAFVLDPGPKQNQIYVVDITTRLHTKETQLEEMREAT GTVLGPLTVVNACCSQAGINLNWELLELAENILKLYGKSGGQDEQENTEHEEKNKVAT TKSPAPSSIHVAFSLRQGAISLETVNLRSDLQSDNLNVSVLMAKRGDMTDSNAILACS SVTTRLRSHQQQLTTLRLKGPSVFLSHELQLANFTSVHTVKATASSDDLKLIIKQDPI MLSEVLDTVVRDEVSQLYQLKDHLPPSAEPAPSKPKITTRLSSFRVNLAMFLNSYTIT VPLFRSLTYTIKGVVARAAMAANFGKEIIFDFDIKENSHNMQILVNNELRSISVLQLP PTNGRITSQMQQEEHTVTVFASVELIQLDASAVYSLLAALNRPEMSNAIDELQHQGKL LQEHVEEIFGPTDQLQDTPKEAAENEKKLVYVVHTTLAGIEIFGQSPLKSDAESRAHL SFCISSIHVEVANRLEARGPILENPEVHANLRQIMFDIAKGTSEESMRTCGNLSFGAL ITATTKELEDGTDRRSFDFLSNGLQINLSADTIATVVDVLGYMGDKIKDLDTMKEIEY LQKRLRQSRPRITINDQEEEEEAKSDIFDSFLASIFYSFEITNIQCCWIVAPSYEHIA DQEDLVFSLQRVEFATRKKNTAKLTIENLQVQMVPPGQDKLQRSHNSALLPEVIFNVA FVSTLDTRRLAFQAVGKSLDLRVTSGFIVPAAKLADSIGLSMKNARKSSANWTTIVAT DKPAPTPVKKPQRSLFGNKRLESCLVDLEFAGATVTLTGKKQAEGAEGHAFARFHRPT LSGKYGQFTSDDANSSTTLKSPGLAWKTEYRDDGNEDPAVYGEIKVDASKNTLYPSVV PLIMDITSSIKEVVSSSEAESVSPDSLRSKNSQAPKPKTPEEDNILTADPSAVLGRTK LNIGLRICKQEFTLSCQPIARVAATSCFDDIYLTVNTVQSADYGNFFAISGTFSKLQT SVQHVYSREHTGRFEVDSIVLSLMNSKHVSGTSGVSAILKVSPTKVDINAKQLQDFLL FREIWVPRGLQEAATSAPVSIPSAEAPQSHLVQRYQQVAATAAFPWTATISIAALDVN VDLGQSLGKSVFAISDFWISSKKTSDWQQNLCLGFQRIGIDCVGRLSGFVALQNFKLR TSIEWPNREAALNETPRIQASIGFSQFRLKAAFDYQAFLFADITSMAFMMYNVRRRGE GSGDRLVAVFDGEAVQIFGMTTSAASGVALWQAIQKLIQERKAQYETSLRDIEKFMKR KSLAATGALTHSTATLKPTVSDVMSKSPISLDTDVVVTLKALNLGVFSGTFSDDRIFK LEALNAQARFAASLQHRRIHSVLGLTLGQLRIGLANVRRVSAPKTVSELSVEDVVASA TGSRGGTILKVPKVEAVMQTWQTPDSRVIDYIFKSAFEGKVEVGWNYARISFIRGMWA AHSKALARTWGRELPEVSAIKVTGVPEPSVPGEGKEEHGMEQQTKITAEVNVPQSKYE YIALEPPIIETPQLRDMGEATPPLEWIGLHRERLPNLTHQIVIVSLLELAGEVEDAYE RIMGSS PFICI_04444 MAGGTGGRFQVSATIVAGVASLAATLLSIVSVWLQLKNYRKPLL QRYVVRILLMVPIYSLSSWVSMVSTTAAQFLDPIRDIYEAFTIYTFFQLLINYMHGER ALIIMTHGRAPVHHLWPLNHVLPKVDISDPYTFLAIKRGILQYAWLKPILALAAIIMK ATGVYQEGYIGPTSGYLWSGIIYNISVTVSLYSLGLFWVCMHNDLLPFRPVPKFLCIK LIIFASYWQGFFLSILVWLGAIPDNVEGYTSDNLAAAIQDALICCEMPIFAVAHWYAF SWHDFADNTISAARMPVKYAARDAFGVVDLIEDSKETFRGDKYSYRVFDSSGKVIAHE DSSSRFARLKQGMRYKRGGEAKYWIPRPEEVRGELSANTPLLNSNGGPSGTNPKYSEG DTDETTLDANDEDLFNKARELEFGDWNYPVVTANIPARERWVGHTSISQNPSGSWNAA PDSRPQARSTSSTDTLSKTLNKGKEKERRKKGSFDVPSAGSAAPVPKPAAKEQEEAQK QGPLGAPVLSTSDPQILLEEAEEEEDDTFEIGKPTPDEHTPEVDESRQWLEETNHAPK ATSPLYGSENEEEFSNPWGK PFICI_04445 MEKVESAQIETAGEFPEKSLGQQQHNKGDIVLIGENHEVRKIPI PTDDPNDPLNWPKWRKAGVVGTCCWFAIFSLLSISGTGTFMNTLYAMYMPAHTAEEIT GLSTYPTMVMAFGSFGLLPLAFIFGRRPVFLFSVILAFITVLTAGSSQGYEGHFISRI FLGLATGATESLLPLIISDATFLDERSFYFGIYWSVQNCVSAGLQIGLSYLTAAGTWR WYYWLFAITLGLSIMFAFFLLPETRFQRPASSVNGQIIYTDEFGTTHFLSDEDARERF GDIAQPVSNGAVARKRSFLQELKPWSPVADNGFKIWVGAYGKILKSLSSPGVIFAMLA SSISLGIGVAITLIYSTILEESYGWSPSSVGLFNIGIIPASFLAMLHSGWLADKINVR LAARNGGVHRPEHHLIHLIVPYLTGAVGIIVFGVCANDPQAYSAWVRFLVAWAIYEFS FINVLITTTSFAAEVFPENPGAAMVPVVGGKNIIAFGAAYGLIPMLNIYSYLKAFMIL LGIFSGIFALGIPVYFLNSKWRSAALKRT PFICI_04446 MAEFIRAQIFGTTFEITSRYSDLQPVGMGAFGLVCSARDQLTNQ NVAIKKIMKPFSTPVLAKRTYRELKLLKHLRHENVISLSDIFISPLEDLYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQIMRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLEGKPLFPGKD HVNQFSIITELLGTPPDDVINTIASENTLRFVKSLPKRERQPLKNKFKNADPAAIDLL ERMLVFDPKKRITATEALAHEYLAPYHDPSDEPVAEEKFDWSFNDADLPVDTWKIMMY SEILDYHNVESSVSQDQFNGH PFICI_04447 MIALAGAIGTGLFLGSGKSIQRAGPVGTLIGYAIIGGLVICVML CLAELSALAPVSGAYVRHAEYFVDPALSFAIGWVAVYGPCVSVPSEWVAVSTIVRYWT DLHSVVSFLTNIFLIRIFGEVELVSAMLKIALIIGLIFFGLIYDLGGVPGQPRLGFWY WVEPGAFGEGYLVQGSVGRFCGFFSVFVNAVYSFAGVETTSIAAAETKNPRRNIPRAA KHIFVRVFVFYILSLFVVGLIVPSNDPRLLTSTGNAAQSPFVIAAQKAGVRVLPSIIN AVVITSAWSSGNHGLLQGSRSLYALALESKAPAFFQRTSRWGIPYLCVIFQSSFMFLA YMSLNSGSNTVFGWLSNLTASSTLAVWIVIGICSLRVRRAMAVQGIPQHELPYSAPLQ PYLAHVVLWFSCFIMLTGGFYVFVDGQWDVSDFFSSYFTIPLCLVLYFGWKAFKKTSI VPLDKVPVAAFIAIAKANPEPPLRKRKGVVGWLGRFWWD PFICI_04448 MSAPAPEGSASPASQAGFMPLVTVVDFHHARGPEVEKWFGVPEG SDPAAEYDWTLLPFMALSDGAHASTEDFSYFTLLKPARGDNPATSLFGISCTRQMDAS QLLNRPADVTRSTVQKAVVVIADSPQYFGMLRERLSMVTSAWFAQREFTDCEILRRFQ ESLQEEKERGMLSEEEDRDQYLGLSLRELVREFRWQTLVLLKCCLLQPKMLFFGSRCE RLCMMQFSLISLIPGLLRNLQDCGGPELAEYEKRLSKPTSLRTSDRNSLLSYMGLPLQ IFGKGSLFGPYTPLQQLDILADFGTKSYIVGSTNSLLLQQKDRYSDILINLDDDTINI TSASLRTALALSASDRRWIDFITQEVNDTWDEKNPGRPNHMGYRGSEEFIRLQFEEYL LALISSVKYHSYLTTNAGKGNVALPHVEGDPSTDFGVEWVEYWTRTENYRIWDAHTDS LLFDIIEPKHPCAGGLTVDDVQRRIQQQVQDLHLDERFAAGKEVLGRNAAAARDKAST MFNKFYADMEAMREAQRKRAEEAKAASATTQKNGATTPNTDASKTQQTVNSVGAKAGA YIGSWASWAGEKRKQGWTSRSSSGTSNSGGGWGFGRKSKATDSESEKNGGSPLTSPRI STSGFFSSGEKRRSMYSQGSMEAIVDDDRPTSQPSFSESVLDAAESEGSSSPVSPRKP QQLPSSPISVASKDKDATEVTGINRVISAETTPAAAGNKIPEATATSADVKAVETKAI DTETAEPKAAGLEKKESTTA PFICI_04449 MTLLTELPPEILHNILRFVNPEDLAWISRICKTLCYSIKDNPTL FRDVYLAHLDEPRAGHDVDWERALKAAVRLQTVCRRTNIEEKRNELAFVYETINQLLQ HASSSGERASGTATHAHSRNATLLVKLFADDSNRSAFLQRSFLYERARGELPRDAPFA SPPRTEHQQSAKLHCLYGVPLLHFGRSQYETRSSVMGPFACSKVYDLRQYTERTHWGP FMDDGSNRTDWEKVEAIMLVLGSNLNRLGLNRFPICKNFWDVPFAGVWPGAYMPLPIL EREKGSNKEGRDQLDDPYGITGTWLRVVCFLDYTDFFDFNFTSDSDLPANVPRRAICY GEATRLILMKITVTKIEAPGPNDGQALPVVHFSGISRSLDDSWDENANSDLRGTVRLT PEGEVRWTTFSLFSGQERWRSESVQIGGVKSAKGVLGNWFDKDYDPRGPAGPTAFWKI SDKTTQGSEKELLTGDDEDVELPDGDYYPEDDNEGMEEDEDDDEDANEDEGLSSDDED MEIELVIGSAAELVEVLNRYTGGNSGDT PFICI_04450 MSSLVALRPGEIQATLPVARASPIVAKMQGSTPQLMNAEASVRD SLEGKESREKSDHEQDAEGDLELKNGGSSNEDESGPHRKRRRSRKGLDKKFECPTPNC GKSYSRAEHLYRHQLNHEPKHIYKCTHPGCTREFVRQDLRNRHMDRHTAKGSALSRKD SMTMSSIPSGSLSPETNRSGAGFPKPNAGPGASPYTPITATPPIYPHGNPPSGMDNYL PSDNSYGNGINQRHSHQSPSAHPRPALQTNVGPYGVLSPGSTQHGSYHNQQTNTPQST PTGPYVAQNNFPPFTLPPSDFSTASSSGAAGEASQSYTPATNSEYTDPSHAQASGEMM LLDQMASQTTIPMFGPDGGLNKSPYITIPEDFITYLFNTNNPDGSPSVGHVMAPGQYS HYGDFQSQYSVPYYANEGTPLGYFPASNQPQQVMSVTNLLDQNLPESLLSEEKSQEIF DFIKERFHEKEQAPVERQRDTMLDGDRSDEHHMLSRKMMQAYISSYWVHFSDQIPILH KPTFSPDKIPTLLLLAVMAIGASCLDRTHGNPVMRAGAQLSNFLAWHVRWEIFMDANS RPPAKLWIFQALLLLELYEKMYSTRELHERAHIHHATTITLMRRGRSLIGKSAIDSPP NPRDDKNGSRHSSTSGVANTPDEWWNHWITNESTRRVAYAAFLIDSIHATMFGHSTVM VAHEMRLPLPCDDQLWKAGSGAEVGRTEANLMSNGIKPISFLEGLKRTLNGQEVRTNS FGRQVLMAGLLSVSWHMNQRDLQVTSLGGGVTQALGGRDKWRSTLTKAFDMWKTDFDK SLQRSEQTADPYSYERRSEANVVFESRTVLHHLAHMAMHVDIVDCQIFARAKRLLGRA IGNQDLSSAQRRMKDNWAPTAKARDATFYALKFLASVLLADETNSDISNRYRAMGETY VARDDVLLNRPWVLYFAALVVWCYGFALEGSCPNSPSPSTMQESIGPMKDYLRRFGSI ETPDDLKLTRGVNHNSALLFFLKNSFETSRWELLHEGAILLNNCIQLNSGATIV PFICI_04451 MPFRVIIAGGSVAGLTLANVLEKFDIDYVILEAHAVIAPQLGAS IGLLPSGLQILDQLGCYESIRRHVGADCYYQTTVRRFDGQFRSKKKDITFPEELEQKT GYPQLFIDRQMLLETLFENLKFKERVLTSKRVTHIDSVEGGVCVCTEDGSEYTGDIVI GADGIHSAVRREMWRNAREAELEASHIDEGSCIQADSKCLFGISQRPAALGSTPRQIN AYFDDWNYMMFSVPGNRLYWFLFTGMEKACGGQIPRFTKEDEADLVKDRLGDLVTESV TFGDVYKHRQISTLVAVEEHVFARWHFRRMLTIGDAAHKLHPITAQGGNHVMEAAAAL VNALRRKLSRASAKDTASLSDNDVAEAFAELQASRYDRALAAVLQGQRSNALVAKNTF LARMFIHYVFPWVGERLIMHLVVQSAKTGARIEDIAPPKYALASGETRSSRSGRLLWS AGAIGVGLLATVLYLYC PFICI_04452 MDNGQATARASEVGSDNCNPTGTGQVPDPRSCDACRTRKVRCNR EVPCSHCLRLKIECTRIDTKIKEKRTRILLTPQYERKIDQIDSKLNGVVELLKGFDLA RRSSSASPQTSDGASIHSMSSAPRSHAADLEPSNAMVVEGDSSMAAHSLFATQFLQKI VGRDCAEMRESLDSLSHVVTGLRQQTVASEMSYPHARPIQRPNPPPCKMPPFEKAAPL IQMAKAQRLIGHGWIYEFLPFQRFSDMCMNVYFCEEYSEADFINVNAGLFSLFSDFVF HGPAEKKEEYLGYARECRDNLETALSNLPLHLPATSNTIIALVFGAFYAIDIAKPSLA WSLSSKSSELCQTLGYHRIESMVNDKKDDIDYKKFLFWSTYFVDKSLSLRLGRASTIP DWDITLPRPSNSELKNDPLSAYFVLWIETARCQGNLYEMLYSPNSIVQPHNVRQYRVD ALVSALHELDRATLETNMKWFDLAKQASGETLLVFFKLSDEILRLSLLTMVYRAAPRS LDAPTTFSPSCIEAARATLDKHLSCMSLLQGNENYFPIYVHWTLLFAPFIPFIVIFCQ VIETRDQLDLERLHTFIESIKSDHTVSESATKINRLFQALYVIAHRYVDFRPADPKAH QTDDAMMETYLASMGFSTTAPQHDARHQRATEAFEETGNIAGHGSTGGGDMFANNAGG GQRTTNPIMWLGNGAQLEDWFYHNQAMMDILESSNEPGSIDS PFICI_04453 MPPAKSRANQDDSKSDAPSTKEKNGTGSKDNHTNGGTKLRRVAS SAGSNLKEVATVNGHSAVATTPAAAQPAPPPPPGLDWSSFDRELLHDYRREHHLDTPT AFALPYHQIVLSRPGSIGLYSPSMARKRQYKRQSRNELTQVVRKHFNGLGIQENEVVV NFLHKVKNPGVTRPRRDKNLPHASPMP PFICI_04454 MNSTIVTKAALPSRWLSPAQIYRRQKLRESLRKRAPTRTLVYAA RRPSECTAKPSCAPQVQRRSFRATPNTFAAVRDPYKTLGVAKGATPAEIKKAYYGLAK KYHPDTNKDAGAKDKFGEIQSAYEILSDPKKKEQFDQFGAAGFDSNGEPQAGGFGGGG GFGAGGPFSGFSGGFGGQGGFGANINLDDLFSHFTGGANPFGGGGGGRRGRSPFQQEI LVGENIEVQTSISFMEAAKGTQKTITIHPTVPCGTCSGSGLKTGTKRTECKSCGGTGT EMHFLTGGFQMASTCSSCKGSGQTTPRGSECRTCSGNGVVRDRKTITVDIPGGIEDGM RLRVDGEGDSPALGDGAPPNARTARGDLYVLVRVAADPKFKRSGSDILYTASLPLTTA LLGGEVVIPTLDGEVAVKINTGTNTGDKITLGGMGMKKLNGRRGGSGDLKVEFRVAMP KYLSANQRTIVEMLADEMGDKTAKRIMNVKMPDSNPSPNPSKDDDPASHKNEGFLKSI WHNLTNHPAHQNQSEQKASGSNQKTDGAAEKKSDEESKKESGSGSG PFICI_04455 MTQTPMISVPLKATNEIDWIAPLKSYIRDTYGDDPERYAEECAT LNRLRQDMRGAGKDSTAGRDLLYRYYGQLELLDLRFPVDEQHIKISFTWFDAFTHKST AQYSLAFEKASVIFNISAVLSCHAANQTRSEESGLKAAYHSFQASAGMFTYINENFLH APSSDLSRETVKTLIAITLAQAQEVFLEKQVADQKKVGMLAKLSSQAAYLYSQAVEGT QENVNKAIFEKVWLLFVQIKYNLLSSLSQYYQAIADTDANSHGVAVARLKAAEGQAKE ANRVASSFPSTMPPSSNLSAETGALLADITKRNLTTIQEKLQELNKDNDYIYHQPVPA EASLAPVPKLPASKPIPVSELYAGQDIQRITGPDLFAKIVPLAVTESASLYDEEKAKL IRAESERVDLANSEMAASLDYLRLPGALQVLKGGFDQDILPDEDFRTWCDDVAGHENP ISIFDTLRIDKDSIINILDRSSKQLDMEESVCEKMRSKYESEWTQQPSSRLTTTLRGN IRNYREALDEASRSDGQLYAKLRQNENDFEDMRVAAQRGDADALFQRAVSQVRTKSSN ATSPAGGEASLLDDDFGDDSPSVMEQINRVEEILKKLNLIKRERNQVLKDLKDKVHND DISQILILNKKSIPNYEQQLFQSELEKFRPHQNRLLQANHKQASLMKELTATFNNLLQ DKRVRAEQSKYESIQRQRSTVVNRYKRAYQDFLDLEAGLQSAKRWYSEMKETVDSLEK NVDTFVNNRRSEGANLLNQIEQDKAANKSQQAEIEQQRLRGLMERMSMDPATSPPPKP GSTRPTPAPLNFSQAQSPYSQQSSTPRYPTTSFNGQYQTTSPPPSQSTYTTFSSPPPN PSFTSTPQPQVQAYGQQAAYNPSNWGRNPGPTSPPPNQTTFGMSARGPASPPPTQTSF GQSQPYNTYGNPQMHQQQHQQQQSQGQYAPIGFVPPPPPPGPPPLGPQQTVHYPGQQG QADYYGQQQNTAPRSAQQQQQSDPWAGLSQWK PFICI_04456 MRALFHLLTGRGSWLLRSSSSKGYYANSNTTRHTARRILQSSTL AALPAAMFTPAVTKPERLGPAPDDVDKKTHHIKKDSAGRPTKFGNPHESFQPPDPWTI FPSLFKTLIGRSPFPKGDSSVVEAVEPDLLPTRTTTTTAPLATEESETSAGTGAADTS PKLRATWLGHATYYVEFPSGLRVLFDPIFDEYCAPVSYSLFKRYTAPGCQIADLPFVD AVCISHSHYDHLSVDSVREIHERFPQAHFFVGLGLGDWFARTGLADHVTECDWWDDVE LTLNPTTVSGGGGGGGGKEDEKKDAAAVTKPITARISCLPSQHGSGRTAFDRDTTLWC AWAVSSGIPETPATQKSVLFLGDTGYRSVPRLPADVDDYAPPHDKLPTNPQFLQIGRL RGPFDLGLIPIGAYAPRAAFSGVHGNPFDAVEMFRDTQCRRAVGIHWGTFVLTLEELR EPPRLLREALRRRGIAEEGVFDVSRIGETREY PFICI_04457 MRSLLLSAALAPAAVLAALNATETSSELIIANSRLYASVNKSTG SVETLTLDGQNLLGTKSGSTGVGPYLDCYCIPSGFWTPGSASPIYELYNGTDSTGTQY GGIKMSDTYPGTGQVLETYWFLRDGETGLHMFERLAYYNETTPFLRNLQEFRTLFRPN SAIWTHLLTNEEQWAPLPSKEAIANEVVVQDATWYLGNTPNDAYVQQEADYFTKYTFS DTWRNNDVHGQFADGTQTSDNSTYGSWLVMNTKDTYFGGPLHSDLMVDGIVYNYIVSN HHGDQTHYYYFNHLPAGTTILESHADALQYANASWNAEFYDSIAQYVPNYVPSSERGS FKVEVSLPDNAANAIAVLAQNAIDFQDNVFDVDAYQYWAEIDAASGTATIPRVKAGTY RLTIYAEGVFGDFVQDDVVVTAGEEQSVSVAWTEDADGTEVFRIGTPDKSSGEYRHGY ARDATHPRHPEEYRIYWPVYDFVDEFPEGVTYKVGEGNVSTDLNYVHWSVFGGYGNSI RTEPYAGDGNVNNWTIAFDFDQSQYPDATEGTFTVLLAGAKTAAGNTDVYNASEPHAN LKYTVNVNGQDLEPWVIPYYQSSSCAVRSAVICYNVGHKFKFPSDLLVTGENTFILSL PYNATDYESAVLTTTVYVQYDALRLELS PFICI_04458 MLGGSLRRQLLCNAQTRIWRSFSSTSPARADFTHVVIGGGVVGL AVSQRLAAAHPASTTLLLERHGHVGTETSSRNSEVIHAGLYYGATSLKTRLCVRGKEQ LYAFCEQHAVPHRRTGKWIVAQTDDERDALERLHVFARDEIGVPTRWIDEEERRREDP EVTGRTGILESPTSGIVDSHSLMLALHGLFEEQGGVTALNSNVTAIEPLSGSGGKPGS GGWRITVRDSDTGEESSIETETLVNCAGLGAADVHNMIAPPEQHTRLYYAKGNYFSYA ASHPRVKRLVYPMTMPGAGGLGTHLTLDMAGRVRFGPDVEWVEDPTDLQVSAARLAPA VEAIHRYLPNVDVSALAADYAGIRPKLGKAGAVGQGKGFYDFHIKKEDGFEGWVNLLA IESPGLTSSLAIGDLVEQLMYGSTTPES PFICI_04459 MVLSSKDNCWPSENLILKSGRKHYRTNIAPWHWQLRSLISAEGN GTLYFPTGSENNHITRLATDTCESETIHVLGFPPRCLAAQSGWVCCGGEYGEFAVIKD ANQHGGDGNRTRTNVDDALSSNFQSQLRSLESPPGNDTSLSSSFSRDMFNLLEQRLNG PAKSWTSSSHKYGSERVNCITIWEPPGATSLFPARAGHYGTTVAVLSNNDKTVTMVGL QESEFLDEIKLPDCVNRSLISPDGTLLASICDDPFLYLHTRKATNGKIGDAYEWKSLP RVRLESPAKDARDDCRGSFAASFSPSGRYLAVGIQNGTIKVFDTAALQIADTDALVAS FTTSRHPEVHGAVRDMAFCPGPYDLLAWTEHRGRIGVADARTNFAQRQTIILDQKADF EHLSLTDRSTIDPRLLDQRNERSSRAGSTLHLARLLSQANSPQPPHASTNVDITSRVN RPFSPEETAILDAVSNERRRREARDTRDARDLIAEDLRASTSWRPHVWAERRETLARI LDRDRRESHRPAGVQTSSEQERERRAPTPRRRTSIMQAISQETDRMAGVAPPTLNRMQ SSGNNEQSNSPPDSPSPLVADSGVPQPFIPGRLTSGWADLEALYNITGNDETPGLESI RVPPSRTRRAIPVINDGWNDELTGFGTRRSYPNPRLSSRDHTQNPDDTAGLTWSEDGQ TLWVGAEDGVYEFKVNLLGRKVFPEIQLR PFICI_04460 MLAARFSRALPRATPSAARWAAPMRKPLGSSFARYESTEGKVQG AVIGIDLGTTNSAVAIMEGKIPKIIENSEGARTTPSVVAFAQDGERLVGVAAKRQAVV NPENTLFATKRLIGRKFTDAEVQRDIKEVPYKIVQHTNGDAWVEARGQKYSPSQVGGF VLNKMKETAEAYLSKPVKNAVVTVPAYFNDSQRQATKDAGQISGLNVLRVVNEPTAAA LAYGLEKEADRVVAVYDLGGGTFDISVLEIQNGVFEVKSTNGDTHLGGEDFDIRLVRH LVDTFKKDSGIDLSSDRMAIQRIREAAEKAKIELSSSLQTDISLPFITADASGPKHIN TKMTRAELERMMDPLITKTIEPVRKALKDAGLQAKDIQEVILVGGMTRMPKVSESVKS IFGRDPAKSVNPDEAVAIGAAIQGAVLSGEVKDLLLLDVTPLSLGIETLGGVFTRLIN RNTTIPTKKSQVFSTAADFQTAVEIKVYQGERELVKDNKLLGNFQLVGIPPAHRGVPQ VEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSESEIEQMVQDSEKYAEADKER KAAIEAANHADSALNDTEKALNEFADRLDKAEADAIREKITTLREFVSKSQAGEGTAT AAEIKEKTDDLRMASLKVFDKMHKAPEGGESSEAKPEDEKKP PFICI_04461 MRGHRSSSSTSRLIDGDTHSIPTTPACDGEVDLSASSSTPPTYA EKIDAEQGPRCSESPGKPLSTILLCWLAVIVLAGIFGGSLSCEQKLFDYGTYYGVLED MKTMPNANLINESELNMETLVAAERPVVRQYYFDISHALAAPDGFQKPMILVNGQSPG PLIEANIGDTIRVQVNNMMTNLSTTIHWHGINQYGSAWMDGVAGVSQCGIPPGENFTY EFVVKNQRGTFWWHAHLGVQYTDGVYGPIVIRDPDEKLPKTDGERIIFVGDLYHTYGS VLLASYLNSTSKWVPYESGVEPLPDNILLNGQHTCNCSVTSTTYPPDPAIPFLTNCTN GQLYRTSVQKDQRVRLRLINSSSFLSYWFSVDSHTLSIVELDGVEIEPISARGVYLNI GQRVSVILVADQRSGNYYMRATLPQTCFLPYAPYVSAALESTGYAARGILSYAGTDPD AEPIGIRGNVSNPYGVENNGVRGDVWEGCDDMPFDMPKPMRKMEAMELGERNYHYIEY AFRQAQDVNRIFINKTAYSPLEHNATIWKAVDQDFDVSKEGSYHSWDFGLNQQVLLIP EADKGAQIVINSKDVMEHPWHMHGHTFQIVGWGPGEYGARPDNGTRWNLENPMRRDTV TVPAQSHVVLRFAADNPGLWALHCHVAWHMEGGMLVSMAERPADLADMVRGMDPLVRE QSMAFCGWAGLSS PFICI_04462 MDITNFVVSARNQALLYGDYSTYHKQLAKKLLSCRKRLNISVKS RGKFNKKGDYSEVTSERIAEDHAYLHLILLTAERDWAHAMELKAVHSTDAKGIAGRTR IHIISRLNKAARIADRLVDLLSASSATGANNVDQLEAKAYAALLRGAENFERHNWEQC LKSYAVARIIYSALSSVKSPDTVKDLLSETIDPSIRYAAYQLKIPRTQAIPTIARKAF PSDSALTEQVNTLDSSLLKQSGADAQKDQAGASSAPQTIDWRSRKVKIEDAAIAVALA STEAATARLAERLASSDVVLPKEMAAAYDEVLIASQDAADVTKHAIDELKEEGVSQND PRTQSLQITRTAVNYQMISWRIGRNRVLSGDHDGALLDSAPNTTRKAKKETEKEASAK KPKHEAPGRQIARLKEKVVLYDATLQSLDSIKELPGVAADEDLQKQLDATYKYFHALK SLSIARSHSLAGNSVNALALIKFALNECELSAAVLADQMSTAEDGAPLNIEVRNSDVQ FLSNLLKGELQRSRALVEIDNIKAKSQSTGAKSNPPLVHRLFEYPAEGADLENLVSYP PKMEPIPVKPLFFDVAWNYIDYPGQAPAAAPKQEAQETEQATPKKKGWFGFGRG PFICI_04463 MLEKTAASLEPCGLQRVLPSARKSFRSRRQLHTAFWQHGAADVE ITSAWQVLMHGVFDPNLDAASSSTGTNVDPRHPALRASTFLLDFLYPTGSLAFLRRFS PVPSDRHDINRPVSTFARVSPRLYSSSASASPTEAAQTEAGNISKEHQENHVEELKRL LLLDDPEDADQLWHQYTSLEDAAKQQYLDQVLVFLSRTKRATDSWKISELFQQIEPSQ WDGSLFVAGLEAELILQNTEQAKVIFEKGLENSTMDQSSLVDAFDLMLAAALRSSSKD LVYSIWRIHDKITARLDLDAIPQDLHRVGSVSRLGDIAIELGAQLKKARSLSGVTSLK KLLVRCALEVCPDGQVLTLLRMTNDPDAYERFIRRSSNSPKTRKFLLTQVYKLYRDLP QSCPSQDALHVVFRAYQGMLQPQDKFPGMEMLWGDWFTFHQTPSKRAYQMNLGFYAST GDKKQVYKLWQDYIKTHGEIHVQKGGDTFAHLLQVHAVRGEPLEAQKIFDEISTRFRL EPNRHCWCILLNAYAKAGDYEGAIATFEKLHESLGGLDRVSVGTLMYMAAERGDLGFT IDIYRRARRENIPTNDTAILGSLVEAYCQNDLFREAEDLCARAAMKGLREPRLWNRIL NAFALRRNLVGINRLLNRMTDMDVPYNEYTYQELLTGLSLCRQPQHALHLLAVAVKDG AFEVNEGHFHTVMGAFIKTGEGDLAVRMHKMMQKTGFQESADSLVSLMTAFNQWSHLP HKRRAGHSQQTLLGAALRRFYKVYGIRHAEMSPSDPSRSPTQSYTPSSLLQQDVKAYH FSRIVYLCTQMRDFAKVEELVRLYRYVAYGDANSSEPLPIQMLNSIMWADVSEKRYEA LQRTWDTAFALAQKGGLSAEWVAGFTNSKKISTRFRYILNDGIKAMQTMYIEQGDGVS LQRLFAKVRSAGFEIDSKNWNLHIQGLIQCHLYKEAFDACEKWLMPNWTGWASARRGA PMKNLIPLDLRRKGSWPRYLRPVSHTLYYLAKGYSELDKMAPWSAETSQIMKRIRADC PRCYRAIISMRSNGSPLEQQILGDDTPLPADEDNQEYAMYPDEGAEYDEHSGPELDGV KGADEDDLSIPGAITSLDRSS PFICI_04464 MAPDLNSLPPSTHSRNMNSSGTNGDSPTPLGGGTDSPPSASRSQ SISLQAAATMNAGLQRRSSSSSVTRNQQSPAGRRRSTVLMNLQFNDPSLPAPGEMQEG PGGVSGSGVSTASPRPLSGSPLLAARDPHHNRAPSLGELHQELEAEQEAQVNRLLQMI RRQQLELQQLQSTQGQNQSAVAADDSTPASERSASGVPSGVATNQGTGTSSVSTPRSP ILPRGSFDIGRPDLRTSGTSSRRTSSTRLRSGSISGGDISDPSLLSGRDESAFYQAET QSLVRENQMLRHRIRELERQLNEAQTTSTITREPAQPSQLLQSQSVSEEAVGGATPTA PVTASAPKEE PFICI_04465 MEVDSFAERPLSPSIGMFESLKSRPSSSLRRARPPNIVICDENK TPLRPDPRAEKSAKRESKVGLRSIFGRTKSTKTRNGHVDDVDDSTAPNEAAKSGSTKA SFADRHWPYSLQASRSDLTLASMPSLFSSKSNGSSLGLHRRAHEGSSSSKLSSGASSP PADAWNPPPLFQVYPQAIMHDTLPACAASAEALAKLNASHNVLTKEEPIPEEGSEKKE EKPKKLHQRHAGARGALEWTTKTYALVTSGYLLQYAADGHHDRSPEKILRLSKDSAAF ASDLIPGKHWVLQVVACMDTSSDSRSFISKLALRGTERKFVSTMLMVFERAEDMDGWL AVLRKEIVELGGKKQLTETGEVKSVDVDAQLKTKNSQRTIVVRDPSRFSTMGSQDFSG LADDEVQEHDHGLPMIPTSDFNPDLSMDNGSFTESHCSSDGQRLESLRDSRESNTRFS YISSSQRTHGTTANSSPSCSPTRTSFSSKRGDSKSSQGGQTNQTEIRLRPNSQAISMR RQSVQAVMASFDAQPNYESRPGSSATTNDESNHTSARQSIPNFSKRLSTSSAGSQTTP ADTQVTPLSVLVPLVIEPEPTKSCRKPPPTFGASRPLSTVMDLPSPRSPLSPSFPPAN AMLDAPDSPAMFSGWAQREAVPQAQAKHLSPAESRLAAKRLSSSPVPSDARTAPRRFG SLTNLRAAAEDATESTNEHPRFILSSSVASRREQFELTTRNSKEAPRSSSALGAYGSD EVSSEKPLSKAPSYKRSTMMADNAFRQACPLRAKMEATPKTKASKPSSPRERPRSPKS RSPSHSPQHLDVDIQAKGLGVRRSMPQLCVGPPLLPPPNRALPPIPQKD PFICI_04466 MSNTARDWADDDDLDETTQAELPPPQTIQNKDGTKTTITYRYNE DGQKVKTTRRIRLVTHREVVNPRVAERKTWSKFGLSANDKQGPQSDTTSVGENIIFRL STNWKKDTKDEAKDAQANAMKDKLKDKTVKCRICNGEHFTARCPYKDTMAPVGAEGAA DPAAGMGDEPAPSSAGGLGAKKGSYVPPALRNGGGAAGAGGERMGGKFGERDDFATLR VTNVSEMAEEGELRDMFERFGRVTRVFLAKDRETGLAKGFAFISFVDREDAVKACNKM DGYGFKHLILRVEFAKKAQ PFICI_04467 MVKENTKGKKKGAAASPSSPDDEKPLPLKRPGTSGTENLLITNR RQASEQYLKAQRAEKAYRSKKHATRARESYNETKTHFREAFSHFGQGFKGIFSVIKAI PYLVGEKREQRRKAADSKKRQRDMERKKKLEEALARQSHEDDGEDQDEEK PFICI_04468 MSTEGPSAVDSGELRHRRAASTTDPGSASPHGETEPTVEHETEP KSRKTFGRTPDGTIFTVPTTHDMVSQLLDPRQPKNLSDVLVLAILAAHIALLYLLPAS LKRPVLAAIFLLWRTAYNLGIGLLLQGQSKENKLVNWAKTWKLFEHPSTGKNPRPWAY KLLKTELEAKIPEDYAFEKAPLEYNTWLVFRRLVDLILMCDFVSYCLFAIACGHRPEG ETALLAAGRWTLGVALVGFNLWVKLDAHRVVKDYAWYWGDFFYLIDQELTFDGVFEMA PHPMYSIGYAGYYGISMMAASYAVLLISVVAHAAQFAFLVLVENPHIEKTYNPPAPRK RADTVTSADLGESQGATASDAAPSTSSKGTPAQIHNLLGVGNFDLFRTSDYTALLLIG YMTVLTLVTPSTPFYQFLFVLHATLWRLWYMVGLGVILDQQSKNKAWTRHFLKFGEST GEAWRQWKAMYHISQIMGYASFIAACWKVYTIPDSWDYGFVLLKHVVGAALITLQIWS AVSTYESLGEFGWFCGDFFFDQKAKLTYTSIYRFLNNPERVFGTAGLWGAALITWSRS IFILALASHLLMLAFLQYVEKPHMQKIYGRTVRKEAGLVKFIKSTLPPPVKEWGTSVN KVVDDTSHFVEEFLETARPKLAAGVSTIVRDTTALFNNVPARLTLTKLSPDLAGFDPK DYSMSVVGEAASLSAITERATGKEGTNARFPSDLNTMILEYGAPIKVKWTAPANHHKK DWIGLYMVADNRSRENTEVPSLGRWVAVTPNQYDSTIADTRILSSDVPVSSNDESPEG ELVQGEVAFEGDKLWWTRGVFEFRYHHGGSHHVMSISQPFEIQIGRFDEEDVEVDSHG MYQKSVESSLLPLVQNCFDRNPDIAPSTPEEPFGNHVERDGKYARRVVYAIQQMFGIE FAPAVVPADGNVRNLAWRICNAKQVLAPYSMSHSKGTSTPAAEKGSF PFICI_04469 MAPSATVTVNEPQKDADGTKIGIAKGARPSPPTFDDPYKERQYL KERLALAFRIFAKYGFDDGVAGHITVRDPVEPTTFWVNPFGVAWPILRASDLIRVDSR GEVVDGGAVRLLNVAAYMIHHAVHEARPDIKAVAHSHSKFGRAFSTLGKNLDITTQDS CAFYNDIAHYDSFGGIVLGPEEGQNIAKALDQRKAAILANHGLLTCGTTIESCVRWFI SLESCCETQLLADAAAAGLGRETVKISDEEAEFTYKTVGREIGGWFAAKPAFDLMEHE SGVDYKF PFICI_04470 MGRKNFRGGRKGGGNSRGGPRGGFSGGDDRDRNPSWRSIPAADK KHEKLERYYNTVLPLEDDERAEFWDALKKELPNSFRFAGSKGHALAVKRLLEERYAPE IVKIERFDGEKVEAPQPVTWYPDGLAYSMNTPKHIIRKFPPFAAFQKFLVSETSVGNI SRQEVVSMIPPLLMDVKPGHTVLDLCAAPGSKSAQLVEMIHKGEEARIRKVLESFGET RAETVDDDDAADLAADPSDDGRATGLLIANDAEYKRCHMLVHQLKRLSSPNILVTNHD ATLFPSIKVHDPANPKQQYLKFDRILADVPCSGDGTMRKNMNLWKDWQPGNALGLHTT QVRILIRALQMLKVGGRVVYSTCSMNPVENEAVVLSAIERCGGPSKVDIIDCSDRLPG LKRKPGMFQWSIMDKTGRIWNNWEEVQQHMLTTGESVPPGRLTHSMFPRPDDKECSSL PLDRCMRVYAHQQDTGGFFITVLEKKAEVKVKPESGPKGPEVEASSNGTGKEAAEEVA AKLEVEEAKAAEGEPTDVIPANGVKRTREESESNAEQDAKKVKTDDEAVKTDEVMADV EPATEAESTPAVTTEATSAVATPSAETPKPQQPQKKLHRNADGTHEEPFKYLPVDHEV IAMIKDFYKLSERFPTDRYMVRNATGEPSKAIYYTTALLRDILVQNEGRGIKFVHGGV KMFMKQDSPSAEVCRWRIQSEGMPIIQGYVGPERVIRLTSKDTFHKLLKEMFPRFSDD EWTKLGEIGEAARDIAMGCCVLRVEPDGTDPDFSERMALPLWKSFLSLNLMLPKEDRA AMLLRIFNDTSPVVNMSLKESAKKDADTGAVEVKEEAQAEAVAAETAEESPAVLPAAA EEETKMEEAPAVEADTKAE PFICI_04471 MPPRCTSGSGLFLPFLYPSLFRQSTTTRLSRLGYTTIHSHSPTS PSPSDADDLSEATSPSGSSADLPHSRLNPAPDDYALSSFADKAKLTIQAGGGGHGCIS FLREAFLDNGPANGGDGGHGGNVYIQAVHSETSLHKVVRRRIVRASRGKTGQGSSRAG QRGDDYVIQVPVGTVVREISRIDPVVEESIAWRAAREQERAFERKFREAQLAAEADGE DPELATAQLEPPDHPNLDKFMLYPGISTSERRSLELPRLPRRERLYHQPPGPIHLDLS TATPRPILLAAGGVGGLGNPHFVSKERPRPLFATKGERAVSMQIELELKLLADVGFVG LPNAGKSTLLRALTNSRARVGNWAFTTLQPNIGTVVLDSNKGRRQYDLPRPRAKGSHA VINDARYGNVVINQPGLIGPGPPPRTRFTVADIPGLIEGAHLDRGLGMEFLRHVERAG VLAFVVDLAKADAVTALKALWREVGLYARMREEERRDREAGDRIDWAGDAGVNQSPRN DSYFGGGGGGKQLMMADYPDLPEVDEGGLHIAAKPWFVIATKGDLPDTQENYQRLKQY LDAVTDGQEPHPSGVEGAWTVDCAAIPVSAINGHGVDRIVHWTLGLLDD PFICI_04472 MCRLQGFWLIVFYSSTWVRTHAQDYILEYFRLLTDRRKATHEQM ESHIQQRAWYHYNAPPDPRELAQNKAALKRGIDEDWQASVQRYPEVLEYFYSLVDLSL PADDDTAVKDPPLSALQGSRANRRSIGTLPAGGSVFGGDRPSPMMRGGTPPIMAARDG RTTAPPMASAFGVPPPIGRRMRGHPGTSGLESVDEDYRSVNFLLPLSPDTSDSDSDLN YADGYPDDLFNEGIIHQPWSYYGYY PFICI_04473 MAEVAATPAAPAAADKTRPAKPDEDAYKKQLAKAEKEHADKMAQ LKDIKAKLDLAQPSKNKDEQSPAQKRRAELIAEVNEIRNKQGAGKNARNSKLDQLKRL DEQLKSRIAEQKTARGKVNFKSVEDLDREIARLDQQVNTGMMKLVDEKKALTEISNLK KQRKNFAGFDNSQKSIDDLKAKIKETKDSMDDPEQKALSERYNSLQSELDKIKAEQDD VYKNLNSLRDQRTKLQAEQQESWGNIKKIKDEHFAAVRANKAFEAEARARARERREAE REKYEKEKKKERAQKLLQEASDPAYLEEIRRANSLLHFFDPSTFTAEKTPLLANKGLG AEAARKVDDSGFKGMKVVKKEDDDFIAPKSKGKKGKKSAPAEPKAGGFNCPPSVMEDC SAIGVSPPMKSEDVPAAIEEIKKKLAHWKEDQAAQTQRNVDKAKKEIERLEAEEEAEA KGVNGGDKKVEEATADLKDASLEEKKE PFICI_04474 MEDDNGQREAQDRLAARTWRAWRTVKQMVADRGYELAEHEVNIS FDDFKGQFTDSEGSVRRTNMSFHARPGEELIRRISDPSKIGTVYVEFLDESSFGTEQM RKFGKFCSENHHRVGIIVSHVAVSSAAKKEVAKWKEWTDIEWFLEDDLLINITHHDLV PRHIVLSREEKLALLKRYRLKETQLPRILMRDPVAKYYGMTRGQVVKIVRKSETAGRY ASYRLCV PFICI_04475 MLREIVYGLLGLLLLLYGADLALGLGDDAREPPRLRARIPLIGH LLGLIQHGVSYYSKTSVRAGGGGGGGQEMYTLGVLHYKLYVCNANRLMPYIQKSARTV SFKPFMQTAVRTHGGASDHAYEIFGDGAFLDDYSHGMKHSLAPGPHLDEQNLRMGNRV MVDIDALLSNTGKGRPGKQFFMLGWVRHAVVQASSCGVYGANRPFVRREVEDAYWKWQ SWLPAHMAQLDLFGTGYAARDVVADAYRQYLANMPNDAALVIQERVRVQREAGMSEED LAKMESGFPTAVFANTSPTLFWTIWELFSRGDVLAEVRREVEERAVTMTTAAEEQRVF ELDVGALKHRCPLLLSVYQETQRRRHVHANIRKVLADTVLDDKYLLKAGNYLQMPGAP IHDSEAIWGPTAGDFDPYRFVDHGGSSSGSHNKTGTTPAPGNSAFLAWGAPPHLCPAR QFASTEILIIVALLALRADLRPVDGGRGSWEYPELNRADMVTVLNPKEDVRMEVVARE EWSGHWLLKTSESTSRISLASG PFICI_04476 MSLYSPPPRLHTSQEDKPTLLVCWWITLFCTTIILLRIAGRFIR SETLFREDKTAAFAIIPLLARMACLHVIFNYGTNNAQLPDDLSDEDIRKKTIGSGLVL ATRILYAATLWILKSAILEFFKRLTSTSWKRSHDFTLLFIRCTLVLTFIGVIVSDLAE CRPFSHYYQVLPDPGGQCRQGYVQLLTMAACNVITDSMLVIFPVTIILRSHMTVKRKV QLTLLFSLGLGVVGTTVYRVPHIIWQDGSQQIRSLLASVELLFATAAANALVLGSFVR DRGVKKAKFRYGSITGESIEESISTSRRRPTIRHWGSDEDLIRSLGLRADRALRREYD RGWDTSPQYTPAPLARVPEGMRNWQFPKRRRSVAETSDEFLLATGDGLSRSTTETTPR KVSFFDVGGLLDEEQHGTQWRESFNSSIDPLSPVSPYSALSPHSMPTPSVPAASSGMR RGSQALLQDIGGLLTPTHSPPSRPSPEVSPRTTELQSISHLRRGPAFAQDYRNQPELK DPGGLLSR PFICI_04477 MDETELDRDIVIQRYSADLITDFDRSLEPFLRKPNGELRTRVRE RETSRLVSQVLEPFQELPQLLDPHLPKYIPLLAEAYLGQVANHRRTKSLSLRSQLLTP LSNAICRIIYSFCKIRGEKVIVRFLNVETKYLEPLLYAIEDSSRQSDAAKGNGETVGL WTWHERYVILLWLSQLFFAPFDLSTISSGDTDDADRPAVPGFSWPAHVPGITLRVIPL AIRYLASPGKERDGTKALLVRLAMRRDMQEIGILRSLVHWALFTLRSGEETAESPYYY IGSLSLLAGILRSSADTSIMDPYLDSVFYAVQAVSSGDDNVSKMINGSALARKMIIKV VKSVIGLVLRKPQQTMEDTEMVETAIGYLLESLADNDTPVRFAASKALSVITLKLESD MALQVVEAVLESLNRNVLWVKSTIPGSTGRTRDLTAVDPLEWHGLMLTLSHLLYRRSP PPEILPDIIHALVMGLSFEKRGTAGGSTGTNVRDAACFGIWALGRRYTTQELLKVPMR TFSLPEPKDQFQSVIQVLAIELVVAASLDPAGNIRRGSSAALQELIGRHPDTVEEGIS VVQAVDYHSVALRSRAIHDVALRATRLSTKYGHGIRQALLGWRGIGDADAAARRVAAT SFGSLTAETGQKASDPVVHFNDAAELVLDRISALQTRQVEERHGLLLSLAALYDTIPT LLPRDSWQDALRQVRTSKYLDALTATLQDYNTTTYRKPELVAEAVARLVVSSYPLLLA ALVSQESAQESASATDLDHNLVQTGPFITNEHHLRSGILAIKSKMEGEVQADSHQLKG VFSVLHDALLSWLDQSETEVVDAVAPASSMLLLFSKTEEQIQFLLKMVEVISDPKSSR KKHGYGYFFALAQAYHVAATLPDDKKTLLRIIDAFKSRWSSEREIESRVAMLQSLAGK DILRYETLGFVPMISEGLDDYTTNARGDIGSHVRLEALKATRTIWKSCTESVQGEISS EALSLFPKVLRLAAEKLDRVRIEAQATLGLLLATSHTDILRQVSFSSREYFIRLFSLC KPHQFKEPVANAWTLSESECISSLLAGLVTSADTGNEDLVIASRAAVAGISRISDDDL SRICMALFHNLKLYQGQDRVIVPTLELVAYLFQIGAAQGCHDLNMRQLCLLTQKAGYK TGNVRKLEACIKVYGGIASLSLSPASITTTTTVMTRTTGAETTDEHDTGDMTSELGLK RKEGIAESKRRLGALLVHPWPRVRNLVIDELWGLLSMSTPSSLSSSPVLPSSLLNLDG DGSGSSSNDLLSDATAAATTAQHVQAQLLQDKMLSVDWGKADKTTIKTLVGSLGLD PFICI_04478 MVSANYVGGLLARASWLSTLSADSDTARESYKQNTYAGIKTLQN WYDRDTGLWDSTGWWNSANCLTTLADLANLKNVDLSDAGINIADIIQNTYEQAQETQV TASKVIDEGGLPRSSYVRIPKLPKTVSKRGFDNFLNDYYDDEGWWALAMIASHDLGVQ GLGDQEYIQAALEIFEDMHKGNSSCGGIYWSKVGTYTNAIANELYLKVAASLANRMSN KQYYLDIAVTQWNWFKNIGLINSDNLINDGLDDSCHNNGQKTWSYNQGVVLGGLVELY KATSDATYLVEAQTIASAAINLLTVNSTLVEGCEPNCGSDGNQFKGVFMRNLMELQNV APKDTYKAFILHNADSIWNNDNNATQFGVAWAGPYTTADAGSQSSALDAMVAAIAVV PFICI_04479 MALPFPVPASLAPGFVLSRCTPADVPQMVTAYSAAFLQTNFTYW WPVDLEVMRRWTEARFRLRFRNPSDQHFKVADVATGQIVAWARWTVPVEMKGLAVGFR TFDDDDDNNFLDNNNGSVQGVTPEQQWMQNPPAGSDEELYHEFFAGIKAMSKKWESHT KLALQLLCTDPAYHRRGLAAALLDCVLEVADRQGITTYVEALENAVPVYQRYGFKTVD TLGYDLTRTGREETVSIEIMLREPRTLVQ PFICI_04480 MIPGHSSASSRLLILLAGLLLSLLVPSAHSAPTPGHHLGDDLGS VFSKGLNLTAIIDTSITSLASLIVALGSGQISQNGLWGLININNITSNVGSSQNVNGT SNFLSTIKGETLEKCAGCPSVAVIFARGTSEPGNMGFLAGPPFATALQHYFNGTRLAI QGLEYPASIAGFAAGGSSEGAEKMAAFVSATREACPDAKIVLAGYSQGAQVVRKACAS LGADVAGKLSSVVLFGDPGNGTAVPGVGADRVFTACHQGDRICARGSAVLPEHLTYSM DAPAAALFAMEKTGLGMGGGDALLEGMDDIPMLNQTQDGLGDMVLGDLGHAGGGDSVI PGLG PFICI_04481 MPFFQEDIVRRESAGDVAMSSPPTGIQQSSDQSLADHTLERRLS DESIRTELCDGPVYDETRPKTAEDGVHPACSDRAELIQRLKRGESPTWISRNAGRLSP FNSSRKLAGELLDSIWGQRPATPVRNMQSPQGTSSNSPGLLPPPNITPEKGDLDGEDE RRFREGMSIERPRSALHSGDFTQENTPTHRRTTTEGRRSDHDRTTDYQDHHDHSWMAT SPPRDFTPFRLERIQTNFARSEESQPGFSSLSSSLSSTNFFYQPPTSPLVQSESHEDL DLANPIDGIDINSGYAKSYRRHTLMSGLSGSHGVGSPSRMSIPSPSLRHEKTFPYQAH QPRRSLTSTPSFLNGTPPQTPGYLRSRRPSYSSDSSPMQHASMVGSYEESILRGRMST TPSKPLDFVAQIGVLGLGKCKSTLRCPAHVTLPFPAVFYSYASSAHGRSKMEDGPSPY VGQIDLENGLPNQEEDARSKRKVQSRAHERKAADEFQVRDEGVIGHAAGDARRSQKQK RRSGSPRAPPGGSYRIPEKGQIQIIIKNPNKTAVKLFLVPYDLAGMEPGTKTFIRQRS YSAGPIIDNLPELKDTSSATRPTLRYLVHLHICCPSRGRYYLYKSIRVVFANRVPDGK EKLQNEITLPEPRFTPYKAIRVMHPPISASSGPGASLAAEKAFRRRSSGFYMGVAGQT FDSTADYILPETPIPRQHFSYNSPASRNEPPVEAVPLRLPPKSSLHAVNKDRDNSRTS IWSASSSESGHPMDTDANHMPSFGASMSQLDRYDKLSKGDVGYGGNPFSTLINGRPGT AEGLLSQRLRTLGVEAPSFHPSNDLEQ PFICI_04482 MAPKRPLDASDARDSKKARKGGFRVGPDNLPDGPWRRKVTKIKK DLIQKAKLKKAYKKIKARELPQNKQSSSSAVGVENQGHNKKRDNEDSSAEEGGEEEEE EDEASGQPDSESEMEDADAHSDNEGKEEKVKQSRDTPKSATESQQHDKHLEGKKNKPE EKQDRPDHMHPSRRQSRNAPHRPGYYDKALAEASKKKAEQEAREAEFQRRREERERKN AERERFQRAMKKARTPGRDGQRKLGRESGLLLEKARRITQQR PFICI_04483 MDDYLESPMESEESFPCKGCGEILEEGKAFELAGNRWHLNCFRC NTCGTLLDSDANLLLLGDGSLICNNCTYSCSACNNKIEDLAILTGDQAFCAACFRCRN CKRKIENLRYARTSQGIFCMSCHESLMARRRKKSKAAAQAKSREKDGSPMIVDKSLPA LPPNAIPNGAFSNDRVDPDSDTPTELSPRPRPSYPHRDSSSRSSSRPARSPERVADHR EKDSGLSLPQATYRQNRNSSHYGGSDVASNATADDHGFFIPVALDPSPSTATPSRSIN ENMTSTASSRKEKDYFSIPKADPEPTRRREQSQSSTPHIAFQEKPRQTSSDYESPPPK DASRRLSKAPKTDKPAQPKTSSTTNGKTQANEDFKLQEAPKSKKVNARSASQSSGGLH DLTQLRQPTDKIGTPRSSQDSRLRDDDEVRSNESVSSPNPTRPIARKELPPSASRTTN GTTRPRKASEDLPPPIPPPRPQPEAKLSDSYMTPRAPPVPPSVSSGKENSGQQEITEP KTSPKLPRWSAGGDFSMEEDLARILGTDEGSSSILRRVSNAVRHGRTGSAEQNNNLPR IGHTRSVSESTRTTASPRWPKTPLAEDPSNLQTLDNSSSQSLAVHDDAAVLKRRLRNS EQRVAELERQFSSEKDLLRISERLEEKRKTVSVLDTQTEIMIRQLEVLAGYVEKAKDT QRPINPHDLEESAIKEFVQKIDKLKQSMTASLEQLHSERDALLEEKAQAIADRDRALL EFEQLSSKNAQLAEMNNDLTQQIQGRFKNHSDPRTPMNGLGIYHQHKGQSNNSVHLDS SSIQTGTTLIPDQEEPILEAGPTVVNIRKGKVQKFSWKKGSKAMAQNIAKGVNRTVGA LQQPERRDGQFAGENIGLPYNMTHTPVESAQAVPVNGQNRPNGNLSNGNSTNNLNNEK QGFFGFKKSTTMPPKTMGIQNNSSLDVAEAADVLFGSELSERADFEKRQIPCVVTRCI EEVELRGMDMEGIYRKTGGNSQVKLIQEGFDKTENFDISDPDLDITAVTSVLKQYFRK LPTPLLTYDVYDRILEINSITDTDEKCDHLRKTFNMLPQKHRDCLEFLMFHLVRVAQR EPENLMSPKNLAVVFAPTIMRDRTIEREMTDMHAKNLAVQFIIENSQTIFSED PFICI_04484 MPTSTQDHLASPSTPKPAAGCDWDGDKAEPELDDLAYQHAPREP IPTPQVPRTPSPEALPSPLVDQPAIPSVKGSPPQEPAIHEPAPVVDSRLEWHQKHHAE KEKAHDERHRARAQGDTARRELKKKEKSERKARERARRESRKRERQERKSRKSETKEP RKPSVGARLKEKVESELQVLADELTHGIRKILPSSDTPKLPKSQDQQHEGPMPLDPLA HQDDTFTRDKEIVQAAKNADDIAMSLGHDGASDRRPASRILESAPKHSNKKKPQNAAD GQDKSAQHDIHSSPVADKYECGHTKDVADGDSSTAHNKGEIIDVQHKSQPVAPGMLKR ANCLCGALPPTPSEYAADEPVAVLTPRIDTPGHEDPFPTDPWDKK PFICI_04485 MAAATQTHPDPPPGASPAFIPLEANPELMTSLLHRLGLSSALQV HDVYSISEPELLAFIPRPALALLLVFPVSAVYESQRMAEDAPLPAYAGKGPGEPVVWF RQTIRNACGLMGLLHAVSNGPAREYIESGSDLDKLIKEAVPLAPAERSKLLEQTSSLA SAHHEAASQGDTAAPDAQDDIDLHYVCFVKTGDGTLWELDGRRKGPLARGKLDENEDV LSEKALLWGPLKFLEREGGDMRFSCVALAGSLD PFICI_04486 MYGVGIRDFCLVGLLFSIIKYSDSRPNGSEFCPPRAPLAPYSGG RLLSAEWSREAARTGTRRKCIYMHEEYEIYREYDGSGLEISPDGPEIRRAIEDWDHEW YLESSWNERIPTSEQIPMADYTDASPETGANGYESPETPRVLDSDTDCHSYSINEVSE PSNHPDGPKHKYHILSKIGKRINKCLADEQGCGKRLRFGSWCTRQVFTFMTLMIPSKI ADVIGKRRGGPGAILWPLGVVWDDTTWFDLAAFYPFIAVFSHIGEEIANASWRWVCGC EPPLAMSPQFHMWNSIITVSLLAVVICAYFIRRRDKSTAGRQVKNVTFWGRRTYYLST RSNHPRS PFICI_04487 MSTQVVAPVAPPVTIRTRRRAREQQQETTPGMPPPPPAAAGGMF PLGYKDAVYQWWTSVTPTVAERNVIAHIPYLREAVAQSTSPLAEVPSADHPGSTETAA NIDPYGPRLWKSQMVKLSGKNRGLNEFSIERVGEPVDDTLVMLHGYGAGLGFYYKNFE SLTRVKGWKLYALDMLGMGNSMRPSFKIHAKDPAGKIAEAESWFVDALEEWRKVRKLE KFTLLGHSLGGYLAVSYALKYPGRLNKLILASPVGIPEDPYAVNADMPEPQESTFQDE FTQDQIETTRPHDGNSPSSKSKIGSDSVNAPKKRPYPSWLVWLWDANVSPFSIVRMAG PIGPRFVSGWTSRRFNHLPDEEAQTLHDYSYSLFRQRGSGEYVLPYLLAPGAFARNPI INRIQDVGRQVIQPATASTPETKETGYPIVFMYGENDWMDVAGGLAAEEKLKQVKAQI LRNATEEQKKRENGSAKVIIIPKAGHHLYLDNSEYFNEVMTKELEDTRRQTLQERTER S PFICI_04488 MDDFEELELLSLVSKVTSELQNHLGISEKVLAEFIIAQRLECNT IEEFKQRLAGMGGAKWPDSLFDSVDRLVRTMHPKFKSGSNAANGAYADESSHRSKEEK QGLFRGLAIPDKQVPSDYEDGDAIDDTLAQLEDLAPKPSKPRAEPSSRKRSWSPEDED SRHRRKERRRSRDRRDRDYDDDYEKPHRKHRSRRDEYERGRRDDDMFKRPPEPEVDDA PVLYKIYDGHVTGIKDFGAFVNLHGVRGKVDGMVHISNLVQGQRVNHPSDLLTRGQDV KVKVTKIEGGRIGLSMKDVDQESGHDLMPQARITSGANMESLGGGRNGFSEDNTAALS AYAAQPRRQKKRMTSPERWEIRQLIASGVAKASDYPDLEEDYNAALKGESQMELEEEV DIEVREDEPPFLVGQTKQSLELSPIRVVKAPDGSLNRAAMSGTNLAKERKELKREEQD AAAAEAEAESRSDLASQWNDPMANPESRQFANDLRNARMNASKPPAGQLEWQKTLRKD EPRGKRTNMSIKEQRESLPVFQFRNQLIKAVWENQILVVVGETGSGKTTQLTQYLAEA GFTKDGMIGCTQPRRVAAMSVAKRVSEEVGCELGEEVGYTVRFDDKTTPNTQIKYMTD GMLQREILLDPNLERYSVIMLDEAHERTIATDILFALLKKTCKHRPELKIIVTSATLD ADKFSSYFNECPIFTIPGRTFPVEILYSREPESDYLDAALVTVMQIHLTEPPGDILLF LTGKEEIDTSCEILYERMKALGPNVPNLNILPVYSALPNDQQSRIFEPAPPGERKVVI ATNIAETSITIDFIYYVVDPGFVKQNAYDPKLGMDALVVTPISQAQANQRAGRAGRTG PGKCFRLYTEAAYQSEMLPTTVPEIQRQNLSNTILMLKAMGINDLLNFDFMDPPPTNT MLTALEELYALSALDDEGLLTRLGRKMADFPMDPALSKVLIASVDLECSDEMLSIIAM LNVPTVFHRPKEKQQQADQKKSKFHDPSGDHLTLLNVYNAWKNSGYSNPWCFENFIQA RAMRHALDVRKQIVQIMGRHRHQISSCGRDTTKVRRALCAGFFRNSARKDPQEGYKTL IEGTPVYLHPSSALFGKQAEWVVYHTLVMTSKEYMTQTTTIEPKWLVEAAPTFFKVAP TDRLSKRKKAERIQPLYNKFAGEDDWRLSAQRKGGRGGGGGGTWG PFICI_04489 MCSSDIFLGLLAIIFPPLPVWIKCGICSADSIINILLCMLAYIP GLLHAWYIIAKVPDDYEYSNVPDAERGHQVFVIHTTDGRQQQQQPQAQQPQRQPKPQH QPGMNYGTARNQGNGSSSTAAQAGSSTQNEYEPAPPSYADAVKGDHKVQTHE PFICI_04490 MALRYTVEELIHLSQSPLCVKPNSLPPKEDWMGQLSETYRNNQG TARTGTDKTRNGEGALLDQTNRRPGVDRHSSRNTTNAEGIVLGPPRTTFSSSTATRGS KPFDNDKPFASFRRNGDGDNDRERVDRDRDGRGNFRRRGDDGEQDSDGWSTVKPRKSF GHEGAERFNGRMGGDRFGAERRPRDSQDETNDKPKRSNFGEFGREKDGDDERPRRNGL PRNRTDQATWGRGDIGDSDAPPSRERFDRAKSWRDRAAPPEDQPTDSKPRDRNFNRWD RDRDQRQERDPEWFDEGIEEKPQAHSIQDLQKFMESMKAQKKGDAVPEQGPAPSSINT SVREASFDIEATKVKSAPAVEMGPDQFFAKYAVTPSADIENPHEVPKESAPPKPKGGS RFGSFFASQQGRQTEPSTPAAAPQPAQEINPLLQFGGANVAANIAAAAPTGSPSGMED RAAFQNILLKLKKQHISNTPPSAGGFSAPPAEPERGPSSSVASPGPYPPFNLEHRDGP PSRGPVPPEMLASRPQPPSYPIPGPMGTEAQLLQNLIAQRQPVASPAGGRDQAQVRNS QTEFLMSLMQSGRHAPPEVQRPEPHIRMPQPSRPAQIPQTPDREPDFQRERSGSHQQG RPQGPPGFFDESQLRLDQDNRRQQQPTQILQRPISMGVDQMQPNWLQGANQPPPPGPG RHMIPPPGLAGNPRNAPPPGMFPPGFHHAPMVVAPPMGAFPPEAMRNMAPPPGLFGGP GGPGGPPPPGFLPPGMSGGFHGGPEALAFGFDGRGMPPPGAANFRRG PFICI_04491 MESWIREEPTYDGIASRLPSFFTDTPGQTPPPITSSYPHRDPRA TSISKRESFKTGIDPPRPAREGCEWVWFPGGYWAERERVDTPTPESSTKTGFRWRKRS TRNNSSGQTEKSGNGSIISPRAIPQASSPIDYINRSDLSLTRPPLLSPYLTEASHVFS LQQPSHKARNSYEESRINDNQWPSSRASTLARHTATNSPISATHESAILADSAESATA ASTASTSSAPNKLKKRPTFLPYISTKPMKSFMSTKSKSKEHKSKQPSPKEAEESTPAA SSALAQLETANQGPLSRVAALLHDKDDANSQQNGNMPRPRKLFGRAPWQRKLSRESND SVSSSIREMIRGHTPDPSPASDQTTTNSATSRGGNDEQFPGNEATRIKTPPLYHSKNG RPPRSFFMDISAPVTPHVLPKKPVLSVPGTRTQMNSPRSQSESRPRQREWWEVPNAVP RWEDVGPRDFEFDMPEHLPTSPMCPANKKHKSGGTGVCVYHGRRKISEPLSIGQNAVE IKDRTPRGSGGASQYQQILEVLMETTTR PFICI_04492 MLSLTRSARASSSLITRASRMHASFTTTARVLGLTPKREITVDS EYQSGLAIPASKPNESRPVTSDEGSPKRTRSPKAHRTYEIFRKLALTKVEQFFNKTGS WQEQRESYQSFGIASSLKFDRETRLFRSAVDKACERASTNGSISRAENPLFWRLRNAF VQGDIKGLTEEIKYAFFNFLMRSRFSHAITETHKKIADFRHPHEWFPATRALQRTIHM HVGPTNSGKTYNALKALENAKSGIYAGPLRLLAHEVFSRLNAKNKECALVTGEEMRIP ESDNYFRSCTVEMTPLNYQMDVAVIDEIQMIGDEDRGWAWTQAVLGVQAKEVHLCGEE RAVDLVQALCRLTGDKVVIHRYERLSPLKPMNRSIGSLKHLQKGDAVVSFSRVGIHGL KKTIEKATGRRCAIVYGGLPPETRAQQAALFNDPDNDYDFLAASDAIGMGLNLEIKRV VFEATHKRKRSGYQPLSVSDIKQIGGRAGRYRTAQQAVREGAETDNQDAQKRPPTPKK QIGLVTAIEDEDLETIQQAFSQDPDQLKTAGIQVPSAVLERFSTYFPPNTPFSYIILR LYDIARISPRFHMCNLNDLVEISDLIQPFPMSVFDRCIFLTAPVSMRDANGKQMVQAF ARCVSDMDGGHLLDIPEVELELLDMDVDSYPYGPANFLRKLEELHKAITLYLWLSYRY SGIFRSQHLAFHVKALVESKIDEQLNAITVSEESRKARVASTRREARQRQRKKQDILG EDNLQTPGEPSHDGPGDWNEAGHQEPLYQDAAEVQDLAGDNTEAHSKSV PFICI_04493 MASSSTPKTPLYYACIAHNTTILSECTTSASSQTSSLSSLVLPK ISHETSQKMTFTHGSYHIHYMSEAPSAHAGNPSAGGLTFLVIADSSLGRRISFGFLFE IRKRFLDEFADSDFANLPNYGAGSFNGELRKLMVDYGTTSGGQQDAISNVQREMDDVR GIMTKNIEGLLERGERIDLLVDKTDRLGGSAREFRVRSRGLKRQMWWKNVKLMAMLVF VVVLIILAIVIAVKNALG PFICI_04494 MTHPIRVPPPPVQAMKPTMPVLQGLQASAEAVAQQYDAATRHPV FFSDAIQRVPLLRGDNSAQASPVALGYGYPKMGPRL PFICI_04495 MPLPFLESVMAEGIPEWVPEARILITAAVVIGLLYAIKTYSGGY INMSDRDMHGRVIMITGGTSGIGAVTAHDLAARGAQIILLTRLPPGDAFLADYIGSMR KSTKNQMIYAEQVDLSSLHSIRQFATKWIDNAPPRRLDMIILCAATLTPPGGKRVETT EGIEETWMVNYLANFHLLGILSPAIRAQPFDRDVRIIIATCPAYISAPPLSTALDKKS WSPGKAYARSKLAMMVFGQAYQKHLDSYKRPDGLPMTSRVLFVDPGLARTPGMRRWIT RGSIWGLFLYVLGYFLPWLFLKSAEMGAQVLLHAALEPSLVRGPGGKLLKECIEVDFA RKDVKDEAMAEELWKSSDKLIEKTEKEQAALRALEKKAKEMKEEEDKKAEQVKDIESL VGTIKKGKEAENAKSRRNRKKAT PFICI_04496 MDPKHTDHLEEGDDEAEMPLHSKRAFGAGLHRRPIAFVPAKAGG LATTREPAAPARSIADFYLEMVLPKDGNSGSSTPDAAPVLVCEVCQLPMEEEDPTESQ PGKPSVRRHEASLAHQVCITHSHPPSALDRSRMGLSVLQSQGWDPDSRKGLGAVEQGI QYPIKAKEKRDNLGIGVKVPKNLETYKKEKPQKLDAGKVRKMAAEDKKRRDRLQRQFY GNGELEKYLGSG PFICI_04497 MGVSIIQEQHEIIIDTIKRITQGDWKVLVVDEESKKILDNVVKE DDILNQNIANIERIEDRRELNPTMDAIYFVSPLPYVVDCLVHDIEARRYKKSFLLWTA VLEPQLRRRIDGSPLAKQMIGGFETLSIDFFPRESHVVTFRDPWSFPVLYHPACNGLV AKHMRELAQKITGLCVALGEFPKVRYYRPRAPIHEASVLSSHLARFVSEELDEYMRWN QGFPPPSARPQGILLVTDRSMDLMAPLVHEFTYQAMAHDLLPIKDNGKTTFHMTVNED SPEAEEKDMELSDKDKVWVDNRHRHMKDTIDKLMGDFQKFLDQNPHFTNANSDTTSLN AIKDMLAGLPQFQEMKETYSLHLTMAQECMNIFQHHKLPDIASSEQTMATGLDEDFRK PKNVLESVVQLLDDDAITQADRLRLVIMYVLYRGGVIVEDIQRLLAHASLPSQDGEII QNLEILGGRTTRGLKEPKHDTTPVFPIDPKLMVPNEEYALSRFQPALKPLLEQLCRGA LDQTQFPYVKPPLDPNEDAIIGQGSLRAAKPSWAGTGRRVPENRQRIIIFMAGGATFS ESRVCYEVGNALNRDIFLATSHMLTPQLFVRQVGDLGVDKRRLDLPMDRPPKRAPAHV YERPAPPMAAAPPPPSMVPGGLPQQRRPVGGGGLPSGPRVGGSPAPQPPTQAMGQMNL GGGAASRPPPAAANGGRASPAPSSPGNVGAEKEKKKRNFLGLKKKSHG PFICI_04498 MAMVVDSHEHETRNTVVPAAPYAARPPSPPSILIPAPNVKASQA IVCVPRFSNVDPLTLSAPDLEIITQSKPQKAYDSVGDWTWEDRRKAHEILDFLWLGPS TVARDQGFLKENGFSMILAARDARLAEARLLGVDRAIKELELSAATIDVQDLQDLIHR LDDAVALINKHMLEFYHNQILLQKDSPNGQDKTVIDKSKFRRGKVLVFCETGNDRSAI LVVAYLMAVYGMDMIQACQFVNYRRFCVSLDEPSKQMLQTYEGLLVARKTVNKHTLQN SHNISLGTSRITKRGIMDTMDDDDDDDIMQEAADFELDRDRYTDRRPFVPFVDQN PFICI_04499 MAETVDSIVKGAPAPNMENSTPSLGPSPVIVAGGKPGDPLNHTP SSPSMIYLNMLILEASLRAQYLSLRTRRRKHTFFLSLLTVWTCGFGYALFFAPREDGV GVGGSVYWVVDMAEKVCFMAGIVTALLVWGTGIWERGIRWPRRWLGISNRGLRGFNCK LVVIKRGWWVEMVSTVGFFFTYGAFSGTSGHYRFVEPGILREVDKELNLHHQGHPQLP FVSSDEERGGHEEDLAPGGDYVKLLLLPKPFSPNFRENWELYRSEYWERENERRALLQ KKLKQRDRELAKQQSSWFWWLPGRRRVEEKPHHSQQVPEKALHHRSSSILKEHKRARS GSNARRSSISAGSSRSPTPPVEVEEAGVVARRGSNASTSSAKRGKKNLSASSRPKRPG VESRSVTPDFSSPLAQESTLSPSSAETGSGRSLRPKPSRSNVSR PFICI_04500 MRSFSLITGLSALISFFVTTLALGSVDETCQKGLYVSLSPLSND VWAQRFCSSVYERTSTAIVTTIVTETTVSDAVATTTSTTLTTTTASTTTTSTITTTTT SVSTSTTVTTTTSTSVSTETSTASVTVTAAALKKRYVGCQETKATSRRRPTAPVFHGK PFHQPEFKAKPNPEYSDGSGDSPSDYQGPAEAMQDTPTRPFHDTSPDYPWHGSPHGED SPSSDIAYGHSTPTEYTPTIPTQDGYTSDYLASLYHGLLSLPPASASQYCSCYQSTET FTTSTTTITADTTVITSGTVTKVVTRTISTTSTFVSTTTTTSTVPSITEITSTDTAST TTTTTTTSTSTITFTPVPVATSCVDLAPSYTAANGEAFNIQCSALFFGGSNQIVTVPT ENFRACLELCSNTANCVGIDYDRFNVLCVLLSSVGAGNNDPNFDRAAVV PFICI_04501 MATNHESTQPVCQNCQTSTTPLWRRDEMGSVLCNACGLFLKLHG RPRPISLKTDVIKSRNRVKTVRPDMQMKKKSLPATAVDPNGLNMHAHDAATAAALAAV RRTSQHKLNGHPDDSPVSRTGTPGMYDPNLAMYTNGVDGHQYQSPGLPQYSMPTGGSP GHDASAANGDAHPDVTETREQLIATNSSLKTRVSELEVINELYRGRLTQLETDSQNAD NVRHQAEEALKAEAHERQLREDLQRRQDETQRQLDDSHRRENIFKRRLDEMEQELKDA QDKIKHLEEVAAAAAVAAAAANADADAERPAKKPRLEEEPKKEETESAPTPQSTS PFICI_04502 MPSIYNKDKPWDTEDIDKWKVDPFKPEDNVGGHFVEESSFATLF PKYREVYLREAWPLVTKSLEKHGIACTLDLVEGSMTVKTTRKTFDPAAILNARDLIRL LARSVPAPQAVRILEDGVACDIIKIRSLVRNKEKFVKRRQRILGPGGTTLKALELLTS TYILVHGNTVSVMGPYKGLKEVRRVVEDCMDNVHPIYKVKELMVKQELMKDPTLANES WDRFLPNYKARNLSKRHVPHNVTDKAKKVYTPFPPAQEKSKVDLQIEAGEYHVGKEAK KRIAQEERMEKQKIKKEEKKREREKDFVAPEEGTDRKKKKRKTKTEDED PFICI_04503 MPKATTPKASQGRRHNPLADDLLATGILKNKAPKRQGKGGDEDA EAFIDAKASKQILKLGRELADEHDAAPPRPPTESNAFGFESRFEEDEEVGPFDNDDEA WGDDDEIVEEVEIEPEDLETYNKFLGADEDPLSAHEFFGGQAQETFAAGGSRNLADII LSKIAAHEAGQGSGQGAGPVDDDDDYELPPKVVEVYTKIGMIMSRYKSGKLPKPLKIL PTIPHWEDILMLTKPEDWTANAVYEATRIFVSGKPIVAQRFVEMVLLDKVREDVYENK KLNVHLFAALKKSLYKPAAFFKGFLFPLVSSGTCTLLEARIVSAVLVRVHIPVLHSAA AIKGLCDIAAEEASAGTEGGGATNIFIKALLEKRYALPFQVIDGLVFHFLRMRSMDPA AMQKGDAMDVGEERAATQAKLPVIYHQCLLMFAERYRNDITEDQREALLDLLLTHGHH KIGPEIRRELLAGRGRGVPLEQAGPALDNDDTMMAMD PFICI_04504 MPTELEELVGFIANPNPQIRLLATENLVPYSTSQPSIFKVDNSQ PVKNLKLLVKDHPKIAEHVITILINLSADREVLESLATDDQFLTTILGFITNPKEPNA NLLSMLLANLAKFDGFKSVLQKKLPAPTELGSNDLVLNQLLDLFVKGAEGTYNKEADF DHLSYLFADLTKHAEIRHHFLQKQEYDGVIPLSKLKVFTEHKSDVRRKGVASTIKNVA FEVSAHPSFMDEDELNLLPYIILPIIGNEEYDLDESMAMLPDLQLLPPDKARDSDPNI IQTHVETLMLLSSTREGRDRLREVKVYPIIRETHSRVSDDDVKDACERLVNVLMRDEE GEESGPRIEEIAQENVAIPIEDDDDDDEIVEV PFICI_04505 MSSQPGAAPAAVSKTSGGNAAYHNFHNDFIHVQDPNERRRLALA EIDKAPFGWYHVRAVIVAGIGFFTDSYDIFAVSLLTTMLGIVYYPSAGKLPTSSDSAI KLSTSAGTVIGQLLFGWLADRLGRKKMYGIELIIIIFATFGQALASDSPSMNIVGLII FWRVLMGVGIGGDYPLSSIITSEFATTKWRGAMMAAVFAMQGIGQLVCALVMLFITLG FKEALLQSTSTTTCDAWCAVAVDKMWRTLIGFGAVPACIALYYRLTIPETPRYTFDVG RDVEKASDDVKAYMAGKHEGHPDEVARIQAREAAQEQMQIPKASWGDFCRHYAIPKNG LLLLGTAGSWFMLDVAYYGLSLNNATILGVIGYSTKGVTSTYQYLYNTAVGNLIIVLA GAVPGYWVSVATIDTLGRKTIQLMGFIILTILFCVMGFAYNYISVHSQNGLLAIYVLA QFFFNFGPNTTTFVVPGEVFPTRYRSTSHGISAASGKIGSIIGQGAIATLRTRGAPAG SSDAPWMDHVLEIYALFMLLGVFTTFFIPETKRKTLEELCGEDQPAPASAHSEGTGSG VLETKPEGVAH PFICI_04506 MPSGHAKASVNGTIVADASAYEVVEGNVYFPPSAIKSEFFTKTS HTTHCPWKGDASYYTLKVGDKELENAAWYYPDTKEKANHIKDYVAFYKTKVDITSEE PFICI_04507 MTLSGVEVSKHDNKESCWVIIHGKAYDVTEFLPEHPGGMKIILK YAGKDATEEFDPIHPPDTLDKYLDKSKHLGVVDMSTVAKVEKEADPAEEERQQRIKDK PLLDQCYNLLDFESVAKQTMKKGAWGYYSSAADDEITLRENHEAFHRIWFRPKVLVDV KTVDFSTTMLGTKVDMPFYVTATALGKLGHPEGEVVLTKAAKKHNVVQMIPTLASCSF DEIMDAAEGDQVQWLQLYVNADRKITQRIVEHAEKRGCKGLFITVDAPQLGRREKDMR SKFEDQGTNVQSGQATDNSQGAARAISSFIDPSLSWKDIAWFKSITKMPIILKGVQRV EDVLKAVEVGAQGVVLSNHGGRQLDFARSGVEVLAETMPVLRELGLENNIEVYIDGGV RRATDIIKALCLGAKGVGIGRPFLYAMSAYGLEGVDRAMQLLKDEMEMNMRLIGCTSI DQLNPSLIDVRNLGSHVTPVPRDNLGHTVYDALATPAFKLPKAKL PFICI_04508 MAAARPMIMPPNKEDIVATWAYLQEGIQKIMVNLQDGMDLATYM GIYTAVHNFCTSQKAVTVNTPGSGNQAHRGAHLLGEDLYKKLIEYLNNHLATLYENSK AHTDEALLAFYIKEWDRYTTAAKYIHHLFRYLNRHWVKREMDEGKKDTYDVYTLHLVQ WRTQLFQRVSSKVMDAVLKFVAKQRNGETIEHGQIKSVVDSFVSLGLDEHDATKSTLD VYRFHFEKPFLDATKEFYEAESKQFIAENSVVEFMKKAETRLNEEEERVRMYLHNDIA IPLRKTCNNALIADHQSILREEFQVLLDNDREEDMARMFNLLNRIPEGLTPLRQKFEA HVRNAGLAAVAKVASDAEKLEPKVYVDALLTVHTQYQGLVKRAFNDEPEFTRSLDNAC REFVNRNDICKAGSNKSPELLAKYTDVLLKKSATGVEETELDTTLTQIMTVFKYIEDK DVFQKFYSRMLARRLVHSNSSSDDAETSMISKLKEACGFEYTNKLQRMFQDMQISKDL NNGYKEHAKSVTIASKPLDSTYSILGTSFWPLTPPNTQFNPPAEIQADLDRFGQFYKH KHDGRKLTWLWQLCKGEVRTGYCKSSKTPYTFQVSVYQMAILLMFNEKDEHTYEDIAS MTALSSETLDGAIGILCKAKVLNMKPEGSKPGPGHTFHLNYDFKSKKIRVNLNVGTKT EQKQEEVETNKTIEEDRKLVLQSAIVRIMKARKNMKHSQLVSETISQIKSRFMPKVSD IKKCIEILLDKEYLERLDGDELGYLA PFICI_04509 MENKTSRKHGTSTDISEYISYYTAHSRENVAPATQHVENIKPSL QNADARYGGNHHVSEQQEIESPSSGPKPSSSFKKSYNLPLPNTSLVQFDTTPFSSPHN KNINVYSPSPSSIYPSEGIRSEDCLSSPELASVNRRGKDFILSDLAQYCYNSSEGRDR TSELRSTSLTDHDGPHVRNVNTNLQEELLAADDRWCVRDVNTSGQDADGSSEGSTTDI STGTTVRSGGELMLPKQPACEEWDCVTANRVVLHCNFVDTNRANSASGIVCNSDGVAA GEAKSGHNKFHLRKWMKRTWGRTRGRLLQKESHRKVDKS PFICI_04510 MVSQQFNVSGMSCDGTWSIPEPASLDTARNCTLYSNFVVSVLRN AYKSTNDTTYDMQLCQASPQDAYAFVVGSLANGSALPSMCQFAVSYFADQGPFCMGSN NAGSSLEYQKKLLYDPLETCRSDLKASMGLEINLDIAGIGLMALARRSSKACNGWPTR IAGSVRNTVSALFSGSLVLGYSVVIASLATYLRTPPDDQLIEAWVRGADVNMYENPSI FLASGVSFFSVLCLHSIISNPRRKNLRHVLVVLLYLLYTGLACWTGLTTSGPTESNMS TAVFDEPQSATWMRFIGDNYAKIIMVLLLGGPGLMALVALVVARLRSSKRTQWWCLPR HYKQHLWIITGLVSYTMMFVCIALLAYLRNQATDDIKGETQSEWKFGQIIPLFTWVPV IIEWCYMFVFGIETGLEGNMPKEYDARYNGKAEGTDAGTQKYQYATAVSHFKDAIYRV DGVTTDP PFICI_04511 MSSTTPKLATELTSGETLGLAALSIACVSILANTFRGDGEALIA SLALSGLAFAASYAMIRWLGPTFIRAGLRGRDMSKVNNKQELPECMGAICAVVYLIII MIFIPFPFYKDIVVATSGGGNRDVVMNVEYVQQGRLLHKFPHSKLASFLSAIISLQSI SILGIGDDLFDIRWRHKFFIPAFASIPLLVIYFVDFGVTSIVLPTFLQPYAGRELLDL GFLYYGYMAAFCIFSPNSINILAGINGIEVLQSIVIALLLVFNDVLYLITPYPHPATD SHLFSLYFLLPFLGVSFALLWHNKYPARVFVGDTYCYFAGMVFVVVSILGHFSKTLLL LMIPQVFNFCYSVPQLFGLIPCPRHRLPHFNARTGLLEPSVTRWEADFQKQPHPLVAT VIRLLGKLRLLRVVEDKETGKILETTNFTILNLWLVWRGPLREDRLAWEITAMQVAVG FFGLFVRHRLALLVFREDNLGMGLGV PFICI_04512 MTEKLQSLSTQIVPPSQTTVLSPKAALDLSQHVPSKVETVEEEP YTIKCICNFADDDGSTIYCEPCDTWQHIECYYPTTSQAALQADFAHLCVDCKPRPLDR QGADERQRLRRVNIHSTEDPSERKIKRPPAKSHKKKSKPDLQINGHNGPEPNTKQSTE PHGHKKSKTSHRPSQSISSTTKRSPSYGQKNGNTHAHPPSPATTPPDIPADSEIHHYS DSFLALYNDDRDIQLVQTNSFASLEISNVMSLWTRDHEKLRRDCGCEYKDVFQPLPSD IDVIKRTPVVSHKKQMSTPDTVLHWQYLTTPSSIEKDTPLVELNGQLGYQKDYCSDEG NQWDLLSSPLPFVFFHPELPLYIDTRREGSLARYVRRSCRPNATLETYLSSGSEYHFW LVSDRRIAPNEQITIAWDFRLERGERGRRTLQLLGLGDENLAEQPEYDPNSVDDYQGI HVWLFQILSEHGGCACDLGSDCAFVRFYKKYINRSYSKSGVKKKSRKPKTHAISPTTT TSRAASEGHGDDGAENDSGSSRSKPPSRDMTPARQGSFDTLGILTEPTDRDKRKVAMV EDSFRRMEQQQPPRKKKRTSDGPNPTTKPKRNSTSNASNQMGGTTERRYVDAGTNRSM SGSPQSANLLKQSTPRQGSVSIPSRHSSEGPRPAYRDAAVQTDPVVGEWFSQVQQTPK TKRRVVSLSKRLLDNRHRIKVDCEERRRLSTASPTSVASALVKMDIDSPTDRSSRLLS PVATKEQPQVDQGGDAMMTDATLVSPTDTRAFSPIETLASRQVKPNSPELRVQLPPVP GFNGALAIAASPTTTPMSAAGSLASPFGPGPAAVNGLSSNPSPVKKKLSLSDYKSRMN KGMAGKPASSTSLKTPTAIIEEAKSPVSVDVHMSDLPVAEKTTEL PFICI_04513 MNKFVPLLQKKGATGFEQQANVPGSDLARDPAALKAAETETTGA SAYIPQPVFVALVKIRAPQAIDDETLLGVGRSLAQLKKLGLTSIVVVDCDLPEEDEVE RRRKTNLQAGRLATAIDRYDELGARMIQSPITIGDSAGKDTSPFTSDDLFVGNSKDLM DSLQSETIPIIPSIGFTEDLCSFRSVDPNDAIIALARQLSGLQFLGQPAEDAQITRLL KLAEVYRVIIIDPVGGIPALNQATGRYMFLNLEQEYEDVTRNLVVSSLEPTAGPREDN YYHLKNAELARKTLSLLPPTASAIITTPQEAANNRSTDKDDLEWAMVGTRRKHNPLIH NLLTDRPMQSSSLPSNRIRQVTSSAGVPERIGSLTTLAKRGMPLTIFPDPRVASWRPP QPGVGGLKLTDPNIDLGRLVYLINDSFGRELDVEHYLRRVENNLAGIVIAGEYEGGAI LTWESPCGPDGVVNRDPSRMVPYLDKFAVLRKSQGAGGVADIVFNAMVRNCFPEGVCW RSRKDNPVNKWYFERSRGTMKIPDMNWTMFWTTPELSTDSQKFKDYESVCRGIEPSWA DNKQILD PFICI_04514 MSVVGVDFGTLNTVIAVARNRGVDVIANEVSNRATPSLVGFSSK NRYLGEPAKTQEISNLKNTVSSLKRLAGRSFKDPDVQIEQQYVSATLVDVNGQVGAEV SYLGEKRRFTATELCAMYLSKIKQTASTELRLPVNDLVLSVPAWFTDIQRRALIDAAE IAGLRPLRLINDTTAAALGYGITKLDLPGPEEKPRRVCFVDIGHSNYTASIVEFKKGE LVVKSTAYDRHFGGREFNLALVNHFSKEFKAKYKIDIATNPKAMVRVDAAVEKLKKIL SANQQAPLNIESLMNDVDVTGMITRQEFEALIEPLLSRATVPLEQALAEAKLTKEDVD YIELVGGCTRVPSLKERIQAFFGKPLSFTLNQDEAIARGCAFSCAILSPVFKVRDFAV QDICTYPIEFSWEKDADIPDEDTSLTVFNKGNVLPSTKILTFYRKQAFDLEARYAKPE DLPGKMSPFIGRFSVKGVKADPKTEFMICKLKARVNIHGILNVESGYYVEDQEVEEEV KEEGDGDAMETDDKKDDKPKTRKVKKQVRKGDLPIVAGTTSLDEAAKNAAAEKEANMV MEDKLVADTEEKKNELEAYIYDMRNKLDDQFAELASEDEKDKLRSKLTETEDWLYEDG EDATKAVYIAKMDEIKAMAGPISQRHFDKIESERAALQAKLDAEGAAKRAEAEAKKAE ESEASKDEPMADADAPQPETEEK PFICI_04515 MNEINRISGAAWSRYLQWAEDYFLFPTSSKKPLASVVAFRFAGL NKTLVVRNKSNAVYTGASIRALLIRITDSGELNQAENFLTELDFGTCVLELFDGDDIS ACFHALSPVCYFFHLSSLCPLSKLTQTDRVLGSSWPEWKVEAKEIGCSSKWYSEVLGW SDGQIKEEFGLGSRSGPSINVATPV PFICI_04516 MLRTTIRTTPNRVSPTAAALQARWSSSNSASPITAQQDGEPPKH DKSPMKDAPAESQKSCKSKTMAELDEEMRLKMSGLSGDGGEAGIEYEDGKPVAMKRSV KNNMFRYI PFICI_04517 MPLPLDDVPPVTDESASFDLIAHRSSDLLQAIAFNAYGDRCATG SVDGQIRIFNRRKDGIWQLSDSWSAHPGEILELQWLPPTVYPNIVASLGIEGRFKLWA EDDTAAPGRRFSAKNNNPRAAFESRSNKSPYRSFSMKHNEETRYTYFAFLCADGRLSV HEGEEPENLADYSEVDEFQALPKPSRGEETCFKVRFDPNPEPCYKALRAGVPTDALSL VVAGMTTVKVFRSRDIVASSFGAATRAREFYQAIEIKGHRGLVRDVAWAPGNFRGHDM IATACQDGFVRVFRIDTPYNKDDGRSWAVADITGKDKAETAGQAGPSTPAQNNNQPKG PQQAHSGIRAELDKSGTHAERSNTGQVGQINHTVRELSKLESHRSPVWRVGFDDDGQI LGSVGDEGKLMCYRQKADGTWAKSSELGMMKQRLVIPQNLSG PFICI_04518 MLATTLLTLCAAAASVSALPHFPQVPKRLLRSNGTDTNPFTGKK LFANPTWATKLEQTYDAFVEQGDEANAAKVRAIQDVGTFVWITDRAGLSNIDAAVASA RAAKAATGVDQIVGLVLYNLPDRDCSAGESAGELSSADNGLEIYKTEFIQPYAEKVAA ATDLTFAIVLEPDSLGNLVTNMGVEFCANAADTYKEGIAYAISSLQFSNVNLYIDAAH GGWLGWDDNLEPAAKIFAEVVQKAGNNTKIRGFSTNVSNYNPFLANPRENYTEYSNSY DESHYASSLAPHLEANGLPARFIIDQGRVAQYGARAEWGDWCNVAPSGFGAVPGTTIN NTLVDSLVWVKPGGESDGECGLAGAPKAGVWFDDYVQMLVKNADPTVERAASK PFICI_04519 MSMSVDDCTTIRDGFPRPFPNTPTNVIEQFKLNGRVAVVTGAAE GIGGAVSEAYAEAGAHVALFYNSNDAAIAKAESLASTHGIKTNAYKVDVSNAEAVQSA IAQVVKDFGRIDIFVANAGMSISKPILEQTLDEYKKQLSVNVDGVVYCAKYAGEVFKR QGTGNLIITASISGHIVNVPVDQPVYNGTKAFVTQFGKSLAREWREFARVNIVSPGFF DTKMGAGPSALNEAYRMAVLGRQGHVKEIKGLFLYLASDASTYTTGSDILIDGGYVLT PFICI_04520 MENPSVLLYGALTAKVEDRPIPTISDPHDVIVQIAYTGVCGSDV HFWLHGGIRTFVSASAPLVMGHEACGTVHAVGSGVTTLQPGDRVAIEPGVPCRRCPRC KAGRYNLCSGMAFAADSNSLTHGTLTKYFKTSADFCYKIPDNLQMKEGVLLEPLAVAV HAVRLADVKPGQRVVVFGAGTVGLFCAAVAKEFGASVVVSIDLLQNKLNVAKELLGSD IGRTWMPNTSSTPEQNAEQLRTDHGLGDGADVVIDATGAEPSVQTAMYALSRGGTYVQ AGMGKRKIEFPIAEVCERELSIKGCFRYGPGDFELAMSLVQRGRINLATLITGEFPFE KASEAWESTSRGEGIKNVIRGSA PFICI_04521 MESVYSLLTQRAVALQQAARTQNSTDSQKWRIIIALAGPPGSGK STIAREVAQRLNALHEDSATVVPMDGFHYTRAKLDTFPNAEEAHARRGAAWTFDADGA VALFTELRTSSTVDATTPATIYAPSFDHATKDPIPRDIAIPPTVSTVILEGNWLLYDE EPWRQINQLVDESWFVDVDVQVAKARIARRHVESGVETTLEAALARAEANDIPNGDEV RGKLMAPTITVWSVDEESQVMRETRESEI PFICI_04522 MERSELTSRVIPLMSLSTGTSPLTAPFQQSAGLSAAERAMNRFR VHGNAIVTGGGGDLGFTACRALLEHGLGGLVIFDLDPTSTADRVAALQAEFPEASVSF QRVNVTDADDVARGVAAVVRALGSVDVLLAFAGVASCAHATDVSAHEWRRVFDINTTG SFLCAQAVAKAQAQLGKPGSIVFVASISAHRVNFPQPQAAYNASKAAVLATAKSLAAE WSRYGIRVNSISPGYMDTILNEGDGLKVARDTWNERNPMGRMGVPEELCGAVVLLASR AASYITGADLLIDGGQTLF PFICI_04523 MSLLASIGGILFGYDQGVISGVLVMNNFVKNFPELANDTTLKGW AVSVLTLGAMFGAFANGPIADHLSRRWSIALASVIFIIGSAVQAGAVNIAMIFVGRAC AGYAIGMLSMVVPLYLSELAPSNLRGALVSLQQLGITLGIMISFWIDYGTAYIGGTGD GQSEAAWRLPLALQCLPALILGIGTLFLPYSPRWLMLKDREEEAVMVLTRMRRVPADD WRLQREILEIKAASVFDNETMAERYSGVSNFRVMVSQYTELFVQRHLNRRLLIACLLQ IIQQFTGINAIIYYAPTIFQSIGLSGNSVDLLATGVVGIIDFVSTIPAIMFLDRWGRK GVLLSGAVGMGICQLIVGTLYAVYENSWDDHKGAGWAAAVFIWLYIASFAYSIGCVNW IMPSELFPPANRAKAVGVAIGTNWLSNFIVGLITPRMLASIRYGTFFFFLAFCVILFV WVYFFVPETRGVRIEEMDNLFGGSQGEADIQRMEAIRRRLGLDNPSEPEDKATEVHEE HVDKTF PFICI_04524 MSDGSRRAFACQNCKRSKVRCHQPLDDEPCIRCARIGKQCNFIL EPIERTPLKRKNLDAAELRCSQLETLLRSLNPDLDIEESLAKLNNPAQNDPSGNQSHY SGTEADQEGEDGEARYEWHEDSSLQAAPATGNEESPSFGNHEDGMGAFSTSESGYLGS SSGSSLLQEIATLLPTVLAGTNASLASPSKPSPSVNEEFDRPDLASSAVASLFIDAYF LFYNTSYPVIHEKTFRRKAASDWRRTKRRSTWSIMYYMVLAIGHWVSATDHTTVQTHS PYYSAARSRMSISMLESGTIETLQAFLLMGNYLQKRDRPNTAYNLMGIAYRIAFGLGL HREIPNAADTMIFERRRQLFWTTYCFDSGFNITTGRPPTAADVFFDTRLPRNVEHQDN DLGTGIVREVDHPTPYSAIVAQAELARIASELYSEFLMAKTAGARIEYQVAEAIDGKL MAWKDALPGYFASTDVPSWFLGPRSMVLWKQQNLRILLWRGSKLNHPFVASRLDAERR CVELAMQTIHDVASFCEGYESMLHLGLSWYATYFLFQATLILEVSRIGKESPEHFDLP AWEISISRSRSSLGVLAKKNSSATRCLEIIDSIQRNLSSMGASQPNHSLISLAPQGHD APEPVQNEQFLIENMFTDNPVDDATLWQGYGFNDGDFNNGDPTIRMLIDQTPLDFLDG IPRDMLFSNPTGTRYG PFICI_04525 MVPSIKLSSGHDMPVVGFGIWKVPRETCAETVYNAIKLGYRHFD GAWDYTNSAEAGQGVRRAIDEGLVKREELFIVSKLWNNYHKREHAHQQAKLELEAWGL DYLDLFLVHFPIALEFIPFEEIRWPCFWTDKEQTKPTPLAKVPISETWKALEDLVKTP ENPNGIFKSIGISNFHTQLIYDLLSYAKIPPAVLQIEHHPYLTQPELINMAQENNIAV TGYSTFGPASFIELNNAKAKEVKPLFEVDPVKAAAEKHGVTPGQVLLRWCTQRNIIVI PKSNSVDRLKQNLESTSFDLTEEEIKAIAALDIGLRFNSPGALGSARIFT PFICI_04526 MALPLPPGLVPTEVAFLCEMELVTIVPRQRLESIPLLTGATPVL RPPHRADIPLWLALLLKKQKRANIVPPAWLHAASLADIIQHETTVDPEAFSPPPPTVM RSDGRGGARSFGSDGKGDLVLSPPFLPSCVAASPSAYLPYHWLELAETLLAHASDDIP TAAEVRTLLRDLQEVRAAKMRGSTTQLEGGGGVVGLRGVGAMELAESRGFVVGVVDGV RKLGASVEATRREEEERGGGDDAFDDEDEEMGFE PFICI_04527 MSFQRLLALDGPASWSRSYIVGDMVGYARPLLWLILSLTIIHPV WMVTGWPRAILTGLLYLQQQAVFLGHELSPFEPKASPLQHEVLDLEQKVVLTKTVMMH QWAQHISGDNFYAQRERYRITVDGEKVPILEPLPTRPCEILGGEDYIVITSPTPDVAV QTVVEPTMTDDWTDRYLELIHRVFQVDWEEVLVDEKRPMIPRHPQNDVGTETDEWIGK YLELVHRVFKIGGEELSVNDISLVLPGTEEGRPMEEEHVRGSLAELKRLVCEEAASRL QGPNGLAQLCSH PFICI_04528 MGSDSSSDLDSSLSHPPSRPPTSAATSPSTTGDSDHAKMGSMSN FATEHMLTEEKKARAENTRAEAKRLATLARSRRKKEELSHSERAQKAKELDELLRKSS VFSDILTQKTKALGRVGRNFDNQTLTDAGVELKKQPKIMTGGVMRDYQLEGLTWMFEI MLQGMSGILADEMGLGKTIQTISLVALLREQEAYYGPHLIIAPLSTLSNWQDEFQKWT PSIPFALYHGKPEERQAIYKNKINKNYKRKGTTGSALAGFPVVCTSYEMILRDHATLS KIDWACIIVDEGHRLKNADAKLFRELQQFKSASRFLITGTPLQNNLKELWSLLHFLMP ETFLNWEAFEIWFDFTDLADEQGTQQFIGNRENQDLVKKIHKVLQPLLLRRIKADVAN YLPKKREYVLYAPMTREQTDLYNVISDKGTDTRSYLENKVVERLTGATNTAVNSRRSS RQASLASSAVASEAPSPEASDASSPVGKRGRGRPKKNAFEAMMNGTASGSVAGRKRKS EVKVDFPEPKSTKSSRQSTPANSRRGRGRPKRGVTTYNVSDDLDEDKLDDDEFEAKLL AEMEAAQKQDDSDEYPQNEEEAIRAATLDLAKMQIKEKRLGNPLMQLRLVCNSPHNFY NPWNYDAELAIDESIVTSSGKMLLLDRLLPVLFERGHKVLIFSQFKTQLDILHDYCAD LRKWKVCRIDGSVAQTDRREQIQNFNEDPDYKVFLLSTRAGGQGINLAAADTVILFDS DWNPQQDLQAQDRCHRIGQTRPVIIYRLATKGTVEEELLLSADAKRRLEKLVIKKGGF KSMGQKLDPHEEELDKETLRALLLKDGEVYQHSGGDRIFSDEDMDVLLDRSDDAYARA ATGAGNADGFQVVDTAADGITQIKAA PFICI_04529 MASAPQNYYELYRRSSVGVALTDTLDDLIQDHRIDPQLAMKILA NFDRAITEVLQEKVRSRLTFKGSLDTYRFCDEVWTFQLKNVTFKMDNGQNNVQADKVK IVSCTAKPTGT PFICI_04530 MPRNTRSSSRKQNETQSSPAADPTPTSSSSSTTTTTTTATTTST GPDSSLAQSVRKRPLPVPSTSERPDKMANDDTRSVGSASDGGNATSPAPVPWATRNQW IVLAIASGACAAFNGVFAKLTTNDLTSNISQALAKAFGLTAAENVIELIVRCGFFGLN LVFNGVMWTLFTKALARGSSTTQVSIMNTSTNFVITALLGLAIFSESLPPLWWLGAAM LVAGNVIIGRKEEKGDEQQMPVGSSGGDSHSGGEVVNPAVGLASYGAVPPAEGILPVE KDDEDEDIPLLGEVDESIGR PFICI_04531 MDSRTTSFSVSRPQSVHRPSLSSRLSFAVSTVERGEGGADAPVR EQIDDEIAEIKRYEDFTTIDWVQDAARERQRRKARRKKTAGLFDNGRVTWRYKLWESY DAAQGWIVVTLVGAAIGMIASFLNIITEWLSDIKLGYCTTAFYLNESFCCWGEDNGCA DWHRWTSFEPVNYLLYIAFATIFAFTAATLVKSFAPYAAGSGISEIKVIIAGFVMKGF LGFWTLLIKSIALPLAIASGLSVGKEGPSVHYAVCAGNVISRMFAKYKSNASKTREIL SACAAAGVGVAFGSPIGGVLFSLEEMSSYFPLKTMWRSYFCALVATAVLSAMNPFRTG QLVMFQVKYDRSWHFFEVVFYIILGIFGGLYGAFVMKWNLRAQAFRKKYLTKYAVLEA TLLAAGTAIICYPNVFLRIDMTESMEILFLECEGAEDYQGLCDADKRWGNIVSLTLAT LIRIFLVIISYGCKVPAGIFVPSMAIGASFGRTVGIVVQALYDTFPNSAFFASCQPDV PCITPGTYAFLGAAAALSGIMHITITVVVIMFELTGALTYILPTMIVVGVTKAVSELF GKGGIADRMIWFSGFPFLDNKEEHNFGVPAQAVMKTDVVALPANGMLLQAVEDLLSQP KYQGFPVVDDLDSRILLGYIGRTELRYAIERLKRERGSAVNANAKCAFSPPAAALSSI TPVTPTISHADFGTSSASIDFSRYVDATPVTVHPRLPLETVMELFRKIGPRVILIEHH GRLVGLVTVKDCLKYQFQAEAAENPRTDHEIQRGHEKLWGWIRTAAGWVSGKVDTLSG GRIQLGGGPDAVTSGRGRIMDGDEDLADLADDDGVELENRQM PFICI_04532 MPYNTSAIPPRKDVTGQTQLPLSRVKKIIAQDQDVGICSNNAAF AITVATELFIQHLATKALDQAKAERKPRRNIQYKDIASAVHGHDNLEFLEDLVPKTVK FKDIKSTAAATRATLRGERLSDQAEDQETRAPAPNGTKKHKSSASRSSLNGSVNIGSI LGNGTDTKSQGQSDGDDGSADPNDQLQLEMRQASGNDGDVEMAD PFICI_04533 MRLTVELLQNSPSWLNALKERELDLRGHRIPAIENLGVAGPQDA IDLVDNDIQVLGNFPLSPRIRTLLLARNRIGSIQPTLVNSIPLLTNLQLESNDLKELA DLDPLGTFSRLTHLVLKDNPVTKKEHYRYWVLWRCAFVRFLDYQKVKQAERDRAIELF GTAAEPTDLAKTIVGIKSSATFDVSSAANGSTGGLSSRMSRIKLTDKEKKRLQDMIKN ATSLDEITKLETMLREGRMPAGIHLNDEMEE PFICI_04534 MGSDSEKEIAKVRMMVLETDEPDRDTLNERGSFAEILHHHFATA GHNHDPPLGIETDQRFVVTERGGRVPRYDEFEDVHSILITGSVFDAHGNNEWILELLQ LLRELYIRRSDIKFSGICFGHQLLCRLFGSEIKPEPKGLWELGHSRINLTEVGQSLFK TDSNEVYLHQMHQDQVVAPPSSESSDGLLPPGAKVYVWGSSDHTRVQGVFIANRIFTT QAHLAFDEAMVHRQIQMRVEAGSIQDLEHADRAKETAHLEHDGVTVAAAILRFFHDED KGVGN PFICI_04535 MPLELLFKALLLWLLPATVLAQIFEFTAPSKDATVDLSQTVAIE WNAGQDASYNFMNLSWHGQTADGTQFGYDLAENLTTADGRYVWDPSNTTQALNATGLV LNADDSDFYFEARLYARSPSQIVTTDGDKFKVTGYTNMKSPATRSQPMLGWAVVMGLL CLGL PFICI_04536 MLAKNLGAAGLVSLPLVTPTVATLLYVASYAGTVTTLNLTLSSG YNSSASLEAISTSTGCASSPSWLTLDTSNSILYCTDEGLSTPLGSLSSFQTSEDGTLV QLDQISVISGPVSAVIYGEDGDGLALAHYSGSSFSTFSIADPSALQAVQNQTFEMAEP GPNASRQDAPHPHEAILDPTGEYILVPDLGADLVRIFQVDEDSLTWTAIEPLVAAPGS GPRHATFLVTEDTTYMYLISELANTITGYEVEYESDSSLAFTELFAINTHGEGASVPN GTTAAEITLSPDEKFLIVSSRGENSFSIPNFDPSNSTEIVSDALISFSVDHSSGNLTL VQEYPAGGRIPRQFSINEAGNLIAVGLQSDGRVVLIDRDVKSGLLKRFIANALVEGEV TTVIFDE PFICI_04537 MASATSSTALSLQNNRMSPSSTGDSPLSMGGTSSSGRIFPLPNR DNFTVEIPSQSAIANTNGSSLKSPSSAKAARTTNFSRDGILGSAQKARNLSQSSEARP EQVIVELQKVSSDENMNPLKRRNTDATVDYPRRRATIACEVCRSRKSRCDGTKPKCKL CTELGAECIYREPGIKLDAGDKLILERLNRIESLLQMSLVNNPNGMHISENSPPISNG TALSADNLLANANGNFVSIIPSGGLGTWTAQPPSSISTMPKVHTNAALHLLQWPLIRD LIQRPYDPQILLQLEMAREPLPSLAKTPCVDLSNTHAYIEAYFDRVNVWYACVNPYTW RSHYRSALSNGFREGPESCIVLLVLALGQASLRGSISRIVPQEDPPGLQYFTAAWSLL PGMMTSNSVLAAQCHLLAAAYLFYLVRPLEAWNLLCTTSSKLQLLLMTPTRIPEDQRE LSERIYWNALLFESDLLAELDLPHSGVVQLEEHVGLPGGFQGEESEAVGRDDLWYFLA EIALRRLLNRVSQLIYSKDSMASTTSLEPVVAELDFQLTQWYESLPSALQFPFTRAPL ADPVQTVLRLRFFACRTIIYRPYILAVLDNEQAVLDPAVRDNCHKCLEASIRQLEHIG AHVAGHMPYLWQGALSIVSQTLLVMGATMSPSLSSILLTLVPHREVIDQIINDVIMDI ERMSVLAPSLSLAAEIIKEAEVRRRTFLNG PFICI_04538 MKLSITVLNALFAAALAAPAPGSGLAPRSNTRARLDSRRAAKSH KQGHHHHASSSASLSRSSAAASPTLVFPSGFLPSSSSSSVVPSSLSSSSLAAAALSVP SATATRASASSSSATAVVHASSDVEYNESWAGAVVTGSDMTGVSASFAVPDPAIPSSG QTSATEHTASVWIGMDGYNCDGGLWQAGVDATIDSQGTSFYAWYEWYPEDTVAIDLGD IAAGDIITVNLTSSGDYTSGSIVMENKSTGNSFTKTVTDSSAALCGAAIEWIMEDLVV DGSENGLADFGTVTFSDAAGTSKGGSVSPADSQLLDIQDSDGTALTASSTTSDSVVIV YQ PFICI_04539 MKAYHSLAILALVAFQRHATAIPVEGASSIKRAISSVVSGTPMG FASAATGGGDVDPVYPSTIDELKDYLESSDPQVIVISGEYDFVGSEGTSSYTACNAYS CTPSNGGQALLDTVSGCGTTATYTVTIDTAGFRGINVQSNKTLVGKNGATLNGKGLRL VNVDNIIIQNIAITNLNPQYVWGGDAFVLAGTSNIWIDHVTTSLTGRQHYSFGYDAST STTISNSFLNGQTTNSATCDGHTVRVSIFALLYFANVYPATGNYVYYTSGRTPALSGT TLFHAINNVWSSNSGHALEGTTSTGYGLYEGNYIVEIPTVLDSSFAGQLFTSNAGDVS KCAAYLGRNCVPNTLSNSGAFSSSDTGFLSMFSGKTVPASASVSSIQSTVPSSAGNTL PFICI_04540 MPAYLCHGFRWHRKSIRYFVVIQDVDDAAPEWIVAPKSAEAILQ SLYGLYDFIPPCPGSRAADSLRTSSGGPNPDMYKFSLDNSSSDEDKRGRREEEFLQRK RSLSATRQRSMSTSRLRKSGKRPETLPVPPRPMLAPPPLFSPIPPEAERNGPFNKWSS IKMLEEYDPSNELILNGPWAYLSDYTVRVDTSVSVLDEIRKYESNMRTEKFKAMSGPS DETGRKINTLGDRNAGWLEKLRDQLQREEPIKWYVVMCGDEERNFPMGDKENRPNGIT NDVIPEDEVEFRLPEFDNYRYDRKSAVVQPLRLRRLLDSPPPPPTPQIFEQFQRTGLN GSLSQPRVVPRPQTQPQPELPQLRKPSAEKPPIVPLRRSPERNTLGYTPPPDPLANLR DIGSRKTAAPFETRPGSRKSTINLKRIFSKKQVENFI PFICI_04541 MPEFYPVQSSFKALRNKVVVLTGGANGIGEYVVETLYRAGAHVV FGDIDVTACQSVVDRLCSKNSSSATLSFVCTDVRKYQDNIALFRFAYEKHGRIDHALS VAGVTEKPDQNWFDPKLDLSSITTAPSTDMVDVNLTGVLYFTRVAAVYLRQGNEDRTE DKSICILGSVASFKEQGGLFVYQPTKHGVLGLVRSTRKFFDKVHGIRINTVCPSLTRT RMSTPVIRIWDEQGVRPNDPQAVADYVVTLTSIANDPYVGSVTGLAVFVEGNKGWEIE KDLDKCDVAWLGQEMSTNAAKIEAALASGTAWSNPKL PFICI_04542 MAEVDSGGSTAKCCNHVEDGVTDQPVDQGRPLRVIIIGAGISGI ALYIRLLQNIPSAKITIFEKNPAAGGTWYENRYPGVACDIPSHVYQLSFAPNPQWSKL FSPGGEILEYVQRVAGDYGVDKKIKYNTKVVGATWNEDDAVWSVETEHTSQDSSKSLA QNQAEIVISAVGLLNNWKWPDIEGLHEFEGKLLHSANWDTSWDYGDKTVALLGCGSSA IQILPHLQKKSSQVFNFVRGGTWISQPFGSTLTENVLAGSSEPGNYSYTAEELARFQN DPEYYQEFREKMESFINKDFPCLFAGTDEEKSGTAKIIEIMRQKLQSRPGMYEALEPR FTPGCRRLTPGPGYLDALVEPNVELIKAPIARVTKNSVVTADGREWPVDAIACATGFD SSHVPRFPIVGRGGASLVEKWKTRASAYLSHSVPGFPNYFIMGGPNSATGGGSLLLIL ESVMGYIIKAVQKIHREHIRTMEIKETAAQKWTAHLDRYFPGTVHMEECTSWYKVNGK IIGLWPGSSLHAMKTLANPRWEDYEYQSEHDNELQWIGNGWTVADVERGDLGYYINHV DIPPIPGHDVLARQP PFICI_04543 MAAGDAALQAMGYDQELHRSFSLIGVIGFSFSIVTCWTALAGTM TVGIEAGGPPVMVWSWIAVSVCALAVALSFAECCAQYPVAGGQYSWVAAIAPKRFARG MSWVTGWFMLIGLIAIGAVNNFIGANFILGLANLVNPDYVIERWHTTLLCYLIILIFG INNIFAPRLLDGLSKFLLFWNILSFFAVTITMVATCKEYQSPSFVFTSYRNDTGLNPA LAVIAGLLQSLFGMCCYEAPAHMVEELRNPTRDAPIAMVTAVLLGSVTGLCFLVASFF CVGDIDSVANSSTGVPLIQILYNCTQSIGGTCVLSSMIIVIVLFCANSLMAETSRSVY AFARDRALPFSKTFSAVHPRLAIPVPAILLTMVGQAVLNTIYIGSYTGFNTVVSISTQ GFYISYAMPLVARLMSEIGSDAKPMRHPKYSLGRWSLPINLFGAVFLIVASISFSFPS EGPVTADNMNYSSAAIAVIMLISLATWVCGGRKNYSIPNFIDIIDSVDSSNTEGGEHG VVTTSEKVEASSAKSST PFICI_04544 MSGREAGSSSARPYRSKRHRPCDSCRRRKQACCLEGQPPCRLCV ELRIACTFNDPPSKRRRTGTQQPSPLTCSLESNDSDIVEGFEATDCDLDAASDGGHGS TNDAPWEFTHMATSGDVSNVTIDLGPDPQVSDTHDGNLDGRLMPSHDMWYLASLWDVN QSGSMMHPTAAVGEVEANENEIGLLNFSTRSPVLPSPRQSATQPAGNTSISAETALYY TTSHSYPARVPVPLQQDKTTNYLSPSSDLDPYLLQHMRFPADGISNFKHFQYRVLASK ATTSSAQQRSSEHSPAYFIVNKRTTLENGDDMNAPKPDSSLNLEDLVNPQVGSCLVGL FLRYVFPGLPILSRSRLTLKTKTLLPRPETLKILPSHLLAAIYASADQFRRYDPFLCA SPERNTGLVDHLWRIAYDGIQQNLHKPQLDLLQTILLYLQHTGRRDEAAAANKTAEWS LTGSAINIAYQLGLHIDCTDWSIPTWEQRLRRRLWWVTYSEASWRTLLQGFPQTISPD QWDVRPLDENDFFIDSIRIPSEESSTRVPALQEPCQFCHLGYDFRFVADLSHHASNLH CRMYTMAAIRKCSGNFKETLGIGSSLLADLQRWKKDLPSHITTHSLSNIRENRDYFHP GSATNIKMAYLTIEVLIYRAILRSLPSKLTANEIDPPPEQRHDGDTPNGQAPGSVLET YRAAINVMQRISGFVERLGSYDRNSLYYAWTEECFSIMSNFILFLLVRAPTAEIAKDV LALLARWVILLREQCILFDQTRLALTRLDTVFHMGMENVFHFSPHVKKAVEDEFPMRL YKN PFICI_04545 MGSVETISTSIIPARKAVAVSIKAGQSIKVINTFGKQVVDFWAF NPHDGHDYLSMVHTRTVLLSVALRKGDLLYSTRRKPMLKLVEDTTRGVHDMIWSACDS ERYRMQGFEGYHDNCTDNMHAAIHDNFPAFQIADDWVPDPLNLFMNVAIDHHGGLDIR PPTSEKGQYVILEAQVDLMLVMSSCPQDLAPVNAGQPADCAYEILNGSTAQGLLEAPQ DIPMTLVTSFQRPKVKVCLSFDFDAVSHWLGTGCHPDNNMADYSSGIFAGQVGAIRLL NMLKKKNIADKVTWFIPGHTIETFPTSVQTVAESGAEIGLHGYAHEGIYQMTPEQERD VLIKCIEVATKLVGKKPRGYRAPMYTIRETTVKLLREHGFLYDTSLMHHDSQPYWTPA DPPIQTIDFSKDASSWLQPTPITAQAELNPLKSGDHPLVEIPCGWYNEDMMPLQYLPH LPNSMGYVSTRVVEQMWKDKFMWLWENAATTEKSFDTADFIFPILMHPDTSGMAHIMG MAERIITWLQSWGDAVEFCKHEDIARDWLAARHAEL PFICI_04546 MFDQLLRDAVSTGLIPGAILYAQSADGALDWSQAISGSSAQYVK EAKLEIASLTKLITIIATLQLVEKEVLKLDQNVEDLIPTFARIEVLDGFDANGSPLLH KRRNSITVNKLLTHTSGAAYPVVDARLNDYKRCKGQDTSGSGTVDDSFGLPLSFEPGE GWSYGPGIDRMGQVIEKVTGLTLEQYFQQHIFSPLGMATASFWARPDVPMAAYSPVDG QLSHDHGRPTFTTGLTECHGGQGLVMSIPDFAKVLRSLLMDDGVLLKPETAALMFEPH LSLGPRTMLLDKIQAAEWTVGDIPSTGEYSWSLGGLLIDGDSHAFRRRNTLTWSGAVN LFWFIDRAAGVYGVLGLQIMPPSDPKVQYLIKAFEEEVYRKAGRLVKF PFICI_04547 MALQQVLADISLPMGAQMIIIFAATLVLYTLGKIVYRLSSLHPL SSVPGPWIARITDLQLQWHAYMGDEGQYVHSLHVKYGPTVRIGTESVDFVDAGVIHPI YVQKGGFAKAKYYQNFDIDGHASIFSHTDAGARAARAKVVLPLFSAASIRQNSKPFTD GFHRFLVDLKARKASGSANVLDCARQMSIEAVTEYLFDIRYGSSQNDPSELKVQPAGK APAPRLSASALVDAFISTARFWYFAPWLYQLAETIEGLVLPNPSIKISAEVVDEYLDR IIAFAQANIKQTKDVSSSNSYPGRLLLAGFSPSEVHAQCKDIIFAATDTIGMNLGTLC FMLAKHPDVYNKLRAEVVSATITKSEDLQQLPVLNGVIRETLRLSLTNPCRLPREVPA GGWQHNGIFYPAGTVVSVSMMEMHFLEDVYPEAHSFKPERWANATEEMHRCNMTFGLG GRQCIAKTMSMFDLHEVAFQMVQEDILAGSTVTQDRIEIYEGFAAKVKGGAIKLVWPE AGEMTQV PFICI_04548 MLRAIVAVVALLLAAVAYYVDDLIKKRKQLDGLVSITPPPAGSE LQRVMHASMLMRLALAATTANAEQTGRPPSHCRRTHKNLPE PFICI_04549 MYIADPVAASKYITTGTSLPKSNALVGYLNRFLGKNNMVSLEGD QWKNLRSIFNPGFSLTNIMSLSDVIVDASLTFCDIIKSKAASGELFELEDLLTGLTIE IIGKVVLDADLHAQTRRHPITTLFRERSKDMPPSDAVFPWQAVDLLRPYKLWRNGGKL DRAISRELDLKIERRAKDLMEAESSGKSAAKKRSVVDLAMDAYENEISAARNIDFRLL EPKDMPDSLRFDISDSVKTFIFAGHDTTSSTLCWANYLLHKHPEVYAKVKKELDTYLP SGLAETAAKIKEDPYIVNKLEYTIAVLKETLRIFPPASTVRAPVPGAYVVDPATQQKV PMIVDDNCLIWPTAHLIHRNKRFFPRPTEFIPERFIPSQTPFPDAELFTETGKDAFRP FEKGPRNCIGQELAMLEGKIILALTAREFDFVLEYPGEQADIRHPVPESTAEELSENT EYGKAIRAGTQVPDRVEGHRVYQLLLGAAKPADGCPGRVYYRNK PFICI_04550 MARQLISSGSSFEEQIGYSRAVVTGEWVYDYETGTISPDVVEQT EQTFRNIEKALSAAGASVRDIVRVRYILPVRDDFAPCWPVLRKWLGGGGGEDDGARPA ATMMVAGLLDEAMKIEIEVTARKGSARRVREEGQGRDEELVVLV PFICI_04551 MSVLNLMKKGHQQAKQHAAEESEKSKQEVVKAPYKHVPTHAAID ALSGANWQEDYRQRIKEQNQKRQSMLLALPEPKRGTMPRVSSSLSTVSYPTDRPSPFR VNSSQSTRTVDWKGKGRDIPPHNLAVPSVHRIRSGDSPKKVTYRSGGSDNSSSSEDEL EVHHVRTTSLNAGTPGGSRSGYTPPRNHYFTAPRPMDNKVLSTQAALPSFPAPPGTME STTSSPAMSNYVSYASSARSAASSFTAPSSKASTPAASINDETKRPSSALEIRATPKK AKKNRWSFMLSRRSTAVAV PFICI_04552 MAAQPVPHQSTDKKRVKVYELRNNDWFDRGTGFCTAAIHVDGDQ REPRVTVESEDPPHRILLETRVAKGDSFHKQQETLIVWTEPSNGVDMALSFQEAEGCT MIWRFINQIQAPYRALSGADDSFSDDLAMDAPNPITLPEPNLRNLPEIETQLRMMSNT ASGRDALTKYVLTEQLIGKLCPLVSDAEDMESLNDLHRLCNIMKIIILLNDTNIIEHA VSDECVIGVVGALEYDPDFPSHKANHRQWLEDKSRFKEVVKIEDAQIQKKIHQTYRLQ YLKDVVLARILDDNTFSVLNSLIFFNQVDIVQHIQANSNFLMELFDIFKQVGEDNRRK KDAVLFIQQCCTVAKNLQPPARQTLYNNFLVHGLLPVINYGLRHADTAVRVGATDIMV CMIDHDPQMIRQTIYRQLSENQPPLTDSLIDLLLVEVDLGVKSQVSDALKVLLDQGPL LQEQAFAKANGDYAARAAQARLQAAIDPQQERFLESFYEKSALRLFAPLMALEGRTSM DFTVQQASMFTYLIEILCFFIRQHHHRSKYFVLEHNIVRHIEKLLKSSEKFIKLVAIR FLRQLIGIQDEFYIKQITQGSVVTSILDVLFATMPRDNLLTSACLEFFEHIKKEGLQD LIKHVVEEFRERIEKLAYMEPFKGILTKYEITKGFTAPPPDTSFEPEEESRRARQGQV MNPRTGIMEQLAVDQNDDEYWNTSDEEDELQARISNGAPTTANGNLPAAKLVDYASDE DIDESIEEGDLVSDSQGNDEKTDDEESKGLGSLPSPPERLSEKRRREEDEEDEIGKLV AHKRRNSTSASSNASSTSGILGKKKRLSGARDAGGGPKKIAISLSSSPKSAPESATSD DEGTP PFICI_04553 MSRTLTLKKTPGKPGQVYYPLELSTKPVPKPGPGQLLVKIHAAA LNHRDFFQRQALYPGLSFDHPILADGFGTVVALGPDVSPAAAKLLHQPVVLTPSRGWA RDLAGPEQIAKFSVIGGAALYPDGTAQDYIAVPEDEVEASPSHLSPAEAAALPLVGVT GWRALVTKCGLSPSGAQGRNILVTGIGGGVALQVLQFAVAMGCNVYVTSGSADKIDRA VKLGARGGVSYRSKTWDKDLLKQLPKDRPFLDAVVDGAGGDIVGRASRLLKPGGIISC YGMTTSPRMDWVMQAVLKNVELRGSTMGSRGEFRDMVAFVREKKITPIVSRTVKGLDN LAEIDGLFEDIKEGKQFGKLVIEISEPGADKVAAKL PFICI_04554 MDAKNALTTIFCGSAFGAALTAAGMHRPEVILSQLTLSNFHMLE SFLTAAAGSTAVVTLLQHLKIINMSPRSYSSIGLFGIMDGNIVGGLVLGAGMALAGSC PGTVFAQVGAGIESGLYTLSGLVVGGILWTGILKPYLSKSSSRKSETTPPTSLDKRLG ISDLGVVAAIELVFVSTVVIAVAAKADTSRGIVHPVTGGLMIAGSQLLSATLRKALLG TSTAFEEVGKCFWSVAKGGKSSGDALSFPTVVFVAGVTLGARIISSLYPVAPGMSTMD ADPLRVVGGGILLAIGSRMAGGCTSGHGISGTSLLSVSSYVTVAAMFAGAIGTALALY GFN PFICI_04555 MLFYRSILGLATIITVVASTAVEDGCSALLSEYPDRTFFPGSER YKFENEYSWTSATYLGPSCIFAPQCTADVQFALRTFQENQIEFAIRGGGAMPIDNAAN IGPEGIMMAMTNLSSMAIADDLQTVVIGAGVTWPPLYAYLDDYGCVLSNGTLVTASAD ENPDLLWALVGGGNNYCVVVQAVLNTVPISSALIGTVSWGPGVSEQYIKSVEQFALHG AEYPKASFEGQTRWVPSRSSEISFDGYLWYSGEGDVPLGLENFTAPVLPITRGNLTRT TMGEWTNSFEYAPSLGTRAMFHWLTSAPNATAARIAFDTYFESIASLADVEGFSSTFS MLPITSIVTAAPENAMGLGNDDGPAIWYVESPLWSNPEDDERVIAVHAEANVKIRENL SAAGLGPLPFMYLSDIQKAQIPETYPAYGAENLKRLKEIRDKYDPDRVFTDLVPGGAK VALS PFICI_04556 MAHQTGTIVLTGANGGLGSAMVSRIVTDENLSASHGIYTVRDTR SPGALQAALNKASSSSSSTSVHSHEEISLDLSRLDNVRKVAAVINAQVEAGTIPPIRA IILNAGFEEADQQTWNEDGLDMSFVVNYLGHWLLTVLLLQSMDRERGRIVWISSWSQD PKNSHNQRYGAYKEERYKNMVLDDLEPLAKGTWSSKADDKTNWAAAYRRYGASKMCCV AMIHELQKRLDQDPVLKNISVLAIDPGGMDTGIIRHSPWLVRVLFFKIFVGLFSGLMV RRKPNGTWRTPKKSARDVLAAALASGPPPLSERPKGVYLNGTVLGEYNLEAKDPKKGQ IIWEGSVRFAQLREVETALQNWR PFICI_04557 MGDYYQQAILTICATSGSSDQGLFPVLTGHVPDIARLRYRDKKG IPQGFFYVYPYGDPRSNRQTEEEAIRASELFTRGWVFQEWILSRRRVYFTPAGMIYDC ETTGLSNNHREVWGSKKNYGGRIFERYFSGHRIAIDWWYWLLELYTEKSLTQPEKDRI VALKGIAEEFRELLKRIPSDSSVLRTIVPTVACGLEYLSGLWAYDLHRGLLWQRVSFA GRHQRLPLYPSWSWTAVVGPVEWPSNPAEKRVGGFRARLSSTSSESTLALHPQAKLLV ARVGNGASLIPNDDLGTADRFQTVPIDAFGTLNKSATLQLAGKLVRVLIREEFSGERD HEILSNICGEIADTQSARTFWRKVCTTSVHTEVIGWASIDDPSFQEDSMYGSGLESKA LVIATSEHKRGYGLGFYGLNRNGNYTVYHVLFVREIPEERFERIGVGQIFGKEVEEVI RKAGSTEVNLV PFICI_04558 MRFAAIATAAAGLSGLAVAHPGGHDAMTRAELTVKRSMYEQAKR GLSACSSKLDASGLERRAAERRANAVAELRAKRKIKRDEATVLATDHNRTADGITEDT PVSELFASNGTCVINPVSEFGPFWVKGEYVRTELVEDQAGVPVLIDGQFLNAETCEPI EGLYWDIWNCNSTGVYSGVVASNNGAGTADPGNINSTWLRGIQQTDSDGVAQFSTLFP GHYSGRATHTHVIAHINATQLENGTVTGGNDAFVGHLFWDQQLIYDIEALSPYNENTI EITTNADDSIFPNEAATIDPVFNYIQLGDDLEDGLFAWITIAINVTASYSESYSFELT EGGGVAVEAGSDIGGGAGSGGPGAAPTGM PFICI_04559 MSDPKSYTVGWICALETELVAAASFLDEEHDDPDYLPVNDNNAY VLGRIDKHNVVMAALPNGQYGLVTAATVARDMVRSFPNVRIGLMVGIGGGGSCLKHDI RLGDIVVSCPSSGSSSGGVFQYDYGRAIQDSRFIVTGHLNQPPQFMLAALNTLKAQYR RRGNDIEKAIKDVLASNTRLQADFKKPDPSTDRLYNTGYKHAGVKEQECTTLCDASEL ARSPERSEDRDNPTVHYGIIASANQLMRDANLRDKLSAEHDILCFEMEAAGLMNQFPC VVIRGICDYSDTHKNKDWQGYAAMAAAAYAKDLLRKIAPNKVEAKRKLTDFLSDVKEE IHEMSIAVDVAKSSLRTAQEEKHFENVTKWLAAPEVSGNLNKAREARHPGSGQRLIDE EAYQEWKIRPNSFLWLFGIPGCGKTILTSTVIDDLLASANHSQALFYFYFDFTDTRKQ TFENCVRSLLDQLYHINVRSRKEVDALYASCKNGREQPSKSSIQETFAKIVKSGETWL VLDALDECKVRDDLLLWLGDLRSDEMNLHVVATSRPEQDIQTSMKQICRSEKEKIAIH EDLLKADIQNYVHAIIKEHEDFRVWRSLPNIQEEIEISLVSKSHGMFRWVWCQIGALK RCRDPIALRKALASLPKDLDDTYSRILGNVPLEHIDQTLRILQFLTYSERPLRIDEAV DAIAVQVGPNVGQGSRFSLGDRMPDPNNIVGYCSSLAVIVERKHRNLIVQEIQLAHFS VKDYLTSDRLESKFARYFQEISARSSIADVCLAYLLELEPQMVEVITNTFHFAEYSAR YWASHAHVVEYHSQQTFELAAELFLDRSRIKMWLLLSDSDENRILEYGRPILAPSLYF ASLVGLPRCSKMLLDQGADVNAPGGKYGTALEAASAKGHKDIVSILLDKYADVNTESR GYGTALEAASAEGHKDVVDILLEKGADVNPSDEFTPLGSAAFHGHKEIVCSLLDKGAN VDAMIASASDRTPLALAAAQGHEAVVRILLKHGAAVNDKNGARMLFDVVEGQYLEILE LLLGAGANYLATDDYGRSLLTWAIILNHCRVVDFLLDVSGFDISTRDHWGATAISFAA RYGRSAIFRKLAVSSSVDLHVADDFGRTPLWWARKQGHDDISSAIVQHRHLPTNEETN IVMSAWLI PFICI_04560 MDFEIEQHTFESPTQRRIAATDWNGPDDPDNPRNFPFRTRCVCI SILTLLAFISAFAGAIYAPAVDEIMTIFNCSYEVAVLPLTLYNLGLSFGPIVGAPLSE QYGRRAVFVFTTPIFILFMVGASFSRTLQALIVCRFFAGMFASPNINNASATILDYTA ERYRGTVLGIYYSIPSEAANLAPLIGGFVVRATNWRWTQWVAIVVAITIYVPTLFTPE TYKRKILERRARKQGLEDAFSRRSSPGKAIRYFFLVLIQRPLHMLFTEPIVLFVSLYN GLIFGLIYAFVTSVPWIFETYYGFDGPAQSLSYLGLSIGTGIACLPFALIDIYYYQRR IDSYRLHHGASSRLPPKHRLVSSLIGSALIPIGLFVSGWAAERRVHWMVTITFQGVTM TGSLLVYAGASLFMLDSYGPLYGASASSSTMLSRYSLSTAFPMFALQMFQVLGAGWGT SLLAFLSLLMAPIPWCFWIWGESLRRRSKYEISQ PFICI_04561 MVGEAHPKRRNPRERMRADSKCRTCGTCRRKKVRCDGQHPKCSY CSAKGLNCVYSEDGRRTSTRPKRADLQALQKEIQELRGRERPPSGQLSSTGSILNHGL RHVEGNGPVDDILSRTADVGRLGAVAHGPASESQRTHDGQPQVVDAQSQDYDTASPSE ANVIASGPQVFGATSLLHDQSSTTPLANRGSSEHDNHNPTKACMRDQLISNAALGRHD ELTMKYTPSMKAAMDFDGVPMDTAMHLLDLHWNRQHLSYLITYRPAVMDSLIKNGPYV NKLLLNAIYLQSSMYSDREHVLFGSDVERKGMAFYERFKVLLSSYIDEPTIPTIAALL TCGSCLVPFGLQSAAWTLCGMAYRMLVDIGCHLDIVPTSGTQSNPRKLAVEQEMRRRL YWGAFVTDKFQSIFLGRAPALHEYDGNTPHEFLDIFEEVEEWNPYIDPMVPLPGHVSL RYNGRPTYATSTFQSLLRLCKITTKIIDAFYSAQSASTPQHKLLQIRKEVNHQLDDWK GTLPEWLKFEPGIDPTPPPHQITPHTTFWTMVILTEQAFLSGRQFNIELDHTIQAESK QRCIEASLKIWKLVEAYRDTFTLRRAQYGISYATYCAVLVLLQMSNTSEHLDCIRFFW TALWEYQKGCSYSLKRPLNLLKSLMYRLESAGQILSDDQTEGNSQTRPESFPETMIFN IEQEMLMVNPDDSLHNFLFDGISDNGPLADDTIFGMFM PFICI_04562 MAEDQEETKQTDQETPKRVPTASDYFRIFSYATRWDWCIYAVAV LASLGAGITMPLMNIIFGQLVGQFTDFSLSSSQSFSSILNQQALYIMAIFFVRWALSS INKFCFRMIGIRLSSAIRRDYLEALFAQPIHTIDCMPQGAPATAISTTSNTLQLGVSE RLGSFLQSLVTIVSSLVIAFVWSWDLTLVTCSLLLYIIVVLSFTMPRVVKGQTVMAQT DAEATSIASEALANVRLVMAFGAQKRILSSYNEWVEKSRKKGYRTAPIIGIQIGSIYF GMFGAFGLAFWYGTQRYSVGAISNAGVVIVVLMSVLLVLMSLERVSTPLISVSQAMVA ACDFFTVIDAPLPKVGSLQPDISLEDIVFDNVTFEYPNRPDAKVLDGLTLRIRNGKNT ALVGPSGSGKSTIVGLIEHWYPLENMKVADTDATEHSACGSITVGNHKLSELDPKWWR SQIGLVQQEPFLFNDTIYGNVANGLIGSPWANESEQRKRELVVQACQEAYAAEFIDRL PDGYDTMVGDGGAKLSGGQKQRIAIARCIIKRPKIIILDEATSAIDTRSEGIVQAALD KITQNRTSVTIAHRLSTIKKADHIIVLQKGRAVEEGTHQSLMTDSTSVYRSLVDAQSL QVSQSASQIADTPSVAVSEDLEKAISIHDHVSNHSTKGDMTAEKPPRSLSHNFARILR EQKSIWPFFSTVVMASVVSAAGTPMQAWLFAKVITVFTLQGDDLRRESSFWGFMWFAL AGGVGLSWLVVAWLSAHVQYSISAAYKVTYMTDILQQKIKFFDQDSNSHGSLSSRIAG DAKHLEELFGMNLALVLNGIFTIIGCVIIALSFSWKLGLISFFVTMPTMVSSGLWKFR HEIQFDSMNSAVFTESSQFATEAINAMRTVSALAMESSINARYRKLLNDHVQKARIKA QWTCAFFGFADSVGLGCQALIFWYGGNLLIKGEFSLEAFFVCYMAIIQGAEAAGQVLS VTPNAAQATAAANRIFDVQSSAVIDRDEAQGDREFPNSEKGVRIDLQDIHFQYPTRDV PIFRGLDLSIDQGQYAAFVGPSGCGKTTIISLLERFYDIPADQGTISCNGADINRFAL QDYRQNLSLVSQEPALFRGSVRDNILFGLPAHSDVPDERLHKVCRDAFIHDFITSLPQ SYDTDVGQKGVSLSGGQKQRIAIARALIRDPKILLLDEATSALDSESEKVVQAAFEKI RHGRTVIAVAHRLSTVQNADVIFVFDQGSVLEKGTHAELLQKRGVYWDMCQSQATDQ PFICI_04563 MELIKQKPAKWARTSTEKTRTGCITCKKRHVKCDEAKPSCGNCL KRQGYCEGYATRPKTKKKLPGLLSWNSKERNPANDAAQPYPALQLLVDPDSLDPQDQT GMPYFLEFISLAQGPWVTAISSDDLWTAMIPQISTNNETLRCAANAIGALSIWRQQSS GRLTGQVCAPENPDTAEDRHYFEAIAYYCRALKNVQEETSSQGTILLSVMLLLFELLR GNKKVALDHVNHGLAVLLTLLTDENSQRFIDNFAPNPRPILGAIADTFISLTTNTRAI LRGRIDQGPSLPNLVRGLKTRKETVSSFVTRLSQLHGSHTVFDQVPPVYESLEEFEEH WTAVRRRQTTMTVIMLEALQDFRQSEHDSINQFFTELCENPRIHEFCQHSVEVVQELY AAFSPLFNKIIMGDMKSPAYLKAIHLRMALIGVQIFEDPPRMLDVASVQSQTPLYHEF LSLASSAIQVAKQEITNPAHQVSLQSTLAWNLFVASYFCRDPLARDQAMWMLRDYPGQ DGLWDVQSLFALAQRNRDVERANTVQGTMAEQWRRLLRRELLFEDGGDRVVFRYLEMD VQSSEWKLVEEVADIADTVTGEVVWTRRPLTGKGGMLMFELYEN PFICI_04564 MQMIYYSFLLGAIGALGATLADFCTQDHVQESLPQGVLPGVTFD AASITANAVSNQTVTGGSNFPGGTISYCNVTFQYAHANLDETIILMYWLPDPAEFQGR FLATGGGGLAISSGSSYLPGGILYGATAGTTDGGYGGFQSSLDNVVLAGNGSLQWNYI TLHGYQAIHEMTVIGKSFTGNFYDYNDKIYTYYQGCSEGGREGWSQAQKFGAEYDGII AGAPAFHYAQLQTTHIFPSVAEQTLDYYPPPCELSTITSGIVTACDPLDGKADGVIAR SDLCRLDFNISTLLGTPYYCNATSTRPVQDGTVSAQALELVDIIMAGLHNSKGELVWV QHEPGAGFSDATTTYDQTTGTWKYSIPAIGGDYVTRFIQQVELSNLPNLDNVTYDTLS GWIIESMYTYMSTLQTTYPDLTDLQQGGGKIIHYHGEQDGSIATGSSVIYREAVRQVM FPGLSYNESNSKLDEFYRFYLIPGAGHCGPNTAQPNGPFPQTVIQTIIDWVENAIAPE TLNGTVLQGQNQGQNQQICAWPLRPFWQDGSTLACEYDQTSIDSWTTAADLNAYKTAF Y PFICI_04565 MAIDFVLSHIADFGGDPGNVTLAGESAGAVYCHAHMAIGSPVRQ CILQSGSLYLSPPQPRTNGSVVIGRLEGALSNLGKWTMRDAPVQKLLEAQAELGLVSF FLQAEEPLDGWETKHGSVERLLIGDTEYEAVLWHNGMQELSAPQIAEAFELAGSASAD LSRLYGIVKDRPSACKYGALDFLNDARFVLPVEMMTETWQEAKCPVFRFLVDQPNPWQ SSSRAHHAVDLLYVFGAFDLSFNPAAQAVSIEMQKKWIEFIQGQNPWQPGGYWTFGPH GESQSIDDKGFAARRRNRHLDALKSLGPEVIDPVYKALAAGRLSLLN PFICI_04566 MDMLATPIQTDGATQWSRALHDNEGSQPELVASARRQEIGKRQQ RHREHSTSTAAMSYGGANGISNIEPQGGVSYGEYGSDTVQHQLDMIGSPHTADDQIST GFLDFLSSDSVLFNFDVPSGHNSPRTHLSTQSSDRAGNIPAERFSQVARLWPIRTAEN SAHMTRFSLWSEVIEFAGDNICTDPSNSKTSPSLSIGDQDESKWGLDEDKRLLLMQEP MAIGIDNQTGMLDNRPMNSDFPTTRLLNIALDSAFRQPQSVLVFIHRATFSAKTASNS IIFPLCLLGLATLDSRAAKEHTLAYLPMATEQCCTQLAHFQSQAGNSLELITRLISAT LLLATWSMIPLNASREETLVQMLHMQVMLRAKNAGLLLPPQTGCLSLSQGLLEKIQGR AQSRSDDVPSDDGLWKAWARVESVKRLVSVLTVMDAWWSHNMSSRPQLSSNYVGLEMP GSTSLFRCTSARTWRRLIDEETKIFNDCALIQHYPLLIQLPGMDDPSPISVVGLLSTV WIRINEICLSMPVYAQPQNHSSVMPQRIVAYEEQGKQLGYILYEIHTKYTSFLQLKNP NCLVLWHFLNLHLFCQVSIFELAAGHSGAESARTALQEIAAWCHKPEARRACLHAAGI YTAMSRLRIKDGTMFHSEASLFTAALVLGLYVFMMQPETDSQHGSDCATPETNSSQRV EPYEFLNDVDWSTLRGGRLGLGEPLLPSSSSPAVVEFPVPVGGDEPSRAARQFIRNGG PVSFSGFICAGGYQSAKMVLHEFASLLEGIGKWKAKRYCYILKIMSDTLLDIDDR PFICI_04567 MSPSNGVVVKPRVPETDPRKVFHYTDLQRSKPTRENDPYDYQPG WGNRHQSEVIPGTLPVAQNNPQDRGFGLYTEGITSSSFVAPRSVNSSTYMYRARPSAA HNGYEKLDTKSHIENCFLSLNPAVEPLPQQTEWSPFPLPSEDETIDFTNGLHTLCGSG DPNLKQGIALYVYMINASMDGKAYCNTDGDFLVTPQLGNIDVQTEMGNLFLQPGEICV IQRGVRFRVALGPGVTAARGHIAEVWGSVWELPDLGPLGGYGLANPRDFLYPTAHIDE DLHRSFSIVVKNTGKHYAIEQDHSPFDVVAWHGNCVPYKYDLTKFVAQNSATVDHTDP SVNTVLTAKSVDPHVPLADYLWFGPRWDVASNSFRLPYFHRNSASELLACIYGNGLGR SDDFLPGGCSYEGGHTPHGGFSDEYVTEVKLQVNEPRRILENQMTIMVESSRTFLFTE YARKLCGVMQSQATDPKVWSKLPDRFSTNPVVKQLLARVAEDKAKSRAAADYYHSVDL SAQSTAIHAAK PFICI_04568 MSPTGAGLCTGMKPVLRNLFLTLLAGSALAGDVPKSYSTRDPCP VTCDTAAGGAWSVYTNVKRVEACDKHMLLDFALHNPLDDPSTTVKIRACTLPDEVPSV SRRLVDRDTDADSDLCVAGASSIDVSLDVASSGNGSYFTYATANALAQVSSYLSASCD LSQVFSYSTGSIVGVFVGAAIDNGGSTTAVLAELIDMVNNTDTVTPEIMFAQRCVSEG SSKHTFGVAINNAADLSWVQSSVQSWSNGLCLNASSLTSAETSQIANTTIYEYTHPAV TLSNITVIHPNSTATTSGTITASLTSSATGSSGTADLGTSTSTGLSSDAETSSGTLLS TASTSESTGTSTAAAVTPPGPTQTGIVSTCNAYAIPTSGQGCWDFANDNGITTDELYE WNPAIGDCENFWADEAYCVGVSSSEKRDHVITARNPLRYQWKRDECDTTQIYSGDTCT SLTAECGVSLDDFESYNDGLCDDPLSPGQHVCCSEGDLPDYTPQMYDNGTCYTYVVNT GDTCSDIGAAVGLTITEIEEFNNGTTWGWNGCGDLLADAKICLSEGDPPLPNAVANAE CGPTVPGTEMPTDGTALADLNPCPLNACCDIWGQCGITPQYCTNTTGPSGNPGTAPSD ENGCISNCGTDIVNNSHNFTDKIYVGYYETWNWDRECLNMRAASIDTTQYTHIHWAFA TISDDFEVVINDTYNQWEDFKALPVKRIVSIGGWGYSTDASTYDKMRTAISPDNRKTF AKNIITFLEDEDIDGVDFDWEYPGATDIPGIPAGEDTDGYYYFQFISIVRGYLGLDTD KTISMAAPASYWYLKNFEIYKSALECDYVVYMTYDLHGQWDYLNSWSQDGCETGNCLR SHVNLTETYYALSMITKAGVPSYLITVGVSSYGRSFKMAEAGCTGPECFYLANSDNSS MAAEGMCTVTAGYIANAEIKNIIYSNSTNVDSWYDEETDTDYLVYNDTEWVAYMSQDV KTSRTQLWNSYNFRGTVDWAVDLMEFTSDDGDPDGTCESGEYDDDDECVVYDEYANVD EWTPCTDGPFDFDDLDDDTINSWPSWCVAQYTIESLKNLFNDKLSDFTDMVNGDYDDK FDTYAEAVADSASSQVHDFMMDNGNDYFTCNIMEMSTCCSFCDDCNYCFGNENCYNVV SILQGLHTIEQKTLIVHWENKSEPCPPDLSERGYGTHQSIWWHLTEDKSAAFYEDLLN ATGIPQAKIGMGRYTDVDSCEGTSHKEGDGAECWNQGYEFNVPVPQGYTEDDVTNPKD TVQSGLDAASDLPDQIDSILTQLKVLTYLGDPYELIDAISLPILMIASGVESMQEVIK TADKIEEAERKAIILAFISALLLFIPIVGEVASAVAGAADVAAVIAILGTVGNAAFDI YTIVDDKDNAPLAIVDLILAPLALADVAIVAKAATIRRGMDVADIAKLGERVESRMSK LQSVTGVCHA PFICI_04569 MRAFGFFSYGFGLTLVNLLGYQISSAAADSTKIHTIETVDLYLA YLSDEELVSNGAADTYTIAPDCVGVAGRCSFKELMMYMAQGSATREWVSAPKDLTAAQ VLSTSLDELSNDVGAGMLKFTKTYRGKTTTSIRTAFKMVDCGKVLPGSTKYNEVLEGL GKVVQKLNNFGVLTPSQQQVVDKFKSASNASWQLRIADNDSYRNKAMAEEWGLTEEQV SKEFTIDGLDGTYRKYDAEKAAAAATNEERTVTVTQAVQASDDWADTDLQASKHMGMI KSSSEMLSSVTCVLR PFICI_04570 MADNDRHVAAGAVAQMEDSNQELHWLDDDAEDDTYENLDANLPN RRGRLPLHSLCATAWYDLQDGRHYGLEFLANYLIAKTNDIDAADANGIRPLHLASMMS EWMVKRLLTAGADPTSVTSEGLTALHLAARAREANIVGLLIDELGKVPGRLQQSLDAP DQTGRSPLFHACRSGRPESVQLLLDAGSNVDLLDQDGLSPFHACAEYEQEQALWEKSL KPDLFVMDLLISQVNRQGWNRVAEGGVMLHDTSRPWTPIYRILMPGLRDYMAVAFEDR DAAQGWPKDYESWRIRNMESEQDSTRLEEILEMLFREHSKRGTDVATLDSHIIACIDR CKAYGSYNSMRCFQSKKLDWNLGLVEKGDHDALLEHDSQVLSYCASNRSLRSHHSSVR YLLRQREYRAIEQLLRTSSITPHDARQILLLFVRYGFGRLLKIMLTELDLFQGKSLES IYDRDMWDPPLVVACQRELPNMDVVGVLVEQNHAEINAQSRTAQCEWQADISRMYSEE LIRKVAGKNTALHEAAKGRHWWHIKQALPFLLTAGADRQQVNEEGKTPLDFSLEWQRR YGDEPETFASEARALLQQD PFICI_04571 MLPPNLVSLELVGCAGNALPSFAGMFLALLKSIREGRFQDLRRI KFQPGSVPKIVAETYFDDLALAEKYAELGVAFTYQPPIFEYSSLTSAIDRLWERGRTM DHSFLEISGMSNCGPFDGALPMPLPDDDVDDDL PFICI_04572 MEQHLIEYIVKQLETQIDAAQEAVKQGFDSIKEDMEEKEAEFRA SCQIAVDALEDKRRQDAERIHHELQDSVYQAEQAWRSLVASMMADLGRVRSDTNAAIL AAEREVSDAQRSSDEAIHDAQTELQQARRDFENAFGSAEGDLEHSRQKVESAQHIVDE LDRDIDNANRATDREPWYNCPPLIAEKAVLLGTQASATATLQVVRGIYYAEEAIVQGS GFVAADDCIAAAEVALDQARDVKMMALNVAKEALLCAKEHAEGAINLGLDLDKWAAQH TGKLFDIRKVESRGSVSSLIRHEEGNSGPALMVHIEVELIKRLFEQIWAKIKESALGG LKLLQEQ PFICI_04573 MDARLDGHFDLDKPSQSGDPFQIIWPEKLPQCHGVCERTEDSFG GGRRIILSDEVQQDAERGCPKCMIIFHSCRDLETTGAKLEVDCHADKPGMVRLSLTWP EKPTSYHTVQVYVELGTPEPSWKYIKPRRILTTKRRVEYKPLLHSWIEKCNESHTDCI KTNPELPHIVLDVGSQDKPRLFLHVSFKQVGQYTALSYCWGRSNPPKTTTLNIEQHKR YINFDELPKCFQDAITVTRDLGIRYLWIDSLCIQQDDTQEWQKESSKMADYYNHAYLV IAASQAGDPSQGFLDSLQDSSNTTKYSGIHIGDIINPDSSISRIYREKLSLDYWWERH ADPLDHSPLNRRAWVFQEYLLAKRIVHFTANELLWECVEDLECECLETKNSAWDGIGT VGIMRKNRFLSIGQLYKDSTRLQHWLGVLSQYSTLSITKGSDVLPALSGLAKFWRSPE TGEYLAGFWEKNIIESIGWIRSDDQDGPKRALQRSKEKHRPPSWSPFSIQEADRYHNP RLLIPVWISSAVRKKYVVVYEAVVTTAGEDETGEVESGYLKLKGPVTRVDIGPQEEHV MESKIELGGMSVKVQWDIEMDLSRGLHIALLLIYDEPARLRALVLKACGCVYERVGIL FVNWGIGYNGKDFKSISKLLQAGTKEVITII PFICI_04574 MRFLTLEDGNLRLTSYYGDFPPYAILSHTWGTNEEEVTFKDLWD GSAQKKKGYRKIEFCARQAAADGLSHFWIDTCCIDKSNSTELSEALNSMFRWYRDAAK CYVYMSDVTIGDNSADDSVESEEPREELAQSAWEPAFRQSRWFTRSWTLQELLAPKSV EFFTSDGHRLGDKQSLDDEIQEVTDIAAAALRGGDLMSFTVEERMSWASRRQATRDED HAYALLGIFDVYMPPIYGEGRRHALRRLRKEIEEYEREEARYTSSDKKPEQRSQLKVH DQLDSNEMLISPNGRFTFENQDDGNFVLYDMHQGRLPLWASDTCGAGGNRTIVMQDDG NLVQMFVWNRWPIWSTETGGRGNGSSVLVLQDDGNAVILSDGQQIWQTDTKQRSIVEE TKPLRAGESLEQAHALYSENKRFCLVMQEDCNLVLYDRHENHRVMWASNTVRELVKLP LLQLGTDGILVISDRGYEQWKSDNTGEGNENSILVVQDDGNVVIYTGDDRQEAIWDTG TWLD PFICI_04575 MASQSSLNAHKQEMHPEPGLETMPKRFQDETSPRIRNLGTDQQQ SQPEELGEPSAISANQGNPLLQTEIYNKDNPMALVMRGLSLNQQRKWQELPREKQNAF MDAMYVPGAAISQISSQIPQPPSTIKNWGELKQWLIRINANPSAQKRALELQQLFFLK LLSGNQAPTQNPGGDQLPPGVQPLDTEESNRNAALDNDLRNVTVVPEEIQHARLANQK FNGWNDEDVWRYLVQIKQHQIKKKYTPRPPQQQPTPDQADQSG PFICI_04576 MIAMAASISAAATAADEAEIVEGTLRIYGNDSYTIPYRKALSND APRARYPGFDNTTYLLKNGTIRRDGARALECDIILERDVAMTLRDGVTMYADVFRPAN NASVPTILAWSPYGKEIGGQWLDDVASRSGVDLRAVSELQKFEGPDPAYWVAQGYAVV NPDSRGAYSSDGNITFWGRQLAEDGYDFIEWIAEQPWSTGKVAMSGNSWLAVSQWFIA AEQPPHLTAIAPWEGLTDLFRDSSNRGGIPQPGFQEGIITTFAGNNFVEDSPRMIINR QFMDEYWEDKVARLDQITAPAYIVASYTNTLHTHGSFQGFRNISSSQKWLRVHNSSEW PDYYATEHVQDLTRFFDYFLKGIDNGWEETAPVRLAVLDPAEHDILNRATTTWPPPGQ VPNKFYLASNNSLSPTLETMEQSASYVVTGGADSIEFKWTVDELVETIGYMKVRVWVE AVGSDDMELSFTVAKRTANGTAYLSHAASSESSTLYESTGLLRVSQRHVDESKSGLYE PYLTHDREELLSPGEIVPVEVGLWPIALRLHPGEQLVLTISAASITPATVDLGFGTAI VPVPAAGGTFAQGANVTLINLGGDADSNPAYVNAQRVETPASRNNGTHVFHFGGSYDS YVLVPLGAVSSSRCSSQS PFICI_04577 MDVSAPRDNPIDSIHSQSQAQKGLVRNQIACERCRLHKQKCDGA SPCSKCAQRGVTCAYRVKKRPRRKRAVALPRQGTAASTAASTAAELCSLFPRVRASQD LPGKSFSELYYGPSSNFSLMQQLYRQLSHHHGVGLQPSPGYVQDAGDGLDEFHYRNVC FHSQLANLDRPPYENAPNDPPRYLDAYFETIGVLFPIVREEDLRARLPHLEALDGLAL APLHRAVLLAALATGALFCGARDEGEGLLQMAHQIADEYRHIINNEVVIFNFLMISRF FCLPEDKTRTFAKFLAHALFYDCTGRYNLAYLHNGHACRAAHAVGLHQTSWTSNSMAS DPLGPTVYCALFVQER PFICI_04578 MRTSLFLLAAFVVPVLCDTFSQFQYFFPEWSKELTYIRDNNCSD DYAAYIDPDKPRIHHDPSGYTPINFNGYYLANCILDNMDEFQKAEMGVVAVVLGLLPT LLTMIGPTRDEVSLLALRRPVLAGLLSLSQPVLRQADAKSSPWENLDVPHVLRILPFL SRPDSWWEIMLLAIEYVTAMGAVANLVYLGVQLAYKSITVSTIAFNTLPSTYGTLLWL ILMVAINLLSTLDLWLRCRMGREEPPSGTPHSWLSIQLAPRITVHNPKALMTVHDVET TPRFSATIYALQKLMSFLADMGVVALFIYATIIMSSQLFISMWDAVKLMAQILAGAMA SKLVLRFELHGMHARQTGASRPPHVET PFICI_04579 MWHRYAVLLLLIFTFGDSYSETKFNVSGAQPSATNPIGNPAFPG TTTSGGNNYVGFLISEHEGTFDYDLAYSGATIDDALVAGYIDSVLSFIEQNEEFASYY TGSNPIAAWQPDSTLFTTWFGVNDIDRGYFPGNWTTLRPKLLDRYFEAVEELYELGAR NFAFLSVPPIEKTPDVLSKNATVQEGYRTAVESFNSLLQSKHEDFATAHPQAKTWLLE TGPLFNQALNDPQAFGAPDAVCYNSDGISCLWWNGFHPGQAIHKLLAEALRDLVES PFICI_04580 MLNQVNLYLAPLCGFWVLTAGQLASSKSSQVHWLGELPEYNPGT TFGLPWARGQFDSNSTTFIAFDGLDEISLQSWVTGFWPDGSIKWTGHAVGASDKVFEQ LTITASGFEPNQSSNYTGLPKRQSSSALRVDDSADNITVETGKISVSFPKTGNSLVSS ILTSSGKLIGTNGRLVLQSQSGVVDDGTSPSSIDYLDFESQIESVTLSLGSFVRALVT VNGTHTVTGDTEMDHRAWLPFTVRFYLYADSPMIRIIHSIVYDGDSSTDIISSLGIRF DVPLKGEQLFDRHIRIGGLNDGFLHESAQGITSASKDPGLAVRTAQYEGKAAPPLSQW NNATSSRLQWIPTWNDFRLLQLSPDGFTVKKRTKSGQSWVKIPGGSRSNGFAFLGGPT VGGLGLSHRDFWKRYPTGLDVNNATADMGSITLWLYSPEAPPNDMRPYHDTMGADTYA KQLDMLEATYEDYEPGYNTPYGIARTNEVFLVGFDETPPSDFLATLARYSNEPPVLVA DPNVYIESRAIGSYWDQPDTSTADSQAIESKLEFLVQFYREQVEQRRWYGFWDHGDIM HRYDDSRHTWRYDSGGYAWDNSELSPDLFFWNQFLRTGNADVYRLAEAQVRHGGEVDV YHLGNFSGLGTRHGVQHWGDSAKQARISTPIYRRVFYYVSGGDERTGDLIHETLQAEW GFVNVDARRKVRDPSVIYVPSPEALYIAFGTDWAGLACAQLMEWERRGPRWIEARDKL TRATSTIPKLKFGFVTGEATYNLYTGEFSAPPTDPDNLGTVDISHLNGVFGMQEVIDQ IIEHFGSDLAPGFEDAWLDYGYYYGAPKAEQQARFGKSFSGVSLRQGHSKHTAYAANR RNNSTLAARAWKEFLDTDGLKSNGTWATTQVNGSLTLIPVTEATFVTTNDAALFGLAA IELFALVGSPNSTTV PFICI_04581 MFQLPPATLILVFSTVLGLTDAHALPRQTTTVEHHELQHIVAWP PIPTEAPLSPFELLRRQEDNTICGYIGDLPATCSAGSHCVLDTEHNVMGCCPNGGACT AGVFTGCVDYNSGPQTENNPYVYTCAGSDVCYQNDFGGGVSQFGCGTASSLATTVQAS LSGTDVMVITSSVDLTATPTTLSEPTTINPSTGTRSFSSSSSSTSSSSSSSSSSSSSS SSSTSVATSATPTTSSTNTSTPTEAPATTSSSFDRTGAIVGGTIAGVAILVAAIAIAI FCLRKHRNRRQGPGPAPSAPPTSEYMSPIRSHGAAFAPLPTWQEEEEPVTPQPHYNQP YGQTDHVLDTYTPYGPAQVHGAQVAQGQYGVPPSAPDYTLPAVAAATPIADAHMRNPS NEIDDFSHGYAPAVGQTRLDEDQQPLTSSAYPHDYSHGYTATGGQFYAEENQQPPTDP THHYSESDYGGGTHRSGDRPLWQQNIRGSRNLTWM PFICI_04582 MDPVLSETAPAQIAAEAKQQNGITTTKPHEEYQYLDLVQEILET GEHRPDRTGTGTYSIFAPRPLKFSLNANGSPILPLLTTKRVFLKAVVAELLWFIEGNT SSLALSEAGVKIWDGNGSREFLDGAGLSHREVGDLGPVYGFQWRHFGAEYKDARADYT GQGVDQLAEVIHKLRHNPYDRRIIMSAWNPADLKKMALPPCHMFAQFYVSYPRAPING TTTNGEDAKKPRGHLHCQLYQRSCDMGLGVPFNIASYALLTHMIAHVTDLIPGSLTHV MGDAHVYVDHVDALREQITREPREFPGLEIKREPGGSIDGWKAEDFVVKDYNPHKTIA MKMSV PFICI_04583 MSSVDVNTGPDDLSPADDTTVTTPKERHSLTLDQRRALRRWAHS QTTRPSHKACIEWFFGQYGQNISQSTISHSLSPKYARLDNDNQFSGSRLRFGNWPDVE KLVLLWHQQVVASGRNPSNEDLADKAKSIFTQLPRYKGETPPEFSPGWIHRFKKRYGL LIRRQRRHGNAPNPADDIPYLVDAVPRFMTITQETSPAAIRDAVLRVVGVEATLGICA RVRDEIIRRMENPGQPHAPLPGADPQEPESFVEEDPEVVLQNALRALQQEEADAEAEA AAVRDQRDRQEQGLPPLPVMQTPQQQPAQAARTVARFTPAAPEELTLTPISSGGPIAS NDRPLRCPFCVNQRMLRTIKEAVEHMSTHVVV PFICI_04584 MYTQTSEFMRPSMTHSETRITDILRKALRRSIKGEKEKGAQVSI APKAAVAIVPPKKVIRALYDYEGQNAQELSFSRGDFFHVIGRENDNDWYEACNPALPD ARGLVPVSFFQALGRTERDSAQSDSGRPGSKNPDHDSGYAETTSPTPAASQRNSKSAL KNGAMVYGIVMYDFQAERADELEAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLIPVS FVEIRDMSTDTAVQNPHDAVKRAGVPRVEEWKKMAAEYKNSSITLGKFDGGGPPGQQG QPGIEQGMERMSIQQGGNRTSQQQQPQSNPQSPQQQQRNTQQNVYSAAKTGNSLLAPL SARIPRYCFAEEKYWFVIEAQLEDGRHWELSRYYEDFYDFQIALLTEFPAEAGNTNTQ KRTLPYMPGPVNYVTDAITEGRLHNLDAYVKNLLGQPDYIAKCNLVRQFFAPREGDYE IDGNGDEYRLSQGSGLSSTDSPADGVSGQSSRNNLNGNGYSAQGAAPRQMQNGPQMTR ENSGLSHPSQTSLTPGMAPQQQAAVFKVKLFFNGDTFVIRVPSDIDYQMLNDKVRERV KVGGELELFYRDEASGDKLGLMSDNDLNYALDHNEKLVIFVEQV PFICI_04585 MYTTLSLLTMAATVSAAVQGFNYGSTFTDSSAKQEKDFENEFTT AQGLVGASGFTSARLYTMVQAGTANDPISAIQAAINTKTSLLLGLWASGGETAFQSEL TALTRAIEQYGTAFTSIVDGISVGSEDLYRNSPQGIAAGSYVGADPDTIVDYINQVRD AIKDTSLSGAQIGHVDTWTAWVNGSNSAVSDAVDWVGVDAYPYFQDTMVNDIGQGGSL FDSAVGQTKSAVGGKPVWVTETGWPVSGKTAGQAEPSLENAKAYWDDVGCQKLFGKVN TWWYTLQDAAPTEPNPSFGIVGSTLGTTPLYDLSCDNITSPSSSSSSEVSSKTVSGTA GSSATGSSDSQPTDSAGGDTSGSPGAGGIQSSQISIEASATGTNSGSSPEATSSGSGT GSGSSGSSSGSGSGAGTGSGSGGNGTTPNSGSSSTSTSVPISSGSSLESFGAAFVAIL MTIMAL PFICI_04586 MASAPSFKELTKALNNFLPNATLPLPQELIEVIEGYVQKHESDE ENVSQKIHEELISVYENDVLGHPSRYGPFLNIIRRLRPLLAHPERTIKWWELLQPCLE HMSQEKDLAEEGQATVLDILTADWGDDTGNPGGGAAVPLAEKMLELWLEGCEVAGRAE DALGNFREKQVRETLILYGKKRPRDFMTIVGKFMARKEYRARTLHLLSDFIRNRPPHL YLILQTPLFGNLMSCLQIDTSTTMISLALTVLTMVLPHLPSSLVPHLPTLFNIYARLL FWERELSATEFGLDLTMDRRLSSFDASWEKISYSPGFDETAIPQLLNYFTILYGLYPI NFMDYIRKPQRYLRHAEVFNSDDIEVQPTEIRHASEQFRRCHVLHENFYTLTIDSEKT DFGRWIKSEPAEVVADCMALRQPFESGPDLVIPHINGVEQHELSIRADADKDTPEAAL LSRSNPMSSLPFQSPQGESWRSTQTIPVESPSSSRVQSAIFQHSSQSSRQSFRDSSST RPSGKASDSPTLPPVGSSTNLQDMLNSNKAIKSSLNQSLGNDSVPSLALSHQESIPDG LPSAAKPVQATTNMTTSSTLEDKDAQINHLYRQILLLHNDLTFERFMKQQHLTHMGEL RRKQVREAASEAETQNLIMANRHLKKRLEEAKKIETQVKKDSEKSRNLAKKWEADLSS KLRNIREEQKKWLAEGETLREDLAKAKAETNALVKLMCDVEVKENNLKQQIEYIEAST EEMERLKREVKRLAESERNLQSQEAQRQAAMTRAAAADGRAEILQKKLDAQDIEFQQT RDLYQSQIAVLNAKLQEALQNGSERRAENLKGHIESVLAASRSQQAELNKRVAELTKR NTALNAAILDLQSQPLVRTLSNPLLSRSPDSDTPAENGGSPSSFFSSRNRQLRGFSDP ETFDATRTSYNQTPPLGGHVEALATSSSPFRPSTPSGTETSGSTGTGPGTGGKAPPIP IVERYHGRGKPTKQLTGSRSSL PFICI_04587 MATTKNSAVSEDPVDVLIVLHNKFDLLDFAAVVKVLTSALHDKN DTATKAFEITTVGAEAKVLSSQGVLVGPNLSFKDAYEQLDTYDVLVILGGDYDTVLKN KAEPLPIIKAYSDLQMKDNLRERTLLSVCSGSIFLAEQGILSGLAATTHPDYMTAFEN TCSHSSVRDGTDRCDVDEIARYVVNNLRFDLGDEDENPYIRRKSDAGQQRSGPGARKG SISFKGMSRRESVARRAAMRLGGLRVITSGGSTAGIDATLYLVSILVDEDAANEVARS LQHNWVKGTVVDGLDV PFICI_04588 MASAAAKTFRTGTKQVFLPDHIVTLCRPQRPQPPQFATFQVPLT FNKLDIRDYLLHAYKIKTLGVRSHLAQRPPRLSAYTGRIGRPPPIKYMTVELEKPFVF PAEPAEEEHKKWHTEEMQKRVDMEKEYADRARSYREKGTLISPTMRRRDEDRNMLAKQ AQDLLEGKVKWGNERTLDPRWQNSQDQS PFICI_04589 MAPTAMYLSEDISDQISSKKMGLDKSHMFDLNHKGSTPEVSLHD LAVQAPVQSRGGSTTLESNGLKSLHGFGLGSKKYELHTSSSMGSLSGSAAGRHKISGV SSALAMDSQRIEFSEELSAREKSLFMETVEAMEQLAHQSSSMKSLFATMTAERRDIVV TLKQYYARLDRLTLLIQELEEKQKKLKRENESGKTSMLKLTFKLKEAETSIDTYKDKV REREGTISKFQIELEKTQNEIDEIRKTHTMKEAEWTRTQGTIEAVERERDIAQEIIID LRAQLDKYKENESKMQQSNLVVTEKNEILRRELDLVRGQLTTSERMSDELAHMKRGLE DENRTKQSRIKDLETKLEEANEQYEQIMESYNEVQSVNVTLKSQNSILEQKVRYLKQA LQDTNNKLEEAQRKAENASNTVEHLTSKLAMAQKECEALRVEITKMSEKVSKKQEELR IAINEKERILQEYRREMVKSEESNRKVAALEESLRRAESSLKEKTQLHYTLTERIGKM ERELNDAVSKYGSLASENKKLEAQASSLQAQIIEVTTRYENASEKLRESEGNDEDLTE KLREAYADHAELERQNAELRRLLNNTREQMDSAISSRVVADQERDQAITRYEEKGREM QKTLEERQEAASRHFHSNGHSSDVHHAVTQSFSNGSVKKKGKKHTTLGTCF PFICI_04590 MAPKTVLITGCSDGGIGAALAAEFHAQGCRVFATARNVEKMQSL RALGVETVAMDVTSDASIAAAVAQVGAATGGSLDYLINNAGVHHFMPFTDSKADDFRR VIDTNITAVFVVTHAFLPLLIQARGLVANIGSVQEVFCLPFQSAYNASKAAIHAMAHT LRVELKPLGVRFVTVVTGGVKTKLYENAPVELPADSYYTPIAHKIESREFIHNVKRDD VDDYAKGVVRDLLKPEPRWTLWHGSQTYLAWFLSWFGWDGMIDSQIGEKNGLNELKIH PKSD PFICI_04591 MSSCLLFSNSDKSIVLVDIPRSIELAQLPKGQTTPSNRRLLSCQ PLAKPWQNQVSSKSSQEPNMSQSASALIDELMACETVRHAHKAIRDTYHGDWHLPRTC APLPDQTTIQHNTEAPAVPQKRKLCATELHHGDSPEFNYIPADSKYLLGSIESQRDSF LKTAPQFDIVVLDPPWPSRSVRRKKKNSYSTTYDMTEMHDLLSLIPIASHLKLEGIVA VWVTNKPAVIDLLKSPGGLFDQWNVEPIGEWIWVKVTSNGEPIVDFGSTWRKPWERLL FAKRRGSSQKLQPERKVVFAVPDLHSRKPNIRMLLEDFLPNGYLGLEVFSRNLTAGWW SWGDQTLLFQQKQHWVDDSATEKQGPKTLCQ PFICI_04592 MSTSLNTTDPSAGTAEKSEGISLVAFCTSLAAASISFGVQTALF LLLRQKLARIFKPKTYLVPERERTDPPPESPWGLVNALMRFKDREIMNKCGLDAYFFL RYLQTLLIIFIPMAVIIIPILIPINYVGGIGQDVTINTTDTDNSNNANVTGLDTLAWG NVRSTKTHRYWAHLILAVMVILWVCGVFFAEMRVFIKIRQDWLTTAEHRLRASATTVL VSSIPDKWISEEALRGLFDVFPGGIRNIFLTRDLTPLLEKIKQRDKIHKKLEGAETEL IRECKKNQLKKREAEEKKARRETKTKRPTKVEKAQRQQAEDAAAKLQAESGAGASSGD HHEVPHDIHQGLEEAHEIEEQSGEHHDANEGRPSRDEGGILGIPVLGTGLSKLTHGFG RGADAVGRTGQGILGGARNVAGQLDHQIETTNGFVTTIAPFRPSNDRRHVRLPSGEDY PRPSTAYSETHRDMYNAPTNTTASAPLTHSRNVSVNSQGNATNKDSIEMRNFGNTTRK ASNLDDMYINEKARWFQFWKPPSGGFASPIPQRADDVEFPFEEKSGWQKFKDSLPFIG KKDEEEPYEYAKAYDDEFKDDGGEGQPEWEKWMKKKDRPTHRLARFDWTPDFLPGLPF ISKKVDTIYWCREELARLNLEIEEDQKHPERFPLMRSAFIQFNHQVAAHMACQSVIHH VPRQMAPRTVEISPNDVIWDNMAMSWWSEWLRTAVVIVVVAGMIILWAIPVSFTSTIS QIDSLIDTYPWLGFLKDNEVVYKAVQALAGVLPAVLLAVLLLLVPIIMDLLAGFKGAK TGSQKTETVQLFYFPFLIVQVFFVVSLASSITNVLGDLAQDPGSIADVLATSLPKAAN YFFSYMILQALSTSAGTLLQVGALINWYIIAKITNNTARNKWTTNTKLPSVKWGSFFP VYTNFACIGLIYSVIAPLISIFAIITFSLLWIAHRYTMLYVNRLTVDTGGVLYPRALN QTFTGLYVMEICLIGLFFIVQDEFGKLACTPQAIIMIVVTILTALYQYLLNYSFGPLF RYLPITFEDEAVLRDEAFQRAQDRRLGLIEESEDGEVSDKERLAAHPHRGSLHQNGMD GAGEKHRKGNKFTNFAPVKGLTHAGSLAIRGTKVIKDQTYGRAEQSVKTATKYREERR KKDLEAQRAIGEALYGGYHDEIEDLTPEERDVLVQHAFKHEALRARRPTVWIPMDDLG ISEDEINRTNQFSDHIWISNEGTALDSKVRVVYGRAPPDFSELDLINL PFICI_04593 METQRIRIAIIGGGLAGATAANALFRLPHVDVHVFESAPAFSER GAAVGINANAQAALNQILPDYKEMLDKAGAVPMSSTRTILGSGKDAGTVIFDLKSGVS VHRASLLRELLAPLPGEILHPNKQLVAINSKTAPDNRSNLELVFKDDTQYEFDAVIGA DGIFSSVRRHVLRDAAWPEDYEASPAGFWDCRSLVPFEKAKAVLGEELFSEDRRYSWL GDGAMVMHDVLEGRTMVQCIVSAIDKDEPRSDSRKRVLTRENLTETLHAWLDGPIAGG IIDLTVGESNTHRYAQWEHKITPTYANDRVCLMGDAAHASTPWMGAGAGMALEDAMVL GKLIANITSPDDIAAAFQAYDAIRRPRCQKVVDTSRDTGRLFCGQFGLDAADIRSRIS TRWNFILFLDMEAHIQEALGEFSRFKHSQQGKR PFICI_04594 MSSLFALLSLATVAFGARPFLSYADTGIDVALSGVPNGTLPDLS EMKALNDFEWVAERNLNESSWAFYRAGAGGEWSYRNNLESYGRLRFRPRVLTDITQIE QNMNTTLFGHTFSAPFFMSPFGRAGYAHADAELNLVKAAAEENILYVASMHATKTLDE IASAKAEGQITGHQFYNEGTDEDTLAYFQQVEAAGGKAIFFTVDSAGDGNRHRAARWS VTSSDSGYTYATWDYYKHLQNLTSLPIIPKGIQTVEDAKLAIAYGAPAIFLSNHGARQ LDHVPSSLEVALEIHEESPDIFQQIDVFADGGVRYGSDVLKLMALGVKAVGAARPFAF ANIYGVDGVKKALQMLKHEIAIDCSNMGLNDVHSINSSYIKLNNPIWNGWYS PFICI_04595 MQSFLQYKRFRHAVKAQLERKRALENTSEKHDDDQTLVRGSFSL APGCGVDTQGDLERGARAEGREYPCHPSDHLHAPHGYRPGELTERNDMSVPRQVDQTM AATNRPQEEIEPRYRPSESDHEDEDGHGDAGEGSNGDGLSRQESNSDASLAQLTTQVT EGTRLGRRLTGINVRQRNTKEGGDGNVFVVGYEGDDDPLDPHNWSFATRLKCTLILAA IGCVVGIASSIDSSAIPEAAREFGVSEVVASLGTGLFLVGFGAGALVAGPFSETVGRN PVYIGTLILYMIFIMAAGLAPNLGAWLAFRFIAGVFGATPLVCAGGSLSDLWRPMERT WSFPIFANASFTGPVLGPVIGGWIASSPLVSWRWTEWITLIMSGLVLSIVILFQPETY PPTLLKWKATHLRDITGEDRYRAEIEIRKESFLHRLQHSLWRPFLLTAREPIIMLFAL YLTVVYIVLFGFLDGYNYVFGETYGVSQGITGLCFLGIVVGIFCASFMVPIIYRWAQN DLRKIQEQGGDRLPPEFRLWFSMLGGAFAIPISLFWMGWTARPDISIWSPLIAGVLFG YGILCVFITSYQYIIDSYESYSASALASVTMIRYMASGGMIPASIPFYRNLGVAYTCT ILACISAAMVPVPYVFYKYGPWIRSKSKYAITGTTSKE PFICI_04596 MGIFAKAGLKWLLSSLVFSQAQAFYIPGWSVKSYKDNEQIPLLV NKVYSDNTQLQYAYYDLPFVCPPTGKHSAGGLLSGQSISLNLGEVLRGDRIITSDIDL TVGQDSECNFLCNQEISRKDLKKAKELVKDGYVVEWIVDNLPGATSFVTVDKTKKYYA AGFKLGYVDYTSSKPRYYLNNHHTIVIRWRKAPGRDGDRGGKVVVGFEVYTKSIGDGD KREDGCPADLHNIDEHFELYITPNKTTNFAQQYPDSSYYPEDEEADDDATLSIPYTYS VYWREDDSVEWNRRWDLYFVNQEEGSKIHWLAIVNSLIICGLLTGVVLMILAKTIRTD IKGYKEALAEDGKLRVKRGRKTGLLSPRLEKGTGLGLLDPEGKMEMEDDLSSEDEGLE DVTGWKLLHADVFRKPAYGYILAPLVGSGMQLLFMAIGLVLLSAFGILNPSFRGGFIS SGVGLFVFAGLFSGYFSARVYKSFDGQHFGKNSLITAMLFPGLLFGLIFVLNLFVWAQ ASSTAIPFGTLVAILLLWLCIQVPLVYVGSWYGYVKAGAWEHPTKTSAIPRQIPQQAW YIKSLQAILLSGLIPFAVIFIELLFVFQSMWQDKSGYYYVFGFLAVVVVILVLTIAEV TVVTVYVQLCSENYHWWWQSFMVGGGSAVWIFLYCVWYYFAKLHISGFVSSMLFFTYS FMGCCVYGLLTGTVGFLTAYAFVRRIYG PFICI_04597 MSDIPIPREGHADSIVGKMDGALRKAGGVMTGGGTTRAPDTPPQ IPDDVDAPVKSRTKKRPDKHSMDYMIRSGIAGGLAGCAAKTTVAPLDRVKILFQTSNP QFAKYQNSWAGFARAVRDIYAGNGVPGLFRGHSATLLKIYPYAAIKFVAYEQFRAFMI TSPAQETWWRRFFAGAQAGVTSVFFTYPLEVIRVRLAFETKHRSSSLAAICKKIYHEQ PTNGAAAAAAARSATATSTSATATATASAVQAAVTPHSGLINFYRGFSTTILGMVPYA GMSFLTHDTAGDLFRHPSIREYTTLPQPAGAPTDKPAPLRAWAELTAGGISGAVSQTV SYPLEVIRRRMQVSGAVGDGHRLRIGETAGLIFKERGFPGFFVGLTIGYMKVVPMVAV SFYTYERMKTLFGI PFICI_04598 MTFLSSLQPGSSNTLFLWTPIGIQTGILVAVGLQECASMVSWRK IRGLILSTLEGLRVFMGAEDSQLPRYESGQYAPSLPSLANRNARQTLSSPTPDSVTVF QRSIVTSGDGSEDNPWRFH PFICI_04599 MQNLPKRLYIAATIPASVIVGLGTLAEQSDRLGVAVTTLYVLWF VLFLVFICLSYLRVSQDSDFHRICFYHLIIIGATLAFLGYLHNGNYNAKISFLWTPFT IQVGILLAVGLQECASVVSWRNFRRLLLSFLDGLRLLMGAQDEHLPL PFICI_04600 MFSNSVVGLALLLRVAPSVATPASNISPRDIVGTATISLAMPSN TPSHLASGFIYGLPDSADGSANFSIPDPLVKGMGFNYNRAGGAQITSLGWIAGEFEGR FLSALSNYRTTRTYGGPFQLLVHDLWGADGLQGSDAVWPGDDGDWTNFDAFLDTLISE IIANDMMEGLEIDIWNEPDGTNFWGTSQNQYLEMWKHSYQRFRAELPDVLLVGPSTAF SPTAESDWWHNFAAFISQEDCVPDIYSYHLLDPNANLRVTFDVLNGFRQTYGLPERPL VINEYGSLDGEQTPAGAAWYIGQFERYNVAGLRANWAGGYELHDFLANLLGKGNKGYF PVGEWQVYNYYTMNMTGQRVATSSSADDVFEVFATHEDRADSVKILAAVRPVAGIQSY DITVTGLSTLGIQDSVKVQTHRYDDAGWRTEVDAPVDLGIVEHSVVNDQITFWVTPET SSTAYTFQFV PFICI_04601 MEAWLKGKKRKSETPADAIQDDDSESTDVKLAILASLHPNVGQE ALLDCLLEHDGSIEAASGALSILDIPKEEKKLRNPAVIGSQTSLKFFTGNSAGTGDAL SPKKPRLMSKKGTTLHLYDPEDIAEHTPCSIVHNFLPADMANDLLREMLEESKTFEKI TFKLFDNVVQSPHTSTFYVETDEELSIQKHEYFYNGARLTDVRKITPQLLRVKPLVQE SVNREIEKRINTVYPRGEKLRFQSSDPWKPNAAFVNCYAGPQENVGYHSDQLTYLGPR AVIGSLSLGVAREFRVRRIVPKDADEKSAETPDLEGQIAIHLPHNSLLVMHAEMQEEW KHSIAPAQVIDPHPIAGNRRINVTYRDYKANLHPKLTPKCKCGIPAVLKVVQRRKENH SRYFWMCHGGYVPGKEKCGFFQWADFDDDGNPSWDRARYRKGTSHLPVCERNEPGDAD SLGE PFICI_04602 MEAPMLEFRTAGFNPYAVKYSPYFDSRIAVASAANYGIVGNGRL FCLGLGPQGIQVERTFDTNDAQYDLAWSELNENQLLVACGDGSIKLYDTNVADFPVMN FHEHKRETFSVAWSPVTKDTFASSSWDGTIKIWSPARKDSIKTLPVGNCTYSTSYCPS NPNIISAVSTDSHLRIFDLRTPINAQYHLVNKIPVHASPATPLPPGLAPPAMPSEVLT HDWNKYNDAVVATGGVDRAIRTFDIRTTTPGPASVMLGHDYAVRRLAWSPHARDVIIS ASYDMTVRLWTDGSTMAGAGPDAPGAPVRAGMQLGVMNRHTEFAVGVDWCLFGVGGWV ATVGWDERVLLWDANQLIRGRG PFICI_04603 MSRDEKMNFRHPVSDQGGPSRLVDSCPTKPLQRISHQLPQELNY QPWNDAEKYRPYQLGSGIYPYPKPQGPDKIYCPVPRRVSGSSPKKRTGTDTGSVEDNV HHSKPVAQVLGSRLLDGVRQALEPINPGHRAKPGKPAKKFPSLPTTTFGPTYQVANLD STRKNQQEPKVPLPEELESVSGLSNDFMARHRELRLCHNGVLESTVEFYYTCWIDKPF TAVLGHIENVYGPSRPVLDGIEYWKNQFSRAFPASVQYLMRNILIKLHGKFMSQIHSR CILQNGSMTNVNGIAEPLTSKAFLRVFFREFFEDLFSQRLPVLSQIQTLIWAHYPTLN PEFLPYSVRTAIGNVIFELMNQLITVNGCSVIKSLVDAASTFGLLQADNDTADQLLAA TSKDAKPWTISLPGFHVYYPLTPNSGRCSDGDTARTAGLKARNGVNGDVRDHEAHLKN EKTVHAVLESMCLNQKKILEEHEKRFQDRVNVFQNQVKEFNKTMEQILKDRCMEATNN ESTTDDDDSCFVEQKKQIEDIKKALEKGKGKPLPAVKSLTKTPLGHDSQASGTAQTGT STKTSGESNTQDSDFGVTGSADFQSARGTTKSSVSASDNGGTKSKDDSQFSSSQSGPS ENSISRVFNRIRSIRRQNQRARDYSGLEANQTMFGGVSEWRDSNDDDDWGLSMDEHAS NDEGESFGMGTRSKA PFICI_04604 MENPTAEIHGIVQALCTESLADQQNALDQYFTPDAFFIHPLCRV PSFSTRAFGHELNSRSLLRYIFLWYRFLSPHIDLTVDSAVMDTKNSLLYLTIRQTFTL WFVPFKLWQAHVKLVTVLALVRRPVDSKGFPSTDANGALVNSGGPSDKQLWFIKGQED HYQMEEWLKFIAPFGASLLWQAWQLFATYLCWLGVVCLWPFAAAVGHLNREGLGPGSK KTTKKQ PFICI_04605 MAPVITSPRSLARDAIPNSFDHHMRSSPFATARRQSEPLSTWSS RTMIARSAAAVAPRSPSSQHHHNNHYYRDLTPRLDDNADIVPETYGAPSGPNAGTVVG IVLGSVGGFLLLLWLIYSIANLGNGPPAVLETASVGGTESVVTRKSRRRQNRSHRRGS ASVRDRRETVEVRRTERVVPVPPVTTERIIVEERSRSRQPMPGPPPPAPPPPMAPPPR VVHDDSDDEVVVIEENSPPRRRDSRHHHRRQSSRRSSERRRSSGYRDIDPYRFAGGDA SIRSVSRRRSYSRDR PFICI_04606 MSAHSPPTPLPHPHPPKRARQSSPERDSPNSGPSAAGATSPNTV ETPIDGSGAQGSSKVGQSSNFRNVSACNRCRLRKNRCDQNLPSCVSCEKAKVACVGYD PITKKEIPRSYVYYLETRVGQLEALLKANNIQFPPAENLEYCSRAGAEGAADNAPKDS SHHSPSVANRPGPSRSHHNGDEQARSNKGARPGPGSGGRSLGSTNGISFARVVYAAVQ STVSDHKSHSERGGVKPYTKSTTSNSAAASGTSMRDSFFGLHTKPTINPAEFPDKDRA LHLVNIYFEHANPQIPVLHRVEFMQMFELAYATEGRIRGPRELYMLNMVFAIGKGIFQ TASSKNSEGPRSSKETQPEEYHASAIVHLEACLSSSGGGLEELQAVLLLANFALLRPV PPGLWYIVGVAVRLAVDLGLYLEDGKELPSLPDADPTVDPQLQDAHIRQRGRREYVRD LRRRLWWSTYSLDRLVSTCVGRPVGVSDQVVTTEFPTLLEDRYITPSGFTRQNPPNEP TYKVVAYHYFRLRLLQSEILQVLQFQQTQLARAGGQNRHNAYIHTQLPSPFLSRFDSF RSWRMDIDRRLYDWKNSAPTQEESGVEFSTEFFDLNYWQAVILLYRQSLEVPEMFEAE YNTSKEVNSPSLYPPETTGDEERVYMKVAEAGQKIIRIYRQLHVVGLVNFTYLATHHL FMAGISYLYAIWHSPLVRSRLSMDEVDFTILAATSVFTDLIEKCPPAEACRDAFERTA KATVKMSKQTGGFGQAPVRANSGRGNHDYLSARDASANRQRHQPRLSMDQTGPRAPHS TYDMSGGDGFAMNRRSSHVPPIQTGPFALSMPNIKTERDGYSMVRGMPGSRPNVGGLQ EEPDTSAIDPSLLPSPSAAQQAGQSPISVNSLTPASGNAAGSNVNYMRSPTAMNTPGQ LSYSGLQEMEFLQGLQNNAGMEDQLSGEGQLDLSGLGFGFEGMHHDFNDGQQVDLLDG FWFGGQQNGGGGAGMP PFICI_04607 MDPTQPKTPFPTRQMLVLAICRICEPIAFMGIFPYIYFMIKDFH MTDDDSKISLYAGMVTAAFTFAEFSTGVLWGRLSDKIGRKPVLLTGLLGTAISVLIFG FSPNLYVALAARAVGGLLNGNMGVLQSTIAELVTDKAHQPRAYTIMPVVWCLGSIVGP MIGGALAKPCELYPGVFSEGSIWDKFPYLLPNLFSAMICIIGVVNGILFLEETHSGKK QQKDRGVELGKWILSKLSWSSAQSESEEGASSQSKYDEWSSESQPLLSEQLPGYRTSE NSPENSPRIASSDVVEEPREPLDLATGFHPNSKIFTRAVVLNVIAFGILAFHTMTYDS LFPVFLSTPHSENPTVRLPFKFSDGLNMPQQEIGIILSAQGIYSLLVNMLVVPWAIQR FGPLRLFRFLAVSYCLLYILTPYVVLLPDSLQTAGIYVLLVWKCTFSSMSFPSNAILT VNYAPSKLVMGSINGVAASTASLSRAFGPIVSGMLYSVGLRTGYSGLAWWFSALVAVI GGVVAMSIPEQPTMCEKSADDLEAQAS PFICI_04608 MASEDPKSARSSERDVEAGISDHEHGHSGMASFLKVEPGAIYKS EAAKHPRWYQRLLDAGVEENGIKPIPVEERTNTSYNNLFSVFFTALLCVLPIPTGMLA TLSFGMSLRDASLTILFFSMLTCAFPAFMGCGGCKTGMRQLIQARYSWGLYIITIPLL LNAATITGFSLVAAIVGGQTIAAINPGHVSVNVGIVVIIVISFIVSLLGFRALHAWER WSWIPNLIAIVVAVGCGGHLLFQQAEAPPAVASSIVSYGGLIAGYFITFGGTVSDYST YHSPNASKTKIFTYTYLGLLTPSVPLFVLGAAMGGAVPVVDGWSDAYAAYGAGGVLAE MLSSSGGFGKFILVLLALSVLGNIAISMYSVALNLQMILPFFAKIPRYFFILAVIIVM IPTAIKAAEEWEESLENFLALIGYWAGCFDAVLIEELVIFRRMDFNTYDPAIWNVGRK LPSGIAAIAASICAMGLVIPGMAEVWFTGPIAAITGDVGFEMAFVVTGLFYFPFRWLE IKHRGHT PFICI_04609 MGERTLTFPQLEADKLEPYLTPATAGASAGHAPVSDKPFVTLTF ATSLDSALSLAPGTRTALSGPQSKAMTHYLRSRHDAICVGVGTAIADDPGLNCRLEGL DLSRQPRPIVIDSRLRWQFTRESKAMQLAQAGQGLAPFIITSERSPPEAQQALLEEHG GKYIFLGRDTADQQRFQWSDVLRAVAGEGLQSIMIEGGGQIINSLLEAGSNHLVDSVI VTIAPTWLGQGGVVVSPPRRMDSNGTPVPAARLADTAWLPLGEDVVLCGRLA PFICI_04610 MGAIRHLEPTAAFGACSQSAFMTSPTEKDPYRYQVGFGNFFNSE AVPGVIPVAQNTPQICKYGLYSEQLTSTGFIASRNDMQHVWFYRIMPSLAHGVAQKLP ENEDLEARFSANNENVEFPPQVLCWLPFLIPSDSEEIDFCQGLKTVIGNGDAIMKEGL AIHIYTANVSMRNRAFCSNDGDMLIIPQQGRLDIQTELGMLMVRPGEMVVIQAGIKFK VSLPDGPSRGYVQEVFGSHYELPDMGPIGANGMALPRNFEYPVASFDEDLSEWEVVVK LGGKFWSHKQQHTPFDVVAWHGNCIPFKYALERFINTAVVDRDQSDPSVYTVLTAKSK IPGVAITEVMAFTPKWNVTTNSFRPPYYHRNMAAEVMGIIQGPYAGSSRDLRAGGLSF QPSYMAHGETYETYELATKADLKPERVGEGFLAFMFHIPTHLGVTKYGLERSGVLNPP SPALYDTMRPHFLERTEEINEDLKKMGLPPLGTARS PFICI_04611 MSKPYDQPPAYDNGPQHPQAAYQQPGAYGQQQQPPYGGGNDGYY QSNPNMGYYQQQGPPPPNGGGYYQQQQQGYYGPPQQGGGYYQQGYPPQGRYQDDRSSG PGCLEGVLAALACCCCLDLLF PFICI_04612 MADPKDLKVDVIGAGMGGLTAALALAKKGFKNITVYENASTLGF VGAGIQLAPNMLRILDRLGCFKGTRIEKEATNILATSIVRGSDNQELTHVEMPDIEEK YGYPHMTGHRESLAGGLYEACQKESAIKFVFGVQLQDVLAFGSKPRFTVKPRDGEAYE VETDVLIGADGIKSNTRTAMLRELKIDTDVEDTGQAAYRIMLTREQCQPYPELIKLLD SNCAMRWIGEKRHIVAYPIANHNIYNLSTAQPDSNFAATTNATYTTKGDKSQMLKVYE DFCPLVQTMLNLVPEGEVCEWRLRSQKPLEQWSHGSACLVGDACHPTLPHLAQGAALA MEDAAVLAETLALAPLQKDGSGEAVTRALRVYELLRKDRAYTLVNLAALNGKAMHLGE GSAREERDRMFAAAREKGKPVPDKWASPDVQQMIYSHDCMTNAQEKFDELYASTTS PFICI_04613 MSTTKAPLITVLGSLNIDLVSYVPHHPLPGETLTSNSFAVSPGG KGANQAVACAKLSRSKSSLSAAAAASNDDETAHVRMVGAVGADTYGDILLSNMSEHGV DVSGVARQQDGKTGIAIIVVDEPTGQNRIILSPEANHSLLPAQFERLPGPRPDLLIMQ LEIPIPTVLQALKAANEDGVDVLLNPAPAVPLPDEAYRGLAHLVVNETEAAILGGVAE AELEAEEGLINVSKKFLAKGVKNIIVTLGGRGVFYMNRKGESGLIPAEKAKVVDTTAA GDTFVGSYALDVVAAKAKGTDCDIAAAVKKSNKAAAKTVEKLGAQVSIPWRDEL PFICI_04614 MASAKLSVLPSLRRQHLLAEFAGLKQACPEGIFVSLTPGDPSLW SGVLFVRDGPYAPAVLRFQISFPDAYPRLPPLVTFSTDMFHPLVTPLTTYMYTTDIQD NGTVSATDEERLPPGGFSLRHGFPDWYGRRVKSADAVSQSIQTPPRQLAPSLESGKTS SSKNSAVGGSPVYTDTSRKETSTFEVLGYIRSTFDDEDVLDSIPLEAAGNPGAWHAWR TRQRSNGKVFADNDHSEDSTPTPAGASKKPGEWNWEGVWEERVKKGIAASLSESVLYG GVGAGEDVIHFLSMEENDIEAVKGNLLRTLGHTPS PFICI_04615 MESKIKSADKQSTVPDGGLQAWLQVLGAFFLFFNTWGIINSFGV FQTYYEQDLLASSDSSDISWIGSLQSFFIMFLGFITGPVYDAGYFYHLLLVGSFLVTF GHMMLSLCTVFWQVLLAQSFCIGLGAGCLFVPGVAILSQYFSAKLSLAVGLAASGASV GGVVYPVAFRQLQQHLGFPWAVRIIGFVALGGLSLSNVCMRTRTLPLVKRKMVDWSAF TELPYLLFSAGLFVAFLGAYTPFYYIQLFAEDRSITNSDLAFYLIAILNAASTVGRIV PNFVADKLGPFNMMVPCAIICGILILTLLAVEEQGPLLACTALYGVFSGSFVSLSPSI LVTLSPNLGVVGTRMGMCFTVMGLSLLIGTPVAGAILRSGFNDVWIYGGVLTTTGGVL IGLARAAYTKGRWWSKV PFICI_04616 MPSSIVLPAFQASTKVQKVGPTFTGDVFLDRIYSGDGQNISNVT FTPCARTYWHTHEHGQMIKVLAGSGLVCDKGGQPRRIEAGDVVWAQPGTTHWHGAAEG TIMTHLVVASGQTFWHEEVTEEEFKSGSVSKTQTESGKD PFICI_04617 MEPVIVDDGSAMEPTSAIPISHMTQQSHVRAPGDDWTGITNSTE RRRLQNRLNSRAWRRRQTDIKKLAAKNESSLPVERQHLANSKQKVHLSKDDQIIEKLR GTLQGSRRTGTLCALGAREANALMESIEAVAQGHASLGSPRVDLLLTLTRLNVFRALD INASALGFPSASWLCSDAVSVISMKDGKWQPPMGCPPCLRPTCLQQQIPHHPWLDLLP FPTVRDNVLLLGEDYDDTAFCLDIVEICAVSADGGGTGLIVWGEPSDPSSWEASADLL KKWDELFRGSHELIAASNHWRTMRGEDHLPLDF PFICI_04618 MAAEQLSFQGWMGLDKDSAQGKMVWQSFEPKKWEETDVDIKISH CGICGSDLHTLRSGWRPTRYPCCVGHEIIGTIIRIGTQTRGFQLGDRVGVGAQSDSCQ GRFGPCDECAAGREQYCIKRFVGTYAGIHFNGDASQGGYGLCNRSPAHFVVKIPPGLP SEHAAPMLCAGLTTYSPLKRHGAGPGKAIGVIGLGGLGHFAVLWAKALGADRVVAFSR TNDKRQDALHLGADELVATQTDGWASQHQQTLDLIISTVSSSKMPMGDYLSLLRRDGV FVQCGNPEDGSFQVPAPALISKRVKLTGSLIGSPGEIQEMLALAVEKKVVPMVEVRSM KEANSAIVDMELGKARYRYVLVNE PFICI_04619 MAFSTYSPLLNNASMRAVVYEGIPFQMTVQDVPVPTILNETDAI VRITTSALCGSDLHVYHGVSGAGTPPWVMGHEAIGYVAEIGSAVASLSVGDYVIIADT PSTGHLTMEPTATSFYGVGNGLDGLQAEFARVPFADLSLVPLPITSNTTTRALEQDYL TIGDIFSTAWIALDYAGFEPGDTVAVFGAGPVGLLTVYSAFLRGASRVYCIDHVTMRL DRAASIGAVPINFAETDPVAQILASEPNGVMRSIDCVGIEAVNADLEPDEGIIVRQMI SLTHFGGGIGQVGIWRAQDSSAGAPLGSSLSPNLTFPLSSIFSKQLSWKSGPVDPKPI SPYLVDLIHSGKAQPNFITSAEISIEEVPEYYQRFSDHEEIKVYIHFP PFICI_04620 MGGKKNAGENTKKVAGNAKKAEAAAKKSAVEAQKKEAAEAADWD RGSKNNSKKEAEAAKREEQARKKAEKAALEAEDEKNAPSKGGPKNAKTATKKTGRGTL DLSQLDSALPTLNASGIDNALDALGVAGNSKVDIDRHPERRFKAAFAAFEERRLEEME KDGSGVGLRLNQKKDRIRKEFEKSPENPFNQVTAQYNATREGLSDIRQKESSKIESRL GSK PFICI_04621 MDGPAAKRRKLSPGDHHQDYIADNSISQSIEIPTIESSLLSDDA TDASLQSSIPEDEIIGGASTPKTSENTQADVICFGMLYLYTTKTHSTLLRGGAETLRL DTSGQLCSNADEAVATLSSRDTKLLGLLQRESLELEISIRHPETSSVTRTAQSSSFAR VILYGLETLGPGLKKVLSNTEYFLQDPVGAGRDVAYVNPQRLFNSAAARTSNVQSDAE LAVEQEEISIIDVLAAFTTPVDLEPTEGSHDLLTPLQHHQKQALTFMRNREAGWNLTG DRTDVWSLKENPNGDIGYLNNIDNSLQYQQPPSFRGGILADNMGSGKSLSMIALIAHD RIRRTIGKDDIPLMKHRPCDNKTTLLVMPSSLLQNWQDELNMHLAPKDFKWRCHHGQS RITDIRDLEMLDIVLVTYHTVLSEWRMGQNASILFTSRWNRVILDEAHCIKGPSAVTT QAVCEIQSSSRWAVTGTPIQNNLSDLQSLLKFLQVYPYNNKDTFHNQFTNLWTNGEGI LATNRLRQLLGYIMLRRSGGHIVLPDRKDLKMHLSFSEREMETYRAAKEKALEFIDDV LSSHNATRGYKNAVEKINQLRLICNHGFWQPDNGYAKRILEVQGDNTEQWSSTAAHKA LNRFPSLGLPIACVECSNLFDHDMSIYESQLLEPMSSIQIYLTRCLRLCPSAANENRH FEQQPYPTKIRALLDNVQKLPKSTKSIVFSFWKSTLDIARTVLNEAGIACVQIDGKVK PKNRKIIFEKFQTDETTRILLLSLSCGAVGLTLTAASRVYLMEPQWNPSLEEQALARV YRIGQKQDVTTIRFLMKDSIEKVLISSQKAPCI PFICI_04622 MAQRLIERACDQFRKELSPEDENEIISTKSLDEVKLALGQIERH LAARQSLRNFDRIAPFLGAAERFSQVVEVVCNGTPYLPWIWAPVKFIIQSARDHVHVL DKVLMAYANIGNSMPRLSRYGEVFHDHAFQCMIAYLFEDILEFHREAYSMLKKPGWTI FFSSSWGRFDHRFSSLLINIERLSEQIDREAVALDIVATAEWRSKSADDASKREEQWQ TQQLHAILNWLGIEDDIQEAKLEMNANKCYDGTCQWLTKSSKIRTWLERNRGNPVLWL NGKPGSGKSILSSMLIRFLRSDPSRRIIRMAPDLVPYVYDECLVKAQRCSSDVLKRIM PQLFSRFDDVNLVVDGIDELPSSEHKSIITALLQLSKSCSGVKLLLVSQDLPTIADYL SKKPTLCLREEAEATHKDHAIMVEGSLKELNEKRRGAIGGVLMEKLKNEILDKSEGMF LWVNLVMNLLKMSKSPQELRQQIFSLPTSLAEIYQKILSNICHQLQANAIPDVMRIFA WLKCHKGQQPMLKCEVRLAMALDGSTRKITRDSLPWANATDICKPLIEDGPDNTLVFV HSTVPQYYTQQCILMFLKADRMCRFLFENGPNSFMSVPAAIASVTFACICQVDQALDL LQPQCSTSTSTLEVARGFYGLLPYSYQYWTQHLIEFLSMSEKEPSSFSDSLSKAIMEC LKQLCQKLMGTPDMDSVPIKTDEDSSLLQRFLTVDEAAVITQTMERAKQLQSQGTKPV TAKLDTPSASLQEYRRALHHIVRQDSMLGIEQDELIAFKEDYKPTAFVCDRQGCNRSL RGYSSKEKLASHRERHTQSLRCYERDCQYNDVGFSTGTKLKNHKQKMHPGPTLVPILE EFPRETSPMMHSTGGDQQAGAAASEILESSSLYEPANPQSTSTATKEWANENEHPSAV EAFPSRSKDLPGQRPTRILIGCWSRSSSRKDQDKHACYGILGANAMFRIKLVRETMDG RFVDGNFPTAASARWIGYEEVNLLGHIRDLSRYVMKEYVRVRQYQIDHCNETEDERVA NEIRAVDEAHRRRAALAVKA PFICI_04623 MAEQSTTDPTRTYRGNCHCKANVFEVTVPEIQALASCNCSSCTK KGMLWIYPKYADIVWVKGDEGSLTDYTFGKKGLHYKSCPTCGVTLYGVGYFEPPKPGE NKEPICGLNARTIQDLDIWSLELKSMNGGAYGAPYEPAKFTGTIPEAEVEGSRVYTGG CHCGAVRLAVRSRPLDETYDDRVIECNCSICGRLTFPKYGSIWIYPRKELVSYEGEEN LTYYKMGRGMFNKGFCKHCATPVENRVSKLSDEQREALPEGAREWYDRGHTQRATNSR MLDDINFSQLKKQRIDGWNNILPKYENP PFICI_04624 MPVVKGGVWTNAEDEILKASVSKYGLHQWARVSSLLQRKTPKQC KARWNEWLDPSIRKIEWSKEEDEKLLHLAKLMPTQWRTIAPLVGRTANQCLERYQKLL DEAEQREAGGLGLTGPDGGETQAPTADDVRRLRPGEVDPNPESKPAKPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQQEESRRLATLQKRRELKTAGINIKVVTRKKGQ MDYNADIPFEKAPAAGFYDTTEEQNLNERERAAFDPRKQQLANKRKGEQDDDGERKKR KNEKDGNSAATQAAMKAGQLQKIREAEQSSKRRALNLPAPQVGEGELEEIVKMGMMGE RANLVAQSSDNDATRGLVNSYSTITSQTPIRTPMAPAQEDHIANEIRNIKALTNNQSA LLGGENADLQEGSASTGFDGVTPRRQVVATPNPLATPLRNGAGGVGATPGRVGQTPLR TPRDNLALNANGGMSLSLGSRLKQGLASLPKPKETDWELEAPEDQMEMVVADELVEDS EERDRRERELREAQEALERKRRTQVMQKELPRPDTVDIEALMKEASLVEDPIEALIAK EAALLIANDAAKYPLPGSSLKGKPARLAQLEDAALAEARLKILMETRDKPKSEDVSAV FERENCNAIMLGLGCYVDDEEEEQAVAMQNAYDAVQAATMSTVEKCAKLEKRLTLHLG GYQHRAKTLRQKMNEALEALEKARHALSGFQSLGISEEIAAKSRLDALREEVAYVSRR EREAQNMYKETREELEAIGGVNGYH PFICI_04625 MSGEPGTDAAAAAQDTIVAAAAANDQTALLAAVGSRQQQQSEPR HRYDGGHETGSDDDEDELDERESELLLARTASISSAVGLAPEAPEGVMIAHRQQKPSL VGGRDDDDVGDDDLLPETPGYDVEEGGESAPLLGAGKYKKQYLINTNFRHFITIFMAI MLGSFLSIFDGTIMASSHPVITSYFHSSNSASWLSTAFLLTSTAFQPLLGRLSDVSSI GRKPPYVVTTLIFAGATAWCGLANSMTSFIIARAVCGIGAGGVMALGTIIISDLVPIE QRGTYQSYVNVVFGLASAAGAALGGLMADTLGWRWEFGVQVPAILLCLVGVIILVPND IGLVGKRETFMEAMKVFDFKGSLLLTASTTFLILGLNLGGNVLPWSHPFIIASLVLFA VCFPACLWAERKAERPIMPLTLLHSPPRANIIFSNFIGAVIANAVLFNVPLYFQAVLL TSATESGVRLIVPQVTGATFGTATGLLITWTKHLKWPLILGTSLTLLGTAVLSSMQRG WSWWAYLLCLMPASAGQGFHFPGTFLAVLAASDQREQAVVSSTLMLWRALGAVLGVAC SSLILQNALLAFLARYVTDEHGAAWKAAVIEKVRESVEEVARLEGDVLEQVVRSYEDA LRLTFLCCVALAALSCLMVYPVRLPKLGERKR PFICI_04626 MAIEEFLRPLHLDVATAHQLSREFHQTFRYLAAESQDQFLPTPI TESILRPTAGSEKGRYLAIDIGGTNLRVGFVELLGDDSDTATPFGVNGAAHGRQNGHS ESPRLRRVLEKSWPILEHFKNEAEDALFAWIGSCIAEVVQDGCNHYDIPADSNLPLGV TFSFPMVQSTLSQATLMSMGKGFAITSNLDLGSHLLKGYAAATQGSSLPSIEVVAIAN DSVATLVSFVYQSHESASRKASMGLICGTGCNATIALRKDMLHHGKLPLKVGVNAQED HDEHIKIAVNTELSINGTAPALHKYGLVSEWDKKLDAEGDKPGFQPLEYMTAGRYLGE LGRLVLVDYLQRELGHDIESLPTKLLKRFSMSTTFLSHFRPPHAPELLGKLQAEFPVS DARFQWTDDLALAVYKIAKIIELRAAGIIAAATIGLLACAGDLPLEPLQDRTNQPTQP NGHVHATELIVGYTGGCIEHFQDYLTDCQDFLDQTIEAEFGKEAPVRVLLSPCHDGGI KGAGVLAGSSQAKPVVRGH PFICI_04627 MGLPLMDEKRPFPSRTRSFRRNRPLLNTIIIAVLLFLVYTFRPF TGYGSVTVSFRTGQTSSFHETDDSESATTSTVKGLVPLEAHIMSKCPDARDCLRDMVL PTMMRVNSKVNFTLSFIGKPTDSNDGIACKHGPNECMGNIIELCAAHHYPDPKIYLGF VMCLTRDYKLIPQRELIEDCALEHAVDFELLNDCATKDDGAFGISLLRDSVKRSSDVR LPYFNQLLCGLASSLWNIC PFICI_04628 MTTEEAKRNAEALIPKFEFERVLNQDEGGCRINLYGHVESKPAI LILERAPFPDAPEYLSVLPTNLRSLINLGNNDIYFWFLGSGGPLAADKDKDRFADLKL SLICPCTDKHIKKHSKQGFRFVVETPEIYRDNVRPFMQASREAGHLNWVYNIIEGRKE VEDVIYRTPLGRDGDEGFLMLPNLHWDRKTIEALHLLGIVERRDLWSLRDLKKKHIPW LKHMKAKLVDATVEKYPQIEEDQLKLFFHYHPTYYHLHIHVVHVMLEATSTQSVGKAV GVDSVIEQLEKMEGDDETGMDTVSLSYTVGEESDLWTDIFAPLKSARKASLQQ PFICI_04629 MATERPVIVLIHGAWHPPHYYRKTIDLLRKQGYVVLAPPLPTTG LDDSVAGKTYVDDVKRVHEALLPHLDAGRQAVILCHSQGGIAGSACVEGQTVEDRKTR GLDGGIKAVVYLAAFALSNHGASLMGDIGGKCAPFFSPDPEDPPFHKLNEKAANTFYN CLQPEEARQSLVDGLVYQSKASQHAGAHFVATDVTVPKTYIVCSEDQAIPREAQIHMA QATGCEIVEITSDHSPFLQDDKNQEIVDVVFRVASK PFICI_04630 MPSILNPILPGFNPDPSILRVGDDYYIATSTFEWYPGVQIHHSK DLANWDLVIRPLSRKSQLDMRGNPDSCGVWAPCLTHDGDKFWLVYTDVKRKDGSFKDT HNYIVHAPSIAGPWSDPIYINSSGFDPSLFHDEDGRKWFVNMLWDHRRRPRAFAGIVL QEFDPVQEKLVGPNKNIYPGTDLGLVEGPHLYKRNGWYYLLTAEGGTGYDHACTLARS RDIWGPYETHPQEHILTSKDQPWAAIQRAGHGDVVETPEGKTYLVHLSGRPITQARRC VLGRETAIQEAYWGDDEWLYVKNGPVPSLRVEVPGQRDEAAYWASRHYTFADGLPKDF QWLRTPEPERIFQTRADGKLVLIGRESIGSWFEQALVARRQTHFSYDAETVIDFAPED ERQFAGLTAYYCRYNFFYLTVTADSDGRRELLIQSSEASWPDGNLKTPFAEPVSLPDK GKVKLTLQIRGKDLQFFYALDGEELKEVGPVFDASIISDECGGHQAHGSFTGAFVGVA CSDLNGTAAKAVFDHFTYTPVQHKSDRYEI PFICI_04631 MATQVRGHKAYTVGWVCALPKEQTAATAMLDERHPNLPKPPNDP NTYTLGSIGDHNIVIACLPKGKVGTVSAASVAAHMIHAFPGIRFGLMVGIGGGVPRHV RLGDVVVSVPTDQHPGVVQWDMGKAEQGGSFRRTGALNNPPTLLLTALARLETDHELH GTKIPQYMEELKERYPRMAAQYLKLDDLQDILFKAGYDHVDQQHQDSVEDEEIWEESE SEAGDDCRHCDKAQVVRRKPRDMRVHYGLIASGNQVIKDAQARDQLSVDLGRKVLCIE MEAAGLMDGFPCIVIRGICDYADSHKNKAWQKHAAAVAAAFAKELLGYVVADEVCGAE PANALLGIISQDVATIREHTTRKTQLLNNKEDMEIIDWISTINYGAQQTDTLKRWHPK TNQWFLCSAEYQRWLQTHGRTLYCPGIPGAGKTILASAIINDIGHRFSTDSNVALAHV YFSFSRHAEQTVELVLASLLTQLLRGQSFLPDHVRSISETHQKKGTHLTHSELLTSLS RVIPEYDRVFIVLDALDECTSQNRVKFLSDMHDLQIKMNINILATSRPHEEIVYLLMD ENTTIMPIVPCSEDMSIMLQSVIRTFDKEIYDDEFREHVVSKIIKVADGMFLLANLHS NSLAALPTKGDILDALDKLDKGSDPLDAVYELSMQRIEGQGSGLAQLAKKIILWIVHA RRIMSSAEITHALAIRPNTKAIDTNYCPSIRTIKSICAGLVTIDEESDAVRLVHYTAQ EYFEKTSGKWFVDAHDHITQICATYLSFDAFEGYCHSFEGFKERCIEFPFYHYASKEW GHHAQLAKSEEHVVDFLRKQRHVQASIQALETSELRLRHWKHDQDSLGTMNGLHLASR FGLVIAIKALLADYDANVGDAYGQTPLSWAASNGHGAVVEFLLGTKEAGIDLPDYLGQ TPLLKAASNGHANVVRLLLSTGKVDPDKPDYRGRTALSWAAWAGSEAVVNLLLSDEKV DLNFPDCHGCTPLMWAVVSRDRTTEKRLLFADKAQTARLDHNGRALLSWAAEYGDDYV VEVLLDINAIDVNLQDNHGRTPLSWAASNGHDSVVIMLLSTGKVDINIPDENGRTPLS LAAGYGYEVVIEVLLGTEAIDVDLPDDNGQTPLYWASLSGNDHIALMLLFTGKVDVNL TDQNGRTPLSLAAEYGYEAVIEVLLGTEAIYVDLPDNRGRTPLSWAASNGHIYVMRML LLTGKADTTFPDKSGRTPLSWAIEKGFGLAVNVLLDTSESFDVLSEFLD PFICI_04632 MCQGHTVRMLRCGHSLNHYSVHCGRRCWEPCAICSSAAEDARVH QCDKGKRGERCERDQPSGPEYVLDDFCSQCDPAWLLYKAKSLFEDRREQLEGQRRGNG PDPELDRILRALESGYLEARQKIMDKSPETQKNVPFLACVDMMQLGLSSRWVGKRCVW GDYPDDTGQLQRAQREALDTSWQAKLGWGSVLSNRSDSLVDETEEEEIYYEEEEEDKE NERGPEDKDQVKDEYSEVDWLEIAELQIDEKQVGEKKSDWRAQDALPKPELDQLPSLL PPEMENHGRPGRDKEAMQAMASPHHLQPPVIDLTASKNRRPITSSDALSRWEEFRISK SIESLRRP PFICI_04633 MSAPPNAAPARGGSGQTNNHDHFGSFPSAQKSAYSTQSRVPSKR SDDDIQFISSQPVKKQKLAQTKLQIKITPPTTTGPSCRAIQPQQEHQSPFQHNGQVQT QVTPFAVPELQLTPAPVVTVAGNGGQPQNKTHAYASAQDRRISTGMVGLPPDFYDAEA ANAMRGVSLPLATCLDNFVLNQPAGRPRPMSSPPLSPKQLCPTTPFSMLDATMSSPDG VSHHNTPQEASYIPRNPSMRPQGDIQMAAANHHQHTIFINQTMTPINSPSPATLKNMD IAMSNSYHITTYADYADDAASYQSPQWSQGSLSSLHRTESQSYDAETSSFANAYDGPE LSGSPPQTPTSIRRDEMRQVTPSTPSPLPATTSASPAKSCSTSLTKHRKPARNLIVDI AETCHERFPFEDVAKRQNVTVAEVREVYDAVIGVPLLVSASDRRRAGELARSRKTEYR SIKKDIMKERAETAGRGAADGAKDDTVVIA PFICI_04634 MDNPLISSQKSSDSGLQAVLHPLVLLTISDYITRYTLREQKGVI AGALLGQQNGREVTIEHAFECATKAEDGNIVLDGHWFAQRLDQMRTIHKSPQLDLVGW YTLLPRTGPTPSILPIHSWLLSEHNESSLLLAFHPEEAVDQSAGSRLPLTIYESNYEV DEPKADHNSEDKEMKDSDAPLKLKFRELPYSVESGEAEMISMDFIAQGAGNATLVKPK EPAKTGRVLQEVEGKGKEKVEKVEDDKQQEDSTPVPDEHVLSREEEELIGSLTTKANA IKMLQARIKLLITYLERLPPSSISGSQTPSAAEQQADAHTMPSHTILRSIQALVNRLA LLVPSATEAFQQELLSEANDVHLVTLLNDVMQSAIGIRDIGKKHHAVESAKKQTRNLM QQVNLDGGHMAAAGMVDF PFICI_04635 MAPSVMIDEIAPMHLPVHSKSSMINASVLHGPRDLRLETRSIED PALGELQIEVKATGICGSDVSYYKKFANGDLCACAPLSLGHESSGIVVAIGPQVSGFK LGDRVALEVGLPCGQCSICRKGRYNLCKKMRFRSSAKSVPHFQGTLQERINHPAIWCH KLPDHVSLEAAALLEPLSVAIHAVNRAAPTPGSTALVMGAGTVGLLTAAMARRSGCTS VTITDVDAGRVDYAVKRGFATHGYVVARPFHSSSSCSSFATHSGTTTPPDLGVMTPGS IYSDSIEGRFESAKALAADVLALTAPPPGLEDEDEDDGVDITFECTGKETCMHTCLYA TRPGGKLIMVGMGTPIQTLPMSAAHLREVDILGIFRYANTYPTGIRLLCSQGQVGAAY NLPSLDDMVTHRFKGLDAAKGAFELASRTVDDDGNLVLKVVIEV PFICI_04636 MDYRSGIVEDEQAVGHSPWGSSPPATPRRNDTTYSSAGNDSGYG YGSQTTDNGSSQPEAPEDPFKGPSDSAAADSGFGESQESEASQQSGFGDSLNHQQQQE QQQAQPGFSQEPDAPQQQQQQQQQHGQHEGQPSAQGQPVRRPTQPQYKLQAKITGLER TGRKDPILRFDVHTNIPRFRTTQFRDVRRMHSEFVKLADHLISANPDAFVPAVPPPVT SAGAGTDEDETRVKALMQRWFNYVCSNEVLMRDEEMVLFVESDFGYSPMYKMKQPATG VRRKVLKQFAPPPDDTPELSEARPIVKLFYLGSMDAGHKVDKLVKARRGLGLAESDFG VKLGGMNIQEPHPGLANAYRKLGKIVQTVGDCHAAQATAEATTIGDPFQYHSQDAFIV KETLTNRQLLTREFLQAQESTRSRLNAADRLKASSNVRRDKVDEAITALDDARNSELE LMRKTQRVSQNLVQERRRWFARTAADLRMSIREYVIREIDAERRTLALLESVRPDVRA IDASGGLSRLGREAHPVARRTNLAASQGPKGDAWSGVPRRSENLNRSMSGSLMGGLPE EEAAEADKAAAAGGGLSGLAEEDDEDRVDARNAASRLATSTF PFICI_04637 MSLTATLARASLRNSVLLKRCPRPTRWKPDPYAFRTLATTAKYD EAVNRIRNIGIIAHVDAGKTTTTEAMLYNSGATRHLGNVDHGDTVTDFLPMEMQRGIT IQSAAITFRWPTPERMKQGEAEHIVNLIDTPGHQDFRFEVERCIPVLDGAVCIIDGVE GVEAHTERVWSSAHDFKVPRIVLVNKLDRDGASFKKSVQDIGLKLGGMPLVCQIPWWE NDSVKGVVDVITRSVVSWGGHGRDDPTDLAKRVPPFQDEIERARDNIIERLCEHDDEL MEAWTEKGKDLSAEEIRKSIRRVIEDGEASIIPVFAGASLKNIGVAPLLDSVNHYLPS PRDRPDLNVRVGTSIMPLSQVINESKDQKIQAKKRSPIEAVASVFKVVNDPRRGMLVF IRVYHGEIKRNAHMWNSNLQDFEKPLSISQISAKNHIDIPHLSAGQIGALTGLKKART GDTLLVYTGNKTPTGNVAHVKIRPPEIPPAVAFLVLEPLTPSGTKALETALDNLSRED PSIRWSLNEKAEQYTVSGMGKLHLEVARDRLENHYKVEAHWGGIEVEYKECVSESTGI HKAVFDKVIAGKTGKAACSVEIQPLERHEVEALAQSHTERDGNIIQVNFSGELPVDTE EIRPHLINGAIAALARGPRRNSPLNQCLVTITFDAETDYFGATPPGHFVGAANQATRT ALKDAHKQGNVAVLEPVMKVTIALPEAAAGAIQHDLPSSRGGHVLEVRDLQDANARDE SQIDVSEIYAPPDPYEFQTTLRETRKGSLRMLEIVAQVPLAEMLDYDSHLRSKTAGRH TMTMHLDTFEKVTGSREKAL PFICI_04638 MSHMANPLATVEQIYKYKSSLSALPPDLQDAVFYQVQLLTQAAG LLLRLPQSVTAQANVILARALVGAAAATTAANESNSSLLLSQHEPSNLSAAALFLTAK LGAHPAPPRDLCNVYAYLTSPSSPLFTPNTPSSDDDDGAQQKQQDPSSYYLSETAYTT QHDRILAAESRILALLSFDTHVALPHPLAVTYLQALDFLSLPRAAVARRVVEYLNTAL LSPQCLYLTHQPHQLAVAAIYNSAKDLGAKMPECAWWEVFDVDREDLGFLVVGMRSLE GWVRKQQAENHGDFDPARLITKSLIINQMRKRGLPSNGSSGKELDEEDAMMQSMDEMA ARIDA PFICI_04639 MSGSASASTNPGWGQGKSKNARKKRRQREKAAIKELRAPRKIRR NLNRALNEARRVNNVAKRALLEAQMQAQRVHDDARMAGHTTLNEEQMACQRAVDNAQR AHTEAMQEVRRVERQAHEANGHGPPRDAPIKLQNNHVGRHRATFSQPKKVEIIDLTQD DTSEQIQSAPGMFQPNTQPYSGLTHSLKQEPLAFDAAALQTMEQIGFNSESFRDLQQG LTPLIKLEQQTQYLPSKNDSSSLHRAGILSGAQATKPATTFGAPSKPLPGLQRVAWTT ANPEAIANLGLIKNKSLEDLYQEYPEKRLRGIEPETLCVVANTVHQVAKEAANTWLKS ACPGTRWNDSIVNVEGLYDFNASELDYTILDRSLCLQVVKKTVISQLGGLRAFIHDTK IMTPLNLLRVIDQSVAICQNVKDNKRRILLEKAKQKLEWLPVGLDCKKLDIHRRANQG LREAHTRVQGEEDLSLYSEHMKRFNEAHAETQVLDRALVEFQEHRLMYLIEIFRTLQQ LLEASA PFICI_04640 MDMLPEPAMPVAHVRTYGGNRAFHNFFNDFSHIKDPNLRRRLAL SEIDKIPFGLYHVRAIAVAGIGFFLDSYDIFAINLITTLLGVVFWQGSPEDAKNGYGG NYGTLPTPVSTTLKASTSAGIVVGQLLFGWLADVYGRRRMYGVELGIIVVATLASCLV APSQAVTFTGSMTFWRVIMGIGIGGDYPLSSVITSEFAPTRWRGAMMAAVFSMQGLGQ LLAAIVALIVTVAFKDAYLAAPNAASCNNACQIAADRAWRIIVGVGAVPACFALYYRI TIPETPRYTFEVAKDVEQADADIKAYMASKSEGEVDEVKRARMKKVASPALNIPSASW PDLFSYFGKWENARVLIGTTLSWFFLDLAFYGLGLNNSIVLHAIGYANGGTLYEQLYN NAVGMIILTVAGSLPGYWTAIFTIDTLGRKPLQIIGFVFLTIIFCVLGFYYHHLNQGG MLALYVVAQFCFNWGPNTTTFIVPGECYPTRYRSSGHGLSAAMGKIGAIIAQVVSIPL LNKDSPANCQGSACSPWLDRLMQIFALFMLCGTFVSLLIPETKGKTLEELAGEAPTSY NSGRNGSIVALKKRWWNPFSGGQPAGFFYSRTKHWGRNQRVGIMTSPEIAAQQAQEMR AARRLRRKKGSSEDTNYDFESTSSTTGIVRPNSAPEDGEEARGYGGVFPGWVAGWGRI DRGGNPTSVENIGLQDVGNLLR PFICI_04641 MAAENGATSKPSYERSRQQPENPFAALIPDQEIAIIPSFTLESG VTLQNVPLAYTTRGKLSPNRDNAMVICHALTGSADVSDWWGPLLGGPGRAFDTSRFFV ICMNSLGSPYGTASPVTAKDGNPDNERYGPEFPLTTIRDDVNLHKLLLDDLGVRQVAA VIGGSMGGMLVLEWAYFGKDYVRCIVPIATSSRHSAWCISWGEAQRQSIYADPKYDDG YYTLEDQPVTGLGAARMSALLTYRSRNSFEARFGRNIPDPAKRQTITENPRSTTPSET HFHIHNDGHKVTRSSVSRSSSFASQTKAEAAKPGEAPVAVASADPQFSGPKESLTGGD RPPTTTYFSAQSYLRYQGQKFVKRFDSNCYIAITRKLDTHDVSRYRADSVPEALALIE QPTLVLGIESDGLFTFAEQQEIAQHVKNSRLKEIVSQEGHDAFLLQFEQVNKYILDFL QEVLPDIMEKEGDVVEAASVGQMTKSSVFGEAEVEDITAW PFICI_04642 MPPKRKRNDVSEGAGRPSPHRPSDTNMAQQERDNSDNRRRSGAG GRNGRGRNNERRSSFNNSNNSNNRGPISPTSTRPPSSSSQISHPAPSQPTPTIAANTV AQLPQQRLAPLPTKYSYAVLTDARLETWQQSGRQEVVQHGIASRDDEDIEEVSTISQE FARAVLEHRLDPVDAGACMKEILGPDPENEDDRTSCEFDAHSLFADSISVFVDTGGEH DRNKGIINPLLTADFRDFVFATGISAKLLRQVLDVQVVEELGLTKGTFSKMRTRHATN SLYRQSNYNLLREESEGFAKLITELYSTAHAMNQEQLTKEQVEAGFERVKGLIGTFDL DVGRVLDIVFDVFASTMMRTYRYFTMFLRVSSWWPREQSIRYDPVRTGGLPRWALPGA AFLPSPEEQVIMDEQRQTRDVAFWDRAREIHLDAFFELGGRRAGETELEAFSVSAKSA TSKDYSIDPVEWVETTKTLPPSGNRVAAQLYGFKLQYFISQARDKEDTVPANLFFLAA YLIKIGFISLPDLYAHIWPDDDKMDDVKEKRAQELEEKEKKDRQGAEPNALLKAGALP DDTATTAPTTRTREATATKSDANQKDTPGNDNTPSETLPEPKEVLKVDLLNHLLLVGA IPEALFILGRINWLTDAYPDEVIAPINRILLYSIDKVYRETLPKPADSTIVDVAKKDL APDHAMPKGTLKLIDRPLPKALKWPFADGSAKNVRYEHYLKEWTDNVPICQTVDDVFT LCGTLLNVSGVQIGKSHTLLKKLAAIGAKSLGEDKSPRNLDRWRDLLKRTIFPALNLT TSNVDVVNSVWSLLRYFPVDVRYNMYAECYEGSISRLPAMAKAFKYARLDTLSVLKRL SKENLAKSAKSMAKVALFSPGVVCKVALDQIEAYSNLIEAFVECTRYFTDLGYDVLVW SLMSSLGGKQRSRTQEGSVLLTSRWLQALSKFSGKVFRRYSNMDPTPVIQYVNDQLAR GNSTDLIILREFITSMGGIVSDVDFTDTQLAALTGGEELRKQTFINLGDKRFESGKSA SRLMQALVHTKLAPRLLTNIAQYRQSAIYNLPEDETHIKYLATVIDDSQQALVQFVEL LRSNLTPEQFDELVPSIPRLLKDFGMDPSLAFLIGRVSLAYYLTGPGATAAKGMRLMG SNEAVPDAEGDVTMDGKEADSTSPPSASTAGTDDAMAVDEPESNGTPSAEGPQATMNA RRPNHFSEVLRPIVSAVQELLPPTIWELISPDFYVLFWSLQTSDLGIPASSYNAESLR LQKEQDFIKKDRSDMSRLGRAKAEQRKAEITQLASKLGSEATQCQERVSKTKMLLLKS APSWMPASMSTSPEAADILIEDCIMPRVMLGPSDADFSFRMIKFMHDNQVANFNIMVL YDRLFTVNRLRSLIFGCTVREAEFLGRLIKHTLGDLSRWHKSKDVFEKEATRHGKHPA FAAASVNGKDAPTSMDHGHFKDQLWSWHRNLAATLKACLQGTEWLHIRNAITVLKAIL DYFPAVTFHGKQFLQTLQQIAQREAATKNDDDGQNHRVDLSVTANTAASALKRFESKW VIVQSFRPNLAGDSTDDKAAEAVKNSKQSQLRPGAAEFRPQQSGGSTTRGKTTADDED GEVQDSKGLAASAATAGANRKDSETDSPANVQRPSALPLRSELGKNSSRQSTPPVRSS NPSPAPNGGRREASKLSGLASLPPPPGLPSRPDVPIPGHFNQDERGHIRMSELNHARD ARDQREPRTHREPRESREVREGRESRPTEAARSSRGRESYGTDRRSGEMPSKEPSRSE RDRSMRSESSTRWDPSAGERDTRHSRDRASSATGARTGDSSRPPRDPQADTHAMPPPA QPDGQGPTVNPERARLINASDDGKRSSNASSEIMNPARAAIINDSRASGRSRDDSRER GGRPSSPSRREKQESRSSDATREERGDRHRFDQSRTNRNEPSVPSGPRGERDLDRSGS DRRDHNPFAGSGGSRGDAEHVKSSQQDPNYGRLNAVPSVVDTTSAPDAPRGRGRNMAR GPQSSSQPPARSDQRHSNAEHGRGQSPDRGMPPSGPASSRGRRNPMHPPNNPSASNAT PVGSSAPGIHPDRLRHLNTGPGSNTNSSQAPFPPSANSVAVHPDRLGRIDANPPSGPA QQRHAQLPPLQTPERPQIPTGPGNRQPSGSLPPTPSDRGTPISAPTGPSAPNDRSRGG SRRQIAGINNLLQGSQGSAPESVSRSGSLRGRSSRTNLAGSDAQVLTGASPVSTPVQE KPDLIPGSGRGGANGEERGSRSERSSRRHHEHGERARSSRHTSRERSPGRDRNKEHRE FRERDPGTTASGNQQREGERESRRSGREASGTGANNRGAEAAGSGRGDGIRESRHNDN RGAGRHEDHGRGGSGGRGSGANNAGQAMGASRGDEQRLREPRNEDGGRGSRKRRSEEG GYAGPPSEGHKRPRHHR PFICI_04643 MIAPGALSFRREALQFHPSRRRRRRRRQTDDDYCYYYYCDDNDD CDDQFFPPALLQEFRDNTPPTNSDVYWPTITNPTATRKAHEYIKEETTRHTRRARAIA VIPAQHEFNMQLHVGGDAKYVENLKTTTSAGATKSPIPSNAQLLPQILPSCRSLVPAC PETFRAMAPSISIVNLGSETKIAGPFTFHQLALIIAGGCAAIAIITSFYLMWRHATNY TKPREQKHIIRILFMVPIYSASAFLCIWYYWHAVYFQVLSDCYEAFAISSFFALMCHY MAPDLHEQKEYFRNMHPVKPWVWPVTWMNKCCGGERGPWRTPKSGLTWFNIIWIGIYH YCFIRVAMTITAVVTQYFDRYCESSNSPVFAHIWVLVIESVAVTIAMFCVIQFYIQLK EPLAEHKPFLKVLAIKLVIFLSFWQSSAISVGTSTLHIVEANDVLAYPDLKVGIPSLL LCVEMALFAILHLWAFPYSPYVPGAKQTFYPVTDPSSGLPPRENDRGEPQGGPMGIKA FIDAINLWDVVKAFGRGIRWLFVGVKKRHNDPSYKNGHNSLDTSYPMKPFAMRPAGAK STDHLPIATQFRQSTFYDKPSPLGPTRRNDESAGLINNAQDISISPPREPSPYLEQPL ENPRQDGRASSPYHDPHREEYYQGPPTHEEHPTEHGPYHAYSGPEDLEHTQYATASSS NTMSGNNQQQNNRSSTQIKFGNALWGDRPHPAGQPAGHF PFICI_04644 MALRQCRPLMAALGFLSLVSLVSAVDMTYCASLNTADGSANSST YQSDGLCTEFCSGYAFAVLQYKDCWCSNYVPASSDQVDTSECDTDCPGYPSDTCGGDG LYGYIGLSSNPSGTKGGDSTTAAATTTQASTDSTTTEPTTTAAGESSSTLISTITQGG SVMTVTVMPTGGASASSSTAEVSTSQKGLSTGAAVGTAVGVLGFVGIILAAGFFFWFR RKRREQEEGSMLQHQNSFRGSSAGIMSTPRTEMASVWNDEAQSVGRRSSRLMPHDPRM DPYAANIYGRFDNKSRESINTLRDDQDYSRRVLRTTNPDLPGDN PFICI_04645 MLLSLGMASPVARRLSRSTSSSLPAAKQLLFGLVALWSLTQVGL AQSLPYVPTTILLPNTNSVPLQQNVSCDVAYIFSPTDDSVSLLALNISSTIKSSDLTF DILSSELPFLASGNASFTPSIANNGTLIVYAGNCSSSSDAGVWTMDPPLDGSKGNWTQ SALGSSNSGPGYLGAGLSFSTTLEPVMGPMDVYIYGGMCPGNVTDETLAQSEATYSNQ MLRLSPSDSNTADYAVHSLTNKGAPIAEAGFTFTGLSPSISNRSGTVTQQANYVVLGG HTESAFVNISTAAIWSLPEESWGYVSIGTANSSGSTELAIKSTSTTMDPRSGHTAVLN EDGSALVILGGWVGNTQTAASPQLAILDLGLGYGGNGDWQWTIPEDQPSGSGIYGHGA ALLPGNVMMVYGGYQISSSGSNSKRQTSTTQPSFLNLTSMSWSEDYTNPTYAASAGDD TSDDDDEDAARQLGLGLGLGLGFGAIILAILAYFLFRWRHVRKYSSREDIIRSLAQDT NHYLQDEPMMEHDGQDTSWYLGGHDPYMTGGRSLGYQSLQGGRGSIDAAGFSYTPAPM LRKPVPPRTSRGHYMPAPVSGYDSPMGHRPTRSGGIHPIYEADEETQTVEEPITPARD TARDSVHSDPFLTPVADRPLSFPQASHVSQSPGNESRPQTDPDVQDWMSDVDAADALL TGRMTTHSAGGAGRTSPVRRNTVKSIMSVRSGYNGDEDARTNSNLSDSSRGVSRSGSQ RSHLRLGFGVSPATAAAEERSGTSSSDSSQPSYHTAKSIPTLQAEGPSLLLGRHEYDE DDAPGSPSKNKPRLSRGWLGSLRRVFSGSTPSSASPGSYLDTPMRDSMAGASDYDPQS GGLGTIAAGGLFRRKGGRGAWEAGDAGNDDDLPLGRRGMGDGDDEWDIEKAVEKRLVQ VMFTVPREPLRIVNGEPDIESGESVVVIDPDTDERVEMDEKRRYELRDEPRTTTEMAE SSYISERQPPHGEEVEEEDVILDKGKQRDNVDSALGSEIGPPTYIPTHRDTVDSGLGT DSDVPLERTMTRELEGLLPSSKAEARPDSFSSLEDPFFSSPSIRQRSRDSQHSDAPIL SAQAVQLKRPERAMTRVLAMVESIESRSRSASPDRP PFICI_04646 MATNDQSKVVDPYKTKLGYVEATDWAIPYWASYLEENKHGHYEM FAHVNCGYLSTPGRPPTLEALKRHAQSLAHLISTIAPSSGPGEIDNVNSGASGAREFT QHEAFDWLNNLEEAYSSDDPAHHRPLNSLSNQARANSDIKGVEFHCPLDVPATQKSVK AEDAAKETAYQAERKTRPFARHWNLLRHANDCLEILDHEFSATGGLLSILPTEHEVEA EQLDMAKNTLIGQWLTFTQALTNRMHNLEINYSNCLDALKGEAFIPSQHLSHEGPDGR SGREIVFPQDRWVLANAGDDVQEWVHQILDKIQQAEDHTMVANRAVGIVGDGIWDTAT EAERGLVSTDLVTRYYRIRGSASDRGPIFVLPGFADRPHVKYTRMMEDRPTVVGLVAP QEPKIIPSASALHDTYLAKMADLAAKDKDDCKAVRDENEKLKNDLKIQQEKVSEEMQK NSSLTTATTDDQTKVAAELESLKKQLADSQKLAEDRETQRQAAQTLYDDLLSNRYSGL PGTTGGAFVVPRDIDGHPKEQALIALLKSLKKSSRDNEVLRDTIETLQGEIRKNTAAT KTTAPTS PFICI_04647 MSNVGSSRDTTPVSLSSLISTLVPTAVLAAVYLVIFLILRRSNR RWYAPRTYIGSLRESERTPHLSSGWFNWIGEFWKIPDRYALQHQSLDSYLFLRFLRII TVIAFFGCCFLWPILFPVNATGGGGQSELDILSYSNVSSDQKNRFYAHAFCAWVYYGF VMYMILRESIFYINLRQAFLLSPYYANRISSRTVLFTSVPEAYLDEAILRKVFGDAVK HIWITGDTEEVDELVKERNKIAMKLEKAEVKLIKTANGERLKAIKKGGSHESAETAAA DAESGSLAARWIPAKKRPSHRLGFLGLVGKKVDTINWCRTELERLIPEVDRAQKTFKS GTYKKIPGVFIEFHLQSQAEDANQVLAHHQALQMSPKYIGITPGEVVWKALKVSWWQR VVRRFAVLGFISALIIFWAIPVAIVGVISNINYLMEKFTWLHWLNAIPEQIMGVITGL LPSVALSILMSLVPVIMRLCAKLSGEPSLSRVELFTQNAYFAFQVIQVFLITTMTSAA SAVGVKIVEDPSKVTSLLSENLPKASNFYINYFLVQGLTIGTSVMTQVVGFFIFTLLY KFLTGTPRAMFVKWANLSAISWGSVLPVYTNIAVIMITYAAIAPLVLGFATISLGLFY LAWRYNVLFVTDTRIDTRGLIYPRALKQLFVGVYIAEICMIGLFAASVVIGPMVIMII FLIFTVLFHITINSALNPLLYNLPRTLEVEEKSFRMELGAVNSDLKNGNGTTEKGTPV AAEKKPSIVNKFLKPWVHQDFATMRKLVPEDRVDYDNLYTDEVELDAYYPPSVVSPTP LLWIPEDPAGISKQEVRDTSRVIPITDEGCTLNDKNKLEWDSEGARPPLWEEKVYY PFICI_04648 MRFNVACAAAIVGVASALPGWFDNTQDIVTLDDDKKVPGKNPLT YCAASHPDDIVKITSVDLLPNPPEAGAELKIRAAGTVFEDIEEGAYINLVVKYGLIRL INTKADLCEQTEKADLKCPIKKGILSVEKAVDIPKEVPPGKYTVHAEVINYDDKPIAC LEAQVTFGGSKKDIELEL PFICI_04649 MASQADYKDRQFLAVIGDEDSVTGLLLAGIGHVTNPPDSQKNFL VVDNKTETSAIEAAFDSFTKERKDIGIVLINQHIADKIRHRIDTYTAAFPTVLEIPSK EHPYDPEKDSVLRRVRRLFGE PFICI_04650 MPRIVRRKPLAERLQAWLNPMDFLLWLSEEIETRDWNSRSVGLQ IGIAMNLIFGIARANSVRKTTVDDVFGDDNDSGFFTFLVSTFVWILAGLSTLNAIYTM NRTRKYRFFEADVEKKYEGSSSVQRVRVQSSPAASSPLRFFSDLVSSESAETRAHPDR TRDVWELPVWDPLPVSLSILCWFGPGHILVSLMFLPVTQSDWPSIVAFKWLVLQAIIS IQMYLLQSKFSQQNKDTSVIQKEVMHEYDTKFVHPLLYPMVRDASTQISQDDDGNDQE YVAVGTPTTVLRRGFRPNPNPNYAQHIDPDGSALFRPNARSTGSPGLFTPPVARHADA MASGSVARPSALRQSTSGFSNSVSRTGSPMVNMSTNMTQTQNQSQGTGGYLGVYSHPS SPLKKAPSLGDLQHRTPRNNNEMARLEQYRALQGRDSSPLKSARKSTGNLPPSFQTGL KGGRDQPKERYPSMWR PFICI_04651 MTRKGSGSLVGLFSRLLPSNRPEQGNTHRDEDDSGESAYIDLGI KPEELTQWNIAEDLSPHRRAELLMQGHRRTGSLRLKKLRGDRNRPEKPEQKVESMTAA QVQALLDSKERTRKQRRQLKASGDFLPVAGYDPQTGDWNALTPTDTLSSDTTSPSADE KLNELAQNVKNAKKAYSRAKDRESAEREKMKLEKAQAKLAKIERRKNELKLDDGTVKW TRHGRHWSSAMEPTLSPIAQSLISSTDETAEEPSFYGRARSLRISRKGSPLKMEDTTK EGGRSYSEDTTIHTPAEREANHVTFASPVVTSPDDGFKHQHEVNRDSKNPFLWVGWRR SQVLERLTATRHTMDLSNMEGMEQARSQSLSTLSDLRHFIDLKIPDCHLDIIPREEGD TMTKIPPDGEILEMYPPPDTPETMGSIESSGQSSTTSAYLSDLSKSLATSTRSTSGAT ATSSLSKSKEHMKQPSDLPRLGPSQWDTELTESLGTEQVMMKLGSHMGVSESTERSSE EDTIVPQTTAPSQIRQIEAGLEDIGETGEKIETGPLMRHVKYVSIPTITTTGCDHSLV SRLTAQSPHDCPEEQTEGASAAQQVMTSMHAHGRSCMAQAAVQDQMAATFPQATPSRG LQSLEQHLETPKIGIASSRDKTPRKSYLRECSPKITRGCHSPKGAQKAGPYQSSNGNL QEAAVQAAARTAMWKSRAGATGGQSPPASAQTRSPHASLLIPSFKTLWVQTGVSKKTP PPQQQQHPLTRSASAVSADIDAHSKSLHPNSNNAIMDNLLAAGFAWWNFSRPAFEQQS GLWRRRHKQVSTFGDLVVFLSAGLFCATGAVMVWYGLRLLMWLLV PFICI_04652 MPSTAGGPQTLYDKILADHIVDEKLDGTILLYIDRHLVHEVTSP QAFEGLKNAGRQVRRPDCTLATTDHNVPTKSRKGMKDIATFVEEEDSRLQCMTLEENV RDFGITYFGLGDKRQGIVHVIGPEQGFTLPGTTLVCGDSHTSTHGAFGCLAHGIGTSE VEHVLATQCLITKRNKNMRIEVNGELAPGVSSKDVVLHAIGLIGTAGGTGCVIEFCGS VIRGLSMEARMSICNMSIEAGARAGLVAPDEVTFEYLKGKPLAPAYPSAEWDRAITYW KGLQSDENAKYDIDVQIDAVDIIPTVTWGTSPEDVVPITGVVPDPETFDTEAKKNTGR RMLEYMGLTAGTRMQDIPIDKVFIGSCTNSRIEDLRAAAAVVKGKKKADNVKAAMIVP GSGLVKEQAEAEGLDKIFTDAGFEWREAGCSMCLGMNPDILSPRERCASTSNRNFEGR QGAQGRTHLMSPVMAAAAGIVGKLTDVRALTEYKTSPHIEAFKPNSPSSKPHVDESVE IDETAKEKIGDQPEDTTSTNHVVDEAKGSQGLPKFLVHKGIAAPLHRSNVDTDAIIPK QFLKTIKRTGLGSAAFHGWRYLEDGTPDPSFVLNQEPFTQAKTLVCTGPNFGCGSSRE HAPWALLDFGIKCVIAPSFADIFFNNTFKNGMLPVIIPNKDDMDKIAKEADTGREIEV DLPNQVIRDADGNDICQFDVEEFRKHCLVNGLDDIGLTMQLEDKIIDYEQKATARTPW VDGRAYLKRKGQGGKLAAKAAPVPKTNRGEEIKNPIDW PFICI_04653 MASKSPKNILITGATGFIGGTILTTLLASDSPALQAATITCLVR GADRATTLTSAYGSRVRTIIYKGLDDVEATEAAASQADVVIGATLGFHPASAQALLRG LAKRRAAAQGKTDIWMIHLSGASNLSDQPITGAYLEDRTFDDVEDDVYGYEKHREGLR HYPQRATELGVIDSGLELGVRTVVMMPPTIYGVGTGLFNRTSIQIPTYIRAVLELGHG VVIGDGAGEINHVHVEDLAELYRLVVLDILDNGGSRLPAGKKGILFSSHGIHSWMDVA RGIAEACQRAGKIEDAQVRSVGLEDAGTYLKSYMDLVGGDLVELELGLCSNSRTRTSV ARQLGWRPTRGEEAWKKCFEEDLRAVLCSS PFICI_04654 MPIRLFSNRTSLGGFAVAFVHSMLTYWITYFMPLYFQAVLRTDA ITSGVNILPMAALAMPFAMIAGFGVSKFGRYRPWFFLGYALFAISFGLFSRLDEKSST AYWAGSQCIGAAAAGILTTTTLPCIQAPLSEVDQAVATATWGFVRSFGGVWGVAIPAA IFNSQVNSLVQERLQDKQLQSLLSNGGAYALASGGQIGTITSDPLVNSQVNSIFVDSL RLCWQVGIGFSLLGFFVSAVVKEVAMRTHLETDFGLEERRKEGGE PFICI_04655 MASTSNTSQDVDTNPSQDVDNNPPQPSHSFRFWAIIVSLMIASL LSALDVSVISTAMPSIVHDLGSTYAFIWIANAYFLTMTAFQPIYGQTANIFGRRSLTL LAVLLFAVGSAVSGSAPNLGALIVGRAIQGIGGGGINILIEIVVADLLPLRQRPKFIS IIFTAYTVAVVLGPVIGGLLSERVTWRWIFYLNLPVSGVALIMLFAVLRVQYKKDSMR NSLKRVDFGGNVLLIASVVSVLLALTWGGVEFPWSSWRTILPLVLGVLGIAAFLGIES TR PFICI_04656 MADQQPDQDVRKMLLKWSVHHFKLPEVDDQAFAKWYTEVQVPQM MKIVQRHGILKYTLYITPTYLRQGFEAEIKEQKSAPGWKLAPFDVTSTYWVDDPDKLK AMLADPDWENIVIASERPWIDTERAECQVGFETTFLEDGEIVNVKP PFICI_04657 MAPTTKQGRKITVVGGSGNVGSRIVTALVEAGIHEVSVISRPES QATFLASVQVRRGAYDDEAFLASALAGQDVLIMTLSFQASDAQKPLIRAAAAAGVPYV VPCEFGSDTTNEPLCREIFFLEAKKQYRNLIEELGVSSWIGVVNNPWFDYCLPLGFFE IDVKNRTATYFNDGSYKANFTTMGRVGTSLAALLSQPDAKLAEHKNRWVYFSSLVASQ RDMVASAQRATKTTDADWTITSKPTQQVLDWSRAETAKGNFMAAGKALFALTVTEGYG GNYQDNVVDYAALGLEPGEDLDEIVRNLVGEMGA PFICI_04658 MPKVDATRNYYADLQVTPASSSEEVKKQYRKLAMQWHPDRNRGK EEEASKKFQIIQAAFEILTDPTLKRQYDEARNKSASRFPTSSGVRGNPWANAGADFPP PPKRTGANTAGAGPQPRPTSGASRYNNWGQTSGANSRKTSQNTDTGSAKAHYDAWKNM RPNANASTPRKPPPTPGRPPTSSTRDSKTSDAEGVPRTASQKQKAQASFGNTARRTGF TPRSPGPGDEPPVSNNNYFTTRTRANIFPDEPASTTSQSRRVPPVPDPLAQFRDNFVD GRHSSPYATPGGEKTSLFGDGLNRARSTRDSSRPSTSHDADTSFPFPRQRSSSTPRSS SNDGSSEDSTKVNTGAKVNSSADSASASHRPSDRYRPQSAESAQTSSGGKAQSAADKN RTDGTANQPNDNSTKYAISSRTASATQNRPRIHSPSGKSQRATTTHPMTTESRLRSTS SEVNQTVPRLLPFEQYLKNHVDRLIRRIGDPASYGISNDTGRGKEKRVEFASVPTYHL QDSTNDLNSFGYTNDSTYTSAKFDRASADNISTQFVDEQPEAFSFTAGGPPAEDIPLP SKRGSQSSSRLGRRSPMKINRRPVPAPPGPSPMRPETSASDEGATAKFSAAEWGEKIG SEHFVPKPSTSASTSPTRRTNSRRGSKPIKVTRGGSAGIIDDDDEIPTATAAWTDAPK PPPRTQSPMAMDIDEPSVEKDGEAGDEDVTEDGARKIPVEPTRPEWRAGNVDVKGKDQ EQRPTLSTDTTNTTAAQSKSAPASAQPFVAQNGGSEDSEEFRASFADFKKVEPFTDPQ PTGLNSFADLKTTLPFESRASGHVPLSKPQAAPDLDFPAVPVAPRLHPTIAVGLRPSN TQWRKYSQDFYNYMEKWETFTEIVLTHFATRQFEMKKRREQFGRAWLEGVHGEDGAAL YQIELQQDHEIRKKWMRYCDEHQARVQEFITFRDRAK PFICI_04659 MPTSLIDFAWSRFINELQRKAARPNNVPVHEYQTITPGSSVELD QSSIIEPENEETFASSQGRSGTQRGGDSSTRGTSEEPALTNLLATDSSTFMTARNGMT FYLGTSSSWTFTQKVLSMVYERVFRNRIPDMCRNIEGLGNAYDLKWDGEPTSAGCSPV TIPAIDHSIYLINAVKFHCAQLYHMFDEDTFMSTFYAFYENPSDRDAIDKLWYVHFMV ILAFGKGFTVRKHGKDAPGLEYFIQALQSLPNMIMLWRHPVHAVEVLTCIALYLHCLD YRIVALNYMGQAVRLALSYGLNTDIQADRFGNESVERIRRVWWTVYLLDREMASVAGL AQAVQSHDVYCQLPEFGGSVARTTALKMQLKLSHLIADINRNVYGVGGQLSRSFHHGI KSALADIAEAQKDLQQWFPLSPEQRSDGISRTSAHLHLEYHRCIILATRPLLFCFLKL RLDSREDCQSRLNGSKTSYSIIQMCLDSSVQILGMLESLLEQALIDPFLPSDLDSLSA ATINVLVAMSIDADLIDNGSKWIMTAYAVFGDLIASGNQVARVRKGELDHLRKLLEEL GTMSENNTWASSRDPGVQQQQQQLSPSSSSSSNSALTTRESATAYIAQDSSSFDPPFT LAGIDPGDPLTTADIMDLANSIDDMDTDWISQTIGHDRIW PFICI_04660 MTSSMLHYFGFGANTKPEDGPVVDKQEPVRALPASWYSSDDFYS LERRAIFSRRWLMMTHNSRFKGPGDWLRYNVAGYDLIIIRDRVGDINAFHNVCRHRAY PVIEKEGQGTAMILACRYHGWSYGLNGKLAKATGFKDMDKSEKEQNGLFRIHTKIDRN GFIWINMDAKEEPEVSWDEHFKNVDVQERYKQMNFDDYELDHTYELDGEYNWKALSDN FNECYHCPTTHSDIPTFLNLDSFDSDLKDGHVQHHCEYTPEQLDKGLNALSTYYFPNV SMTISPHFMMIQKFLPSGSKKSAMHYEVFRNKNSSTEDFELISKMYARVMAEDKVLCN NAQKNINTGVYVTGQLHPKHEKAPIFIQGLIREAVTEHYKREKEHGREIWPARQQLPD SATVSMEDVEICEGLACAPQNKEVLAW PFICI_04661 MAGQRAARLQVGSAPWIAEERSSAQNIAQDEIEEFTFSARNDFE WLNEHMADIFSENQIHVAEIFKTPGKLRGKTPRTARKANPTGPRVPLADIFSATPKGA PNPFASSSIVDRTPAPRVKIATDPIPQPSPAKVQNVAPSNYVSMVDSGYHGSQELMDV DEGEMDFEEPTQLASPRGNHEHVAFQVSSPVREIQETPARGSPEPTATIDTVRAELDT QYFTANIDKIPSPKSHPGHHESTTPAGSPIQHEVEDSPQESSPVKSSPVKLSSIQPPQ VEVKPIQETEVLETADEEASQSASDGSSPIRPVVRKSSLNFASLPAREPMTHKSIGNR ISRTSHIDKRNSYYNRTTGGKSLGNIRPEVSDDENDDMDIDDDDDEDDIDIVSQAPRA PAQVEEAATATEHNKTYTQRLQDQISKLGQSQPAPPRLSKSIANSVLPAASVAPMAPS AAPGVITAPQSPEKPKLSSPKTQATPGAFPEDDDDDDWIEPLGTPDNASNMFSPRPAL PKSHTADVMEGVSGKTTVSGSEFTMPKTRQHEIRPKSPEKPVVPSKTTSALGHHKSAS VPSIPIMTQDEDEMLALKQTMSVSNPSLAPVAEDDDFDFDAPPKSPSRTLRESPLKQV KNKLSSILKTSKGLLASSAAISAEGKSLLSPSSIRLGLHAAPSTSSLEQTSSHTSQPL YPDLSQKIFDVQSQIRPPSPVRLEGRRTRASIEREKAEEKRKEKEAKEAKRVAEQMEK LEKAREQEREKARVFSKEQERIAAMEKQAAELAQKKQRPVETPVPPPVLPISKPTRTS PRRKPVNGTETEERSAADTKADADVDMTEAPSSMPPPSVPRPASAAKGREIKRPMKPT KEPMSKAKQAPTVIRVNMGSQHSQYQPSNSTLSSGLHETLGVTSQQAQPKSKASQATM QRPTPQSLKSSQSSSSRPKALEMAARRKEQEEREAQRKRDAKAEMERKRDEERLQEQQ RKLEADKQKEEERRQAAAAREEAKKKAILEKAKQTRAPPPAARSINGQPDYKGSSQSS QPSRPASRLGSLAPKSQEDFGRPVNAPKSTIKRPLQQDGKESKRMRMTEEFEDDMETG GQPSLKGAPVRPSGGFKKVAAGSMLSQAQKPVKPQDPATKNMFPSGYANAPPSATKNL FKSTLTSQHNTLSKPGHPMDTAQFAKGAIPFAPNPAGPAHKTPARPVGGPSAKSAAKS AARSSPRFQNGEQIELPEINTDDEDEYSDDEQKQMFASWTDSPALRRALMDQETLDPM RVFGAPAPLNMEEVFSKSKDRWHKFRARTSSANWSGTDRLTEDDIRKDLAARDKLRRE GGWSYDMSRDML PFICI_04662 MPSGFGGQQQPQQPGRTVSNRIPNGKLANNASGWAFGGNVPMSG AGLQNSARPLGGNLSFAQSLSGSQPATPLDLSEFPSLSNNPQNPSANQSSLWSTSGSR NVGPIQRNQSTPLSSQPSQPDDMFSSSARIASNQGSFRFGGQGNAGQASQPQPGSIDD FPPLNNSNGGFRNGNGEIGQERGSNLMSQLGFGAQSSPAPSISGNRAGNGLLSALSAN TRSNDTRSPDAGAPGSSRGQDIRSAMSGHEPRQKPPGFRQDSVASPTSAQDTSEGRAS LGAIGNEVPTAKDSDEKESQSPVTHDPLSGMSPVDKWGIKGLRTLMNNYSDYTSAVTG VDPNHFGLNLQSNEPISTQIYSLFNDTPPRPAIPNFKLPECYNVTNVQPLENKIQSFN EETLMWIFYSCPGDVKQQLAAAELNNRNWRWHKRLQIWLTKDDSMTPRSLSPQHEQGY YIVWDTSVWSKVRKELILVYAELETANSTA PFICI_04663 MDLDDDMPPELVETGQGVGEDTEDIQQKPVKVPITIVTGYLGAG KTTLLNYILTAQHGKKIAVIMNEFGDSLDIEKSLTVNKGDEQVEEWLEVGNGCICCSV KDSGVNAIESLMEKKGAFDYILLETTGLADPGNLAPLFWVDDGLGSTIYLDGIVTLVD AKNILRSLDDPQGKIEGHEHDGHGPLMTTAHVQISHADVIVINKSDLVDSEQLEQVKA RIQAINGLAKIHVTAQSAVPELEGFLLDLHAYDQVDKLDTAGKGHSHLDPTISTIALD LPLLTPEQLEMLDGWLRSVLWEGQLPSAGPDQSTPLEIHRLKARLRITSGEVKIVQGV REIFEIFDAPTTSLSETAGSPGKIVLIGRQLQASELEQSVLSSIRLH PFICI_04664 MALPPSSPRLPSPPPPAEDQIGPKSPGVDLQASQMDQSIVDTNS KRRIHPGTKAEDMAAGPPLVPLNELDSAFQLQEHLAALHYHHTNAGTQPITRQTASQI ATPPPGIDETLWLYELCRFLIMQCNNLIVGFLFDTPPCSQATCPEMRASEWQFLCAVH DQPKSCCAIDYCCHTLDWAANVVTNPKIFPSRFTVLNEGHDRNAVQKNLVNVFRRLHR IFAHAWFQHRGVFWNIESQSGLYVFFKRVCDLNNLLPAENYKLPPEAEGLDPTPSEKE ASQPKITAIAKPPVRDNASTAHEEDERENNSLHARRTNTTRHSSRPSTGSFVTTVIEE REDESSDINEKMKNMQLSAPETVEEASEVEVPVIVDGGLLNGQTSAPPPQIHAPRIDI QETEVEEPNDSESHTQTKTSITSEDNAAPEPEEPLEAEKEQEEATSADGESSEASVEH VKDVAAENTEEQPKADSDPDPTESTEGPSDPTDHSAEDKS PFICI_04665 MDGYYQHYLPGGAGPGEETSPSSQEGHSYIHNSQIQMEAGEMPM VNPYETLGYVTGFPDPIMFQPPKPQNARSRRKSAPGVDHVKHRRTRSGCYTCRSRRVK CDETHPICERCRKGKRECVYPEASTGKGPSDASAKEGGHTDSPGQSPGDAEDESERDT KLEPIIDEEEPDDDYPRIDPSYRRASAASASTLPKHSARYGSETPSGGGSSSPSLSTG TSVGFMAPFRASDPSIQPGPLDWSHLPTELRFYLDYYCENITHYNYGLSVDPEDFFRS FLPGTAVRQGNDALLYAVVGFAAYHHTIGNPRGQIQDFLKYYNKSVTLLLASFRRKEK QNTATLLTILQLATIEEYLGDWVSLMGHQKAVLQIMTTLFTPSTVMQSYVTRTLFAWY VRFDVFVGMLGGFETRLPRDWFSTALEFFEGQIAREPGDYSLKLELYSAAMNMITVDM SLLFAKGGRGEISPQSFAAEHRHLEERLRNWKASLDSDQELCNTDYLVTDFENRQPLT DDDIVDPYAKKFLYKSQYFATTILLCEWRSILVMHKTQEALAVQQEPAQELRDLAYDV CQIFETVERWPSSPNGALVILQSALVIATLYLPQDEKHQRWMKTKFATIESMGYVFPL TMRTRFAEIFQDPTCVNWWLPNDEGLNPVIRSIRAFADERSANPVSEQTESLREMTAV FAKMGLHSRDESSSPSEPSPTRKGKNIML PFICI_04666 MDDLSLAAILELDASAVFLLCATVLAFLVPVVIILPPVRPSKSD ALLQTHSLAAVAPARSGLRTQYSETAHSAAADGNQQRTPTVQALVVYPIKSCRGVEVT KARVLPQGLQFDRLFTFAQLKSQFPVPVDEVDGHDDDEEKEKARHTWTFITQRQFARL ATVEVELWLPDEMKLRKQSIAQTSEAFLILRFPWRARGFWRGLVDTLSAKLTKGLLRG QPEIEVLLPVDFPSAEAAKARGYTYEDVTVWGDRVTALNLASELPEELRLYLGVSNKL GLFRIDPAHLRENNHGNAPKRDDLGWQPVSAFQDGYPLHIQNISSVQAFSKEVPKDQD LKQLSVMRFRPNIIVSGAPVYDEDTWQQIRLESGSSGLYRSVQLDISCRTTRCRLPNV DPDTGDRHPRQPDKSLRALRNVDPGAPKNGCLGVQACPLFADAVDEEDREGWIGVGME VQVEKRGDHFYQD PFICI_04667 MRVIELIIDGFKSYAVRTVVSGWDESFNSITGLNGSGKSNILDA ICFVLGITNMTTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDKNKSPIGFEEYATIS VTRQIVLGGTTKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILAMIEEAAGTRMFEDRRDKALKTMAKKEMKLQEITELLRDEIEPKLEKLRTEKRAFL DFQQTQNDLERLTRVVVAHDYIRYQEKLAQSGTDLGNKKQRQKDLEESTTRLKNEISN LQEEVDRVKAQKDKEVKKGGKARALEEEVKKHSNELVRLATVMDLKNSSKAEEEERKA SVERNVAELEASLKEKTEAYEKIKAKYDTAKEEDIRQHQEAESKEELLQTLQTGVASK EGQESGYQGQLSDARNRAATAATTQEQAKLKINHLEKRIKEEEPRAKKAKEQNASLLQ DIEDLQAQSQKLEAQLAKLGFEPGQEEAMYKEETALQQRIRGLRQEADALKRKVANID FNYADPTPNFDRSKVKGLVAQLFSLDKEHTRAGTALEICAGGRLYNVVVDTEVTGTQL LQGGKLRKRVTIIPLNKISTFKASAQTIATAQKIAPGKVDLALSLVGYDEEVSAAMEY VFGNTLVCQDAETAKRVTFDPNVRMRSITLEGDSYDPSGTLSGGSAPTSSGVLVTLQK LNDINRQLREAQSSLTELQGKMTKEKAKLDQARKIKQDLDLKTHEIKLAQEQISGNSS SSIIQEVENMKEQITQLKTDSAEAKKRQTEASADVKRIEKDMKDFENNKDSKLVELQA SLDKLRATLAKNSSTVKSLQKELQGAQLDSEQVSGDLAAAREQLLEVELAIKSQQEEV EELVKKQSTLQETHDEAQAQLDEERAKLTLFDDELRALEDATRSKNSRVAEENLELQK LGHTVEKFHKEQQQAGQELERMEQAHEWIADEKDNFGRSGTPYDFTGQNIGECKATLK TLTDRFQGMKKKINPKVMNMIDSVEKKEVSLKHMMRTVIRDKRKIEETIISLDDYKKK ALLQTWEKVNGDFGNIFAELLPGGSFAKLDPPEGKTINEGLEVKVCLGKVWKQSLTEL SGGQRSLVALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVV SLKDGMFQNANRIFRTRFSEGTSHVQALTAADFK PFICI_04668 MADEQISLSSLIFVVVISGLAIRYFFFSGSTATQTAHRQSPRDA ANAARAREAAVERIQQMFPQVDRRAILWDLQRTGGSVQATSERILSGRIETPPVTFQP PPPPSSPTPPAHAPIAKAPSKPAEPDLITRYNLQNKVEGSADDAADGKKGWSSNRDER QSALQRRRDEMILAARKKMEEKIRAEKEAGQSA PFICI_04669 MTTPEAKVDDIRYEQLVRHLLRSVKDMENNTNRRQLDIRRLLEG PLSSPQQPPHAREVAIQAQQQQRLPHNFGGQSHDAVNQRWSPSGIKWRYARQGAQLAT DAANELHDSDFERSAYIDAVAYYLRACPDQLDHGETAKILSAAPWLAQHPRPQPQIAG IARPTDRGRTFLSDAVQYAVIGLILLGHMLWCLFLSAIRIGAHLEREYQLSHWVATNG FDLVNSVGRHSVAWTAKINAMNEGRIGQVVSWTMDSVTGGIQEGYGKGMDRIRRQQQT ITREAHPQGDTANVTAAPPPDEGGGIVGFRWRKPYDR PFICI_04670 MFKASLPRHARLGNVVCRQLSTFSCVRPLRLQSLQSSGQQLSAS RTPLRSVCLRSIATRLYSSEAAAARQQDANPDAKAGSQLITKFADLSQLGVHPALVSA ITDGMRYEDMTDVQSLTINPALRGTDLVAQAKTGTGKTLAFLVPTLQRLLAEIPELAD RRSSRRASSDDVKAIIMSPTRELAEQIGVEAKKLVRNTGIVVQTAVGGTRKRESLMKM QREGCHILVATPGRLEDLLSDEYSGVAAPNLKAFVLDEADRMLDVGFSDAIRNILDLL PPVSKVDRQTLLYSATIPRNVVHLAKSLVKTNNFEFVQTISADDAPTHERVPQYIVPC KGYENWFPAIMEIAEKAREAAENDPSVAPFKAMVFFSNTAVVEFAYSTFSNTKLGGFS GVPIWDIHSKLNQPQRTRHADAFRRSKTGILFSSDVTARGMDFPGVTHVIQVGLPPDR DQYIHRVGRTGRAGASGEGWLLLAEPEIAEARARLPGLPIKPNRSLVTPAVDLATGQI PEETARYFNDVEKGYSKTRSGEFAQAYHSLLGQKFGRKLDVADAVELLNRWSEYGLKR EQVPAITPQSASKRGLARIPGVRIGHDTEQSYGRDDRFGGRGGSGGRGGFGDRGGSDS RGGNRGGNRGGNRGGNRGGNRRGNSFEDKFASRESNDRQSFSRSSF PFICI_04671 MWQLPAEATGVAAAVLFYSVICWTCNVLMLWLAWTHNERLSYVA CISYFPLLSTTFSIVQQLHDYIWWQDVAVATWENRVANVGNPVLVISNGASGIDLVFF YIQYTCYNIEATFVLFWAFSLLASVYGWSFKPRWRKTFARINIAGKIVSIVLPLITIC LLQVRAIQESYVAFLIIADFEFIVSCAGGAIFLLMILVRYVQSRSNFGTWTIGYGRDL ETSDTDTRRSYNPHASSTANHNKRRRGARGIYDKWLIIRFTIAFVALAIFECSIATFQ VTGQQNNTVDFLSDAPNATAEKAIRVAVQDLPGVTASLIPFIVFGTTRPFREKMYRTF VPRWWQRRREERRRRRRDHHPVDSYTARSSMMTQRIRVSKGVDISYSLNELTPTRSSS HYERSSDDEKGLMLSSSPLSSSPITALSPPPLSPPAAVASRVPAKGPWEDRWEHEASL HGVRWEISAGGHNHRGTQQSRR PFICI_04672 MDNDANGRSVKVTKLLPKGLAATRRWRKQPSKESFGSAASGEDG PRGRSPHSRGAFHADNSSSEHTSQAQSQASHYPDDYRDEEEDGDVTPVLDDWDPDLSP IRPTGPSTHPSQIGHLTTSSPIIQAEHAPRVHNIDELANTDNDSLSRSATYSVSVSSS NLDEPVNRPVSAPKAGLQPPLGVASRRSKSPAGRFKDVFKSKKNTSATPSLSSQTSFG IDQADFVSENASSRPATSSGAEFNDAKVASPERRRLRTAATIDTTKRPQTPPSDQGAP AIVNTPPTPPPAARNHSRGASDSGPFPVPSVTVSPSGSSLRRARSGSATSLGPSKLAN ITLPPLTPTPENGLPPTPGGSGFFSSMFSAAQNATNTLSNTVTTSIGLNNKPKTPIPA ESQEGDGDTTEVETGTGQSREANMGEPAVKTLGQGDLSLSHLGIQDNGSAAPTPQLSK FPDHLQDTRTRSESAPSAPDASQNSQPALAVDDSGYRPRSLYESTGDRSPTASLYEEK TGGLTRSGSIRSAIGRRRKRGSTGGTAGTTGTTIGAAIAAANASVAHPNAAGSVPKLT GFAVASKKRNRDFHTLFKSVPDDDYLIEDYSCALQREILAHGRLYVSEGHLCFSSNIL GWTTTLVMSFDEIVAVEKRSTALVFKNGLMISTLHAKHVFASFTSRDSTYDLIVNIWK LGHPTLRSSLNGVVLEETGGDKTEKVTDADVPLDHSGSGSDIGEEDASEDGSDDVYDE DEEGDDAIEADTAAEASMVDAEADRAATRKASGAQAINGTAEKPAAGAPVPAGATDFP GPATHAPTECGDAATHYERPLADEVIAAPLGKVYNLVFGPASANFMGKWLTNEQKCLD LQMDDKVGLTQDNKSRGYTYIKPLNASIGPRQTKCVVTESIEGIDLEKAVNVLVVTQT PDVPSGNVFSVKTKYCLSWAENNATRVQVNCTIEWTGKSWIKGPIEKGANDGQIQYCK DLFGSLRAAISSRPAAAGGAGVKGNKKKKGKKLHKTSRESLNTAAQPAAKKMQDWGAL EPLHGILGPVVDIVKPILTGNVVYGLLVGLLVASWFGFGLNPRSNGGGYGSGLGFPSH SDRLIAYEEIWRREESDLWDWLEERVGLHRMHEGAMPVRKHVAEPRVVEDRLREDKMT GREVEEAIRVTEEKLQLLREVHNRKHPKPSGQDRVFTRPTTQPETDR PFICI_04673 MFGSKAHDHARAASEATHASNSTVAINEHTRAAGEFANAAKTTT SVEALRTLKLLEQHHQRLSELLRAPLEEAKLQQTVDGAPQSTDEKGESAVSRPPNNAY PGDESSHATAIEKIAQPMPSLPKQQRYPARDLGASIASNLASARGIPRSKYRSQPLNP SVSNTEVPGNLAHTLQKSGSGSKSKMQSMIEHADKPGPSSPGRISRQGSLERRSSDND RVLSKPRGSPSTSQDAGFSSFYSAFGSIINRISAPLAFAGLPLISEDRAEDNLAPAPA QAPEPQVQKRLRHKASATVSVDPDLNRIISKAAMNALNRDGRFATDSFYVVPTSGHTA SYASILSYADKEKRRLEASVHGELSNVAEEDEDDFVDAKESQGPPSPGFRRKAGKSRA GKDVSNVVEELSLENASLKDALDKLSKRLHAFESMSQNSGMRLAESMRLMRPASPNTS STVKPGVGSDEVLRKRNMELEDQMVLLSKQMEDLQKDNTKLRAYLDRYREKWDQLRAG AKARRAATQGSSEAAEAGPQSPSIG PFICI_04674 MSSKPEGPIHFGPFEVTTQVFMTTPHSFALVNIKPLIPGHVLVV PHKPYKRLTDLSPPELTDLFSTVQRVQRMLARHYFTSPASTSDADQKKQSRQQQPAPA GTPEAGSFNIAIQDGTEAGQTVPHLHVHVIPRIRGATAKQGDGPGDAIYELMAAEAGN VGGGFWDRWAAEVGRPVPGGRFDRIEDAERQARSLAEMEDEAEVFRRVLAELEG PFICI_04675 MITASSPVVYPPSSSPPPAKRPNPGRDPKSRTRGKAGFLIDDDS DEEEEPAAKRRMLSSPGNNATADSTQPSSPPAPLETETSALDKQQTHAQNATETCDSE APGLYGDVSLEQLLRSNHLASSQPDIISRQSHPGFKIRSCSGKTVDVKIRKASSAPSY ESMVASRSKTKEGRAKRAYYGIDVHELVAAAKQEIEATKTIQENATPDDPMPSIEAPT TKSSKKTLLWTEKYRAKKFIDLCGDDGTNRHVLRWLKRWDPLVFPGAARSRPSGRRIQ QVEEEKPHRKILMLTGPPGLGKTTLAHVCAKQAGYEVLEINASDDRSKDVVKNQIRTS LGTESVKTVQHKKIEADEQPKIARPVCVVVDEVDGVVSGSGGSGDGGFIKALMDLISL GERNSSGQAAQNTGRKQKKTDDFRQMRPLILICNDVYHPSLRPLRQSNLAEVIHVGKP SIDSVVNRLKSVFEKEGIPCEKDAARKLCEAAWGMSSGMDAKKGAQSNAEGDLRGIMV VGEWVAGRLKSASLEGQLRLTRQWLEQHIVHDLAHGGGGARGLGRGNTKDIVTRIFQE GAGFPKGTLTIAKSAAPLGEQPQAQLKFSEQTKKYAMERLREMVETSGDVDRVVTDIF SEYPNHELNDDFFLTKPNEAYEWMHFHDACSSRLFGSQDWELAPYISQPVLACHHLFA TPTRHSQLMYQKRGQEEDEAPPLPFSGPRADFSAHEAEKSNRAMLQEMHAHLAPTLMR SFRSVEDIATDFIPYLARLVSPDVKPVVVGGSGDQKGTASVRKEAEKLMVKRAADAML DVGIALQRGKIEGDAFTRPVWVYRMEPDLDALSTFETSAAVLHGTQAPTRYAVRQVVD QEMHKVSILRENTARQARYKAGNPHEAEDFSFESKENAKPKGPILPIIPVKKDFFGRV IKSDPKPLQETDGNSNKKPKASDKLGQGEQKVWVTFHEGMNNAVRKPISLDELLRGL PFICI_04676 MSGQDPDPAETTPLIVPGTATILCHGETKRRRHRDINGAQITIS NMTTHDAFLGLENLQNGDQEWLCGSAVDVPIEFRYRQQPLEVQGMINLFTTSAGEGLF HRLQDKAGEVSPLDSTRFFILLDGFKSREFTFWPINTGTHWVAVVLQMGKTDARSLHF DRVDCITVADPERDMYRNYGVHNRIWRLLQSCDFATSDSQLFRNFWITKQQDDWSCGL RCYAIFYELMQKMTKLRLQGQGFESSLKEPVCEWFHAQSVREEMAGLCAAMLARELGN GARIAVELLEEVEKPGAELVQEAPDQLAIPMRFASPQHSAIVERHQGEERLGTPQAQK DQPPGQIRRAISSILRKGTQSPTVRKRSIASEVPLGTSPKRVSFSFWEEDDIV PFICI_04677 MTSERRPGKSGDGEIIMVDEEVMDAGSRETSPLLGAGASPDGSE RRLSQEWDGGDDFKHLPAWRRPSVLWLIGPFFFFTLAFGGVIVPKLNLIVDLVCRNYF ADESLKNPAFTFSPVILGAENPQCNIPAVQKNVSIFTLTLSVLAGVLSALTAPKLGSL SDRYGRTRLIVVASCGGVLNEIITIFAAKYPNYIDYHWLILGSFFDGLSGSFTAGSIL SSSYTSDCTPPSRRGVAIGYLHACLFTGLAFGPLLAGYFVKFTGSLLSIFYVTLGCHI FFIFFILFVTPESLSQKRQMLAREKHQHEQEELAQRLRSRLHLQSTGLASRAASFLSD YPGDWLPALLSANPLAPLKSLVPGGRANRAFRRNMIILACLDAVILSAAMGSGTVTIL YTEYMFNWGNFEASRFVSLISFIRVIVLLGIFPLVNWFFRIRPLQRQRRESGVEAAER NSGADKVDVWMLRVALTAEVIGIMGYIFARSQAVFVFSGVITAIGGLGGAVVQSTITK HVPAQNVGAVLGAIGLLHGAGRVFAPMIFNGLYAATVETFPQAFFVLLASLFGLALMG SLVVRRGLFLQDEDDEPVYSPSSGATSEPEQREINEETVTEADVASEALPRV PFICI_04678 MSLRHSAGQLSKRAATCSATTRAATSQTRHFATPVPPVTQNAVG SKGPTAMVFMNMGGPSTTGEVGDFLSRLFSDGDLIPLGRLQNYLGPLISKRRTPKIEK QYAEIGGGSPIRKWSEYQSEEMCKILDRISPETAPHKPYVAFRYADPLTEEMYNRLLA DGFGNGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRQRLEGKAGPGTIQWSVIDRWPA HPGLVEAFASNIEKTLLEYPEDKRSKVVLLFSAHSLPMSVVNRGDPYPAEVAATVYAV MQRLGFSNPYRLCWQSQVGPSAWLGPQTATSVEEYVAKGQKDLVLIPIAFTSDHIETL YELDLEVIGESGHPDTVKRVESLNGNPIFIEALANIAKAHLDSGVHCSYQMGLRCPGC KSEKCAESKKYFASPQNAFAV PFICI_04679 MSGYNYKREEDEESEDEAIDESSYKAQKDALIFGIEISKSMLEP PEPSDDKKASSDCAAIAALKSAYKIMQQRIISNPKDQMGIILFGTQKSKFIEYEGRVT TVSYPHCYVYQPLDVPSAEDVKKLRSLVEDGEDEDEVLVPSTTEPVEIKDLIICANQI FTTKAPNFGSRRLFIITDNDDPHPGDKSLANSAATRANDLYELGASIEIFPIVHDDAK FDATKFYDSIVHIDTTYEAIDPTRLNSTKLGDLDSLIKNINSKQTPKRAYFSKMNFEL GPGMVISVNGYNILQKQSPARSCYVWLDGEKAQFATSSTTRMAEDDLRSVGKDEMRRA YKFGGEHVYFSPEELKDIKEWGDPVIRIIGFKDRSLLDFWMSLKKGIFIYPNEAGYVG STRVFSALWQKLLKSQKIGIAWHVSRKNGNPTLVAIIPSRGPDDDSSGTQYLPAGLWL CPIPFADDVRPAPETKLVRATSLMIDVMNNIVRNLQLPAARYDPFAYPNPALQWHYRI LQLLALDEEYDPDKIKPEDKTRPKVKQIHKRVGGYMQDWAKAADEELSKYEDQQAIKR DHDAEDEDEPRPAKRPRKAADKPANGGDSSAVNDAALRKMVESGELSKLKVPELKAIL TARGLDVKGKKADLIERLEEWAES PFICI_04680 MNDKTSVMSRAPVSRSFGALETPGSSQEGLAHTLKKRSKNKQPT EPISPPQPPTYYLHFICGHHIVHNPDVTVTLRKKTTWEPETSGNVYNGKSAQIFCPDC ASSLSRVTGAHHSYFFSCGHIESSDEALQMWWALQNVGLLAPGEQKLNMDCRSCESHD SSLVRNLLESWSRSYTDTTNGSGNGAASYSRGPVRIAELARALSYAQRSRRKSPDSWR EYRWLWAQTCARSITHAELLRFLRVVEELWGPDFMLEVGSAVGLEYLSLLRRRIDADV HSATNHVPGADKVSSRVGLIKTLWASLDEMFVGLKGCLQSASREGRSLTSLISSRLGH EDLDTTIYHLFEAGRALQNATLKSWGSTSSAVSVEEAELIKISVRLDASMRNVDRWMI KAPDPNARLDELMHSISHGRKAALRLWIGNMALIHDMVMKAQARKEVSRVHSPTVAEP VFQPVNKPPSR PFICI_04681 MDVLLSQYGISVGGSDAPRSAVTSGNASVEELQHELHDIVASKR SETRAEHISTSLELRMTAVLNVPQEPEQLADNLEQLLEPMTRTVTVSETVLNQPTDDP KLQRSVAKYLAGSLAVVDDSLWAVRSVSRTAQGWSFIYHCQHSLQAWNRQNAKKPDRQ PIASFSGPGGLDTVNLSRPAFDCRGTLSISFSKSSRAIVVKYEHTPLHKTVAELVERL LPTLPPPPVPNVNTPNVSRSAKAKRPPPTDGEESSRKKPKKKKKKKGTDDGDEAAQPG SQATSQPPEETSSGSQPPSALNIPPLEAARRREVANNLLMERGIDPATLSEEQFSIFS NQAPNLQEASLDMLAKYGAERLRIVHPDEKGPSAPASSTPAQQVSVGDGTDSASATAT ETPTKKRKPRAKKSDAVVVEDAGDEGPAVASASKRDRKTRGTCNTCKLAKRKCTKEHP QCSVCEELGETCVYLPPKPRKKLGISADVAVDEDDDSEAVGQGSTEQSLLEHVAPTVQ VPPAAAVSHDDDEFIPDPNILAGPTQPGGPPPYYHQAEVAEPSPQPLPQHHDATGPGL DYPQPEIHQEVHHQEFAHDVAQQPHHHYPGLTFSNTRPAQASPEISYATTKKSSTRRK DGNARHSLPTAQSLEPAKASNASGVNASSTTNWRRGTNQSPAMNQRTSTASPTLPQAQ PQPSKGRSTRKSVADPSDSPFDSYQAAAVLAQTPIPVPVPRVAQSPALSRSPFQTAQK SPARAKSRQGQRSQSRTPVTQTPVPPPVIPTAHAAPSTQTFDTSTPASSASIPAYNPF ARYNGTGNDQYSQSTTAGQSTRIAYEPNTYNQQTSTSTSSNAYNNTSSYGYSRDNTQS NPLNQALHDSSDFGSTTNTNNTNQWPSQTRSSRSSGLSNSSSNAYKTTAPAQASSNQL YGSRASQHQTAAHGSDYSQSPQTQSSQNQHQRQQSYGSSYTQQTSGDQQNQNWYGFTT ANSNTDQTSYGTGSRNAGYNMGSSSAGFNPNAYGQDLYELLRNSGNH PFICI_04682 MAVLQNERNHVFLGSFIHSSKLDELKYMHQTAVFVDKSGKIAHL EHDCNEGKAKTILQQKLGWDHADTEITSTGEGDFFFPGFIDTHIHAPQYPNAGIFGKT TLLDWLNKYTFPMESSLSDLSKARRVYSQCVRRTLSHGTTTAAYYATIDVAATNLLAD LCVSLGQRAFVGRVCMDHEDMCPEYYRDESPDAVMAATHACIDHIRRIDPDYALVSPI LTPRFAPSCTPETMTRLGRLAADADLPVQTHISENAAEIALVKHLFPEHATYTDVYDS FRLLGPRTILAHAIHLADAEADLVAARGAKVSHCPCSNSSLGSGEARVRFLLDRGIGV GLGTDVSGGYSPSVLEAARLALLVSRHLAMPHHEAARRHGGGGEEEKDADDDEAERAK LSVEEVLYLATRGGAEVVGLQNRVGAFEVGMEFDAQLVRLGGVPETEQQQEEEQESED NGPVDIFGWETWEERLAKWVFSGDDRNTKKVWVKGRLVHSRLR PFICI_04683 MYGTGTGPQTGVSTPRSNSSLRPLTLNHGSLEASFLVPTGIHYH ASQLKDRFTSALPAATDELAQDDEPSSIPELVARYMGFVAHEVEEGEDDGQGSYEEVL KLVLNEFERMYLRGNDVHALARTLPGIIEKQIAVVRCYYAARSTANRAIRPHDSALLR AADEGSAHIYNIFGGQGNIVEYFDELREVYETYPSFVGELVSSAAEHLQTLSVDPRVQ KLYAKGLDIMAWLHTPDATPDADYLISAPVSLPLIGLVQLCHYQVLGKGLGLHPGILR DRISGATGHSQGIVVAAATAAASDWESFEKVSKDALTILFWIGARSQQVFPRTSLTPK VLQDSIDNGEGEPTPMLSIRDLPQSEVQKHIDATNQYLPEDRHISISLINSPRNMVVT GPPISLYGLNLQLRKVKAPTGLDQTRIPYTERKVRFVNRFLPITSPFHSQYLKEATKL IDEDLKDIKIDSKSLGFAVYNTCSGADLREEVQGDIVPSLIRMITRDPVNWEKATVFS QATHILDFGPGGISGLGILTSRNKEGTGVRVILAGTVNGTVQEVGYKPELFDRDEENA VVYANDWVKEYGPRLVKTSKGETYVDTKMSRLLGVPPVMVAGMTPSTVPWDFIAATMN AGYHIELAGGGYYNAKTMTEALTKIEKAIPAGRGITINLIYVNPRAMAWQIPLVGRLR AEGIPIEGLTIGAGVPSLEVAQEYIDTLGLKHIAFKPGSMEAIQAVINIAKANPTFPI ILQWTGGRGGGHHGFEDFHQPVLQMYGRIRRYDNIILVAGSGFGSSEDTYPYLTGEWS KKYGYPPMPFDGCMFGSRMMVAKEAHTSKNAKQAIVDAPGLGDEDWEKTYKGAAGGVI TVRSEMGEPIHKLATRGVLFWAEMDQKIFALPKEKRVAELKKNRAYIIKKLNDDFQKV WFGRNSAGETVDLEDMTYAEVVRRLVELLYVKHQSRWIDPSFMKLTGDFIHRVESRFT TSSSQASMLQSYSDLNEPFGAVDKILARYPEAESQTINAQDVQHFVMLCQRRGQKPVT FVPALDESFEFFFKKDSLWQSEDLDAVIDQDVGRTCILQGPMAAKFSTKVDEPIKEIL DGIHHGHITHLLEDAYQGKEESVPTIEYFGGKLVDTEIPLDIEGLTVSYDDHKNTYRL STSPTVALPPLESWLALLAGPNRSWRHALFMSDVLVQGQKYQTNPLRRIFAPVRGLFV EIQYPNDPSKTSIIVREQPRHNHYVDVIEVKLDGKDNIIVNMIKDTTALDEPVSLPLR FTYHPEAGYAPIHEVMDDRNDRIKEFYWRAWFGNDNLDLDAPVTGKFDGGKATITAED INDFVHAVGNTGEAFVDRPGKVVYAPMDFAIVVGWKAITKPIFPRKIDGDLLKLVHLS NGFRMMPGAEPLKKGDQVETTAQINAVINQESGKMVEVCGTITRDGEAVMEVTSQFLY RGVYTDFENTFQRKVETPMQVHLATAKDVAVLKSKEWFLLEGDEDLVGKTLVFRLQSL YKFKNKTVFSSIETRGQVLLERPTKQIDQVASVEYVAGLSQGNPVIDYLERHGSSIEQ PVSFENPIPLSGKTPLLLKAPASNENYAKVSGDYNPIHVSRVFSSYANLPGTITHGMY SSAAVRSLVETWAAENNVGRVRSFHASLTGMVLPNDDIQVKLQHVAMIGGRKIIKVEA SNKETEDKVLLGEAEIEQPVTAYVFTGQGSQEQGMGMELYDSSPVAKEVWDRADKYLL DNYGFSIINIVRNNPKEFTVYFGGSKGKAIRQKYMDMKFETVAQDGSTKSERIFKEIT DRTTSYTYRSPQGLLSATQFTQPALTLMEKASFEDMKAKGLVPRDSTFAGHSLGEYSA LAALADVMPIESLVSVVFYRGLTMQVAVERDADGRSNYSMCAVNPSRISKSFDEEALR FVVGNIAEETGWLLEIVNYNIANMQYVCAGDLRALDTLTGVTNFLKAQKIDIVQLKRE LKPEEVKEHLVDIIKGCAQQTESKPKPLELQRGFATIPLRGIDVPFHSTFLRSGVKPF RSFLNTQIKQTSIDPAKLIGKYIPNVTARPFELTKEYFENVYALTNSPNIKAILNKWD SYQDDAQPNGVNGVNGVNGHDESEVNGTH PFICI_04684 MRPEVEQELAHTLLVELLAYQFASPVRWIETQDVFLAEKTAERI VEIGPADTLGVMAKRTLASKYEAYDAAKSVQRQILCYNKDAKEIYYDVDPVEEEPEPA AAPAESSAPAAASAAAPAAAAAAPAPSAGPAAAVEDAPVSALEIVRSLIAQKLKKPFA EIPLSKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPIDELAAVMQATFNGQLG KQSQSLIARLVSSKMPGGFNITSARKYLETRWGLGAGRQDGALLLAITMEPAARLGSE NDAKAFLDDVTQKYASNAGISLSTAAAAGPAAGGGGGMMMDPAAIDALTKDQRALFKQ QLELLARYLKMDLRAGDKAAQVSQESEKVLQAQLDLWAAEHGDFYASGIEPVFSPLKA RTYDSSWNWARQDALSMYYDVIFGRLQVVDREIVSQCIRIMTKSNPLLLEFMQYHIDN CPTERGETYKLAKSLGEQLIENCKEVLNTAPVYKDVAVPTGPHTTVDHQGNIKYEEVP RQSCRKLEHYVKQMAEGGKITEYGNRTKVNNDLARLVKLIKAQHNMAKPTQAELKTIS QNVRQSLAMNESQILPKENGKGGLVKKSGVKTSSQANKGKTESMPFLHLKSYDELTGW SYDKKLSKVYLDCLEESARDGISYEGKYVLMTGAGAGSIGAEVLQGLISGGAKVIVTT SRFSREVTEYYQAMYAKYGARGSQLVVVPFNQGSKQDVEALIEYIYDAKKGLGWDLDY IVPFAAISEQGRQIDGIDSKSELAHRIMLTNLLRLLGCVKSEKFERGFETRPAQVVLP LSPNHGTFGSDGLYSESKLGLETLFNRWRSEDWGNYLTICGAVIGWTRGTGLMSGNNV VAESVEQEGARTFSQQEMAFNLLGLMSPDIVDLCQNQPVFADLNGGLQFIKKLNKLMT KERANITGSSEIRRAVTKETAIENKVVNGEETEALYKRKLIEPRANIKFDFPALPDWK SDIEPLNENLKGMVDLDKVVVITGFAEVGPWGNSRTRWEMEAHGEFSLEGCVEMAWIM GLIKNHNGPIKGQPYSGWVDAKSGEPVDDKDIKTKYEKQILEHSGIRLIEPELFGGYD PNNKQLLHEVVIEEDLEPFEASKETAEEFKREHGAKAEIFEIPDSGEYTVRMKKGASL WIPKALRFDRLVAGQIPTGWDAKKYGVPEDIISQVDPVTLFVLVSVAEALLSSGITDP YEFYKYVHVSEVGNCIGSGMGGAGALRGMHRDRFLDKPVQNDILQESFINTMAAWVNM LLLSSSGPIKTPVGACATAVESVDIGYETIMEGKARVCLVGGFDDFGEEGSYEFANMK ATSNAVDEFAHGRTPKEMSRPTTTTRNGFMESQGSGVQIIMTAKLALDMGVPIYGILA LTTTASDKIGRSVPAPGQGVLTTARETPGKFPSPLLDIKYRRRQIELRKRQIRNWKES ELEYLHEEIAAIKAGNDQFNEKEYTADRIAHVEKEAIRQEKEALRSFGNNFWKQDTSI APLRGALATWGLTVDDLGVASFHGTSTKANDKNESSVICQQLRHLGRTKGNAVLGIFQ KYLTGHPKGAAGAWMLNGCLQVLNTGLVPGNRNADNVDSIMEQFDLIVYPSRSIQTDG VKAFSVTSFGFGQKGAQAIGIHPKYLYATLDEETFKAYADKVAGRQKKSYRYFHNGMI NNALFQAKASSPYTEDQLSSVLLNPEARVTEDKKTAGLTYPADFAKRAAATQAAKAKS TKESIEALARSLETTKNTKVGVDVEDITAINIDNETFVERNFTEKEIAYCRKMPSPQS SFAGRWSAKEAVFKSLGVKSQGGGAALKQIEVLPDENGAPIVSLYGDAAEAAKKAGVK EISVSISHSESQSIAIAVSSF PFICI_04685 MATNPTFVFIPGAWHQPSCYDIARDDLASRGYESEYVSLPTVGA QPPNKGLADDVASARAVLQRLADEGKQIVLGVHSYGSLVGANAVQGLGYRQRAQEGKK GGVVMFVYISAFVNSKGVSILNQLGDAWLPWMAPSELVGDLGKEAGNVTILDPETIMY HDVEPELQKKAVSDLKHQSSPVFSGIVTNEPWHEVDCAYFFCEEDKALPIQVQEMMSG LLPESTLKFRFQTSHSPFLSKPKEFADALVKVAKASQE PFICI_04686 MVNLDIVRKANAELVKRQPLVAIFIGGTAGIGEFGAQGLARTHG NEGKGLRLYIVGRNRAAAEEIISSCLQLWPHGQFIFIAAENLALLKDVDQVCAAITAR ERDEATRTGQEPRIDFLVMTQGLLNLKRKDTQEGLDETMSLAYYSRMRCIVQLLPLLL QSSLPARVVSVWNPTFERDFATEDISCRRPENATLRRVSSQVANMTAFFLEELAKRHP GKLALVHLYPGFVNTNFGQNAFPAWFNFLYRYLAMPLIRWTVAITPEETGDRITFLAT DRYPARGTTPEQQSKTGNGIDVAMAADNTVGGGFYRVNKDSEILPPSSFNKELRERGI GGVVWRHTMAAFETIASGQVFED PFICI_04687 MPHHRRNYYPPVNGANRYYGGSRPIPQHNHGNMHGSSHTPEHPK LSYLARFLQFVFALCLVGIVAIVIAMFKTRNSIGGGAAYGTPEYALFKNTNFSQCASA PLEAVDCAALRANGFGQGNVSFPGVEQGGFVLYSRAKNYTSDDDISSETDSTLGWCEM MSCFSDFKVLPSTPKPSALKPSNISVWMTINITALVAATNLRKFASGDKALRPGDGLV VSYVASSTVFWWAMFFRWATNPAYYAANSLVSWISVWQQAYISERLADRRSVRTWILW ILTGLQAIGACVQLGYNWGQFKPTGVDLVPRYDCLQSGILGAPGNSSCSAEQLCSKSW LYSAPQWDFDLMASMSDGSSMLAFCWGFIKVMLLCVVIPSIILFGLPILAPLILFVIQ LIAKGPKTRDEPGMVVKVSRVGGYFFALLFGLVAGIAMFATIPKGWNADAREATIAYD LNCTAVHVTISQWRSYYDIPDDRVLRIAQELLNV PFICI_04688 MAVSETTTVHNTPQVFSVSDRAKLLSTLSDSGAPVLIHLNADTT WLLSLPYPPLAERPAGRTRFNILLDPWLQGPQSDVASWFSTQWHLVAPSVQTIAELNT LLAESEQAGHSDATYIDVVAISHEFTDHCHEATLRELPRTTPVFATEKAAELIKSWRH FDRVVTTPGFSSSTSDWQKALSLAPLPSWVGIGRVVTAGNALYYHSAVIIAFKSPVEN ELEGDLAPRAVLYSPHGIKGSDLDAVKKSGIETLALLHGLHDVRIWMTKQLNLGALNG LEAVRASGAKYWIATHDEVKKGGGFIAPLLRRTKYTVNDAVRKETKSMGEQAPDYEFV ELGSGDSLVLT PFICI_04689 MEGHDLDTLQDQSEPTRGISQHDDHDDTGDLEHQYHAKTRTVDN GAATNGLFASQASLRAMRRIRSNNGHGCADLHHDADETEADGAEKTDTERFLVRWDGD KDPFCPRSRSLLHRWIIVIIVGMGSLCVTCASSIYTSTYHQITAEFEISTIVATLGLS TFVLGLSLGPLLLSPLSEFYGRRPIYIASWAIFLIWLIPSAVAKNAETTIIARFFDGF AGSAFLSVSGGTVSDLFRPDAIQAPMIIISMSPFIGPSLGPLLGGFINYNVNWRWTFY FMLIWAGVMMVCIFVLVPETYHPIKLREKARHLRKTTENSRWKSPMELSQKSILQSIT RSFSRPFQLLIYEPICLLLCLYSAILLGILYLFFGAFSLVFGNVYGFSLWQIGLAFMG IFTGMIAASATKPVWHRIHMRLLERNGGVSEPEFQLPSAVAGGVLVPIGLFWFAWTIY AQVHWIVPIIGSGVFGMGTLLVFTGIFTFLVDAYPAFAASALAANTFTRCAFAAAFPL FGLQMYNKLGYHWASSLLAFLTATMMPFPWLFFRYGKQLRKRSRFAEA PFICI_04690 MATSKLFEPLRIGNMDLKHRIVMAPLTRYRANKQHVPLPLMKEY YGQRASTPGTLLITEATFISQQAAGDANVPGIWTKDQIAGWKQVTDAVHAKGSYIYVQ LWALGRVAKPDVAKAEGFTIKAPSSIPTDGLPAPAELTAEDIESFIADYAQAARNAIE AGFDGVELHGANGYLVDQFIQDVSNQRTDEYGGSIENRSRFPLRVLEALVAAVGSDRV GLRLSPWSDFQGMRMADPVPQFTHLVSEVRKLKLAYLHIVEARISGNTDKESADTNEF IFKAWQKASPVLVAGGLKPNTAKALLEKDYDDVEVAAVFGRYFLANPDLPFRIKKGLD LNQYNRNTFYDAESPVGYIDYPFSKEWLSAQA PFICI_04691 MQLKSMVVSAFVATAAAKQRCAAPDPTEEQLEISRQMAIQEANH LTATGEQFSAQATIETNVYFHVVASGTTEAAGYLSNATLDDQLDVMNTAYAPHGISFV DGGRDWTVNSVWARDGAETAMKTALRKGTYADVNIYFVSDLDAFGYCYLPQTVSSNAI LVQDGCTILASTVPGGAETDYNYGYTVVHEVGHWFGLYHTFQGGCGTVGDSVADTPPE RTAASGCPATRDTCTGGGVDPIHNYMDYSDDTCYEEFTAGQQTRMYNQWNAYRASYQ PFICI_04692 MSGAKDWKMFPFPAKLTWRQTVSFWLRDQLIRRRLRSSATPISR LFDGLPDPGTPRRILVTGLDCAGKTELLRKCFSQDVTQPGGGDVVTYILTIGMCVEQV SYGSVTVYAYDVGGCSPGGLRRLARKMVAEADAVVWVVDSIDWERMVESREEFDRFLC HEGEEFGPDKPLLFLSNKSDSPQSKGLEQVQRHFGDVLERMNSHVAETAIPTGDGLLE AFGWLSDQLQGNQNQEMKQKTSTSTIMLPEAEKS PFICI_04693 MRSLLLIACAAGSTSAAWLKWTDHSTPTWKPPQETGVADIAGST TGWTPKPTQGPGHRSKSEVVLELLRRDATTDWTNSKTCGWFSGISSQPWTCDGNQVCA TNSEHAVACVSGDYNPFYVTCLNREAYEQGSCADAGPKTGCCSEATASECVTYMWTGS PVRSQFKCGTATAITTLLDEPQFVIDASISASKASESASKASASAASESASRATLSTS TLSDGTVVVTTANPLTSAPVVSTTSNNTTNVGAIVGGAVGGLVFLILLLLLCCCRRRR KSKKNNYNVSYKRSNNEKTTVYNNQRRDKRRGERSRSERSRQHDRKASRGRSHKSRPK EVVSDDESYKLGFVDGFSGQNPAAPRQAHVVDDDRTVYAPQYHFHVAGGARPGRESSI VETTEQYHAM PFICI_04694 MYKNVVMIVLPPESEVRGWKREQIFNLTLGSLRDYWDSKVPPGE VNSLWFWAPCTLSKSPRWPGAADYVDGSEESDKLFKKELKAFDHSCGRLFGLNTSQPP YILKVDGVAPLSFTDIGIKRDIIAEEALNGYNSQPGVRNLQVDHCLAEPAPCQVRLSN ALILVVMVCVLVKIMACVFLLFVLTDISLVTPGDAIESFISNPDPVTKGLSTLTFSAA QNLECQPRETSTTAGSLDLTFAVRPRRWKSKAHRLYSAVSRGIWIQVYYPIFIGMVAV LVALITSSSSTGLNTFGPSQDYSLWDLGESMGYVASLLVVNIPQLILSFMYLAVNMLY TQLQVELELNSYGRKYTPLRVSYPVGQQVSTYRLQLPFRYSVPLIAVSILLHWLVSNA LFFIVVEGGAGSEGKTVQARPDLAESMGVPSDAVIAISYSGLSILIFFCVGLVVALSP LFFKYRKLQNDMVVGGTNSLVLSAACHVPRVPRVSVNDSESHLTTMQDLDEEKEYLRE VARGKVRWGAMPLPLDIAAKIVVEDDEPVMHLGFGTEDHDVQAPQTGMLYA PFICI_04695 MEDYEVFEQFFTQEGRLASFQSAQPTTGRKTTGKGKASKALTWP HKGIDADSLARAGFYFNPAPDYPDNTVCFLCHKMVGGWEDGDDPIVEHLRLSPNCGWA IVAAIEARTGDYNTEDPSLPHMIDARKATFGDRWPHEGKRGWKCKTKQMVDAGWKYTP TLESDDMATCTYCQLALDGWEPSDKPMHEHYKRSPECPFFLLINQYQQAPKKTGRGKG ARASKASRLSSQSVATVASEAPSTLDQPADYEDSVMTTASVATTGGTKKGRAKKATTT AKGRKTKAKKEEPAEVLEEPLEDDLPPPPKPARGRKRASDAMDDSVLTNAEAPAPKKR ATRGRPSNTANEPSVIEPQPVEDMTDASPAPPAKTTKKKGTRTSKSTRKVSTASTMSN NSFHNAAEEFPDDEELDRQLQADMERPLSDDEMITADSDSERKKAPAKAKGKKTTAKK AAPVVEEYTSDDHAMFEPAPAEMNDADASAELRQLQEQMAAEEQEPLQVPKKGRKAGT RKASKQTKAQKAQKAAPEPETQPDAEPSTVLEDTTMDQNDISAISSGTVVKQSMVAAP APKKRGRPKKNSTQAAPPPPIPQPEPELATEDTQIKLPAEVDQVDFADPLEKAATPRV SLGPSKPRKKSLPPPPVPEDELQAPTTPGPAVSATPAARQATISPSQSPQSSDAENQP PSSRPSNTSASSRVGLVPEATTPVQSSPTKRNDNFLVALQSNKPWSPIDIDLIFEELG KENAVPGSNLLKGLQLTSPEQKMTVEEWIHHNANLAEQRLKVECEMMVSKFENAGGQA MRSLEELIVEQ PFICI_04696 MTSEPEIILYDLACIKNVCFSPVVWRIRLLLNYKNVSYKTVFLE FQDIEPTLKDFGLAPNETGTKYTVPVIQYVPTGAYMMDSKPISEFIEKTYPSPAVPLT SDLGREIEAKTRQGLALTFRRSLMPREINILSPGAQQFFRRTREAAIGHRLEDLLEGD KEEQAWAEVAETMRSAGELIRTNSAEGPFVLGAHPSYTDFFIAGSLQCARVIDEEVFQ KYLRGPGFKEAYDACLPYMEKRT PFICI_04697 MSDRIVHQDAGHKLAEDSKRNLGGPGPDQGVTKQSGHSHISQPE ADHGKDQQKKVGREQYQDLKDNNERK PFICI_04698 MAFLQGDQTNQGAYSRKYSIRPFDTLDTNASMYLMDDRQSAFRV NDTASLTPGSSSNGLLNNIPRLGKPTVKKIFYASDMVIAAISTICCTFSIIVVANESI SWRLGTKNNQLIIVGFLISIMNMCLTSVAPILFISLENRFGSSTIQNYDAILRNMPLA PGLSVPWRAALSLMIALPIGLSVLYKTFIGGYSVIEIKGLDYISNATFYGMFLLPGQA GVASGNSLFFNATQEFLVASNGGSDNYEPPLPAFPDTYGHNVLLLNDTSTAMLDTLNP EYLSKVQELLAIGESWAVTAPVIGTVATRNESKTRDPTGFSSDFITACELARDKDDYW TFDSSDLYNHWSFFMMDRKLLSDQSTQYIGLSPNSIDLSSGSIDCEALPDYVYLFNIY RQPCKGTWSITRGGYRLVNASCDEDMLPWSKQQVIANSILVLPIQYISSFIGILKTYS GSGARGNQSVWMNPSMSTAVAAMMWSQITAMKSEDNPLPFDSTAFNMSAYAMTAANRT RLTQEESGTTYPVSQDDQSFLYTRPTLQKSYWLYLVFAFQPVLIFIILGTTATLYSTP LGRGFGLVSILSGIDPQNLDSLIGASFSGVLEKPVKLDITPINNGPTGSILYRMNPSS EQPRRNGKLIKNLVYH PFICI_04699 MIERHLQPAAYPDWARYKKQIISLYAVRTLPEVMQIMREEHHFV ASPMMYKKHLRNWGVQKNLRSHQVAEALGKPCDHTLDELSNLYTGFVDKSRVQGYLRR LPEERRTRIITAIHEGLSATQTARSLHSTRPKPPSTERYLSNVHEYVRGMFGSQAWSK HWLSNPSLYGKNFESFDLSMTAKKAADHGHTDQAFRIIDFTFKQLQSCMQHGSADILV YFHSSALVYHSIWPELTLQWSKHTKQLSRIYYNSQDHAGFLTGWIEPMLQTNLEDWLH FSIQVINLYCEVLSEHVSVDNTLNSHIVLARAMILSRLRNAKVAAVSTDDLISVGRLT FENFGRQNVASVVTKSALAGIRFDEGRFEEVHQLLTQILQSDDIDKYLEVKAFCYKLL ALVANRMGHSEEEMLMTRQSVMFCTANFTLDNELTLDAFYDMENLLRSRGQQTEADAY RQLTGDILDGVVKHLDRVALGENRVPETVDAESLPSAPSRLLASTILETWRTRW PFICI_04700 MEMSDNGLLSTGPKVYIHKPLLLSASIRILELLPSRDRDSPIHC KIREFALTENRHSYDALSYVWGPPTDVRPVVLDDAILHVTVNCWSALRHLRHKYYKRM LWVDAICIDQRNTSDAIQERSKQIKLMGKVYQWAKRVIIWLGPGNDATWATLATAQAL SFLSGKRSSEATANVETGTLSNKYYAELIDMMQNPWFVRVWTIQEMALARRRVVRRGD SEWSWLSFQMMQHGIMTSIGLQNWEVLERSELTQLMFTILPSRWLTFRMKWYHKVFDR SGPSRIGRELNKGLELKVLSSLMVHKATEAIDKVYSVYRILELMYIKIPEPDYNKSAL EAYKETTRCWIRSRQDLAILLIAARPTSHGEGPSWVPDWGQDVPPNRARWLDSMATKP LLDNLASKRHYQASKQSRAYLQKGNSIEIPGQLPVSGKLLGKVSIGVTTKNFSRDLSP ALFDAASLSELSKDWVEAFCIWSVMVSQLTSYPNGETPIEAFYECIIG PFICI_04701 MLFDDTQSHSWSDREIDERSGMITRFSMHLWNIANHAFFILDNG YMGTALFSLQVDDVVFLLAGSPWPIILRRDDNGGYTFVAAAYVHGVMYGEAWPQSSDQ LQDIVLV PFICI_04702 MYPALGYLTFFIFLFVYNFPAQGQQNAACSILNPCSQGCCSHFG SCGFGPEFCGDGCLGTCNATAECGQYGSTALCPLNVCCSHYGFCGTTSDFCGSGCQHG CEDPEVKTCTATRDASTLQRVVAYYELFGIDRACDTMMPESIPAGALTHINLAFIEFD ENFELVDTGGDIVARVSKLKMTYPGLRVNVAIGGWNFNDPPTATYFSDMAASHENRQT FISSLSAYLTKYGLDGIDIDWEYPTATDRGGSPDDTDNYVVLLAEMREAFDAVNPGWD ITCTIPSSYWYLQNFDLPSMQKYISWFNMMSYDLHGMWDQKNQYTGAYLRGHTNLTEI DEGFNLLWRNGVEPENVVMGMGFYGRSFTMADSSCYGPDCEFSSAGLAGDCSNTAGIL YYAEEQKLALSNIRRLTVCRNVATYYDPVTTVKFNVYNGNQWISYDDAQSWGDKMEYL TGHCLGGVMIWAIDQDTGTYDALSGLLGESALQGALLQGGSLSDTQKEKLVDQFAAYT GQDCYVTEVCTNGEPGQLGPDQVCPSGYSSVSTAHSPVQQVGRDIKGACSKGWYRHIC CPTNAMPKNCEWNGAPVRSVLGCSGQCGSNQYQLNSDTYSDADGDSLCYSGKRTLCCD SAEILTQCSWTGCQGPTIDDPVCPDGSTLVTSRYDDGNGKLCSVSMGDAVGVYHSFVQ GFCCPNDDVPSNCSWTFTANSRSSVNINEIECNPSSCPSTKVQYTTALDPPNPYRDPE ATFSKSRCDSYPPAAGSDPQWPLCCDPPESYNKKWPVDPSYLWEDYYDSTGDDVEWAY QDNYGNNNDQSSPDSGYGGDPYGFIMLDGPPGSIDSTFSSDFTVTRRSEYIAPVKRSV ITTNQTLIDTNFDHSEETLYVFCNYVDTSPRCQRLFLNGAEDTIIRLPDHVGEGPFAR VVSIEPAGDSYNLPGHHLRKRNSQGLQSTVHKMVIDYNFHLIKTRDDEPINMRVDYTN LISYWDDVTDTPAKVRRDLQDNSHLTYRDWRGKVSSAKQEHERMRKRQTEFMSSGSTQ FNATDLAEDQSPHAKRWWGAFGDWLSRLNTIELSNVGNLSQYLKKSVLLYRAFVGCSR TNAQLNIYLDTEVALESTYAYYFSGTLVPPTPTATYAYFGMQPSIYLGLTIQGGARLE YQSPRQPLIPTISYPGLAVKGIAAVGPTLDIYGQIVGVVQISGTMQVGARYTFEKAEV YWPQDDDGDAASKIQDLLGNPEPVDSGLVPEFQASVSASVDIDIKITPEAHIGIQVGG GSFIGGVSIVDAQIVGYVNNTLRFHADATGSVSTTDASVAYNYGVYLLYNIGYGGWAS IPLYSWHMAARNLFDTPKQITLYSNGDVLSTTNSKRDEIWAIHQLEGRGILNGGNAEE LSEPESVLAEARVVGMDGTILWSSGNELINITATPSTLHTNQKRDSSSDAEAEDNDQV AGFSLGTLTCPETSCSSGGNEKRASNTCGWVLPDFRYADNCNIFCDRQVNGPGGNQVA PGLCANVQKFFNKRSLSSNGLALTWDPVNSTPRRRFACGVPKSMGTSFCQVDNTALAQ VAGVNANTQIVSCDEFPFASTEEGGSYFGTLATNPTTVATTCVPVWQQTLQGNCNSLL GNLQTNVAYADDPSAAPNWQQWGSETSAPPGWLTNSGWQRLAKYPDTIPQSAGISTAD HAASLGYYLRRNFTMGLAAPSTSTDGAAWGAQPASTWSISGAGQTDVTQIACAVNIFG QSDIYQFTGTRNGYCYNGATNFAPGYGQVPSFSQCTITFTGPVPSPNKRDVEGEESHE DIVGIFNGWGIKKIDISQDPNDIILAPGFVPDPDTLMPLEVIRGAPSM PFICI_04703 MAFNPAQTRLFGVDLYLLEKWDAQSQLPDEQQGLAIVQEFLQAG YTERWGYDQKLQERNLFYVADLPESDQAVLTRARPSAHERSAVPFIWLRTCYAPGTDG AWAALWTNLLWSLEDEPQKIFNDPKRYDFGSDWQRIFIRVPQLLHPWGYRRNLQIYEE EKQEGLKASLEAEKLAREDVEEADESWSEDGPYWPELYSDYHAACQIGFIFIADEETL TALDPKEANFLVVWYDPDGNVVRQYRLGAYDAQGLEAMYCGSLPCDHTAWVQGLVGEQ YDEDAPLGPPYELDEGDEAD PFICI_04704 MSTRVGSSLRSSTLRIAAPWLLLMAPGCLGIQLFDSTSAVPSSI PADCATALTANITCEVLVSAASVSNQQYIDVATLDSLCTTTCSQSLLSFQQDVESTCG SAPYAFDETRNQTISSVVDPLVWAYNVACLSSSNTFCYPAVLNASSGLTECSDCLLQY EAAMLNSDYGRVRFDPDSFSTQLSSCGIAATSYPYTDPPATSTTATGTSTTTAPTQTC TGTSYVVQSSDTCGSIAQANSIATDRFLSENSLDYNCTTLKTGNTVCLGASCALYEVQ PNDTCDSILADETFYLTQLLSWNPTIYADCSNLDSMVGREICISPPGSTTWDVQPQNI TTSWNVTFVMPTTVFTTLPEQTSVPTYNTTYLAPTTPINITTITATADPTAIESYVSL LVYCPISYNDTMDGWTIPDLPDTCADALSSYCQPPADATMPPSTSFDATCYPSYWDNL ATATGTSVATTTTTSGPPGPTQTGVASDCDAWYVVQDNDSCSGIVEMYGNFTLDQFYS WNPAVGSSCQYLGVGYAVCIGISDGSTSSTTGTTISATATTTTSSAPSPIMPSTDPSC TSYYYVQNGDSCYDIEQEYDITADEFNKWNPYIGSDCANLWASEYICVGAPATGTPST AMTATQTATSTATAPSPLEPSTVSDCTAYHYVVSGDSCFDIEQQYDITADQVKAPVPM ILFAMWEETDRV PFICI_04705 MICRDLINAAALLGLATAYAIPSTDGFPNPDNQQLMQIQTTAGG SLSNSKPPIELSEDGVANFQLIAFNEQFEVAFFSSLIDNITNNVEGYQSAPGMSDTAE ILEILQTVKAQEELHVISALEVLNHFNATLIPAPCEYKFPSVDLESALSLAVTFSSVV LGTLASAEKSFADNGDASGIIRQVASIIGQEGEQNGFYRFMLKRSPSEKPFLTTNTGP FAFSALQQFIVSCPFDISAIPIPTFPVLEVMTTPEAKDMTLKFSADLSSAGIKVGSIS EENLFITYLTGQQLPISVPISNVQGFGDTITFDAEFPYTENVMDGLSIAALTKASNFA DADDIAAETLAAPGLIQVNKMLAK PFICI_04706 MKNVSQKKSFVSRSIDSKVVVAIYIAAVYALIDNLGRCWLLIKT SLPTPVEIREDKAPDIMKPARQKQANKAVANNFHPWLVRRFFQKSLKSNSTSPSGAEE LRRIACRWWYSTSWRSVVEKDLCKAKQMILQKREPTKATKNAVKAMSKSASCRFNVRV APMMRPRDQFVHVPMYAG PFICI_04707 MYDNNSGFKPIDNLNFYPISGDWYRTADDPVDPYTGFNTEDGGF KVYLSMEPASPVGCAKQYQFCNAEFPGTEGCGPLTSLREAVVGVAPFYNTTYEELKRF YYIGNASSAQVARFDYFTSMFFGTLKSFDAMLSTLGSAGLLSQRTVYEGIQLSLPTNQ WQLDMKHLWDISMASIQAEAIRHAYGPINGAVPSAWINFTGPALGKLCNNQKIRSTAY GSFSLFGLYFVLVVGIIIILTSYLLEPVSSLLHKRWGYKQYSHLEWTSNSILQLQQNA QEAVGPGTLTTGVKVAPARKSNERLNCLNPTDVSRSSLQLIKRTERPRSIRTSEEPEV DISDASASSLRETLNTIPMSVLESQAYHIEGRARTPYGTLDSSTTLASGSNTGSSSMP DSALGETSSFRSQQMPIQESEAPQILDRFDFEREVPPRGYFASYL PFICI_04708 MPDAIEQRQDFVHPSASHAKKKAPSHFALEPIKAFYIFLAANLI AALFAPIQDCDETFNYWEPTHYLSHGYGLQTWEYSPDYAIRSWFYVGLHAIVGNLRRI LPHPTKVGEFYAVRYALAFTCALCQVLFYRVISFTMNSRIGLFFLIATVITPGNFHSA TAFLPSSFAMYMSLLGAAAFMNWRGGLKTSWGIWWFAVGGILGWPFAAALSAPFLVEE ALFAVVSSKEGFYEAFLRVTRGVTAAFLLVVGDALVNTFFYKKWEMVAWNIVKYNIFS STGGPDLYGTEPWTFYFRNLTINFNIWFVLALLALPLFLVQKALSSRQYGFQTGLRTV VFLSPFYLWLGIFTLQPHKEERFMYPAYPFLCFNAAVTLHIILNAFGNSDPKTLVGKI PAKLKLFIVTSAIFLSLDLGVARILGLYQNYSAPLKLYTPLGAGVTGEEGLGGRGDSV CFGKEWYRFPSSYFLPRDMHAKFIRSEFRGLLPGEFSEARTGFGLWSGTWLPTSGMND LNQEDMGKYTDIRQCSFLVDTHYPLNKDPLPPNEPDYIEDTENWEVAKCVPFLDAGNT HLLARVLWVPESNLVPQKYRRQWGEHCLLQRKK PFICI_04709 MASPFQIPGLSTLNQAENSQTPKATENTEHTTSIATTSVPVATG NAPVTAPGDLNNGAEQNSVSDVNTAQNAQQDITSTVNDGAMDVDGQSNEETAAKASDA TEAPASPPSLTEGLEALIGGLDPTPAQADLASAPATHETEADQNAEMRDADAADGGNE EGEGWEADSSPYESSSDSSSSDDSDDDSDDDNELLKPEEMARILMEAASDDEGDGKTK GSASGGLRTKNEMPEEVVPRPDVIIKPEMQLHVLGEVEHVVDSAIVIKAYTSGEYQVL DSGSVLCTEDRTVVAALADLIGSVREPRYMAMFKDAEELKTFNIEVGTKIFYTMELST FVFTEPLKGMKGTDASNLNDEELNDDEMEFSDDEKEIEYKKEQKAKRNARSNARGGGD GTHGGRGGRGGRQDATPAPANLKYDDEDDGPYRPLTRPANFGQGGAPPAFTGGSTSHD GGSHRGGRGGRGRGRGNNARGNRGGQRHQGAGYSQQPQSQGYPSQQPQQQQQMPFWTP PPPHVGAAQGFVPPPPPQFFGAAGGQAPMAPFTWPQQPQNLPANFVPPPPPQFQQYGQ NGQPAFPPAFFTAMQNQAQAQAQAQYQAAQNAGNQQQTGQFPPPSGSG PFICI_04710 MFGHARMQQAGMQQMMSNQMGYMNPGNMNQGNLSPANMIQGHHN NMPSEPRNIQGHHGQEFRARERSEPHDANNGTRDGLHQQNPVAPDDDRAWP PFICI_04711 MYLWDHPHARTYVSGNICIIGDAAHATTPWQGSGGGMSIEDSLI LSTLLGRAKTTKDAGAALKIYDQVRRPRTQSIVESSRETGLIMTGQGKETQLDLSRLK QKVLPRWDFILNIDMHKHRDDAAKLMEDVI PFICI_04712 MSNTIRIAISGGGLAGASLIHALLQYPHLDVHIFESAAEFKEAG AAVGIARNALDALDLLGPSAAGALDRAGAVPMRGVRFMLAQGPDQGSEIDEAKDLEGQ RLTSIVHRAAFLKELLSVVPLERMHASKKLERVEKQSEDGPITIHFTDGTTHECDVLV GADGIHSTIRKVVLGDSDPAAHPRNAGWWAVMALKPYAEARASLGEGPVDAANAREHM WIGDGTYLMHNVLNDGQLVQVIISVREEAAVGSDKWHRLVSAEEITQLYQDWPPYLKK AAKEASQALLFRRYS PFICI_04713 MAPLKNVVVVGASKSGGFVHLGSYIVEALKADPEFTVTVVSRKS TPSSAHPDGVRVVQVDDGYPPEELERAFAGQDAVVMATSFQVFGQEGKFVEAAIKAGV KRFIPSEYAGDTANAKTVSIFPLIGLRAQHIEDLKTKESTGLTWTAICTGLFVDVALQ SGFLGFDLKGQKATVWDDGKYKFSGTTRENVARAVLGVLKNPEITANQHVYVSSFEAS LNDLVATAEKIQDSRYSILHTSTETEAEAARNALASGNFMAASKLLLVATLNPGYGSN FAEEGHLWNDKLGVPRENLTDVVARVIQS PFICI_04714 MTDRRSSSSNSSTKSRWATPADWEAHREHITRLYQDRTLKETME VMLRDYGFSGTTRMYKSRIKAWDLRKNRGFGRVKESLEQLVACSQGDAVIDVKRYKEL AVYIRRLPLEQQGALVRLFNQIRPDITPRRSLAGADDLYRTEGCLYHLQNHIVGLFDN HLFDGETAPVNHITSLYTEWYHATIVAQGALRFGRTVEAFKVIQPYFDQYQEVLLTHD PRLFGCTSAFAALISLSGPELGNAMLSYAQQMAETMYGPYHPYCKALKSLAAMAVPER RAACATLLVSGYQMLRKQLTPGAPYELYTEQDCRAAEIFILRNDLGADPGSSHHYRVS KAIFEITDLITSRDWYDEQEQMPISDVIDTIAEISGEVTSPPRDDDPDETTVDLQWRQ WDGGDSSKPEGSATAGDDNSLVEVERRHHTLAKMSMLADLEQYLTLNGQTSNALEARR SFEDSLVKHCEKLKI PFICI_04715 MTKNEYTTATEEQPEQELSRRDSNLRFISSPVATGFSEKAGHER VEAVGLESQAQADVERLGYRYKDNHDCPEFIKHTNSTNYELFYDLWFVANLEVFSSSK SVSEETDLYAYIGYLSILWFTWFLVGMFDVRFVTDSIFERVVRTAHLGVMVGFSIVVS NFDPSNQDQWSFQTLSLILMFSRLILLFQYGTIIWHIRHFKQGKLPVAIAGAVHFVSA MIFLGIRFRFHADKNSRVYIVWYIVSACEAIIQLGLAKYYRVLTFSKTHLTERMTVLT VIILGAGVTSIAKNIVLIVKNAAGWTSATIGVLISAITLMYVFFMIYFDWMEHHHLKG WRQLGWSILHFPFHVALLLFMEGATQFMIWWKVNEVMNDADYQFETLLTRLSEGDVYI TSDLVTNDLNSTVQSIFSVYSPTYTLTSERVDWLLNDIKALPQEFWDRPSQDAYPDLL QRWVNDLQELLITVTNSLFVNFNIDPFQDMEESDPALMQWVANNDINDRWYTVFQYAY VTAGLVLMLMTFMYTVGRKQPWTPFIIARTTLFGLMGLGLALVELISKNEERSLNYLL TPWLLPTICIVFFVVLLLTHFPYPLPQLFRRRGSRPKTTMTNQYEAYRSVQVREVRPE QTNTYDYQGYDPHRWGNGRGNDVHVLYPASDTMYHNGYGRLDDQAPAGSPFKH PFICI_04716 MSAIARTLRPALRRSLTVMTRASASSSPRVQNTVRTFNAPQQLR CLSSTPRRLMDISDIPPTPIMHLSETEAAMQEAVQKFANDVILPKVRDMDEAEAMDPT LVEQLFEQGIMGVEIPEEYGGAGMNFTSAIVGIEELARVDPSVSVMVDVHNTLVNTAI IRWGSTDIKKRFLPKLATNTVGSFCLSEPVSGSDAFALATKAVKTADGYKISGSKMWI TNSMEADFFIVFANLDPSKGYKGISAFIVEKDAKGFSIAKKEKKLGIKASSTCVINFD DVEIPHDNLLGKEGEGYKYAIGILNEGRIGIAAQMTGLALGAFENAVKYVWNDRKQFG QLVGEFQGMQHQIAQCYVEIAAARALVYNAARKKEAGQDFVKDAAMAKLYASQVAGKV SGLAIEWMGGMGFVREGLAEKFWRDSKIGAIYEGTSNIQLNTIAKLLQKEYTS PFICI_04717 MSASILRYLMPSLARRPAVAPVPVKATWSSIPRSFSTTPSPQAT LNQVMRKIRTGKRARHAVSPALADTQCPQQKGVCLKVGIQRPKKPNSGERKTARVRLS SGRQITAYIPGEGHNIQQHSVVLVRGGRSQDCPGVRYHLVRGALDLSGVANRMSSRSK YGTKKPKKASVGA PFICI_04718 MRIANVLQLPLLLASSLLAPVSAEHTSNWAVLVGTSRFWFNYRH LANVLSMYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNADRAVDLYGDNIEV DYRGYEVTVENFIRLLTDRVGDEMPRSKRLLTDDRSNIFVYMTGHGGNEFLKFQDAEE IGAFDLADAFEQMWEKRRYHEILFMIDTCQANTMYSKLYSPNIIATGSSELDQSSYSH HADNDVGVAVIDRYTYYNLEFLESEVKDLNSKKTIGDLFDSYSYEKIHSHAGVRYDLF RDGVDAARSRLLTDFLGNVQNVEIDGTRNSTVDDDMLALSRTIAQLRVLADEQEAAEK NASEPVKSEKKFERKVPAARPLDDGNWWAKKLVGATALAGCSALWALGSYLEKAR PFICI_04719 MSAQNKTSEEPTGILPLETLNLRAVALTTHNLAWSPDAELAVAS DDSIYLYLPEYPSQGTRTKSTSLADLDTRRQYYEVALRFPAVERRVPELNRPLFERLK QPFPEFDFTWEAGQSIVANLGSSLNHVVALEWSPNGLGRMRRSVLAVLTGSGALTVYC EGIVADDAGGIKIKGRNIRAISSWVVPWGVGGNLLLPRAKYHDSPYSLEHITSFSWAR DLEKNGSLLAYMNDEDEIVILSVQSRHTIVGEENDPGEWRVEELARFAGGGPHEKSDP CDPDSMPSGSSFALRWSPWLQRSGSRSAILSYVTRNYVGFRQITIKGTWRDFKTPEVE VQPYDISGTCLHLGPDAFITWEDLIWTKGQSKECRGIIATPFTTQSFNVTFDSTIDPA TTAKHSISECGTAYPSHGHASNHSNPITGLVVHAPDISKSTDIPYFSLSRLSATNTNN DWYQTNLPLPADPDKAPSKPAWVTEISDLLEVTEPLSMAYRYYNPDGATKSESDAGGS ENEDDNSMLDDDEDDEFEDFDSDEENGKATFVDELNDPFEGMERINPNRLRIWGMAAS PGSGVVAVFTTLYSTIKPERYTFAGLRCRIMFGKSLAPVDPAFFSTRKLSTEARAFEW MYGDAPQVPGVGLLNGTSAETTSKQQALKGAFKHVAEKVKCALCGAKLEIQAGTSRCP KGHVFANCAATGIPVLAPGISNNCGVCGSKCLKPNELPDDIGDAATAAAKQISAETCG GCGGKFLNH PFICI_04720 MDQVVEMLTPSNPSGQQWNIRNFTSYIHTSIQARDMIGLVTDKR HKATAPVDDDTITVSVAIAIMGVLIVLGLLIGVVFYCAYCCSCPSRRRKPVDPEQGQE LDDLPRRQSTADTLLPRRAMPMQSILKNRARPNTTV PFICI_04721 MNNPSHPQSSTTAGRRRIMTQADRINLLAFCLVDIHISTNKSID MTALKEFFPERYIQDLYRVIFDTCQHLGPQAPASPLAPASFGFPESWYQSPRAAGRDP RECLRDILKGPVVKDLRPRSGLPYVTLESFSQILRDKKPADQRGSGLGQAGPVTQHAS VLRQPDTAGPVSQPASIPRQPDAAGPVSQPASVPGQPDTASQKGPEKKLGYLDAHFEE LDRQFRAYGYPGSAHGQVSSAARPGSVFQQPHQGAQCEPTFGSSHVGAQHGLANGYQP IDQASQVTKPFHETVT PFICI_04722 MNAPFKHPTPKEAFKIARLHAELLRQLFNHPQYIYTEPPTAARY PTDTKKTAPALLMVSDFVQTTYVEHVLPFLPAGASRKTKDIANPWAYADESSVWEWTW DEEKGELKDKDGKVQPFPKLGAAGVEKRGDIWTRSFMAGMCICENGTDPKAKLMIGGK SFDFGEEARRLIKELQEI PFICI_04723 MSSQQATSGLARTRPIRISGRPPLSSAQPATGGAPTPSTANHVL LFLTNLRLLDLDQERDWPGISQVTFSTKDAAGGLKNRIQCVEWALYQLFVLWDGEEAR NKLKPFFPPLDQVQSINLRAALLRSLEQVKRSGLLGRDAVLRKTMLDECKGERFEEVL AVFSSAVLKKLVAERALNAGHEYTPAISEKISLENYGYSGDRTQINALLLAHKFSLRK ALEDKVAARKKYRDFEELVASEQQKVARRKEELKATAESQAHPNIPDTLMKQTRQMIK TNWTGSDQWADTLLQNDTGLNQGGLLASNFNHVWSGVRAGQLADMESDSTGLLEKLDQ RVSLQRSRLEKWQQFRQELFGDQAQKQVDRKQQANNKAQRVDLGFHAHKNLLPSTEVA KSSPSNGPPEYTQLLQSLRTELDTIRKPHIPNFAKLARTQRGAESSNLLTVSGLAAEP ISDLSEWEDEPEDTRPASKTMAPANAKVLGRTNSQLDRTRALRRPIARQRSTDSDSTQ GSSDLMRPSAQYAIRTKSSTSNGSDGSRAAVDRVPSEPVVEQKVLLRPEPKILSPDAP GSLSESEDRSESDTALETSGSVSPTQATADQILASMSNASPSPTKRPRHTLSLAERTR MSMSRTSSFEPDDETNPHLLSPSLAKSNRKFGITSPPVAESSNGDEYDDLVARTRRSM AGFEAAKQKAQLERRRSQRQSKLIQPRKDGYFPKVDEEGLGDASVMEELLDAQQEDPE AIFKSRPRMRTSPVPSPHGRWDEDDDELF PFICI_04724 MRQRIDHLEELVKGLINEQQQTQEQERTAVITPQSPEADSTTEP SAAIRNGQDGTSAGQTVIDGNHSVYMGDNDWYTVLQEINELKNTWTQEQESHSHDSPD ADLSYTVDGSSLLFNQVKPIERLEILASLPTKAETDRMVANFFDRSNFPINIPPILHE PTFMQEYNEHWKDPTKTGFIWLGLLFSMLGINMLAYDQYGEPSEYEGMAESLFQLYRM RTAQCLLSGDIAKCLPYTVETLRFNATAELSRKEDNRRGLWIMTGVIIRTAINMGYHR EPSRSAGFSVLQAEYRRRIWSSVMTMDAMSSFLGGFPPTATAIYSDTREPSNLHDWEL SPESTVLPPSRSLEESTSATYLIVKGRLCQALGRLAEFNSAASQGSYEVVLEIDQLLH DRFHGFPSYLMTVPVHKNNMTSSNRADFYRLNLISMYHKGMCTLHRKFMAKARVDERF KLSQQRCISSALSLLGLQDILMPGLYEISQVRQMLTLAAMILILELELRQKLPKAEES PSSEDLFEVLGRSCHFWEAASTSCDEANKSYRFLVDLLSKSPHNIGTASGPLKTMSTQ QQVNTSGYTPSFDTITDGLSFGDDLSNIDFDWTMWDTFIEYGHDHVGPG PFICI_04725 MPSLANFFHTQLILKIPLPTSSFEAKTVIVTGANGGLGKEIVKH LIRLGADKIIFACRSQSRGEEAKAEIEAVTHCDPAIIQVWTLDVASSSSIKAFVDKVN TLPRLDVVINNAGIGASSKVQVYGTESTLGINVIGTFLLAVQLIPKLRETARKYETTP HMTFVGSALYDIAKYPENHGDDIFSWFSDDAHFDKTNQYNLSKLLQLYAVIKMCAAID PVEKTNLRPIVVNSLDPCFCKTGLVGGLTGVARIFFNIFQAMFARTAEEGSRLVVQAA AAGRKTHGLYLRAGEVQAYAPIALDNEKATCVWETLCKRLEQIQPGVLENLN PFICI_04726 MDVLISTLNPSSFAAQIPLANAAKIAGVKRFVPCSFATIAPPRG VMVLRDMKEDVFDHVKKIRVPYTIIDVGWWFQLSIPGLPSGRGQYATIMAANTVAKDG DLPSALTDSRDIGRWVARIIADEKTLNKQVFAYNEVMSPNQVRDLFERLSGEKIEYHS ISEDSILETIDRVKSSKQPQDVNKLYSMQYLYSIGIRGDNTPEYAQYLGYLDANQLYP DVKKNSLQSFLQEVLDGKAVSAYAHKFAAAKN PFICI_04727 MGTEVSAANLAKVQAAVTETWTLYAFGSLAIVLRVYSRTRLVGL AGYHADDYLVWFGWVSKVSQGNYVIRAHTVQICYTIMTVAAHIVGATGDTSYLGTESD RLSLVQDSPDEAAQRQLGTKWFLIGWFTYIGLIWTLKMNMLFLYQRIVGHLWVRKSIL PAMIIVGTSAIAMWVLLATACRPFNHLWQIYPDPGAYCFPQSSLFLITILVVNLVTDF CILLIPIPIIIPLKVSPTRKVGLTILFGAGIFTMVAAILRVHFVVEEHKGEEAAIWSC REDIVAIMIGQATMIRPFFTTRFWGSNPRSSSYQNKPSNGESNGNEVTLQTIGAKRSR PPFWKLGDPYDTTTIATVNESEEVIMGENLDRSSPMVPNNTDPSGISVKHTIEQTTST RDHDSDRRPEWIGNNRWSPV PFICI_04728 MQSPNSNSYDVIIVGAGFGGCYQLKHFRDEGYKVKLLEAGTGYG GVWHWNAYPGARVDTTIPHYEFSDPKLWEEWSWKQRFPDHTELRAYFDFVADKWDLRK DTQFNSFVESATWNDGDATWTVKTREGDIFQSKHMSLNTGFAAKRYIPDWKGTGSFKG IYIHPSYWPHAGLGTDLHGKKIAVIGTGSTGVQLATELAAIAGHLTVFQRTINTCMPM GQVDFENGDQVFPREDYPEFFKHRASSFGGFNFDFLGRGTFDDDAEKRRQTYEKLWAE GDFKFWLATYYDMLFSKDANREAYNFWRDKTRARINDERVKNLLAPMEQPYSFGCKRI SLEDGYFEIFNQPNVSLVDVNATPIQEVTENGIKTSDDKEHEFDIIICATGYDACTGG LRQMDIRGVDGRTLRDHWSTGAYTYLGMTVSGFPNMYMTYAPQGPTALCNGPTCAELQ GQWIIDAVKYMREKGLEKMVANAKSEREWRDNVIKIANASLLPSTKSWYMGDNIPGKP REPLIYLGGVSNYYKTVNEVAAGGYRGYSFE PFICI_04729 MLPEGAQTLVYHTIGDHNVKFDYYLPPGARGPLPLVIHWHGGGM TAGSRRDDFPHWLYGPCQKKGYIFVSPDYRLCHPCTALDQIEDAKALFSFLTGETFAA TLPDGVSVDARRIAVAGSSAGAYQARAACVYARPKPAVLMTAYGLGGDLLLDHWTNPR PPTGLAGMVDLSKVPVLLADRTVVSCDAAPPDRAAPITERFALTVRWELDGTMLDGIF GRAGLAEKLRAVNYAEREALLPDDLRPGFLQLCIEKDYPPSVLVHGTADEVVPDIESV RHYEKLRELGIKTDLLLVEGAGHGLVEFKPNGSISLLEDGLKAYAKSFDFIVSVFEGL PFICI_04730 MISRITQVARHLARPRPNFVHNSAFSAASSGTIASRVMATPDER QSRTIHTAACLIIGDEVLGGKNLKRVEVIEDDEDEIIEAVRRMSDRYDFVVTSGGIGP THDDITYQSIAKAFGLKLILHDDAYKRMVKMSKPRKSEPNFSWDVDSPAKTARLRMVE LPIDESRDLSKQAVFPREELWVPVSIVNGNIHILPGVPRLFESLLDGLKPSLVKRLVD PEGKGTTRIIFSTPMAESAVAGYLTELAAKVAPKGVKVGSYPRWGKQNNSVTLVGKDK EYLESLIPEVEQNIKGKRVHIEGEDDQPEQVPL PFICI_04731 MLAPADKTTPLKSSEARLFTFSQETKDHLRKFRLGTSRSSDPQA VIYTIDKKTKEIKQDEDKVVYKSLEEIGDDLPDHTPRFVLLSYPLTMPDGRLSVPYVM LYYLPITANAELRMLYAGAKELMRNTSEVGRVIDIESVDDLEDIPKQLASAN PFICI_04732 MAPSLEEPEAVQDVLSNPLKSKPQLVAPEPEHCPGPESQAAGKA DSCAGCPNQAICASAPKGPDPDIPLISARLSGIKHKILVLSGKGGVGKSTFTSLLGHA FATREESNVGIMDTDICGPSIPKMMGVESETIHVSGTGWSPVWVTDNLGVMSIQFMLP NRDDAVIWRGPKKNGLIKQFLKDVEWGDLDFLLVDTPPGTSDEHLSVNSFLKESGIDG AVMVTTPQEVALLDVRKEIDFCRKAGIRVLGLVENMSGFVCPNCTHESQIFRATTGGG AALAAEMGIPFLGEVPLDPRIGRSCDYGESFFDAFPESPACKALKRVVRGLGDQLGLD PQHVLPDE PFICI_04733 MSTTKPLYVPERPSGIDTDESFVVEVRPLLQSTDDNGSWTKVPT IATEVAKPNPQSNTFHRHTVSIVSFDMGGPVEIRARYTRQEVTKASIRPLSRGIDAVI VGDTVSFTLEKPLDVMLELNGDKYQALHVLANGFDSDRPTGDDNGLWYFGPGVNNGTA SSRVTDGVNLNVPSNTTVYLASGAFITFRLNFLGVSNSSVRGHGFICSSQGGFKEREL GGAIHMNGARDILVEKVTSLGANGYSLSAGECSQVRVDGYRSFTSAGNGDGIDFFCSS DITIENCFLRNSDDNIALYSHRWDWYGDSENITIRNCVLLPDIAHPINMGTHGNPAKP ETTRNVTISNIDILDHEENQLWYQGCIAINATDRNLFCNIHVENVRVERITKGQLFNL RVMLNTMYATAPGRGIRDVTFKNISLNLAASETVNPSQILGYDGDNYIENVTFENLKI GDSVICTEMQKPYWYMVEDFVPVFVNEHVKGLTFTQKAD PFICI_04734 MHLLKNLCIFNALLMGLGHTLSTGSMQWQNDSAHAGGFQHPGAL HTAADIARVKQRVAAGDQPWARAYAHLANNTLAQTTWVPKPHAVLTRGSNATFSPNQT YADAYRDAHAAYQLTWRYLVTGNTSFADHAALILDSWSSTLQTINGTEDLYLAAGLYG YQFANAAELLRVYPGWPQANQTAFGNMLNDIFAVFNRDFLLNHNNKQNFYYANWDLCN IASLLSIGIYNDNQTMFDYAVDYFKNGLPGGVVANGALPFYSIANFTEEGSDKILMQG QESGRDQGHAGLSTVLTGVVAQLGFNQGVDLFDVFGREVLHGAEYFSKYNVGYDVPYT SYISWEGNLSVVAEKQRYAVRPGMELIHSHYAELKGLNASWSQEFRDYVNRNITANVE GGGGDFGPNSGGFDAFGHGTLLYRLTPES PFICI_04735 MAAPGGSGGQQANNPTGDASLTTNNINTGADADLLRSLNQLSLS TPDTRSPSRASSTSPHPARTVSRLDHLTVATPSQRRSSTSKSPASHIQTPTRSSTPTL LRKASTNSLHSANGGPVHRAPSRRSSSALHSPTFAKLTGNGSSQHAIEEDVRFSKTEA DVAREYFIRELDIHHISNPDPRTETLVILQDACYNHRYQRPFAGKGNLATIVERPERL QAVYTGVAMAYVRLGERHQDGKAPIDADLDPSTLQVPFDIRKTERKLSLNAPAVTNVH GAKWMEELAILCNAAEAKLQAGSLEIQRPEMDRGHGAKAPEPFHKGDLYLSPESLSAF EGALGATCEAVDAVFSTSATKRVFVAVRPPGHHCDTSFPHGFCWLNNVHVGIMHGFLN HGLTHAAIIDFDLHHGDGSQDIAWEYNQRKHWSKGNAAQWKKSSIGYFSLHDIQSYPC EQGDLEAVKKASLCIEDAFSQTIWNVHLQQWKDHADFWYQYRTRITIVLEKARAFLRR ETEKCRAAGQEPRAAIFFSAGFDASEFESAGMQRHKVNVPTEFYARISRDVVKMSFEE GLSVDGRIVSCLEGGYSDRALSSGVLAHLSGLAGGDVRAKEDAALGHSRNGSRRISLS ASPLGRRGTISSVESDARSKAPGFPYDSSWWSVPELDRLDAARFVPKPQPRPVREGPV PTYCSPTQSSNAKVTELAKLRRTVSGLSNADAATHVVARAPTPPPPLVYWPSAALELS RLLIPVGGQVDSITWDALKSEETRIKREQKEIKDQKEREKLRLSSSPSDQLIDGSGTP ALRKSGRERKPVSYAEPEDPNKHRRRTVAGAAILATDKAAARGTSNVAGSTHNRHPSR RLSASSAISTMTADTAVPYVPTVEPSLGVPARPGTAQTDRPDTSLSIRTTPGPLSVPK TRPVASRKDSTKSTGTNARKSKPAASKAPRKDAKSKGLAASRSTAQSSNLSSPVRPPG NQLDAGSSTEAARASSTATPDSGNDISNITSGMKKIKINVVTKEMKEAREKAAKERES ATATPVLENHPTPLEQSSSTPTDNVPVGAEYENDQLPGVDSNNADSAPAIEQAQPLPV TPQKENQPVDMDMDLPEQPPTDVPITPVHDPQTIDEPQDSALFIPYQPEGPPAQAIPQ TAPTKWVTPNTVETPVPYRGHNFTATSAIPFSPAKPIRADTPMSKNSSVFGRQVPFAS NKPANHNLSAFGNDATATSGHTEPGSSEDAKTWDPTMEIPETPEHKRP PFICI_04736 MPSSRSLVSAAVLLGASAVNAQMSTTTCAEVHIFLAKGNNEPYP GRQGKLVTAICDGLESCDYEDIVMDNMIDDEYCGAVYQGATNGYSQINDYNSRCPDAK LVLSGYSQGGHVASDILGGGGGTFYNDCTEDTTPQLSSSSDAGKMIKAALTFGNVRHT ANQPYNYESGSADDSYFPRDSAMQALLDEYEDVWRDYCVSGDPICAQGDTVADHLSYF DVYTDSAAEWVRGLLDAETCDDCSTTLTVATSGWESVTASGSVSVTGTSVPATATPTG TSSGSSTVTILPEATASTTENLSSTPTASATGSASSTGSSGSTPNSESVSIFSLVVAA GFAFFLV PFICI_04737 MQDIISTNYASGKQTFIIPLTFGDVKDARDVITRIGYGGDVWEL RVDLLSPNPGPLGEENLPPLDYVESQIRELQALSPLPILYTIRTRGQGGKFPDGAVEE ALQLMLLAIEKGIKYIDVEIEWPQSLIQEVTAKKGDTQVVASYHNWSGKVAWTSEELK KHFEIANEFGDIIKLSIMSAQIEDCYELGLFIRDYQSRYTKPLLTIGMGANGQLSRIT SPISLVTHPLMPFPSAPGQLSLAQVHRAQHLIGLLPAKKFFVVKDQASVEKIVACFQS AFDEMGYPYTCEASDALHASSIIPDGIEGIAALFHQWTGRRAPVSIMESSYHE PFICI_04738 MPQPTSLPAWQDLESHFKTVGKTFVLKEAFAQDPERFAKLSKTF HDSPSKTDILFDFSKNLVTPETIDLLVKLAEQAGLEKKRDALFAGERINFTEDRAVYH PALRNVGGWEMKVNGEDVMNNSGGVNEVLNHMKEFSEQVRSGEWKGFTGKKLTNIVNV GIGGSDLGPVMVTEALKFYGAKDMTLHFVSNIDGTHMAEALNASDPETTLFLVASKTF TTAETTTNANTAKKWFLEKTGNKGEIAKHFVALSTNEEEVTKFGIDSKNMFGFESWVG GRYSVWSAIGLSVALYIGYDNFHKFLAGAHEMDKHFRETPLKDNIPVLGGLLSVWYSD FFAAQTHLVAPFDQYLHRFPAYLQQLSMESNGKTITSDGTPAKYTTGPILFGEPCTNA QHSFFQLVHQGTKLIPTDFILAAKSHNPISDNLHQKMLASNYFAQAEALMIGKTADQV KAEGTPENLVPHKVFMGNRPTTSILVGGSIGPAELGALIVYYEHLTFTEGAIWDINSF DQWGVELGKVLAKKILKELDEPGNGEGHDASTGSLIGAFKKYASL PFICI_04739 MHHRDCVVTDRGGVIENRHQVHAAVVDAKGKLLFAVGNPHRVTL ARSAAKPAQTLAILETGAFDPTVSEGADIALMCASHSAEDRHLQRTYDMLKRIGAEEE DLRCGGHAALSDKVNRMWIKNDFVPGPATNNCSGKHCGMIAGSKALGASVENYHLPDH PMQMRVKQVVSDLTAPNIGNDEVQWALDGCNLPAPAFPLRCLAGMYASFADAADGNHD IKTERHDHMARVFNSMVEFPEYVGGDDRYCTELMRAYAPSLIGKLGADGCYGVGIRAS ADTERLGATGALGIAVKIEDGSIEILYAAVTEILEQLQIGTSEQRKKLDHFHHLERRN TVNTVTGKVTLAFSVRPNDEGAQAL PFICI_04740 MNVLATPSDYRPFPNRTTKSSTPNHQYQNLSQKLRKISSKFLAK ATKKRWSSKSTPLYIHTIESPPARPSVFDQPCHFEHPAGTKNDSLTKGAIIPVVPANP PSTTLVVVITSPTDSLEMKSKVAVTSRGGSNQSTSQSFIDAYLARRKREEINKLMEMV EGCLDALDIISHTRGAGDSSRRPKSNHSNSSGSSAGTPQKSTRQKRSLAHRDSFDDSD PGRDGNDQDKRAGKRTKTEISPALKLACPFFQRDPSKYKGRQACTGPGWSSISRLKEH IYRAHRQPDNKCNRCCEIFTSGDQLEDHQRAEIPCKVSQDRSIDGINESQYIMLRKKP VGKKDDAERWEEVYRIIFPKATMIPSPYYAYEDSSRITSVSHDSMKALEEELIQGVRN DLEDRFDQFADETRIGLVKIVKDRFKQIIEQWTDKKKGPEAPSQPLEAQQPASLPEFQ FLEDFDFESFVANSDTNFGYEPFLTEPITGDFVYSSDASDSAYVSMDSPRSPPKVEV PFICI_04741 MEHYTYAPLTEVNEIRVLELCPGSFDDTLRVQLRHSVLGRQGSL SYKALSYTWGSPQDPVAVEIFDTSKYQFVQGHITQNLASALRHLRTESSTRIIWANAI CINQNDVAERTSQVAMIGDIYRTATKVVAFLGPASLAESAITKRLESISTMLRVDFGS GNIISINKEKEGWADMEQEVPLTKQDLQSLHRLVQCSWFDRLWIRQEIGLGGPRAFLI YGCQTIPWTAFCTSTFAIQHKPLPKALLPDSELASLRHRLRIIDSVVVLSERKFSLSD LRQDIQYANCVDPKDRIYGVLSQLNQHDAKLSIVPDYSKSTREVYMGVATLVTQHYGH LDIVRQGGLKANDMEDLPTWVPDFTQPMDIGGFDCMPRPLYKLFPDVFSIDGHILRAS GLHYGFVQHATPINHESLLSNNRAAVREIKRIALTLKVHDQYPTKETVLEALCATLIA GNFQEKWYPFERQTRCPSVHDARRFLETLIAHTEEPTGYEYTKRTYVQSVQDQCRSRY LFTMNDGHIGIGPITAMPGDEVVSLFGLHTPIVIRRSCDDSGEIYYQIIGVCYVHGFM QGQVLVGRLPPNVWDVYDEDRSLPLNVRGFMERKEGVDMPFTNDPRIEPFLNSLAEKG LLKDPLMEELRKAGPKVLESAGFPLREFAFV PFICI_04742 MRLPYVSVAIFSLLSFCPGRAAPAPITGTAVQARQNPNPVNLLL ALITQLFPLNVLVQDLGSLVEDAETVFADLAGFDTSQDDLTAGRCGDVVIVFARGTSE PGNVGSLVGPPFFNEMRERLSTQGKSLAVQGVDDYVADVEGFLQGGDTKGSQRMADLI TQAQTQCPSSKVIMSGYSQGGQLVHNAAKLLPQSTMSDLSSIVIFGDPFNGFPIQGAD ANKVLTICHVADNICDHGDWIHMPHLTYLIDAGTATDFALERM PFICI_04743 MHVQLQQKQEGRKASSLLQKNNDAAASPASKEARRQQQQPSPSL DDLPAEIHLLISKQLIYPDALSLKHTSRYFYSLVDTGIKLKVAWLMERRSLHLECPND RRCDLGSDLKFCRGSVRLLMQRRREHMECESRPGLGCLVYGTHTCLHRPQLRSRWAKW LRAQFTIEAWWVLLALMPIFLGWFYIVDLLR PFICI_04744 MATKFRATDHPKALESDYGSDFTLEEESIVIQLLEQAQNSKVTA VISASSPDTHSGQPTTDALLASDLSTYPLQGDIEQKDASATDAGSSRVWQGQVAIPAG RDGGWRPADARSTTMGGLSSVPMDGIEYPDLSHALLSLVAETQPPQSQQPDEVSKKSK EGKKTPIERFRSFPKKPLTVTDISSGAWWGRKTRTTAMKGGTKVHQKLEDQVHTTVQI NVSRKEEAFALRLWNFIQGLRTLRDTGLTRELEVWGSIEGQVVNGVIDELSYTSPNLG FEEELSQSQSSQGAASEYVGKQSSITEYFDPHRRRIYLTDVKTRGSTRLPSGAALRPS RVQLFIYHRLLGEMGAGKLDFSKIIARYGLQPDVRFSDAFMAQIGNLHDEVFDEVEPG EDALGLPSQNSRERQPGPSPSFRETELSPAPDLIRYRSLQQIIPLVQAELRETFPQGA DTLGDLVAVVYRHREDGRIIGDHCFPTDAEALNNYLKLDLSWWLGKRNPDGVPIEEVY KCRICEFAESCEWRHEKNEEMMRKSRQRTDGGAVANREATK PFICI_04745 MSRTSTAKDLSRSRGSEPDFRRMSTPLLPTNYNYMDAVPSVPRV LSPPPTPRGPPPRPDRLSLRLRSNSGLRMHTNDAALSQYTDYSSPRKTTFTNSIAEHS HQNIDHILSPRSTRPGSRSSMTPQSPGLNSALPFMDLLGKDAFQMAMENPSVIRHLVQ YSEEVGNEEGVDFLLKIREYNTALNEMTSVLTSISSSYTSIGASTPLNLPHMVSRPLN ADIKRIAHSIIPSLENVFFESRSHVESELAQDLFPGFVKRQLVNCTAAELASDGSDDA AQLEYPGLGSCFSMTDASDPKHGVVSISEDFEELTGYTTAEAVGQNCAFLQGPFTDPE AVRRMQIAMRDQRECVELILNHHKNGEPFWNLLFLLPLKDTEGVLQYWLGAQVNVSEC MNSRSDLLRVLNGGHPITTDRESSDGSTPRSDHFSGRETPKEGRRSRKNSIAHGRRNS KDAGTSRNWFSTFGRKAPAPCPPSPPPIPEIYDSALGSGSKKSKTSQFSSQRYVSRQK TPVYPTPYSSYMVLRCISSGGSSNQRQTTPSAKKKHSSKLMVSFYNEAVLELLSLPSD IAQEDIFHLLSVSKSFKASVRESVDQCETISMELLLERGRKRRASTGASNGHRPTALD LQSLRGDNEEKKSAKASKHERFWTHWTPLRDAKGQIDWVVLIVSPTS PFICI_04746 MLVSTPQRQRPDIGWFYRVQDRLPSLRWQLLLRCLVAAVLLSTA LSGILLFSNPDGFSTRYAQFHDYLAGGDEATAAVSPGGTPEPESDPAAPSNKHPIEEL MADARMRQETLLAARSWDLPTAAARYRKRHGRHPPPGFDAWLQYALDHDAVVVESFFD RIYDDLAPYWALDPLVIAQRAASWHHVVRVRGGQAYPVGYTKGRVPWLKIWTALVNES APFLPDVDMPINYMDESRLLVPWEQIDQFVQMEQATRALIPKDKAIRNFSGLETVQDD AEPYDPAWRNASYASYWDLYRATCPPGSPSRDIAAMANFEQPPTFPKNWKPEFSYRGY VRNFTASMDACLQPHLRELHSSFVQPLSMSTSTELIPLFGGSKLPGNNEILIPGAMYL TDNVLYSGGEELGPPWNQKKDGIIWRGVASGGKNTKDNWSHFHRHRLVQMLNGTTVAS MEKSKTRARTFELPPLSQYNIPRQRTGRLGAWLKKFSNAGFTDLLCSPKGSDCAYVQP YYDEVDKIPMVEQYAYKFLADVDGNSFSARWRGFLRSTSLPLKATVYAEWHDARLVAW RHFVPLDNTLQDLYGVLDYFADRDAAGDEAAREIAEAGAEWAARVLRREDMLLYVWRL LLEFARVCDQKRELLGYVEDLDDVHGNGNL PFICI_04747 MLFHGILAGLLASCASAASLTQVSNYNNNATSKAQMWVYVPDKV VTKPPLVVVIHSCQSTAQSYFTNSKIPWKQGSDKKGYITVWPSSPNSGTCWDVSSKQS LAHLGGGDSQAIANMILYALDKYQADPARVYVTGGSSGAMMSNVLAATYPELISAVSL YSGVPAGCFVSASGGVDQWNNSCSGGQVKASADQWKKVVLDMYPSYDGPRPKMQFWHG SADTTLAPQNYQETLKQWTGVFGVSQTATNERKNYPQSQYTTDDFGPNVQGIYAQGVG HSVPANLTASEEWFGL PFICI_04748 MSFQSPSDSTDSNDDWPKEQGGVAARTVENLKRLTVTFKDVAIE VDGLGEDFGSTCLSVMRDCFSFGTSHKSKRKILQGVTGQVSPGEMLLVLGRPGSGCTS LLKVISNHREDFSKVHGDVRYGNIGPKEAQKYRQNIVMNTEVDMHFPTMKVSETIHFV AGTKLPKITPDGQHPQEYKTKLTDSTLDALGIGHTKDTIVGDEFTRGVSGGERKRVSL AEVLATQAPLQCWDNSTRGLDASNALDFARVLRKGADEKQRTIVATLYQAGNGIYKQF DKVLVLAEGREIYYGPTTEAKSYFENMGFICAPGANIADFLTSIAVDTERRVAQGYES SVPTSAAEFEEAYKQSPLYARMVQEMAAKKTETLSREIENLKTARDVEKNRSLPLLSR EGSPYQVSFGKQVLQCTIRQFRIIWGDRWSNCLKIGSALIMSLVTGSLFYHLSNNSDS VFMRFGALFFPLLFFCLNSMSETVASFKGRPIISRQKRLGFARPAAHMVACALTDIPL AVTTLSLFEIIFYFMVYFQQDAAKFFTQWFIYLVTILTFMSFFRMIGAWSIHFGIASQ ISGWSTTVMMVYAGYLIPVPQMHVWFRWISYINPATYAMEAVVASDMGDRTMGCVEPQ YVPYGAAYQDSTFRSCTTAGTPVGSSEVDGATLLSSEYNIFPSHIWRNVGIIIGFWIF FAALAALGAEVRTHSDAGSKIRFDRRSRNKEMEMLKDSEKQASSASAGAMPEVSIDKT VFTFKNINYFVHHMGSEKQLLQDVSGFVKPGQLVALMGSSGAGKTTLMDVLAQRKDSG RIEGSIMINGKPQGISFQRTTGYCEQNDVHEATATVREALIFSARLRQKHEIPDIEKI EYVERIMDLLELTPLQHALVGTPGSGLSIEQRKRLTIATELVAKPSLLFLDEPTSGLD GQSAFNICRFMQKLAASGQTIIATIHQPSAALFNAFDVLLLLAKGGKTTYFGPIGEDS SIVLDYFTRRGIPCPPDANPAEHVVDVVQGRFGTEIDWPQLWLESQEKKQALAELDEM NHQELSQSNEESTGNAEEDERDFAAPLPYQIQLVTQRQLVALWRNPDYVWNKIGLHVS NALFGGFTFWMIGNGSFDLQLRLMAIFNFVFVAPGCINQLQPLFISNRDLFETREKKA KTYTWLAFITAQLVSEIPVLIVCGTLYFACWYFTVGFPVKASASGQVYLQMILYEFLY TSIGQAIAAYSPNDFFAALANPIIIGAALVNFCGVVVPYAYIQPFWRYWLYYLDPFNY LIGGLLEPVVWDVVVQCKDSELTTIPLPSNTTCGAYMADFLSTEAGYVVDPSSAASCQ YCPYSSGADYLRTLNINERYYGWRDVGITALFCISSYFLVFLMMKLRTKATKTAS PFICI_04749 MNTPVERISKACDPCRTRKIRCNGQEPCGHCQKKPSACVYRLRN RIRARKSTQPNAQNAQPQQQQPSPATSLPTPIVTNEVTEAAAAKDNQPENSTKDAPQG KVYHGVMASHSISGESVGPAGNSQLFYGPSSNFAFLQQIHRSLLFSHGNGRPGDRAVQ EGGPGLDMFMQRSIFFGIPSQVDMLLLYQSFSTPLVDILPPSEAQIYLDHFKAASYHL LPFYTESELDQLLHRLYEDDPETSGALQTKGLILAMIANGVLQTSNTALAETLFARAK FQMTLFEDTVTLTMIQYSLVAADYQLHMGRPNSAYLHLGNASRQAFAMGLHKEPPQSS VRQDSLEMQRTTMWCLYFHESWHALSLGRQGAIRHSDISRPFPEGQSLVVGLSRLAHI AEQSAEAIYGRRYDSLRQLYYAAESIHCQLKQVAELIGIGSAPQQSLSDHVALQQLHN IYYHTIILTFRPFIIAGAASTHPEGGSQPLGEQMWLRQACRSAVDAAQDLIMYSCAKI RTVPRCRALRFNAYFLESSCGVLLYDMLWHPSKHECHLEYIQMTLACLESMVDEQPVV TARESLQQILRAVEGTISKQSSNMSTPADITSNFLQFPNVQFPPSGSAESSVAEQLIH FSDALGIGNRDLQPAMMSATSGESVQNTTNPELNVFTTDLHSFFPCDIETPSTSLE PFICI_04750 MERLSDRPESLHIQPGADNVSAEGFNDYQEAIHAQLSSLATRAD KTYREWRLYLRKQPIGAAEKFNSLSTLLKQICLDLHQLCLQCSELDDDVYSKNATDEP SSVTQLQMQRLQICSQTMFSIQATLQSGDGDSPYATGLEQVVSELVDHGTWIAAARQA GSYSDLINYLCTDLVQSPPIGAWKGGRLPVYLPLRIDARLMKSDLLKSFRLTRQRALL QDAIQYSEIFKCDAFLQNDIFCRWKSTAGSVLLVSGSSRTELATLAASTVHHISHGAS PTSAICFFFCDSHFGPLEVALKLVKATIGQLAQQSLLACEMLYRIKQEPLRNTFEGLC CTMASMMQCFDQVTFVIEGLGAYQSSVSADSKMILSTLYSGFQGSAATKLPDVKLFFL CKTNNGQHNRIEEVSTTINTIAKRIYTETAGCSDSKIRLPATILHLSRDQLPILSSSL ENETVNLAAACRFLSLDIESRSLERFAVASEAIDGVLSRILMLDGSTFPQSTRALQYI GGLLQLDLLHPTLAEYVMGLHNKDTLTLETFPTGVSTASMAIFCIRYICLPQFSTAFN KVYRAERHRVAIRDQKRPFFRYAATTWMHLARDHWQEQGLMDCVMQLFDRESAANFGQ WVTECARTWFPHEIGFKSLSPQPLQELLSIINGPQDLRLHVASLMGLSHICKRLLEDG ANVNEPSAFGTVLHCSLLGSAALVGRWIHVIHEYHRLGATDGHECRGETIKIVLDRNC NRGQESFSKGDMIRPSTLALLTCTSLLDSHMFFDIVGDSSLFHPQFSISFGVFLQVMA TANPSEYDAKAYLNAIFDELAQRALPHIDHDVSAIEIFNLLWKYTLEMGLEWFDMIKV RYLRDIPDDMFNVLVLNTGLKSVNVMRLVSKDPRFDPDVVFSETHLLLCALEAGLEMV KLLVARGVDLTAVSPRNMTIAQVCAQKGANDILRWLLEIGVDTGVAEGIDSSGQTKCN IWHLAACHSLETFEILVDAGVQNDEELFAVSASGNTPLAELIQRLPTPYAIVRHANAI PEPDAISRAIELLRKIPMKNPRLFQSKTPSISTAARLGSQKLTETLLELGASVSVDTR GASPLHFLCLKASGSFIDYMQRLCSDLSWQDSEGRTPIESIMREQAMTPEEHPPESFE AAMEKLLCSKTTTSTDFRGRHLWERVCNDLVSEFVLQKSPKGHLISDFIDRLVSEKVL EDYEMQSGTSGVKPLLDGLSQVDAVQIWMGGLMHQVLQQTKHEGSLAGAGQTAVPYLK WAANSNTWDLVRLLLKHGASVHEKTFGMSTLESACLSNGCGEPVFDLLLDHADSTKLN DIGYQGLGLVHLQSHGQGDQRLSRLRSLLEKGADPNLRNSLGDPAIVEFLRGEHSQCI DLLLEYGADVNARNNYGMDTALAAISQRNLFMLSKLLGDERFKVDWNATCIIKVPYQC EGQSMDVVELPGSNALHLAAWFGYDTGLDFYLTNKLITDLESATAVTRYRPIHFACRA ASITCIKLLVSSGADVKSQTSDGSTGLHFAVKQQREDVVRTLVEEGHPLDVQDLSGQT PLDYALTGGNPVIIDALLGSGGSKNMVTDNTTNSPQKAEDHLLAALFNSILRSDIRLC RTLHDVGCPVHLPMPPCRSCTPLMIAVDANEADICMWLLDTMDVEMKKAGLGAKCETH SNRGTLLFSLSWSSPKIDDMLTKILDMYFDTAENWFHWPVGVLHDCALIDEEKPIDLI LAYVATNEDRLRSRFSIPNNTSLCKRLLDHTINTREFGYPYTCGLTPLHLAILMTSPK AAKRLLQAGADPNKENMERRTPLHLAVREGMIDVVRQLLDCGSDLDRLDRRGETPLMV AVDAGRLDCAKLLVEAGADTSLCNRRGESLLHMAGESGQVCLFRYFLELGLDPYKPDG HGASPLYIAMLSGDMISYAINSDFDFHQLAARKQYMRPLLSVVRDTPTTLHLLLRRLT AAHVARALINVWPERHEFPSRYSSPLCNAARAGYMESLKLLLEYGADLEFEGSVEGTA LMSASRAGNVEIVSHLVRTGANISYTRNGRVQNALEVGKRFKGVVRWLLVERWTDQRR ILPGSCFSVGDVEVRPWSGITTVALKLHGPGERFGQQRSETKLAFLGRMHTLRTSLRG QVVYPEQYL PFICI_04751 MLSFLTSRPVAAAAGILAASSVVSAIDSVSFDSGTYGTEETPYQ TYTSSPALTPPELLIYSNTSSAITDGYVFFGVDGTSDSGQMGPCIFDMSPGDRLGTLV WTSPDYNKTFDVSLQTYKGEPVITFWNGTLLDGFGHGSYYILNQSYNEIVHFSPVGYT EYGDLHEFRITDDDTALVTLYIIKQTDLTTVNGTEDGYFYDGAFQEIDIETGELLFMW NSSDHVALNETYNEIGSAGDETTPFDYFHINSVTKDVEGNYLISSRTTWALYKINGTT GDIIWRLHGRNSDFTVTDADFKWQHNARWTDTTAQTHISIFDNQGENDDTYSRGMLLA IDQDAMTVTLEQEFHNGDSTWSMYEGNLQCLNCTDLSSGNWFMGYGNQPYFTEYSADG TVVMDVQFAVDNAVNSYRAYKYPKTSWVGKPTTNPNISWDTSSEGVYFSWNGATEVET WAVYTADAADSTADWTEVTSGTRAGFETLLDLSGSSDSLSTYIRGKALDASGSVLGLT DATDGTSFYTLDDESTPTTSSSGSTTSDAASATSTDDDDSAAGILAPSTVFGGVALVA AVVALVC PFICI_04752 MADVKLDKEPTRHPDCCLSLSSTLLDVLTSIVSHCAARTNADQL VLSIGSGSGLLEALLLERWAGGATNGVSHLFIEGVEVQKPGGDTPSLLNKYLPEQHHS AVKGTWDLSPRAADAVVLIFVYPRSPALLRRYLEEATCEEALGRNRFQLAIWLGPKCD WTEFEGCFAGFSGWNLRVIPDEESGLFGYEMLAVLERQIVAVAGREP PFICI_04753 MSETTTLQDTATADSGKWGQYYDPVLAQSPVIQKIIAESCSMNG GTAAVLLQIASRGVGLGVAAHSSFTKRPVERARRSLVYIYAMAFGTQAERRIVTDATH RAHSRVKGANYDADDVDLQLWVAATMYWSLITGYEEIFGKLDEDMAEQAYKEFSVMAT GLRVPPGRWPKDRRAFQEYWDKTIAELDITQPAKAVAQDVIYPAGHLPSWGLWLYAKV TGPSTRIMTTELLPERIRNEFGMPSTVYTRTMYGLSRGMNRLLYPWLPESVRHYPKNH YMQDFRSRVERGARL PFICI_04754 MLATAATLLFASSFATAWSGPANTTTLHASGWESGTVNPFSSCN VKSPSYISASSGSPLCAGSRKLTAYFDESDYDGTRDDRGAEICVKDASGSTNLVNMHS EGWQGFGLYVPSNGFPSDKATIIAQQFCPGGCSSWCGTLSIEDMGLYVDHRPACGDST HATVTSSLSRDVWHDIVIHFKASHAQNGLYQVWLDGSLMYSATGINVGFGDSWTIDDT ITNGFYFKNGMYAYDYDEYANATRQLYFDNLSWLAADGGMSDGYNIVDPAC PFICI_04755 MAHLESRKHISPDSGFPVNLHPNFSEKIKNHVPPEEPRTQIFPD KDRASFADPEKKALFAVAKRSDLTESIGTVLENVQLSQITPIQLDELALLVNERGVVF FRDQDLTTEKQVELFEHYGILDKHPAQKDVKHVIIRGSRENHREVLKYTPWPSGDFHA DTSFEINPPSYSMLRMEEHPDVGGDTAWVSGYGLYDTLSKAMQRFVDGLHAVHTSRLQ YDTILDLWGTGPNRAPVDSHHPAVRTHPVTGLKALNVNPGFVTSFAELNKVESDKLLD FFQYHIHSADDHYVRWKWAVGSVAMWDNRCVVHRVIPGRYEGNRRGIRTTVFGEKPYF DPSSESRYEREDRLRQEGEVLKAQVDAKESGIETPA PFICI_04756 MATLSLADLVLSTFSKGLDVFAHILARAKEDAEERGIDVESLVE ARIVEDQNPLSFQVHFASQVVRNNLGRLAGEEATPLESELKTFADLQKHIQDTIEILK SFNAEKAKGREGTEVNIRFRSQEHAITLQEMELNHALPNLFFHLTTAYSILRVKGVPL GKKDYLGGFLGK PFICI_04757 MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVIDEEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLAYSITSRQSFEEITTFQ QQILRVKDKDYFPMVVVGNKCDLESEREVSRQEGEALAKSFGCKFIETSAKSRINVDK AFYDIVREIRRYNREMQGYSTGSGGNGGGNGPSGKMEMSEGESEAGCCSKCIIM PFICI_04758 MAHQQDRCLSGTHTSAAVSRLEYLKGLSKRFSSPTPELIEDVRL NPYLREYNPDVAWEFLSRAPVVVQWRWRMVFLDPNDPVCDRCAIFLRRGRVGRSADWP DFCRGSSGQGTCDTAGDGECGECDGRNCTTLALPANNWAVREYVRGRSWHWYEGVGKV ACDECRQHGEVETNCEVWQDDGCSNCKKNGRKCRVRGLPHGSCQERLNRRSGHENLIS EPFVDPHDVGTDLVHLYAVSSGGAEAPGEPPLERLTEATRDPKLPAPTGARYFASLAQ AQEALLKGGAVRTTKGDDAPPPTKRADAALRCERCKRLGVECKKGSRAKSCAKCNSAG VRCRFDPTAHLKAPQVTHVMKAEWDLDVRAPNGRWLREDEIKERSKSAEEKAKEQEEL KRLEAEKAAAEKAAAEKAAAEKAAAEKTAAEKAAAEKAAAEKAAAEKAAAKKAEAMDQ SEHEDSDVEMTDVWEDTDETDETEREWFDELEWFSDMHWRAEEEISARIKKWKGHNQS LIKKLHRDVANFDRYFEKNEMLKIRFHKFLAARWEDPSRDGLDIDLRPASSSYGKFRD EIRDLYSIKADPELGQCIDLLRHVAAVCEYMQWLQEEKRRSEEENEGEGEQRQDEEEE EDDDDDEEEEEDPRLEHLKGTLEYFMLKRRHFRIAAGITTRSKVCRAFLQETKWDYEY SLWFFETPVCLIEMDDTLRRWIFGLQAYMEHVKAEEHIYYRQWWGEAVSVVTIQMFVQ HKFAEDDKARTRIEAKALKVISTLEETMKKMDPQNPEPVTPAEIESWGKAQAELDKLN AKLEEMAKMAPWRVMWEEANAEWKVQMENMKLDKWMKKLVKELVKQGAIEKYF PFICI_04759 MGIKQDMIDSIESQRDDGIRMLQALVRAASPNPPGETTAAAAVL ANYLTSKGIHHKLISPKQGQPNIVSEFEGGSGPGPRVVLNGHMDVFPVDLSAGGWQRD PWSGDIADGRIHGRGVVDMKSGTASMVIAYQHLYEQRKHLKGTVALCMVSDEETGGAW GTRYLLQEDKARWGGDVMLTAEPTGRTIRFSEKGTLRLTATVRTKGGHGAYPNLSKGA IRTAASFLSEVTEAVTSMEVDTLPDIARHLTDPEVLKAVDRAMGEGTSTIIARPTVNV GTIRGGVKVNVIPELCTFELDIRLPIGLTAEEVLELIHALAQNHTKATIEIEKQDAAS NPSSYSTISHPIIGHLAHNAKQVIPDYPATLIPSMGATDCKHYRYAGIPAYAYGCSPF SMAAVNESASISEFVQVTKVLAGAVCDFLA PFICI_04760 MADIEPEILILVLNKDRSSWSHDFRSTDRSIYDFLDLLVSTGLD FTNVTLGFMTTSQDEFAEMKMASSELPFARTVLFYQQDDGPKFSYQERHNPVVQRERR AWIAALRNYLTLRTLEDEKHIFWVDADVVEFSNGILQTMLSHTTARDDVGVLTTRCQQ HLIESYDKNAWVIDRDKAQLLGPVDDEARQDALRELVGSRRYADEIIKGTGDNDLIPL DSTGGTLLYIRSDLVRKGLIFPTYNVVGSTWSQDGWIGIETEGLCYAASHMKGGGCFV LGGDHHIRHADWG PFICI_04761 MPINPHSTLGIISETTVPASTATPAPEDLRSTPKPKSDIGIHMA PPTAANDTALVERLRDIVNLVYGETEGDIFVEGYQRVSTEEMVDVMRAGQLAVAHLKT PTSAPSDLSSSSPSSPEATSAIGCMRIQTLPNRTGELGMFAIDPLYRGSGLGRDMVAF AESHCRSSLGSEVMRLELLVPKSNVEHVFKKRLQAWYERMGYVVVKLGVFQDDYPQLA PLLRGTCEYRVFEKNLV PFICI_04762 MYTKQTLAVATFAAAASANFMPIARRDFVEARVTDSGNDACVTA LGQILPIYTEIPYPPKELLTVAVPNDPCETPDITGSLAAEYTAYTSKVLGWYSQHSAE LLSALTGCSELAGYATDVPVCSTAAVVAPYPTSTESAPEETGYASSGSGSSGSSGSSS GESSGSSGSSGSSGSESGDDSSSGSDESSGSGSDESSGSGSDESSGSGSDESSGSSSG SDESSGSTSGSGSDESSSGSDESSGSTSGSGSDESSGSGADSSDSGADAGAGAGAEET PSAAAGAEPTVTGFDYSSSTATDSAKPTYATAAAARETGFAAGIIAAAGLAVAVAVL PFICI_04763 MKSTHIATVGLLAAVAGASPASAIVGSSTSDVYPPASTSADPSL FPPESVVGFPGVTQTGIEPAAIATAKAYPYNSGPANAFPLVSPEPHGEDQSSTFDIKK YWGNLSPWYSLSSADYGLHDASPQLPDGCDIVQVHLLYRHGARYPTSGAAPATFAQKL HNATKTGFNVTGDLEFLSDWTYKLGAELLTPFGRSQNFMLGVQYRQLYGELLNNFTET NTIPVFRTQSQDRMVKTAENFAAGFFGVPEYLDQVNIEILVETPGVNNSGAPYEICTN SNVGSRGGIGSTVATAFAKNAFNATLARLNSQVSGLNFTPTDAIAMLQLCSYETHALG YSAFCDLFTQEDFLNYEYYYDLSFYYNNGPGSPVSAAQGKGYLQEFVGRFTHSFPDAA SALNETFDNSSTYFPLNQSIYADATHEVVVLDTLVAFNLTSLFKGPPLALTGNEKQNS FVASKIVPFATHFTTQVLECPAYSPTRQIRFLVNDAVVPIADSYDSCDVDPNGLCSFD TVVSALQKRVDEIDFDYDCFGNYTATAGNDYNGRAPR PFICI_04764 MTNHDSIASLSYRLEFFIYTPVIYQGTLIHLQTFCPNKCRGSEN PRKPQVVITKNLPPSATRDTITRTYLKTKYDAGDISAALAYDPEGSENRISAREAGVK IAVDEALSKLG PFICI_04765 MHNLTYYPAPNPENATGVLVVPGGGYGYVNYDKEGIQPAKWLNE RGFNAWVLNYTTAGTRATPIYPEPMKEALAAVKWIKDGCSPRKLGIWGWSAGGHLSAI TATHNEAPQLLDFAILAYPVISMDPSITHLGSLHNLLGHKADEHLRDSMCAETRVTKD TPPVFLFHTANDGAVPVQNALVFASALAKHGRPLQLMILPDGPHGVGMALDDPKRTWT DQLDRWLKEFSTANDTE PFICI_04766 MQGTIPSGHVVSSFSSSKLRAAVDCDAHLAAAATAAATAATLLA IGIFTHSHWGETAAHLAVSRDPRAGALHFDIGTRRFQVIAQSNQRTGANQPWHLHHQW QNPPRGLTSSATTKPAMSVADLESKNCTYVGSSGETRIEATKNRLELLEKVLGTLQNG SSDQAAQLLSDLRSTTDLTSALGRIAVDGPSQSSTPSHNTTDSAHSPDGTISPGFSQT ANRSSVSTPMHTTSYATSEGRISPVARSGPSSFVFPGTCAITPPRAEEVSLAVSGFFR CSGALFHVFSEDQARKIYDAVYGEPEAQMNASAADICCLMTIAAVGAQYEHGNYDLQT ETAFYDIAKHYFDDIVNQEGLDAIKVCILLSMYNVFEKSTVALAYIEVGLSLSRQYQM VLEQRKRPDSQPGALSESKHIWRTLVFLSSWLSSTLGYVSGNDMMVNGHLPVGFTSDS DNVIEIVQAEMTNIAVLKAKILRMHLAFKDLTVLSVKSILVDLQTWYGRLPAQIRLDY DERDSLTPLTKWSIYHVHLLYLGANIILYRRMSSQLIETRHSGIGQGVLQSPLEKLYS DHGGQAVLAAKSSAHMLFSMLQETGIFRRCWLIIFQSYTSCLIILHSATQKMLHSWRP SSWQDDLAKADLCLEVLKYCASADPTAQRFYSELKEFRDYIAGQTNSASPDGSYTLSQ PHLASSQSNISHLLAAEDETQDSSYLIRMPSNARPDHLDVSCRLLIKLCQPYGDPEHQ GAGIEDVKQRWRDEPTRALHSLMMARLDWDLESRQSFQWDSRKLANITSNPTSGFGAP VVSRAGDTLSIIQQVAPRRGNLEGQFLGSMQPSGWKMPHSAVHVASDLPKNPRATGPQ VTIEEMVID PFICI_04767 MARNASSMALLALDAVKDATNADIGHVAACAALFGVILHHSILR PFEVEQFMYKLITCFFLLTGGLFGVHLLNDAAVLHAAFRSAVALGSLLGGTLFSMVVY RLFFHRLCRFPGPWGAKVSKFYSASLAAKDVQYHKSLARMHEQYGDFIRTGMCRGVIL PVEIG PFICI_04768 MLTVDWEIIVLNTYTPRVTAKVDDFIAQIAKQGIADATAWTSYL SFDIMGDVGLGKDFAGVASGREHPAIKAIHDHMTVLSIGGHVPWLLNLASRIPGCTAG YAPFFNWCAAELQAKQKTWKSDKQPTDIVSWLIKAQDENDPTAPPSQAALHEDSRVII VAGSETTATTLAAIVFYLATQPRVYRKLQEYVDAVMPPSSLGGNNSNWTYEKAKSITY IDDIIHETLRLKPALLAGSYRVTPPEGLQIDEVHVPGDTTVFVPTQQIQTDTRYWAPR AAEFVPERFSQQQEEDHAPSTSASAATDTTTIEGSSGPFLPFTLGMHACPGKNLALMS LRISVSKIAQNFDLSLAPGEDGKHFDNAALETFTTTLPPLRVQFSKRV PFICI_04769 MPGSNSSRLAFNLTKFKAAARRESRKHAWEIPFVSKPNRASTTG DLEQGNNTQDLRHIQTAPGRSSRTNDEIVESPSSAHGSTSIDHNGSITRRKSKTPSEK ETNGGPSSPRNQKDDKKEEPKLMLGFIRHVEPKEPYTVANQLRNTVFNSWLNILFVAI PAGFACFYAGVDGRIVFAVNFIAIIPLAALLSFGTEEVALRTGETIGGLINATFGNAV ELIVAIEALLKNEIEVVKTSLIGSILSNLLLVLGMCFFFGGFGRQVQYFNKTVAQTAA SLLALAVASNIIPTVFAEYVRNDGTNGEQVDNRDIASLSRGTSVILLIVYAAYLVFQL KTHIEVFNEPSQKVERTPLRKRASKEGVDAMIQSATAVGASTGAASLKDKDSEQSPLA QLRRHENTDDEEEEEEEKATLAGWVAILTLLISTILVAFCAEFMVEGISSITESGVSV EFVGLILLPIVGNAAEHATAVTVAIKDKMDLAIGVAVGSSMQVSLFLIPFLVIVGWGK GIEDMNLAFDTFQVAVVFVTVLLVNYLIADGESHWLEGFLLICLYLIIAVCSWWYPTS GDVV PFICI_04770 MSRNTTTSPSAARRSPAQWTSHLRQISRTSFDRAPSESPRSPGS VSSASTVREAQPPKRVLERTCTVTINESLLNDEVALNVDLLGGGIKAGDLMSILPLRA DQDRTSSINYGSLRPANIQRALSSQENANKQEGETPRRYIFVVKDLSKELKARHPHIE VYLAKQVGDAFGLRKGSQVVLAPVDEVAQAVEASHVELSFRDMYLSRADMWRLAATEL KDKTVYKGQAIYFMGTIKATVTSVFVDGRKVHSAFFSHYTRPIFRSESARYVLFIQMA SEMWDFDPDDSGEILFYKVVNGFLPALFKKWAMLQVKHLVSIVLFARVEYDAGLTTDL ASCELLNDYYTGYQTSGDKRPYKDFYRVVVSEMSSGEWTTILHQLKREFNTFRKDISL HHQDVMSAAMNLSEDATDKANMATKIKAESSRAMYGNFLEAISLASSQYAHDYIDRDL LRTGISIVVISPGSGVFEVEYENLRRTTEALVGNGIGIDLICIPKIPLHSVPLFRYRN PLFLEANRRSNPRSVRSRDSTPKAPTPVVGSYNTLSESLSPTKATDGASRLEPINRLY TDEWAFALPQWLHVSYWTGKSEEALSYHGISLSASEQSGQEAEDFTVRCRLYDLQMRS VLETNEIETPPLFTDPKYPQKLIDADATKKPRDRSTYGPGRLKGADGLYDPVYGFTKF NTDKLTKPGETSIWKQLQAFDDNRSRLPKSRIMLSSSSRVRRDLEENTRKQLIEDAAL FGTSIGDNGKSGHSSTAFPSSLASANQSTSTTPFSSTPSTRRDSAASAIQPRPMSAIK MPKLMRQISLGQKGFGIAAPKAATAEIIAENVNALHAGQQTPAASKISQQTPKKARFE PSSPQIIASGRSFSSLDQAKSNLSEQLQDLVQATPSRPIYIKAAPSNSEEETELKVGT IRAHAPSLATPRPKALQPQDQDQKFSSMLRAEDAQKVYNSKLLAGAIPELPSTLSPST AMAPWLVVLNPSNPNMNKVDDAVLFSRWQHVFPRPSDMKLMKWKSLSSPAAVPLTTEH FPTKLQFDTEYQRSPYNIAQNNDDDMAEEPKSREHFLRELISARFAHGFQVVIGPMVA KAFGQRLMKLVDIFSRDRVVEDGTSLFMSIGNTIHQLSCVNGTEVEVNIFVRKPTESF LPADQPLPIYKPAIRTTLDPTYEQRQIDIVTPKPEKNWNYIDSYLAGHFEEMTENLRF WRTRFVLIPMGTRASMSASHLGPDNEEEVRLEGIKRLAQAWQRHRYLPPSEHQFQVAG RKAKDPNPLDIVYKTEDPSVVVAAEMETLPFYEGPEFSSRKGLISDKERFSKQNFNIT ALAEAMQQPVENGGIRMQNRRWHFRLHYNCFIGSDMTTWLLDNFEDLENREEAIALGE SLMSPDDERSTGKEAKRERGRGLFVHVERRHRFRDGQYFYQIAGEFAKPQPSGWLNMR RRDYSVPSTPLVEQGPKESPRLGFKPSVSRPISLNEDASPTSGATTPTASVVSSKRPK VMLSKCMRYDVDHRKRSYRPERINLHYDRLHNPDNCYHIRIDWMSVTAKLIEDAVKQW AATAASHGLRLVEVPIAEACSITDQSPFRRPYPVKLSLPPPSQRPVTYYDPNSFHAQT QPGKHYYQKAILRKFDFVLDVEAASNFPSNVDVSFSWGKPDFKYTQYIHRSGMLLVEI TDDGNFLALANRLYSNRTVAVQTNAPPRTEIPTNDRVARLISTGSYTSYGLAEPTPIS SPLFRPVLFSAQAAAARENPHASHMSPRLGATKPGPTQNPGLATGEAESLVKSLEEFC LDEEALEAFYKETLERGPSAPTPSGSVLGDHESVSDAGIPTLGLPPGILGSDHLAGNS LRTTSAPTLASSLKLRRGSVQAQHL PFICI_04771 MQSAIDTIKNTIGENFGGAAQKLSTHQFSLDDVPDLTGKVAVVT GGSEGIGYGCTHTMLKHNIAKIYILSVSEEVVKGAKNAIAEDLGQEAANKTQWLQCDV SDWTRVKDMAEQIKKESNRLDILINNAARGIMTYQLTDYGVDRHMALNHMGHVILTSH LLPLMKRTAEQGNVVRISNQASNAHQATPSDTKFENLEELNRDLGPNGQYGRSKLAGI LYARYFNRHVTQAGHPNVLMNATHPGFVSTKMSKDDIHEPYPLGGYAMSVGFEPFKKD QWDGAVSTMFAATATDKSGQYICPPAVPESGNELAQDDKLADRLMQLTRQVVMEKTKR QSADQGCPFDDLVFH PFICI_04772 MAVGFKTGSLALVADAFHYLNDLIGFVIALGAILVTQRATSPKD FSFGWARASLLGAFFNGVFLLALGVSIFLQSIERFVSIQHVEDPKLVLIMGCIGLTLN ILSAALLGHDHHGHDHGHGHSHGHSHEYGHSHDHGHNHDHAGHDHELGLSPGHSHTHD HGRTQVTGDDARYSGHGHEERLSQDHATTNDRSPAQSVHQHNRGHHPANMELGMISTE STDRAPMTPISAHANHRHNIAKLSAPGRDLGIMGALIHVISDALNNIGVIIAALVIML TKYDARFYADPGVSLGISLMILLSSIPLVKNSGKILMQSAPRGVDFDDVKHDLEKVPG VESVHELHIWRLDQQKAIGSAHVVVSEDNVTSFMDSAKTIKECLHAYGVHSVTLQPEL AQSRPPTSYTTTTGVAAAETATPVNGTTPSIAASSLRRRRVDETACQMVCGNLCENLT CCAGPSRMV PFICI_04773 MLGKLFNLTSGNTAASSSQTTYSSANESPESVQEEIHTRSLLFP DTQTLYGRQDQVFPLSTTAFTANAPSAFDYDGEVDLDVRDVRVIVMQDSLGSVNPSLL YDSHPPPPVPATETRNSPGTAQPQIFVKDLRRNPTSPRKTSLSYSRPMVIQPDSPQPR QGAFDRRSSFQTRTYTTAESEAQRAQREYREELASFTNCIFSNTEVMSYKGTSTKVHI VPSDSRPDVSSSFAGDGKGSVGRASMRSSRLSQSFTAESASAPFVSNTAGRAADRKKI LVTRLFPVNLPSDDPETPNATVTPHSRFSEDGSGFPFPYSPDENGMKKKKSQPKQKRT PMYAVALVLQLPSNTMQQPPSTGKTPFKGASSYTEQDSFPSSFSSARRSGWTMVGAGY GAESIDSTYSNEVEDKMDAITQHWDIIMRTLTHLQSIVATSLFPMLKQADHSSPDPQQ PSMSAQVARNPSINSRRGSNASQIQMKPPKTNAKLITLPPNCLMQEQLISREIDSARV RIITGLRATRVVTGQGRWGPWREEARWVAKYAGAKEQGFFFFNLLTGFLATHTDWLQA LSPVWYRRRHYQQQKAKTEEDTSLPTRTIIVSNDKMAARRLVFLLSAFLPANQQVPII RPQRQTSASFSHSPPSYVIPILKEESLRRKINRKPPGQRGASHQRSFSIQSHATTRSA FGVPAQLDHLSMDTFHGRRGSDATLFKPTALSNQGSDAITRVSSAATTNTVLPDAAVP HFTSIHRSNTRRSHRPGSSGSVAADDLKRTLRRGDGASQGSNTSGDSHRRSSNWGSVI SGLWSVRRRESSSGTTPTLDLSPRDNVLGSPSKPTERRDKLAVKANDGVAVDTPMKEE FDSPINPTFGITGQADTPRTLERKGFPELDEAAKRVPDPSGAFESPVKTSISADDGVI DVDIQFPDYLTSLESAISSPSSSGYLSTPGFGTGIDSFEQFSRVAVDGEAPLNVAGWL QQFHPDFALQAIPPQPDLLERVKESLKAEPTPSFPFHQLPVEWAAEQWVDVSSAIVAD TTTFTIKRIRFRRFVKPKHPAERGGQLSSSTNPYSASLVTPALSPYEQPLKEKFEEEV LFTWDESLIEAVEKVIAHVVPNSQESSTTNSSRSVSKRRERSDSDASEPELHTAAPAP SQPESQEVHRAECKTVVLSALENIVQAVVDERDKEGESSKMKVTYDTKKDKESVLRRA IRTWLETVELGEGA PFICI_04774 MVSLRFSRLAPVLVGLLAVVNANALAKRNGTCTLLNQRKSWNVL NDDERSEFLRANKCLMEIPPRTGTIWNAQNRWDEFSYVHISMANYIHDSGQFLAWHRW YIKVLELDLQEYCNYTGALPYWDELKDQATAPLNESAIFDPVMGFGGDGDPNNKYCIS DGPFANITLVMNMTSNFAHDCLSRQFNQTRFDLGPDNWDLCKDYSNYTTYWECSSNNI HGLGHIGVGGTEGLMANAVTSSGDPLFFLHHAWLDRAWWKWQLQDKENRLYDMGGRNM ELDIMVSYLGLSQPNKFTTDYSGDGDSNTTTLGHVLYTHDLRANVTVGDVMDLNADTI CAEYVDDGGFNYTLGY PFICI_04775 MSAKSGNGQDPSLGSTTDYLEPSKQAYESAKIYFLDHLGTDNVA RQLVEHSHSISEVLSVVHGAEKHYKAKRGSKAYKWLVRVSEKVGYYGTVLDVLVQHHP EYVSLVWGTFKLLFGAICSHEEQVKQLAKTCAQIGNILENVEIRLSLYTSERLIKSVS ELYVNILEFLKHAVAWYAKSRFGRAWTAISRPWELGFRDYVQDIKSASNRIVELVMMS SQADIRALSLKTDQMYQELASNRLQFERMSIMMQQMYSMQTQLQADYSSSMGVIRRME HNQILSLPMMASLPASGQSMNFCRSIRDRRRQRYYLPAPTIRQFEEWSKGPDSSIIFT LARSAQASQDFMVDSIKLIQEEKRNIVWAMRFERHWEKPLSCIDVLKVLVRQCLQINT EILKNDPFPPTAASFLEAVDERDWLQILNRVVRGLPVLYIALDVTVIRNALGNDGLAT VRFLEDLTRTVSSTTIKIILEQSAIDGDYVRRTWDRSLWSVARIDGSEGGKRKPGASS LRRMQIKRRRRR PFICI_04776 MESAALASFAKATNLATSATSEAKSSGWEDTEREHNINSLQRLA TPEEHQEKRQDISWTTDPTFGKRRLEPEASPASPESPESLAELPPAIEPAAKRPKNGH EIEVDAVLPPSPVVPVVTLSTSDTPSVQLHDLSPSHQIRPHAPEKQLDRMSSNTSERA GTSSDLTGQQSIDFRIVHEVICYDKFHRDETLFATSPTWESSRESSGSHGWKAHLTFT QTIRNIAAFMKESQDISFLVFRYYRCSDSNRWGRHHTKTTSDTGVRYDETIAIVSPSL QAIFDDVSRCVPDKGSAEKDGPKNYSADFFYHHRAALNDRHSQLESMPRSELTAILGY VQATYGTRFGELDMSIAQGFIQADDLAAIFCPNQVVISRKGVTLEAFVLRTWPWKRDT GVLLECWQWGFDGGSLRRKEVDLDITKLSQSVMKIRDLEVFPASFASETEIEYLQSRG VKIWNMRYPSFVAYEGWDGQKDYFYAAESRWMVDYRTYKQMHPTAKTFHFTSMPKLPF DQWPDTLSLDLEMPPGDCLLAFPATISAFSFKKKAWSILRVDHVRRIKWNTEAFDRLV LPAKTKDMVKSLVMVRASPKHSKQRKLLNGMQDDIIRGKGNGLIMLLHGPPGTGKTLT AGIVAEIAKMPLYSVTCGDIGTKPEAVEKYLGTVLMLGKRWNCILLLDEADAFLEERS MSDLERNSLVSVFLRTLEYYDGILILTSNRVGTFDEAFTSRIQVALTYGPLTASSRRK IWQNFLDMVQTDKDDVDMDDLLSHFDELVRGYEMNGRQIRNVFTTARQLAIFKEETLV WDHLEQALQSVSAFNKYRQGLHGHTDDEWAREEKLR PFICI_04777 MKSQTILALAAQAVCISAHTIFVQLESGGTTYDVSYGIRDPSYD GPITDVTSDDLACNGGPNPTTPSSDIIDVAAGSTVTAVWRHTLTSGSDDVMDASHLGP TMAYLKKVDDATSDSGIGDGWFKIVEDGYTNGVWGTSNVINNAGEQSIPIPSCIADGQ YLLRAEMIALHSASSTGGAQLYMECAQINVSGGTASVSPTTYSIPGIYAADDPGLLIN IYSMTSSSTYTIPGPDVFTCAA PFICI_04778 MPLGPQCWTCLRGRVRCDGLYPSCSTCKNLGVACDGYDEEARGH VAVQEGHANRRHLDSGGRASGAKDSEPSEFTSDQIMKPTATRSPLFSQPVPSLYRRAQ MIFEGLDYFNKMICPDLVSLESHFNPYKVNIQTVDRIPDIYVKVLVSVASFHRLMSYG PEPTPSSALVQRDADVFALRVEALQGLNEKLSMPEEQTSDATLLCVLSLMVASIQASA YTEWRAHLEGARRIIQMRGSLKKIISVNPYFKPVLTFFMLIDVIGSTTTPSTHKDMPV ACNMAMQYWDIEPGVLQFVATTCIPVPDVLFRVITLVNYLRTIANKPSLRAKRRSGTK MAIQKVMDFSPTDYSTIMRNFNGWATSGKEVSFSEEPKLAPSTSSRDPRGSSTSSSPA TDSPSQKPAHDLWLGLGVMYRAATLLYALRTLVVDSEDGTAHLLPAEISVSVESLRQE TFELLYGALVPVFADPVSMHQIGKLIMWPLFMLGMETDLRDENLRGFVTSGFSSLSLA LGTLGPIGVINALEVKWNIDAERGPSTQVKWDDYFQGREDFIVF PFICI_04779 MGYQELTPPLARQGTVRRRSGVAFVSGLTLLAVAWVCLRTLLGA HSAEVDVAKVQQCSIDNLHADLSFMKDAKPIIAEEFLERRDKLARALHADKIDAFVLE PGYTFQYYGNISQVDWEPWEPEERPFLMLILPQVSSGGEIVAKTAFLSPHFEEGRVRM LGIPSREEELDIVIWEEHWNPYSTLLESGLFSSESPKLMMDEEIRDYIVRGLDSVGFE TVGLSPRAELVRQIKSPAEVELIRVVNTGTVVAVRAMRPCLVPGLTENEVTAILDNSL LSIGFSLFFNIVLFEEHGALPHGGFVTGFKKVTPSSMIVIDVGAHYLGYSSDICRSFF IDPPKTSSSRFMAVLRSLVGLGASHDGAEQENLEQSDMRAEKLKVWDIVLDAQTAAAA AFKPNNTAASVDIAARNVIEAAGYGYGFTHRLGHGIGIKAHESPYLNMWNKGVLLQAG MTFTNEPGIYLEGKFGVRHEDIYLVKEDGEAELLTGVRAKGPYEP PFICI_04780 MGSAEMVHESTSAAQLAAMGKDQITADDKVAHQTTSVDADVEIV PAEEEKSYYSKLSVWLMVLFSGLAIGSDGYNAAVIGNVELLLAVLYPEALTTSIYQRL SNAFLIGMIVGMLLFGVVVDQLGRKTGAVATTILLVLGIVLSAAAHGVDATGMFWMLI IARGIAGVGAGGEYPVSGAGALEASDENGTLRKRRGFMFAMLADLSASLGYVWGGLVP LLLLLCVGQKVEHYDTVWRTSFALGLVPPLVIFWFRLRMAVSTAYRRSAMRKQRTPYL LAFRRYWRPFLGGAATWFLYNWISIPFGIFSSTIISRTGAENSLVKNLGWGVVINCFY IPGPFLGGYLSDKLGRRQTMALGFGAQAVLGFILGGAIGPIQTIFPLFVVLYGVFLTL GEVGPGSTVVLVASECFPTSIRGQMMGLISACSKAGAAIGTQVFTAILNAYTDDSKGD QVAFLIGSGFAVLGALIAWFVIPDVSRQLDDDDAAWKVYLADHGFQAEWGDNVTKDPA GVVMDQRSS PFICI_04781 MSRSNPTEDVDDLESAQTPFLSNSERKENHSDHEQISTKGEEKC ISRRWLEHQTIFHSIVYILAIWGLVSLAWQAAGTISAGSSFSRNSSNSQPEYDVYRPE TLAPGLNECDCGPTTADALARDCKYDSLSTAWLPPYCRDDELTAEFDRSGPGPDGSWG YFADEAGTIPLSADEVAALGETGGSFWASRDWHVVHCLYYWRKYARMRHTGAVMEARF DNEAHVEHCTRLIRKPAPDYFFLIEVPVMMNSSDHHHRAEGS PFICI_04782 MTADTPGRVSSARKRRSMSGNLRSSAGGTGIPRAQSSLRVSRVS SYRANTQPQYDLVSGEDAPNHQNSRNGPRQAQTAAGSARASSHESFKENMAPPDAEHY ETQRKQIEELKAELATERFKSNTSVQETEMKLLQYQNDVRDAQRKADEDFKQRQAAEA EYHRLQRQIESVQTESTEYKESWSREKLALEKKARDAEDEARLLREQLEELSAAKDES ERINQKRYMDIQSQLTSQNQSIDEIEKDSQAREALLQQTQEQLAAKDKLVGDLEADVL RLKAQTGDADTIAVIKRELAEQVAHIRSLEAKTSKQHAELSHLRQIHKAVEVVEEEKR SLQRKLEAALSLEAELAEAKIQRKRLEDERLAWSSYLQDASENDGIMEFDSPEAVARA LVQERYNSASLLEKLGEVQPQIAERDEIIRSLENDKLGLADEIEKLQTAAVPLSADKA RARSERQLSLVTKEVEHLRAQLKTFDAEDETFEPEKVDHDRAERISQLERLLDQYKSE IQNLHAELSSVEASASQKTPEPRVGNKRKSTEDPEAEQIGELNRKRRQLADDLSKAQS ELQVLQKDKLVLKSRLRAAEAQNKTRVLSLRSNPTSDWEAIKMRDITALKEENKQLLA KLQGVSRNSSIPVIPATQLAAAKREVEEALREKASAEKKVLRLKQVWAAKSNEFKEAI FSTLGWKVTFIPNGKMRVESVFYQSQTEENENSIVFDGERGTMKVSGGPQSPFAKKIQ DQIQFWVREKGCIPGFLAALTLEFCENANDEPPKNGGS PFICI_04783 MAFAAMRHAASAGARGGQSITMLRAIGANPVTGSAGAAMRLSLP MTSSLYRPLLASTPCAHSRTFASTSIKFAEGGDQQSNLAKKAQQEEETKTDAVTNASK SADESGKQKPASSSSPPAYDESEEPPHYDWEEEANFNIAKFKELPYTNFGVNQHVVID REFKECLRQIPWKFRAPIMYAFAYGSGVFPQSKGTGTATEAEIRAVHPKAPMAVQKAQ NGSPKMIDFIFGVTHTQHWHSLNMMQHRDHYSGLASLGSGAVSAVQDKWGAGVYFNTY VTVDGILVKYGVVNMETLKRDLREWDTLYLSGRLHKPVKILRDNPAVRMANQINLLSA LRTALLLLPGSFTERELYSTIAGISYLGDPRMAFPTENPRKVANIVDHNMQNFRALYA PLIDSLPNVEFDDPSCKKDNWIWDTKDVLKLRQDMDPVKRGNMVRRLPKAFRSKLYFE YQKKFQIPQLEFNKMMEESKNDDTTSFKRQQGGGFEQRIAQDDPEELRKIVRQVIKKT INWPSTSQTLKGPFTAGISKTIRYMSEKMAKHKEGKQPPKTGDKDKKD PFICI_04784 MLTFDLSRLWLAALGFVVAYVTQSTARWLHHGYKLRKTVRDMQA KGAPTLPHSWIFGHLIFMGEFRKDHPEDANIYNMQCWFMDNVARFFPGEETIPPVIYL DLWPLLNSPMIMTTHPAVSAQYTQLKSLPKSRTSTVYLTPLTANKDIVSVEGDEWKHW RSRLNPGFSPRNITALLPELVEEMLVFIDGLKKLAGKDGTWGEVFQFEERTMNLTFDI IIRASLDTRLHQQTLGTDTPLKSALLAQLEQMGRMANPARGFLHAFNPFGSFTIAENN KVMRDFFVPNIQKSIQSESRSSGKRTIVDLALKQFNVEADSKAQAKPDEEFINILLSN LKAFLFAGHDTTATTLCWMFKSLQDNPNCLEKLRAEHTAVLGPDVDQAHEVILKSPHL LYALPYTLAVIKETLRLYPLAATVREGRADFFLTAPGSPFKYPTDGFALWDGVPAIQS RADLWVKGNEFFPERWLATEGDTLYPPKDAWRPFSMGPRNCIGLELALVELRLVAVMM ARKFDVQEAWHKWDAKKGNTGSKPMVKGERLYRVGTGTVHPKDGMPVHIRVRQ PFICI_04785 MAHHTAHHHRYFANPEELLTNFEEHKELLASLDRINVFNPPVHT CSTGWEFHGLYTGPTSVAYLFYRLSSVYPGLDLKDQSLLDWAKEYLDLGSRVDHKSPT PSHCGIANEILARLALSAAIEQETSFVEELCAYEPIINSSKDDGSNEWLYGRAGWLYL LRLCRAAFQQSGTKAETTLKLLNKTIDKTVSRILRVPQPWTWHGSQYLGAAHGTLSVI TQVVLSRPTTAPPLQLLLSRVLDRQFESGNFPSSVPGSGHDRLVQFCHGGPGFVLSLR SLLPYFSGSLGDKMRLAIARAQFDVRERGVLRKEPCLCHGVAGNALALDDDESFRVFL SCMATNSMKKLGWMKEKTRNEESASLYTGEAGRAWVWAVAEMNLPRTCIGYNDL PFICI_04786 MATFDTVKEEYNAQAKAYNDEYPSLPYGQLEIQLFGAALGDATG QTVLDLGGGTGLRARQALEAGAASVDVVDISAEMLNVGKDIERELGRDKTRWFEADAS KPLDRLGLLPGQYDIVMANWLFDHAENEESLDGMWKNIDAHLKPGGRFVGIRSGDPRS DALSKTDKYGIRYTNIQDIPGGVSLRFFMGTNPPINFDAKLVESSYSGSTKYHTKYGL EDVQLIPAEEMPSAREDPDFWKVFLDNPPMIAVKARKRVE PFICI_04787 MSDQHFLQMEDGVRLNYTQSGELGKPNLLFLNGWRQTAAQWSSQ VQYFQKDFRVTTYDYRGHGESDKPTSGYTVPVLASDLNTLIHALDLKDITIIGHSLGG QMTWALWKFHPESRGRIIRFVSVDGSPCMLINPSWTEQESKDFGGVFTQGQLEEISNA FDSVMPAVIRGMFTDKTSEQDFAWVWEQITKMPSDAALALFKDLVSRDWREVLPQVKV PTLIIGGEASVLQQDTSRWMHTQIPGSKLHLFGKEKGGHFTFWEFPEAFNKVLDEFVH SG PFICI_04788 MTDKASTPDITRSASPADRSKPSSAEDPDTATTRKEFDNTRISE PNAASAMSALQASSKLSADGDKATLELPVPDSQNDKLKEQLSSPKKKRAHDEVEPNKD PAQDPNGDVSPIGANGSAALSRTDRLEPEKKRHRDISSEIKADAAETKDSAEPKTTST SGNTPDETKAPTEKPTTTSDSAFKSSGLSGFAAASSSPFLAAGAKPLTSFASASASPS PFGASASSKSNTPSVFGSGSAANGSSPFGQLGAASKPFGGSAFGGGFTSTFGSSKLTS FAQPGGSLKSSKPAKAFGAPDSDPDSDGEDEADDAASTTQGDADKQDKDEKEGESDTK KTKLQRVTVDNGEAGEATILQLRAKIFHLDKGATAWKERGAGNLKVNVPIQCIDTDDN GLPIPGSFDASSLEDGDVKSVRLIMRQDSTHKVILNTTLIPAMTFQEKPMNKTVCVLF TAIEGAGEAISVQLKMNPANAKSFLNEVGKIQRELQSN PFICI_04789 MSSDTAAVSSLAQLPLAVSAVVVGLLSLLYLAYQWALPKPLPGI PYNKAAVKSILGDVVEVRELQKKGGRPRAWLGEQPWRHNSPLVQVFLAPLAKPILILS DFREAQDILLRRGKEFDRGGRNLDALGGVIPNHHIGMQTSSPRFKANRELVKDLMTPN FLHTVCKKKQKKSALNVHIEKHKLIWLQVSAPEIHRNTLKFIDLWKLKAEKANGRPFR ADEDIHVMTFDIIKIVALGEGDSQSMTELYHDIVRKTDLEGQVPDSKDAPVHFPDHLP NEDLRSHQVQQDAVGQSISAPLPGLFHKMNNRKPHMQEAYATKARMLEKQIGLAIKRA EAGEDVKSALDHMVKREINAAKKAGRAPVFDSPYMKDELYGYIGAGHETTATSFQWGL KHMAIHRDIQHKLRAALRATYADAAAAQRSPTVAEITKAQVPYLEAVMEEILRLTGPV LGVFRQAQVDTTLLGHRVPKGTQVFMPTMGASVARPSFAVDEAVRSESSRSHTEKRGD WDDLDPEAFVPERWLKTEDDDETLQSSFDSQAGPFLTFSNGVRGCFGRRLAYLELRIL MTLLFWNLELGSLPEELNTFEAVDSLTTKPAKCFVRISEAKY PFICI_04790 MSSSSPDLRVVVTGHTQDGTSIFTSDTTVQPIHHFGPAGPSFAV LDAQSSVPASNQTPGPDLAGSLPRCPPEGVLFSVVTYPPGFSAPAPHRTTTRDYGVVL AGEIVLELEGGGGEEGKQQGERKTLRQGDFLIQGGASHCWSNRSSEDCRVAFVMVGAD KVRTAGGNELEETVFQKKG PFICI_04791 MASLLGKLNPFGSSTHENSLDDVGGSIDDYTIAGGGHGARSTAL TRHELRVSHALKSFLVDRGVVAEAEAGLDVDGPGPVLDALLDLPHINVPPEVTDRSYP LAEYFISSSHNTYLLAHQLTGKSSAEAYRTALNTGARCVEIDAWDDEDDKEEPKVTHG YTLVSHIPFRSVCETIRDVVDKEAADAKDQQGYRAAPILLSLENHCSQHGQERLVAIM KEVWGDRLLSKAVREKGHEEQEGHDAQVRLDDLGSKIVLIVEYHFTDEKDTSSSDSSS DEEEEETERQARHDYKAQKKAAPKSGIIPELAELGVYAQSVKPVDNSWFEEPQMKNGP HDHLINVSEVQLASHMPGNNEKIAIHNSKHLMRVFPKGTRISSTNLKPATFWAIGAQI CALNWQSFGTSLQLNEALFSGSDGYVLKPAPLRAGGNGRLNTGKKIKLRLEVAGATDV PLLDGRTADDIKPYLTCTLFHPDDMGEELAKHRTKHYRQHTLGLLHRGENPPANEPLW DETLEWEYEENELVFLRMLVKSDESFSINPIFAVSAVRLAYVAKDEWRFVRMLDPHGK ETKCTVLLKFSFEEVHRDTALGETQF PFICI_04792 MPGLIESSNMEHMSLQDRRQRRLEHLGTEWGLDMSPLPSPPVRP GHPPSVATQNDYAFAEDLLRHQRAAEQAQQTKGGLSRAFTTKKKTWEYKEIYSALVNH VANRGPPGVAEALVNMLSQVGGNVNLAQKTTRPSLLSRRKSLDLAERSQVLQKAVANR HKEMVQVLLPFADALSLDTALPIAMRNQDFEIVEMLIQYGANAAHTAEGQDAFRAACG TGNQAEMIAMILSSDGRPDETWISQSMVEAARAGCLDTVLALSQSTADGNYDAAAALK AAVSLGRRDIALALILGCKPPGQIGLNEAFDQLMAHQNITPNEKLAMVEVLLCAGAGG DPVARALVQASATDFIEMVHMLVSYGASIDHQDARALRKAVSAGRVDLVDIMLSGDTQ LSPIHASEAVSLMPQQIRFEDRYALLKLLLQKGAAGLPLDEALINVTELGDVEAAKLL LTPIFPGGKIVGNKDLKRGPRSMVFEGHAVASTDHKGGLALQLAVKNRIIPIAKLILT AEKRPSNEAMAEVYPSVRTLPRLERYQMTEAFLAAGLSGPCVHSALQNAIDETPPHRD ERLIALLLRSNADVNFNEGAGITAAIAQKDVSLLHRLLKSNPTPQIAAKALPRAMEVQ DPVKRGQMVGMLIDAGASQGGPEISTALATLLQSTSVDKNLVVTLMQRGRADINANNG SALVYAVQSTDPELLQMVLDLSQPTQETLHIAMIALGRFASIPTKAEKLDMLLKRVSS KDSLSQLLIDEVQSVLRTPPSQRVLSVLKTLLANGANVNAKDGEALQRAVGAANGPMV DLLFSAKPNPRALAWAMPHALRIKDAMDRIAFAQKIIQGGIASSEVNRALMFAVQTYP DDIPLINLLLSHADAKDGVALIKAIEMEKQDIVDLILNKKKRSFSVDILNKAFTHATK ARDQRARSMSASSLLQAGASGEVVSDALLAAATDGDLDFGTILVRNGGSVEHKDGQAI IEACRSGSADVLGMLLSGDVNISQQTLQKGFQAATEISDLAVRAAILKLLLQHGVTGD VVDMQLVSAERFGDNGSNLVRLLLVYGASPDYNEGEAIVKATKSAFLDNLKMLLCIEE VGGKQKKPSSHTLLRAMDACWSLSRDTRFLVLEWIFQAGKPVPNAVHLALTKAVNEEN PEERIIQLLVANRASPTANGCQTLIDATRTLSASQFSQLLESKITPEEASLAFGKAFV PAHAQSWLSDRGLEIARCLLRKGASGDAVGSALVAVLGAHAESPGDIADNFVELLLKY DADINYNHGEGLRNVASQGNPVLLRRLLQEKPNSESLTLAFPQVFDAHAREDEVLELV ELFVEYHDDGNQIDTMFADPESEPVIIRALSQFPRSTKLLQALLDIGYYHDQMTSARV LPEIDEEEQVNLITWTLLQPQKKISTGVIEMLIDRGAKVNYETRLSRISPLMLAIQTR RPDLVKSLLLAGAEVDVCDAMGRSPLSMASAIGGDLAISMMSNLLAAGASRNDGSLHN AARELNLQAMQILMEYKHDPDFPSPSPEHNGRSALGELCLHAADSGEITAMREKAMEK CINFLLQESDITIQSEGKSVLLLALESKDPITTTKVLLRADMWKFINKPFNQFTDGKY TYSPTQYVSRVLPQTDATPELLKLLKANRGADVYYATSGDQPEDAVGMPVFLQDQEAE RQARLQRQRREDEEHLLAINRSKELAAVQAQIWANQAELEDARRKRSHQSDLSAIQDK ARLEDELFARTLAQQRAKQSAEVSHQQALTEASRRRVQELGDAELAHETQKQQRMLEW ERDLGNERVGNANQLSSIRLREREETERMDFAADERFQKRIKEQKRLVDSQSHLAASL GGGPNARRQIPGPSGYITGEVQ PFICI_04793 MTVGTVLITGGTGYIGSFTSLALLQNGYEVIIVDSLYNSSEVAL DRIELICGKRPKFHKADITSEEELDKVFAQHPEIDSVIHFAALKAVGESGEIPLEYYR VNVSGTVTLLRSMQKHDVTNIVFSSSATVYGDATRFENMIPIPEHCPIGPTNTYGRTK SFVENIIEDFINAQRQNAQKAGKPFEQWNGANLRYFNPAGAHPSGIMGEDPQGVPYNL LPLLGKVATGDRPKLLVFGDDYASKDGTAIRDYIHVVDLARGHLVALNYLREKKPGIK AWNLGSGRGSTVFEMIKAFSKVVGRDLPYDVVPRRQGDVLDLTANPTRANQELNWKTE LTMEDACEDLWRWVSNNPKGYRQEPPPELLAAVKAKTS PFICI_04794 MVGGTPRRSARISHLDGGISLPGAHNDFYGQNSLLGPTRGGSKG PISEDENDRRNNELYNEMFSLSRGIGQNKLPQQDTSPLDTAMANIRNSSRRNKGSRET SLSDEATPSDDDIFIPPVNQRDPVSSYRPFSQEDPWKLRNEVGNRNLYTAGVQNPHQT PYNRKNHPSEPNSLASKWGMAGGRPTPAPLSTRSFVHEDHLFNAANIQSPSIRPPPAG RQQSSKNQGTTETSPPPPPPPAPPAPPAQPIPPAPPAQPVAPAPPVQPVPPVQNPPPP PPPVPPVQNPPPPPPVVDPARVQALRDRLGKNPEREHSAPKPWVTRDDLSDAQKDHVE RFRSRVRLTEGPVVPSPPWKKAS PFICI_04795 MKEVFHRLESIDLDINKIHSTLDALKSGVRPDEDGRQVFWVNKD KNGLLVIPQDYYQAIKQQILKDKDLLKGVTRETWSPLINRLVAAGYLNDLPRGNHLTH EDLSDADINSAWNLWLRQNDAAIVKALGGPLEKSIANLSEKELKVLLAKHNVGDNDGK LVTREEFEELYQNEIKAGYSDKLRELVGQVNEFKDSLTELKAHPPQGLSADAIDKVVD AAVRKYTDALKIEAAAKSGSSAALSHLRSQVNYFSAGSGAIIYSGLTSPIWKSPKPAY KSKQFWDVSGYQPLPPSVALQPWEEEGDCFCAGPRRNGQGVGTANISISISRDIVPRF LVVEHILPGATLDPGARPRDMEIWAQYEDYDLRKTVGAWSQSRWPETYSEQTLPETFV KIAGFTYEEQKMGDGSQIHTLVSELSDMDAAANTYVIRALNNYGADHTCFYRLRLYGD ERPRPE PFICI_04796 MPARTSGHAPNGKRKVKTEDKSLKRKRNQDDLQQLKKAVDEFDP KATEITKFTDLPLSSPTRSGLDASHFQTLTDIQAKAIGPALKGRDILGAAKTGSGKTL AFMVPVLEALFRAQWTEHDGLGALVISPTRELAVQSFEVLRKIGRHHTFSAGLVIGGK SLREEADRLGRMNILVCTPGRMLQHLDQTAGFNVDNLQILVLDEADRIMDMGFQSAVD ALVEHLPKSRQTVLFSATQSRKVSDLARLSLKDPEYISVHEASTSATPSTLSQHYLIT PLPEKLDTLYSFIKSNLKSKIIVFMSSGKQVRFVYESFRHLQPGIPLLHLHGRQKQVA RMEITRRFTSAQYSCLLATDVVARGVDFPSVDWVVQMDAPEDADTYIHRVGRTARYER DGKAILFLDPSEEEGMLKRLEQKKVTVQKVNVRDKKKKQITNSIQDMCFHNPDLKYLG QKAFISYTRSVGLQKDKDIFDLRALDLEGYSASLGLPEMPRVKYQKPEDVKKLKNRSR AELSSGSEYDSDGEKIAKSKKKDEVRTKYDKMFERKNQDVLSEHYSKLRNDVEEDDDF FGVKRVLKDDDLDSAAKDEDVRGKVVPVYGGQELVIDSKRREKLITSKKQMLKYKGKG TRVVFDDDGEAHQLYELQGEDDFKREGSAEAQRRAFVDAETDRVREADVDDKQLAKEK RQEKKIKRKERERLENSGQDMPGKDQPALGTSEDAEQAMDFLRSLPIREDAGGSDGED ERPKKKAKKWFQDDSDDEAEKSNKKGKGKVIEVTDAPNTLEDYEALAQGLLD PFICI_04797 MSTNGAGQHDAEWKPRDMEGEMRTLCTALKYKVDKLLETETSDK VLLDVQKQVRVAKGVIDDALKRYRPDELALSYNGGKDCLVLLILILASLPDHFPPPSK PAPPLSSCGIASLPVLLQAIYIRPKSPFAEVDEFVAQTSKEYHLDLATSDKPMKQALA DYLQEMFEVKAVFVGTRRTDPHGADLIHFQETDGDWPRFMRIHPVIDWHYREVWGFIR ALDIPYCPLYDKGYTSLGGTDDTHPNPVLKSGNEEKSDHFRPAYELIEDHEERLGRN PFICI_04798 MPPKKVIPDEVYVISSIVRDDNPELDGLQPAEVYTTLAAANAAA RKMMIRHAEACNPYGDLDEFEFRHEEKADGCYYGELEGGPHGHVTAEVKVSRAILKQA EADKSATTSAKGRVKKEIKSEPKDDEDEKEEDDDDDAEEEETAPKPTKGKKGPPKTAI NAKTHRKTIPQGEPDCLAGLKLLFTGTFETMDRKTSIATAIKYGAEVITKLEDTDYIV IGTRAGPAKLKKINELELETISEEEFFQILEHGIPEEKKQRMENRRFADEEEGPEESD EDEKPKKRAAAAKAKAAPAKKRARR PFICI_04799 MTVSECCVQGFTWDGTPAGRTGKLASNDTYITGDNPDKAIMIIH DLFGWTFKNLRLLADHYARETGATVYLPDFFGGEVVAPEPIIEERFAELNLPAFLQRN SRAAREPEIFACARALRSEHGFRKIGAAGFCYGGWACLRLAAAEHASAPLVDAISIGH PSLTVEADIDSVNPAIPVQVLAPEFDHAFTAELKVYTFTTLQKLGVTFDYQHFPGATH ACFVRGDEKKAGERAAMIRGKNAAVNWFKEQLE PFICI_04800 MREVLTTRVTAPFRLPDTIEPRHYAIEIEPDLEASTFQGRVSIQ LHVAKPTQYIVLHAVGLNINKARVEPEGGIISHPAVHYNPDQETAYFKLDRKLHAGSQ AILFLQYDGKMAQVGNMGGLTPTPYQFPDGTAKMGFETMFEPTMARTVFPCFDEPALK AQFSVSLVVPSSLTCLSNMDIVSEKFVTSAAVNVKKRVSFDISPKMSTYLVVMVAGYF KMIETNKFRVPVRIWAALDKNIGNAQYALDVAVATMEAHERNFGLKYPLPKLDLVAIP GHQGGMEHWGCVTYQEHGLLLPENPSEYDKILVVSLMTHELAHQWFGNIVTMKFWDSL WLNEAFAEWAALHTVSELLPNYNAWAAFVAMSPDVPRAGGFQTAMELDANIGSHPIQD PNISAGSAFDSITYLKGCSVIRMMAETLGVDVFLQGIRLYLGRYEYSNATTKQLWDAL SEVSGRNVADIMTSWTQNVGFPLLTVNELRPTGEIVVTQHRFLQNGDIEADTAPYPVL IRMREPDSVTTHLMAGESTKIAVNPFKYKLNANTGGFYRVAYPLSRIHKFQVQFAGDY LSIEDKIGIVADLGAIVATGSAMRNLNLADFLNFLFRIKDHVDNLYVWSEVLGQLQKV QAAFMFEGNEVLGVLKTARHRLVAHLIDRGLLTFKSTDSTEETLLKALLFSQLKDHAE VQKRAKKAWENLLSGDKNALNPNVRGRIFETVVYMDDTDETWNQLKSIALKGSYIHSG DPSAPLEAFQSLGVSPQPELIERALDLITPSKSSVESVTLAKRTTPFVLNWSARLKVL RALQTHPNGAIAGWNWLRKNWDSLRRARQGQIGGYDFIEYALGGLATSAQLSEVECFF ADKKDSSFEMLLTQAIDKIRARARFVEADREALLQWARQGGFDVND PFICI_04801 MSQPFHAGGAANFHLNDPVGLLKTLAYRWSELDITHLGSIVALA GIAPSAWRFARSAWSEVYSWIRRFFIASVSIPGRDPLNRSVVSWVLTNVIEGRKVRFF TARTESQSGRDDVSSLKKTRRMAQYLPHWETAWFWHEKNLFVVTRSLDSFNAAMCDPG YDGIGGEDLTISCIGRSVDPIKSLIETCRIYADRQAQYFVTIYSRDRYGISWQPKSRK PIRHLDTVHFDNQVKKELLADIRKYLDPKTQKRYRNRSMPYRRGYLLYGPPGTGKSSL STALAGEFGLDLYEVKLPSVPADHDLEQMFQEIPPQCIVLLEDIDAVGMERGDTGDKS DQSSIHSTRSNCTLSGVLNVLDGVGSAEGRIVIMTTNKPEQLDSALVRPGRIDMKVFL GNISQASAKQMFVRMFSPDLDSSDTPLCLADIERLASEFASHIPEDTFTPSQLQGFFQ SNLDSASDAAANASSWVEKELSKRSADEGIEIVRQAQSH PFICI_04802 MVLRPIYDPSNPNMSGYPPSGPPPGYERNESGEHQFDQYRSQWQ GNPDHQARPPYAMASPPPGGGQSSYYGAPYGSPQPPHSPQPGGYYPPQQPQYADHPAS PPPAGQYPHSPGHGYHGSPQGQRPYMGYQPSEPLQSSSPYQAPSQPQYAQSPRPLSSQ GYPPVVKQEFGSPGQVPAQALYPGATPQSEQDRGVMGALAGGAAGAFAGHKVNHGFLG ALGGAFAGHKLQDAYSDHKKHSRPSSRRSSSSSSSSSDDDKHHNKPPPQQQPYYGHAA PPPPPPAAHGGLRGNFSHSASRISLDRDHDLIAECATVHGSRKLTSISLNRLLTNDDG HFRWSREGNFAGSARNIRLVHDGKYLEAELCRRDGSWRTDQICLDERIENSNGDLQML W PFICI_04803 MDPISALGAAAAGAQFVGIAVKTLLGAAKLFQGIKDDPKRAVEL LAWIEEELISMQRLLHPDSPVFASLTTAQYVQVAPCAINARKALDKVKLVLSPLVDDI GRLKDRDDLGKKIMLLWKSLFTMKMMKDIESNLDTIRMLNATLLRELQICGFETQSLL REQSAQVFSIVVELSKRDGELLRSMEQFSTLQRQTLEETRLSKDALVHTLHALREAEN DRYQELLCVQMTQFQAMQSMFRPQIDTTETLSKVGTDNKSSSNDLDSGITSKQIVKRK SKIQSSKSLRCKCYRNKFSSVHTFRILAFRTDWQPVQERPDILSPASWEPGALLTRNY KAKSVFFQLVWNGKKAKDRLAGVVRGIEEAVCSGVASYSDMDERGCTLLTELTLLFAY LGEVIFDVDYEMLQLFQMAFSSKLDPTTRFSEFSDGRSYGVLFHATPHLTLGATIVNY ASSMGKISASLYEAFLEYEGLAESSSGIAGNAHLWKAITSDPSLSEALGYSDLSLAVI SRSMERLEKCVYLSSGDRSSDHQTYSPLQLALGWPEGLQLLIDNDYGNVFRAFQLACQ FRDIKSAATILSSKDVTLFTARPYWDNLDAILPPRKVPLHYFRYCGDDEADIAFKNDL EKSRDDEWHATLEEAGYKEWNFQDVIWDHCTKVLLKAHAYRQRVAAWKRHGLVSKPRF GRLQPMKFSQKQPEEE PFICI_04804 MDRPTPADTDIPGGFPETPASILPSSATSTTHDGPQDHVTNVSA AGVQHDEDEAKTPTQNGKASGSYFATATTGPFDTKTSEEPAAPLSSRSSSTPASEKTL ATPADELNQHGAEKSQHTDKGVVGDETLEADPQFEEMKTGTESRPPLGPTRSTIRSEE DLFRVLSRRRTTGADSAAEEDHEIERLMSRLFGQKRQQQSEEEKTRHSGVVFRDLTVK GVGLGASLQPTVGDIFLGLPRFLKNLVTKGPKAATGKPPVRDLISHFDGCVRPGEILL VLGRPGSGCTTFLKAFCNQRAGFQDVLGDVTYGGTDAAKMAKDYRGEIIYNPEDDLHY ATLSVKRTLTFALRTRTPGKESRLEGESREDYVNEFLRVAAKLLWIEHTMDTKVGNEY IRGVSGGERKRVSIAEALITRASVQGWDNSSKGLDASTAVEYVQSLRTLTNMAQVSTA VSLYQAGESLYDLVDKVLLIDQGQCLYYGSSDHAKQYFLDLGFDCPDRWTTADFLTSV TDPFERSIRPGWEDRIPRSAEDFAAVYKKSDAYKRNLEDIDDFESHLQEQMRARAENQ SKKNKKKNYTIPFHQQVVALAQRQLMVTLGDKASLIGKWGGIIFQGLIVGSLFYNLPD NTNGAFTRGGVLFFILLFNALLALAEQTAAFESKPILLKHKNFSFYRPSAYAVAQTLV DVPLVAIQVILFDVIIYWMAGLAATASQFFISLLILWTVTMVTYSFFRAISAIFKTLD DATKVTGVAIQILVVYTGYLIPPSSMRPWFGWLRWINWIQYGFECLMANEFYDLEMPC VTPYLVPQGPDATPEYQSCALAGSSPGSTTVSGSAYIEESFSYSRGHLWRNFGFLWAF FAFFLAISMIGMELMKPNAGGAAVTVYKRGQVPKSIQKSIETGGRKNNGGDEESGLTT QSDVARDHAKEQDEKDQQAMRDVAGNETIFTFQNVNYTIPYQGGERKLLDQVQGFVRP GKLTALMGASGAGKTTLLNTLAQRINFGVITGDFLVDGRPLPKSFQRATGFAEQMDVH EPTATVREALQFSALLRQPREVSKEEKYAYCETIIELLEMQDIAGATIGNVGEGLNQE QRKRLTIGVELASKPELLMFLDEPTSGLDSGAAFNIVRFLRKLADAGQAILCTIHQPS AVLFEHFDELILLKSGGRVVYAGELGKDSQKMIQYFETNGGPKCPPDENPAEWMLEVI GAGNPDYKGQDWGDVWLGSNNYEEQSREIASMNEKRRNVEHSKNVQDDREYAMPLTTQ TMAVVNRSFISYWRTPNYIMGKLILHIFTGLFNTFTFYKLGNANIDMQSRLFSIFMTL TISPPLIQQLQPVFLHSRSIFQSRENNAKIYSWVAWTTGAVLVEIPYAIVAGGIYFNC WWWGIAGYKMSSFASGFTFLCVILFELYYVGFGQAIAAFSPNELLASLLVPIFFLFVV SFCGVVVPAAQLPTFWREWMYWLTPFHYLLEAFLGVAIHDQPVRCDTGEFATFSAPPG QTCESYVQPYIEQAGGYVQTAADGLCQFCQYANGDEFGSSFSVYYSHIWRDFGIVCGF IVFNYAVVYFATFLRFKGRNPLKGIMGRMKQKKQQS PFICI_04805 MASYKSKKSDSGFGDDAASGSTQPTDLTPDHPLAKKGYEIGTAA NLEVGDVFEFLWADSNEFEWEWKCLGYVRFIVLRHSDTFPHHAVCIPISVGSKHNFAK PGIDSSQQGFIFDDNSPDQWHEKLAERNRLYYPPVGIELARGKFRVKEDSRANYADII EIDHDAQVMVIGTVARYFDRLRRSVNKAVMRNILKRALTEYKAKRDKQNAPPPKIEPS VVGAGAPLEDDYQEEEEEEEADPSVDEANYATATAALPMDIPTPRDQPSMRSIRSSAE ERRAERRASSSTRRLPTSRNGRKTAAEEASEQLQGKHDPNRVSQGGQSDYDLRSLHSV AMKGIKY PFICI_04806 MLPTVQVLLGATALLARLVVASSSHQEDGLPSYHYGAAIPVECM KRNIETGEHIQNEKDEIEWMPFPVCEETAKPLQFHYGVETEQNCTVPMIDDPFFHLLE FYIHNDAPLACRLPARPAPQVETVGAKPYEQEYIPLVFALAGTLQLSHLHISTHLNVL LHSTPKHHLHPHDSGVLDSGAAYSTSPLSHMQGSQTKRLVIGDPLPFSFSVRWFPTPA LPKTEGKVEWQGMGGHIYFSTVFYGLVSFIAGALASTMYFFGVVMPKKLKGRGLGGAT PLGYGMNGKGVGNGWGIAKRID PFICI_04807 MASTQQRSWLDKVYLVYFVLHIPILFLVDLVPFYPRSLWATSDA PLSFLGDLRVYYLETYKDQFFAPPPAPIPSFFPLFAVLELVFHLPVSVWAVRRLWSSG GPGLDGRAELLLLVYGIETVLTTGICMYEAWLWDEALISLSEKAVLLGGLYGSYFALA AVLSVDMYHRIWKRFDDVDAKKKTQ PFICI_04808 MALAYPEGECFFRRSALNSSNKEYYQADRPDHFYGHFLLEFIWN KPSHTGSDAREKRTHPRTSYQLIDETLILPMGGFRVGPFIGAWKQLPIVYGSHYSKGQ HRRVQHNLCTSSGRLQKQMDGDPLESWFMTMPMPKREEGWAFIDRVTNIRAIYIPIAQ QASEDHPELCTFYQVNFTVADDKVRMLHHSGSASNPTVDWRDVPLNKTVGLSDWWSLT VGINFNGLVELLSLPRQLRRQNAFSSGNIMMIDKDLVASPPIEHSYSDSADFDWTTIS GANHGLPIQWKPTIKKQDWLENFLKNSLTVAVGFIPGIGPIAAIAFPLAWTAIADPDN FVDTMRNVCPGVDLQLKLVELLSSIGENSSKNVQQYLPEGWEESALGSKFLSGPRPTT TMRMAALTSEPAADEDVEASNPEPESFVSREETSIIDELKALDGQDFEAALAALASDG RAAEDIAVFTADTTKSLVATTDDVRLEDEIDNEQLADTVTLNEFGPSMYFTMTEQILK DTAVPQEDSEWAQLVKAAETVVGTVTSPLTNTLGSVLGGGKKAETEPVEDDPTVTDAP VNDFGWSEKYLRSLFTGEIAIEGKM PFICI_04809 MDNAGHVLEIVHNVYARANDTSTDAEDETRPAVFKVVGISLAIA SGAFIGTSFVLKKVGLLKANEKYQEVAGEGYGYLKNFYWWSGMTLMIVGEICNFVAYA FTDAILVTPLGALSVVITTVLSAIFLKERLSMVGKVACFLCIVGSVVIVMNAPEESSV ANIQEMQKFVITPGFLSYTGVILVGSAITAFYCGPRWGKKNMLVYISICSWVGGLSVV ATQGLGAAIVAQIQGTSGGQFTHWFIYVLLVFVIGTLLTEIIFLNKALNLFNAAMVTP TYYVYFTSTTIITSAVLFQGFKGTASSIITVVMGFLVICSGVVLLQLSKSAKDVPDTA IFKGDLDQIQTIAEQEQPETEPKADAIRGTAAIVRRISMARKKMEFKELERLHQEKEA QRLETLSEDGQAQFEWDGLRRRRTTTMMSQRSRPTTEPSPNPFTHPQGIPFTPPPRTP HPPLGMSQFPSDDDDMDVERQSSPGLLSSIAGTIRSRARSVILPGHPDFRGGSSDGRV QSPMHPVQLSNINVPGQKTSGDETPYGTTQEHVYGLPDSLRRQDTGYGGAAAATGGNS RHIQFDNPVASASTGSLAVPPTPPPHRGDGHSARRQFSFQNPFRRQAADGAQDQEVRP PSSTHRPGSRMGMGSRGYSNPKVKDATEEERLGLVTGDSATLPTLARNNDDYYDDDED DPEQYLEEKRAQRYGRGITSSSPPRRGSDDKAEEAADYEANRSRWKERSRQGTPKSSP PRQSPPRPAPGRRDPPPGSNGAFI PFICI_04810 MKATFITSSVLFACLACALPVAPAAQNEVSVRSAAKAEAWDYGT AEKRGSNSTTLPSHSQPNQAWDYGKRGDKVDTDEAWDYGKRGEHKKVDTDEAWDYGKR GERGGDHGNHKPGGGDEGWDYGKRSDDSSVNTDEAWDYGKRSSDSTVDTDEAWDYGKR ENHHKVNTDEGWDYGKRSDSTVDTDEAWDYGKKRSDSSADSGDGDEGWDYGKKA PFICI_04811 MPGPSSFPPKPEKKNQASWRPTPRVRESHSHPSDGGRSRATDDN SFSSIQIITKSSTHPSAQNTYNAERPPNRRDSTAALILGRLCGAHVSLPAVGRVGWDC NCFLEVMAAERYPTIKVEQQATIIPVWPSIEQDATVKSPKSDPGAGEADGSSPVTTFT TTSPSPVAAGDNAAMPRSVTLAAPAKFRGQHPPGLWESLKQEIRHLYIDEELPLKEVR EIMMRKGFVATEKMYKDKFAKWGFNKNNRKKDVAKIVSHQKQRKVAGKSTVYYRNGKV VNLESYIKRAKLSPEDLLEAAEEENLPSYVRSRTPPPQSANPKPDYIQPLGYYNVRDL LFKCFRHLGARADSVLDKDSALAAYTNYPTTDLYFTIRNLRDAAWLFKEDRTREGGVL VRRAFDHLHVLVEDPNPWALCDLLMFQMGVLQYGHQTLIWKFLADYAATGKGPLEWLH NVFKALHEFFENYPIETCLEFLAEQVGVIYPLMVVQGTKKNMQLFLQGVVNSKSRHHY HIQPVRRFTLAIESQSLPRAKDVLEPENPLFRFHELFTIGLETGWKDERCLSLATELY KSETDLNSRRLSLRALAYYHMGRCRPESPAMAAANPRYGYAKQYMQQALDLAPHATFG GLSGGALREIWEDSKLLEQWHLEDGDTAAAQALVQNRQDALTRFIGSLDIPARASSPA WSAATAQSPAAWSSGQSPVPWSPGQSPVAWSSAAPSPPPHSPLLS PFICI_04812 MQRNRDPEWATSLKNEGNKLFTAGDYVGAEGLYSKAILADSQNP AIYTNRALARLKLQLWDSTIADCLTCLQLNSESMKAHYYLAQAQVELGNYDEALPTAL KAHALCVATNDKSLGQVTALVLRCKKERWEHMEKRRKRENQALEKELLQNLASAQEEM LQTVDCDGDRQQIRQEFDEKFLMLKKTFDRARDNEHKKRVVPDWMIDEISFNIFVDPV VTKTGKSYERSSILEHLRRSPTDPLTRETLQPWDLRPNLALKQACEEFLVENGWAADW PFICI_04813 MVVVATNKRDLSQSMDTCLTPLDWLMPQVYVSQILCFRTNNHHA FEVLRDGLRGIVQDVPYLLGGVAGQVTPRGSIQLVEPYQTVDDLLSTEDLSESLDYAS LQASHFPPSSLSDPAIRPPGTTPPYPTPAPVLRARLSLVKGGALLCVAVHHGTTDITG IGALLKLWAAHCRARSSAAVQFDRSCYDRSELFRAAVTDPNNSGPLVTPELVHVLDGL EQPKAKIDGAVYVTRILHFSQALLQDLKTIVNEHVRSVQGPIKWVSTSDVLTAILWSA TVWMKGSSDAHDDELADKSKVLCTIGIPVNFRTHLNPALPPNYLGAAFAMTTASATRA DLCVASTETCSTDSASLSQDSVSSLARIATAIRTSLGRVNDASVKDVLRFVAAQPDTT RIKLGPRHNGISLVSWADQGVYELDWGHALGRCDAVRLPRMANKRDPIVLPRVPEMQG VPAGLEVIASYEMEAMERFCRNPLIQRFASVRC PFICI_04814 MGYTSIVSGILMSLALQGTQAASIPRDEILNRASLLDPYTLPSK ATDSFARSLAITLKQSGYTYGPPVAGGPYFPTGVLGLVRVAADGVAISADLAPVTAAA GLDSAESLASAAEYNGLQTLHDYTLLYDGHWKDTLPSGPVPGILTNYTQDLLFSMERL SLSPYQVKRLNPTSDELQFSIDDAVAQNITGLTLQGLFSDGRLFYADYRDQKDLTRTE RYAAACDAYFYIDQTSGDFLPLAIRTNVGENLIYTPNDEPNDWLLAKIMYNVNDFWFA QWNHLASTHEVVQITYLAAIRTLSDDHPVLAILDRITYEVFAIQPLAASLLFNAGGAA DELFPFTGTEAQDYSTKRYSEDGSGRFEANYLETDLESRGLLNSQFGPALKHFPFYED ASVIHDAIHAFMTSFVDSYYQKDSDVTGDTELQAWVTEAQGPAEAIDFPTIQSKSALI DALTHMAHLVSAAHHTINTNELLSASSTLPFHTPSLYQAPPASKGSVDNVATFLPKLD KVETQLGFAALFAREGFAGTNRTLSHMFDDNDMLDRMDDDVRAAAATFYDSMQELSAQ VSSRTFTADGLSQGMPFVWKALDPNVAPFSLTV PFICI_04815 MAFLKNLFRCKLCKSRKHELEARNTANSRVTQELQPLPTDITNP VQPSPPYDATPLSATEIAEAYRRKVDLEMTPPEPTWKRLPLDKKLEEERNPGYRADTW YHVHIGEVINERYQVCTKLGWGDTSTVWLAKDLRNARYVSLKVCANPNDQRLRELDFL LHITRLYSDHPGAQSVATLLDHFRVHRRGYAYLCLVTNVLGPSLAVRVRPEVRQLEID WLKEQLYRLLQAVDFLHSEAHIVHGDIWPPNVLCAIPDRRVLQALDDLEATQPSNRKL DGGRVIYESRAVQLTGEEEPSLLTARAPPVLIDFGNSRLSPDAFDLEHMRFLRESDNM RADEPYQPERDIQWIGRLFVGLLEGRALYKKNMAKEGDCTKNKDLEGELKGEESDLRA LVWRMKNITVPKEKPASAADEEAKEEKDIGPVLTVKGLLRDQIFLSASFHHSW PFICI_04816 MSPPTPGRDWESLPVEISLSILKELSDLTALHNYLEASPAAARV FDTYGAEIVEANLASGAVHRYTGPLIRMSAYIRAGVDLPGVSNLKDFQHMGNSETTEH TYKPPHWTRPPLRLDASIVPASVLRGVLVSHYRLERLMVGCLSTYLARFRNMPPPMHV ADEDFVWESEFRGPNKTEFVGAWEQQPAAVPVVKRDEGPPKWCEEQRVLRASWRLQVH EDLKAAAAAGELNWPDSDVCGVQRFETIYLLNPTCAGWFNKNSGTTDWLRQLICLEDE LVYTVMDYVEDMEGVANITAAAALDTLPKTRDYPAPVSCRQHVLEVESYWSEMMTVLE VVHNPDDSHHAYGEVSPLQRVPWDFYRRRGFAFWCEARMQGYGLIDTWPRFAPVTTLP EVKCAPFEWNSLFLAWRSVLTEGELAEANRINEKGQAEELLPTPRMTDRYCSVLRNIT PDVFRAPHVDT PFICI_04817 MAEQHKCFSVPEVRGEIAKWVHVNDQVTSDGGGAIFSSTKSLSM VNKEFRKSSVPILFRSTTLEGHEDKGNHSITPKLQDFARLGRHTGLPDIRDHIRQLPQ SLANAINAIAGCHRRRVLASLWLDLSGLAESTMVAFSFFCKDFKFRIASISFQAPGNE WIAGEFLKCCQKQYLERLSSVGIDASYAQAWRIQGYFTMFNLKYLQINIERAADDVII FNDEHDRLVDHVIKFVPRWFPNLEELDIYGSRAGRCYGFAVEGTFAARVGAIWMHN PFICI_04818 MDFINWDAAGAETGFDLQSPAEGAINPSDDTNMNLVLGDVDGDD FSYWALEHFDSTQIPLDLPMTSGDDTFGPLPVEGSFDPPLPAQMSWEFPEAPCSHCRV GGYECKRIREGKYKGYCTSCVALRCECSFGPKGNHAGSEAGETFPANPWPTMGDRPET SILHEDELAALSNRTINVSQPVMPIDGETQYPSAKPAAKIGARFSRESVRILKNWVST HSRHPYPSEEEKESLQKITGLNKTQITNWLANARRRGKIQPTRSISPHVGMGYAQAMD IPQRRGTPRSMEHMNPLQRWANSPPENEPASVTAIARAVTASSSSAISSSSGRNSPYI SGYSDDGSSRSLCNQSSASSFSNSHGSSGGSFASTFSHQSRGSFGSLPSFARNHRGRR RRRRAPPKRADEAFAQPLKTFQCTFCTETFRTKHDWQRHEKSLHLSLERWVCSPDGAK AVNPLTGVMSCVFCGEANPDDAHIESHNFTACTERTLEERTFYRKDHLRQHLKLVHDV KFLPWAMEQWRVNTPAIRSRCGFCGTVMDSWSIRVDHLAEHFKTGYTMADWKGDWGFD TPVLDMVENSVPPYLIHDERNSALPFTANTNNPSTPRDAYELLKLELTYWAQKQTVDT GRPPTDDELQQEACRIVFSADINSKKSSHATSSWLRDLIKGRNDLTQTAMLQPIRKSS ENELYNLRINGKDNIFENCEFETQLQDFVKARQLLGLTAMDHELQTEACKIIGRIEEK SSSTSEDVANWLVRLILTSTTWLADFRRRAHLPRSEDIVEDSIRGKDPMLIDSTVHNY SRLDRELGEFLQTQRSMGMEPTDADLQLQARLIIYDEADDWNQTAADDAAWLVQFRQR HPPLNTPVTISPTNSSPYSQGLSFIPTLQPAACASSGASTSPGSFLPPPRSMRPGPFF LNDANCYRRLAAHLGRFVASTMSPNNPNCHVPTDEELQHQARWIVYDDDDPWNQTAAD NAEWLQRFKRDNGILQSPGPGLAQDVVQWKVADGGTGFAPPYVCLSPQVTLEPLQENP KIFCREESKPFEPDAATANRFLKTLNQRYAVPAKVFCSRELENGLTEYVKRETAKTGV LPTDEELRDRARQIMSMQKTAADDPTLLEKFKTAMQSMFIPTETQVADLTTTMFPATS TMAAPAFPADVTPLDPLDFSSTLPMPADINLTDEQVNYILGDVDFSSF PFICI_04819 MPLQYDEAPEVAASVSPEVHHPPTGPEFNPYAFHHQQQHIGASP VKPDVSPVQPGGGYVLPAYGTSGYGTPNAPGSYYGHGQPSGYAPIAPPSPGRQSKART ICGCTVVVFILSMIIALLSAAVVGLAAGTGVEASRASDAESSLAALKASATSTAPSST STSDDYSALDRNCSSNPSGTTGDTYASTFFTESQYTIYCNRDTPNAPLSSLFVANLDD CMDACSSWSYYAPSDFPNGTATNQTCSGVSFIPAWTNRTTAVAGTAPGNCYLKPGPQN ETSLYTPNNGQETHAAIIVDS PFICI_04820 MSIEAIHMMCCWLSDDADFRRRHDLKRAIFAILGGQQGNLDDNA LNAIIARLEDDDNLVQYEAISTLTKFTALEARVLHAVETQFLDPEMHVSVRCSAIKLL GKQSRLDDQFVDFLVHELQGGRPQIRIACIEALAHHLNDDVLQSITTRLNDTTEYVRD AALEVLRSQPQRSNDVFQKMIALLDRSEEVFGRRHIVESLGVWPQSEDGIFNVIKTQL DHENNYVRIDVLRALENWSQISDKILDIVAVQLEHHDCYVRSQAIKTLGSRRQLDHSV LDAIKTRARVDNEEALEEAIRALITHSQVDDDTRDIIIARLHDEDASNRSAVLKAVCF WSAPDVEVVDLVVAHLKDDQWNVSVQIDALCALRAWPQLNDTVLCTIADKLGDRDNAV EDQAAMALMNRPQPKGNAMKAIARRLMDKRGSSLNVLRTLLQGLVKWPELDGDVLCAI AGLFGFDKGMAGLDHIICRLFRNQPQPANEVIKAIMVHHEHDSSWNRLEALQALGTWT RLDIDTLLTIATHLEDPDSHVQDAAFRVLIKQESPPFVMLEQHIPSLYRISLRISFGA HVYWTTENGKLCIVMGFRKIVWNDEAEESESRSGTDSSLGLQLRQRAPEWLRDFGLGK RES PFICI_04821 MGPFALVLVVVLVCLLWSSLRIALSISQSQSSDKYPINESATKS PSPRSVRLVQVHPPRGKEIETDIDIIAVHGLDTRSPDTWIWKSKTGDVNWLADNFMLP SRVGSARIFTCDWPANLFEHAAYSQKMFDESARLLLAGIEAQLRQSAPAKTQARPILF VASCLGGIILMKALVMARFNHNNIKTAVRGIVFLATPFRGTSFRDVAALAEPGLRLWA FLRNERVSKLVKEVMPSRELEDLVGDFTTVCRVDGYVECMVTFYETGMSSLPRKIFPW LPDFLSQKKPIVEESSGSLEIIRDRRLPLSRTHVQMNKFPSPNDGDYGVVVGQIERIL EKIREDRYHERADSHIKNQHYATQMLEIERISTKRVSVETCYINLAIIKQPAEGGTQP AEVSLRRQLKSTSREGHGRIKIADLFDKHKNGNNRGSSPRRILIRGQAGVGKSTLCKK FVHDFKEHGLWNALFDRILWIPLRNLKTLANRDCNLEGMFHQEYFSQLDDGEIIAKEF WKYLKASKYEKTLFILDGLDEVYKESGDGSMSKLLGSLLEMPALIVTSRPQISLPVQN FDLELETIGFYPDQIDSYVKTVFAEKPEKVKRLHSVLQQHKLLRDLVRIPIQLDALCY IWDTDESSVSIGSQLQTMTGIYQAIAGSLWTKDILALGKKNNNGDIIEKGELQNLPLR RIEDFVPDEVCLLEGLAFTGMAYDMINFTRTNSMETFDRFSHMNSKFSPDNVLQRTSF LRTSNPSANSPVYHFLHLTFQEYFAARYFVRQWQARKPLILKSDNYSESVECFLRLHK YDASYDIFWRFVAGLLSTNNQTFTLELFQLIGQEPLDLVGPVHQRLLMHCLSEVSGEA KEGAFITERKKLEDKFADWLNLEVIFTNEANYSKRSSQRHL PFICI_04822 MTAKPIFNVPSGATAKVSIIDSTLRISNMKFDYLMGPPVNGFDE MDKLPTWSFLVESSTGHKVLFDLGVPKDKNVFTPSVRKDIEHYGWDLHVDKDVAEILK ENGTDPSDISSVIWSHFHLDHIGDISTFPSSTELVVGPGFKQNFGRGYPTDPESPVRE SYWENRKLREISYDESDLLVLKIGSFRAFDFFGDGSFYLLDTPGHAVGHLAGLARTTR DPDTFIFMGGDLCHHGGELRPTPHDPVPDAVKFPLPGALRAHMSVCPGGGDFRKLNVQ RGRREDEPFFDTQVAADMKQALETIEKTQAADVQDNVFFIFAHDTSISGVVNLFPKPA NDWQAQSWREKTHWGFLRDLCPGVIS PFICI_04823 MNALQKTYNSFSSGMDDENLDKLLKSSDAIMGRPASMLLARAGI DASRTEPFYLLDNACATGTLGSRLQKIVDADVLEKSSVICGDIVEPSLDILKKRIEKD GWVNTKVAIIDAQHSKLPPGSFSHVTIHHGLHIIPQPDLVLEDTLRILQPGGVFALST MSKDNAGWVPDIRSTFAALPFEAALPNPMPMTTNGHSEWADPGGVKRKLTEHGFQDIR VETIRHTQHIDSAEHFFDCFAMMMSWLVNTYWTPEQKAKYQGSLKRRMEDHLREKYDG KGWDLEWTMILATCRTR PFICI_04824 MDGPSMGGGSRSIPIIIITGCNEEGDGACYQSRYINIIPTTGRC VYSITTSGGQRFRPAPEPANDPYRHDGTISSSSHGDCRIVECQYCALCKKHRIASHAL QEKLIGRSGGANSWDPYFHWIMNEQIPLLGPDSPSKGGINWGLCWIQNCHHCKNIGSA STKPANTNRLGCPCKRVGSEFGCECGRSHDPPGTIDLRFLPHNPLYPLTACRRWTMQK FVVRSIRKNPCCWCAEMSKRLAAQFDDSSSDSDNQKVRSQQEVVHNLNLYERIAYILS PFATEFSDFKKEKGYNHNDFFFNLPESAVPVPPPPPAPPLPEKSAATKPRRQRSLSVE EQHDFEQLMFETDPSWGHAIRPNTQPKKRSLWQRITGG PFICI_04825 MAYPQATPMRPVPGAFMHTPAVASRFGANQDPLRRRLFDDASNH PTAGPVTGFGDSGFDAPTAPQQALQPLGQPVAGAGQALATVAAVPPQPPLTKAASYVN GSLAADRQYPELEQYCKQMSSDYNRTTDPAFAPYKVTQVYTLPDRVFEQYERAEVSTS MGLFAELNHAWVTVDNCLYLWDYTHPNPELIGYEDNEHSITGVKLVAPKPGVFVKEIN YMLVISTSADMFLLGVAAQSAANGATTVTLYQTRMQFTLRGTECHVIAGAANGRIFFA GSTDSDIYELYYQQEEKWFSNRTGKINHSATGWSSVVPLAKGPQALLWGSKTTEFIVD IVIDNTRNLLYTLSNISTIRTYHMEGPDKLTKVIEKTKHDCLRDIMHSLSGSTPLLND QTNIVSICPVTANEDAKVHLIAVTNTGCRLFMSAAGASYYVTSPNQVPQSMQLHSIKF PPSNSSRLQRQGRDAFGVPGDFDTQSNSLTITNSGARFAPGYFLASINKDGGPREVLF ASAPDTGRIKNSVHQGLRFYEHSQWLDIGGKPEAIGIISKPFAATSSPLGFGNELAVQ FDEPSCEIAVLTNTGVHIIRRRRLVDMFAAAIRNAAGEDGLNDVYNTFVNSYGRVETV TAALAVACGQGGDQQTGGGRGAVDQTTEDRARSVFVNNGGNPTLPEQDGQQPTVESVK PSSRHGALSLYLGRLVRSVWNARVIAIGTDAVNGLVVDSTIALGRLRTIQEQLERLKA FLEANKSFIHGLSGPADIHRAGNKQEQIAFQGEHQAMHALLVLMSGISEGISFVQMLF DERVADIYTRLDDTSKQQLRDLTYERLFAQESGKELAKLLVKAIVNRNIESGSNVETV ADALRRRCGSFCSPDDVVIFRAQEQVKRASDPTQNPNTARALLGESLKLFQRVAGSLT QTNLESAVEQYLGMRYYAGAIQLCLTVAQEKDRGNAAQAWFKEGKPANDPRTAQFEER KRCYNLIHQILQSLDAASSKEPEMIDGRLTSIAIKRNEAYEVVNSSNDEVFHNDLYEW YVQQGWTDRLLRIESSHVIEFLETLAASDLVHADLLCRYYTMRNLYYQAAKVQADLAK SEFDISIKERLQLLSRAKTNVSVMTNGVGRQELQLLNHEVTELLEVAHIQDDLLERLR ADARIPEERRPEIERTLDGQIQGLTELFNNFADQAGYYDLCLLIYHAADFRNPTTIAQ TWINLINQVHEENEQDWEQYESSPNRNNEEAPPQSYEKLIGVIQEVCHRASNDSFIFP VTTLLPEICRYAIEHAQDNRIGADQNWPVILFLQLGVSHDLLVRILETMFEGQDVPFR GAGRLRVVEWIAYAVHDWARQLARAGRSDRALEPWVSELIAECEAFAQNPPRSQNEGG LPPQELLREVREVKKTVDGLGGLGIGSFRGSMGFL PFICI_04826 MSNFNEIVANVPQSVQLALAGVGALYLGSHVFAYLRFVLNVFVL SGTNLRKYGKKGTWAVVTGASDGLGKEYATQLAAKGFNLVLVSRTQSKLEAIAKELES KHSGLQTKILAMDFSADRDEDYEALKQLIDGLDVGILINNVGQSHSIPVPFLLTEKKE MQDIVTINCLGTLKVTQAVAPGMQSRKKGLILTMGSFGGWAPIPYLATYSGSKAFLQH WSSALAAELKPSNIDVQLCLSHLVTTAMSKIRRPNLIVPSPRPFVKAALGKIGRGGWQ FMPDTYTPWWSHAVMGWAVETFLGVGNRLLRSYNVSTHIDIRNRALRKAAREAKKQ PFICI_04827 MDALKDYLPNGEGYLPWYMFGLSVIAVGNSLQNYLTLHYSRRLY NGQFIANPSLAPRTSTFNPDDATNKLVPATSVNLKPGSTTVDQVTPLAARLFATYTLI SAIVRIYASFHLDKEPVYMMAMWTYLVALGHFVSEGFVFKTFRITGPQLFPLVLASVG TTWMIMQKDFYVQA PFICI_04828 MPDKVLDDISHRRYNPLRGSWLLVSPHRTKRPWQGAQEGPGVID LPEYDPKCYLCPRNSRAGGEQNPDYKENFAFVNDYSAVKEEQADYSAEEAGDDLSSLL LRAEGVKGKCYVLTFSPKHHLTLPDMKPAETLPIINTWTRIYASHLSSKNPLTEVASK LDLLSQKVSSDLLPPSPKEQLRYMQIFENKGAAMGCSNPHPHCQIWTTSTLPEEPAAE SVQMTKYRAEHSGRHLLADYVKLETEKQERVVWQNDAFLVVCPWWAVWPFEVMVIPKR HVRALVDLTADERLQFAEAIHEVTRRYDNLFETSFPYSSGIHQAPLDGTAEEVENSYL HMHFYPPLLRSATVKKFLVGYELMAEPQRDITPEQAAARLRDCGGELYRKKL PFICI_04829 MSHNTLWTLLENPWAFCTTYWNRGDFQGLVESVSFIKGTLPLEH NVWRQLSDYQIPRTINISGPLGIKTVEKILFDQPQLLTTLRDEIDSLDDMEIVYGPGS HSSKWNGLREYFRYRWLSRIPDSGIFYVEDHFPGDRFARETYPDQPDARLKHFDKIPE LRVLIMLTVEQ PFICI_04830 MGVIRKKTATRGGEGGVKYVCDSCSADITSTVRIRCAHPDCKEY DLCVQCFSSGASSGSHQPATHAYKVIEQNSIPIFDPEWGADEELLLLEGCEIYGLGSW ADIADHIGGYRHKDEVRDHYIKTYVESPCFPLPKRCRPSDMELAQEISREDFQARKKR RIEERREAQKNAAPLPPKTKPTASVPSCHEVQGYMPGRLEFETEYANEAEEAVQLMQF DPGDGINPRTGELEPEMELKLTVMDIYNARLTQRVERKKVIFEHNLLDYRANSKLEKN RTKEERDLLNKAKPFARMMNHDDFESLCQGLLDELNLRQAISQLQDWRSLKIGDLRSG EKYESDKATRIQRSIPMGSMDRERLATQQRSKQNIQPEPPSGAALLVAPELPLRLATN GASAQVATNGDSTALTNGHANGHANGVTNGNAPNGTSTIAKNRFVSQPISGVTPLPLS QDTAPDVHLLTPEEVELCKVCRLQPKPYLMIKEQVFKEALKGNGTLKKKQVKEICKLD GQKGGRIFDFFINSGWIGKA PFICI_04831 MSIASHIPQRIAAFMPRQNILIPTLCIVSLVVVWCLRIPSSSLV WTSSNGAQQVPVLHQPPPHSDVDLSDPDAPFVGWPLRRVCDETTWVDGLVYICDNNSG GIGNVRNYIQTCLRYAIEAGATGLVVPKIRKRSEADLADLFTSYLPLSYMFDEEHFRD AWQTYCPRMKLYNDVIDVPNYPKNLDGKPRIEEIAPKDYGDRKGCDWKDQNRHTDRFG DTFRSWLGNVTNATPPSREHPRLIRFKWGVLWDWQIWKDGPEFATTFGHVLKFNSQLQ HLGRTALANMRSFARSQGAPGGEFLGVHLRTENDAMNFWPVYDVQAQGYLRKAQEGKF KSSYLATGNITEAYKFEKQAMEVAKMKVLTKRDLLTGKDLEALNALTWDQQGLVDFIV LLGSTYFVGTMPSSFSVYMTLKRHLKTGGLYTRPYKAGTDGDGYSYLVGRYEQYWEDW LFMFDGMWP PFICI_04832 MSSMITLGSLKRITAPKLAEQLLARAEAGAAAGDSTIAIVDVRD DDHIGGHINGSLHFPSQSLDAMMPTLLRKLEDKETVVFHCALSQQRGPSAALRYMRER ADLVEKASKQGKEEKPQTVYVLDRGFVGWQEVYGTDKRLTEGYRTELWKDGYWG PFICI_04833 MSCFTLPTVDQGKQSHLFRLPIELRQRIYQYVLTPAHVHIERKV DYKLTLSGIRIAGSVRTSNRSTTEDRVDSQRLFHRTQPSPRWNCEKIFVDPSTSFEIN DRETRQDARKALFNIYYCRSQKPGGPSAVPPGYLDHEDCSGDKEQVAFLGSNMLGYLM TCQWAYNDCRAHGITTDSAVAFTPYLSRDVEKLELGSHTLHFSDLQDIVCWTGLVTPQ LSESLSKLSVHVDTMDSPYWSRFCKSYLQPWAPSCFEPWEWEPKDKYADSITFYHNHT THDLAIMNGDQEDRDEIAEALASTWVVPNIQFNSTSGSGSTKFRMSALRGRSGGPLNL RLSFPIFLRRKEPRFNQCLEDPDGEPQGCLDLPIPHSELEHESANFFNHRRWLAERHG EMPITEKLGQYSNSYDPSLEDYTDSMCEMESTLLCQGNLLALVMYKRWFAEHTDETAF LLREQTQREYDRMFADTFLQSRKTRPRNDEILNQIHPQLHFMDNCQGLEQFEMDFYGD AHSPGKVQNIQNDATEIMREGLQERFTGRGWTSSDESLTLESLFWNIGPHPEVNFTGW ADPSGNMTDLNMDQNWRN PFICI_04834 MFEGGYRGLDLGLESDRRRLCMATLGALMGMSLCMAYWEVKSAR LVIAPGARLAMPWLQRLPFAASGRWS PFICI_04835 MTPLLEPTLDANKTSSPSPSAYELPLEVQIIISGTFIWWLSGLF LTMSIGGIRRYVIRLLDRQIRMAGVRHPVAQASMQVYGFATLALAWPVLVCAHVCTDE LRNGDGDDEDDGEELQDFSCGIRVGSQHGESEYVKHQWLIRAHGQTKAKSPYVV PFICI_04836 MAKKDSQKASASSGDSRFANFQSDPRFRLPSKKATKTKIDKRFS RVLKDEDFTKTAKVDRYGRKLKSDNKAKALKNLYEEESEGEDDADSADDDEDVQRELQ KANTKYDPARGGGFSSSESDSESESEDEEDEEEANAAVETSGSMQRLRDEQADVEDGE VTKRFAIVNLDWDYIKSPDLMALMASFVPKGGRINKVSIYPSEFGKERMQREELEGPP KEIFKKKANDGDESSEDSEDDSEDDEEDEEDDENIKKDLIQEGDDQDFDSDALRTYQL DRLRYYYAVVVCSDEATAENIYKAVDGTEYQSSSNFMDLRFIPDDVTFDDEPRDECDS VPSDYRPTEFVTDALQHSKVKLTWDMHPEEAARKENMKRAFSGSRAELEENDMKAYLA SSDSEGDEDEQPEAVAEGEPKLSKKELARQRMREALGLGPDTTAKSSKSEPVGEMEMT FTLNDDSAAKKEGSPDREETTVEKYMRKEREKKARKREKAVAKRDGAIEVEELADDQG ADEEEDLGFDDPFFTTEEPAKVSKTSQRKEERLKKRELKEADAKKNAEEKAHLEKIMG GSAKAGDKGHFDMAEISRAEKQKMKKGKAKKKLQAKGERGGLQEDFAVDTGDDRFKAV FESHEFAIDPSNPKFSTTPGMKQLLDRKKRSYGDDGSASYDKKKRRR PFICI_04837 MDFLHKLGHDVKEALGGGSSEPERRDDEQQQEEFRPQQQEERPP SRPPSSHSNHDDKPAEPPVNTNRYQSFAAPSSGNVKWHVDGASYFWAVSTALEQARES IYILDWWLSPELYLRRPPARNEQYRIDNMLKAAAERGVQVNIIVYKEVTQALTLNSKH TKSHLEELHPNIKVFRHPDHGLDMDGAKADLEAVFQSLSMGSLNAFKLSHAPQDAVKS LYGSAGEVVLYWAHHEKLCVVDRKLVFMGGLDMCFGRWDTNSHPIADAHPGNLDAIVF PGQDYNNARIFDFEGVDNWNHNQLDRTKSSRMGWSDISISLNGPITNSLLDHFVDRWN FIWEQKYKDKDPGKYEKLEFNSGSTRASASLSGDFEGRLAPRVQRHEQQEGASIQLCR SACDWSAGHPTEHSIQNAYIDAIGNAQHFVYIENQFFITATCDEQDPVKNRIGGAIVD RILRAHNAGDNFRVIVLMPAVPAFAGDLHSDGALGTRAIMEFQYNSINRGGHSIMETL QQRGVEDPRRYITFYNLRNYDRINNSETLGRAEERSGVPYEQARRDYDDKVGVGNYPY GEENEDRERYGRDEGGESRFGGGYGNSEYEDGGEERRERRGDDYERYQEAARVTSDDT KDSVCAAYMEGGALQMVGWEGAPEAELDAFVSEELYIHSKVLIADDKVVICGSANLND RSQLGTHDSEIAVVIEDPEPIESTMNGEPFTASKFAASLRRQLFRKHLGLLPHQPVDR PDNNWVAIDQEPLDYDWGSSADQLVEDPMSSEFWDLWTGTARTNTEVFNKAFHPVPSD LVRSWDDYKEVFSKHFLIPGVEYKDDEKEGKVEYGHIVREEFPGGVQEVKEWLGRVRG TLVEMPLDFLIDVKDMAKEGMSLNSFTEDIYT PFICI_04838 MSSSANLLAVLGAVALLPGTVRAGLYPGLSTQNHTCSLQKPVLS CSAGADPAVVDTCCVETYGGLILSTQFWDTYTGRESAGQLLPRDTWTLHGLWPDFCNG SYTQYCDLSRQYDPIPSPNTTTGTSAGTPVPAYTGPSIATFLEPFGKLDLLAYMNKYW VAQNQDNGGFWGHEFSKHATCYSSFDVECYGPQYREHEEVVDFFETALEFYDALPTWQ WLADAGIKPSNGTATTTSSSCSTNGTSPTALSLSDVQDALVAGFGKLPYIGCSGPRYN ATEAGKGSNDTGYTVLTEVWYYHHVLGRVQDGVAEKVHANITGGSVSSCAKTPGALRY YERTTGSDVAY PFICI_04839 MADQAQQPPQQPPPQGGPQGGPQGSPQAGPQGAPQPGPQIQFRG PPGAPPPTPEQIAMMQRQLQEQAQKAGMSVPQFVEHIRRQQMQQMAMRAQQAQQQGAQ GQPPGGPGGPQPGQVVQKGPMPPPQQQQQAQPVQPGPPNPLAIVLANFLRGQSLKPRT VIFNGERKDMFRVKRALRALQSPAYEKLRKKNPGLPEIKDRASLENCFKLLPMSMLAL RVSKVDPHEGHDHGKKKGKRVKGQWTVKIEQQQEAHDDMYYIWFYEGSQVMRKVYAVL ALIAIFICVLYPLWPVFLRQGVYYLSWGFIGLLGLFFAMAIFRVILFGITYFLVSPGL WLYPNLWEDVSFMDSFRPVWAWHETAKPKKKKKSKSTAVNAEASAHFAGVTGQSAPAT ATTTGTSTQIQTAPLGQSAYAAPRVEELADDE PFICI_04840 MKEFSAMIDGAIATLSSAVATCCGWPEDDTSYYGKPPSRPVRIQ LDLEPYPDFHPSSHTVPEKSFVPVYQQPSFTPPLSSKQPMKHRRGPSPTWEQAPGKRH DHVRTALSMKERFFSSSKSKPRRPQISGPTDFRHLTSGAPVPLDYEVTEDFETTRQPR HRQRSFRPLELNIHSNANGRLSSMLPYFGYASPPVTPPGRIMVQSSSSEDSITLKHQQ SSSTMSFRIPRKPTPLGSVFDSPSTDMLPRPAPARLRAQASTEAPAAVMDDLIERVAT AMKERDLLQEQIDDAIERQTLYVKSRPSTPVFNNANMEPMPQVPVLPPDAPSFSERIS IDHPRTAPVRKPMVAPTPPPKSLSRDQPVHGLSLRRVITPPPKSAARPRAQGQLRQPQ SLLSRQVGDQLPPPPLRKKKSFSRVSTWLGFPADVNDKAVFGSVRERSRDRSLPVLRQ GGFYEVRSAPPRKSSFGSDDTVSDWTSDAEEEFDGQTLPTTCSPSSSGAATIRAVDHP VVLGQAPWRQSVVGVAF PFICI_04841 MSNSRDIPRKSSHRGLGDLASSLASSFRASSPLAQEIVARDLAE CSDDDEIDHEGAFDDGLDSGSEEAGPTLYRQPQGIAVGYRQATLLPEPAEVPVVTRAE KKQSRDAERSLLRDNHILPPKHAPEKEPSLPTRLYKKLFSTKVRKSLEDEEQATETSP LLRPTGPSDGGAAIQEHEHLNETWEAAVASGKIQTTWQREAQTIGKYSRSLVVTFLLQ YSVSIASVFAVGHIGKIELGAISLATMTANITCYAPFQGLATSLDTLCSQAYGSGHKH LVGLQVQRMTYFLASLLIPVAILWAFAGDILAYLVPEPESARLAGLYLKIAIAGVPGF ICTESGKRFVNAQGLFQSTTYVLLIVAPINILINWLFVWHFGWGFIGAPIAVAFSQNL IPLLLFLYVVFIDGSQCWGGFSKKALMNWGPMIKLAVPGMIMVVAEWLAFEVLTLASG QFGTTYLAAQSVLVTLSATTFQLPFPISIAASTRVANLIGAKLVGAAKTSAHVAVVAA GLVSIFNVTILSSLRYELPKLLTKDEEVIELVAQIMPLMAIMQIFDAMAAMAHGLLRG IGKQHFGGYANLLSYYVVALPISFGTAFALDWKLEGLWFGVTLGLALVAAVEYWYIYT SDWEQSVREAEHRNATG PFICI_04842 MQRAQQMDEEDDAATTSCCGPLSYANLRRPVEVDDDMMSDWQTQ NTFWAWPFFGPLVFENTTSDARDHCANERTFLSFLRLSVYMAIVSVAIVLSFHLKSQP SQLELRMARPLGFIFWLLSLSCLMVGFCNYMQTVNKYSRKTAIVQTGWRTQSIISLIA LAIVGTCIVLLVVAKIDVE PFICI_04843 MAAAWGKAQRFLLSDEEMGKKDDDHKRSAAPAALPGWHPKRGPQ RRHLKRAIISLLVVVALYLFVHNIPTDLGPIRSSRPHYGEATAPTTKNHADAHPPPAA AAAAAGVASNGAGAANNEPPKFYNLAASLHAIAGTHGGLLVNKNILFAASSLKSAANL LPVACQMGREARNYVHFALMSRSDIDMEELQRINGIDESCQIIFHDARADRAAFMSEQ RLEYAVFRAFHHIFMWMHPQAIIVDGSGWEEYFFTRGTSTHAKATGVTLIDLPYSPQN LMWMTRLDSRSLRAWNQNHIDILIQTTPGTTGSLVRLLRSLSAADFTSSSIPHLTIEL TPDIDPATKQFLQDFQWPPSYIPNPTGAKYISLRHRIPRQTLTEEESSARFLESFWPA DPRMSHVLVLTPQVELAPNFFHYLKYTLLEYRYSEAAQIQHWDARLFGISLEQPLQLL DGNAQFTPPLSRDKKSQQDVSSSFLWQAPTSHAMLFMGEKWIELHDLVSRSLEIQQKS ASTPSLLSQKLISTKYPSWLEYVLRLSKLRGYWVLYPGEETARSLATVHTELQHAPEE YAQTEQPVTLPDKASEEEIERAMAKLKAGPEAVWTSEASLQSLLDAGSLRPFGNLPLV AWDGVKTDLDELDNSAAKYALEFKTQVGRCTAEVAAKTRVDMTTQDLFCLDD PFICI_04844 MGKSRNNRNKGGQRSDPLAKPIKPPTDPELAALREKNILPVLKD LQSSDPKSRTSAANAVANIIQDTKCRKLLLREQVVHIILTETLTDASIESRAAGWEIL KLLTQEEEADFCVHLFRIDILTAIEFAAKQVTESLKAHGGAFNKRSKAEQTLIFNIAE ALAAIISALAEAQDDMLEVIIRNEVIVGFLFNLVTNELVSESARNSSLSCMMTLAEDN RQFVETILGDSQSNIFKHLMAFKAGNGLKAVLACGVLHNVFSAMEWDDANPGRDNSTD ASLIPTLATALEAAPNNAADAADSSPVEILQMALEILASIGTALQEALQKGNKSKGRA GAGGSKGPGDEDMVMDADDDEDDNTSEKAPEEDDDDDDEMDADAMEADMDMVTGADDY PDEAAGLDDLPTLKQLIQKAIPQIVKVSNSSIGDQEAALLVRTHAFNALNNIAWTVSC LDFSGDNNTPVLAAWTPAAQLIWRESIARVLSSDTSDVSLATVVTSLAWAIARALHDK TPLSGDEPNKFMSLYHASLNLPGDAEDPFQGLGVKCIGVLGQLAMDPAPIQLNREVGV FLITVTSKLPETPAADAVEALNQIFDIYGDENLACDKEVFWKDNFLKYLEEIMPKVRT MAKKVDKRSSTELRVRADEASMNLFRFIQYKQKHKPAN PFICI_04845 MSATTTTTETGPITAQNILSLFPEIDTTSVALEGHDEEQIRLMD EVCIVLDENDKPIGTASKKLCHLMTNIDKGLLHRAFSVFLFDDQNRLLLQQRASEKIT FPDMWTNTCCSHPLSIPGETGSDLPESVAGVKRAAQRKLDHELGIKTEQVPFDDFRFL TRIHYKAPSDGKWGEHEIDYILFIKANVDLNINKNEVQDTKYVSPEELKTLFADPKLK FTPWFKLICESMLFEWWSHLDSGIDAYTNEQEIRRM PFICI_04846 MANVQSVQFSSTERQLTSSNGTGPATTMISTVGVVGVGAVAGGG LVGNGNVNAAAAADLGIIMVNKGPPQPQRRRSLVPEKWRDQVRAVGLSEHKEAGLSIA QAFANDELAHYLLDADDMAAISDEARWRLHVDMMKYIVAAHCLSGLVTTIGPDYEGVA LWAPPASNTDDWLTLLRSGSWRLYYLLSAGGRYRYFSELLPRLHQVKHEVMGERDDDC YYLVYIGTKPSGQRRGYARKLIEAMAVRADVENRAMYLESSSERNTAYYRKFGFEVKR DIYLGEAMTGTEDAAGKSPVRLSIMVREPQVMSGKTIPIKLGAGFKGLH PFICI_04847 MSAYGENNYSTSGYGANGGDNAGGFFGGSQGGSQSGGKGFGEET LRPVTIKQIIDANQAYPDGPFRIDDLDVTQVTFVGMVRNASEQTTNITYQIDDGTGII EVKQWLDADKENKPSHELMEYVRVYGRLKSFNNKRHVGAHAVRACTDYNEVSYHLLEA TYVHLSFTKGAPGGNGMTNGDGNAGDDDSMFVDGGNDQKGTDANGEIASKLRMCSQKA QRFFQYLNTSDHGAEGIHIHDIASATKMSIADAQAAADELIGPGMIFTTTDDDTYAVL DY PFICI_04848 MAPPAQSAMMPVIPLTKGSVLFPGSVLRIPVPSSRGDIPALLSG VYTRASKGSRRVDQIPVVCVPLNSPLLSRRGQLLIANDPDKYNDLLAADSANIKKDKL FGYGVTAKITGIQGQNGGEFNLLVQGISRVSVEKITQEHPFFEGKVAAHTDTIPMHVI QSTHYQELFSRLKQLSRELIAYLRVSSLLVASSSSGLNPLLSRRLEQLISSKGPQEGG SLADFMMNLVDASYEDKLQVLSAVDIQTRMQKVIELLERQVDDIKGNIKITTVTSTHI PIQIEDDEHEKNPKKSSSRKPLLGVPQGAGFDLGNLFGGGGPKGGPQPDSDDMQELAK KIEAAKMPPEAAKVAERELGRLKQMMPAQAEYQVIRTYLETLAEIPWSIMTDDPIGVG TLEKARQQLDNDHYGIEKVKKRLLSYLTIVRLMQSANEKVDEQIKQAEQETVDLESSK GEAEEGVQLDPQLEEKIKASGIKVQMLQNKIRTEKAPILLLIGPPGTGKTSIARSIAT ALGRKFHRISLGGVRDEAEIRGHRRTYVAAMPGLIVQGLRKVGVANPVFLLDEIDKLG MANHNGDPSAAMLEVLDPEQNHAFSDHYVNVPIDLSKVLFIATANSMDTIPGPLLDRM ETLEFSGYTTLEKRHIALQHLVPKQIRVNGLTEDQVIFSEEVVSKIIESYTRESGVRN LEREIGSVCRAKAVEFVEANDKRKPETYRAEVTVADLESILGIERFEDEIAEKNCQPG IVTGLVAYSSGGNGGILFIEVLDMPGDGSVELTGNLGEVLKESVKVARSWVRHHAYEL GLTQDPSENIMKNRSLHVHCPSGAVPKDGPSSGMAQAIALISLLSGRPVPSTMAMTGE FQLSGHVKRVGGIKEKLIGAYRAGVKTVLLPAQNEKDAREVPSEVKEGLKIIYVNHVW DAIRQVWPEVQWPQETAFAGIQPRL PFICI_04849 MQAYHRPGERPYVRLGHRRGASDLARFIGDLSWADTETECRLGT RAYPSPPMSGSPPLPPKPFQEAGERGLSSFQATSHDAYRPTLTAQGAGIRGVPIPPIS TQSHLPPPLPAVPGGRPYPPEIIERPEYPVGRPEESQSRPSALPPLSTSQQSHYALPP RQGPMPTTSPYSLPPRPQTADAAAYTSPRSQRKTKGHVASACVPCKRAHLRCDAQRPC SRCLSNGKEDACIDVQHKKRGRPRLREDREARFDSSRFQQHPADPMARRPVSLYAPVS APAVAFDDPLRRSQSYRVLKSQPTDPIAPRYLERGSIGDAHIYPPPLMTPPRPLEPIA FLSVDFEIVRVSNPFVDAIGAGMAQAILGRKLEEIMAPQEKDRVAALQRALQTEQGRK EPNYLPPIFGRQELERIVHSMPLDAEYISRLPLERQDAFTFLTAQRQGRQFSVRVGLA KEDSIYFVVLVLNIQPPLYSHPSPSLHAREVPYPYHQQVLAPQLTPVSASFDMGRPKL GDLREQRDDMMPRRQTISGTQGQAGPSPGVSPNIPSYAPSSGRIEHPAGPSYQIPRSE LPPARPPVQPGYQLPPIRSQGPVPDARPGRVDIGGLIDQPETSRRGP PFICI_04850 MSSQSRDRSLVQTVEEGDGSTKAVRGSPEPLSGNGDGDDGGNST AVIPGSQNSMSESPRSKHLTRAAVRRVQDFQRGHDQPISSTDEILNCSDSLLRGLTPP PWPSDLIPHRKRPRRVEEILDDDSPRSRDKLSVELDEMASDSKKRKVELPYRAKQGEA GPSSRPSDRE PFICI_04851 MEESMEIDSPRGTKRKADEDLLAEATPRRIKALDPDVVNKIAAG EIIVAPVHALKELIENAVDAGSTSLEVLVKEGGLKLLQITDNGHGIEKEDLEILCERF TTSKLKKFEDLGSIATYGFRGEALASISHIAHLQVTTKTKDSNCAWRAHYDSGKLAPA KPGQSAEPKPVAGRNGTQITVEDLFYNVPTRRRAFRSPSEEYNKIIDMVGRYAIHCRG VAFSCKKHGDSGTSIAVQSGTSTVDRIRQIHGGSVANELIEYSVSDDRYGFKAEGLAT NANYHIKKTTLLLFINHRSVESSNIKKAVEQTYSTFLPKNGHPFVYLNLEIDPQRVDV NVHPTKREVNFLNEDEIIQSICENIRDKLAAVDTSRTFLTQTLLPGTVLPSDATQSDV DGATKKSAAKGKASARPYENNLVRTDASLRKITSMFQPANKEESVAEHVDGMEPEYEH SDREAVVCRLTSVRDLRAEVRDDMHHSLTEIFAGHTFVGIVDERRRLAAMQGGVKLYL VDYGRACYEYFYQVGLTDFGNFGTIRFQPSLDLREILSIAAEREKSTAAEGELAEDDD FDVEDVVNTVAEQLIERREMLLEYFSFEVTPDGELLSIPLLAKGYTPSMAKLPQFLLR LGPHVDWNDERGCFDTFLRELASFYVPEQLPPLPGNAEQLEAENIAEEVKARRAHVRR AVEHVFFPAFKARLVATKSLMKGGVLELADLKGLYRVFERC PFICI_04852 MAADIPKDAKDLVQEDKDALDLLASEEKEFAKASTDAEIDRILK AFRLDAYAVLDLNPGVPESDIKNTYRKKSLLIHPDKTRNPQAPDAFDRLKKAQTELMD EKHRARLDESIADARMLLIRENKWTVDSPELKTPEFAARWRIKTREVLIDDEHRRRRQ IKAQMQEEGREQKRQEEEIDERKRKRQHEQDWEATRDQRIDSWRQFAKGKSGGGGGED GGKKKKKKLKPIGQKEWAARGHSKASTQT PFICI_04853 MPRRAASPAQSEAEVDILGSLFTDGADDDKTGFQASHAKDGFGF DAGGILDADEDGDDADGDEAFIALKQAASFRKNSNVKGNSVKKGGGFQAMGLNASMLR AITKKGFKQPTPIQRKAIPLIMERKDVVGMARTGSGKTAAFVIPMIERLKAHSPTVGS RALILSPSRELALQTMKVVKEFGRGTDLKTILLVGGDSMEDQFGDIASNPDIIIATPG RFLHLKIEMNLQLSAMRYVVFDEADRLFEMGFAAQLTEILHALPQNRQTLLFSATLPA SLVEFARAGLQDPSLVRLDAESKVSPNLQSAFFSVKGAEKEGALLHILQDLIKMPTGL PEGVETESDKKSKKRKRGNDAGGGRGKPTEHSTIVFTATKHHVEYLQSLLNEAGFAVS YVYGSLDQTARLEHVENFRQGRSNILVVTDVAARGIDMPMLANVINFDFPPQPKVFVH RVGRTARNDQKGWSYSLVRESDAPYLIDLQLFLGKKLVLGREGEDSKLTDDIVVGSPM RSKVEAHVEWLNKVLLENVDISTLRDVSAKAEKLYMRTRNSASSQSARRAREVVASKA WVELHPVFGKDASAMENSRAEMLARISGYRPPETIFESKLVNGNKVANGTAAEVMRNL RQRVTPRNQVKKAEASKQAKEDSEMEDADADGFGEEDSEVEEAAAAEYDSDSELEVTV GDTKKSGGSDPNSFQDSEVFMSYTPRTINAAEERGYGVHTGGNFVESARDVTMDLTND EGAKAFGLPTRSKMRWDQKSRRYVARDNDEDGSKGARMIRGESGAKIAASFQSGRFDK WKKSNRVQLQRVGEMEKIMPNGPGGQAGGTRYKHKMMKAPKDADKFRDDYHVRKKRVN EAKENRVGRFRDGAGDKREIKGAADVRKARQLKQQRLEKNARPSRRK PFICI_04854 MNYTRKKLTLLFKGHSWPVTFAGIGKDGATARGLAQLVGIQMQT VSTRSYHMTGWIEDASPAAVADRLARIEALLEQQGQQLRQMTSGSTPNSSSAVPFDYT QSSSPVFSKFSENNEIPSFPQEQAETPTFLIPKGHTNLTTTILALPQLREQLGDFPRD YFYRIEENQPLPESLRSSQTDRRAWPPLRQAVVSKLSESYFRNVHSRQPLLNLGEFHL WQSKLLKKQPIADAEAAICLLVYALGAVTTPMAASPDASDGVLGLGFFRPALALILHE YTWNFKPDLVVVQGLLLAGSYFSHLGRPLHSWRMSYLASQRFLQTIELRRSEDADGEY HEAELRVFWQCFQEDCNRAEDLDVIRSGIEPLGDKMPLPHSMDPSDHEETIHLFAEIA IRRLLNRVHSSLYNPESENHFPGMVDPAISKQGLSLQQLLTLSSELDRQLEEWYVSIP DVIRPPRGVEPILNERGRILRIRYYAARHIIHQPFVLYAAAQQSRATSMSSAQTSPGR AAPAPPPSHSPPPTDGLLPQVVVEKCEACIESCVTFLYNMIEQLDQRSCCLWSASQSC LACFLVLLLAESCPQLQHFVPPMRGLQKAAIGKLGKWAIESSSFEAVVAIMKKLVFRE VRSM PFICI_04855 MAAATVASPVTLENHQPAHPTAPGNAESVSQPLPDHVPHIEAFV EKLNQEIPHMLAKPQTYDNVLACFITWEDNNYSQIIESAGELRRLLEDEYGYMTEEVK LESSDDELGSDPLRQFNREISAHVDSIGRKTVPSGKKLGNSLFILYYGEHGDYDSSSR KGSWFNRQIKEPSRLEWDSIELIITLATCDVLFLFDCCHATSIVPREIKCRRRCEILG AYGNVEETSAQVKQFYCGIDIKISATNLVCAWTYIDLIRSDEDLLHPVAHLNPSEVVS TTSKAVRTASTEVVQDPAPPSIFTPSAPPTPPPEPMNRQSTFSAGLAPQRFNAKERMR SGPLIEKPRHQSTVNPPIRQDSWFWAGSEQQENPRIERQLRKRRIKDPKV PFICI_04856 MDYAIGPLDDPWVLRRSRTQTLSWRPPLYTYSNVLEGDHWHAEP NSHPPRRVKRGDIPSFDSTSYDRSALGILGFDVDDGQHSIVEDVDYTKYHERPGDWKE LCHLMCVILVPLTLPAVENLNYYVSYKPGRASWNHYWSPTGAKRDSEALLEYFMTMNH IQEPSQLLPLDARAALFYNLPGLMVHSADYQERYTEYAQRASPRSPKLPSAFYSWDRE QNTLPGLYFSIFYLKKAGKRDGSRLWGTDSKHLQYSRQHLMIQLVEQAVFNLWDPSEI VTIKISHVEFYLEQNIRTLGMVRTWVLRNYKAVGKEEEPLSAEYVQVIDMFDSKIPEM ISKLELLLGSAIASLKEAGDASAERLLRLSTNQLQLGFVSQNTISSATTLVLIFIPLI FVSY PFICI_04857 MSSIIMMLSSTLVTTGLSAYTTTSSTCFILQGTYTNGTSLGFLA VEDYQFGVWASFVGESSRGTKLGIDGITGNLINYDGESVQQGFTASTYWDGINNVAGS IVFDMLYFDNPQSLLDPESNEHIAAVCEVDSNNILACAHRWSPDYQIFSYCPQNDIGL VIGDGSDPRFDCEPIVLRANAAQGCVLPTSTPVSSPTPTRTLTTLPTGTVSPSSQPLC MGGKTQCADGFLVRCDKNVYNDSTKWTLAGGVLDEPIGDLPVTSSLECHQACVNRATC AAWKYFEHSFDWLYCWHTNETIAGNVHFHVSDFASYRFGVRGACT PFICI_04858 MSKVSDVPPTAQSIVVKQTGDPEQLQIISGPVPRPKAGQVLIRN RFAGVNFIDIYMRTGRYPSPAGYPLILGSEGSGTIAEIAGDNPYNFQPGEKVVWIGLG GYAEYTAVNQDKVIHLPDGVSEQDAVASHLTGMTALSLIEEAYPAKKGDVVLVHAAAG GTGLILCQLLKAEGITVIATAGGPDKCALVKEFGAAHVIDYRASSGESWSEQVKTLTG GKGVDAVFDSVGKDTWRDSIAVTKMKGTVVYFGSSSGPIPPLDLSLIREKNLKVIQPT AQHYVSTRESYTYYASKVFEKLQNGTVKIRTPSVYDWKEAAQAHKDLESRKTVGKLLL KI PFICI_04859 MAFRPVEEPKTDLGKYRILSSNAGVRVSPLALGAMSLGTEWANL LGGVTKEDSFKLLDYFVESGGNFIDTANNYQNEESETILGEWAAARGNRDLLFIATKF TNGFRTHDLGTGKTVNYSGNHKKSLMLSVAASLKKLQTTYIDLLYVHWWDWTTSVEEL MDSLHILVEQGKVLYLGISDTPAWVVAAANTYARAHGKTPFSVYQGRWNVIDRDFERD IIPMARHFGMALCPWNVLGGGEIKTKAQLEGDSARYEEQSEYAQKVSEALEKVAAEHG TDSIQQIALAYVMRKARNVFPLVGCRKQSHLEDNIKALSIRLTDDQMQYLESVKPFDL GFPLNFIGDDPREGNRRSDLVQSLIGAKIDHPSPQKPVGY PFICI_04860 MADRYVAAHKSPKGPGDSRPTALQIIQDEGLEGQWSDKTAFITG CSSGIGVESARALYKTGATLFLTARNVAKAKSALGDVAQSPRVTILELDLESLDSVRS CAKDFLSRSSKLNILICNAGVMMPPEGRTKDGFETQFGTNHLSHFLLINLLLPTLISS TTAQFRSRVVVLASIAHRFGEVNFDNYNFEGNYDAMAAYAASKTANVWCANEIERRFK DQGVHAWSVQPGSVLTDLTRHFSDEAKAGFSSDTYLASITKNPDQGAATSIWAATSSA LEGQGGKYLEDCQIIGKWDPKVGQWSPGYGDHTYDEAKAQKLWDLSLKLVNL PFICI_04861 MTCKRVMIFGGNGQTARLLTAGMLAKGWNVTSVIRNQKQAADIL KLGKATPGQIDTVFADLKAIKSVSDARSLISQAQPEIVVFAAGSLSQPCLVDRDAAIR IIEASTETESVKKYLQISFPASRRHRAPWWTDEDHLASREETSSYPDIQRAKLEADEF LVRMIKERSLHGISLRPSWLTNSPATGKVALGETPSVSQITRGDVAAVALEILMRDDV KGWLDVVKGKVPIDEAVELAAKSQIGVLGCEDLSGDV PFICI_04862 MESKVWLITGASSGFGLELTRTASAKGHKVIASSRNPAKTPELV EEIKKNGGTWINLDVSGDNVSKVLSEAWDIYGHIDVLVNNAGFSIHGAFEDLGEADIR TQYETNVFGVFKTMQAVLPRMRERKSGIIVNISSTGGLRALPGVSLYAGSKHALEGMT ESLAAEYAEHGVRLILVEPGPFRTNFLGKNASQARPLSDAFRGTAVAKVLEHLEEPNG KQPGDPKKAAQAIFDFCMGQGKAAELKKPYLRLLLGNPAVKSANDKLDSLRENFSAVE SISRSVDFED PFICI_04863 MHQAPPRFSPPENQALIAVGSATSTTADNSSTSIRANPPTISLP NNNDLNNCNDTHVTRQDDRLVKLFYENLHLAHPILVPSAFYHGQQYPRFLQLVVHLIG SRYLPSEPSQQLKDNVESQLTMSQDRSPSMVQACLLYAIYLDACNDYSKARDAFSKSA QIASELGMHRSSFASSMGSERSVEAESMRRTWWELYVVDVFMNISSKPLTFHCQIATP EVALPCEEALYVRASGIPAPASFLAFRRRVFSAEETIFPSFSYRIDAVMILCRVLVLN QVREPHRDQLQAVENALVSWVNHLPSSKREIIDSYGTVDEMMFQAHIAIAYAAMLLHL PRSELQPLLPPRGDIFWPCTPSELSSTFSRVVHSIKATEASRRVSDFVSICPNMNKHS PLITPALGLCGMIQLATSLSHADDCFDHHCNRVTLILGCLRVAGRTWDSASMTFHRVR TCAAEILSESIDDWSSRLSEKITPGHNINDLRSGNRDLGSLQNDQDAQNQVLLDLSQG FVDSACYDTSLFNSLGDFDLI PFICI_04864 MTTIQPMLLPVHTNLSAMPDLCTKRSYEINRYYGQRFSAVVIGG GAAGIAALGNLLEDGKTDPIAWVDTEFRGGKVACAYDEVPSNTRVSFFISYATAVAAF REVIESTPTPNAFTVLADLPQDQTCSLKYAGDLLRMLSDGLARNKRVQCFRGLVTAVT SKDDDSTWYLGFQADGTQAQQTLHAARVVYCTGSTPTVTTLPGLVEKKPSLLHLDLAL KPSALRKAISPSTRTSVAVIGASHSAILVLMNLVRIVRSSHPLLQIKWFTRSPTLKYA MYQDGKIFHDNTGLKGEAAEFAKEMKLDAETFETSSLRDIVTRVDCSGGATKEAEAYQ RELPGCDLMIQAIGFTPNQLPDMNRKLQYDHQTGQFLDARSRTVVSGLYGAGIAFPER VVDPSGDEEYAVGFFKFMKFLKRVSPQWVLKTDFSLQDQPSILEDISAGSISVAG PFICI_04865 MSIIASSPLPLLGKLESGHAQKKSYTLSDLIIDIKQHLGSSSGI SSSDVDEEHLRKLLQKYQSNCEDWLPFFYNDKSKAYTRNAIENINQKANILLLVWNPG KGSPIHDHANAHCLMKILAGSLTETVYRPCENHASDSRPLEEESARTYGVNEVAYISD QIGLHRVANPSPDHIAVSLHLYTPPNAADYGYHIYNAQTGKPTFVQQAKAHISKD PFICI_04866 MTERTITLLALAVLPWHILSQLTSPTIPVDTGVVLDSQDILGPS FLGSEATPQLLEPKTRFLPEVVGFHQDGGNSRTTDCIGPLGSKPEAGSRSIGISAQFW QNDHHLIARSVCNETQPYFCMAALDPVSLTQLATWAPENQTLFSPYGEVVNGSLVFPT LEGHVFRVQREDSANGTIFRELLDIDLSNILSTGHSPMSTMYDSVGNLWFAATPIPGM GSNDNSSMIGFINPSGAVYTKSVEGQMFENSMAINGRTVYINSGPLTDSGQSNASGYM FAFQADGTAGVETVWTESYSAGSTLKPGGFARGSGSSPTLVGNKYVAITDNADSQVNL VVYRQAEDYEKHSSKGGSSFVCQIPLFKPNASANENAMVSHVDGSTYSVLINNNYGAP AMQNSDVSDNINGDFNSFASLAPGIDRVDISVDGHCTLRWESDIRATSVLSLSTSNGI VYAYTQDDTLALDGQYVWYFTAINFTSGEEIWRARAGAGGNFNNNFSPTQLAPNGMLY QIVTNGIAWLKDAT PFICI_04867 MGSNVASANQSGDPLLVILYLLRGSQGLQFQNCQQEQEATHPSP TMHPPINEARRLMLEVSDTLGSSEIVSSTHAPKSYSPHDEHERELDEWSVRNQMQSLR LLHHYFSSAYRLLSYETATAELWRTTVPEIALTHEYLMHGILALSALHYAHTNSTQRE DHLVISARYQQLALKFFSGNIGAVDDGNCEAYFLLSSIIFLLSTFRIAHSGDSGERPS PETVAQSFVLLQGIKGVLASQSLQRWVVGNPLAVLLSPRPYDQSRHELNSQLQDRICR VRQLAEEDSGFYNDEELRSNYIDAIDLLQITSTWVDPSSSEGRRRVWYWPFNLSQAFL HSLRTRQPLALIVLSIFAQLVSSLEAENWLLDGWSNSVLGMIDETLDRQWRHWLGWSQ EQ PFICI_04868 MHVRLCRGDVDLRAEQHEARNEGGRGGRASIPTTWLSAALGFAS AMLGATVIRFGHLQDPEEDLDTDDILVYPAVGSIAASVLSVFVYLTLQTVATVMKT PFICI_04869 MSLRQRLAVSFVFMVATFACVSSVVRLFYAARFADQDDRSYYAW LVGVWTIPEAGTGILVASLPTTRVFVQHVTQSKLVSNIMASFGVVTSKGARSDERSSL ADTYQKVHESPSHLTDRSAPKIRVERSWRTNFNSGSETVNDTLGNLSEDDMIRPISDN SAYNHELSTLPHKWTPVGHSRDTAA PFICI_04870 MTTTTTTYSSCEHEIVLRGSDSTQPAASFYFPRLLRNFDWNRGF NVHYEKARAESNSWLFSLHPFSKEGQKAFEGWDFPYLAAVSYYGGSYSTFRSICDITN VFFVIDEQTDAEPVDVVKERCEMAMDAILRPEEPRPDGECVIGEATRQCWKRAYPELP LVIIERFQRTWRAYLDSVIRQAENRSRQRILHPEEYLRERVDNIGIWPSVAIGEQCLG LQIPHECMEHPYLEDMRTWCSELVTLQNDLFSYRKERLADDCDYNAITTVIHHLGLDL QEAVRWVEERHEATLQKFLKTREKVIHHDGYPSYGADLDTQIAQYTGVLADWIRGNYE WSWYTKRYWSSEMAQKARQTRIVPLL PFICI_04871 MAKVRRAASALPFIGIAACCLGAMDTLKLIAQQQPFLEAGHITW DGGLKSMPIFDSFYRVAALDEMWRGITVTFSAAYLPMDPVGSWQLFSFLHDLGPMYSV WLLESCRVSNTWTPIYAATFFTFVAQLLGIGNIAPIYYFLHITFAPSALSLKRYAKER RLQTDQTMYLLPLFLGLHTFEVWRAFTAPEAETRQYWVWAWQMSPMWIGLANTILSSV TAGLAGLKSSALASPRLLIAVMCGISTSIWLHTLYSAPFPLSDIFIPDSQIHIDFIRH TRKAMQWDQLSSFGSSFLWLIYLFFDLYSAELVGMDYLLGAALLPLVAIVIGPASAFV IGWYWREQILSSAKTA PFICI_04872 MAATQFEVTPEKQASFPRYLYRQLTATPQVVSDIDLHGKTAIVT GSNCGVGLEVSRQLLDLGISKLILAVRDEDKGRVAAQELLADRDDGTPPETIEVWKLD LSLYASVVSFAERARHELARLDIVVLNAGMVPAKRVVSTSTGHDEIIQVNYISTALLE LLLLPVAKSVRQNQPQPTRITLTLSEVASWARFPVGKDVPILAALDAPGKLADDTNDR MFVSKLLGHYFVHTLAQKVPSSVAVVNAASPGAIYDSQFNREFDRLPSGKVVRAILRR VGNSSAVGARMVTDAAVQHRDDEVHGKFLSFQKIVPMPPIIYTDEGAKISDQLWRETG VELSFAKVAEIVRDVGA PFICI_04873 MALSIPEQKRVISPPCIISVWALLSLRASSTQVCPYEGGYSLRV DAAVCPSLAPQLCGSGAQPRCCPQGVDCGGVDPEFGNWCCQSGSNRSQDAMDMPKCSD PDWILYGKGGLVNSGAWCCTTGYKGLIQEDNAVGCTAESQTVLSSGWAFAPLVQTISC VSATASATTSISITQSQTATASLATASSTSVSNYQGNSQLKPGEIAGVTIGAVGLLAL IGLVFVLWKRKQTPKTISPETADRSKSPQTENIAAGTSDAPPVSVAPPELGSDPLPPR ELGNGERRPVAHELPHSNQY PFICI_04874 MGSFAVPQLIFGCGGLGNEFIGADSVKELLGVLKELGISRLDTA GLYPPTDIGASQRLIGETGAAGLGFTIDTKVLISMKGFAGTLEPAKIESSIETSISDL RLGDGQRIGTYYAHAPDVTTPLKDQAKGFDAQYRKGRFDKLGLCNYPLEMLAEYIEIC EREGYVKPSVFQGCYNIIDRRHEGATMDLVRKHNITFVAHSPNASGFLHGKLTSGQVE GTRFAPGNIMSIDARRYDTEKHHEVIRFLDNTLEPYGISKTDASLRWLAFHSQLTADD FIIFGASKLPQVKQNVAAVAQGPLPDDVVQAIDSVWRTLKA PFICI_04875 MQGRSAHSVQLSLHFIAVPLDEDIAPSVRGNLWARFYFKVDNGK SGGSAAFMGFEGPPSISDAVIPRRTSLLPDFALARARIHECSQRHSSCPDLKPRPLPT RVIDVGEDIRHGSRLVETRGELGQYLALSHCWGNIQPRPLMTTTENISAMTTRIPFER LGKTFQDAMTVTKELGYRYLWIDMFCIVQNSSADWQQECSNMASIFANADLTIAASAA KDSNAGFLQERPLWHTNIVEWVRHQSRKHQDEDGNIRALNFQVKNIPNQAMVTSLPGE EDGGYPPNYFMSAKNDILSTRAWAVQERLLPSRILSFYESQTIFECNTCLWYEKMHHP LLHRDCVHRIHRARESLVPKDFLDADDAQTIFNRWISILELYTSCSITMADDRLPALS GVVQRIQERLGDEYTAGLWHSHIMEGLSWQVSMFPREYTITQFSEIDWISDNYTKHKD IGLSVVKHQRTVYIPSWSWASCAYRIQMPNAYYPVKHAEVVEVRSTAAGLDRFGNVTS GTVRITGHLRTLEWRATGIDIVETLFLYREDGKSLPVVRADRVFRDRVVPGDKGQLTC FLLSANGPNACILVLELVSLPNAYRRIGMISTDIFGLGWPVQPREYIDRDEIIPWFLE AERLTIDII PFICI_04876 MVSRTAKRDQPPNADVNQSCNEATRDWEDMTEAELVEAIRRKRP TEAQHAARIRALGPEKAFEISQELYNKAVEHNDDLTEDETDPLHAQRALLMSRGDVAG KALAHPETLTQAERYDLMWWPEPSKLHAAIREISGLNTPVELLAKGRASRESLSLEEL DLIAQQFQVDQWRSASSRIYWVQVPGNAQASVLAAIQEGVDDAVYFELQRYAWQDEEV KAKRAAKQLQSLQSRPLQRPPPKDWNQWLTGRSRDTLAHGYIRCWPPLDRNAPGPHRP PTPAHLLSMDIQQRECLEYAPIGGDLFSQWDELAPEQKAHYETRSEALRQAAWDKWDE KQRNDAQTEYQ PFICI_04877 MSPITSIPGKYIWATGAVLLNFAKFPILSVYYLPKYTRPHPEWT VFQSVMNNLMNSFLYHTAFVQSVTRLDLTPGSLGDRFVAIQPGPDQIYVKSLGSDPGI KPLPTGGIWFPTAVDKNPASRSGKPILLHFHPGGYVMGDVRMDGSFAAGLMTERIGSH SFWSLYRLASNPHGKFPAALQDALAAYHYLLKDLSIPASQIVLSGDSAGGHIVICMLR YLAEHGDAVGLPAPKGALLFSPATNLAAATNPKSLEENHNYQTDYLDPLFISWGASRF VNNDPAAAPYMNPLKKPFKSPCPIWVYCGGCEVFYDDVTEFVAKMESVTGNNVTYRVE KLANHDIFFAGNLMGWKAEAEKIADEAGAWVAGL PFICI_04878 MATAFGSPEFESFDCIIIGAGISGINCAFRLKSQIPNASYVILE ARSDIGGTWDQWKYPGARCDSEISTIAFSWHDFAFPSPIVSGTMIQDYLHQASAKAKI DENIRLNHKVSDAEWSRESQNWHILAYIDGNAKRFTSRFLVLAAGFLNYDTPPEVEIP NISQFKARVINPQFWPQDYDWTNERIAVIGSGATAVSLIPAITEKAAHVIMIQRSPNY VAKWDNGPSWAHKYLPLSFVYTCRRLWCAIRLQLFVSYCEKYPQAVIDFLRSDAKKIL PARVDYDVHFKPRYKPWDQRMCVDADCAFFKSLSLPNVDITTGPIDTAIEQGIKMHDG KTIEADTIVAATGFRMQIDGGIRMRVDGQAVSWNDKFVWNGTMVSGVPNMVFMLGYPN NSWTLGIDESAFVLVRLMQHMQSRGARSAVPRVPKDTTMNELRLWDLMSTYRVAAELR LPVQGDKGPWRPRDAPIAGWIHSRWGEHHEWPGIHRMIPSANTLVVSATPSITGSSLQ LSTDLAR PFICI_04879 MGWWATIWSAPGQNHGIWSNDNTSDDLAREWRNPSVIIATILML SGDSVIRTALAQTAGNWYNPVCFSFGWLSYSLSTLVDVFGDGKLLPPPDYPVKVFNLA SGYQRENRNWIIGRIVRDHQTWISKQEPLGDNAIRITICEAEHNRLGCLSVRYSKLHI LGIITMTVQFIVASIPTILTNGQEWGVLFVTAIGTLLAIIMGSVPQWAAEKLPRGNQS PAGKLSRGGRQVPNGYILTAGNGSRDVIVIQNDKGHCMNLEELSAHESPMNSRPWLKF KRRSSGERNTELASEIWGYPKGFFITLCTSMALAIAWLLLFITIPALRGHTWFFIMVG GIGLLHNAIIAGIRRKPKQRNLPLKYKDIIVARKVMDGLMDLEMNIPGCGNLLVPEFY PGHLLKDEYEWWYEEDPNKRKKTAYDRKRIEESDRRYLPHSLGRPDGHTTFGAADIPT EAAHMKRHVHAMSREIHMENNPQGSIDQLIMTNELPSTSGAEDEASDTKALPTGQSDA KISSLQAGSNHLGEGVSSSEQPRSVSGPRQSQSDGRTNAPENLTKAPVWD PFICI_04880 MEHIAAHHGEAIATDIGIPCMDDFKSRNGRIKLPRSFAEFYAFP EKHGWTVDPNTFELRAETAEHSLEVLLQAWLFFGLIFTVVKYDGKPILDVPDLFIDDL LSTKSLADALKKWSTWESRKENRAGLRLRMIQAGCVLDHARQVLRKNCALINEKVLYV VDDPRDKCYMTDEHVLMLMCLGEALSEVKSSIMKDNEVKIEGWQPDDDQHGWGPARYT SIKMKDENWCPRTIKLLRGQFSSNATMLLSAYYAYRTNGERTTPEHGSCTPIECKVKS QNSQGEYRNRHICKPLQTPKCESMGPEQDAILSTLESDRIPLIQFRSRTDVQQGFEVI DYDRKAEAQLEFVAISHVWSDGWGNENVNQLNICQLEFIRRQITRVSGSAVTPFWMDT LVVPVCPPGNVDEFERIMLAKKKAIQQILAVFGESKWTIVIDNGLFAVGAGLKTAEPA MKVLSSVWMRRLWTLQEAYLSRKIHFVFKERSENATPIRELEDIEKKLTVAMVEPASG LAGIVRDQLSDIMLLDKQRDKVKVPRDDFKIRNRSNLSTEEASLFIANVWRAARWRST TRPEHETLALATILELDTENTEIAEAGLLGPQDGPSDKHKQQKLVEIFWAKVHLKHPG AIPSGLIFLPGEKINTAGYGWAPTTLLSADEIDYPDPMNIWNTRTQLGPKGLLVSYPG FILHTDSDTTRSAILSTRAVSNDEGNEVVFTFPIDRTLNEWYSFTKADNRKCPELTRL VKDSHNLAIILSRHPRELPREIALLVEVSGQNLSSDGDSSIDAPDYHVKIIQRIYIWR SASIDRKTELKQLRENDYRPSFKKDFPIAEMLGPRQRWWVDGYVRPSIAEEPLPTREN KRPTTGPKKQSGWRPNSGVLDAIGGKGSFFPIPMIKRMTWVKGNN PFICI_04881 MSSRYSLHNFLQRDHSFELLSNPRTASARINDIILVHGFSGRAF SEYRLSLEELIVSTVGSKFQDAAIRVFRFDFGRLLSGAPDSFSSEVQKLRRHLLHLYE DGLDQDKPASSRNDSDSLHGEPGTPESMDTPLKVLVFIVHGLGSWIVKDVLASRSSRN IGFMPLGIIHLDCPKRTLDTASKPDSDYLRRFFATFNPSHNLENDRDKEKLRRLQNSL GHANSRFQRHGVHYRDQRRKRNFPLEKREIYQEKRLLWISDAQSVPRAIASGWGQWIW SFWYSETVTLLMKELPELPDLVGAAFTHATSRDAYEESVDSSDDGGSVAVAGYENNIP PGHRVQSWNTDQDEVDHDNNESPTVIFSTFTAPDLGVSEDNELKNLIHLANSFFQRCD LGNAERLYVSCKEKLPNPDQPTIKHVQIHMRIMAVRMYLGKYNEAADDLKNIKESINI SAIDDDTQGKMRKELHRDRRRWHAKLLMRNGNWSQAARKYERLLKEDPQDENGRTQRD LALIYADLGYYDQAYATIQLAEKTTAVKHVLTSDIDVAKDTDANITTDLELHTQDRVA ASHSSDATTKIKSMAEVGIQSAIAEIHMLSGSYVEALETSTNAYHKLTSMIGKEHLKS LYLANIKAWCLALNMEYTAAEALCLSTLEIITRTLGRKHPLCLEATRLLVYVFRSQSR FAEAIGTAKSLYSLAGRQLGETHPLTIRSKYQLAAAYLANGDYSEALTTLKELIKHAK NPNSLGYFHPESLKFRSALAHALLCCGRLYDARRLALETLIHQIHVYKHQNVISGGVD DLRGLIVCDKESCKPDNYYQFVLKRLHHDTNPDKLSFCMHSYWVSTLETIARIECKSH RDGASDSELLAVESLLRIIDGLLPDTESIQFAFAQVLQEPTSADEDNRLDEAITLLEK VESRRKKTLGKNHLDTLCANREMMIARCMRSVSGMSRDEAPDNLMSVIQSRSLEIVDS LEATLGVYHPESLRSRIWYITVQLLNSGNDCTEVKDSDQDIHDVLREVVARLRTSFVR KERLIESLEMEKTVAGLLLGSGCPGEELCDILRGTLKAIRHATTDLEIMDVQPKLEEL ENDIEGMLKKAEQTTT PFICI_04882 MLSKSQDVAEISEKNRPSNTEAMTEEHRKNVSNNGLTGASALTV RQSIWPITLVTILFFLWGFAYGLLDVLNAKFQTALDITAAKAGGLQGAYFGAYFIGPL TYSGWFVRKLGYRWTFIIGLCIYGVGALMFWPSAVYRSFGGFCGSLFIVGSGLSTLET SANPFIATCGPPRLSEFRLELSQSFQAIGSVVAPLLASRVFFANTTEDDLSKVQWTYL GIAAFVFLLSIVFFFSKIPEVTDADMELQAEQTTHLTGYQEKSLKKQYRLFFGVAAQF CYVGAQVGVAANFISYAAESAGIDHAAASDRYAIAQALFAIGRFAAAGLMMYFKPRKI LMVFMTGIMIFIALAMGIKGEGGVAMLSLVLFFESCIFPTIFTLSIRGLGRHTKRGSS WIVAAVSGGALFPSLTGLLADHKGYHISMAVPLVGFFVAFAYPVFLNINPWKRELDGF RETRIGYTDEHGTIGDPSRDDSYAGKNQTEHVA PFICI_04883 MRNTLVFSGSSCPPLTGKICENLGMVPAEAELTQFSNGETSVRI LTSVREKDVFVVQSGSPKINDTIMELLIMISACKGGSANKITAVLPYFPYNRQSKKKS HRGAITARMLANLMHVAGVKHVITVDLHASQMQGFFKCPVDNLHAEPLIARWVRQNIA DWRDAVVVSKNAGGTKRVTSLADALKLNFGIVTTDRKRAAGNMTASLIMNQLDGLPTV INGSRQTQATPDELRPPSPEELTSSRRSSRTVTDSQGSPHRANGRSNGRPRTPVGRRS SSHASTIDEQNEEDETETPAYTDERAQVVTQGRLVQGHIVPDDYPSAPASEADGDGSY AGTEAEDPMTMSHASSFFAPEPHALGGSGDAEPSSDEEDEKLQDPKLEHMITLVGDVK DRPVLIVDDMIDKAGSWIAAAETVVKRGHAKKVYCIATHGVFGGDSLEQLQACECIHQ IIVTNSFPISDRRSKNASKLVILDLSFLLSEAIRRNHYGESISPLFAHIAEG PFICI_04884 MGIKGLMRVLPELPVGRTRVSLRGQSVVIDGPALVHRLWEALMQ GRATTSVILGEVTYSALVRAFSDWLEELRSQDVHVRKIYFDGYLPPYKWETRKERLMQ QTQIVQGLSKTYRQGIEQPTDFGRGLGPSRVSSLYFPSSTKHYVDRLPKHSFMIPAVV EHLMQSEWRDIVQVVPGEADVYCAQDVRQNGGLLLTADSDLLLQDLGPDGAVVFLWDL FNPNVKKPDTWKENKPIDPASAFASEYYVATTHCPADVERYLGITDQGGLPKLIFEWQ QRGGSLLEAQVRMLAQAESEADRQAWDAFFDEHRFVEYVPESHPVLNLLSGLDPRISE YIIQSLDLKVSRQRPASKLIAKTPRRAPRGSEKLSIFLPVMVEDTTLTSCWEHSQVTR QLAYSLAQGFAQEQHETIIEYRTLLSTNGGRRVNIPQADTTDSWCESLISTLDHLEST TQSATAYKKWLAFALYQEIQHAREAGTSSLTLTCAAEAVYKQNPHDYSWPRIHLSAVI QASFYSLRILKQVLGVRSSLTPDQMTKTQKTLLKHLETMPPIIEWPGILGLHDDLCAF GKGDCLAAISQILGVPKIILQDPSTRKKKRSRAFSISDKRRSPPKIVKSTNPFDALEM ASE PFICI_04885 MSSLRELYAADGNRPELKTNMNVDFVINYKIPASERDEAEAGFT QLIEVLTKAGVASEVRSGVDNTSVLVFVKVASDQYLKSKIYKERVQDWLYGVRITAPE NDVNKVFEKEPISEAERLRVIYLLLTLPKNDGGAGITPKKGQWKHVESIFPLHNHAFN RQWIKQWSSKSALKEEDISEIRDKFGEKIAFYFAFLQSYFSFLVFPAAFGFAAWLILG QYSWFYALVNSLWSVIFFEYWKKKEVDLAVQWGVRGVSRIQHPRSSYKWDHEAVDPIT KEPVKVYPASKRLQTQLLQVPFALVCLLILGALYVFCFSIEIFLGEIYNGPLKAYLTF LPTIILTAFTPTLSTILSNLAQRLTDAENYQTHDAHEAALIQKIFVVNFITSYIPLFL TTFVYMPFGNLLVPYLDIWRVTAETLSASEKVTTQGFNINPDRLKKQVIYFTVTAQVV NFALETLVPYLKRKVFKKVKEVQTEMEQKNGKSVYNPHDVPEEAAFLSRVRNEAELDV YDVSGDYREMVVQFGYLSLFSAVWPLTPVSFLINNWVELRGDAMKIAVSSQRPIPWRA DSIGPWLTALGFLSWFGSLISSSIVYLFANGPEGPGGEPYNISGWGLLLSVLLAEHFY LAVQYLVRHVLNQFDSPGLQKERAERFSMRKLMLEETLGHDINLEKPLPDVPTGEKIT RAALEEEARIASTRGHGTPEDAFWQRQQGMEETISVGRKLISSMAAANQTAKA PFICI_04886 MGLFQKVGLRRSNKWESLTILDHLLNSPLEAIALCIYWLVTSVR GSPLRPPRNKRPVRVVCLSDTHDGIVDNVPEGDLLIHAGDLTNNGTATAIQKQIDWLA TLGHEHKVLIGGNHDCHLDETWRFRNSKASLDLKGIELLDTGITEDNTEPLVLEFDGE RRITIWGSSRLPRCGADRNNAFMYDAGSDTWNNAIPRGTEILVTHTPPAYHLDLGLGC PNLLKEIWRVQPKLHVFGHVHCGRGVQPVYWDDCQRVYERLCARQPWLITKLPFEVVA VLPRALIDLVPSYRWIDITKVMFYGFTSLVWYFLMQGGRTTGHEGLMVNAACQDRNTG RLTKKAPFVIDI PFICI_04887 MSAYTLARHLAGQQLLDSFDFFYGVDPSKGFVNYQERDTALAQG LVSVNEDSGVVRLGVDSTRIYDVELDDGRPSVRLTSKESWNKGLFIADFSRMPSSACG SWPAFWALNNNGDWPVGGEIDIIEGQNGAQTNIFAAHTEAGCSLASEGFEGTSTRDDC GIDLFNRGCTVSATDDNSYGDTFNAIGGGVYAMEWTDDGIMIWHFARGNIPNDIRYRP LTKPDPSNWGAPQALFGGPAGSSCETNKFFYNMSLAINIDFCGDYAGTYWEQSSCSKT YNTSCKAFVANNPSAFENSAWEINYIDVWLRPDNSSTPTNSTLPPFPANGTTTNNTEP ASTTSFMLVTLSTTDPTAMPTSANGGLIDDANIDGYTLLGCFGSTDGYTTFEPLADLP DMDNEACVEACKGASKKYAGTALTTCYCADTLGDASSTDNSRCSIPCPGCYLETCGGY VNGTGPVQISTDNGFNSTNPDNTTSVLPRSKFDRRAGPNDLLLTIYGNVTGDILPPPP GIGGDNGTDTGSANGTITTGATATVTTAITVTYTTICATNPAELVVIEYCTTLTIEDC PTAMPSLSGGESPSGGSSGSGLGPITPGNGVAVMTTPPIPMTTCVETCSACGENGQNT ITLTIPAAVATGGPDVVVTALTVISVVPQINNGSESMAGNGSYTGNGSTPSPAQINVP VMAGADGVAGLVAWGVMLWLGVFGIAMLL PFICI_04888 MNQDRCLIFPWPNGGNLKNYWEKFQDKRSDRESLQWILGQFKGL FSALQELHESNCRHGDLEPENILWFQDEHNHGTLQITDIGLAKLHEKEKSIKARQSWK SFKTVAPWLIMSRYEPPEMNSTREDPGARSRQYDMWSMGCVTLELLIWIVYGYDAVKT FIKSTDYFWTAGPVDAPPSPYRVHPYVVSCMRVMMTQLDDQSALKDLLGLVEKTPGC PFICI_04889 MWYRSVAAAAPWARALTRASSRISSQRLVVARTSFSQPASQHRS IKTTTAKRASLAQNNQDAEFPWTVAPADKKTPQTLTEKIVQAHAVGLPEGKTVRSGDY VQIEPHRCMSHDNTWPIAKKFMSMNATRIKNPSQMVYALDHDVQTKTEANLKKYEQIE AFAKTHGVPFFPAGHGIGHQVMASVEELFVWPGKLCVASDSHSNMYGGIGALGTAVVR TDAAGIWATGKSWFQIPPVAKVNLTGVLPPGVTGKDVIVALCGLFQSDVLNHAVEFAG SEETMASISVDNRLTISNMSTEWSALSAMFPIDQTLERWLRYKATEAAMFPNRTTQDR ITHEKVDELYANPVQADPDAQYAKQLYLNLSSLSPYVSGPNSVKISTPLSELAPQKIK VDKAYIVSCTNSRASDIAAAAKVFQDAAKANGDKVPKIADGVKLYIAAASVREQEIAE DQGHWQTLIEAGAIQLPASCGPCIGLGTGLLEPNEVGISASNRNFKGRMGSREALAYL ASPEVVAASALNGVISGTAAYQAPENYAGVDFGYGNGLPATTETELGNVLEQLESLID RVESSVGDDDASKASTKILPGFPEKISGEIVFCDLDNLDTDNIYPGKYTYQDDITKEG MAEVCMSNYDPKFKDIAKPNDILVSGFNFGCGSSREQAATAILAKQIPLVVSGSFGNI FSRNSVNNGLLGLEVPRLIERLRATFPEKVTTRRTGWTLTWDVSRSVVVVQEGENGDR WEEKVGEFSENLQEIVAKGGLENWIVDEIKKTE PFICI_04890 MAPAKRSNSSVPSGYVEDKSKGPMLRFQESLPKLPVPTLEETAA RYVKSLNPILSPVELAASKKAVEEFIKPGGVGRKLQEKLVARREDPNVKNWMYEWWND AAYLSYRDPVVPYVSYFYSHRDDRRRRDPAKRAAAISTAALEFKKQVDSGTLEPEYMK KLPICMDSYKWMFNASRVAAKPADYPIKYDHQTNKHLVAVRKNQFFKITHEVNGQQLN TAELEAQFARVYQLANRVPAVGALTSENRDVWTDAREILLKASPKNKAALEAIESASF VVCLDDAAPVTLEERAHAYWHGDGQNRWYDKPLQFIVNDNGTSGFMGEHSMMDGTPTH RLNDYVNDVIFNNKLDFSNPNVRSGLSDPAAINFEITKEVQAEIDRAISDFSSVIGQH ELAVQAYQGYGKGLIKKFKCSPDAYVQMIIQLAYYKMYGKNRPTYESAATRRFQLGRT ETCRTVSEDSVAWCNAMADHTVSDEDTIKLFRKAVDAHLEYISAASDGKGVDRHLFGL KKLLEPGEEVPAIYKDPAFSYSSSWYLSTSQLSSEFFNGYGWSQVIDAGFGIAYMINE NRQVTPTNLNFNIVSKGQGSQRMSFYINEAAGEMRDLLSQTLEAPKAKL PFICI_04891 MAATNMHNLTTLIKRLEAATSRLEDIASSTTELPQGVPALQQGA ASPSTGVSPGTGPTETSTPTPAAAPPAAPQEQVPEVIEDFDAFIDSSVGKYVQASNKL GGIIAEQAALVLEGFKRERRFLLISTKAKKPNLSGSEMSVYQELLKPINEALMEVTSI KEANRGSPAYTQLSAVAEGIMVLAWVTVDMRPYKHVEESLGSAQFFGNRVLKEYKDKD ADQVAWIQSFYAVFRDLTEFVKNNFPNGVPFNPQGQPAAEVAKSIPVSGSAPTSSGAP PPPPPAGGLPPPPPPPGPPPVLQIKEEGAPSSAPSGLGAVFSELNKGSDVTKGLRKVD KSEMTHKNPSLRASSQVTGDSRAKSPAPVRKPKPESMRVKKPPRQELDGNKWLIENFD RHAEPIEIEATMSQSILISKCAHTTIIVKGKANAVTIENTQRLSLVVDSLVSTVDVVK SSNFALQVMGSLPTILLDQLDGAQVYLSKESTATRIFSSKSAGINMNVIGDDDDYKEV PLPSQICSYYDDAKGELVNEIVDLAG PFICI_04892 MTVEDEVKAEAEVTVEAEVAVEAEVVVEAEAEEKTPTPEETVEE GIDFVTLGMFIIDDIEYLPPTPPVKDILGGAGTYSALGARLFSPGPKASSVGWIIDQG SDFPEALTTLIDTWETSVLYRSDPERLTTRGWNGYDEHEHRAFRYMTPKKRLTAEDLT PTLLASRSFHLICSPTRCRELVLDIKEKRSELVTTDGKSLPKPIFIWEPVPDLCTTDE LLNCTNTLPLIDVCSPNHSELAGFMGDSGLDPETGEISTSAIERSCEQLLGSMPLQSF SLVVRAGEKGCYIARNGGRKRKVRSTGKRKKASAAIHGSLQPDTDMMALFAGLLQDPE GAVAEEEIEVDPGIEKWIPAYHTDKEKVVDPTGGGNTFLGGLAAALARGKPLEEAATW ASIAASFAIEQVGPPTLEKGGDGVETWNGVSVDDRLTEFLERVQQN PFICI_04893 MAPIRTAIIGLSASGGWAKNAHLPYLISPAGKSKYNIIALCNSS VKSAKQAIEAYGFPPDTRAYGSPEDVAADPDVELVIVSTRVDKHYETGLPSIKAGKNV YVEWPLAHNVDKARELAELARETGAKTVIGLQGWYSPIVLTLQELLSSGRIGKVLSSQ VRGTGGTKDREAMTPAGSYFTDKKIGGNFITIIVGHVTEYIQHVLGDFENVQSRLQIQ RPQVKIVDPASGQTTKVVESDVPDLAFIQGTLPASATVVQGASISITIRRGQPFKGEP GLDWIIHGEKGEIRVRTYDGPAFNAGGDGAIIEVYDFASDEVHKVDWAYRDEYAGLPT LAQNIGALYDAFASGDRSKYPDFERAVTRHKQLDGILNDFSQADGSA PFICI_04894 MAKTLGQMVSIPPAQAQFSEADELTEEDECWTELQLAAKDGNLT KAKNLIDEGADINAPASGYYGNTALQAACLFGHEDVAKLLIERGANVNAPGGNNGERT ALQQACAAGKASLVKLLIEKGAHVNAPAGRYAGRTALQAAAGENHIEIVQLLLDAGAD VNSKPAKTTGETALAAAAATGNVQLVQLLLDHGADANVPAIRHKGRTALQAAAVRGDL AIVNLLILAGADVNMPG PFICI_04895 MAYLAPIHRPNSVRHAVRAQLFPGEGDCLVMAKANRLEFWKLND ERNMLVQFDTKVVFGAISILQKISPKDADLDLLFVGTDRFQYFTLGWNPDTESLDTIS SFHDMHERHMRDSQSQDKCITDPTGQYMVVLVWEGVLNILRLGNRKNSRTEVQWQDQC RITELFIKSATFLHVETGKPKVAFLYQTRTDIPDAQLVTYNLYSDEKNTQASRFEARD QVDKFAMQDPGAAMLIPVGRGEQDHKRYIIRNAAQARAQLGGFIVVGETRLLYYDDAA KKTVEAALSEASIFVAWAEYDVSHYFVADDYGTMWLLEIVLDGGAVVELKMKKIGTTS RANVLIYMGSDILFVGSHYGDSQVFKVDTQSWTLHQIQVLPNISPVLDFTVMDMGNRD GEDQNTNEYSSGQARIVTGSGVYKDGSLRSVRSGVGLEDIGILAQMEAIQAVFPLRSQ PSAKVDTLLVSSLLETRVFIFEPSGEIEEVDSFKGLSLGEQTLVVLNLANNRLLQVTS TAVHLLDLEDNVVVSTWQPQGGDKITAASANENWVLLSIEGKNLVSLGIQHELVLGQH NEPADEDQIACIHLPPQYPHLGVVGFWKSGKVSIVDMVSLQPLHSESSRRNDDTTSIP RDLAMGQIVPPALGGPTLFVAMEDGLVITFNVSPTDFSLSSRRSSVLGTQHARLQLLL LEDGICNVFATSEHPSLIYASDGRIIYSAVTAEDAICVCSFDSEAFPDSIVVATQNEL RISVLDRERRTHVQSLPVGKTVRRIAYSRNERVFALGCISREVINNEEIVESSIRLVD EVIFDKVGQDFILNTSSGLEMVEAVIRAELPDSYGNRAERFIVGTSFPTDAQVGASSR DNIKGRILIFGIDSERNLYQISSRNLKGACRCLGTIGDHVVAGLAKTIVVYRYNESTS STAQLDRVASYRPSTYPVDIAIEGNIIAVADLMKSVTLIEFAPEAGELKEIARHHHAA WATAVSHVEGNSWLEADANGNLMILSPNAQGATDEDKKRMDITSEMNLGEMVNRIQKV KVASSDTAIVVPRAFLATVEGGVYFFGTISPQYQDLLIRFQTSLVRTITSVGDINFDP YRSFRNEQREGAGPFRFIDGELIERFTDLEDQVQEEICKGLGPDVETMRNLVEDLKRL H PFICI_04896 MPQFEFVTISDPSEAKKHSTKVRRHVMKDIGKARRKVKPGAKEK TTTKRKGAADTPEEADRDIIPIRTGRRQIQVSVGPERDMSTRAARPPVISPWAEGLLS QMIYPIEMNESKLELVRFMVEEARYVYRPFRFLWLGLSVTNEAAWYITLANSAAWRAG DWGSMVKDIQGNPEALGYYSKSLNRISERLNNAPDDVDLEGLIVAIAGCICHDATMGN FDRVNVHLQGLKRMIDKKGGMSKLSIPLLPLAIAWLDLNAATYRNSLPYFPAINAAAL VIEDVGDECQYLDALLERWDHQCPSLGDIQSAIMATSKVAAHINKHNEKPGFWRDDLN LARMLSPASHEILTLPGRPLPDDVLDPNYSGVAAREAFRCAALIFLADVKRRFNAPVW ELPRHLDAFRQISRLPMVDWAAVPELNLWAHAVAALVDDKADHEDRSWHIKVIVGIMD VIGCRTAAEGLGIARGVIWIDNLMGERAARLEVDIDAHLRAREDPPERNIQESTETAP LKTTGIVQNKVLAKDTTTGHITLKSTPRRIEVDRTSIFESALERSRALQRAES PFICI_04897 MKFETSTVLVAFLGVAAARPDAFRSSNSGKVRREVPQEHSHEAI LQAANTALKLDNPENIQDAVFSLLGNAAAANGAGDVTNLDCLQQHVADQAFTNAKAAG DVDGQANALLFRALERNTGKVGLASVICNETATNPEVDAITQHQDPASDNAAAVNKQI VLDLAVQLAAIGADPQLALSTGTFAPGDVTDATGAGNSCDDIVDEGCIVAQNLLVEDA TADEIDAAVSAGGASVSNGTATATGSASTATETAAADDECDSEDSATATATASASAGA TATGTATSSGGVDVNAFTGSTGAAPVPVVSSAASDRPFSVNGDTFINIGAAVQRACDV QKNQCANAANSGGGGSVSDCDAQQQQCIAANNKKKRAFPRY PFICI_04898 MAPLTIASRALAREVASRSFQTTAVRAISTSTALNAAGSYSSPF KGEQKSTQVPDFGKYASKGSWNTNSLVSYFMVGTMGAITAAGAKSTVQEFLKNMSASA DVLAMAKVEVDLNAIPEGKNVIIKWRGKPVFIRHRTESEIEEANKVEVSSLRDPQTDE DRVQKPEWLVMLGVCTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGPAPLNLEI PAYEFPEENSLVIG PFICI_04899 MTVTELAHFHSKSGDLTPALRDLIRWAVDAQDKWCAENLSSTTQ YMSDGNEARGVGFDHVHIDFQQIEDPAVVLLTAHWESVPQHVEWMGSDINKQAIPPLS EQVDMSKLLIFHVDDVDAISPEILRAPVVSVSRYLVPKNRKQTVGQSMKEIYSRDNAR PISKSGWRIEKDEAMERDGVEEHVIVSGAESIQNLKEIEATLFKAVFSGCGQEIRHYK RIF PFICI_04900 MATGAPAKNAGHGIAALPQSSGSRHEPLPNKEASHVSRKTTDGF GSSFPGRDHVLLVKGRRSWILPQGWRLENNLILGVGFLELANAGDFAANIWNDIPVPI YAVILMAIGGTAAGTISIFAYLDSIRSWHNIKFLRRQQAMFQSAQARHLDDAQTSQTA LGVIEKLTKRELWTEGISRWGMAVLMGIGAVLISIGTFMAIGGANRTVWHVSNLLSGY LGNAPIALFGLINASWQVVVWIKMHHHKNAAAKTLKDHHINSLIQKRCLNVQLYSIVN GTATIMGGVGSMLTPTYWWGYVILIPVIISSFFCNIWWRKKVGYDRPDVSSTLNTTPS SLIEELNIAMRIRKIIEDHQGDILAHLIPEREKPNDLMAFLTSHGLFEVFCLKLVNNP KIASHLSDPETAQLNIDEESIASLCDSHTTIFWETAEELLQVEGPKHFRHRRRFFLEF LGEFLSYTS PFICI_04901 MASALTEEQIEQFKLAFDLFDKDKTGDITAAELGEVMRELGLSP SDAELKDMVDEVDVDQNGSIDFNEFLTMMSHKVEPSDAEKELREAFKVFDRDNSGTIS AAELRNVLTSLGENLTDEQIDEMIQSADKDGNGAIDYDEFALLMTQGTS PFICI_04902 MSKRGAAAGADQIVKLIVGAGQASPSPPVGPALGSKGVKSMDFC KEFNARTQHILPGTPMPTRVTVRADRSFHFDIRTPHTSWLLRNAAEIPIGKKGKRKGA SKPGHEVVGTVTLKHVYEIAKIKQTELRLSGLSLEGLCKSIIYQAKSIGLAVVA PFICI_04903 MFNWAKQTLANVVGTEEPIYGPSAIKSVAEDAKVTPYTELKKED LKWAVMESTSVETQSFYLMSDSGYLGLAQVIYSNVAGIKTTVQFNSKISYLDNSKPHL WCSNPLNDHEFSDDKLNFYAKDCAVELSEDGNTYTIKSMNDQRCIVNLKITKVAPGFV AGKDGKTLYGTDLKNPWGSMRHAFWPRCVAEGSMMTKDGPIDFKGQAFFAHALQGMKP HHAAAKWNFLDFQGPTYSAVLMQFTTPPSYGTTSVAVGGIAKDGEIIIAGSDCDAVHL ETKSDSDNEWPEPKKVKFTWSGKTKDGKPVEAVIEGPFEQRLDRVDVMAEVPAFVKKI VAGAAGTKPYIYQFAPPNFTLQLKIGEETITEQGKTFSEATFISATDAAASQS PFICI_04904 MAGKSGFSSSGRGKASLGLGGKSGAKRHRKILRDNIQGVTKGSI RRLARRGGVKRISGGIYEEVRGVLKGYLESVLRDVVLYTEYRQAKTVTTTDVIHALRR RGTPIYGFDSSTDHRSAAKKR PFICI_04905 MQTASTWVPRELDLSHYGHGHDNYVDKININVVPGLKTSTAFFT FLRANLNVNPSAYSSNTNTPLLQPTSPPATLPPPSPSPLVTMDTAAAAPAVAPPAALS SSSASQSNGIHLEPVPDDLPPLSVGILTSETDKVDALNLVTDSIAQQRQSSSRNLVFH PYLLPALAAALALAFQYSWRMRRDLGMALMLHSGVIMTYLLAIRYLTGRYISVAESMR WDWMVSEDGEEDTVVGVRFGREVIGALVLRLEAASNPTGRKRTRASALRGGKGVIRAW TVKLRFRGKGVGTDMLQEAIRITKEKCGRDAAVGFAKEHANSADVLPEALNGQMRKDE QRAAKTLERIVHEWEDARRHKRGNSR PFICI_04906 MESEKSPSGVRVRPATTDNSPIYNQDFLTPRRMTQDVRDSIDLR TSSRSSVSSEVRRSSSVSCVTRLASEDDCAKQRFIVWAAVKRNRSVRMAPSDRLRCPL LRCGEQFNDHETMLQHLHACKHLSAGEYLCYDCMKVEKFNDGKCKCCLSHKTKRRRII NAAKKVFSTLGHKSRRDVSVELTQVDFAIPPPPSYDSLSMEQEPQLPIESEISGNPVC EMEAVLPLELGSVNYEGHFNVPPPPPPPPQTQETNTFVPAASPFLIPPPRHDIRSLQD SDGRRPSLTLDTNNMGRPSKVPRTSYLSPSSSLRSANSSHGIISPISAGSASWTTNSS TIDTTLASPITPFSADIESSSLSRENSCKFPKDFPLPSFCSSWNTEPDRQTAMKNIDL CNTDNNFALGDLPELPGDDPIGMTLSKDWVHDPLLFTFGPKEDYSWPSTIDTEVNVVF TENNTDQENGAAPFNSDTRTLITDTWHALQQQLSYSLPNTRTVDNNLARRLENISAKD IILKGLASLKGILNGVDPTDPIDYLCFIHVVYAFTVVIHEEEAATHMNKLFKQALAYR NFVSASDRSAYAAIVAAIWEPSELEETKSVLGRSSSLKGKGPELRANLTLPFSLDPLI GVAQNFLDDLETSTLVGINNHQSIEVMTSELYSTHVAETGPGSEPTPDAFKITVNYVV QMLSQRFGPLSSSLIPKLRSISQKVANGFITSVRRMELELLQVGKTTLHSPELFDEYV PEVRRLCDPLYSQQSSNPRSRYHTLATALVESMVRNIESPTNLLPSLDPSTAIEENGL DYSFPEDPLADFLKDLDSSDFVDSLLESALDGTSNPIMESMAQTSESVKTSDKHSHCT QDDPPQSVQGKDVDVENTMVNRDATSAAEQEYLPQTSSHILPTVSISHGEDYLPPKQA AEAGPSEQKAEANDCCEICGYRPKGDPQWFKGSMAKHKKLQHSTEPPKIYRCTYPGCT SAYKNRPDNLRQHQIEKGHFVEGEGKGKQKKTTKRKNMDDD PFICI_04907 MSGLGQPNSSNTHAGLTFPQAHGVRGRGFQASHSATPPKPSPTP QQSQHPQHQAPYGQPQHYTPQQSTQSTYPGPIFSTTPSPVPGVHNVPNGNTRHAPNQA HAAAAVAASRQRPTSQRHMPQQSQTQMAYMQQQMSQQVQQQQQQQQQQQQQQQQKQQV PQSVGQQVQPLQQTHPMSQQVQPVQQQQQPVQQIQQPPQQVHPPSDPNLMNQAPHTQA RHQAHAQSHQSHQAPTQQSHAQSHQQQQHQQTHTQAHQAPQPLPQVHQSQAPLQASAS SSASAQADPTPQSDDPHNGEMDVDSQDEGADGDDRLSPKLLEGTPYVPRTPQGPMMSP PPEGGSYASLEAVHKEVLSYCTSVGYAVVIGRSKKTVPGLKKVLFVCDRAGKPPSRVV PEQRKRKTTSRKCNCPFGFFAIEQRTQWTIRYRPDTSHLNHNHGPSIGVTQTLEILQQ ENPHVPLLPRDIYNARAAINRNPGKVDAGIADARPAIYSKPAPTAEERIRADLRRELA IAKAELKKVREESRKELEKMKEQLREKQEMIEKFEMFIDICNQRVMVQRHKLDSGDGA AEGGASAS PFICI_04908 MMETVGSPLGAQDPMANGVSSPPSPLASIDPSKLVEHLAAVVTI ALGATREDLERDGNLLSPGNRTDTLQRCARFASDSQVALYIQKEIVPVSEEAEDPEDN AMSAHFYTITSEISPSPTIAAYLAFLKRPQAIDPSLPLTSQIQIQTLPGAASLSNVVA EQGPSASPFEILHTIVHNALAPYFDASTRSSQAATGARRADIDGKTGIPVTKKRLTEL ELSLLHLQQNVEIPELMLPFHSHVQAALDAATAQGIRPSLELIPTALLSDSGFMNSLQ ATVNSWIKSIQGITKMTRDPVMRTATQEINFWLSMESALESIEQQLRSEGVMLTLEIL KHAKRFQATVSFSSDTGLKEAMDTVQKYNQLMRDFPLDDLLSATSLPKVNDAIIQIFG HMNRKMRFSNYPIKRTLDLVEAISAELKEVMHRLLPGTDLVNLDYDEFKALMKTADEI FHGWDMQIKEFTNIARETTRRRQEKFIPIKINPKHSDLQARLKYIATFRDNHEQLQRT IVIVLGPKATVNGAGAGAEPTTNGTVLSEELGDVDAVQEVRQAWESLKNVDLLDVSDE GTQRWARAENNYNERTTRVENSIIARLRDRLATAKNANEMFRVFSQFNALFVRPKIRG AIAEYQNQLIDNVKQAISALHERFKQQYGHSEAHAMAQLHDLPPVSGAIIWARQIERQ LDGYMGKVEDILGSDWIHHTEGQKLQTESDLFRKKLDTQAIYKAWIDDVLRKNITISG RLFNINKIRSANNALDLSVNFDAQIITLFKETRNLGWQNFNVPHSVNNTAKDAKRVYP YAVSLMESVRTFAQTSRQISEMSEVSILLGGYQQEVYSLIEKGVPLKWGSFLDAWDVH MRQHLPNGTLEHALGRNNAGTKHVQFVRDFAAAASVLQSKTIALASIYATVQTASREL ATCPYEAAEFEKRLQIIQAAVDQLNLEQYVNLGYWVERLNEGIKATLLVRLQEAIGHW IAAFEDESPNDESSRRKALTPAGDSIKSNMPVMKETVHEITMRNQVIYLDPPLEFARA SWFLQLHEWLGVVCNLPKIKASRYQMTLSLSTAAPEEVRFTDLPGSCAEILSHVYLSI ETKLANIAAYVDKWLQFQSLWDLQSDHVYDLLGEQLSKWLQLLQEIRKSRATFDTTEV SRSFGRTTIDYEQVQTKVNAKYDQWQHDILGKFGSRLGGRMREVHAEIEKARRDLESQ GLDASSTAQAVQFITIVQSCKRNVKIWAPEVDVFRQGQQMLHRNRYQLPNDWLDIAQI ENMWDALNDLLARKSKIVEDQTDALKAKILAEDKIVTDKIAEIDIQWNEEKPVSGTIP HEVASKTLGSFESRANKLQEDFAMVSKAKEALDLPPSPATSLSDILGEIEDFKSVWAN LSTVWNSLNELGETPWASVQPRKLRSSINGLIETTKNLPSRMRQYAAYEHMQTVLKQH LKAVAILTDLKSDAIKERHWEKLWKDLKPGRRYSPSSTTLSDVWQLNLVASEVIIRDV ITQAQGEMALEEFLKQVKDHWENYNLDLTNYQNKCRLLRKDCHDDMMAKSSEHLNSLQ AMKHSPYYKTFQQDADIWETRMNEIGVLLDVFQKVQQKWVYLEGVFTGSADIKHLLPN ETRKFENQSSQFHSILKAVARKPTILDVLRIENVEPRLRQVEEALNSVSSGLEAYLEK ERASFPRFYFVGNDDLLEMIGNSNETLRITKHFKKMFAGINNLIMDDETTISGFTSKE GEAVRLKKSISLVKVPKINDWLALLENGMKYTLAELLAEAIDSFTPIFNADKIDPDAL NTYIESYPSQMMVLATQAVWTTAVEASLANDGQNLQAIYEREVDLLRLLADTVLGDLP IIQRKKCEALITNFVHQRDIISKLMKFGAASPTHYLWLLQMRYVYTPEGDYLQRLQIK MANAALNYGFEYLGIPDRLVRTPLTDRCFLTMTQALCQRLGGSPYGPAGTGKTESVKA LGVELGRFTLVFCCDDTFDDGAMGRIFLGLCQVGAWGCFDEFNRLEERILSAVSQQIQ DIQLGLKHESKVKLKDDSAPITVDTNSGIFITMNPGYAGRSNLPDNLKKLFRSVAMSK PDKELIAEVMLYSQGFKQAKQLSKQVVPFFDQCKDKLEHESHYDFGLRALKSVLVSSG GLKRARITGSEGDIGAEEVVEPEIIVQSIRETVAPKLLQKDVAIMESVEASCFEGVQY VPGDLGKLEEAIRSLAKARNLVVGETWMTKIIQLYQIQNIHHGVMMVGNSGSGKSVAW RLLLDALKEAEGVQGVCHVIDSKVMSKEALYGVLDPTTREWTDGLFTSILRAIIDNLR GEDSKRHWIVFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPSNVRVMFEVENLNH ATPATVSRCGMVWFSEDVVNTNMMVAHYLESLRNNLFENLDEDVLVDLNSAQALSLQK QAADYLEECLTTDSFIHAALKDAQSYNHIMLFTQTRVLETLFSLLNKAIRNLVEYNAQ HSDFPLDADQTEAYISKKLLLALVWAFTGDCPLVDRKAFGDSLSNLARFGQPPIDGTS SLIDFDVSLPRAEWIPWQNQVPAIEVHVDSIVQTDVVIPTTDTVRHEDVLYSWLADHK PLLLCGPPGSGKTMTLFSALRKLPNMEVAGLNFSSATTPELLIKTFDQYCEYKKTLNG VVLSPTQTGRWLVVFCDEINLPAPDQYGTQRAISFLRQLVEHNGFWRTKDKAWVTLDR IQFVGACNPPTDAGRTPLTPRFLRHAPLIMVDYPGEMSLNQIYGTFNSAVLKTHNDIR GYADDFTQAMVRFYLESQQRFTAKDQPHYVYSPRELTRWVRGVYEALREVESMNLNGL VRIWAHEALRLFHDRLVTEQERQWTIEAINRIALKYFPQIDEAKALGGPILFSNWLNR NYVPVGREELRTFVKERLKTFCEEEVDMPLVLFNEVLEHALRIDRVFQQPQGHLILIG VSGSGKTTLSRFVAWMNGLKVFQIKVHGRYSATDFDDDLRDVLRACGTKDQKMCFIMD ESNVLDSGFLERMNTLLANAEVPGLFEGDEYTTLMTACREGAQREGQILDSNDELYKW FTGKIVKNLHVVFTMNPPEEGLSSKAATSPALFNRCVLNWMGDWSDEAFFQVGKELTS KIDLDRPDFVSADTIPVAYRGLELPASHRDTVINSMVYIHHSLHQFNRRLRTQQNKTT FLTPRHFLDFVAQYVNLYNEKRDELEDQQRHLNVGLTKLNQTVDDVNDLEKKLKIFEK ELEEKIAESKIKLSKMVEAKASVSAKQTTASKLQETLSVRTERIEERKTQVDQQLADA GPAIEAARSAVSSIKKQHMTELAVFGSPPQYVKNTIYAVLVLLGQPEKEGDWNLSRAA TRSRDFQDQVANYDARTMTKAVRAKIQKTYLNDDNFTVEAVYRSSKACGPLLQWLKAQ VKYSEMLEVVQPLNSEVDELEEELVETTAEHEAIKEQLVSLEKREKGLTSEFQVLTSD ISDKQKNLDQVKSKLDRSNKLIRDLSSERYRWDESSKSFAIQMGTLVGDVLLAAAFLA YGGLYDQVFRKSMMDDWLQQVAASGLQLKQANAITEYLSVADERLHWQTNGLPVDNLC TENAIILKRYNRYPLIVDPSGGITEFLLKDFKDRRLTVTSFLDANFAKQLESAIRFGN PILIQDAEHLDPVLNPVLNREYQRTGGRVLIQLGKQQIDFSPSFKLYLSTRDPSVSFP PDICSRTTFVNFTVTQSSLQTQSLDQVLKSERPDVDEKRSNSIKAQGEYKITLRRLED NLLQALNESEGNLLENNDVISKLESLKKEAADIAEKMSDGENAMAELETTTRQYNVVA QSCSSIFSVLDQLHNIDRFYQFSLQYFLDIFTKVLRENDSLAKEPKENDEARRKIIVR DLFVSTFKQTASSLFQKHLIIFALLLLRAAPKQFYAMDKDVLDVVLSEHTQGRDLSTE TDAKAEAITKAKEISVLASKLDSIPDTAWDKFLTEENAEDHIPQPWDADTGLYDQGLL SLLLVKLFRVDRFVPAAERLVTSVFGSGFFDVTEDLKRTTEQVKLGTPISLVSTRGFD ASYKVDQLVQRLGVSCTNVAMGSKKSQDVADKAIADASSNGRWVLIKNVHLTPDWLQS LEKRLESRKQPHPDFRLFLSMEAQPKIPVNFLRASRILMNEQSAGIRANMKDTMTSFS SRAVKDPRERTRLYFLLSFLHAVIQERLRYAPLGWKQFWEFNDSDYECSAFIVDTWLE HIAQNRSNVDPKNIPWDMIRSLVRITYGGKIDDDGDYQVLDGLVNKTLHVNAFEHEHK LVEDSESGGLTVPSEGSLQAFQDWINKLPEREPPTYLGLPANAEKLLLAAQSKDVINQ ARDLSKKLDESEQLMDEGEDHNAEASA PFICI_04909 MSGSALSSHPDGKTKMEGASVDSHVPVAPAAAAAAAASQSEVQQ QNEIIKLQVKQSARYQRTSLVKIRRTSPLGAMMEFFAAQAGRHVDRLRFVTFDGQRMH KDQTANDLELEDGDLIDVLEEQDGGGGGQVHLKVTTV PFICI_04910 MSSTEVQATTDLPSGDPVPSSSAPSPSTPPKPLPLRALLEAAPS NVDAFLAHLQRCLSTPSGIDTVLLFLCYSSRLSSAALELLTRPALKRGADRLLAIASA LPPSTTILFTSTKALFPSPGAAILLELSQRLKTFSALLSETRTFLRLWGLLGMYFWGR GLVLRLREARKAAASSATGDEKASAAAKIDKLEVSLAASQLVACVIFQALENGGYLAQ KSVLKWQPATIGKAYRWSARFWGAFVGLKLAELFLDRYRRASVPKRQRMGDKTVAVFE REEAEWSTEWRKLVGRNMAWFPLTLHWSLEQGLFNELTIGALASIPGVIQMRDLWRRT SE PFICI_04911 MWEHSQTRSLWRPPRAATTLLLALTSTTSALSLANFQIITSNAI PSPCIVAYNAQIAGCTQTDFTNGNQCSTLCISGLRAEGTIVQAICGGLDVNSKSLLGL VLDGKILETLCPGADSDTTTVQVTVRPTTSSKSTTAATTSVQTLTTTSSAIDTTTTTS TSVQSTSSTAIINVPSSDSSTTETIISTTTAASQTTQATSTTSSDTSTSTTSDTKPLK GGGSPFDTVITSRATSLALPAMMILAPLLGAAMLIM PFICI_04912 MPSAETGAALCNRCKTNEALHTMRNETVCSPCFKSFISLKAVKR LEQLQRDTRTGGSGSGSGGQGGSSGPPRTQRYLLALSPGGVSSTALLSALWENQRQQR ERGQKVRFELLVAVVDTELRGGGGGDDDETTSAAAAAAANDEAAFFARYAERFPGTQF RRVALEDALGLDTVDWTALPSVDTSLASPARRLADLLSRLPSTTSRADVVRLLIRHLL VQTAVQASCGVVLMGYNTTSLAELTLSETAKGRGFAVPWGVNDGWVNLPRVKRLPPRA ETAEGEDSATKEEEDKGEIDASVKMLIYHPLRELFRKELLIYSGLTSPPLTPLLIENG NRSAAVVSHKDLSIDDVMARYFAEVEENYPSVVANVVRTTGKLNRAGNVENGSCGLCG LGLDEVGDERWRGELGEQKNESDGRGRLCYGCERSVYG PFICI_04913 MSTTTGAFIAGGIAACGAVTATHPFETVKIRMQLQGELQSKGHQ PHHYRGPFQAVTMIVRNEGLRGIYRGIGCAYAYQILLNGCRLGFYEPMRQTLASVILK DSTKQNLGINMFCGATSGIIGAAAGSPFFLVKTRLQSYSKHIAVGTQHKYKNALDGLR QISSTEGVRGLYRGITAAMIRTGFGSSVQLPTYFFAKRRLQRHLGMEEGAPLHLTSSA VSGFVVCCVMHPPDTVMSRMYNQNGNLYTNMFDCLAKTIKTEGLFAIYKGFLPHLARI LPHTILTLSLAEQTNKLVRKLENKFLPESVLEKA PFICI_04914 MFTQPAPPSTTGLLSPTTDAASSSPSAVSSRGSRLRGLSYLRSY TQTHLLSRDSGISTQPSASAASGSPSSSTSASATQHTPLTRATHSALSVTSGRRRARQ SGDPPSTSKDRNRKTTSAQNAQPGRDSSQDSQPEPNSDSDSGRGKKHKTGTEEDRQGA TAESQDNQAQSSSAAAAADMARARSATTGDLSTEVLPSIRFSAHYDPRSTRQSLSFTP ISRTLPTGKESIKVGRYSEREQQQIVPANIPSAAPVGFKSKVVSRRHCEFWHEDGKWY IKDVKSSSGTFLNHVRLSPPGNESKPFPVNDGDIVQLGIDFRGGEEMIFRCVKMRIEL NRGWQSKPNNFNMATHKRLRNLTSTTDTNAGSGHAQDCSICLNAIAPCQSLFVAPCSH TWHYKCIRELLIGPSHPIFTCPNCRAAADLDADVEEPDEEWQQLDSGGEDVNMDARDT PEAPVDAPADRSTDAAQINTVDGATEELASQAPSAVEENGDVTMMLDNLPASADHAPT ASETSTPIPIPRPSPMAVTSSNGLPDARRTPSPPNAGATVHDGPLTPRNDAGPWVFDG DAGRSSQDVSRPGAMVNLDAAATRVQSNAI PFICI_04915 MRAISLLSAALLAASVEATPVSRRDTTYVGYLVSTFTDATPQVQ QYLSNGNNAYSFRFLNDGSPVLASTVGTQAVRDIFLATNTARSDYYLLATDLDINASG FSWDAATRTGSRGIVVWSSSDLVTWSASSLVTIEADTAGMVWAPSAVWDDATSQYYVF WSSRHYSADDAGHTGTATLDKIRYATTTDFVTFSSPADYVALDDTPLIDQEFQYLGTS GSYARFLKNETTLQVYEETTTEGLFGTWTRVGGYVTTDSPREGPLSFEDNSTPGLYHL WLDNYTEYVPLKTTSITDGGYSDDSVSGFPTGLKHGSVTPLTQDEYDRIAAKYPS PFICI_04916 MRYTLVFGLLQTLAYQVSAVDLDITQPDSVKEAAATIAWGLVKY YTGNNTGDVPGNLPDPYYWWQCGAMFGSLLDYWSYTGDDSYNNITYQAMWHQRGDDYD YMPDNQTRSLGNDDQGFWALTAMSAAELNFTNPPDDEPGWLGLTQAVFNEYVERWNEA TDTCGGGLRWQIFTFNNGYNYKNSISNGCFFNIASRLARYTGNDTYREWADKIFDWEL NVGFISDTWEVRDGAGNAGEANCTEINGALFSYNAGIFLHGAAYMYNLTETDTWKTRV ESLTNSIATTFFTDGIMWELPCEATATSCNNDQRMFKGFLSRWMAGAAKLAPTVYDTI MPLLQTTAVAAAEHCVSHTSGFKGHAGTACTFSWYDDSTYEDSTGVGEQMNAMSVIYA MLVDDAITPYTTTTGGSSSSNVDGGTSASTKYQYLRDITTADKVGAGFVTTIIMACLV AALVFMNLD PFICI_04917 MRIDTYARGITHLSRLIVFGDSFSDNGNGSWVASNGTWPADPAY YGHSFSNGPKWNDIVAGDLGLELTNLAAGGATADNSFVAGGTGASSTIPVPSTKDQVD SFLSWDAPRPGDVFVHWIGANDILFNTTITGAQISSIVSEDIDRLYRAGARNFILANY NEITTFPATYNSSDYNIPSVQAYDDDLSTGLIDIAAAYSADAKTGFVDVRQLFRNITA QPSAYGIDAAYVDPPTACLVGVYTSEGVPRHLCSDPERHVFFDSYHPVTQVHALIAKM FEEVIQGFA PFICI_04918 MHPWLATPSARQTTSVGQSRQRISAARNFLHILADPVEPDIDLW CLVLSSRNSIVAVHGLNPWGRKLHAAATWTATAGSLWLRDFLPARLPRARIVMFDYNS NVTFQSSTAGVLEQSQSLLNQLELARAGKPNRPLIFICHSLGGILVKRALISAKQSDV YRSIADHTFGIVFFGTPHGGGKIAETGDLVARIVRRISGRPKNSFMSALRKDSSLANT IANDFRQFLEVFQFQSYYETRPLKYFGIVVDQKAAVLGLPDTRERSIGLDADHYDICK FESQSDPVYRQVEENIARMVNEAVKRFEIGRSIPKVAADKSIN PFICI_04919 MRSSRIIALASWSAGVWGFENKFLFPTDKDLTFHYKNTIEVQYQ SNFASPTLYTYCKVNGKSKEENKVTAPKYNATVSVTLNWDDEASECWFNLMPADSKKT GFNSPNSWSYEVGKANTTTVKADDDTTKPSTKPSTSSSTTTSASSTATSTATPTTADA ANAQVTTSSQPSATPSSEPLDDDPKSKGIKVGIAVGAAFGGLLVMTIIGMLAARFLRR DRPLKSYKSMAASASEMGLVAGGPHKPAASVSYNPVSQFEPSGAPASAPYDPHRH PFICI_04920 MLYLVGLGLGDETDITVKGLEVVKKAERVYLEAYTSILLVDHTV LESYYGRSIVIADREMVESNSDEILRDAANVDVAFCVVGDPFGATTHTDLILRARELN IPVRTVPNASIMSGIGATGLQLYNFGQTVSMVFFTDNWRPSSFYDRIKENRDVGLHTL VLLDIKVKEQSLENMARGRLIYEPPRFMTVAQCAQQMLEIEEERQEGVYGPDSLAIGA ARVGAKTEKFVAGTLKELCDSDDALGPPLHSLVLLGRRAHELERDYAREFAVNTESWD ELWGKHYGKQ PFICI_04921 MASKKGSAYGEGRASDTSFRRDWDLEEYAAKAATREAAEKEERK ARWEAKQEGKKYYKPLTGDETLTSARTRHTDFSAQVGKTSLVAGGAAVGKRGRGPGIY CEACDLNFKDNLQWVEHINSKQHLAAIGQTDEVRKATAQDVHDRIEQLWLKKQEEKLA ATTSLHERLAIQAVEDEKEREAKRLKRHEAAEKKRQELEAAKEVKMEYGEDVRIEGEH DEDDMMAMMGFSGGFGSSKK PFICI_04922 MSAFEYRDQADQAEDYYNHPLRTKNQELEAENLSLKRLLRENGI TWPSPYPFLQQHKAASRSTRSSMSSSLPHLPTEIVLKILEHSLTSKYPIIDPLCKTKK EHITADEAKRTNQIAIHFLATCKAWHTEGSRYLWSSNRFVFTTVQAVKTFADIEFRYR EMVREVNFRVIARFYDDDPSRIHKISRDTHRPAQSRQVKLRINKRAKEPTLARRGFRS YAWLQLVDFLEALLPPHDPNHDNSLPRKRLLPSLEKLRLDLINFAEDTWQFPTAQLHD IASHHLGCSLNQVIVTGLPRDDVGIRATHDLQGLLKDDGLFIDHDPTYVLGPRGNLRP LPGEHMHMRVVRAMRPEAGVKHHHHDDHPSFFDNFPPAPLDVGKPPPSDYVSCRTIWR KVPVQISKPEETAWQLFDRVSGLPWEEVEAEILMDDDYLLMDEEDMTEDQMDALMLCE NCGEAHPGPIRAEMMDDLYDDF PFICI_04923 MSSFSNTNTGSKPADPYTATNKDEPSLQEKFTALDKFIDARKFG MMTTHEPSSGKLVSRCMAVAAKEANGTDLLFFTNTESNKTDELKSDPQVNISFIDGSG QWASVSGKTSIETDRSVVKKHYSSSLKAWLGDLGDGKHDGSENDPRIGVIRVKIDTAT YSLSDKTIVGFAIEVAKGAVTGDTASVNKLREITSSEVQQWRTKA PFICI_04924 MHFQSTSVKSLFLAVFAATGVFADLHSTAVCVDNRVEFPSGGTA FSVSYSWVKNYEILPDATKCACDYYRNRNTGDNQWDQCPDCTFDGLGCNSADWHIGGD EFTYYCEEKCGAHGSEAN PFICI_04925 MKTFTLFALSLVGPLAEAAAIIQGDRNGHAEWNSTLPRPGFPGI LGKKSLAGPLNDTLPAGYFLLANGGKTEVVNEDQLKAFLKAEGIALAPSIDESWLDFT PPADVLESLKANSSALSARQSSCERTTSYVTDKEERFVNWDVQMSPVVLGTAEGITVS VASGYSVANSISASAGIDVTLIKDYLSGSAGVDYTTTWTTTTSNTYTTTVKDGDAGVW ITRPWTNRKSGRTMQGCPGSLTQTGTWQADSYEDGSYDNAKWVSGFITTCVKTAPTSG QLTRCNGGGVFV PFICI_04926 MRLGPGTAALSLLLPSVAAWGRLGHITVAYIATNFVKEETASYF KDLLGNDTEHYLAGVATWADNIRSEDWARFTETFHFIDAKDSPPKYCGVDFTRDCKAH GCVVSAIQNYTSQLLDNDLDASQRNEAAKFIIHFIGDIHQPLHTEDVAQGGNKIHVKW GHSQPNLHHVWDTSIAEQILGDNHEGDGAYTWASDLTSEIIKGKYAAKSKSWLGGLQL DDPITTSMNWANETNSYVCTHVLPEGPSAIVGQQLAGGYAKKAEPVIEILIAQAGYRL AAWLDLIASRLNASGIREDGQAVSILGDEL PFICI_04927 MGYAPRRSAPEHQTATEHSAGSYLEAFRGSNLKRTLAVIWLFVG AGLAYAPGQGPLWAIAILMNILISWQIVTMTSVGWVITAEVSSYRLRGKTQSIAVISS AFATGFFTFTVP PFICI_04928 MYSSALFRAPLWATLASAGVVVTKTGQAPTGYEVQFTYFNDTAT QVTIAGGLQTFTDEFHVSAQASANYDPKNYKSGWFRSLGLATDTPYNMTSDGQGNWQY TTPLPSGTYQYAFEVDCYNVTECTLSTGRGSVLPGNDQDAQLHVARVYSPSGRCTRLH TLPAIRLWRRCRKRVSPPIPVIGHIEPTVVVMPSFYNLAPEYAFQYGYSTNGQTPTSA YIRENYMEYLFPWVEEHYNVTTDPAHRAFAGLSLGSLLTYEMYINATDYFSYFGMFSG VLGPGTPADQYINASMVEAKPSYLERGIYTGVGLFDIAFEDVRNLQAAFDTARVPYVG RVVPFGFHYWNTWADCLWNFGHQALWKPLPFAPTDTVSFPF PFICI_04929 MQRINKSFNALLDACARTDVIEPVTGKTIFETAVDMCDDAANPD SECLRYLLTQGSPSNLVSSHVYDVLDSRIVRMKEHLNGCIKIMQHGWISEKARSLVFD WMISYLPSRSVNKDGSAYSPSKTKSDEKAAKFFPWFLNRRQLDDLWDQGLAFYRRHRS VPSQQRWMTIPWLHINAERGDIEAITLLLSRSFPSLRPARNTYLGDDEGPTPLMRAIS AGHRDLARLLIKYCVFWPRDRKIHCCHNGSSSTGTFTCNICPYGQRSAFELAFQRGDV ELVEEILRAVPCPVFSRIEKCEMPRVLSHGNAMAEWARIRLPLEWFSETDTIIELYRK GTLPHETLKRVDQKMKNLTKLRVEISEIRNACIARARSIERERVG PFICI_04930 MASLGALPVELLRIIGDYVYADAATNYAAPQSRHGAVNDLVSLT MTSRHFHGVFNPLLYHWDRGHGLYAMSWAINRHKPRTLQKILAVGVDVDREVHDYSSG MTARPISMALESRSLDCLKLLLEYGANPDGAHVSDHPYRISDSSSALYFSQSQHREDE EVALILLKHGARKYFRMPYTLKPIVSTTALHQAAACGHVKVVQHLLAEGDIEVDMPDK FGRTPFYHAAIRHEDTTAILQMLLEHGANRSSDTCILERALRDGHVENVILLLCKQTD FCHQTLYNYLYDLNYRLLERTKTFPGDSLDEDVPRIISKLIDLGADFNNPPPPFFDEH GK PFICI_04931 MADMQHADEEKQIGHDVSGVAADSSSDEIPGYLRNASQKGFFGK LRYYEEALDRKLGVESHGIARKLPEDRDPSYAKWSNQLVMFALWASGTMNLSCFATGF LGWELGLDLRQSILIVVFSTLIGSSVTGWCATMGAPTGLRQISISRYSLGWWPSKAMA LLNVVEQIGWSSVGSITGGVALSAVSDGKIGSALGVVICAVAGLIISFFGLKVVFHYE KFAWLVFLVIFMVMYGETASFGDIHTPTTLEGSTYSGMLLTLFGVVYGSSSSWASVVS DYYVEYPVNTSRVKVFLLTTLGICIPTCIGMVLGCVVASALNSKEDWASEYEEGIGFL IQTMVHPYGFAKFILFLLVLSGIGMNCIAIYSAGLSIQQFARPLAIVPRFFWALLCFV AVILLGLAGKDQLLTYLENFLSLLGYFTTSFFVIIFVEHYWFRKGSYANYNLDSWNNP KEMPVGFAGGLAFALGIIGAVLGMSTTWYVGVIAIKIGDYGGDVGNQLAFVFSLFVYV PARYLELKFVGR PFICI_04932 MQLKKSTVAFGLLPMALARPAPQMDYGENSGDVAGVSPQIPQAT AASGSLYGDESLLTGYASRPAISGGDSAVVEDPELVNGQEASEKLGLYLDFNSVEKPQ PIRGSKGATDPGPRTFEYEKLNPDLYAPPSTDQGDVPNAMWPLGLSHNKLGQDNAGWA RQQNTAVLPIATKMAAVDMRLEPHAYRELHWHTAGEWALMLKGSVRLAAIDDNGKSFI DDITAGDVWFFPPGVPHSIQALEEGCEFMLVFDDGDFSEDGTFLATEMFMRTPTSVMS KNLQANVQEFENVPDKELFIFNGTPAPADIKEQNITSSSGSSVGTAGYTYHWSQQAPY VTPGGSVKIIDPTTFPVADMFSAALVVVEPGAMREIHWHTTSDEWNYFLQGSGRITVF AAPSAARTFDFTAGDVGYIEAANSHYIENTGTEDVIFLEVLQAPKFTDVSLSQWLALT PRQVVKDTLNLTDELLDSLPKDKTLIKPGNTNMTALAGGGSNFLRGYSLNRSFRVAND DYNLRIDMSAFLYIVHINFVPLIWNHWRK PFICI_04933 MMQQTGLLGLLAAATSVSAGVIKVPLKTRAAGTNVPVTDWFNRT DNQWYSTISIGTPAQELSVLWDTGSGALLIPRSTCTTCGDKTLFDSSKSSSFSNTPGT RQQALFSTGADSIPFTVPEGAVGNLVHEKVAIGDLIVDSQEMLLCSKYAAALDVMPID GIMGMGPYQANSAEKSWYWNLYENGLLDSPVFSFYTPAGDIDGGEITLGGIDDTKYEG ALNYTAFTSGGFNLAQSSVLINGKAFTGTSAKGTAILDTGTAFMQTPSYAVAKQLYAQ ISSKITQIDSAGAWGAECDVLDSVAPDLTFVLGPTGSALKLTIPKSSFNLGEYPGQPG ICQAVFNNPLSPFGAWLVGSPLLKQYYTAWDGVNKKIGWGQLKGNGTAKLEFA PFICI_04934 MSSSSQTTGAARLLQRQFNEMRKSKDLSGVSVGLVNDNNVFVWQ VGIMINDDCKYYGGGCFNATLSFPPEYPHMPPKLVFQTPVPFHPNIYANGELCISILH PPEEDKYGYESAAERWSPVQTPETILVSVLSLFNEPNDESPANLDAAKLLREEREGKN KDFRRRVRKCVRESLGED PFICI_04935 MTLLTLLLVTTILGWIATAVILVILLPSRHVKHSPASPESVRVQ VLVLGDIGRSPRMQYHALSIAQHGGSVDLIGYNESPLHPELASNAKVAVHSLALPPVI LKSKSLPFIITGPLKVLWQIWSLFWVLGYETQPARWLLVQNPPSIPTLFIALLISVLR NTHLMIDWHNYGWTILAGTKGSNHPFVKISKIYECVLGQVAPTANITVTHAMERQLKE APYNIKTPILTLHDRPAAIFQPLSSIPDRKGFLERLPETKDLAQNILAGETKLIVSST SWTPDEDFSLLLDALVEYAQDAPRPIIAIITGKGPQKSYYQEKIALLQKDGKLPNIRI LTAWLSMEDYAMLLSCADLGICLHMSSSGVDLPMKVVDMFGSGLPVAAYSKYESFGEL VKEGQNGCGFETASELAVILARLFSDSGMDELRTLRGGAQKEGSLRWDEEWDRTLGRV FGVIE PFICI_04936 MASYALPSPPLSHGHSHGHSHSHGHSHSFGHSRRTGSQSQSGSG HHRYSQSLDQDGQDHLQQNMSHYRANSYLPLQKRENRPSPLSKQNTSLTPVEDMSPMT PARANTDTYFDSKAAASHSHEHHDHDHDHHDHDHHDHDREHDHDHGHDHKHDHSHSHS HSHSHKESCGHDHHSHSHDHGHDHDHHGHDHAHGHVHAHSAQFSKLTSLLLPYTSRWP LIHAIMTEKDSRRIFYFMGLNFSFMAVQAFYGYVTDSLGLLSDSIHMFFDCVALAVGL FAAVMGKWPPSQRFPYGFGKIETLSGFANGIFLILISVEIMFEGFERLLEGRETKRLL DLFVVSTLGLVVNLLGIFAFGSHGHHGHDHGHGHDHSHGHSHGHSHGHSHGHSHGNDN MHGIYLHILADTLGSASVIFSTILTYFIPWSGWDPLASFLIAYLIAITAIPLVKSSAH RLLLVNPDDTEYMLRNTLTGISEVRGVASYAVPKFWTDDRTGHAANGSLLGVIHVAAM RGTDLEEVQERVNNYLLDKGIDMTIQMEREGDSSCWCGMGRTSPITPKTPSFH PFICI_04937 MARPRLSIWSRIRSAFGTTGWRRAALVNTTIMGVSTLILISFLI AALAQARGIYKTVMFYSGACGSSGASRANLALHLLLNIFSTAIFASSNFFMQVLNAPS RDEVVEAHSRGSWLDIGIPSLRNAVRVSRFKTLSWAVFFLSSIPLHLFFNSVIFQTDR RESSYQLTIATEGFVNGGPYRVPGTSLVIPDSDSSDTYLGSDAYLEPKSPVSANISSV AAEGSTWNQISAAKCRNEYEQCHGLQKYRNVVIVIDQPDGWTTTYPTNYSTSYISADF FKETNGSINSLWYSAQCVMEATFQSVGFTEAPQCDNACQNRLQATADSSEETWKIDFQ FDDSQFVQSQFVPLPVSYCLAESIQPGCKIGLSVMLLLAITLCTTIKFVQCLVVLKGL DYRQSLVTLGDAVTAFIREPDPHTVGQCTLSRTGVRRSQRTLAPGPRRWHQRPRRMGS AVSKRTWICTYVIYIVCLFPVCFCLWIAGSFAGILTGTVGQSDSNFLIRSRLTSGFLS ATLLVNSPQLILSFCYLSYNTLFTRMQMAREWAMYSMRYKGLRVTEPTGSQFATYRLQ LPYKYSIPLITGSVLLHWMMSNTFYVVVFEGDYFKGEEFQEENAGILDDSLGADTQVS FGYSPISILAFIIIFLVITLAPCILGVFRLPGYMRSVGSNSWAISAACHVSAMSKARE QSLDNLSPSERESVSSLLHAPDTDAHLYSDANVIQGENRSDLAERALILGGYSQTHND DIEMRSIRHASSDSLHIDPAPDESVFREMKGSDDELRLLISQNKIKWGVVPMPPGFLD QYASFEDPVGHLGFGVAADDVGVPIEDWYYA PFICI_04938 MSSTDSIKESVAARLPHIPESKEELKAEVKAEAKAVASSGLSQL RSFAAGGFGGICAVVVGHPFDLVKVRLQTAESGVYKSAVDCLKQSIARDGLRRGLYAG VSAPLVGVTPMFAVSFWGYDLGKQLVTSYSTVEKNAATGQPQLSIGQVSAAGFFSAIP MTAITAPFERVKVILQVQGSRLQPGEEPRYKGGMDVVRSLYREGGVRSVFRGSAATLA RDGPGSAAYFAAYEYIKRRLTPKDPVTGAPQGDLSLLAVTAAGGAAGIAMWIPVFPVD TVKSRLQTAEGNVSIGGVIRELYGRGGVKAFFPGFGPALARAVPANAATFLGVELAHQ AMNKAFG PFICI_04939 MGRPPAYLFVVRHGNRLDAADKQWHMTSPTPYDPPLTYGGWLQC RQLGARIASILQEKEAEDEAARASHNNGQNGNTEKPKKKRYRVVLHSSPFLRCIQTSI AISAGIASSPSPFTQASDYPPARLQASPRPQTPVSSDPVSPRTRPALMTDIPPMQPTQ NSDHLEKTILRLDPFLGEWASPDYFDHITPPPRSSLMLMTAKAELLRKENYITYTDPN PRPSTPTTPSRLWNSPTQSRNASLDGLVKPGDAPAGSLNNGVGEHRGSHKVAYSESAI FTGYIAPVPAYAISPSEPIPQGYVAHARDACVDIDYQWDSSRNPIAWGDGGVLPEEWA AMHQRFRKGLKRLVDWYMTTDHPGHMVTKTPITPNPSKLENNNTAKSHTLDDEEDIED VVILVSHGAGCNALVGGITNQPVLADVPMTSLSMARRRPGYAETQSAMNEHPVTSLDD GLSRKPVTVQDLYELSMFANTDHLVRSGNVSRSSSVAGASRGHSRGLSSALRDINFGA HYGQPRDHRSNSMSSSISGPRNMSGGTNGVTRLPPLLSNNAAKGGITVGSGVTSFGTA PRKNSWGLWTPKQEPTNPEEELDMPMTLDFSHEKEVKKSSPPPETIIEMPEHEHGHLP GLPASIDTEEHDKFDSKTSIPPSSGMWGSPRPPDDAETLRDFSSQKRRWTVTERQL PFICI_04940 MRNAALSVLGITATPSSTGTPLSYAAGSERALTLSNLANIVSFG DSWTDIRFDVNGTQPSLGIPFGNTGKTSSNGKMWPQYLAMKYNTSTVLGYDLALSGAV VDVDIITTATHDMDEQVNEKFEPYADQSGLLPSRKTLYTLWVGNNDMNRSYNGTDPAI NSKVIARYKELATHLHNVGARNFLFLSVPPMWLFPSNMANGADLAKLRSAVEDLNARL QKMAREIDHDLAYTSVYYFDITSLFGTVVEDPGQFNETAIYKDTTSWREAYESGTSKP DYKSSKCTYSALEYLFIDGAHPTQPWHDLMANRLSIMLDNGESLS PFICI_04941 MHAKHPSFLSLFLGSTILAGLNQNFGSASAVPRADTSGRNRTRL HEGWKFQLWPKAPDGITYDLRPDNTGQDLEVLKPWILPSANAFIPNETDHYSQPVGQP ETVIPYVSPSFNDSSWSDVEVPHDWAITEAFVSRSITSGDMGRLQVQGVGWYRRPLEI STSDRGKHIYLEVDGAMSYAMVWLNGQLVGGWPYGYNSFRMDLTPYIDFSGDTNNLAI RVENPQDDSFSRWYPGAGIYRNVWLEKVNSAVHVAQYGTTFVSSEVSSKSATLDLSVR ISNKASNETSNITVITEVHNFDSPSGSVGRMVATFPARSQSISPGATVQVNSTITISK PYLWGPPPLQHPNMYMAYTRLYNDGDLLDTYTSTFGIRTIDFDPNNGLHVNGQKVFIQ GVNQHHDLGALGTAFNVRAATRQLQILQELGVNTIRLSHNPPAPELLKLTDELGCLVL DEIFDNWAEGKRDSDFSLIWQDWHEADLRAFVRRDRNHPSIFLWSFGNEVLEASDDKE LAGQIAANLTNIVTMEDPSRPSTASIFRSVPGEAFPNALKVLGINYLGEGARYGEAYV NLTGYRTDPLYEPFHNEYPERMIFGSEVAASASSRGTFTFPVTQYDSCPIDDSHGGNS TTSTISGYEIYTADSGSSPDRVFKTQDEYPFVAGGIVWSGFDYLGEPVPYRTSARSSY YGIIDLAGFKKERFFLYQSRWRPDLPMAHIVPHWNWPERDGQVTPVHVFTSGDEAELF INGKSQGRKKRGAFEYRFRWDDTIYTAGNVSVITYKNGTRWAEDIKKTTGKPAALQLT ADRATIIADGEDLSFVTVTVLDSNGDTVPEAANKITFSVEGQGRIVATDNGLPTDMTR FTSLSRNTFSGLALAVVEGLSGLPGKIVLTAKADGLTSANITITTT PFICI_04942 MKFCVRVAIGTILAWCQTVHGKAFQYEQRDTNITFTNPILPGFH PDPSCIFVEELDKTFFCASSSFLTFPGIPIYASRDLQNWKLASRVFSRPTQIPDLKTT LTYSSEGGIWAPTLRHHNGTFYLICTFVHIGAAGSRNFKNFVFTTASLFDEESWSDPV EVEMHGKSAIDPDLFWHEDGTVYMHTGWGRIYQQTVDLQTGESSVPVVVWNGTGASNP ESPHIFQREDYYYLLIAQGGTGKEHAVAVARSRNISGPYESYTGNPILTAAGTDRFFQ ATGHADLFQDSNSNWWGVALGKRSGPDYQVYPMGRETLLYAVSWNEGWPTLDPIFGKM QGWAFDVTNTTIPGIGSYIDAPDHVNFGGTEIPTHWVNWRFPDSDAYSVSPTTHPGTL EMAPSFSNLTGNLTTAGPISLVGRRQTASLFNFSVCIEYEPLRVGEEAGMTAFVTQLQ HIDLGILRGEDDNQVIKLQTTCDGATDTTPPSTVVQDLPETWYGQKMYLNIQMSTPDT YTFSVSRAADSSDLLVMGDVNAIYLSGGSGSYTGVLLGVYNTINGGNGSSKAWFNEWN YTPMAQEVDVGVFDYI PFICI_04943 MFYSKLPAGLKSIASRRYATVRDQQNSSRTGEKYDHILTSWPNG SHITPYDILEQPKDQLYNKRRFYQLVMVYHPDRWQYTSYHGVSKAARVERYRLIIEAD KILSNPVKRKAYDERGVGWGLGSPADHGSNRTGFREHESHHKAHMYRHQQGHDAKRNA TWEDWEQWRDPRKRYESSQRQAVIICNRSFGLVLLLLAMIGGCGQLARMDHLTTEIAR QRDRNHGDLCDQLLEAESRRAIANRAELVQAFLVRRAAASFDGQ PFICI_04944 MHLKLCMTSFIFQTLLHSILALVLYQDTGSYSPSLLTKWWIWGC VATVAAVILVLGSTYILRQGAYELFLISHIVMAVICVVGCWYHVYIGYENTFGYETWL YATIGVWFFDRLVRLARVLKGGFRHSIVTEISPTIVRLDIPSIRWAAPGHCVYVYFPT LNPLKPWENHPFSMVQTAALARQKHNVSQSATDFRDSKDDTVQTRSAAVAHGSPYTTA GVTLFVRKTEGMTKSIKPHHGLLTLVEGPYPTNHIKSVLQSDRLLLVGGGIGITGLLP FVTRYPNVKLLYSIKAADQPFLDVLGPLLDVGQEKEIVVGRRLNLDALLQDEAETGWS KIAVLVCGPTGMCNDIRTIVSRLSREKANACTFELEVDALAW PFICI_04945 MQPRCLSYSSVLVISWRILSTAARGGVGRLVDLSNANIVTESSS QLFGDLLNDSRTRGGDKIARILQGIDSATRPSCSDYHAPGAPMSPACNADKCCIWSHI TGEILPSFKGCTDFARGAIRQGFHDAAAWDVFSETGGADGSLLLSDELDRIENENIHD IGNLTKSWFKKYEDWGVSMADLIQVSAITATVACPGGPRIRLFVGRQDSDEANPAGLL PSPFDNADYLISLFENKTFSSTDLVALVGAHSISKQISVDPRRIGASQGITPKVLDTT FFENTLQGDNKTILIIPSDAKLAASKKTAKQWEMFAKSKATVAWNLAYARAYVRMSLL GVNNMNDMMECTKVLPPPIPTG PFICI_04946 MPRKEEIQKDIEDDREHDEKNVKLQALKNVGRGLLEVADVVVDV AADVFSPVGVCFKAVKLVLQLSAACKKSLFAESLQTLLSSKGERVIRIFAPYATGISP DAQDLMSESLKSTLYQLMVHFLSICADCAIATGLPKPKRLRGKLSMYKRVVTRVIAGD AAAVKAREERLDDLLGQLKATIPANTHADVLEVKAATQGLVDQFSRHTSLSLDDSNLT RIKEHLGFDHHNRNWGYWKRSYKSLLDSTLVDTGEWIKSNEDLVEWRDVDKSVPNVVL ALEAPNGSGKTHACFWLLRHLVDLQKNSDNQTTRANVAHCFFESPGDTEKIAGSSETG MSLRDALAGLVLQLTETDVSFRTFVAKQCHQVGNTTYNGHDIWDNLIMDYLMAFSKQS GQDANDRKKSDTKAGRIFFLVIDGLEMAQGSQQDEDNTVLVRHIIESIRCHQNQTSSM NAKRNQPFQVRLFISGTEDYLKKVSTPGCVPRVSLCECNANDLNAFIGKKGQPALLKL EDAKSRLEKKLRLLARSGRLRISMKTIDIDLFRKKLIEESKNNYIKLQSYLEAIERAS DKKLEEILSSDSLSEVYIIDSVRNSIDTLAKELRTQSQEIDVLNEILPWIVLPKEGRP TVAQLESVLRLKYGRAVNIKERIEQKYKSRSLLWCRDDVVFMSSNALDYLKREGGTAT DNPIATSGQTNNVQKPNRAILHRAQQPESNERALRRDAMPSYSGESSFEKYSHGEPSG ISYHRVDGHCRIILSLLKAVCPANAKIAEPLHDYAINNMLWHLSEVNPAEILTLGVER KREIGRWLYVFFMNENSVRVWFREERLSSILGDDWWESLDAVLRWFQDVEVAEGALSV LGTGGSSLILERKDLLERASEILASEWLLKSSWDAAKAFRRIAQLPKEIVDLEMSDQM RDRIRYDPDDNEGITFEHVRAAERWAQTKLKENKTKALRHTRIAETMVFYDMWDGVPS RCQKALENDSQNWKAKWYLARSLQRQNDHAGAVHQLLELMNLFKDNPGIKKKYHSAFE DIFKRFLDSSQDLDDKGPIQTFLKSFIDKPVSDPNLIAITLRQLKEAEYANSIETFFR NLLERESGQGFIELLFRFAEDKSFHENLSNKLRNIPDLLLQGYRRAIYQAHQKAQTAD SLSESRLAHLRYYLAVALLYPRLPDSASRATVAGAMVTDGLDQKLRSIEEARQVLQRS VNRWKRRDCPQDVEKIILGKSTRALGFAYVEWARAQSQLTDGLVEPELRDGLPKLELL KQQQDERLVEQDLRFATLVARTYRHLGKGSLAEKHTRRVIEIAFAILDDDIPDNDWEG YDILAQALASLGREKDALAAWSLVSQAVEDEDDNSRSIDDKDKPSKGTAISMNRSVIN VTTDRVTGFDGDDDSDDRSELGYEDDQAITGSVTQQPAQPHSGVFFVCNGNCGYEWQG RIEQDMYLCMDCANVRLEHGCLKKLEDAKLARRICAPDHKFLPVEKWTKEGSPGVGKD KVKVGHEVFSVKDWREGIRKKYLQ PFICI_04947 MRPLTPLTLAIVHPINISVAIELSHPLSQGSVHITSATDEHTDT NEGVSVDPHYLVNSLDLKILARQVRFTKDAITRAEPIVRHLKSYYQETVPTIILASAP PFICI_04948 MADAHPDTPVLEQWNAQERKLQEIIDDVRSHGLDLDLDLPQIVL LGNRNSGKTSIVEAIVRMRFTTRRTNFPVEWVLRTTESSEIKVKVCHTSTSTCGVEKL PSYTKEGVVSSSYTKVFNEATQVIQEALQGGATISEDTLQVRICRAYLYEINFIMIEL PRLDNSEQAEHSAADRALVESLANRYMSNQNAIIVLVVSAQDQTAVQELLQLAKLHDR NQIRTLGVITKPDLLKPGSEEEANIIRLVENLNQSARLPLGWHVLRNSESVERCLGKD ERDKREREFFNATSWSSVPPENKGIGTLRGRLSRILMTRAKRNLKSLIDGFEITLSER QFLRKQLGEPREGPKERQAYLNNIASQFQAVSLQALNGNYLHDFFGRLDLDDTGMAYA QIRVRKLRVLIRDLNRTFAFILQTKGHAYEVLPKGVSIDDYTRQHNQKSPCPAFAASL HNLMRLYPTEDPKLMTFQDTVKKLDNEFVVGQGIEFLGTSNDQLAAEFFRHRARPWGA IARSHAQIASQMARTFVNELFSHLIPKNNRTRETILNEVVEHFFETKALVLERKIEEL LHHYQHGHPPSLDLGLGTVLTDRQRRLIEKEFLKRLLETQPGLFTDTARENLGGNVNS KPESKFDAEDFFDKARAYYQTSLHTFTDNMIVLVIENCLIEDLPNIFTLQFVGQMDDQ ELEKFAAESNEIIKQRSVLRDECEALERGLDFFRRYKDPKRKRTSFNELDSLSPSSTA RPGKRRRLAPETIWEALQKPQIVPPQSSVSKQIQ PFICI_04949 MWSNGDGRQSMLRNLRDTCEQDDGMNGYGWTQYDTRTGGSQTIY DSKLHIDLTTEFFKSGDGLGWVVRVSGTPRSGAPADLKTALVWHIALEGAESMPNQGN LNCTAAKGHQAGANCFGTAPGLGPFELHQLSNTGNAFHEGTAVKSVTVTEDKIWKAKS VYMDVIKAASGKALLVNEPGNGNMHFIQVILEGPFSIDFTFRSNQSQLVTSDDFSSKS NAFYSSFPDQIDTVFPRSSPYQDQKYRSFISSLLSNLLGGQGFFYGDTRVDYSHDTAY EETSLDFWVQAEAAMKRATVTNTPPSTLLSHVPSRPFFPRGFLWDEGFHLLPIIEWDM DLAVSSLQSWLSRMDNDGWIEREQILGEEARSKVPKKFQTQYPHYANPPTLALLLPKI ISKMTNESEYRGHESIYVTNLDEARRLLQQLFPLFKRQYEWFRRTQAGNFSAYPRPFN SNEEGYRWRGRSPGHTLTSGLDDYPRAEPPHPGELHLDALAWVGAMASALEIAAKYLG EDASTYTEQLENIRHSLDTIHWNKSALAYCDATIGTDGSFQHICHLGYMSLMPLLLGH LNSTHEKLTAVLDLVAEPNKLWSPHGLRSLSADDANYHTDEDYWRGAVWMNINVLAVL RLRDIGQDDNAQGVRARRLAADLRTRVIDTVCRSWEKTGFVWEQYNDQTGEGQRSRAF TGWTASIILLMGLDDIATDSLDGSSRAGIFGWSSMSAMLFITGLVFLAMFRRQLLGFC KRVGPGLLKRVQMFPIKRAYQEVVDLDELERPDHPRAS PFICI_04950 MAAPLPPGKVELDAQHVEELQESLTQQRPVHYVPRNEDERRLDK KVNLKLDLFVVSILALEFIFCGIDKTNIGFIATSSFIKDANLSPDDIPNSLSLFSATY VPLQPVMTILARRIGVKYFLTIQLIIWGSLCMCHAAIRGSGTLIALRLLIGAAEAGFT QIGMYYMTTLYPKYAVGFRVGMFTGMYSVAGAFAGLLAYGLLKINTSSIHGWQVVFLF EGGITVLLGIVSFFILPRNLATAWFLNAEERAHAVYRMQVDLAGTQEEGDADSTSVSK RDFIDVARDWKKLLTVICNITTVLPVTAFTTFLPLVVQGMGYSGIDATLMSVPPFVVG TVGLIIIVYSSDHLRERSLHTVFGVMIGIIGCIVMAASTDNRLRYGFANVCMAGVFVG GPLIAVWLAGNTPWKGTRSVMLGVNGWSNLAGVIAGQIFKSKYAPRYETPLIITIAIM ATGALGLLFLRLMYKRENRKRSEEIAQWDETDFAAEAASVERRGDQRKTFMYGL PFICI_04951 MASTAVESKIPNEAGSSFNVEDAAAVTGVDPAKIYEEYRHLRQH CPVIHTKQYGGYWLMTRYEDIKRAALDSNTYISSVKAVVPSDPRGIRRPPLNFDAPAH TPFRTALDRTLKPARLRRLAEPLRKHAEEELAPLLERGRGDVCAEFAANFVARLEAEW LNLDPDIAPVLAKAAAAWLNAWRMQDGETVTANSTKMYQIARDLLADRRKNPRDPEED PASSLLLEVDAEGNPLNEEHLVGCLRQSLVVGVVAPPILIGSICKHLSEDKELQQKLR ADESLIPAAMEEFLRLYSPYRGFARTVSEPVGLHGVTIRPNEPVTICYSAANRDPEVF ENPDDFVLYRENIAAHMGFGRGRHRCAGMPLARLAITTVMQVILRATRDFEVDGELQF SRMPELGIISCPMKFW PFICI_04952 MFSNWYVTALLVVAQASIIHCQNSQASVTIANGTIVGSHDSTNK IDKFLGIPYAEPPVGNLRLRQAVPLQQSFGTIQATAFGESCYGSRNPTNSSEDCLTLN IWRPSSISQNETLPVLVWIYGGGFTNGYTADPRFEGTDLVRISSEIQKPVILLSLNYR LGPFGFLNGLEMSDLGLLNIGLLDQRLALHWIQENIAAFGGDPTKVTLAGESAGAVSI YSHMMAYGGRNDSLFRGGILESGGAFPLTLPNTTAFQSTFDSLITNTTCVQFAASSSE EKLDCIRQLPVEEFRSKVGSSTGQSIDGDFTRTSLRFALPDGSYLGVPTIVGTNTDEG TTSAPTNINTTDQLFEPLADGYFRPQRLPNDVVSTLLSLYPTDPALGCPYNTGDVQLS SGKLDKMACSIFGDLVQIGPARFIAQTLAQHGHPVYRYRFNQLPANATDVAEGITTGL EQSYVFSNLLPDNAWDRALAYRMSAAWASFAHGLDPNWSNDGSFPAWPQYASQNQSMV FNGYGSSIEEDTYRGSQIEYIISTVLPDGAA PFICI_04953 MLYLPIIALVGAAFAQDHGSTYGGTGPYKSYYFEVESLVNHTFY QPLQSGFESSSSPSLKLPVVVWVGLKFRGFLGEVASWGVLAIATGPAFVDPEGYVDPN ENATSSGDTLVGENPAALTAAIDWVYANAGKGDWQHIDSTRIGVWGQSCGGLEAYAAG AQDDRVSHLGIFNSGQLSANASEEVASNITKPVFYVLGGPTDVAYENASGERDYADLP AETPKWKGNHALGHSAAFDYPNAGIAGVAGSHLAQWVLRGNETAKEWFTGPGANETGF QDVVYENLDAIKVTPI PFICI_04954 MEQPKSVNDGLLNRLLTLCVVKLIRKRIIQRKVKHPGVMFISKY CIKSSAFTRLAEANTMQFVSENTTIPVPKIICAFEHAGRTYIVMERIDGQMLAQGWFK RSETSKARILEQLRLMMLQLRSIAPPQDTGMANVNGGPVYDQRFPTHSYWGPFKTLQD FHRELRSGIEVDHIDDSSLAAQLRPLIEFHNQPWSAPVFTHGDLSSLNILARGDDVVG IIDWETAGWMPPYWEYTSAWHVNPQNMFWQEEVGKFLTPLPHELEMEKIRRRYFGDY PFICI_04955 MLATSMILVRILVGKVKNREWLESILRSIPIREDQTGWNCVSWV QEAVESLAVDNRALGTAVTDWETVRDTLLWYVQQKAAEHRFDGKAEPDSFDRLKVATY DLVDAKAETVP PFICI_04956 MISMQIGSKSIVFRVRGSFSRADLPTVLQKCLGDDYHPSIESFA RQADENLNIATVTFGTTPSGLLSSDQTTFNEQNVTFDTHFRGLSVLYSPEPTQHRFDI IAICGLGGHAFGSFKERDGTHMWLRDSLPQDVKNARIFIYGYDSQIHGSTSFQTIESL ASSLRNHVEGTKSLAGPLHEMPIIFMAHSLGGLVLKQALIQSVKAKSKLSTRTRGALF FGVPNQGMHISSLIPMAAGQANEAFLHNLQPESELLRSQSREFPGAFVSRAARIFCFY ETKTSPTAVRGADGKWQMNGEHVVFVGSASATHCRPWENEAHHVLGLDRDHSALVKFR SRDQDYTKVRNILQEIVNDQYKLPTPKPNIPEAEQELTDQCLKVIGHTNPIDTMRRIE ITKDDLVAECNEWIKSDPCLEQWMNDPQHRLLWLSGDPGKGKTMLMMSLVQELRKSSS EHHILAFFFCQNTDPRLNNAHAVLCGLLWLLVRENPMLGRYLHEDYKQQKDFLDGPDA FASLRRIMTELLQDPAFSTVYILIDALDECDQGRGDLLKFIGENATSPQSKAKWLVSS RNHLDIERGFKVRQHDVLSLELNAAHIAAAVRYFIDRKVDWLAKRNNYSNKLRDLVQS HLTDNANSTFLWVALACKHLSDTAKRNIPAELAELPAGLSSLYTRMLEQLRNGKDHEL TMSIIKFVTIAKRPLLTEELLPLLAQEVSANSDEPFEDLFDNGDLLDLIKLCGSFITV RGGVIYLIHQSVKDYLVREEGTKIFSPRMNEEHCTVVDRSLTILSEELMKDTYAHDNA CQPASNNRQRLRSLGYISCFWVDHLAEYQDSCSIGEEENFHRHESKVYDFMLQYFLKW IVLLCLLRQLKAGPVTLGRLEAVAKRCTGDKLYSITHDAARFLMQSYLAIEQDPLQVY NFGLTFAPQESVIRQAYAGQTPNWAYVRIHGNWNPIQHILSGRSVNSIAFSPDGRQLA SASADRTVRLWDTVTGKHLKTLERHEMAVQSVLFSPDGRQIATASFGDTIKLWDALTG DNLWTLQRHTDFILLIAFNPNGKWLLSISGPNDERNLWDTVTGRNIRTLGGRGGIEGV ALSRDGRKLVIGSLDRTVRLWEIDAEEPSGVLYSHSRKVKSIVTSPSGEYLASSDDDG AIGLWNMSTRKLSWLEVQNGGSNRITFSPDGRQLASCSKVDRMTRLWDTATGECIQTI KSNRQMPDISFSPDGRQLALLSGDNIVELRDSSTLELQQTLISNQRYSSHFVFSPDGR QLALVSSFATIELWDVAKNENLQIHGKRTDAACSIIFSSDGQLMASGSEDGSVRLWNA TTGHIDPVKAIVFRADGKQLASGSEYEVLLWDTETWEIERKFDRRTGWSGGSIVFSPD GQRLAFSVKDDEVELWNVATDELLQRFQTHESVDGTISFSADGQQLAVACNDRRWRKD MSPPQFWDIASGEVLRTRVSEDLVTGPISFSSNGQYLASSLRDGDVLLCDAKKGDTLY KLEGHKSWVTSLAFSPDAQHLATGSEDHMIYLWHTATGKVLMTLRGHEGQVDFLGFST DGERLVSASYKDLTTWLKSICLWNLKTGQAIWGDEGHSLPIRSLAFSPDDRQLASASE DRTVRLWDTSTRKSLQRLKGHTDKVYSVAFNSDGRQLASGSKDGTVRLWDTSNGTNLQ TLRQDAASIELVAFSPEDQRVVSWSGDRTVRFWDPASDDKPQVLINKSALEFRHLVLN GLDHLEVGFSYNEDSNWLCFNGCRLIWLPPDFRPRVSAVHGNRIAVGCSSDLVYILRL DADRLPTTRNIE PFICI_04957 MVFAMLSLAWADSITKAVMPSTTASATARTVLVSVSMILTLAVF IAVQAVQVGLRASLTDGSTPTQQSRANTWAGRHITISGFLAYFAAYMGPTKDEAGPEG TVFARTSIVTTIYLAITVLITCLYIPDDQTTTLEIPRTQRVAGMRLIRRVLLGNSSQI RGILLVQFFSWLGWFPLLFYTVPYVKSLDQSYQTTNTNSGALAPLSYSAVSMLMAFIW TSRSSRDGTAFKFSASLSISDRDIWIASHCIFGWSMLGTFFITSALGTVLLFSAVGIS WAVTSRVPYSLMGDELSRGSSEWSEDEFDDVSDHHGLVYGLHNLAICLPQILIMALMG LVWLFTQTDDSTSGVVWFLRLGGLSAFAAAYFATKLAENQSSVGDLIEVEQQR PFICI_04958 MADVNIYDISAHHYIGALTVLVDILKKAAQQPDASTFPDATLIA DMKPLTFHVQSVTKTVSRSLSRLLETEVQAWEDTETTMEELIQRAEACLAMLRGLDPA TFVGKEKTVISLPAGEFVGKQFILGFGVPNIYFHLNMVYAILRMKGVPLGKADYLSPW HRPEA PFICI_04959 MIKRSPDEMQEMEVDSPTSLPVLNRRNFHPDASIVLVGCRGAGK RTLGFIGANYLHRRLLIEDHYFQQATGCSRAQFLEKYGKEALNTQLTLTLAQVLRDNQ YNCVIECGMGTWSKETKELLRQYSETNPVIYVHRDKEETYRLLKIPVADAERLFLADG KLRDCTNVEYYNLSDNSGEGDESSKSGLRSVSMASARLLQVKEDFRKFVDSVTGQGLT RSWLENPFSINAIPPEYRAYSFVLRLRLSDLLSQDINMTDLESGADAVEIIVDTWPDN ILDFLAMQVALIRRKLNLPILYHVEEVPREERQRTQDERDIQDLRLFLHGLRLGVEYL SLDLERNESIVSTVLAMRGRTKIIGNFTMKGFNAPKWTNPIYLEQYRRAQVMGCSIVR FARFCIQDRDANGRQQLLDAVAAMPDPKPHIVAYEYSVLGAVNSSILGDTEGPFRSWT FIPVGHNAIKGSSREHLAGANSTRGSLRIMFRHGSLQALKFYTLGSQVYYSVSPAMHR AAYEALMMPHSFDARACDRLEELDRLRREPDFGGAQLTAPFKVVMMDHVDHLSTHAKA IGAVNTLLPLRGESSSILEHANRRNRAGSANKFYGDNTDWSSIMTCLRRGISPRNTVQ PSRTTGLVIGAGGMARAAIYALIKLGCRKIFLYNRTKANADKVADHFNSWARAHNTMH NGSEICHVLESMTQSWPEGYQQPTMIVSCVAAGGEVHDSKVNFRLPTQWLKSPTGGVV LAYEPLITAMIRQMREIREAGNSSWVIVDGLEVVAEMAMESFELLTGRNAPKSLMRAV CNENWEKNCAPFEATH PFICI_04960 MSDAESDDGKRASKKRKASRACDRCNVQHQPCDNASPKCSVCER AGTDCTYNRPIRKRGPRTGYTAQHGERLWGLILSANPDLEDIVLQILASGTYGNTGIP NADYFRNNDHQSELVNNFNESRLGRFVQHGELPSLDELRKNQVAARAAAHLSTANNVP HGISHGLSDVGSLKGPRSRRSTISAASRPSPIVNPHGAPQNPGDIYTLSDDIRKRSFQ QEPGEGSQTLGPSPHAVAQTPDWNSFAGTGNGNSTAAFDFMPDSFTHDYGSLLRTPAP NGGSISATHNSNASTSISKGPEASLTSANIAGLQSGSQSVDFDFPDINQW PFICI_04961 MDNTDSILADKARRAQGEVHRPSDASVATISPPPTIDEDEYQAE GSGSQEPEPESSHSPGYFAPSATAKLPPYSSPSISSLDAQNRPALPKGPQYYPGLPVL DYRQYLPPMFELSPDTSTITSRAHYLSENVKALATLLRNLATVPPKPQIHITGNRGRK VDFSLKLNLMSLLIPDDPTHRMDYVRLINKDEMGYRGGQAPSLQPEINDASLEDWCQE FIEDEATNKSFVLERVVANMDTNWLEGQIRSLVASTNYKGVVTVTFPVTHSRVVVQTP DKVNKFFTSVSTLFSGRSKYEVVKAVWPFATHRNGEPGRRCIVQSEKTWWEEWKDPIK YGVGTKRNGWVTNEDKLECIMESKGKGLSIVDWGPDF PFICI_04962 MDTHAPVNKKASKPAHNRHSAPPYAIFFALSILKHQSRRGTDQL ELLKFCRKCEPETFVLGDTSKLTKRQLEDMCSADRSQEECIEIITILDQSCSMLKDFG GFSCSCKTVKVTKQGCLTYRGSLVTVSDIRRTIFEIRCVVKSIQGCYKRLGIGKEGYR YTLTLWQLYNACTIWRKHRPLLEYHDANPSEEYHYYPEKALAVCDFVREMNPPTTGYE DVRQRRNRGVESLRDAAQPKTNKENVKSAARSSTLREAKPRKQPSNAHQEYLKTAPWR AIVDQYRESQEQHKDEAQEDGSSTFVESPDSEKETASQDDTVGSGYESPTFWAYQRPK TFQYLSRAYHRCRRSLVSKFIPSPTRRALEEDTMPLLGDSDNGAEADADDDYNEKGDK KSYWSDSDGDWQN PFICI_04963 MVEMSDELPLGNGQMQQKVRIVAQSEDISLRPQRKRGRDIAGYY ESAHWTADGTTVLTSDSSDTVSAFVLPPDLLEDRTDPLALTPQVSIPLGETSNVLASA PYFSLPEAYTNQVLVSSRDHPIQLYYLFPPTSSSPNDICGQTPVHAPAASYPLIKARS ETFLTASSLLWPAPGSHFIAGCKNFLARFDVTRAGEEPVLRLKTIPSERHLSKGGGVG MRGTISTLSAQSLDQGSAGLVAAGTWTRWVGLYDFAQAGECVATWGIDSATKETATAD NRSIGGDGIIQTCWSPCGRYLLVSERKSRGILVYDVRVTGQLLGWLEGRDATTNQRIT LDVFPSQDEVGFEVWSGTTSGSVKVWEGVGLVAGAQQPSWEWQASESTIGSTCLHPTG TVIATCSGSWEFPVDDTESPAGKAPDHTTENGWLQRRTKESTLKIWSLGASSGYQSSD PQGTSA PFICI_04964 MDNFMEIVQDRCEQLRHVGYTNFFVSILIIIGIMFSYLLQHYRI ISRGTSEGISPYWVLLGVTSANSQFGNILTLPQSRADVSCCKDVSPFECAAGLLGIAQ IGVQWVCFAIILVLFLIFFRRDDVELDAEEEDEAETETDQPTWRTAIGVAAMCLVHGL FVVIISAALALGAPSYLGAWANVLGIVSAVLAAIQYLPQIWMTYRLKHAGSLSIPMMC VQTPGGFLFAASLGARLGWAGWSSWGVYVLTAIMQGIVLCMALSYEWPSREERDQLQR PHYSRRTTPRVIPSPGPYSAHLQAYADTQEEIERALDRESVQGVGENQPLLAPGGIGS SGVR PFICI_04965 MSCISLSGSTACPAFDSASISTSTTLVNLYPFLSSVSDRASFDS QLQTYVQTSYVESKYENLLGCDNIDLTNTTELYARFTTSVICNGIIQNSVDDCGLSGD AARALCADSCAQFAESEAYVVSDSDLCLNPNDDTTTQIRADFTNCALPANSLSSSQCI TGSSNEPDNCGYGNSTFGLCTYCASGGINSTDTCCYNSDAESLCANVSLPNITGSVTL ITSTATATAAAAAAKSGLSGGAIAGIVIGSVAALALLGLLAFFCLRQAARRKEAKSQS NSIFNRPSPSRGGQPAVAQVPSSAAAAPTGYEVLPGGRIARMSALEGHSGESPPRHEP TSKGAMAAGAAAGYMAGHRRRDNHSSSDEFGSSPSSGGGSHRAGILRPPPTMLRRQGS LSSNSMLAGDDVQSPSSAGGMSSPNVGSQQSEQLPFFKDYYSQDDIHPGDRVSVLWAY QPRAPDEFALDRGDMLKVVGIWDDGWATGVLVDERADEWEARKQSQRDSGVSNASGRD RDTSPPASGDIKAFPLVCVCLPEHWRKTIEGDGSTESGSSAHLGHHI PFICI_04966 MTSSKQTPILYQKSGACSLVPHALLYHLQAPFRAVPMAPDANGK YAAADGSLTHDEYVKRIHPSGYVPALDVGDGTIITEMPAVLNYIVNMAAGVEGGVNQQ YADLVGRTALEKAQVLKWLAWLSGTLHAQGFGALFRPARFVGGREDIYPTVQDKGREI IRMAFERINKALTGNQHLVGEHLTVADFNVYVFYRWGVQIGFDMAETYPEYTRVMRKV ESLDGFKKAIEVEELKFLL PFICI_04967 MKRARIASRLLASAPRAARISRTTHQLPLCTRRHASTTSEQDEA NSGSDIYEQTSADTSLQRSARHVPLPSPPPHKAKSSAKLAALHARLSLSPKIPVETLA RALVDSSADAHSKYNNTNLAYIGATLLNNHASEHLITRYPRLPMSILFAAMKGYVGPA VLHQVARAWGVETAAAPGEEVDPGLLQFDSQQPTTLMTRWGYVRAESAEIQRFKWRRG VSSRVMYDDAFGEMIPGQRSVTDRGSEFLAENERNTKDMIVQDFPQHAHANFVRALVG AVNLHCGRDAAKNFIKSHFLSRKLDLANLFEFKLPTRELARLCAREDFEPPVARLESE TGRLSRTPVYVVGIYSGNDKLGEGAGSDLDSARVAAAINALKAWYLYSPGEVGVPSDT LAEDAGPWKPAYVDIGEIIS PFICI_04968 MGGGPRVAYPKHVWSPTGGWYAQPSNWKANTAVFSLVVFGITGL AWKLSADREYRHKMPEPGRFFPSRYWSKQIVEHEQAQAGKKSDS PFICI_04969 MRLPQLCRAKQRLWLARRHVQSAPISGWSSSLHLTRRSAALRRP GHETRQATLDRSGRQFPRAFFTSRKQQQEQQEQQKNSGKGILPRAVSAVLVLGGLLVY TVYPGQELQSARLGRETNSRLDDITDQDAQAQEPTAWSSFANKFKDLSTVTSIEWSSL SDRMVEMILPEWSKAFPAYFAKLQRELSMAPGSLADEIWNEAHDPFAHPEIRYKAKVR VSDELCDEEKEFLARRKKVATMALARYLGLNEADVHPDDVPTIAMCGSGGGLRALVAG TGSLLATQEDGLFDCVTYTSGVSGSCWLQTLYHSSFTERRLENVIEHLKARLGIHIAY PPAAFSSVLSAPTNKLLLSSMVEKLKGDPNADFGLVDVYGILLAARLLVPKGELGVNE RDFKLSNQRDYIKYGQNPLPIYTAVRHEIPDIAGIASETNGSPSEEAKEIAKQESWFQ WYEMTPYEFFCEEFSAGIPTWAMGRNFKNGVDVPPESGFHLPELRMPILMGIYGSAFC ATLSHYYREIRPLVRSLTGFSTIDEMVHGHNDDLSKVHPIDPAQIPNFVYGMDDQLRY TTPTAITKTPYIQLMDAGMSNNLPIYPLLRPGRDVDIIIAFDASADIKTDNWLSVTDG YARQRGVKGWPVGVGWPKAGEAPAKTEHELEEAQASSTAEAESKLREAQEANGGGRPT DPADQKDKAQHDQSHPEDSDLGYCTIWVGSTQERSSEPPPPSKAIDDSSSWQLLEQDA GIAVVYLPFMANPKVDGVDPAKSDYMSTWNFVYTPEQIDKVVELARANYDEGKEQIRG CVRAVYERRKKMREEREAGIKNARKRKLRRLGIEGKLGEGDHFS PFICI_04970 MAPTMTIPMPMGDASSAMTADATSMSMATPTAIDSNSMGGMGDM NAMMGGAGSDSSDSMGPMAMTFFFSSNTSLFFRSWTPPNAGAYVATCVFLLLLAVLMR AMLALKPILETSVWKPRRGSDSHEMLLSDECCDEDQDRLKEQQHLVRGRDASSSGIQR LRLEIKSRWYGWRLKSRWLRAMFEMALAILGYLL PFICI_04971 MAAPPPQINQPICNFTVAPPGVEIPRRGRCLACQSRSASYVRCR GLSATQGCVDCADAGIPCIRGDFALPPNPGRRTGPIVYPAWTACSRCANFDECDRGHP CQRCIDKGQAAACEREALWRGLLYRPIPGDNMYGYWIMQKQGKGPNGHEDRDLTGEWA FWRMPDNYHIEFALMKYWEYGWLDHWHGPRELTWGTPELPAQLGPIYIGPPAGFPTPA PITYFRPNCAPLPAALSARGGTERTPMEELAVRLGWPVPDRSERTPADEIADRLSWSL GTQPPQPLPTTPAPLTRFGAVRRAPSVPPPAVGPRAGQPAWGPLGPGFGAFEEWQPPI DPAIQGSGPSAAKDKGKRVRFGDEQGDEDDPEDGNGGKRTKVNDKEAEDQAPKAPPKW RPTGGKAPIWGNKAGKKPYFGGKGPVWPGTLSTPQPPVEQVDTQDYQTAIGDTGFVFF QDDMEIDDVVGGAHFGASSPLPEKSPSPPRGSRIGSPEPPRNEDPFRDPSAPLVPNLR DFLDQTLNQAGPSSAVKSPPPQVLDAHGNLSPLSQLLHGGLEAQGQPTPAVQNSDKLP VPPVRPPPAPQTLPGWAPQTADELIASNEAMFGPHTAYVRRRWSYYPLQARVQTHSVA SAAWIQYIMEQLNPNGMPVARADRPVARPPSPGPYTPIFVTDPSAIPADHPFKEDMGR GPMPNIHRQRAWKVGAIAEPPGRVCSSCENFTQGICESDEHHPSHRCYVCSDCDTRGR ENVRALMQEFNFINSSKRYACRACTAGLLHNPSFTNGSGIDIYDARLSEDASPDTVGY DPRCYTQDHHPNEGLVIRGGVHPRHVYTGCPCAEKLLFRRLCNGHRSQAFFDSLNRLY KMAAYKWGRIDAQVDSDVCFACMKNPPQMPGDMTNQDEAGRVWACLMCSGVCVGDCPA NLSVMSDEVPLLPFGDAPEGKKVRILAPPSREGSSEEEEDAGNQNREYSSDSNNGDNT KGDDDNDDSGDADSSGDGNGDKANNADNTDADGDEQMGGTSYDEQDDPLGIYN PFICI_04972 MKLTLLLSAVFSGAVATLAETSEWSPPESGDARSPCPLLNSLAN HGYLPHDGKNITGDVLSKAITTTLNMDDSVSAAFMAALRNSITTAETFSLDELNKHNG IEHDASLSRQDFYFGNVQAFNETIFNQTRSYWTDPVTIDIHQAANARNARIETSKATN PTYNETAVNRASALETAAYILSFGDKVTGSVPKAFVEYFFENERLPFHLGWYKSAESI SFADFQNMSTRVSQAGSQSPRAIEL PFICI_04973 MGSMTYTTIELLTPNGPEYRQVSTAAPRAPTPDEMPLIDLSTID GDFEARKAIAKKIKHAAENTGFFYINNHGIPEELIQEALDSCKQFFAQPLSDKEKVRT KISGKSVGYTGVGGTQINKTETKDNKETFSMRYDVRNDPLHKESSHVVDDLEDFIWNE TSHLEGFRSTLVEFYQRRLQLARKLIRLVALALDLPEDYFDAIVTHPGADAVHIHYPG VDEADHKDEIDVGLGSHTDIQCITLLWQDNSGGLQVLSLGGEWLDARPIDGTLVINVG DFLQRLSNNKFRSTVHRVYNRNKSSRYAMPFFLGFNPEAVCEVVPTCIDEDHPPLYEP ISCGKWHSDRLTLAQKGKLP PFICI_04974 MADMFSRVHNRLLVKNRDQDGNLRPVNAIDNDSIRPTPVKDRTW TQVTYISFWFAATANVSNLYSAATGQSVGLNIWEALACQLGGQFLAGLLMALNGRAGA VYRIPYPVLCRSSFGTWGALWPTFNRAVMSIVWNGVNAVQGAQCLYVLLHAIFPSIAN IPDRMGSASALTSAGMICFFVYWLINCAFLFVPVPRMKNLVYIKVVVFYAAAIALTAW TVTLGGGSKAALNEPSTLQGSEKSWAILRFFFLGLASCGTFISNAADMQRYARKPNDV VAGQVVSFPISNIVTGILGNVIAAASKPIFGEMIWNPLTTLDMLMDGDRYTPANRAGC AFISLAFVYSTVFSAIFENSIPAGNDIASLLPKYITIKRGFFICAVLSYAICPWYLLS SAAIFVTFLSSYQIFLSAIAGILICDYYILRRGYLDIPALYSRNKGGPYTYFHGWNLR AFAVYLIAIAPNFYGFLNQMGVAAPIGIQRFYYVAYPVGVILALGAYYLVNVLFPQRS AKQPSGWLEPKDYVDAYDASASQGIVVEAVEPNLEAARYQGLVMDGKGQAMEKTQY PFICI_04975 MSGQVYDVLIAGAGPVGLLLACELRLAASDISVLVLERDADPSN TDTPWKKAPLGMRGINTLSAESLDRRGLLDQVIGEQMLSKRSFNFAESGFSGHFAGIM FDASLVDRSNPCWKYKLPGPGFSPSPSSLERIVNATYQRAKDLGVEVLGGIDVTGATQ DGEGVVVHTADEKTFRGKWLVGCDGGRSKIRKGAGFEFVGTDAELMGWTVLCDIDDPN NSLQRGFTRTPTGLYLKMLPGHIGTMEPVAAGGTFDRTKELTAEEFQVILRRVSGCDD VSVKSLQLASSYTDRAMQASTYRKDRIFLAGDSAHIHAPLGGQGMNAGIGDAMNLGWK LAAVVQGRSSPDLLDTYERERHPIGAWITEWTRAQVATMKPDLSSRAVANLVKEVIAT RDGATLMTARFMGVLQRYDLSLEDGELLHQIVGFSVPDFNFLKGTGRLGEKLRSGRGI LIEFGDQEQTELKSLCDGWSDRLDYVCERAKDELGFRALLVRPDGIVAWATEGEPDLA AVKASLSRWFGPKSE PFICI_04976 MALNGKVVLLTGASMGIGEAIAHALASQGAKLALVSRTESTLKE LSARIQSQHSGCVAKYYALDVGNYESVDKGVAQAVKDLGQIDILINNAGLALGTPARF PDLMISDIVTMNNTNVNGYMFMTHSVLNHSMMPRGGQGTILNITSVTGLEAPPFPGET VYHANKALQEAFTNGLRNELSETNIRVLALRPGVVDTHFHTQRVGYDKDMHEGFMKGF QPLDANDIAGAAIFMLSQPLNYSVKALDVVPTAQRSLNVFDRKWNERNSKGKE PFICI_04977 MDMTFDSKICLAIASVGVATVIAATGIVVILRTPWLESHAVYMH RLKLTEGKDLDKPEQFGFAHNQVSPFYIETDDAVKLYTWHVLPLGLYHMHYQQLARRR VRSPIAEDFMKTLNFQLLKDDPESRLVIHTHGSSGALAAYARADTYRYMSALAPGKIH VLAFDYRGFGHSTGSPTEEGLRADVQSVYKWVTEVAMVSPERIVVFGQSMGAGPAISL VRELSLHHISVAGLVVTGAITGVPECLTEYRVLGLRVLPIGRFPALVSWLTGSMRNKW PNKDRLMDIVRHGARYHIEIFHAEDDPIVPWYLSDEFFQCAVTASWDGTMSKVEFEQK KGASRTDMGEGGWFAEWRTAKGLIRQEVTRYGVHDKIMSHPQVAMAVYRAFQSANPLF MT PFICI_04978 MATPSASSDTASEKLPADRLGHASWQSPDNTRPPSLAHGPTLHN FATIDPTPQPHRSGPDPAFSFTSSAGAAILKDYESDYVDSLTSKSAEHVVLSMPYHRM NMGPRPKTRKLTSKEDANYQCEVKGCGKQFSRSYNYKAHLETHDEDREYPFLCTVVDC DRKFVRKTDLTRHHQNVHMREKNHRCDYCNRSFARKDTLRRHMEDGCSKRFDIGTLDV RSEGYNSASLGPGLPATDIVAASEGTCRLPAFQPFTKNDVVNRKEGEAPNSAMPKYPL GENIALSYESLTSLPTHMTATQWEDEGTLCYQVEVRGIVVARREDNRMINGTTLLKVA GVSDARRDVMLAEEPRHVVEDGPMHFRGVWIPLWRALLFATQNNVTDLLSPLFAQNIE IPPVNQAISVTTNHNPEERNQNKAKSQGVNVKAVDNVHSITESDIAQSGPHHAHPSAG AENDIDVDEIYSYVDPDLRPRLPTRVDSTLGESSRLFEYNLGEENLEHTTDVFTKEAS KVKVSRKPRFEEGSLSSFVQRKRVAALSNSASPSCTDEYSDYQDVLVEPSEEEDGIAT TAFGLSPSQRSPQTDSESSSESSFGLLTRYERKEFLLDRLMSYFFKLFASCRSSVSNT EAAAGSDGVCFAGTGGISGNSRKISNDAKGKSNAQDRGAGGGQKRSFEKDDDNDDDAN ERDRKKPGKITRVDGVKRTTGRKLACPYFKNNPEHPRLARSCSGPGWDTVHRIKEHLD RNHALPPSCDRCYTAFKTDADRTAHLRLETQCPLKERPSRMQGFDGFQKDQLKSRPKG YKQKSEIEKWRHIYKILFPEAEEADIPSAFYDYKSFKDSGHPIDLMNKYEDFLGREMP DRVRHQLELRIERALDPIEQQLQSQIVDIACDIQMDLFKSFLTSIGTDVTSTESSQRA EVIRNSSTPGQTRPPTSKPLVAEEQVSWIQALPIGELPMMDIDDVPFCFAFPQDFDGV RFDLGSFEDELLAQDSTSGTSSISLAEVDQDQFPSCTTSTDQQESVQL PFICI_04979 MSVSSYERLDSLNSETIGGEPRRSSTRSSSKGLWQFILASKWSI SLSLAAGIAIEVGVIIFTFWLAQQRTLSCPDWAIDCKVPSRVTWIANHYQSTQGVVGA CYSIGLTTQSYLAHSLSESAVWPLVTKQRFSLDKMDDYLALSRGALAAMPSVMKSART KSTWFVSLIIGLAIICPLASTPLVGYVYEQINQPVQFAGNYTPGGGIGRVYRQTNGSE HLQAEALSLYASWAHGLVNETTLLDTYRDWYVRRDVLAQRGNMTVNAVRVQKNITCQG VQVDYNPDSPYNFRTNMSRRDSDNAAEVQVGTIPKLTVWAHDYGFLSTTRTTATLIFS VLNGEIEGGAWTSIDGWPLVNGTSSIACDVDVEFVDETLRIGDGGISSDSPVTISSVK QIHTADNSDPFGNNKSEVALWFAVAPIICGISVGDRQPLFFNNSVTNLPDLEVTGNKV PAIFTADGIERFIDVAIGAMALGTSSHWSERNDSLTIKSSILQRKMHASRPPMLLILP LLLVLYTGLLAAWNARAHQQRRVPMMRMAGIAEVLKSSQSEEIKALATRDPQFPRQRA AFSERPKMKFGVTPGDVVGLGSHGVRKL PFICI_04980 MLPSRIWLGPAALMAAVGAAAQNTTANSSSSSATSLTPVVVLME FPSCASAQCSLTDMASLLNCFCTNVTAQAELSTCAQLSCEIYDAGTSLYIENAMCAAY PKQSLVYVINTAAIVTFALAIPVVAARCAARWRLTKGLWSDDYMSIAATVFLIVLGVI QLECGKVGFGLHIWNFDWNNSEKLLILDYVGQIAYIWVQITSKLAVLLLYYRVFKVAG SLWFRWAVRGCMAFKLLHGLIYTFVVIFQCSPVSAFWDTTITDAKCFNEGIIVFSGAV VSIAEDIALMVLPISELRKLQVSGRKRWGVAIMFAFASFGTIASIVRLRYLLVLDESH DSTWNNVNIVVWSLIENLMAVVCGSLPALRPYVDPWIPRISVTWPKSRGSSKGTPKHT DNSTANSDTLYSPSTYGADYKKYSYPMPPRSPHNSYGRWKESHPVEEEDEEDQHQLDD LEVGLASVMVVSRKTPDLLERGRKSPDAIEQGQSSESETELIIQGNRASAAYSQNQRS STGAKQN PFICI_04981 MLIRNTASIFCWATLITLGTAGYTAQEPPQYDYVVVGSGPGGGP LAARLAIARKRVLLLEAGSDPGDLIEYQVPAFNLGSTESKEMRWDYFVHHYSDPERQA RDSKMNYRLPSGELYTGLSPPAGAVPLGILYPRAGTLGGCSSHNALITVYPHQSDWRY IQHLMGDDSWSPENMRRYFQRLERADYLPIGTPGHGFSGWLTITRTWFGFVAQDAKLL SVVLSAASAIGETIGATLKGLVQVLALDINTDFAGRDQREGLYAVPLTVNQGVRNGAR EFILSTANAKGKNGQRKYHLDIQLNTLVTKINFDTTGAKPRAVGVDYVMGQSLYKADP RFHDSKSGTVGSVNVKQEVILSAGAFESPKLLKLSGIGPAKELRSFNIPVIVDLPGVG NNLQDRYETSVIGNSASDFAVTHDCTFLRTPDDPCLKRWKAGKSNDDRGIYASNSLAF GVVKKSSTADGDPDLFIAGAPGYFPGYYPTYSYNASLDAKHWSWVTLKAHSRNTAGTV RLASADARDLPIIDFHSFSGKDGNLDVQAVVDGMQLSRKMFSDLVPLEGSFEEVWPGE GVTGTNLADFVRNEAWGHHASCTNPIGKDTDPKAVLNSDFTVKGTKGLRVVDASIFPK IPGFYIAVPIYMISEKAADVILGKKWTV PFICI_04982 MDVQMAARFERVEKALATLIDSVSRYNPTISQGNELVAADQELG KGLEELKVHQQNYERIQRLRSVTNGLDSQIKDTLTQLAQARKELGNTPATSFPSGPNY PIKYDELLAYARRISKTTMPNANVLAAAQANAIESAAKPDSGAETPNVPTPVAGTPNG VGTPAQVNGAASTPAMSGDPASQQTNATLPEGLQAHLNPLANIDFLPWPSEDLLRQGA LANIQFLQDQGINPQGYDPAAEEARKKREEEEAKEREEQERLQREENERKFREQRERA RIEEEKAREESFRRASVSQAQAGATSGAGSSPPPPPPQQQKQFQFMGGDDDDDDSD PFICI_04983 MAKNVPGPAYPTYKSPYGPKYHYQPNVAGWTSKQFAGLGFRAGA FGGVALFAVIFYASGIPRVQDDILKKIPGLRSYYERDIPASDNPF PFICI_04984 MNSTLRTSRVAPRTLNSLTLSRQQLLSQQFPGRRHASTAAAAAP VSRAKTILLTTIIGAGGYLAYVYGTDTRASFHQWLVPPVLRLIYPDAEEAHNVAVSTM KTLYSLNLHPRERSSATKTLDASLGLSVFGYPLSNPIGISAGLDKHAEVPDPLFALGA AVVEVGGCTPLGQPGNAKPRMFRIPGLHGLINRYGLNSHGADHMAMTLRNRVRLFAKS VGLTEEEVLNGDAHVPPGSLWEGKLLAVQIAKNKDTPEGDVAAVARDYTYCVSRLAPY ADILVVNVSSPNTPGLRDLQAVEPLTRILSAVVNEAKKVDRKTSPKVMVKVSPDEEED TQMEGIVQAVWESGVDGVIVGNTTKRRNGIVPPGIAVTPQEATTLAETGGYSGPMMFD RTLNLVKRYRKMLDGRSYLSAAHTDTIQKSVQDEASSASIASIEGTVTGMVEDDLKAA ATPQSPAQPQPQAGNEQKVIFATGGITNGRQALEILNAGASVAMVYTGLVYGGAGTIT RIKGEIKDNMKA PFICI_04985 MSTLSKNIDLLLTPTVLRTTIAATIIGLALCTDVAKGRPGYSRW QLCKQFARNYTAPLAVPTVLGLFWLNNVNLFVPKPYMDEIFHIPQAQVYCEGRHTQWD DKITTPPGLYVTHKYYLSIIWARVLGHPDCSAETLRRFNVFATALTAAVATACRSTIE GSYQKNKDSSVYSIHTGINIALFPVLFFFSGLYYTDVISTCVVLVAYLNHLSRTKQGS AGISLTSDLYTILLGVLALCMRQTNVFWVVVYMGGLEAVQAIRDLPVSHENTVLDTWA KQAKFFVYRSSIGDIHDTSLEDAWPEDLVLCLLSIGIAAVFNVGRVLRRVFPHIAIVA LFAGFVMWNGGVVLGDKTNHVATLHLAQMLYIWPLFAFFSAPIFLPQVLHLLVTVQKY ITSRNTVKPSKTSGQSKSSSQPEINYLGICLSALALVGATMVAALIVHLNTIIHPFTL ADNRHYMFYVFRYSVMRGPVVRLLLAPVYVFCAVLVWTSLYRLKPQSPSTAPIIRANS AATGVPTSQVLILLLTTTLSLMTAPLVEPRYFILPWIFWRLSVPQRTNQRRGGWDWSL ALESSWFLAVNAVLMYIFLARPYIWKTDDGQILDGGNVQRFMW PFICI_04986 MPSSQRPFLLSSFFAVFRQQPPSALSASSQPNKTTHHSHLQTST GGTAATSSACARPISTSTAAAAAAAASSSSADHCPPPTSTTPQRSSVINQIPIHSPRA HHHHHHHHGGVPIPGAATGSPGGRRRGSDSSSEGFRDVIGGDKWYIGGRTAGGEEKFF KLGVVRRIKSNDRLSLDRLSL PFICI_04987 MKLDVKRQLFARSERVKGCDFHPTEPWILTTLYSGHVHIWSYET QQIVKSFEVTDVPVRAGRFIARKNYFICGSDDFQARVYNYNTSEKITSFEAHPDYIRA IAVHPTQPFVLTASDDMTIKCFDWSQGWKCIRTFEGHSHYVMDLSINPKDTNTFASAC LDRTVKIWSLGSSTANFTIEAHEAKGVNAVDYYPHSDRPHILTCSDDRTIKVWDYTTK SLIATLEGHTNNVSFAIYHPELPIILSGSEDGTLRLWNANTYRFEQSLNYGLERAWCV SSQKGKQGVAVGFDDGAVVVKLGKEEPSVSMDGSGKIIWARHNEVLSSVIRGGDASIK DNTPITLPTKELGTCEIFPTTLVHSPNGRFVAVCGDNEYIIYTALAWRNKAFGSALDF VWASKDNSNDFAIRESPTSVKVFKNFVEKTGGLDIPFQADGLTGGLLLGVKGAGGVSF YDWATGGLVRRIEVEPKQVYWSDSGELVALACEDTFYVLRFSRDAYVEAVQAGDVDED GVEAAFEVITDIAESVRTGEWVGDVFVYTNNTNRLNYLVGDQTYTVSHSDNPLYILGY IQRDSRVYLADKDVNVTSFGLSLPVLEYQTLVLRDDMETAAELLPSIPQDQLNKIARF LEGQGHKELALEVATDPEHKFDLALSLHQLEIALELAREADVEHKWKAVGDAALTNWN IPLATECFISGKDLGNLLLIYTSTCDREGLAKLAELAEEAGVNNLAHLAKWSLGDVEG AIKIMTKTNRYTEAVFTAQTYKPSLAPETVQGWKESLEKNKKARVAKTIGVPVEDEEL FPEWEEWLKLEQEGGAVSDETNGVAAMEVEEEAEAEAEAEAEAAEEEEEAAEEDEE PFICI_04988 MGKKAVHFGSGNIGRGFVACFLHESGYEVIFADVVDKLVDSLNS TKEYHVIEVGTEGVDKKTITNYRAINSRTHEAELVDEIATADVVTCSVGPNILKFIAP VIAKGIDKRSQDLKPLAVIACENAIGATDTLAGFIKDPKNTPEHRLADHHERAAYANS AIDRIVPAQDPDAGLDVTLEKFYEWVVDRTPFQNSEIPSIDGIKWVDNLLPYIERKLF TVNTGHATAAYHGYNRQKKTVDDALRDKEIKEEVSAALAETSKLIVEKHGISAEEQEQ YKQKIIQRISNPHLADAVERVGRAPLRKLSRKERFISPAVELAEKGHSVQALLFAAEM AFRFQNVEGDDESKELAKIMAEHNAEEVVSQVCGLQTSDKLYPQVVDVVKRVQADSRD PFICI_04989 MSETPRPRKRDRLKAVFRNRLDRSVSPASSLDPASQPTSPPSVP QEYRRSDSQHRGVLTPTATLDPSINGDDNGNDKVQMVRAFAQDSLWEDALSRLEQSPS TEDRSHARALREFAQAQKISPSTQYGSVSSGSNDLIENVKREAELKRVEAEQKAWRVK VGRRTIILRDVMARIIDCLDKFKEIGDLAVQYDPVHAALPWAAFRLLLQAATMNKEQA GQLWTGIDAATQAYLRARIYQVLYLGLPGRQDVADALKNSILELLGAICGFLGLAVKY FSSGSVANTTRGLLNPESLARCIGRIAETEMQVEKNALACKAILDAVAHQRQGLRHDK LIDMLEAHTYRFDSLQGGLANVEAGIGLLMEALSDEEVHNIFLWISGIPYESDLYNAR RGRLEGTCEWLIVHETYGQWKASSESTTLWLHGIPGAGKTKLSTKVVDDVTPMDIPLA YFFCDRNQENRRDPWAVLHSITRQLSRVPGPQRIQRCTVDAYNKKKEQSFASNNLTNE ETRELLLELANENPRSIIIIDGLDECDEGSRKCILDTLDHLVQYSSSVVKVFVASRND KDLIKHYSSSPNLEIEAAHNQDDIEKLVMDRISQSQWATEEMSAHVRDAVVRLFREKS QGMFQWASLHIDELLEFESNQAILDWLHSLPEGLKAAYDRIYNGLGAKWRMYADRAFM WLMAISLKRITPELLCVLVCQDASKEFDFDATLSSDSLLSACRNLIKVDDNKKFDNSD GDSSDGDSSDDSYVDIYDPDDDEASKTCRFAHLSVQEYLETNHLRRVEADRTTLEISI KLWQRVSTMDDQKEKNREDRLSIKTQNYKHLKRLTTIPIEGFLAFADMSQVNQGIWDQ LLQSKDGHDVVLGSPLWRNLHEYVIQYTRDKVIKGHLSMREDEMRAIMTPIGCCALLG NQVPVKFWIENGIFSPKVDYETARELLLRVYIRGETAICDLLLDAGTNFKGESSESLE RRLRQLFRPEEEFKKDSSSTLIRLMNRLFDDGAPTNFCPSDRDVYDGTYPLEVARILL ERGGDPNVHDDKKTTPLMHAANCGDDNIEMTKLLLQYGAEVNSMDEDDDTPLHLVAYV DRNIETLRLLLDSGADPNLGGAAECPPLQDLAYHSDVEAVKLLLNSNARVNDISRDFG TALHAAALCGSRGKDVYDLLLQHGADSGIELDSRGACNAMKGMIGSKRISIKQMYTAE QILQIALEKETVH PFICI_04990 MRMTRALRALPFISVFSRAFSLAVTNSTGAFPNTTSPDPAATSL PYNPILANGAVDLGIAQAAYDKATAFVAGLSNDQKITIIGGGSLEGNATWTTLQLRDG ESGVNLQFYVSGFSMVNALTMTWNRDLYAAQFKAVGEEFYALGFQVVDGPLPGALGRV PWGGRQPEGFSPDPYLTGIALGQGIAAMNSAGVIAGARHLLLNEQETHRMSQGPIIPN YSSNADDKTIMELYLWPWGDGVQAGLMAAMCGMNRVNGTQSCENNDVPAGYLKTKLGF AGFVYPDVNSQFTAFGSANAGVDLGSSRLWSQRVITEGLANGDLTQERLDDMAVRNVL GYFFVGLDNGLQPSTVSSETEWRGNIRGNHSAIIRQVGDEAIVLLKNSNATGLGLPLK KPKTMSLYGSHAGPALAGPNVAFNPISGPAEVFEGHLAGSTGSGQLSLPYLVTPLHAL TERAIKDNSMIWWILNNTYTSRDPFGPFVSNVTDADPPGGGGDGDGGGVGVPGAGHFG GGTGNTPSFKSYAENSEVCLVFVNADSGEGTDRTTLTNPVPDKMVTTIADNCNNTVVV VNTAGPRILEAWIDHPNVTAVLYSGLLGQNSGQSIADVLYGDVNPSGKLAHTIALKEE DYPARVCEAAACNFTEGVYIDYRWFDQNNLSVRYPFGYGLSYTEFDYSVASAEITNGT AFLYKYPTGSFGLGGEVDLWDEVISISTTIQNVGAVDGAEVAQLYVSFPAEAEQPIQV LRGFEKPVIAAGESAEVFFGIRRRDLSYWDVVAQKWALAAGEYTFSVGASSRDIRATT TVTLTAIEYDSDLLQIVQ PFICI_04991 MNRTRSRNNDEEVPAVHIADLNDGIISEVQKTEPQLTDETVKGD GPPDGGLTAWLQVVGSFFLYWNSWGLVNAFGVYQTYYQTELLQSMSPSAISWLGSIQS FLLLFIGVISGPLYDKGYLRSLILCGSFFVIFGLMMTSLCTQFWQLILAQAVTSGLGT GMLYIPALAIIPQYFFHRKALALGVVVSGSSFGGVVYSVIFTQLQPKIGFAWTVRVKG LIALLTLSISVVVLRRREPPSDKIRSLLDLKAFRERPYVLYCAALCSSNIAFFTPVFY MQPFALAHGLGGQTIGLYLVAIMNASSILGRLSPSLIANRLGPVQTLFCTVTCTAITV FGWIGTTHGWGNIAFAVFFGFFSGGIVALPAVVLTSFTSDLGRLGTRLGMSSVLNALG SLIGAPIGGAILNATGKYIGIQLWAGCVILICASCLLALRFALTGPVLKAKA PFICI_04992 MAATDIEFRRRSKDDLPALVEALEDVYSTDGYPIEGTATAVSFL SPPGLVAAWVAVHRGLVVGQIAVVAGGQGHHAAVRAWVDSVGSGGDAGATVVAARFFI RKYARGFGLGRALVEKTCQWAKDNGKRIVINVLAKDQDAMKLYEKLGFRRFGEGEYEY HEGKKATQYFYVY PFICI_04993 MSTAKSKILSLWHNKRAQVVLFSSTAIALYGYDQGMMSLINTNF NYLDTMGISADSPLVGWIVSIYYLGCAVGAVLFSKIADRWGRKKSIFGCLATASLGNL IMFLASLQGMQGALYVMFVGRVIMGLGVGGVDAVIPIYSSELARDEARGKALAQEFQM NIFGLNMAFAINLGVTRGLGKHNEWAWRIPIIVMQVYPIALMACVESLPESPRWFIYH GRDDDARKALEMIYGGKYGDGEEGEGDRQFEDLKKRHDEEVGESVSYWDMFTPSHDQF HPTFITIMVQICQALTGYGAVSVYGPQIFSLLGFDTATSENLTMANYLSYFVLMTFAW VLIDAMGRRVLLLWGSVVLTLCFLMLALFGGLAMNADHLHVDQTLIAIPGIVSLFVAT GAFGIGWLATVWLVPTEIFPTTARAQAAAVSVIIWGLANFAITFLTPIMFNNLAYYIY LVFAGTNALAGIWTYYYLPESGNRSFEENVQFFTEARKVGSWSVAKIKEGEWKSMPYG DVLLDGSEEARERAPLLQRVGDQLQ PFICI_04994 MATQEEWAFPLLAIRDDDDGDHRSNNTSNITDSQVLALEAVSVT FATISVFAAFIAFYWFVRMRRSFRQDLIMLLIQSDMMKAFWLMISPIIYFIAGPEGPI NSNSAFCQISGFFLTTAIEASDIAVLMIAIHSALYILRIQTPGGETGLHPYRRYAYII WLVVPLAMAAVIPITGSRFADNGPFCYLPGSPGWYRIYLSWVPRYIIFGIIFFVYAFL YVYVAWRFRKLRLDQKRASFQSMPSPKSQLQRFAAVPPTPPLTYNGLLDLSNAQTPME RQRERRNSATSDVSTLKLNEPTVPTPTPTPTPGAPLPQEEPQTPEAAGTLLWNWIMAD HDGATNSAPRRDATGSTLPSHLTEAVGSPDMDAPTLTRPPPIAQRTSYPATISMAQTP KPRIPPGRQSFAKPFWQRPLSFASVTVTSTAPRYSGMLQRDTPEITDGGESSYPSLYR PLSVTEDSLRKSRDKMNRQLRLLFVYPLIYIIAWVAPFVSHVYKYQNPTGVSAQQPYG VLVASIASLCFGAAVDCIFYSAWEKPWRFSRVGFWEGLALRLRIIRRRRVGGRSREEQ RRDASAAFDRRNVEQADRQAAAAMQKARTSRTPREWWDIEEQNSQ PFICI_04995 MGSQIAPPPIRLAILETDTPIPSVQAKYDNKGYGAVFTDLFRRA CGGSDEALRAALDLSAHDVVNQYETAYPDPETIDAVLITGSKHNSFEDEEWILRLVQY TRRLLEGGRVRIIGVCFGHQILARAMGAKVGRSVRGWELSVVPMSLTEQGKKLFGKDT LRIMQMHRDIVHEHPQGTLPLAHTDVCAVQGFYVPGRVIAVQGHPEFTEYIVSEVLRM RHDQKIFGDNLFEDAIGRAADEHDGVLIAQAFLKFLRE PFICI_04996 MDPQPEDPQGPHDYSPEVRDMFDALVKDQCNKDTSPAIPSPNPN LNHDNSMGQEQVDGGNNHADSEKYGVPTTQDSGINSESGASDYRNQTSAQSFPHQPWS FSSVSTPNDTQSQNQSQDILNEDGSMFVPDDFHFQQPIHENQSASRAGENNLESDSAP HDENTAAKSRNAGNSPMHDDPMNDFMSFQQFANHEPDAQHGNTNGSQADSGPQPSMTD DVPVEHQYTPQQVRLLEAEYQNVLRDVAALEARLTTLAQIGTAYADYEMAAIYREMEE LKDRQESLMHTFDCLHLPIPTGITGQSAEFTGIRKGKIAKSTEDYWEQRNKNRVRDLA NKRRILEQPTLTDGKRTNKKPRTRPRIYDRMQDGSDLAKQGAMHSMGKLQFDNPTQAR MSRDSVLDGLQASADNDNEDAHQTLLDIMHPEVFNPDQLTLLRCAASFGEGHCVKLAD GNYLITGSAEPARPHQMCGASWQNEKEYSEDKGGIIANEQGTGKTYQAILNILTNPPN QRAKDQGRTATLIIVPSNVLQQWQEELERFTKKEYVRSFMVYRGVNAERGGSRNLETQ DIIFVSHDQLRRSYFSNAILKQYENPLCDPGTKEQLRFEHLGFLFHNKWWRVIIDEAH AIKEVTTKLFKACRELDSEYRWCLTGTPMPNYSSELWAYLEFIRVPWRGTAKDFTKLL DNLHMAKNKKKFKAWMETIMQRKTLGRQFAGRQLYEIPECFDEVIAVPFSKEEEIVYR RVEHRLRTKWNQSIKEIAKANAAGNASSSGSSNGRGKKGGKSGGSSSKGQKDEKDQKG LKLHLIALNRLRAATMHPFLFEPALRDTCHEEDFDHMISTLSSLELYTPVVEQLKVHY DRLRLIGHATDIGEMSELPSFGLSDYGGILHLESYIKRSRAARFEDRCVVCLEDLVDG QRPKCGHVSCRGCINDQFLKAATVGDGVPKCMVCRQAIEDVRTMGDPTEATFQDNAAL GEARAFQEFLAARMRANANRDAAPADPQGRDGRRLGDDYYGRQPQPAEANTAWLAVAD LDYPLPLVPSAKTTMIKQLVLNCRKNHPEDKIIIFSQFQETHQIIGRMLQDEGICFAY FWGSLSRDQKDTVIRDFHENPEMTVLVASLKCGGVGLNITCANRVIITEPWWNAAIEL QAFARVFRMGQLKKTHFTTLLVKNSIDMRLRSLQVHKLNNIESALKYTTPSREEIASL LGRVVEDDAGNPYVEEDYLE PFICI_04997 MADINETTPAMPQLHDVLIIGGGPAGLAVASRLSEHLPAASFTD DEHNRYTWLKKRQGKVSIKKYKTGAVKTAGSCAGTAREQAPAMVVLDATGGKWMARWD YLFKTFGISHLRSPMFFHIDPAERDGLLSYAHMSGRGNELTELRGCVGKEMSKHKWKK RVKARRELGDGPTVDERDRNDYFVPSTPLFASHCGCIIDRYGLREDIVRHEKAVDIKF DYFDDVCQTDKVFRIETDKQIYYSRTAVLAVGPANDPVIPSYPGTNNVEASTHALRIK EFPSPPVQEKIAAKKPTNLLVVGGGLTSAQLADLAIRRGVTRVFLIMRGPLKSKYFDI GLEWVGKFRNFEQAAFWTADSLEERMEMILAARDGGSITPPYKKVLDRLIANNKVVLS LHTTIKSRNWNEETSTWDVELSGGPKLPPIDHIYFATGVQSNFQTLPYLQSLIQQYPI KDCGGMPCITEDMQWSEEVPLFMAGRLGMLQLGPGAPNLIGARIGAERISWAIQEHLK EKNEDKTKKSGSQFDYLTARGSRFEALVEE PFICI_04998 MPVPNVRQVVPGAGVSIVLKADQRTGREVQGFVKDVLTRGDHHR GIKVRLVDGRIGRVQRMSAGLSTAGSQASAHDDGSATSDGAGSIEPANPPPSGRFPSG RRGQYRDVRLDEHLEAPPEQVDLGAYIVAPKRKGKGRKSKPTANENDASEAAPNAAVG SATAEFASETVTCPVCNAFEGDEAAVAHHVAEHFE PFICI_04999 MVAKLEEVAAPHSTFDTILVLDFGSQTSHLILRRLRSLNVYAEM LPCTTKLADLPWKPVGIVLSGGPSSVYDEVSPHVDPAIFDMGVPILGICYGCQEIAWR INDKNVQRGEKREYGQTDVDILTLDGQQDHVNRLFEGVEANTEVTMSHFDKLVHLPEG FVTIASTPSTPYAGIAHKEKPIFGIQFHPEISHTKRGMDILGNFATKICKARDNWKME NFIEKEIIRIRKLVGENAQVIGAVSGGVDSTVAARLMKEAIGDRFHAILVDTGLMRLN ECEQVKKSLSDHLNINLTIVDGAELFFSRLAGVTEPEAKRKIIGGTFIDLFEKEAIRI EEEAKHTPHAGKVEWFLQGTLYPDLIESLSWKGPSATIKSHHNVGGLPARMMNGQGLK LIEPLRELFKDEVREFGRQLGIHEELIMRHPFPGPGIGIRILGEVTPERVELVRQADH IFISSIREAGIYNEMSQAFAALDTSRAVGVMGDSREYGYICILRAVSSVDFMSAEPYA FDSKLILKIANRIPNEVHGITRVVYDYTGKPPGTIELE PFICI_05000 MTASNRIEKVAIVGASGHSGSFMTNALLETGRHQVTALTRVDSE SKFPDGVVIKKIDYSRPETLVDALRGQDALVITLSGHTPKGTELQLVNAAGEAGVPWI LPNEWSPDTANEALVKDVFVFEFKVATRKAITELGKSSFISVSTGFWYEWSLAIKPAY GFDFDSRTVTFFDDGETKICTTTWPHLGRAVAALLSLPIQAEGGDDKACLDALRNQVV YVNSFNVSQRDMLESALRVTGTRESDWTITRVSAEQRYADGVREIKEGVRVGFAKMMY TRVFFADGCGDFETKGTINGVLGLPKEDMDTATRAAIERSKGPVWV PFICI_05001 MRHERITLFSLLALSNVNAMFYYPNAQVSLLEHILVDNWGAYAS NFSTAITPCTNYVTEVGEPAVNSGRTTAAQWIRVAFHDFVTRNVTAGTGGIDASIGFE TFREENKGSAFNDSFTFWRPFVNEHVSMADLVAIGTVMSVNLCGGKYIPHRPGRIDAV HADSTTGVPEPSTSLEDTLEEFDRAGFNREDAIALTACGHAMGSVHHGGFPEVVPELA VTPNNTNGGENFDSSRAVFDSRVVHEYIDGTGQLGGPLVSSFNETSRSDLRLYESDGN KTMKGLYEIGDGFQDVCVDVLGRMIDTVPTAAALLDPITPFSVKPINVTWDFDSNDKL VLSGMIRIFSDNHNPTGNPTIEINSQTLQTEREEDKGATIFGSTETGEDVVYGETAYY SFSAPGDKLANATALRIVADFEDDVVVPLSLGPFIVPSLTFSDAQKVNFTVAVPGDSE AATKADITVKIVVPAPQPLTLGPALVTGTGAWEADLDERDGFQLWTGTYDAGQKATGA ISLNLLQGGVVVDTLLLNGGVGGW PFICI_05002 MDRLRAWFARDSHEYSALPDINGQHDGHEKRAIVRQSRNRSIFK VLVGGTIFCVVLYFTVGYMRSSKIGSCDSAKDGFHCTPEISQFWGQYSPYYSVHSSIP RSVPKDCDLTFAQILSRHGARDPTLSHTIAYAALIERIHQSVTDYGHHFKFIKTYNYT LGADQLSDFGRQELVNSGIKFYKRYEQLTRDDAPFVRSAGQFRVVESADYWTRGFHQA RLEDKQANTTDPFPYDILEIPEGPEWNNTLSHDACTLANESPNTAVGAVAQATWVNIF VPPIAERLNQNLPGANLTLKETIYMMDLCPFETVADPNGRLSRFCSLFSKDEWHSYDY YQSLGKWYGWSNGNPLGPTQGVGYVNELIARLTGQPVVDHTDTNSTLDSSPSTFPLQR ALYADFSHDNDMSSIFAALGLYNLTEPLSNTTKAAPKDTNGYSASWVVPFASRMYVEK MTCGGEDEELVRILVNDRVIPLQNCDADELGRCRLSKFVDSLSFARAGGLWDQCFES PFICI_05003 MRSTAIGGGPMRKYPTMNVAALPAPSLSSYNPFSRSDASPYGAP SQGAGGIRGWFSKLKNGNKRSAAGAYEQPTAGTGGRAHRGFGALDPDEAWDTRVGTEA DAYGGYYEEQELGDRHGGNPYGHDPYGGSGYPMNLAATPGHAPEPYGGHDEPRGRSRE RDPELGVPASGPAGGSRTRQNPFDDNQAEPSNISLRGVSPRPMANDDGKAENPFNDSP TAERRSIFRENV PFICI_05004 MADLDLQDIHDTLVSIAFDAGRMILSANPSDIDQGTKLNSADIV TECDKAVEAHVSTTLRAKYPTYAFMGEETYQPGQKLGDEPTFIVDPIDGTTNFVHGFP HACISLGFAAGRVPTVGVVYNPYLDTLYTAIRGRGAHMTVGRSWGLGEGGKGKVSKLP LAKTPAPLGALDTALLAVEWGSSRDDDNFELKAEVFRKLCLAKTKGGAMVHSLRSLGS AALNLCAVAAGQLDLYWEGGCWAWDVCAAWTILHEAGGLMVSGNPGNWKPEIDERKYL AVRGAPSGQKEIVEEMWAIIGDKKMDYTS PFICI_05005 MAKWLLPVNHQPQPGSVGKKWDREALLQCGAIGTTQKWRLNLEG EIHPVFANWADTKQELFQELRQPLLLASRLVEHAGLEWISDFLIDDIFNEHYPGREKC SCPQPQCEQTTLQTIVRHHQADWASEELKRSWVIEASEELKRGLSRSVEWQLDADMFQ KKGWVGYTCRHPRGNLALDELDARGTVEEWDHRAKRAHRKERKLTLLVMAEFPKRLKD LPKDGEEYILTAFMTAITLVHELGHAIFWRDFRSLSRGMSEPFYGADLEMELGSSLIA EIFGGWVPVPISDPVKFREEPTLKAGIAWRQHLSWKHHRMRPKYRAHYSIPVEYVAKL FTQQTWDRSDNLGSHIKPRTLLKCNSKVGVDHSVEQERTHAAAALADFHIAGEGWRWN GREGAPFRILQYDGFLWPDLDLPVAVDEAIHEAEAPDPILLRTRETKPSTSPPKMAAV RRQHSRIDSILSVSSTDSSPSLSPVRAPSRQRLPASRLSQAHYPVQRLSSINVGSPLQ GKPEITVDELKKRLSRLIGVSLEEIDVFFAGS PFICI_05006 MVLTKQRSPRNPDDFPTVQLFLLAIVRLAEPIALTSIFPYAWSL VKRFKIGNEQDASFYAGLLISAFALAEASMGMYWGGLSDRIGRKPVLLMGCIGTMFSL ILVGFATNIWIALAGRAVGGLLNGNIGVIQTMVSELCTKKEHEPRAYAVMPFVWSIGT IIGPSIGGIFAEPHKSFPDTFPEGSFFDVFPYLLPNLICAGLLLVSICLGYFLLDETH PDMAPRVMLPDDTYVSFETPLLETSDALKQPAVDIRSETYGTFRTRNSTELSRELPCL KQLHKGDQVTIFTNRIVALIVALSIFTYHSMTYDHLLPIFFEDEKMPMTSFTGRTGAF SVGNPLYSPGGLGLSMQSVGVIMAINGVIALFVQAVIFPIAASFIGVFRLFILVTVLH PVAYLIMPLLLHVPESMLYPSIYVCLTVRNILSIILYPLLLILIKDATPCNTVLGKVN GLAASAGAACRMIAPPVAGYLYTIGSRQNFTALAWYGSALVAVVGAVQCFSVERVKNE EPQDEAETAKSAGYVTIIEVPEEE PFICI_05007 MSNPQFSAPGFMPEYDITAAAAKSPVSASAALPVILLEWSRLDY QQQCLVLSALSRHYGTFYEAHQAIKLNQRQIDRWLARYNEERAHEERWNSHLARLRQD PNLRESNEEGPIPLQLITLGDIRYSARFLEQFNQSEAAELIRPQQPQLIKWPLNIDTA LYDPYEMESGFELKSDDEVAIDEGAMFDKPENRFFEMAYNDTYNDYGIVDHCNHNDDN NHQYHGNYHNYAGYHNGQNNQESHCDYNNHTGPSGQDDHNSHDDHHKDLVNLNNYYDY NNPNDHNGHDDHDDDNDHKNPNDHDDPNDPDNHGEYSNHSDHDHDDHDGHNDHNDPND YSNHDDPDDHDDPDDHNDHDIHNDHDDHDDHDDHGDSINARAASIVEEEEMEKAEEAR EAAERKKAEKREQDKETRRIFNEALAQRKEERRLAAEAARQAAAAVPVDQLGRGRRAR RATVRPAGMLTFEEVELPGDED PFICI_05008 MASTYSRTRINKAHPQDVVPMDIDTDTVFGRVDTVFSTLETDVE MTDISEGDMSEDEDTDVVMDMGDENVGWIDEDMDMMDVDVEIKNLDIEMEDCDSHYDD MEVDKKLDCLMELD PFICI_05009 MAPRIEAAEVETYWNIFSTRTNGGKYLTGEMAAPLLKNSGLRDD QLEKVWDVADIDNDGNLDFEEFCVGMRIIFDIVNGEYAEVPNTLPDWLVPESKSHLIQ ANRALTGKQVAFEQVDDEDDSLGLKDGFDWYMNPQDKAKYESIYQENRDMRGEMSFNA LEDLYESLDVPDTDIRSAWNLINPSASATINKDACLAFLHILNNRHEGFRIPRTVPAS LRSSFERNQINYQLDSDRNAPAASRWAAKADENTSTGRKAKFGDQYLTRLGRGGFKTA GTDFGTAKTDDWEEVRLKKQLQELDEKMAKVEEMANRRRGGKRESKPALVKRELEQLL DYKRKELRELEEGNGKAKTGSNLRGVADDLATVKEQIDGLESHLSSRTQVLDQLRREI EDEKTSR PFICI_05010 MTQTIVVLGGSFAGIQLAHRLVKNTRKSVKDLKVILVSTNSHFY WNLASVRAIIPGILKDEDFTQSIEKGFAQYPSDAFEFIVGTAKEADIDAKTVKVALVG GEGGERVLSYDHLVLATGTRTAGADAVPWKANGTHEEILDTLHRTAEKVKAAKHIVVA GAGATGVETAGELGFEYRKNKEIVLLSGDAKLLGGDSLSSNAESELKKLDVTIKTSAR VESTTTLPDGKTEITLKNGEKIVTDLYLPTMGMLPNTEYLPEKVLREDKFVAVDEFYR VKNANNVWAAGDIVWLPRGSYVLTDKQAAGVAKNIDLVLRNKNPTPVKTLPMDVLMAT VGRSRGVGRMGSVKVFSYMVYTIKGKTLGVQALPGMVNGTSY PFICI_05011 MALSEAFNTAVADSKKLTAKPSNDELLELYALFKVANGEDFSKA AKPGMFDLKGKAKYAAWQKEVDDSTTPEQAQEKYAALVETLKNKYGYDANKTPEDVGT S PFICI_05012 MDEPPSKRQAIAGADDRPRASPKSLTRDISPPMRRSAMPQPHQK QPHQKQPRKVIASPFRLTKIRDLPTASNVDAISLHDLLGDPLIAECWEFNFLHDVDFL MGHFDQDVRSLVKVHLVHGFWKREDQNRIMLEQQASQHQNVALHAAYMPEMFGTHHSK MMILLRHDSKAQVIIHTANMIAKDWTNMTNGVWQSPLLPLLNRPDSGESNGPAGSGKK FKADLLNYLSAYNTKRDVCKSLVKELARYDFSEVRGSLVASVPGRHPLESGSSTRWGW AALKYALRDAPIYQGKSDVVAQVSSIATLGGTDAWLQKTLFESMSSTGKSNTIPRFKV VFPTADEIRRSLDGYASGGSIHTKIQSAQQQKQLEYLRPMFCHWANDTEAGISSGGVS SSLGEHDAGRQRAAPHIKTYIRYNSQSSVDWALLTSANISKQAWGEAVNAAKEVRIAS WEIGVLVWPDLLADGKGARMVGTFKTDTPDRNEHEDKFKGEDDGTLIGLRIPYNLPLQ KYGPGEEPWVATADYQEPDWMGKTW PFICI_05013 MRLSSLALWLGAAALGAAQAIGAEKETNPKRENTYFDGKKVPPL LELTADSFAEELTKTKYLVVKYFSPYCPHCVAFAPTYQTLYEFYYTSDPPTASKEQSF EEYYDIRFATLNCIAYGDICTKHEIRSYPQTSLFKNGEFVDAVHGGKNMTLLSNLIET ELEKEHPQSRPRALLLPEPGDATSPDPAEAAKKAAAAAVEDEKSLKDDATDTTEKTSK TDKTDKAAAKADTSSKKTDAKDSKKVGTLEDNKDPYVSIPFTPPEVKHQKPSTTPNKE GKSVSLTAESFQQLVTMSQDPWFIKFYAPWCHHCQAMAPAWQQLAKEMQGRLNVGEVN CDAESRLCKDVHVRGYPTIHFFKGGERVEYDGLRGLGDFVKYAEKALDVAVGVPDVDA ESFAAMEEKEEVVFLYFYDHAATSEDMLALERLPLSLIGRAKLVKTRDPVLFDRFKIT TWPRLIVVRDTRPTYYNPLTPREMRDTHLVLNWMKSVWLPIIPELTASNAREIMDGKI VVLGILNREDQESFLGAKREMKSAANEWMDKQIQLFQLERQELRDAKQLRIEEAEDRN DQRALRAAKAIRINMDRADRKEVAFAWVDGVFWQRWIRTTYGIDVKDGERVIINDEDN RRYWDQTITGNYIVPSRTSILETIGKVTASPPKIKPKLTISSFEKIFFDIRMTFSEHP YLSFGCVAGLALGAASWFRGRVRRRGGHFRLDENLPIKELKEGLLGHSSNGKKD PFICI_05014 MTLLNFIIGLALTASVTACPSHTNNQAKTKRSESNVTTITAAEW AYDESYDWGMLSRDYELCQTGTQQSPIALTLATHGLSKKHRPTFNYGVNTTGNLHNWG YGPAFTLSPPSVADDYSSNPAMSYDNETVYLAGWHIHAPADHIVDGVRSHAELHFVHV DAAGHEKAVVGFRIDPAPSSSSSSSPSTSLNVDITDIVEDAFFAQLPSTYSRWDEMDL EEAAVLDLGDALEAVARLDAFYTYEGSLTSPPCHEGIRWFIAARVLEVSIPQMQAILA ASTYSARTEQMIWEHHINE PFICI_05015 MSTTSKKPTATDDMPHGVPRDIDDDASSPAKPAPKRPVADGSKT DVRRRSTQEAAPSQSRPRREETPQKKETPQKAVPKPDTEETTQKRETAQKAAPKPDTE ETPQKKEISQKTASKPEPEDIHDLSEPNGLDAISKPEGDGQISESEEPMTTTRRDKAR PELSDEEKAQAIKAWQDAKAMGLNLGQMNAEPTRWPLKSDVFQAKTALDVFSLDLYRI LLGLLEKSTAPQLIDIMQQNQRVRDYNAALRDRRYTGLLPLITEKGELHELLYKREKM PSKAGNKHTMDTIESLSPDEKKSVLFTIRELENLTPDIKQKILNDLKMTGALRFESLD EDREYKAEAATMQLRIAMGLPAVYSASG PFICI_05016 MPIATRTQQTDGNSAGSVKNFPSRSSGEPSKATGASGGPVTLPI LPTPDPNERKHIGETSDKHFNEDINDIGTKLNSVNNDLFHVLSELQARQSAGLGSAKC HTKALVDSSGNFIVDLLVTGKEKSPGKGVTVERLEKLPDAQKIRILKKLNPTEEFSSL IEPFIEKLLQEAFEARRDDNFQLNQSMDELIDIKSDLMQAVLDSAEDSQDIIDLLHFR MAEDKSLLDAYETEYTDYLTMQLRIAVGLPRSFCHCPPGV PFICI_05017 MSSDLDITKPWPVHEIVYTAIVGTLMLAAVLEWILWIAAFLYCL IKVFQKAEHWTIRVLAVIIGVLFTLLRAIFLPIMVVTLPLPSVIVQYWPEEMVVVLQW FAFWSFAGLLTVPWLFCVYQLVTHQLGRTKRIKKVLDEVSAPKVVIVMPCYKEDPDVL VTAINSVVDCDYPPSCIHVFLSFDGDQEDELYLNTIEKLGVPLTLESYPKSIDVTYRA ARITVSRFPHGGKRHCQKSTYKLIDKVYTGYLKRNDNLFVLFIDSDCILDRVCLQNFI YDMELSPGNHRDMLAMTGVITSTTKSHSVITLLQDMEYIHGQLFERTVESGCGAVTCL PGALTMLRFSAFRRMAKYYFADKAEECEDLFDFAKSHLGEDRWLTHLFMIGAKKRYQI QMCTSAFCKTEAVQTVASLIKQRRRWFLGFITNEVCMLTDWRLWKRYPILLVVRFMQN TIRTTALLFFIMVLAIITTTKKVSDLPVGFIAISLGLNWLMMIYFGMKLKRFKIWLYP MMFVLNPFFNWYYMVYGIFTAGQRTWGGPRADAAKADEGTTPQQAVEQAEKQGDELNV VPETFIPAVEKKSSIKRSKSKLQPPEKAEGKFAVRERKPNGFYAHPEESVYSVNLLAG SSSELPTHRYELPHRDSWESFMNGASGNQSVYFPRRVESIMGEEDRKKYEMAQQNQYN QFLGKSKQFAVPPPGQVYEISDTELNKAGWTDPVDAPYSDNVPDSHQRKGSAASSSKD FDTAEIEHANEPRGQRGSPLGVPGTAQNRPRNGRSPLGRASWMRTSAADELEMDGLES ESRDASPAPSFSTKQGDSRKSK PFICI_05018 MDRPRRRELRSLNERAWDGDTGVFPVGKSLDSSLKKNTAFIKRL RTAISSATLNTFLQEIKTLSLTKYLSEIISACYEGLCRLKSPGEIDAGVEIVSALHQR FGPADFTEYLGWLLGKGMATPDKSLLKALAPEVREKEEKERLVRQRALLRVVTELWLL GVLRTLDDVKPDGTAAGKETGLKTKAPGSTKVGTTEPFPLEVLKDLLGHDREHTNLPL LVIFVKTFSWDILGAKSSAGEGRKTVEEDGVTRTEEAPLAIDGSDGAADSTDDPPITS PELRERFRNILKRYFDDVTAHILRDQKHIAAQKGRNSEAYVKSGEVFEDRQANFERLL KAQERLVSNAQVVAEAIGAEMPDLKDAGDALGASNGSIGLVKTGDYLRGQSDGAGIWE DDEERRFYENLVDLKGKVPGILLEDGKKKKSDTDEQVGKKADMNDVQDAPKPAEGADD QSTAIANKTIGAQVDALLTRLPELTNKDAVDDTAIDFCFLNSKASRNRLIKALTEVPK GRTDLLPFWARLIATLGRYMPDIPKGVVEYLDAEFRSLQRRKEKDFLGQVRLSNIRYL AELTKFGIVPEHVVFHCLKVSLDDFSRMNIEIICNLLENCGRYLLRSAETSPRMATFL ETLQRKKSVQHIGQQERMLIENAVYYVDPPERSAIQQKERTPTELFIRKLVYVDMTKR NYTKILKQIRRLHWEEPDVVAILAKVFSKPGKVKYGSIHILAILLSAVYRYHPGFVLR VIDNVLDSVMLGLEHNDFKYNQKRIAEIKYLSELYNYRMLEHPVIFDTLYKITTFGHG GAPLPGRMNPFDLPDDYFRIRLVATVLETCGMYFSKGPAGKKLDYFLSFLQYYIYTKA PLPMDIEFIVQDVYSLTRPQWKLATSLEEASKAFQLAIAQDQKNAGVDGAADPDPEAE DDAESVASSDDEGDGDGIMPEADGEEDANSDDDEGHELDDKSSGDSESEEEEEIVVTR ETGTIDPEEEADFEREYAKMMAESLESRKFDRKPLFDVPLPMRSSKTREAPKTQEVEN PANMMAFSLLTKKGNRQQTRTVELPSDSTFAVAMKTQQQAEREEQQRIKNLVLNYDLR ESEDPDGDTTTTPLIKNSNIHNRMKAGPEKTTTYHINRPEKQGKDRGGQRVRRLEMND LDWYGNTRDNNQQAASHVEPSTRVEASGEIGFPSKRRERVPGSQARYRKQAYAGQRAR AAS PFICI_05019 MDPIQSPKSTPKLASSAFPAQFPPSPPDSSSNTRAPSSDAGSVV SSHPDDLDKDTTRVSRAYPLPPASRNDNQVLPQDLKTPDNHVERDPRLIRLTGVHPFN VEAPLSDLFNDGFLTSNDLHYVRNHGAVPKVEDSEVMDWDFTVEGMVEHPIKLNLAEL ISEYEQVTYPVTLVCAGNRRKEQNVVRKTKGFSWGAAGVSTALWTGTVIGDVLKKAMP SRRARYVCFEGADKLPNGYYGTSVKLNWCMDPNRGIMLAHRMNGEALHPDHGKPLRII IPGQIGGRSVKWLKRIIVTDQPSDNWYHIYDNRVLPTMVDPEQSANLPDTWKDERYAI YDLNTNSATCSPAHEERISPSSVQETYTVRGYAYAGGGKRVTRVEITLDKGKTWILAN ISYPEDEYRLADDEERLYGGRLDMSWRETCFCWCFWDLEVSIASLQDADDIMVRAMDE SMMVQPRDMYWSVLGMMNNPWFRVVIHKEAHGLRFEHPTQPALMPGGWMERVKKAGGN LSNGNWGEKAAGEEEVVVVEEPVKEICMTKKDVSRSITIDELRKHDGEVEPWFVVNGE VYDGTKFLEGHPGGAASIFGAAGQDATEEFLAIHSENAKAMMPDYHIGTLDQASREAL AKGDTVIDENAAPRDIFLQSKTWTRAILSAKKKVSPDTKIFTFDLEHASQTVGLPIGQ HLLMRLRDPVTREAIIRAYTPISENSEVGKLHVLIKVYYDTPERKGGKMTQALDAIPV GHFVDFKGPTGKFEYLGRGVCTISGKQRNIKRFIMICGGSGITPIFQVLRAVMKDPED STQCLVMNGNRIEEDILCREEIDAMVLGNEHKCRLLYSLSKPGPDWTGLKGRMSKELF EAEAGSPTSSQGEQLVLVCGPEALEKSVHSTFTAMGWRDEDLLFF PFICI_05020 MSSYDNNDFGSSGRDEFRSGNDSYGNESSGFGSSRNERDNDSYG NSSSGDSYGSSRRDNESSGYGASSDSYGGSNTSSSRRDDNDSYGSSRRDNNDSYGSSG GNSDSYGSSGRNNDSYGTSSNSDSYGSSRRDDESSGLGSSRRDDNEYGSSGRNERSEG GGLSSFLGGGSKESHGSERKNEDFTDKVIDGVADYAKKKW PFICI_05021 MALRAGTTDHSQVPTVDEYARENGLTIDSAVNAHVLLSTFSDSA GLRQSLDEEQGLTPFRISSFSIPLIERPSIPRPAVDVLIRLQSSECARSLRSRAIADW PQVPRRHRLESPLLKTDPEIDVIRLQESIKTTRLIDMQANIPPVPPTEPLDPYQDESL PFPDSAYAHHADLSRPAKFERISITKGVIECITSVTQDNWTDTDMSTLLAEQIPPRSS RPRKLTPPLSPAESDGECFMPDPEACLIPIASDPSSLLSADLDAAEAALPRDTISSTE ATTPLSSLRESPVAMMRRTRAKELRLEEPLMPVDEPEPLATRVEDLIRGYCDISQDLL QPDLSFDHDFELESILSDGLKEVLQDATTHSRRIIDQEQLEPADATARIPVPIMDFSI PEPDWTNCGYDASKHFQSLLQNHPGKALPSWPEDTAARRLLQWLPFPSKLGQVSMDEE IDGPKVTSLSDLPNLKNIPTSFDFVWKQPGISILKHDEDEDMLDSSRNAVDSHTHQGS TLGLPELAAKRKREATHHTTASTPSSSPVDLVMVPEPEPQRASVSDDSEHLLFDVDEP AAPSNLLNNFVNFHNFKRRKLGISTFFTKAPSKQISSVPGNSKKTPKPIPAPSAAPQA ADEVTIRVAPFPSLKSEGVPANIIASTKLSNPIIRWIEKLSPWVKITERDFDKYNKVA WNPMSVARSPVISNLAAEADVIVSPSTGLMVLSLIKALQRPIPGQKSVLRERIESTSR RYERLIILVSQSNRQDESLRVMTDSECLGYADFCGFVAGLESSTQVYYVGGGEETLSR WLVYLITTYSYEAMEVDKLLIDTETTWELILRRAGMNAFAAQVVLSELKEPLGVAQND AAHRGLAAFINTSPDERFAKFGRLLGGGRVLQRVGRALDSAWV PFICI_05022 MGAKGLIAVGIPPALPRWLLYVQGAIIVLSVIILALSAYALSLF SGYGYSPGGAPGYMIFLAIKSWIIYGATIFFVLKASQLYFRIGLLIAYVLSAIFWLAG WAWAASWAAAILGYYRGNSLASKFGGAMAGNAAIGAVVWVLVIVNLVFFIMASVRDES SAANVELGQPQKQSQPMATTQPTTDIEPTINAESATTAPSVTITQPASSIQPQAVPET EAATH PFICI_05023 MGILVMPMLTPDQAASEEILLAAIMASKCADIVTVCKLLLDILL KPLGLCIEGICVVPRNVHRSVCTVEGKDRDMEKHIAVGSHNLLRVTLPDGEQMAVDFS SPHMGWHERP PFICI_05024 MSGVCPSDSPYSQPFFQQSPHSQGFHLSEEELSAQKAFMDNYGL VQTTGVTTLAAQVNNNSEIMQQDQLNNSTQVCKPDINNQADAMIPAQSIHGPVVSYGD NNMKTTITGPFEFDGMQSHHQIPSGDAGSMNAGYGAPFELIGYGLQHQATAAFPASQY PGPGSAVFNDGSQYSEHTYYNTQQIHGGMTGEMTVAPYQNFHQATDIVDYGVPSGMEA TRFQVNNQAIHTPEGAFIAPKPEPKNECDVAELVAMAREYAKQEPGQVDAQSNDNVLS GCVDSPNTPASSKASTKPKKARKTRSSTRQKGTRVPTAMQCAPISIARDRNGKKRVEI GPTLAGDGLPQIKVHPGSDDDDKTNPLSLAEAVARPRDEQLTLPRPGVPLAGPRVKVP YLTIDDTMSREEQLRRAAHNQQISEVDRADRRARNNESARRNRERTKQAIVDAQAKVE EGQAKIKELEEKLVARDQEIKELKKHMGKK PFICI_05025 MRRPGRRRSRRSRRGFRADLVRAIEMRHERRRAQGRMGFRREFR QALEMSLERERAMGRQSLLEQYIEALEMREERRRWHGVVISPD PFICI_05026 MARHYPLDQDLAFERYEHRTLEVRDVLINRLWEIFVTPAKQEAT EDIWNPSTLLGRVAVHSRQGRKIPAVEPCDLLHLIRPNPIPNKEEDAVAFLFRSTTID EWLNSLLRRHTFLTKEQLGDFEWAQRDFAFDAAQRFYFEVNDPSVRFLFKQLGGQDLL WSIEERTRILIEDAKRNIRVQDAHRLYSGGFPKPDQKSRVLAKGFHLILKRDPLLPRS TRKTAKDRLFDIFPFAPPKLYGRASSLPAPDAWATARHSMTVKDPTRLRSGRPFMWLT QLIFHFPRRRFSELDKLKDLLEMEQINMANPILVQIAVASITNSKSVLTRVDIVVRRA TWLSNAPSNLQIAASVSHSRGMSRQSARNNAVVDVVIHFLFEITSISTP PFICI_05027 MGFRHWAATLLAAGLNIQNALAQSSTPVVYTDPATGITFDTWPV PAASSAGGMTFGMALPSDALTVNADEFVGYLQCASEDATSTGWCGVSLGGSMTNNLLL MAWPYDDDIYTSFLWATGYTQPAPYTGDATLTQISSTVNDTHYTLIFRCQGCLSWDQD GTTGSVSTSNGYMVLGWAEAYAQPSNPDCPANVVMVQHDYQGIFGAQFDSTIANASYS AWAASATATVTGSCTAVPTTTATTTATATATATGVPVPTATYDYIIVGAGAGGIPLAD KLSEAGKSVLLIEKGPPSSGRWGGDMKPDWLDGTNLTRFDVPGLCNEIWVDSNGIACT DTDQMAGCVLGGGTAVNAGLWWKPNTEDWDYNFPTGWKTSDMAAATNRVFQRIPGTDV PSMDGKLYYQQGFNVIAGGLAASGWKNVTHANDHPNDKNHTFARGEFMFSNGERGGPM ATYLVSANARSNFDLWMNTAVKRVIRSGGHVTGIEVEPYLDGGYTGVVNVTSISGRVV LSAGTFGSAKILMRSGIGPSDQLAIVQGSADGPTMINSTQWIILPVGYNLDDHVNTDT VISHPDVVFYDFYEAWDDPPADDESLYLTKRSGILAQAAPNIGPLFWDEITGADGIVR QLQWTSRVEGSDGIANGNSMTMSQYLGRGATSRGRMTITSSLSTVVSTLPYLNDANDV AAVIQGIKNLKAALANVQNLTWEYPTADESVEDFVNNMIVSYSNRRANHWIGTAKIGT DDGRNGGSAVVDVNTKVYGTDNLFVVDASIFPGHVTSNPSAYIVTVAERASERILALA TSTAVAKYGQCGGIQWTGSFQCAAGSTCQKQNDYYSQCL PFICI_05028 MRSLILAILSSTALAVGDEPKPGPDGKYTISTPSIKAQFIPYGA TLTNLFVKDKSGDDVDVVLGYDVLDYYPKDPGHPVYNSIPGRYVNRIGHGHYTLDNVT YTTELNDGNNTLHSGTNNWSYRTWNVTDLSDTSITFSILDTSNSSLGMLGRVEASVTY SVAKNTWKIHMKANASDRKTPLMLTQHTYFNLDAYRDPSTDKIWDHTLHLPYSARYLE ADQGALPTGKILTAAEGSINDFASAPNLALGHARDQAGFSGNCGADGACEGYNGYWLI DKAPKNATVATLASAFSGIRADLRTDQAGLVVYSCNWFDGTAALKRTQGLRDRHTVGR SSCVAIEAQDWVDGINHPEWNRTEAQITGPGKNYTWESSWTFGTL PFICI_05029 MQRMTVVDGVQLIPLVAALAFLVSAPWRIWTLCKATTKIGPNLL GTFKAFTSLLLPLVCIARLVEYAGWPAPADVVIFYTPIATVVAAVVYCMLSPLEHRRS VRPSTPIILFLTANFLGETARWALSLRPGGSQIGGRGSALRAVISLFLLLVESHEKSS ILLDSKTVRSPEDTAGVLSRAFFWWINPILARGYHETFEVKTLPNLDSNLISTSLRSA ALYNWAQRRKPEGLMTLPRVLLKTLLRPFLGAVLPRLFLTAFKYSQPLLIREAIHFLT TSRVKKESQDGTNLILAAIIVYTGLAVSIAVYQRCLNRLQVMARGVLISLIHHKTLHT SSDFLNEGKVVTLMSNDVDNVSDSGEMFHQTWAQVLEVAIGIALLASEVGWVWPLPLV FIFSGSRVSRFVARNLRSRQGAWNAATQRRISMTGTVLAAIKNIKIMGLQRGLIAYIE SLRRAEMDSAETVWWMNLTYVASANLIGIFTPAVTLFTYAVVAYFRGTALDTETAFTT TAILGMVTHPANMVMTIIPKVIASFSSYERIQKFLLEPDLADPRSVKSATEPELVDTS VESDAAISFQHVSIVNDASKILLEDITFTVPQGSFVICAGPTASGKSTLVKTILGESN VSQGEVCVSSRNIAYCAQSTWLPNTTIREAITSFSRETKQNDEEWYAEILRVCCLQED LDAMAEGDKTSVGSRGMNISGGQRQRVALARALYTRPTILLLDDILSGLDGNTENEII ENLLGPSGLIQKHRSTLFLITNAAQHFRLADRVLILEDFKIKEQGNWNDLKVKQGDIE KIITSKSQAGTTTNGEATTPSKGPKTVTPAPKSTSDDARSSGDSELYGYFIKSAGYGN FMFMFVCVAAYSVFMAFPQYWLKLWTESESNEPWFFAIGYLLLALGAWVTTISGVWAR MLKMTPRSGLTLHSKLLDTVLLAPLSFFSKTPTGEILNRFSQDIQLIDKKVGEALGAF VIQICKLTVQIVLLFLASKYMTVTLPISAIIIYIIQKVYLRTSRQLRHLELESRSSVF QDFLETVEGVTTIRAFQAHEKAEVAHLEYLDFSQRPFYILFCLQRWLNIVLDLLVGAI GVGVITVAVTLRGATSAAQVGLALNMILVANTALLALIRSWTDLEISLGAVLRLKTLE EDVSPEDKNADLPDRYTSVPWPMPGAVELTSVNAAYNPDALALQNFSMTVEPGQLAVL CGRTGRGKSSVLLSLLKMIDVRSGSVTVHGLNTKHAPTSVVREKFFVAIPQDATIFPQ ASLRFNLDPSQVLSNETVMKALGKVGLLDHFRIPEQEQRAGPQPEEEETASSPGKILD KAMSDLAAISAGQAQLLALARALLQAHSLAEDGHNKPIVLLDEATSSLDLDTEGLMLS VIHQEFTLRGYTVIMVAHRLGAVKAILREGVDQMIEM PFICI_05030 MLATNIQSAIRRSLNPIRTAKPPRRFQSTGPGPNATKPPKPDDA AAAIAPGAPSTPSNAPTTNLAIWQRLGPLTKAANAFTRANQKRPWVTQVCSSLFIYLI ADLNAQRIGGKEYDPIRTRNMLITGACFSIPGYEWFKALGGWFTFSSKALSVATRVLF NQFTFAPLINIYFFSMQALLTGADPVQRVMEKGPETWKNSWIVWPSVIAFNLAFVPFQ FRGLVAGCVSVCWQTYLSIQNKKAEMLEEANKQKAAENETVEVRALAAVA PFICI_05031 MAPTLLYKNALSSAADVETWVAEGPVKATTSPNGSSVELTSTGG WDDHFTFWCPEVFPDRIRITWEFAPVQEPGLAMLFFGASAVASVPEGGDNNGGSIFGD GVKPRNGAYPQYHSSDIRTLHVSYFRRRWEDERAFHTCNLRKSPGFHLVAQGADPLPP VVDARGAFYRIEVVKDGRDVKFGIEGLPLFSWTDDGDDAKENTGPVVGGGRIGFRQMA PLVARYRNLEVHSLDEE PFICI_05032 MNGATSKRKGSFPSSAGERPPKQRFLVPNGGVDSPGINTPDVER ELEDADMVAPPFYPVQPPDTAEWQATVENVIRNVVSIRFCQTAAFDTEAALTSEATGF VVDAEKGYILTNRHVVGSGPFWGYVVFDNHEEVDAYPVYRDPVHDFGILRFDPKKIKY MPVSALKLRPDLVKVGIEIRVVGNDAGEKLSILSGVISRLDRNAPEYGEGYSDFNTCY YQANAAASGGSSGSPVVNIDGHAIALQAGGRSDGASTDYFLPLDRPLRALKCIQEGKP VERGSIQCQFLMKPFDECRRLGLSSEWEAAMRKQFPKATNMLVAEIVLPEGPSHNKIE EGDVLIKVNGELLADFIRLDDILDSHVGKSIRVSLQRGGEDIDVDIDVGDLHMITPDR FVSVAGASFHSLSYQQARLYGVACKGVFVCDSTGSFRFESADNGWMIQSVDKKKTPDL ETFIEVMKGIPDKKRVVVTYKHLRDLHTLNTTIINVDRHWSTKMRLAVRNDISGLWDF TDLADPIPAVPPVRRKGNYIQLEHTSHPAVADLVRSFVHVNCTMPVKLDGFPRNRKWG MGLVIDAEKGLVLISRAIVPYDLCDIWITIADSIVVEGKVVFLHPLQNYAIVKYDPEL VDAPVQSARLSTQNLTQGAPTTFLGYNRSGKVVHAATTVTEITAVTIPANSGAPRYRA TNVDAITVDTNLSGQCGSGVLVDKEGTVQAMWLTYLGERSAHSSRDEEYHLGLATPTL LPVISQIQQGKKPSLRILSMEFRAIHMSQARVMGVSEEWIEKVSHANKHAHQLFMVSK RTFERGNEPQVLQEGDIIITLNGKMITRVSELDIMYDHEVLDAVIVRDSEELNLKVPT VSADDVETDHAVSFCGAILHAPHHAVRQQISKLHSEVYVSARTRGSPAYQYGLAPTNF ITHINGKPTPDLKTFLEAVTKIPDNTYFRIKAMTFDNVPWVITMKKNEHYFPTVEWKK DGSEPCGWQRIAYEDNGKAHPGDPNEGIMVGAEDTGDIEEVFV PFICI_05033 MLFSAIAFAALAGQAVVAEGVKKPYKPQMAKMSVKDVLMGRQAG AGYYPDSTACGTGDTCAEACGAGYEQCYSTDDLIHCYDLANAQTCCPNSSGDSCNAGY YCTADSVGETYCCPEGTSTDDCAASYGVTGGLQSMSVVATSTYTATLTSTTTVASTSS ALSEAAVTTMTTASAASTTGECTTYTSEIIYTETDPTGGCTTYTSAVVHTMHSASATV GSNTTAIATTGLLPTSTTTGIPVQAGASALQVSGVVAAAVAALIPLLI PFICI_05034 MSSEGGTTGGIARKRTSDEAGLELLHPQPKRPYTPTPLAQLNHE RQVLDIAGLAAGDYIRNKLLQKPSSPELPSFMLQSQPDPAEYDLSSSELHRGSPDYSP DSPIYQPGAPIHQDTMSPSLSEVPSSQIISLDEFEDLQHQRKSQDMQDNIKALIEELK AHHGGKHPSEFVSFLQKNVEENGVHMETKDAAVSNDVSTQTLIFSAKRQKSGEPAIAT TPLTANRFAHTGQRRSSILTQSNMLVSPITASPSMSDCQFSDLVSKRAGNDSKQTPRS LLSFSRVCINAAIEPDDNNAMDAFQTTATPESRSVTTETDEPDWVTIYNKELETIRMK NPFVTGKGVIIVKTSLRHQPKPFNQRQTHRVTPLARKSPQQSSRDKDNSHRTATRKTP AVAPMSNIISLDSDDEESPTDFNPWRVGGAGRQGSDAPGSHRTPGRQIMPATTAARSP QHSTHILHNEGAVDGAHSYGNYDAYGHPNSESSMDSYGKDSYISHDALIEGGDEWQLD AMNDFRQQEIREDSPGFYELSNHMSVPATNRLLRFQNRSSSVGEVPSPLAGHRLKFQK FLVEGRNKNLYNTEKAIQQALDGVYGAVLTVDEDTAILDEWCPSNRPDQYCVMKGFPL VESVEFIVQHNHTVPAGDCYWRSVSFCLYGSGDHWNLVKSEHLAYVKHVLSQPGHARH QLYAEKLNKKFFNTSSADSMGNGLDLFQANLYQVLHMAHSWTPALMQQVTADLYNICL IVFTCEKTLEGDPIITETAVRGAYNSRHIFMQFVDNNHFKPMCPNEFKSSEFRYPRVD VDKTAKFTRAPKATSTKSTLQHPWRNDFTKEVPAPVPRIWNYDMAQLSRYMGSK PFICI_05035 MATQHQSGAPLEDHLRNLILNNTEAVSSSNQVHPGSLNTMQESD GQTTPSAPNKGRKRPNQAQRRQMSSRMTIDIDPRATTSQSAKPYPNQPGFQRGRDSSF HQSRPSQNQAGFHNAQGHVPSGSRAAFQSNHHPQQNRSFNAAATSSTSPAHVQQNWRS QPQQQDGSHSRGVNVMQADSFGGRPPRNAHGTLYNPDGHRQYQVRPEELAAQSGLLEH LCMAVLHGAEIDHDEILEKDRFRGHVELICRAVVSDFEVNINQMPDFQPYSVRLACFG SLASGFATKAADMDLGLVSPNSKIPPDSPDSPIPRLIERALLDAGFGARLLTRTRVPI IKLCEKPGQQLHSALLEERRKWEQGLTDDDANAAEENTVDAPSDEQTFKQQSETIPQD TAIPATGVQAENDAVPKKDKDASRQHLLSSLKQSHNQTLLAYHNQAKKILRQLGGHDI THSNISVFTAKEFHLLDQVSSSFVEGLNDTALRNRLMLHPSFASKGDLSNWRTLHGVC NMAEGEKLVMLWENRVIHESDPRSEQSHARTVHWWSEFHRTRYFGLDPLTFNKEMFLV LEKLRKIPSVQLMQLQQEPYESAADYFGRASKVMAQLRIAPHSSSELTSPIIGYYVSG IDDQEIREKCEVFVRNTGTKDLKDVARRHGSLHLANDYARAVEKGGLYSEDDVPLIKE YIQFLRSDLVQTPAVDGHEYRLPVDDSLRQVYRRILELPSPGQLAPNQPKDRYHDRLE FPQSGIGVQCDINFSADLALQNSHLLRCYAATDPRVRPMVLFVKHWAKARDINTPYRG TLSSYGYVLMVIHYLVNVIQPFVCPNLQILAPEDPQLPPGELEGITTCKGRNVRFWRN ENEIQRLSQEGVLNANRDSIGYLMRGFFEYYAQNNMMSTIQKRGFDWGRDVISLRTPG GLLSKQEKNWVQAKTVMQTQMGAPPTPTAPDTGVPLKPADASMARSAAEGGQNDEQKS LPDGQVRSDIAAKPQEVKEVRHRYLFAIEDPFEHDHNVARTVTHHGIVSIRDEFRRAW RIIRASGKTAVQEDLLENVKMHAQNVEKKQFTDLLSEIHGGTTTP PFICI_05036 MSASPANGPNGQGPTPPQGKAPVPRRRPAKANPFRPVQKPHARP AAVHAPGTPLPASSKGVPPKPPVPKPLGNSQSQQNFEEDRQKYGGWSFPMREGMGEFD LVLTKKNTKDGLRSHVMRLIPPRKSENGIDPSDQNEFTRPVLLHRRDPRQPPPGRVLK EPTPIEEETPEQIAERERLAQIKADRDAQRALDDAQKAPSGGPAKKQPKQKDKPQGTK VNHGPRTEAAKKEFDIRYEEALPWHLEDADGRNVWVGQYERPLSETKAAIVYKDNKFM ITPLGKWYKFTSKRSSVNAMTIEEVEAIMKKKAPVGRWAVRDQQREAAEKAINESRGA GMVKQESHTYKQSSRKEKEDHDDIDMSGDEFQDDDETAGFEPEKDEDSKDSKDKVRRD QLGANLFGAADEKAVESEEAVRRREELERKLFGKKMKKALKKRDKQFQYDSDDSERER DPFASSSDESESDSDEEKVKKEEDKDSKDKDKDKDGKDKNASASKGNGTPQGKKNLAD ASKKGKSLKRPGSPMVSDSSGNESSRKNKKKKTGPANASLMGSRSSTPIPRRPGPPGS ASDAEATGGEMSDGVGGKIKKKKIGSNLATGTGARGTPVGSRAGSPAPSNSQPTAPLT AQEIIDALPENPEDGVTISELLRSFNSRIGEGPNKSTKADFIQLVKIHGNYKKDTKTL SRKQKQKAT PFICI_05037 MPADLSSYLAKHYLSADPKPSKKRKRNKDASAGLLITDDDETGW TRSTNADDDDDENVSATVAGTSAEFRKAKSSGWKVVGAPAPKDAEVRQGGEDATAADA ILASAAAESAAATGEQKDDDPAVVMMSDGTHAGLQSAATVSAQLARRKALEEAEFEKM RKHEREAETVYRDATGRRIDVSMKRAEARRQLAEAEQKKAQAVEALKGDVQVREAHAR RELLQDAKLMTVARGVNDEEMNRELKEKTRWGDTMADMIGTGAGAAAGGNKKNKGRPI YQGAAPPNRYGIRPGYRWDGVDRGNGWEAERFRAMNRVERNKNLEYNWQMDE PFICI_05038 MRRPNTSEFGGGLSPTTSLPSTPTMPKEKVILEGIEETLLASTW CRAKDAQSSEPLLGDPYAQYLLDRCDVDYSRSTFAALHDERYGRFIAGRAKELDSWCR TFLESHGDRPCQVLQLACGLESRVFRIKRGPNVRWIDLDKPLVTNLRQRLYTEPPEGD YCLRSLSVTNDNWLRDIPQDRPTLVIAEGLLMYLKAEQSKKVITDVVDYFDLGGQIIF DILGTILTKYSSQISWLKDSGATYGWGVDEPGEVASLHPELKLLERQYWHEYMRVERK VSCAPPWFGQKITKLAAVLPSFNDFGQIMQFAWDCRKDQSRKDSLID PFICI_05039 MFNEGDETWTQIPVQALCAAELCIGIACACMPVIFVLFRGIPDK TARWYQEMRSWTYREVRSGSNTQADTEKGMVRPPHFPTGTLGGLKSSIRRVYVPKRSN RIPPSQGEVVTLATADYDYHAFLGQSSKSQGAMLEGRMEAA PFICI_05040 MARGASFHSYLRDEHKLPIYTLRLPGSRLYVINDTSLIPVVQRQ FRTLSISPLLVRVFSHFMGVSPSALEIVGRDPIEDHGFVHQMTIETSKGLAPGPNLDD LNARAVSILDASLAALSAKNAATTVKLFEWASLEIMMATTNAIYGHQNPFKNPAVREA YYKLEGGLITMITGFLPSLLAKDSLEAREVMTEAFMKYYAEGGLDEEASVYARNRYEL PSSLGVPVRDVARMEAGGSIGLVANTMPATFWMLWHAFSDPNVLEDCRQEVSQAVHEK DGESYLDLAYIKSSCPILASTMQEAFRLHSIGMSARTVVEDHVLGGKYLLKKGNTVLI PSTVQHSSSQAWGDNVDRFYHKRFVKEHGATKYNPTAFRAFGGGTTLCPGRHFASMEI LAFFSVILLRFDVTPLSGSWDTKAFREANAAFRLPKHDVEVQLVPKNDKIWHIFFSEP GQPMIISQEDIASVVKVE PFICI_05041 MIGDIADSQRCLPPLHRPLQLRSVRLRSHPALLAITFIARHKLI ISQRAAGEEARARQLQQLKEQIRSYVLVTLGLVISKSYRNHYTDPSPSNTEPHQRPVR ISLDLKIELHGLEIEAGLALLLLLILYGQVLEASRNHGTEIHGNGNVIEMNVTNNNNI NGNDNVVTEIGIEGKAKLKVPLP PFICI_05042 MSSPVLDTPPDAVRQPGSSAKNFLRVLAKPLEPDIDIVAVHGLD PLGGKSHAEATWTAGKSLWLRDFLPERLPKARILLFDYNANVAFQTSTAGVLEQAASL LNQLAIARDGQKDRRLIFICHSLGGIVVKRALINAKQAESYKSIVNSTYGIIFFGTPH QGGNNAKIGDVIAGSVRKLGRRPKNSFMSALKLDSWFAKSITDDFRQFLEDFQFLSFY ETKPLGVLGMVVDPKAAVLGLSGDREKQIPLDANHSSMCKFESATDPIYQHVEDNIAN MVKHALQNAIDRSKRPVPASNGNQKFTKGNRDDTEQIGRANDSRTEGDGNKTRQFGDE NRSTTSGNENKTFQWAADPAEVKEHMNKYFERKG PFICI_05043 MAQQDQSSNSRSGLKKDRNGNTIWIDGIGNANKQVGHNNEASMV GKVNGSAQDGICNKNDTKGDDNLNRQNGNSNTSETKGDKCETNQHGNGNKSLITKFGS VIYQIGNAMKDGFSTPLENIPPEVKAKLKTMKGWLPNKSSK PFICI_05044 MSISPGEHLTYSIGPRGSLRLRDALSKYFNEHFCPSTQVAADNL YVTPGLASCIDSIAWALCDPDDGILIPRPYYNGFTVDITHRSHVQVVGVDYADISRHS SMNDLFRPEINTEALEAALSKSKTRGINIRALLISQPHNPLGRCYPPETLKAFLGFCS RHQIHLISDEIYAKSVFQNPSMADPTPFTSVMAVSNGLIDPLLLHVMYGASKDFCANG LRLGVLYTQNQGAMLTELVKSRQFAWSPHLVQDIWATMLNDKKWLDTFFTLNHELMAK NHSIATAFFQSHKIDYFESNAGVFIWVDLGRYMLPQRFRSQGHSSRLRSNMSEADLVA CIESESRLAATCIECGVMISPGSIYASEEFGWYRVTFTLPEAALREGLHRMGKALEFS VQE PFICI_05045 MLTTETATMMAKYDDWADQVLFDAISKLPEDAAYAPRKTLFGTM LATLNHNLQVDLIWRAHILGEEHGFSTRRDLLHSSFSDLVHAQSQMNQWLVDWAKDQT PESFSKPTKFNFVSGKAAEMTVGSMLLHIINHKTYHRGWVSQMFFDHDVNPPETDLCV FLCEN PFICI_05046 MSDETQPDLSAVSTAETVEEPKPKHPHLKAVSEGGSLRPPFSAR RTFSQRILRRNRSKLDTGAGPDNEPGEGRPPLGLRKTLSNIGDEEYINPLEQSARWML SARAGAIRAGANIGFGIMNRAAISSTSTIWLNSTLGPWKGRKKIEVKVWDPKKRIETS TSRSADPEKTRPAVINFHGGGFVVGSATDDALWAGAVMKSTDAVVFSVNYRLAPEYPF PKAVEDCADAIIQIAKRSQEFGIDTDRIVISGFSAGGSLALSSWVLLQEPQRWGYDLG GVPPKIAGFALFYPLLDWTISRPNKRQSCVKPDVTLSKNLTDLFDASYIFPKIPKDER DDPRLSPGLMSDELLRELPPVHLCICEYDMLHAEGHTFAERMDEAGREITVRVVKEAK HAWDKPPPMWPKPSVHIEYGHAIESMRTWIALPELERKNSTISETIREIGETIEEQRP NDKTPQVEKSEFKNSDLAIS PFICI_05047 MTVKEGSPRPNTLTGLALQLKGKLKPSSKKQQNGVVEDKGKAAH RHQLKRLEKQEKEERHSRDIQEKQNRWREEHERARSEEDSEMLTRYGMVAEPEDLPAA VQPENIMTIDQVTKLPLGSKIAFRARIHTQRRMSPKLDFLLFRDQTHSIQGVLAHTST HMIRWVQKLHPESLVYVTGTLKQPPADVRSATEHNVEVDVYSTHLVAAANDLPWDNYE PPEALNTRLQARILDIRHPANIAIFKIRSKIVSQFRRTLEDLEFLEIQTPKLQPAATE SGAEVFKVNYFGRKAFLAQSPQLAKQMAISADLRKVFEVGPVFRAENSNTHRHLTEYT GLDIEMEIQHNYHEVMMTVDKCLKNIFAAVQKMPELQVVRERFPSEDLVWLEETLVLP FTEGIQMLREDGADIEVEDLGTRDEIRLGELVKEKYKTDYYILDKFPANARPFYTHRA EDPQFTNSFDIFVRGQEICTGGQRIHNAEKLRESMKNARIPEAGMEEYLSAFDLGPAP HGGAGLGLERVVFLMLKLGDVRNATLFHRDPKSLPEKPPGLPHPEADTTKPWLFTEQP PIEKLIANYGDASNTSWLDERFEIWRHSNGAAVGYCVQDDKFAMTIGDPLCDESQYNE VIAAYKQYVLNELKLTPVWMLVSDHVQDILAQNHKWRTLSCVEEQRVDADHHKEPSKH DVRRVDRENVKVHKVEPNDDFKKRCEEAIEEWKAARKGKKQVHLTEIRPWVDTEHRHY FAAERDNKVLALVVLAQLSPKHGWQVKWAMDFPGAPNGTIEVTVEKALSSVTGNVTFG AGVSEKLTPGAQLHGARAKFLANTYEILVKQLSLANKAGFREKFGVKGDPIFICYPRH GVGVQDLKQFIKFFED PFICI_05048 MDPEVSAVLHRSLQHKFLQLSRGEKSKLFFEDGSIVIDASGGAA VACIGHGNDKVKKAIAAQLDKIAYCSTAFYTSSVCEELCQELVQSTHGFMTRALIVSS GSEAMEAAMKLARQYFLEKSTPEPQRVNFIARQQSYHGTTLGSLSMGGHVYRRAKFEP MLLNNISRVSPCFPYHNRNSQESDEAYVSRLAAELDAEFQRLGPETVCAFVAEPVVGA ALGCVTSVPGYFKAMKQVCTKYGALLVLDEVMCGMGRTGTLHAWEQEDVVPDIQTLGK CLGGGYQPIAAMLVHRNVVEVMSNGTGAFVHGHTYQGHPIACAAALEVQKIVKEERLI ENVRKLEPILSEGLIKSVSHHPNVGNIRGRGFFWGIEFVADKETGKPFPVSAGVAMGL AELGLSKEYGIAVYPGSGTVDGVNGDHVIISPAYNTTAEEIEEIVEIFQRLVSDYFKA KESTL PFICI_05049 MAVGLTNSRHAADEEARAEVDVLNSRLEKTAQLTKKIQACIGRL ETTGKSVRDVSAPLNGETKRLQILGNNIDSVIAAIERLRQPADSKNDEEQIIRQGPDK AGLSNYLNSISRLSKALSNMEVANLRANQQTRTDLQKLIQSGNSSLESHFEKTLRAET PMSVEPLNFTTKGKPFPVISQDTITRLSLINSYVGSNATAENPLVKIYADVRNPYLQK TLVNLAFASVNTAKKTVPGALYKAGTNGIGEYTKALEGMCVAEHENICSIFMRQDWGS VLQATVQTPMAELARTIRELNNHIKAHISTDCFLAYEVVEIMSSLASSLEERTGELKG TLAAALKPVRETAKTSLAELLEDTKQKVNMLQMLPSDGAPIPVVSATMQRLQTMVEFL RPISNIMISLGDGGWKSTAAASRSTDVIPSLASFDVGADGKEIFAHYCTDTIDVLMTS LDTKAKVLLKGKSVQGVFLANSVTIIQRMIMESGLAPLLDGKLGVLETWRKKVKVMYN EACKELSLQLFDVIHTNRAQRPTSGNADSVSVLKSLSSKDKENIKNKFLNFNAGFDDL VQKHKTYSMEREVRNMFAKDIQQVLEPLYNRFWDRYHEVDKGKGKYVKYDKSSIAAVF LSLY PFICI_05050 MPALTKAQQKQIQAAEESFNRTYANQYSDERWQNSLRPALLAPT RYAVLINRYEADGIPSTFTENDTRDLQRVSFPLDLGSAASEHSEVVSSGDDQTRLIAY QRASTAETAESSISEAPFPPPHSVSGSSPHLMTHWNLDAASLLAVSILNPTPGDKVLD LCAAPGGKSLSLAQLLRPANFDPAAPSLGGGCLHSNEIDNARNKRLAANLQSYLPASF FKSGEVKVLKLDGTEQNAAQSLPLGLGGYDKVLLDAPCSSERHVLHAHHKARQGGRVA DEMASWRSGQSKKTAKIQAAILMTALRAVKVGGTVLYATCSLSSDENDDVIEKAKELV AKEKKKYAIRWDMSVRSGDLMSKGVEQWAESTKNGWIALPDHPSGGRWGPLFFAVLQK VAV PFICI_05051 MATSETSSSNSPIIDFGSSDTALCIIPPRSHWSSIDSLRTLYDK AYEKWPPHVNIVYPFVKAEYLHEAANTISKSLEAKFDNEFGTPLSISLRAAGVFPHRH NNTIFIHDNDADRAAGLKQSRKAVLESLGQHDNDYNMHMTIGQSTDLDSDAHKFLVQK ASLIPAVAWDVQELCILVREKTHIDGKVSSQMCLWGTISLCDFKVRALPSPSYFYELE AVQANTRSDDVDSYAAPTSQRCVPFQYSVNSSSWRYCRISPDRERQQCPETLKIASYN VLAEFEYPPSQERYPLLLSNILDVSATSDILILEEVTDDFLCHLLANQDVRGEYPFVT NAPPNQVDIGPLPSHINVVVLSKWCFSWKWVPFKRRHKGSLVLQFDDIGRQDGDDFVP LILATVHLTCGLTDGSVAAKRSELQSIIRYLDENHTNNPSIMAGDFNITTSTATISAA LEKKSISSQTADYLTDIESILLEAGFSDSWTAARLGNLDATGLELDQKDIYEAVEGEQ GATFDPLSNELAAAIVGSGFNNRPQRYDRILFKAGQLLSIGGFNMFGRVKRDIEGTGD QDGKISSTYASDHWGVRCSLKLAPESLLDDETSSLMIKVAEKTVPDHLADVNELKSSL AALEVFPPEEEFAKREEALQLLRDTLEDTDSATENSQNRTGVQFVVVPVGSYGLGVWT ASSDVDCLCLGSISPKTFFALATQRLRRAVTKGVKVLRRVNALSGTMLELEVLGVKMD LQYCASALIAQTWPRAMKLPANSPVFSLPAQTLAKLKPARDLYYIRRTVPDFAAFRTA FYLIKTWAKQRGIYAARFGYLGGIHIAVLLSRVCKMLSRDHGSASVPMIILCFFHHYA NFDWKKDMVFDPFFHTRLRYVRTSREAMVILGYHSPSLNVATAASPPSVKTIAEEFQR ADRILASPGISWPEFMGIARVPAESGPSTFLQAYKSYVKIDVQFWGVSLAKGSRFVGW LESKCVMLLVDIGRRLPNIHARIWPARFVATDSSDEESDYQGCYLIGLDRLENRQGQA MSKEELKLAHGSLVSAAQKFEDQMRADEKYFDAACSWMCAAVVRQSELGDLKLDHRSW GEYTIGDDESEDEEEEDDEEDEDDMTNEESNNDTAAAASSSSKKSKRADKNKVILNTK PTYAGKFRSSADVISRIRWDPGMDSGDYLVGYEDRFLGIKERALDQWKAEQTDEEFIP QHRIMYFKRVSDGVLVWDRRSRRDDVFGSGVRDDDASA PFICI_05052 MSFLPMAPAPKSPLGRYRILSPSASIRVSPLCLGAMNFGDAWKE YMGACDQKQTEEILDFFHSQGGNFIDTANNYQFEESEKWIGEWMKKRGNRDQMVIATK YTTCFRSGTGEIAANFTGNGTKSLHTSVNASLKKLQTDYIDLLYVHWWDFSTSIEEVM QALNALVLSGKVLYLGISDTPAWVVAKANQYARDHGLRQFCVYQGRWSAANRDFEREI LPMVRDEKMGLAPWGALGGGKFKTEEQRNSTAGRKVQATEREIKVSRALEAVAKRKGS IITSVAQAYVTHKAPYVFPIVGGRTVDHLKGNIQALTLELDEEDIREIEAADSFDLGF PNNFLWGGEKYPEHPGKVWLLGMGGTYDYVPEQKPIKPAKLES PFICI_05053 MYPQPRGIETFTRKVTATASHLVGPLADPSSNHYHNAMAEVHKQ LKRPGIQRSMFSMAKTTPTDMVRSRFSTSEIQTRALSYLSDDMLQQIPDNENSYSLFQ GFQASFPELNEVGKKHRRRVSRGRKMIEDTPRTPDGPRHLQSLKKERSSLMHELEMLG IRKNMASVEIREIDNKIANLHGMRRIILERLAGLEQEEALLEHDIVDMETRIEDAQLL VDEAESIAADTPTRDDDDDLVTEKAADDFMSQSVYEKLPSASSTPSKPKRHKIIKRKS LPVLHEHLEPGTAIREIKAHGDNITAIDFDVPFGTMVSAGLDDMVKVWDLNAGRCIGV LEGHIASVRALQLEDNFLATGGADATIRLWDLSKAHYDPQGDLAEDEEDGIAFENPDD QPVEPPAGSMADCPLFSLEAHMDQITALHFRGDVLVSGSADKTLRHWDLEKGRCVQTL DVMWAAAQASASMGSAEGAWRQTSRGTSSSADFVGALQVFDSALACGTADGMVRLWDL RSGQVSRSLVGHTGPVTCLQFDDVHLVTGSLDRSIRIWDLRTGSIFDAFAYDNPITSM MFDSRRIVSAAQEDVVKVYDKLESRQWECGAGITQAEEDKTPAIVEHVRIKEGYMVEG RQDGIVGVWKC PFICI_05054 MDTAIDESAAKALDLANIRATLIRLEDTIIFHLIERAYFPYNKA IYTPGTLEGIPDANLSFMDWYLREQERLQARIRRFQSPDEHPFFPDTEEVQPVLQAVD YPDVLHKNQVNVNDKIKTYYTDVFLPQICPDFGRQDRGESNENYGSTATCDIACLQAI SRRIHFGKFVAESKFRSERDRFTKLIQARDGQGIGDAITKPEVERQVLKRLALKASTY GKDPASEDKESRINVDKVVEMYEQFVIPLTKDVEVDYLLTRLEN PFICI_05055 MAKKRAREADGAAPDPDRMQEDESSDDDDFDIVNVDFEWFNFTE IDFHGVKSLLRQLLDVDSQLFDVSGLADLIINQSTIGSTVKVDGKDTDPYAMLTALNL HEHREKKPVTDLIQYIGDKAKAIEPLAPLPELLASGKHVGLVLAERLINMPSEISPPM YNLLMEEIEAAVEDKEPYEFSHYLILSKVYHEVESTLDVLDERKKKKGKVAEPAVFYF HPEDEVLAKHAVASGSYEFTKHSEAVADSKRAFQEMGIQPKGFLMLIEAPKFAGAVKA INDYLSPPS PFICI_05056 MGHNKSFSVNDGFEFDEACFRKFYKPLSNLPTPPPSSRNSSATQ SPRIPAGDAEGRNEEFLASAIHLTRMLPSGLSWEMPSVAVVQTMLNRANLSMDTIALA VCILDALPTRFRNSWRMIYPRSRQTPAASKRHTLPSGPIQQPSDAVYSEVVILCALMI ASKFLEDVHDPTQYFCTEWGRGIWSCEQVNATERCVMEALEYRIMPLTDEEYIKEARH DIEATRRELQDETSEAAFEARECAFDTRLMSSGQAVLGLGLQLTPAVTPNPELSTGHG QNADLADETKDAFRSSQTLPENYLHLPMQSKAS PFICI_05057 MASRTSRSKSGSAHQGKVLSRRDPVPLGKSRLQTQSANAQTAQT RIGITSYRTKSEGPASLHESVQTPGRDTSGPLRVRANTKRRRSVTANLEQASQIRNSS RSPLRSSARLAFPSYGGHGNKDLSRETDAKGHPFNERVRISAREDAPQREPSRGRRRF RSPTPFPIVQGTQVVAAIQEIPVSGHERTRPHSGRPTEKPHDAEQPIGHNIDKTTVPR ARAKTQDSKRNAWRAVKGGFLVSRNVQAENYLFPIRKGKPDPVWRAGDGFSDEEAAPD FLFYVTGQKKRMKPASTTDFGVLPLLPAMDEHPGSIRHSRKRRKVGESSLIDRAALLR GVANEPWPTYKNFMESSLPARTQQSLIQDHAAVRTHLSNQVQSSLEKATPKPQSTYQL LARYGKSPLHFKGRVNTDRPDARGAYIPQSLPTRPLSLSGSAGDNGRESSISSDQDSQ SSIVPKTEPSLSGSDEGTQSDRTDTSDQSDQTIRSFWAADGSHFYPEDNLVQRGVASH FSNGDDDDDEDY PFICI_05058 MAPSTQFELAQPPNDAVSSLVFAPSSPTRLLVSSWDKNVYLYDT HSDSESGHGTLLQTFEHRAPVMDVCFGADDDEAFSAGMDWTVRRIDLSSGDMTLVSKH TAPVRRVVYSREHSLLVTASWDSTLHVLNPSDTSLTPLTIPLPGKPHALAASPSKVIV AMTSRLVHIYDLNTLAASLQSGAVPEPWQQRESSLKFLTRAVAAMPSDAGYATSSIEG RVAVEWFDASEASQARKYAFKCHRQTSPEDGADVVFPVNALAFHPNFGTFASGGGDAT VALWDAAAKRRMKIYQKFPDSVASLAFSSDGKYLAIGVCPGFETGMEDYSGEGRTKVV IRELGETEAKGKGAK PFICI_05059 MPFAQLVLGSPGAGKSTYCDGMHQFMSAIGRDCSVVNLDPANDR TNYPCALDIRKLVTLEEIMSDDSLGPNGGVLYAFEELEHNMEWLEEGLKGLGESYVLF DCPGQVELYTHHNSLRNIFFKLQKMGYRLVVVHLSDSFCLTQPSLYVSNLLLTLRAML QMDLPHINVLTKIDKVADYDKLPFNLDFYTEVQDLNYLLPYLEEEAPSLRSDKFARLN QAVADLVENFGLVRFEVLAVENKKSIMHLLHVIDRAGGYVFGGAEGANDTVWQVAMRN ESSMLEVQDIQERWIDAKDAYDEAERKDDEEQAARLGHTGTGATTPDLVTDDGEDDEF DGFPPPPSGDSGVKIVRRNQ PFICI_05060 MVRIKERYLLVNILYPTELSAEPSPKTPDLIALNQPTTDKFDRN ALLRELRAQVAILFGDFGSGAISSLQVKYLSPATSTFILRVNRAHYRLVWSALTMMDH VPVRDGKLCTFRVVHVSGTIRRVEEEAIRRARDMMLAVKDQAGAKGNSALAAIMNEGK RTRQTASKENTVDIDDESELEDEEMADFSDS PFICI_05061 MSSKLKAAILIVSTTAAKDPSKDASAEILKDVLEREGGGNWDLV ETKIVPDKLDEIQGALKLWFTKQVNLILTTGGTGFATSDNTPEAVLPLLQKQAPGLVH GMLAASLSVTPFAMMSRPVAGVRDNSLIITLPGSPKGAKENLQAVLKTLPHACLQAAG MDSRTLHAGGIKKLESDAGIKSGEAARTHSHGHSHHTHGHDHGHGHSHGHNHGHGHGG PVRHTAPGANPLSNDPSLGPSRRNRESPYPMLEVDDALARIRENTSAPVTVNARVDRS LPGSVLAEDVKARANVPAFRASIVDGYAVVVPKDGNLKGVFPVVSVSHAAPGEGFKHL NEGEIARITTGAPLPPGATSVIMVEDTVLKTMTDDGKEEKEVEILADGVKEGENIREV GSDIEKDTLILGKGEQISAVGGEIGLLAAVGVAEVKVYQKPIVGVLSTGDEIVEHDRP GELRLGEVRDTNRITLMSAAQEHGFEVVDLGIASDKPGTLEETLRDALRKVDMIITTG GVSMGELDLLKPTIERSLGGTIHFGRVAMKPGKPTTFATVPFKSNSGERMNKIIFSLP GNPASAIVTFHLFVLPSLHQMSGIEPAGLTKVPVSLAHDFSLDKQRPEYHRAVVSIGK DGTLSAESTGGQRSSKVGSLKGANALLCMPAGKGPLRKGEKVDALLMGAIRTEF PFICI_05062 MEFYLPIGNRQLWTLFINTLSPLRSAWPIPMPLHEFTNNIQFQH HNAEMVWQQERRLINQQTRVQATRRRLPPGEEFDLDPQIPNDLKFANYQVAVVFDPEN GTVEVRVHRSLDRTVPRFPVFLPQRAVPSTPTRP PFICI_05063 MGALYYGLGSASTALLVVGAYMLFTGSGQSFNVGAFIESISPYA WADLGIALCIGLSVVGAAWGIFITGSSILGAGVKAPRIRTKNLISIIFCEVVAIYGVI MAIVFSSKVGFVDDAAAFDAQAYYTGFALFWAGLTVGGCNLVCGVAVGINGSGAALAD AADATLFVKILVIEIFSSVLGLFGLIIGLLLSGKANDFGWKG PFICI_05064 MAAISSQTYTPAEETEIQQWITTSDRLKSSPSDSSILGSLNTHL STRTTLLGTKPSKADVSIYQALAPVVAKWTAEQRTGEQGHPYIVRHLDFVQNSNIFGL DLKDQDKFHVDPEEVLYVKPPVDAKAEKKKLKEKEAAAAAAAKAGGEQATLVDRTKAA AAAVKDTVVEAGAAVAAAAGVGGEQQQKPKEKKQKQPKPQKAPAAAAVPTPALIDLRV GHILKAIKHPEADSLYVSTIAMGDKEVTEDYTEYEGQICRTVCSGLNGLVPLEEMQGR KVVVVANLKPVKMRGIKSCAMVLAASPKLKEGEADDHKGPVELASPPADAQAGERVYF EGWEGEPEGVLNPKKKVWETFQPGFTTTDNLEVAFDAGEVKETGKTGLGKLVTKSGGV CTVKSLKGATVR PFICI_05065 MLYRAALPALVALCFNIAGVAAIPAAQITPAPVVRDYGTTPEPS IPGSCLTTWDDFEHTTGGVSVNCFTYTSTTRPANCPVCTADSTLVCPLFIYVTTSQVP CSTDCCPTTATAYVDGACPTCGQCNIPTETIIETTGCPATATTTDAADPTETPSCWLP EGCPALSSSSGY PFICI_05066 MFIRPSAQRSLSLPRISTFRRPQVISTSSLCRSVAGAGASSQRL LVTRPDLLPWQRRSERVSHGTQHDRSLATAIDDAHAQEDLPFNIPAYAQSPQPQRNLA PYELRHFDPSNPIIVKHELEPPARLRTTGQGIPGDIETMLSVFHACLQVSHLDRAALV LKRFEEYDLLPGHELIKLHNKYLAANIERFYSQPNIKWAESMQSWYETQIRAKGLPQT PKTIAYMLKISLLSGQDSERLERRIDRYMGMVPGDLGWKVYQYSDILSDQDLAKITSL CTVHKYSPDEWVIEGDESPESQLATEASSQDASAAADAASAVDPEGEVLAVKQKGLGL KTLRHVLSFFSDIRGVDISKLPLAEQREIQARMEKDCVDAAIHRWREEHQALMKMGKN TSLSTNALNSQLYDWQCALETRIKEEMKLMDIAEAQPTKSSDDLDKILIAPFLRQSNP ARLAAVTILSILNSTASADSDNGPTIAIAVSALAKAVEEDVRLQRNHEARKLRKTRRR FLHREAAEHEQAASSSPETTPPDSTSPENSVIKHVEEAIQNADHWPVVIKTKIGAFLT LALIETATVTVTREHPDTKELVSQVQPSFAKSTIFKKGKRVGMIMPNKYLTELMKKEP RADFLARHLPMLVEPEPWSKFDKGGFLEFPTSIIRIKNGEKDQKVYTEAAIKRGDLDQ VCKGLDVLGRTAWRINRSVFGVMLEAWNSGEAIANFPPLHPSIPLPPEPEYSDDPLAR KVWIAQVKAIENEKAGLHSERCFINFQMEIANSFKDQTFYFPHNMDFRGRAYPIPTYL NHMGADNTRGMLLFAEGKELGESGLRWLKVQISNVAGFDKASISEREAFAMEHMAEII DSATNPLSGGRWWLKAEDPWQCLAACFEFKAAMELPDPTKHVSALPIQQDGTCNGLQH YAALGGDRWGAQQVNLMPGDRPADVYSAVADLVKESIKEDVKKDNQLGKIMDGKITRK VVKQTVMTNVYGVTFIGARAQVHKQIEAAHPNIEAETGMPSMLLASYVASKIFKALST MFSGAHDIQYWLAECAGRVCRAISPEQLDNLAALTEAQNAEAVAGEPITKKKAKALKV VNKNSTKNFLASDLMSHWKSTIVWTTPLRLPVVQPYRRGGTRTIPTALQDLNLVIPER SDPVNRRKQLQAFPPNFIHSLDATHMLLSALECDAMGLTFAAVHDSFWTHAADVDVMN KVLRDSFIRIHSENVIERLASEFEARYKGSLYLTKLEIGNAAEKEIVALRQELRLSAQ DEVLMERERLRLLKSSDPEEVQRGQQMRTPASIYIEHNGTAASVSKGEIDEVGLGNVP SPDEMAKSALEDKPTTTHRKLLDFKIDNPKEVETLLSTSGFENVISKSRSSNTSIYSS VMGIWLPLKFPEVPKKGDFDVKQLKDSQYFFS PFICI_05067 MVPGRVMSAAGCSQCRASILRLFLGSATPLVRSPLTTSASWRTV VPAGKSARPYATASHSDGATASKDPHHAASSTDNLEAASEEQASDQTPSTTQADEPWY LQVEPPRHVPVSEPPPLPDVPEGSPAVIPQLLKYAAEEMGLDDLKLLDLRELDPPPAL GTNLFMLFGTARSERHLNVSAGRLVRWLRAQHRIYADADGLLGPNERKTKLRRKAKRA RLLGTMGTDDADDGITTGWICVNLGTINRSHMESAVVGEDGRVAGFGVPQTGSTIVVQ VLTESRRSELGLEILWERHLAQQSKKHMKELESGKDVDSQTPENPALSHAPSRPTMGT SPSTSSPFSGQTRSYSTQTTYVDSRHNVPTTSQVDELHAFLTGSIGSNEATRQGSDEA AGLQAAALFSESLSHDSAAKTRMIELLNMHLQNLPRNQIFATFKTTPFLKVSEVAMHD MPADKTWALRLSIQAKARQLGFEGYKSLGLHKYGNLDGTRELIDQLRIFALPATREEM QQLLSCIYNSPESGLDEQNSLAMELLESVHMRGESVIQNDVVVAIVEGISWSPKRNTQ THDLLRRLDDFIVQAELPYMGEPLLIRLMEAYARLKRWDSFWETWRTPARYAQPRSKT MYLHFFEAGAATKDRSSCSQVLRQGFQDMFMEDPVVEPVGQIYEAILQCIRVADPKAE LHAATIPDHAIGIAQALSQREFVKMIKDLQILQANHPRSQSF PFICI_05068 MARVAALTLLSAAALPGAMAWGAMGHETVAYIATNFVATSTKTY FQTLLGDTSTDYLASVASWADSYRYTTAGSFSSGYHYIDAQDNPPSSCGVDLDRDCAD GCVVSAISNYTERVLNTKLSTADRQIAAKMIIHFIGDIGQPLHCEELEAGGNGIDVTY DGEDTNLHHIWDSEIPESVSGGSSQSSAKTWATTLTTAIKSGTYKSEAAGWVSDLDIT DAETTATGWASESNAYVCSTVLKGGLSAVENKELDGSYTTTAQPVVKEQIAKQGYRLA KWLDAIAAA PFICI_05069 MAPRVSLSAFLASAKSALASSTTKKQKLTFVVGNESADLDSLCS ALLLAYLRTYTPPHTLHIPLCHLARDDLTLRPEFTEVLRRASASPDDVITLDDLSAAS SASAGNLDADWLLVDHNCLTGRLEAYANRVIGCIDHHADEGRVPRDASPRVLEQSGSC ASLVVDYCREAWDELKSKSAAAEEGEQVDAQLAALALGPIVIDTTNLEAKTKTTAYDV EAVRYLEGKLAANTEGRPPFDRTAYFTALAGLKEDISPLSMRDVLRKDYKEWDQGGLK LGTSSIPASFVNLRDKAGNGAQLAQVVEKWGDEKGLDLVAVMTAFKEAEGNFSRELML VARSEKGVEAAKRFEHEYRGKLELNEWRGGELDHVTEAAWRKCWTQGKVENSRKQVAP MLRQAMTV PFICI_05070 MRPSWFALSLVLGASAVVVEERAVCTTKFVSNYDNLNAPALVDS LKTVGVYNGLDYNGFSPAAPPAFLGGVIPNTKKNVIVASLTSDILNLGTDSLKIFGTI AAQKPVIGFDLTSFYFGCTLFTPASSATIPLSCTISVAGFYANGKPAPTVKFSFSPTA GILAPSAMKLAVLPTTYRALKNVTIGVDSSQVIVPATALALDSVSHCNY PFICI_05071 MLFFAWLMRNLGISAFFCCNAIAFGLEDDACQKGFFLSLAPLAV DPWVKQFCSDIKTETSVATTIITETSVSNAIVTTASSTSTTTTATIASISTSTTIATS TITSTIVTTSTSTSTSTETTTTTISVIGVKKRSIGCHKEKTTPSVKWPSHVSHGRPGL YQSHSQSAPTLASNSGLDDILPSDSQNPEAAAYDGLVKPIPDIPAKHYSGGWAQIDDF PDIATAPFSYYSPTQHTPTIPTGGSYSPGSRADFCDDDGDVNYIYVCSNSY PFICI_05072 MAEVQALPITRPVVPAQDGPNAARKKFATPPVKIACLACRASRT RCTGETPCASCKSRDRPCQYKPSRRGGPRVRKKQRPSEDDVAPSVESKPPDVDMPSNL LVENYINPGAGLKNLNEVINDSDTLFDTLFSNTTNFTGTTTPPISEAGPMARSYTSDF AILNAYYIWVHPYFPILPPPASPVLPDESGLLFESKPMDINESLSPAALAISAILALV PCAQDPNPLAEESILFRRKYAQFLAQSAFETIETESDHPQSSVEPSRALEDSDDEYIN RQPFHPNLPLELESVVAYDILSVYEYSQRGNLKRMRSRANAALMSAMGMSLHTNNEED PFSEARRRVWWMTYVCICQASIVSNSKPSFDVFTPSFTTKMPTIATDAEAWPLFVKSQ QAILTATQFVIDLNEALRRHADMSNIYQKMVELELLLEPLVTASEISVSSCPPSQMVD QFELSVAQALRCMTRIKLNSARIKVHRYCAFFDLPVFPRKNCDLRPVQEKGNNDGQEL RHWPSCCSTLISGTSGHSNSSATASSPAHSMRSSPSDGGQSHQSIMTPIFPFSSHQSA KICLKSALSIAQAFDLMPYPNPINQVSDGPSYIGPFSNVISPRTMPSFACCAMQCAYV LLMVHAKTQTMYSTWSTDAGSLANDLLSRLQQGILSILGTLENYGTAFEALSGMRDQI RDKVYSSITFDT PFICI_05073 MRPRVQTPALRLLTPRSFATIGSRRSLCSQCLQRQFRQRAPVVP GHTSILGSAARQSAQARLYSRSRSFATVTHGIAEEARAPDDHGPIQEYDRRVAEGRLR EDEHQRGIIQSLQHLHDELVHYKAKPVVHPTLESLKPSKSLFGWFGSKGGQSPIRDIP ADLPRGLYLYGDVGSGKTMLMDLFYDTLPKSVKSKTRIHFHNFMQDVHKRLHKIKMEH GNDIDAVPFVAADIAEQANVLCFDEFQCTDVADAMILRRLLESLMSHGVVLVTTSNRV PDELYKNGIQRESFIPAINLLKARLHVINLDSPTDYRKIPRPPSGVYHTPLDAHAASH AEKWFRFLGDPESPEPHSEVQSVWGREIFVPRVSGRCAWFTFKELLGKATGAADYIEL TRNYDAFIVTEVPGMTYRERDIARRFITFLDAVYEAHAKLVLTTEKPLTQLFLSAQEL EESLEKEGGATSDKTSKSEAVKDAMEDVNSNIEQLKEANVFSGDEERFAFIRALSRLT EMGSKEWVERGMGLENLGGKKERDDWAKVRSRHMEDSM PFICI_05074 MSTQADAGILVAHAPDGVNYKLLELPPDLLALLESQDPPVLSLE SSETSAVIKHGNQSWGLRQKNTSNALIILSLGETTADSSQIPEPTLKAVATIHDTVEL VSEPISGPVPVVKGKWHEKFARSR PFICI_05075 MVRVTEELALSPEHLTLYHTTDPLVGHLPILIFHGSSTTANYTF NSSRVQLHIYSPAGFQSFPRLTISPNSSSYNVVNYLPREFQGDETTRALAFGLFKYFS ELHETVKTHLKNRYPTTRGRRPGSAPTLFGEQHAADIAKSMLRSELPVETIEKLEMAL QTQHISNVDIDLVLPPGSIIPLKPEDYDNISEDEDDIADPTLRQYGPYTPLVRGFSEP VFLPTSRLRRAPSKPTALNRQKSFTKDQKLELRRKLAELVDTEERYVMKLNELVKHIA DEYRQKAKRRSDNSFSPSMADVEKLFPKSSEHILKVNSAFMRELRKVMDDTEEEAMRD MESTLPTGKSGASGSSAGRSKDPSGALAVARIMLEWFPKFTDCYQDYIRASQDFPQLI TSFISQQSSFSQRVAVTGEQYLRSTVIEPVQRLPRYSLVIDQIVACLPMTHPALQPML KSRDIIANICSMDDPLTEKPHVSTRLRNMIQNWPHELEPKGRLIVATDFSELAAPFEV DAPIDINTDERAGIFLLFSDCIIIVKKIYGSNMMARDLIREIDKPSAAGLLTTMTNAA GGPGSYEVAFAGWHDLSAVRFTESSDGRIIWMTSSKEMQGAIKSSEVTTRCFVLHEAY EGKAGKWTEDVVKARIEARFGEAEREDPRWTLRSVRMPDSGLGVYAAVFQEGLDQLVE GRKEPAPIRVVIDHEKGTKGSPIGHYGVEIVTDVRTSGGKKVLVITAGLSGKKATDES AWEDFLPTLTRRIIQLLSTQFDASNPALTAALVSFHTKILRGLNMSRNLEKSRSFLST SPVKMLTSLLSGSSTALSETGGSSRSPKASAPSLFPAPSISRSNSSLKDSASLYGSAK SREGIKMGIDEDLPENPLVRLEQTFTGYMASLHARKGNFIGRQIINRGAVDELVVNDL YNKLIENPFDIDPASDISADVIFVAFEKFVKIAWQEQMGPIMSRKNLDALQERASKRV PGEFADFVRFLFGDVAPQNRRAFTALIKLLADLLDGCANDGDRGALTLGFAELLIDDG TAHNYINLLDRLVQDCDRIFDQPTFDLEASLSQAGALYDSYTSATRAGKSHTGSLTSN TSSLRRKLGFDNLLRQNSKNEDRPSVWRTLSKHGRNNSVTESSSLSKASRSGDMSPGP NKLRRPGSRDRPPIAGAFDDTCSRPISSHRLETIGEPAEDAPPRTPRRKRRSSLSDLK SLMAATTLQDDDEPLQPLSAMKENSQKFNPGPKLPTPTRIPMSPANNARPNRQQENVL GSPFQTVPRLSSPESPFRAHNKGLSTSSIPTLQSLKPLKSSSPSVESPSRPPTRPPLS PSKSSSGRLRLQSPQKLRERLQSEKQAVEDVDMTLQSELSRISADMARFNSSLPRSST VDLRKLSSSVSALESRIPVLVREITDRQDALQRDMDNTLKATEAKVKEIDQLYKESTA ENELLYEKFNTELVKIVRALKGRTGEKEEIMTKMKESYEETARVKKENARLRREMASL RAMVKGATTEAA PFICI_05076 MEDQLVQILANTHSAAEGPRKQAELDLKHARTNPAFPISLANIG SHASVTLEIRQSALSSLRQFIEHNWTGENDEGPVIPISDQVKEQVRAVVLEIALSSDE ERKIKTAASFAVSKIANADFPEQWPSLLPTLLNVIPTGTDGQLHGALRVLSDLVDESL SDEQFFSMAQSIVKVVYDVALNENRKPILRALAISVFKGCFDLMDQVKDDHPKEVKGF ADEALKGWFPFFHQVMKAPLPEIDSQVTGQPESWNGIIALKLQVVKCLMKVKAVFPAL LLPETPGFFESAWQELSLLEPAYERMYIENEAQGRLEDSDGLPYTLDFLVLEQLDFLT GCLRAGPVQKQLQAQIDQSGAVHETQWVLDLMKLATSYAQIAREEQELWEIDVGLFLA EETSVSANYTPRTASGDILIKLGEWLKQRALEGLFAHTKTLFTAETSWRKQEAALYLL NMMMADFLDIDTEVPMEITQAYLECVNYAINRPDEPLLRARGYLVSGVLIQSNAAVAG LAEQVVNAITADESELVQAACIKAIEGFIRCGAIRKPAQASVVHAVSQWIASKDMTDI EEADDLLVTLAETLRAAINIDRRIAVSPDVPALDLLFLIAKHGASNWQVTMMICEAFE DIVQNMADPASYAALCAKVLPSLTGAFDVANVTSDNPLVTFAAELMAALTQYGTEPLP PGFVATTLPRLNRLLSESNEGEILRPGAESVKYLLAHDHEQMFNYQDENGRSGLEVCL RIIDRLLSTSIEDNAASEVGGLAAELVEKAGHERLGPFLPQLLQAVANRLATAEAAPF IQSLILVFARLSLVGAQDVVEFLGTIQIEGQNGLQVVFSKWLENSVNFSGYDEIRQNV IALSKLYSLNDSRLAQTMVKGDMIMPTSDRIMTRSRAKQNPDQFTIIPAPLKILKVLV DELASASGMQSAATAAAAAAAQFVDDDDDDDGWEDEGDTVDLSLGATKSDLMGYLESN NMRNRDDETQQYLAEFFVKAANENIANFSEWYGGFSDDEKAKLQALAHAQAQ PFICI_05077 MPSELHHGPLTLTVTQSSHLLLSFTHFLTVAIHNVLFYRSLYPP TTFLTTRAYNLAVHQSRHPAVCNWIRDAVDAIRAQLVLGSVSRIAIVIHAPDSTVLER WMIDVAQFPAFKGYKEPRGNRRDEEDDGVGAPERVPIPGEDRGGVDAGAQSKARRVNW TDVDEAFRAAVRRMALAGEKMSALPEGCSFTVAVELRDESEAPIGYPQSWIPSEPHLQ PSSKDKPVPGGDIGGAKTTPIRSVEVGPLFFECWVEEGKTKGDLPSSSTSSD PFICI_05078 MTNPLHSSAILKGMAEALPTHPKGDTNSDLSSSHEALALFAHAC MDSLGFRLLGFDEEKTIEAKCRELAPRLPENWNASFNTCSFVYAHSQSALKFVIKVDR IGGKAEIRGVAIEDDRIARAEVTIKDYVSNGSLPVRITLTSDSEEDRSDLAEKLLAVF INDGRVTDLANLIKVQIVQKLLPSLQKEGYEESPDDRAAIQDADETGRRGPRPNPQMP DPLPQPANPYGFEDPLNPPPRRPVPAGDFPPPGFEDPYDIHRPPRAGMAPGISPFGNL GADDLHPPGLGPHDPLRPSLGGGGLPRPGGSRGMHPTFDDLFGDGGASSGLDPQVPPG ARYDPLGPGGHPRLGGRGPGSGNNPFGGSGGGFGGFGGGII PFICI_05079 MDDSSIAGADKTSCTDQVQLLFQDIDPEHLEEVARQHLFDVQAT IAAILDRQEQGEHYPRRANSLKRKRSLGGSGEAKEEYDEDSDGSSHEDPSRDVRAKIE DPRYFQETASATAYKNMAMTLISQDFPLVPKRTINPTLLLDNDNSLYKTYIAIDEATR NWDLSKPKWIPKKTRTKALDVYSPSRILHLDRRKFGPEECVALDEFLAARRVKAEKDA EQLNLVESRKKGEMEECGCCFDEFPLNRMVHCEGTTLHWFCRQCMKQQAETLVGVGQF HLTCLSMDGCSAGYSRAQKKTFLDKKLSVAIDRNEAKAALEAAGIENLETCPFCPMAM EYPPISENKEFRCTNPSCEAVSCRCCRKQTHIPKTCAEAAEDEGQSARHTIEEAMSDA IIRKCNKCTQPYIKLDGCNKIRCTRCGTLQCYTDEALKFKVSPRVLEDDQNHLMRDAN GQEPLQQERNRLQNLFRRRQEDGGLAIARHAQYLGVIQNRNQLRRAEVGPRNPQRPVA HRQGAHQIFVQMGHQQPFYNDQRPVLDNAFNNELNIALDNAINAENELGNGLENAANV QAALGQEPRHQIRQAMPKRLRNMPPGLPNHAQAAVAAFPLPEPGQTAANPIILDNKTT TVADDMNMALRNRNAFLPPMVHQDNEALAPLGQDWIRNENQLPRQNYGQQGPNHAQGF VYIPPFGGIQAVPPGAEPHPENRARPAWT PFICI_05080 MGSIGEFPDANSPELRLVVPTEEEKRQTWIQNHAEWGGALGLQD YLEREPYVASIPLSENGGLRYWILTTATGAPGSRPVLSSCETLRKRVLVTDPKTGTLR EGIAYGLGSVYTSPHYRGKRYASRMLQELIPKLEKGFETIDGKHVKPIASALWSDIGK SFYAKLGWAVCPSFHVSFRVPETSQQVSNGVPTPAPDQVEVITYENLESFCKRDEELL RKQLLNNAKGARPQFAFAPDYDILRWALFRDEFIASRLFKGQELAKVKGAVAGPEGKR VWAIWARSFYNAETVDKNTMYILRLVFEDESAPREELAASFAAIMHKAQAEARLARVG KIELWNPTPAMDVLIKESGLDHDVAERENDSIPSLMWFGDGDASQVEWVANEKYCWS PFICI_05081 MASMFEQPRNGTLFLGGQKISGADIRDQNVLATQAIANVVKSSF GPSGLDKMMVDDIGDVTVTNDGATILSLLDVEHPAGKILVDLAHQQDREVGDGTTSVV LIAAELLRRGNELMKNKIHPTTIITGYRLAQREAIKYMNDNISIPVAKLGRESLISIA KTSMSSKIIGADSDFFANMVVDAIQSVKTSTNKGEVKYPVKAVNILKAHGKSATESVL VKGYALNCTVASQAMPTSIQDAKIAFLDMNLQKERMKLGVQITVDDPQQLEQIRARES GMVLERVEMILKSGANVVLTTKGIDDMCLKMFVERGAMAVRRCKKEDLRRIARATGGT LLSTLSDLNGDEKFEPSYLGHAEEVVQERISDDECILVKGTKAHSSASIVLRGPNEFT LDEMERSVHDSLCAVKRTLESGKVVPGGGAVETALHIYLEEYAGTVGSREQLAIGEFA QSLLVIPKTLAVNAAKDASELVAQLRARHAVSQRTQEGDASLDEKSIARMKGYRNYGL DLARGKVQDEVKAGVLEPSISKVRQLKSAVEATIAIMRIDTLIKLDPEERPEDDGHGH PFICI_05082 MGFHSVGANPAEQRITSSAPVDSNDNLNIISGKLWLNSDILIHP VEHYWTTNFDTTHIERVKSNQAKMAETVEKAKDAVAAVAEQVKNVTVGSGEQSAEGDK GPSKSAQKKAEKEAKKAAEKAAKAAKLAAAPAASGKKQEDTLGLTVTKTENFPQWYQE VVLKSEMIEYYTEISGFFVMRPATMYIWNVIRKWFTERIEAMGVDETNFPMFLSSKSL EKEKDHVEGFAPELAWVTKAGDKDLEVPVAVRPTSEAVMYPYYSKWIRSHRDLPLRLN QWNSVVRWEAKQTTPFLRAREFLWQEGHTAHLTEKLAGEEVLEILELYAGVYEQLLAV PVVRGTKTENEKFAGGYYTTTVEGYIPSNGRGIQGATSHCLGQNFSKMFDITVEDPAE KGKHLNVWQNSWGLSTRVIGVMVMIHGDDKGLVLPPRIAKVQVIIIPLGITKNTTEEA KAAHYDQLADIKATLKKSGVRVEDDQRDGYTPGWKFSDWELKGVPLRIEFGPKDAANG VVTFARRDNGEKGTIPIADVAVKVPELLETIQSDMYRRAEKAFDEHRIVVDEWEKVIP SLDAKNVVQIPFCLEPKCEDKIKELTTGKQDQNPDLSVPQAPSMGMKSLCIPFKQPKE VVAGQKCLNPECQAEAKKYVMFGRSY PFICI_05083 MKLFGLILMGVVAPFATAHSTFTTIYINDISQGDGTCVRMNMNS ENSSSPIPSLSSNDMACGSMGEKAVAFTCPAAPGDKLTFEWRLWANLEEPGSIDPSHK GPCAVYAKQVADMSTTTAAGDGWFKLWEQGYDNTTGKWCTEKLIDDGGLMSVQVPGGL PTGNYLFRPELLALQNVPDANDPQFYTGCAQIQVQGGEATALDVPAEYSVSIPGYVKD GEPSVTFDIYSPVFPYPIPGPKVYTVPSSSSSSSSSSSSGSGDKRSDYATTNGAVLVP SDYLIKEGNWIGVEVPDYDDEDGCWAASEDCYDQAEVCYDSVPPTGNRNCQVWEDKCQ GIQDACSSGDFQGPPNKGQKLVDAEVRTGVVVPAVDNLGGSGGGDETDNGGEDDASSD YSASPIVTAAPTEATSAASTGFRTVTVYVTVTA PFICI_05084 MATSIRSIKALVPLLDRVLVQRIKAETKTASGIFLPESSVKELN EAKVLAVGPGGLNKDGSRLPMGVAAGDRVLIPQFGGSPVKVGEDEYQLFRDSE PFICI_05085 MESAQHRLKESQGSSDQSPGKSDYNDNAPVAAPAVRFASKVEQY DVDGGQSRLEPTKQAPEPSAPGLLQNPDVTPEQIKALSQSLADTNLQEQRMKSFSYQA FSLPPSRVPSHDSSAVATPQQSHTPTGRRSPRMNNAFDVASPPLTPAGTTAPESASGS RLKPVNAQSDGGVDTSAITPQASGQGSFPASPRIALPHRPSSYDPSALKDINQEEREG RPTGHRQGMFTLGSESVPVSRESSPSGRKTASQYYSRPFTPAADLNDPYAASKRAPAS RSSANIDSRFVFSRKKGRGSPSSSSTNLAKPDKSEKRHSGFLGVLNKGSHHDLQHTGT DSTTHSRNASMSDLKRFFKVGGGHKAKKSESPVAAAARPQLPASRPSYQVPFADDHGL SSKYGKIGRVLGSGAGGSVKLMRRHEDNTVFAVKEFRPRHTYETEKEYVKKLTAEFCI GSALHHGNVIETLDIVSEKGKWYEVMEYAPYDLFAIVMTGKMSKAEVACCFLQILNGV TYLHSMGLAHRDLKLDNVVVSEHGIMKIIDFGSAHVFKYPFEGGIVLASGIVGSDPYL APEVYDERKYDPQAVDIWSLAIIFCCMSLRRFPWKVPRLTDNSYKLFAAEPTPGHDPK KLILPSKSTNDLANTPQRDMFPEEQPKQKNDQGENQVQKSEKSEKPEKPEKPEKSEKS EVASSSSKSAQANGNGSSTTKDAPPPKEVIRGPWRLLRLLPRESRHIIGCMLTIDPRK RATMQDILDEPWVSDTVICRQEGPGNVEPALDHTHILEPPASQAK PFICI_05086 MLDSKDAKPTPFGERLLPTIIDEWAHNHPLKEVFQIPNSSDPND GWKVLSSSAYATAINHVAYRIIDQFGQPTQGTFPTIAYIGPNDVRYLVMMVAAIKAGY KAFFISPRNSHQGQIHLFKQTDCRIIAYPQNSHAMVQPWLNDYPMMTMEVEPLDTMLL SSGPHFPYDKTFQEAKMDPVVVLHTSGSTGLPKPVVARVGMVAAGDAMHNLPPKHGTV LMMRGINGNLTINWTVPLFHAAGLLSSIHLGLYWDRPLAFGVDRPMSAGLMAESIDRL DVDIAILPPSILSAMSQDDDCVRALKKLKIVVFGAGNLANEVGRKLIQEGLRLMNAIS STEFSPYPLYFQSRPELWRYFIIPADIMGADWRKSDTDGAYKLVIKRQGAEPGLQGVF YTFPDKNEYDTHDLFTPHPTLADHWLYYGRADDIIVFSNGEKLNPVTIEEVVTVHPQI QGALVVGSGRFQAGLLIEPVSPPESEEKKVALMDSVWSLVSQANEDTVAHGRIARHMI ALTDPTKTFPRAGKGTIQRAAALKLFEAEIGQLYEQDRVISSEDVAPLDLSSDQAAAE SVLGLFRSNLGVSLPDLDSDLFAFGVDSLQVINATKLLQASVHQLGHHAIDEKLFPKT IYSNPTPFRLGRYIRGLVIHDDDASLAFEDDDVQQQLMKQLTEKYTKDFTSSKPNRPE GYHQAQTIILTGSTGQLGSYLLDLLIRSPQVSRIVCLNRAKDGGLEQQRQGMKERGLS SNFTEKSSFHHADISKPGFGLPSDVLRDLLHNADRWIHNAWPVNFNIPLESFEPHLRG VRNVADFATQANKRVAVIFISSIGTASRWDSTNGPIPESRLTDTSLAAAGYGRSKMVG SLILEAAAASGDFPAAVLRVGQIAGPEKGTGTWNKHEWIPSLIASSLYLGALPSDLDN ASDIDWTPVEKIAALVLELAGITQLMDPADISGYYHGVNPHRTTWDSLAAAIKDYYGS RRIRELISFKEWTRRLEESGQSSTEIDLNPGIKLLDTYRGMVAAMESGHPSPRFATQR TEAQSPTMRQPQSITPDLMKQWCKQWGF PFICI_05087 MAGKPNVLIIGAVKTHYWLVFGTWLDQRRPRDWGVTAHWAIKFL DLYPDGMETRLQDAQVIANKDPKQQEHVLFHDGQTGESIKTIPLGPARRYSQRKIRQA LVNGLEEVIQYHKALQEIRVLSDGVEVSFADGTSASGSIVVACDGSQSDTRQHLFEEK EDALWKPIPGFVLNNFWMQYTREQALEVKSQLSHFMDIAVHPNGTYYGLIPLDISDMD KPETWKFQIFMAFASDLKPEEDAPEKRFELVKEAGKAFVNPFSLGIEYMPEGTYINPD RYGIWETKQWDHKGGRVILAGDAAHAMTAHRAQGLNHALQDVLNIVSGMKDVQNERMR LEDFVKTYVDEVVERGSNEVRMSRAQGMAVHNWGKSKDMPILKIGTTPLHMERSVVPL PSS PFICI_05088 MSPTKEAQTAVEQATAKVMERCKESNSYPMWTVTDKVSKLQPNP RALPTQWKWSQMRNLMLEAGSIVPEEMAERRALMMVNPGIPTHSGASPYTTDTLYAGF QLVLPGETAPAHRHMAYAVRFVLESSQGFTSVAGHKMYLQPGDLVLTPSWQWHYHGND GNGPTFWVDCLDMPMHMFAKVNFLELYPTAKVPDLLTQGSPFHFSWKDVKMELDSQRG SYAVFHYRINGSAFSCTIAAQAERFGAGSSTSPLRETVSKIYVVQSGRGSTKISTPTG AEVIEWSDNDVFVVPAWS PFICI_05089 MTSEKSHETKSAETRRNSVTAGDVVDIEDSVNRDFYGKSISDSY RLKSELVGKCMSKIGFGRFQWILFIVAGFGGMIDNFWSQGIGTVQPAVRLEFQDMTRV TLSSIAFYAGLIFGSSFWGISADFIGRKPAFNMTLLIGGVFALGAGASNSFLTFCIMW AFIGTAAGGNVPVDNMIFLEFIPESHQYLLTALSVWWIFGQLVVSLIGWGFIANFTCP SNTTTGTCLREDNMGWRYVMFTLGSITVAAALLRIFVFRMPESPRYLLAKNRDAEAVE AVNFIARFNGKTEPLTIAMLQQIDIDLGLAAVAEDARPRRLSKMQILKENLADYKSTN FKRLFATPRLVRHTSMIWFIWLTIGIAYPLYFNFLPTYLSQLFVDDYSLDATYRSYCI QSAVGMVGPIVAAFLIQTFLGRRYVMGISSVATGIFLFAYTTARSSAASLGFSSILGM VGNLEYAVLFAYTPESFPAPNRGLASGFASALLRFGGLCASLIGTYTDFSVVPIYVAA SLWICVGLASFFLPFETHHHAAV PFICI_05090 MAANSILQTANRADAKGLSPTFSDLSIVSFPLDKLAIRLIHTAG QVGLPSPDSPYNELPSSFTQQARNAFANLAACLATGGATPNDVVKITIFVVDLELSMR DVLVEVITDFFRTRESNGQQEQQHAPPSSLIGVASLAHPDFKIEVEASAVISTTTG PFICI_05091 MASHGPFINGSSSTQSLGLDAHRFFNEMTFGLVGIFILIIGAGV LLPKSVRLPDVEFLRISNKPGGKAGDADDIQAFLSDSLSAIMKGYNEYSKKGKHFLLR TPKQVYLIAATNFLDEIRKAPETHLSQPAAAEIIFQTRHTFHPTLVHDTYHFNVVKTK VTSALAYNIPDLVHESRYAFSVELGGKSHDWKALRMYPLATKIITRISNRMLVGPQLC RNDEFLHYSGDYTRTTFNTATVLRNYPEFVKSSLMYFMSDCNKQQQTARKFLVPMIKE RLEIMAKSKNSKDTCEKPEDALQWLLDITPEEKRDPEILTQRMIQINVTAIHAPAVTL VECVFDLSRHPEIQEELRAEMAAVLGDKPVGTQVWKKASIDNLVKLDSFIRESARLTP MSAVKLERLAVKDYQLSDGTLIPRGTSVGVIAHGRHIDEDFLENATQFDAFRYARMRS SPETAMQYTFSQTSPDNLLFGFGRHACPGRHFASALIKICLVQILVQHDIRFVDGKPD PCGQWTQKFRNPDVEASVCFKLRETESRFRSMFD PFICI_05092 MACSGSTFKTACWTCRERKVKCEKVLPCDNCVSAGVSCSFPPQF RTVRRPKTKQQPPIQRESDLLEKIRHLESCLKKQRRQQQQQQQHPHFDLNLNSASPSM SFTNGEPLAEMSDEIMGFATTANTDCNSGSGRMAHMKPNHSGPDDAVNATANARFGTF MHNTITSGTSPSESISTSQQDIQGPQSVMEEDDHDGLFSVGKSYAEFPFKPAQCKGKA PPLLPIQHRRICWRRYVANVDPIVKILHKPTAETLVTTETPHCLDFSHAVVALIHAIC LIAVVSMSQADVLAQLDMEKEELLKVCASYTEQALMAADFLAAKDLRTLQALLLFLYY LKHMDDTRFDSMCAVAISLARKLGLNRDGTTMGLSRLELESRRRLWWQLLNLADQPDD IGMDRFAPSIGADTALPSNMNDNELEFQYDGDKECSSRFTELSFCLIQYEITRTFGDI KCAHAQSPDRNEAAINEAEKRLKLSQQMIKSRYLCNGVDEHPLGRFAADVASMALAKR RLLVHMGQGSPPPPRTYDQLFLLAVRVLELWRGIQNSKHLQQWRWLSSSYAQWSVATF VVKSLAIRPLSFETRRAWHVVDGLLDQYPIMTRNSARFSALQHLLVDAEKSRDAANLW QLRLSWGADHVLGDPFASSGLDDFTTVGQVFERITDSEQPESSDQVNI PFICI_05093 MAEYTARAIFPDDNNHYVRYPSRRSVVHGTNGLVACTQPLAAEV GQRVLKMGGNAADAAVAVAAAMNMTEPASTGIGGDMFCLYFDNTTKRVHALNGSGRSP AGATLEQVKKEVGEGNGRIPINSALSVTVPGAAAGWVDAVEAFGSGKLTLEQILMPAI EMGERGFPVSEFSASMWRNAEKKLKMASPNFREMLKPDAAAEDGCRAPRAGEIFRNPA LANIFRKLAKEGKHGFYSGDISHAIIETLREKGSRMELSDLQEHMVRGTSETQPISLR FRGQNVKKNASKKLSGDLLDDHFVEIWEHPPNGQGIVALMALGILEELEAGGDVPAFR PEDHNSPAYLHAIIEVLRIAFADANWWVTDPDLSGVSAEDMTSRLYLAQRAKLFDKTK AGIFSRGDLGSSPAQNHSDTVYFAVTDRDGNAMSFINSNFREFGSCIIPKGCGFTLQN RGSGFVLEPEHHPNVYAPAKRPYHTIIPGLVTHGVGDNRQLHSVYGVMGGLMQPQGHV QVLLNMEVFGMNPQQALDAPRICIAAGPPGAEDPTLSTIFFEEGIDDTVISALEEMGH RVKKMEGWNRFWFGRGQVIRRHVDEETAQVVYSGGSDPRGDGCVLMA PFICI_05094 MPKQDVIEILPRGWETDPADEFLKLAPLDYCVAQVYLNFALFFK LPESINRNTVVDTIRRGLEVTLSQCRQLCGHLEEHPDGGLCFHKKKESSVELHVQWLD CPDDEGKYPTFDDLEKCHFSGRALGALETWSVAAMTYGEKPEAEPKNNPKTSGFKINF IRGGMVLMMHSHHYCNDINGWAACMYQLAENCAAVWKSPESPDYPPWDLACLDYSAVS KPTPEQLFEGPITPEKHPDHVPGQWVIFHLPKSKAAELKSLASPQDKSYWISSYDAYL AYIWRIISKHRAKLFKPDLSKSPLWGEAVNMRTRLHDPPVAKRIQGNIVGTPLSITSP VPQLTAAEVISEAPLSKLAWYNRQLTNSATQENLSAVLTQIAAIRDKTALFLRTDSFP PLSNFTTDWRESKPYDADFGFAKPCGFRHPFDMPTNGIIIIYPTRTIRAPAGEDEGNE IVISFEKELTKGLLEDPEWNRYFEFRGIDDVE PFICI_05095 MGLGHAYCALALALLGVCRVGAVSLDVPSAPDADRQCIDPSFQA FSIEFFSFLDYTGNSSYSNELNTNLVNNIIDIGGSHVEMRIGGSSGNLLHWDPDLDVG MLPSFPSGSDKPNYVKVGPKLMEGFSVWPNNTKYIFGMDFNFSTYDNLVETDLNIAPL VFDTLGDQLESFEIGNEFGHGFKEGIPGYVELWLAYARNVSEAVWSVEDTKKFWVGGF QEPTCFNSSCFTVQTIMEQGIEDSGLAKTANTHVYMGSAGSSWLDSQFLMNHNNTQGK VDWQAPLADYATSIGVPYVMGETNSLSGHGKAGVSDTFGAALWAVDYVLYAATTNITK LYFHQGTGWKYSAWSPVEAFGFPAGVQGTYFSWLFTAKALKGGHKQFEVLYSNQTFVA YGIYKNGCSSSKANLESIAAINLQAWDASEDSADRPVIYVDIPESLNATSAKVRRLTA PGANVKWGITFDSQGVDTDGTINGTAKSEKISDGRVGVAASEAVLITF PFICI_05096 MKYLPLVYLSTFSVGAYAGTLVSSSPPANISGPVAKAFLSYSIE FAWFAEYSGNLSHPNTFTNHLLNNFNDVQGHKPYLRVGGNTQDNALFDPLLKVAVNGT FGNPDIAYPTTLTYGESFFEGYQVFDNVKFSQGFNLGANGTVGFNNLKSTLPLACKAL NESNLAYFEIGNEADQYASKKSNRGPIRAAGWNVSLWLDDWRNRSATLESLYAESGCE NTPFRLTAPSFAGKTWIEPAFEAGFADYAENVTIIAAHSYVAGANSLGVTLQDTLMNH ATTKSVVDGHVDLMSAVAPYTDLPYMIGEGNSLSSQGKSGVSNSFGAALWNIDFALYA ASVGIWRIHLHNGVGYNYAAWHPSSWNGTTPVTKAPYYGNIATAATLGNVARNKTQIS SIPMSNTTDSAYAIYKDGSLASIAILNMAGYNYTKPPTTRPSKTYSFSISDESKYKAG SVKRLMANGSDAITGITWDGYSYNYNLANGKPSRLTNITNSEQEEPVKVINGAFNVTL PHSSFTLVELA PFICI_05097 MLREQEKGVARHREHSATRENAKIVLGRDDTIGLKLDRHGLPLV PQPTDRKDDPLNWSPYLKLLVLLQVSWLAFLGPLAAAVINPAFVPLSNEFGITPVQAT YELTVYIVSAGVGPLLTVPLANVYGRRPVYLLGSLTAAVTNIAAGKCKSWPGLMVTRV FNGIGAGSPVAIGAATICDIYYLHERGFYMGIFSFFLVNGPHVASLLGGFIAQNLGWR WSFLIPGYVQIATVVLTLFCLPETIYSRQTAPGRRDRSFMDLLLFRHQGLQGARIRPR DFTRPFYMFKYLSIVIPGIYYMTAFGFGSVLFAATGASLFRELYGFNVAQTGLMLSVP LLIGCLLGEMSAGWLTDRMVYRYARTHGGRREPEARLDAVLLAILCPIGIIIEGVCLS HHNTVPWVGAAFGMGIANYGVQIANTVTYTYCTDCYKPQSSEVSSILNVLRSLFSMTI SFYAIPFGKAVGYQYAWLTFALINVALLVPVILLRVYGTQWRNSAWQKPPTFHNDL PFICI_05098 METPLKVAIIGGGIGGLCTALFIQHHCGSAVAIDVYEQAPQYRE IGAGVGIGVNAAKLLHKIGIGEAVSSIAGRRSRVWVSFRKFDDGSDVVTVPSADTDVI KQLPVHRAEFLDLLVAIVKERKAAMLHSHKRCVKLSDQGNEVLIGFQDGTSATANLVL GCDGIHSAVRGQFATDKPEYSGQIAYRGLIPISEIEKWWGFDTYAVTWLGKNRHFLCF PISNNKTLNIVAFVAEKEENLGDLRESWTALGRKEDVLKAFEGFEERVQKVIHLMPEK PSKWLINDRKPLDQWIYSNGKVILMGDAAHAMTPHQGAGAGQAIEDGYILGRALQDYF RNQSSSELNIADWAQIYQDVRLPRAQKVAATSRAAVEIYQARAGGMEALPFEDCVAEI HKRVIGRMQWVWTDDIDSEYNLAIDKINKPRAKNI PFICI_05099 MTAQKRGREPAGGSDPRTTKHARFSDTPAASTSRDNVAAGSQSS QGYASSQLPTSTQVIDDDEADLIDDRAQYDEGPVFDLYGTHDAKIVGVRYYNGVVTPH ELVMLHREPHNQYDPNAIRVNNVMGQQIGHLPRNLVAKLAPYIDNNEVVLEGVLTGEK AFYDCPIKLYFYGPSEPMARMIIENKIKADRLIKATEMNNNRKEQEARRKVMGMKSGT TTGTGLGNTAEATLQKQEQDATLQGLVATSEALETVRSDTFTDTLAASEDHLKAMPMA PQPDALKSTLLPYQLQGLAWMTAKENVKLPPVGSDEVVQLWQRKGAASYLNLASCFVT SSPPKLISGGILADDMGLGKTLQVISLIMSEGFKNGPTLIVAPVSVLSNWEQQIKFHV KEDQHPDVLVYHSISKTMSASELQRYHVVITTYGMLSSEAKTKNSSRLFGVEWRRVVL DEGHTIRNAKAQLAQAAHMIKAQSRWVLTGTPIINTVKDFFSLLRFLKITGGLQEDGL FSQVIARPIKQAHSRHPDRIKAVNVLGHLMKDICLRRKKEMSFVNLNLPPKTEYIHRV SFDKTEKTKYDALLAEAQGALEDFQNKSNKGQLGRYTNVLERLLRLRQVCNHWTLAKE RVDDLLKLFEDQKVVALTDKNIPILQKALQLMIDSGEECPICLDEISQHEPVITACKH VFGKACISKTIDLQGKCPYCRAELTHDSLVETAERAGTLQVDDSCRSSKTEALVKILQ ATLKNDGSKVIIFSQWTSFLDIIALRLQEADMSFARIDGSMVKKKRDESIAALNEDPN TRILLASLQVCSVGLNLVAADTVVLADSWWAPAIEDQAVDRVHRLGQTRPTTVWRLVV EGTVEERVLDVQAEKRKLVSEAFQEKTKGGKAKDTRSMADIKKLLS PFICI_05100 MNRFEELVVLLKDALGESSGLTSDDVDVDVLSDSMARYVSDDDE WSKYALADASRGYTRNLVDEGNGKSNLLVLVWTPGKGSPIHDHGNAHCLMKILRGNLT ETRYDFPKDTQPQEMMVKSRTVHEENAVAYMADELGLHRVSNEGSDFAVSLHLYTPPN VAKGGCWIFNEKTGKRSHVPKCGYYSAYGRLLKE PFICI_05101 MQADDFDWIVEQQRHAFERMRSTAYEELSSENGSLRQQLAHAQI REDKMSKVLEHYRAARADLEHCLANVSIEIRGDDDAGDDWIIEQRRLYDQYTSKHLED QKSHSWTEEDLSQQYLDEWVQVAKDDVLPGSGAWTELPFESAAFDDPPPPYEEQDVHE LSIPRTHEKYLHDAQQRSPPSPSPHVRFAAPHDIIPCATAPCCPSRKRKKPKKEVIAL YRKRGNRSAV PFICI_05102 MPTFLVNHSKARTRSVQLDSLPRKMQIVDQQPSRVSMLSVTTTS SQPGSFARVSQENRDPAVRHYRSMLVVDAPALRPLKPMDYSELYDSDGLRYDATGTEF PASSSPEPAPVSSPLSSSVNENKNIVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMV VGESGLGKSTLVNTLFNTSLYPPKERKGPSLDIIPKTVTIQSISADIEEAGVRLRLTV VDTPGFGDFVNNDESWRPIVENIEQRYDAYLDAENKVNRMNIVDNRIHACVYFIQPTG HSLKPLDIEVMRRLHTKVNLIPVIAKADTLTDEEIISFKARILSDIKHHDIQIFEGPR YELDDEETIAENNEIMSKVPFAVVGANNEITNADGRKVRGRSYPWGVIEVDNEEHCDF VKLRQMLIRTHMEELKEHTNNALYENYRTDKLTAMGVAQDPSVFKEVNPAVKQEEERA LHEQKLAKMEAEMKMVFQQKVAEKESKLKQSEEELYARHREMKEQLERQRIELEEKKS RVESGRPIEKEGKRKGFSLR PFICI_05103 MAKGQRLHVLRRAKLRQSWNKYNLYNLWKLKDPKIGSYDAETFF QQKWRAKGMLRAYHGEHMKERDWSRMFNRRLLSVADMDPRYMAEHDGSEKAAGRGSGK DKPPNWNAVEVKPNKITPYMNMAFAPMERRLDIAIFRAMFASSARQARQFVIHGAVKV NGKKMPFPAYKLNPGDMFQVEPEKVLYATGRPKKVSGGPTKTPSSQEAVEEEGADTEE AAPAEEGESTEPAATEAAEDAGNVDRKAITRLVKQAKHVLETEKMGVAKKRAVRSFIK QARKIQADTKSDASPIDVARRLNEMMSELKLNEAAAEPTDAEDTAAAAEGQGKKAKPA ALDLLTSDELKTLAQKMKEEQDNPYDPEKPYATPWRPKDFMSPFAFIPQYLEVDHKIC SAVYLRHPVARQGFAEVPTPFGYGINQLAYTWYLRRR PFICI_05104 MKSTLDEVIRDMEDWQRRFDPSWYLILRIPDPMIDRALNQHVRK IMTAATARTSIEDMESHVSGTSIRSTNVASQSLSRQSPLINADGVRDALRPNPRYISI FLPEEDLDIVSIPYANAMLARRRGSTGTKWLIINSIQCTPSSNITAMTKDIRGLARKL TQVDPSRFGLLQCKGVIRLLRPPSSRLGPPSTPEIQSFDVVFRSPDGSSAFRCLREDL PKPAKVSLSNRVRIAQQIAQSVNYVHALNFVHKNIRPESILIWDGTGSKFPSTFLVGF DNIRSADGATNLIGDTEWTTNIYRHPTRQGERLLESHKMQHDIYSLGVCLLEIGLWNP LIHYVQQDGAGLSLQKRCRRGTVLDDFVAGREETSSMLSGEPFKKYLVDLANAELPTL VGDRYTDIVVNCLTCLDQKNNGFGDESEMLDEDGILVGVRFIEAVLLRLNEIVV PFICI_05105 MPRAPDLVHDSKLETTFIAHDTHHVSYKSITSKRQRKVRVDEVW CRKRRIGDGTYGRVWLESCTEGPQAGELRAVKEISKIQANNAETDYTRELEAIMKFSQ TKASLRPARHLHFVLFHVLNSRYESSVYIAMEYVEHGDLQKRLTQPYAEIEVQSIASQ LLEGLQFMHENGFTHRDLKPSNILVLHPSPDWWVKIGDFGISKRVEEDSTYMRTMIGT IGYLAPEIIGLFPITDDPADQDEKKSYTSAVDIWALGEICFKLITNRPAFSAPRELFN YVTRGYDFPQALLHEANVSKNCVRFIKMAMSPSAANRLSANDGLRCAWMSAEGREEAI GQTRLKEQHEGASSLAYLPTFEATAQWSTLSAVTPLSPMYGAPIADRKGGSRAASPSR MGVDLKKAPPLPSPTELMTNISNVHEKKDKPHISIPDDGTPVTVRTRGHRENRSQTSL LIEYFEGGKSSVRPEGVTTTGHKPSVRVRSTPSKGGRDKNDHIQTTQMSRSARRSSRS KRAHQDPLVPSRSELDIIDSKDTERSHLYAAATEKNSISHHSENDHYQIFETSRPARK SSGSKRAHQDPLVPSRSELDIIDSKDTKSLHLYAAAREPIAPDPKLDDPISPSGDIFK NPKLLESVEDAIRRLILPELESLKREQRQRKMKKLKE PFICI_05106 MNLATDTKRHVTSYPEVEQKWTQIPTRLFFVDETQEVRAFYTLQ NGETHYPFTAAQNVEERPEVAASSPQNLQQAVPVAPYLLDVISPPETTTLSPAQSATG SRVYSTLPPGSALGLSSHDDLNHAILPFRNATEVRLMKYYLEHMCHWFDLCDNQRHFA VEVPRRAIACPTLLNAIFALSSRHLSMGERFDPYAADRYQQECLNQLSAIILDSSTLS NDDLLAATILLRTLEEMDVPLIGADHELHLHGIQLFMSFMPSESPQAVGSPAQPPAET SSLRQACFWTGLRQEIVMAFVNQRPVKVRLDHPFIDRSLAAPAADDVWANRIILHCAD VLRYCFGGSATGTNAADEWLRLKAHDDAWLRARPSSWLPVAYSEPEREKGEVFPTILY LSGPIVIGNAHATFARALLKCYDPTIPKIGPGQKLAQQKLDTEIRTQIRELCATALSN RATVPAMFTASMGVTMCGDRFTDDRERGGLLDLLIKTEIQHFWPTGGAQDHLKKAWGW AVE PFICI_05107 MSKEDSLAKDGFNASSAEHEEGNFTLNLDELSYGPPGIRGVFAS SYVAMCAAFATIGGLLFGYDQGVISVTLVMDHFLERFPEVSDTAPGSGFYKGLMTAMI TLGAFLGAINQCWAADAFSRKYCIMIAVAIFIVGSALQVGAVSYAMLVVARLIGGIGI GMLSGVVPLYIGEIAAPQIRGTLLVFEQISIVTGVVVAFWITYGTKEISGNWSWQLPF LLQIVPALFLGIGATLLPFSPRWLATKGREEEALVNLAKLRQLPTTDNRVRQEWMEVI AESKFQQKVLEERHPQLVSSTSFGDKMKLEMVTWTDCFKSGCWKRTQVGAGLMFFQQF VGINALIYYSPTLFATMGLDYDMQLIMSGVLNMVQLVGCISSLWTLDTYGRRRLLFVG SIVMTLSHAIIAILVGKFSYDWPGHSAEGWTSVAFLMVFMLGYGCTWGPVPWAMPAEI FPSSLRAKGVSISSGSNWLNNFIIGLITPPLVQNTGFGAYVFFAVFSLLSLVWAYFCV PETAHKKLEQMDEVFNDRGGAADVEKKNQILSDVIREKSSGIQVDA PFICI_05108 MSDQENKPEAVDVPMADTEVKADSADVTVEATEAPATEEKPAET QPTEVKEDAKTEKTDGAIKNPPLNMLKVKRPEKVEKGKSHSKFDVSDLPETSDPDLIR RQVQFYFSDSNLPTDKFLSGLTGLAENKPVPLKTITSFKRMRRFQPYSAVIAALKDSK ELEIEGAEGEETIRRKKAFDPTRRAKIDERSVYVKGFGEETQSTQFDIEAFFAQYGQT NSVRLRRGDDQGFKGSVFVEFADQETADKFLALDPKPKWKEHDLLIMPKLEYVKQKNE EIRDGKRELSDSRHRGRGNFKGGRGGSDNWKDRRDRDQRNGHRDQRGGRGRGRGNRGR GRDHGGSRRDQEDKPKAPTGDGRPKIHTSEDKPADASAGEKRPRQDDGAEAPPAKKVD TKEPAPAESS PFICI_05109 MYISIFVTLVAWTTSVLCHWNYNTLIVNGEVAGAPYQYVRRTNN SNTPLQMVNATTMRCNAGAALGGPLDTETLAVRAGDELGFGVESTFGHPGIQQVYLSR APGGTAAADYDGAGDWVKIYAATTLANASWNSGGEGLVWAMRRAHSFRFPLSDQTPPG EYLLRAEGLALHAAHKLDCAQFYVGCAQIRVTGNGTGRLEPTVKIPGLYNNTTPGVLI PDFWTKITNYTEPGPALWPKGTQTQHVVKQLGDTSKHVSGFRRRV PFICI_05110 MASSQEIILVTGVTRGIGAQVLAQLLARSNTKVIAAVRDLDAAA AKKLIEQSQTTHKENLVVVKIDSESDTDAADAAQALQQQHGIDHVDVVIANAGLATDW LPVKQVTAEHIMRSSRVNFAAPILLFQAFEPLLVKSANPRILFISTGAASFGLAHIIQ LYNTTYGSSKAALNYTVVRIAKEHPEITAVSLHPGTVVSDMSTAAHDSIGIKVTDRLA KGEAITAEESARGIIKIADEAKRDTHSGKFLDAVSGSELPW PFICI_05111 MKNQDPSQVSSPTTEKVQHDITSSSAPVESHVRSSEEVEKPQGN YSSHSDDDDNDDDDSSDSSSGHHGSRRSSVATARMRTLSRTASDIHDGVENRRDLEIG QPMEHKVTTTAPDPNDPDLVTWTGPDDPENPKNWAFGRKWLTVFVVSTFTLISPLSSS MVSPALTTIGEEFNVPAGTEQAIILSIFVLAYAIGPLAWGPLSEIYGRMLVLQTTNVL YLFFNLGCGLAKTKGQLIAFRFLSGLGGSAPLAIGGGVLGDLFNAEERGKAISLYSLC PLLGPAIGPIIGAFVTQNTTWRWTFYATTIADAAIQISGIIFLRETYVPVLLAWKRKK LVKETGNENLHTPFDHPDRTLAKTLRVAFVRPFRLLSTQVILQILALYMMFLYGLMYI VLVSFPSLWATPEPEGYGESLGISGLNYISLGVGFFLGAQVCAPLQDRVYAGLKARNG GPGRPEYRVPMMVPGAVLVPVGLFIYGWTAEYKTHWIGPNIGAALFAAGTIIGFQCVQ TYLVDTYTRYAASAVGAATVLRSLAGFGFPLFASSLYDRLGLGWGNSLLAFLAIAIGW PSPILLWFYGEKLRKRSPFAAGQ PFICI_05112 MELFSLLFITFCFACQALSAAVGDSKPGWITKQVAMSDGSTDTL YSRDTFVHAGIEARKHNETAKFRFEGGDAQRSCESVIMARAPWITPAEAQKCADLGIQ ILGQPGYWEGWDWPGGHNTYSLARLADCEFGIARVDGLDSQAKFGNLDVGYLLGNVSD AQNGLVVDSGVVQVGGSMRCLGAPMSFTVGKTAWPLADVESEKKGKPRRAERWSN PFICI_05113 MEAPVAAPPPLSQQQQQPPAPPNVPQSDAPAAPTKKKSRRGGDP SLQKRRCVSTACVACRKRKSKCDGALPSCAACASVYGTECVYDPNSDHRRKGVYREKI DSSKARSSTLQILIEAILNATEDEVPEIVRKIRTCESLDTIAETILKEGVGNPDGDDN LLLEENYTTDMPVEGERDLARKMGELRLENGSVRFIGGTSHLIYLGNTTATDPEPETM DVASNVDPITSWTEVTKDTELVVHLLNMYFTWHYSYFATLSKNLFYRDFFRGKPTGFL HKTTYCSPLLVNAMLALGCHFTNVAGAYAVPEDDWTKGDHFFAEAKRLIVENDEYEKP RLTTVQALALMSVREAGCGREAKGWVYSGMSFRMAQDLGLNLDIGGINTEKEQLDETE IDARRMTFWGCFLFDKCWSNYLGRLPQLPKGSYNVPKYEVFPDEDAEEWSPYTDNGSD ETSKQPSRTRAIGLQLSKLCEISGDLLVFFYHPNHIGRSSGKAIELKKLSELHRRLED WRKELPAELEPKEGQLPNAILMHMFFHLQYIHLFRPFLKYAPSASPLPPHVSPRRICT ANAGAISKLMRLYKKLYDLRQICNIAVYMLHSACTIHLLNLPEKTAKRDIIHGVKALE EIAEDWLCGRRTLSIISVLARKWNVEIPEEAAHVLQRTDERWGTFNTSDVPSPRPHST TSPSPPAIGSRLASGKLDQYSTGNALPQGTTGSSTQMLPATTMPQKMLNGLTAPQRDF GNLSNMQGVNDVALMGIGVDSIGATSWGQPSVTQALPGYPRSYASASNRTGSNRSTQA STRNATPSSAYAVDGQDWYLKDGVNWQSGFDAWNMGNATSGGNNAFADSSSMFMFTGN PGNPVTTAGSPNSRRSPSTANFDFGNLDSLSNSINGWDTLPELD PFICI_05114 MLSNPLQRFSPYHAIPSNTFLASAHVQNNHLHGAGLDAFGHGPQ YNVQHLQQHVGVSTPHLSRAPQPKHRQHPYGAPVTRSIGAAGPIRRRISRACDQCNQL RTKCDGQHPCAHCIEFGLGCEYVRERKKRGKASRKDIAQQAAAQAAAAQNGQSSPAPN DEDGSTSEQRASNAVSRQDHVSNASEKALNDMEEENMDQGQATANLDSLAELSSRRPH LSTNIAAYDRDQPESPSSLDLDAFGTIRNPYDRQDIGAQILAGPSHHPYEPNQGGSLQ SYPDIPYALQTQGASNFSANPAAAFRIGTSPISAYHLGGQPESPGWLPMPSPPPQYQS YVPQPQVNYQQQSRYPVLNPLIPHLGNIIPVALACDLIDLYFASSSSAHAHPMSPYVL GFVFRKRSFLHPSKPRHCQPALLASMLWVAAQTSDAQFLTTVPSARGKICQKLLELTV NLLKPLIHTPSGETSPLASPALDSVALGGLGVALPGTTCLDNVTGEPGTFGAAGTLDD VVTYIHLATVVSASEYKGASLRWWNAAWSLARELKLGRELPQRPPQANDMQDDIVDVI NNEAGIVSEEEREERRRIWWLTYTMDRHLALCYNRPLFLLDIECEGLLQPMDDTEWQN GNFGSGTTDPSLVEERVRGPHFECTGHSIFGYFLPLMTILGEIVDLHHARNHPRFGIT FRSAPEWDGQMAEITRHLEAYEESLKKFEQQHFHAHDEDKSEPSITLEMPGELDHIGS PSARSVRTNSSRMTESDIQTRIVTSYGTHVMHVLHILLAGKWDPINLLDDNDLWISSQ GFITATGHAVSAAEAIGNILEFDPGLEFMPFFYGIYLLQGSFLLLLIADKLQSEASAS VVRACETIIRAHEACVVTLSTEYQRNFSRVMRSALAQVRGRVPEDLGEQHQRRRELLA LYRWTGDGTGLAL PFICI_05115 MADGLGIAASVIAVIELAAKVTLTCYQLKEKFKDFRKDIKAIIE EVETVSIIVEELNELTAEVSTESSSPNGKAAVKSTQFALDGCKTILQELNEKLGPLSK PRFRDKLKWPLDSSIVHAKLDDLQKHKATLQLALSLYQTNAFRAQATADKDRLIEEKR TKVLHWYGTSDPKQNQRVSSEARDPNTGAWVFAHEKFTQWKESLNGALLWLHGIPGAG KTILCSNIIEHMEIYCNDQSHAKSLPARAIYYYFDFKDSSKQNMQNLLKSCVFQLIST KPGISKAATDLFEDKHMGLNEPSLDELLQTLLEEALLYNTVFLMMDGLDECPEKQRKV FLDKILQPLIKSDIHLLLTSRKETDIEDGLAGSAEVIAIQDSVVDADIRTHVHNIIAH DKRLSAMPSSIQSEMLECIVSGAHGMFRWAECQLEAMKECLTATMVREKLRSMPKDLY ETYDRILRSLKLDHRPFVQSALRWLAFSGRPLRLEELAEAATIRPESGRFDKTTSRFL TNRTIVELCGVLVSITTITAGTRDRRRWLNKRKKIAGLSEISIRGSLGTQKTKEVTIV SLSHASVKEYILSPKTGYDTILAQFHMSEKDCNSFIAQCCLLYLLNFNAGKIASSLDM GQNPLLEYSASYWMDHWEFAQEEHDEYPLKQLCGNFFSPDAQGAYINWMNIWDPDDQL RDERLTSSGPKIIQSADFFPQPLYWASTLGDFSSAKLMVQQGADPTTEEGYLASALGA ATISGHVNVMHLFLQMGVGLTMQSRRFGSLLQTAVIGGSNRAVQLLIEAGADVNACGG KFITPLIAAVSKQRKDLVELLLENGARLDNDFQGHVSALSRAALDGNVTLVDTLISAG ADVNASGCHAGARPLLSAVKSGSIETVGLLLSKGADVNMTGQIFGEGRLEYMYPLALA ARGGSIQIVRRLLAAGANPNPQDHLAASTALKETVVKRNMACFEAILNAGADPNLCGH QNDVNCLSFAIINRQYHMAKDLIKAGAQWQDHLISTAVEIHNDEPWVLANLLERDEVG ADNFCALDGSRNRPPIHSAISGRGNEETLRLLLKKGAYVDVVDCIGSLYEQRLMTPLC RTLYNGNMDLANILIDYGADVNRALTVSPLEMAIKSANDYGGSLEAFNMLLSLGADMG QLTEVALFWPLRAGNVEILRFLVEKGLDVNRLLNSPELRQRRPGLDHPQCTPVQLAAQ LGHIKMIQVLLELGADINGVAGEFGTTLHYGLSSKNTEVVNLLFDRGARVANSISDRS LIYQAIKNGLASLVTRLIDAGADVNATDVDKSWKRKVERNSPLHLAFCRGDQVLVETL RQYGAQFQKTDTEAAIQATRNGRVNDLELMVEYGLNCSASDIILEASRQPETTAIALL VEHGADLSAKSAGRAFKEVCARGDLGMAGAFLRHGATPDKLANAAGTRNNVAMIDLLL SHGANIDANDGACFKAAGKAGCRKVLARLLKEPMTASDKSHYLGIALQGAVTKGNSYF AIWLLDVHNAPLNHVGSPYGSPLQAAFVSPGAAFVFSKAAKVHEHAQLIRTMLERGAS VSPPLAPRSQEEAKREEVRERTSRDVPTVFNAPLLSLAMSSSSNRWDLRHLSYNILAH GADVNSLGGPYHTPLQTAAYCFPEILEVLLDAGAEVNATGGRFGTALHAAAWNHDVKS VKLLLERGADVRISAGKYGSALICSAHLSRFNLVQPIHEVMQILFEAGADIHCRDGKY GSAVQMAAKCGNVKALRWLADHGADIRVQGGRWGNAYKAAWGTALKRERHEARPCLMA FGWLEQNYGRDGWD PFICI_05116 MRAVSILIAFAASMAFAVNDCSVATIQALIDTVVDTDTTATVAF AQRIDSNETLATFETLVGARNLRSNLTDTCGVRVNANTTSGSNYAFGVLLPDVWYQRI MAAAPAAGIDWGTMSAAAQYQYAIIGSNGGHDEIDYDPDWVTPNGLIDYGYLAVHEST VLGKALIKAWYGNESVYNYFTGCSVGGRHAFKQIEMYPEDYDGVMAGSPAWWTTHQQL FNLKQTTYQAPAGSDHTIPADMFSVIAAEVLRQCDPQDGNTDLVISDPYGCNFNPLTL ACGANKSTQCLTSLQLQTLYKIQNDWVDVNQTFVYPHVALGSEATWAGQIGTGTEQAI SNQYWYIQNLLGLKNFTWEDLAYSTVQLADQLDPGNATADDFDLSPFYSRGGKLIHWH GLSDATVSPGASIYFHDHVAQTVGANGIDVDDFYQLYLVPGLEHCGGTPSIMNAPWYI AGPSQASYFTFIPDNVVYNTVHDMTLTLASWVEGGRAPEYIVATGFTDNTNPVELSLN RRVCPYPQQAYWLGPDYGTGSYVQEDNWNCSLIYGSSFSQ PFICI_05117 MEDKTRPDGRSSLDLEQQQSSVEQGHETTLEAGSEPPSSLNKEL EYGPATDVNLVDWTDATDPENPMKWPNTKKWTNIFVISLLSVVTPLGSSMFAPGIPSI MQEFHDPSTVTATFLLSIYVLGFAVGPLLVAPISEIYGRRYLYIYSNIIFALFTVGAA LSTSVGMLLAFRLLMGLSGVVPLTIGSGTIADMMPIESRGRSVSIWALGPLLGPCVGP VAGGYLSRAAGWRWVFWLIAILAGFFIPVSFLCQRETYAPVLLERRARRLRKETGNPG LRSVLEKQSTLSEKFRLAAVRPLKLLTATPVVTLSALYIAICYGILYLLISTFTYVFT GEYGFDEGSSGLTFLPGGIGMVIGVLGFGQVTDWMVKQAKARGVQHRPENRLNPVITI PCGLVMPIGLFVYGWTIDKHVHWIVPMAGVLIMCTGLMGIMTSIQNYLIDAYPRYAAS VTAALAILRSLLGALLPLAGLRMYDALGIGWGNSLLAFIALALVPIPVVFYTFGSRIR GKFQLDL PFICI_05118 MGRSITEISTTSIELVGRLSFVAQVLQSGDTALITKTIREIPRY ATKAGITSLNDAKAILLGGSSILHLAVRCAEVPVIEFVISHGPSYVDIKARDGNGHTP LDLAVRGRRRSVVQLLRPRMALRI PFICI_05119 MGGRIVVTGGSGKVGRFAIQSLVEKGFEVLNLDLQELPPALSQH VHTIKVDLSDAGQVFSAISSPFKLTQPFREPLLQPPDAVVHLAGYARNMLAPDSETWR TNVLSTFNVLEAASKLGVRKIVLASSVCVYGVTFGQGDVDFPSFPIDETLNVVPTDVY SMSKLTSENLGRGFALKYGIDVYALRIGAVIAPDEYATEFRSYVSSPADWKVHGWSYI DARDLASMIQLSITKDGLGFQIFNATNDEITHDCPSTTEFLQKICPNTPFTRDLGPKE APMSNRKIKEYLGFKEEHNWRKYYAA PFICI_05120 MASEVRNMDDTQEQRPNEYVHFPSLPTEAERDGKPVLNRHSAFL TRGHDFPGAKAMLYAAGIPDEATMQESPQVGIAAVWWEGNPCNNVSNTADMFHLKVLD LATEIKASVQKQNFIAWRYSTIGVSDGITQGNEGKAAPSIETITCAQAHDACIAIPGC DKNMPGCVMGIARHNRPSVVVYGGTQRGGYSGLMQKPININTPYEARGAYLFNKLKEW AAEGEPTPEEILSDIERNAVPGPGACGGMYTANSLATVIEALGLSLPGSSSYPASSPS KYRECGKIGEVIRTCLEKNIRPRDLLTRKAFENALVITMAVGGSTNTVLHTLAMAKTA GVHLTLDDFQRVSNKTPFIADLTPSGKHTMEDLFEVGGIPSVMKLLIAAGLMDGSCLT VTGATLAQNVESWPSLPPGQKIIRSLDNPIKPTGHIEILYGNLAPGGAVAKITGKQGL SFTGKAKVYNKESELSEALDRGSVSRDEKLVLVVRYEGPKGGPGMPEQLKASAALMGG GFTNVALITDGRYSGASHGLIVGHIVPEAAVGGPIAVVRDGDVIVIDAEKHEISMPFV GDEEIQERLKSWKPPKPYVTRGTLGKYARLVGNASEGALTDLF PFICI_05121 MANIKQAILITGATGKQGGALIDALLQAGALSHFVLLAVTRDVS SPSASALNGRGLELVQGDLNNVPAIFSAAEKVLRPSQVELWGVYSVQNPIGKGASTAL EEAQGKALVDGALANGVKCFVYSSVDRGGEAVSFENKPNVPHFISKYHVEHHLVKEAE GRMQWTILRSATFMDTITPDFIGKIMATSWSSVVKDRPLQIVAVRDIGWFAAQSFLHP DTFAGRAISLAGDALTFDQANQIFKNKVGKDLPTTLEFLNKGILWASTELGSMFQWFN ETGCGADINRLKQMHPNMMDFGMWLEESPWMQQSNTSL PFICI_05122 MSAIDMLSAAWPSACRPPSPISFDTFDVTRLSATTWPDILDIDA EVNDIGASVTGWGDGEMEDPVTDRSEHPATTDAHSVAGSKPKKRGRPRLSPRENDDRT RRRAQIRLAQRAYRKRQTSAMEKLQQQVNEMGKLSGRLINSLSDISKELALLRVFEMR PAAAVAFNALVGDCISLRTMASSQAHEESDVDISNFQNEWDSTLQRCDWMIPRYSEPW PQSLPTSQHNLDQSTPERHRAEMSISSLLTASSPFTVLSSLVDPIPRRPTSFAHRVHL VCLERGYHLLRNPKSDMRQVMRTFGKTLAKTSRTSLIMQIETILRGNEAVAGTDWVIS RPEQTASIYSPLLPAPPTMATSTKNEWLEPLDVHYLLLKSNIPIETDSVSIQLSSSIP QGQDTTDSTSNSPNLRLDFASVEESLEDQSSQARVFDVNYFLEILGRLGVCGGTLPIL RRKEVEAAMYYAMGLGAEPRSNN PFICI_05123 METQQTAKTQYIQSGDINFAYRRFGKEGNGSIPLLFCHHFRAPM DVWDPLLINAIAAQRPVILFDNAGVGKTTGSPVDNSIAQMAQHALDLLAALEISKVDI LGFSMGGFVAPLVHLNGPRGLVRKLIIAGSGPSAGEDLKTHSQERKEAINAYAAGPEV TLENMQKLFFYDSDTSRKAGEEWFARITERNESTSGEPRAAWVSEGYADGGAGLQSQA GALARWGNLEFRHEGSYDRLHEISVPVFIAQGKDDFMVPTYNSFVMQQKISNARLKIF PDSGHGFLYQFAEEFAEDVLIFLD PFICI_05124 MWPQIWWKLFLVFCMIVCSTVEALAANESSIFGVSKSVDNNGTR LHYIVYGSGEPLVFQHGFPDRESTWNTYQINEFAQRYKVITPTLRGYPPSDVPSGHSN YSSHSFVSDLASILDNESIDRAILIGHDVGGVVTQMFAYTFPERVTALVMTNTPFIPT FIPLVEFDAEQQKMSHYTLKYFDYVEGQPKNISTIVENIWNDTYRQEITDYLQNSPLA GMLSFYNENYPAPPYGQQVNLDGLIQSVPSCIIWGEEDPYFSPRTLDGLESWFSDGVR LVTIPHAGHWSFRDQPTRWNAELDSFLGFLEAYTFPVTLGPVGK PFICI_05125 MERVHQVNPFAKRDSHSSGSIIAYKVFSILTWLLSLVVSFYYTF NTPHDGVYAWHTIWGQNDLFPTAFRLNSVIVSIYWIVLFILQAVYIIHLWSGNADTLN AAAAVGSHFILNNLFHFAFVMLFVRSYFGWAELILILNFANLTSLYFRHPAYARAIHE AVVSGPLAWTFVAIYWNGAIMVPHPDSLVARIFGNIFIWSILVYGLFYIVAYKDYTIG FNLSVLSAAIGVSQFLRQVIAFQWIFAFTIMAVLFVATVGIAIPAATGKDFGWRRQAQ ADTERAPLLHGESN PFICI_05126 MKISQKSLIAKTAPRFIHRILLPRELDTIKAKSVLSCVLNKDVN KDSLPAPGPDSPNDANQPPLGQDKGRKKRDQRDPAILKAAQFMAGRFAAKEAVIKAFH HERLNFGNIEIRNNASPRRLSKTVYRLAPAADSDQATVQANAQKAEPKEQEIDLNLGS GPPVAFIWLSGAQEPLVASVSISHDGDYASATCIYMRPET PFICI_05127 MATEADSPQGESTTPNPPVSIQANTPATSLPQKRALEDDHVPAV SSPLNPNPEPKLNKTQSHDDTPAMSREKRTKKESLKKRESKGVTIAEPGSSRATPEPK GKNNKEPPANESSPMRYKLAPPKPGDFEPARGPLFTHHHDVTALHGSTVAFHETSEHV YNKKNFHYLHCIADPAFPSSFYYRQTEPEPHGAHMSFEDAATHMFFDQSGRHITTDKG FRMARANVAVRQGRWYWECRITRGTLKREDGAKVESHGHVRLGFARREASLDAPVGFD AYSYGIRDVQGQKVHMSRPKDFFPPGEDMAEGDVIGLEIQLPSEQLHRKVVQGHYNPA VDITDDEIPTAEGPNIVRDRIPIRFKAHIYFEKIDYHTTKELEDLMNPSPVGASGSAH NSDGPNPVHPVPALRTLPKSCIKVYKNGVLMGTPFTDLLAFLPPASKPQAQVGAREGL DDGMLGYYPAISVFRGGAAEVNFGPDFWYPPPGYGKLTTEPITTQHSEDVEMTNDSVD VVATTTAPADGEPTPQGDLKPLSERYIEQIAEDIVADIVDEVDFWMQDGARVIDRTGG RDEKADVSVGIAPGREEIKELVQDD PFICI_05128 MLEYFTYKKVKKSRNEKAERERLEREAREAKSPTSPLKSPTSPI IVSKPPAEDPPLGDPLLDQEDERFLERMVSPDAKYDDDDDVESRPPLPPRIPTPVMTW DSDSESFTTPAGKGKGKEIDTLDTAPKPGNKRFSVITNIGRSISMRRKPTEKSGLQPP HLQDPAREVVREVTDINKVLDDLNLSAQNNKVFSLSAESTEMVRKFNLVLKDIVNGAP TAYQDLVNLIEDRDGILAKNYEKLPKSLQKLVTQLPTKLSSTLAPELLAVAAEAQGLN KADAAAQGGLKGAAKSFLTPKSLQDLVTKPGAVAGLLKSIVNVLKTRWPAFMGTNVLW SISLFLLLSVLWYCHKRGREVRLEKEAAEQDDPLHGVDRVEELPDDPQLLPGPSNVSS SSQPTRQKSGRSSPASHELKKKNKK PFICI_05129 MDDLVPQGYNDGNRAFLQALMARGSITYHEAKPIIAAIKTAQQD DDGDRQRVDPNSVTQEEFAAYIGAAQEVVSHFDYEVRNAMHQVRKDRVYALVNTTSDP MTQLATLHSADEIAFVKRVIDGMFEKHNTRRMEVMCVDSMQANKLRHAPKADGDESIA DDSVVQTQAGQGGIKSIKSSEVASMLAAMVDEGWFEKSREGYYSLSTRALLELRAWLV DTYNDPDLGPDEWQRIKFCEACKEIVTVGQRCAERDCNVRIHDICEDAFWRTRRDNTC PKCDKAWSGKHFVGLKAVTETEAYKRAKRNSGRERGSVLEQIIQDEGGETDEEE PFICI_05130 MEDRKDDIKVRDALCEGITIHSGAPIDRRMKELFTKLLSKRVFS EVSWLRRQKLVTPDHLFWFAWEVMSARKRATNNPLQDLNLNFKKNQMTFVHTADKLLN FMEEHRDSWMPDGIKLHMGEYRDPAKEHELEDFEPSHDQPEGLEQGSMLEAMDAINES VEEQEAEEATENARFEAAIEMAGDAAFVDEINQMTIGDRAAGYSVPVESSDEEEDDDD DDEDDEEEGEEGEEQEDVEMEDIEE PFICI_05131 MRGLLTAAALLAPALASGRTLKRDEEAPSAFIRLDVPHAEDDSV KLQTTLRLSVLESEESCGHGNVLLNGQALSQDADGLGSGSISTEQGSLLIANWKFACV DLDDVHKEQTLAVHVDFVDGKEVNDLGFTVQFQQKGQVWVTYIEGADSIITAPKLDFF PEESQGPEFNPELEAELSELEFMKMQLVELEQAVFMKELFLAEAFGFHGSKGSKLSDC DNLKCIAKSMYDSVKGVASKFYGHGMGEDGLFGGPPGGHHRGGHHMGPHGGKPGKPGK HHGFPGFRFPHHGNETHGNHTMPPPPHGKPDFPPPFCHCPPPPPPPPPHGHHGPPPPD GPDGPPHHFPQGHHGPPHDGPHHGPGKGDKSPERGPTGEPHDGEDRPAPGHHHQGPPP PPPPPPFDFEDHPGHPNEGHPGDDMPPPPPPPPFGHHEDGPDGDFPPPPPPHDHHEDG PGGPPPPPFEDGPEGPEHPLAAAEGDMPPPPPEGPHGHGGPHHHGPPPPPFSLHHAMP AIRIGAIVITLGLLFAALHTRCFTVRRGDRRSSCMSRRRDYGARRTGFAGLIDRARIA MGYTDGDDAEKEAMMRHVGEEDSDSDAMSTTMEQDIEQFRNAADVVSDMVAAEEGRSA QREMVQYSSIPPPSPHATFADYMAADEALPTYDEAGSSDETYVADGMRFTESSTYAPS VSSTNSSLDENLGRKA PFICI_05132 MLVELKNGETLNGHLVQCDTWMNLTLKEVVQTSPEGDKFVRLPE VYIKGNNIKYLRVPDDIIDIAKEQQQSQQSGFRGGRGGSRGDHGGRGGERGGRGGRGQ GRGRGRGRGA PFICI_05133 MKPGRIVIDGLWRCLCPSVDAAAFYQTLRRPAVSRRQPTAITSR SASTAAVETQPQLNATTTSPAIQWPHHGRQAERHSARVWKHISKRAPPHTKTAAKVSD KRYVHRKWKRHPTLPRSFFTDQGSSDEELHGASTDAIVEGLRELCESQGQYHGICNTV RYLVTNRNKKADVFLYNCLIQANCDPEFGSAEAVGDMLEEMESTRVLPTAATYQSVLE VLAVHPDYVLRNRAIDKMEAIWKPPTINGRVAITVGLIRDGQYEMALESLEYLYKNGA PIPHWLYDIFIYTFGALGAHEETLMILQQKERCIDAPPTHNLWHYLLDVFSKDSFYEG TRTVWNRMVMQGKAVASDGTAVNVLNLASRHNDANMATQVIQQLLDRGVKLGMHHFEP LLEIQSADQEIQQALSTICLMSKAGHVPDRSSTRSVVAQLQSTPKLAEEAVGLLHDLK KSMQVPIAAFNVVLEATLLTRGFPAGLDLYRSIGRICSVKPSLETYNLLLQHCDVPKF MRFLVAEMEAFSIAPDEAAFDRMIFISTSNTNYEPAFRYLEQMVSNKTDEESSGWWIS RPTALSLLRRAISAKDNRTQGLMEECEKRGIIDQADIEVLMNTMSQGDQSQGLAPATH ENVQIEAAQISSKETAEAS PFICI_05134 MSNIIRDAPIGQLVRLITRNRVLRYAEEQPDFALPEPWKKLMNN ESKYAAPDSTSETTTSSSSSSTRQDDDENDTQTHNTDTINPPQPAVLSSTRNQPDPEN NGNDEQELKLEKTQSIPIVPKKTKDGAILVDWYYTDDPENPHNWTNLRRAMVTVLICI YTFVVYTSSAIYTTSESGVMAEFGVNDTQAALGLALFVLGYGIGPLLFSPLSELPSVG RNPVYTWTMFLFVIVSIPAAVATNYPGLMVLRFLQGLFGSPCLANGAASLADMYSMMA VPYALIAWVSAAYCGPALGPLLSGFSVPVMGWRWSLLEVLWASVPVFLAMFLFLPETS TPTLLRQRAQRLRKITGDARFMSQSEIEQRNLDKRAVLIDALIKPLEITIKDPAILFV QVYSAIIYGIYYSFFEVFPLVYPVYYGMGPGQIGLVFLCILVACLIGVAIYIAYLYFY LNPRIAAHGFPVQESRLTPALLAAFGPTVGLFIFAWTARPDVHWLAPTVGITVYGATV FVIMQCIFVYVPLSYPQYAASLFAGNDFFRSALAFASILFAKPMFAHLGVARGTTLLA GLSIIGIIGIWVLYFIGARLRSMSKFAVSADEEE PFICI_05135 MILEEDPAALIRHTIANFNTQPDRAAISRVHDSISTLQSARDLR VNEASSQLKKLTRALSTLNSQHEELVSQHSSTAHASEIARLDTQKFRIAKACSDLEME EERLASTAADLAARLAELESQGVEGDAAENARRRDPVDDEVLLRLKVYRSLGIEIERD GKDGEFARAVIRNDRKGDVHVVNIDKNFSRFFYANYFWNTL PFICI_05136 MTALPHAVDAETPLKPSELQVLRNQYEKEGDMVGVQTKFNYAWG LVKSNSRNDQQTGVRLLSEIFRVSPERRRECLYYLALGNYKLGNYADARRYNDLLLDK EPMNMQAADLRRLIDDKVAKEGLMGVAIISGVAIAAGVVGGILFRGRRR PFICI_05137 MSSGGESIGQHLDATGDREVVYCHQCDHEWYRDQSGLVCPNCDG EATEIVTPDNDPRLADLTGPAHHHHHHHGHDSDANDPDEDDIEDHLMDGHPAFLGRRV LFRDPEGPADGNRGRANPADANQILSRFQEMLEGIGGGPRSPVGRSGPEQLFPPRTEN IGPGRITYTQFSGPGFGGVTTYTFSTSGGTTRSSFRSSSPMATRDSVNQADPFQAVFG NILGAMIPPPPTGARSPDADGNASGQPGVPGEGNQPEPPMHLLLQHLFSNFFNAGGAH GDAVYSQEALDRIITQLMEQNPQSNAPPPASEETIAKLPRKKLDEQMLGPELKGECTI CIDEMSLGDEALVLPCKHWFHEECVVLWLKEHNTCPICRAPIEGNAAGQPDNAQNAPR AESSFMGASAPEPPTTPRPTAEQRRSHLRQRGSERLASIRDEAVSGDASGINWRSASS RRTSDSPPSASFQPANRRVRDGSPTGRRSSRSESSSDRGSSGGGSLFSRIRGSFTRDR NRQ PFICI_05138 MAFFNPIQLIATLFLALVALPLAFLACITTWLACWILVTRLLFV YAELAWEIVRYTLYDRWRPGHYIESPSVSRRSSRAVSPIGSPPRSPEFSSFKGAPRSR RRAGSLSSTASTAVPRVAFSAAALLEPNPNALERDFEGLGGWVIHDDGADVADEQAWD TLNPRLEPVESHRHHSRAHTVATPFSTGNYTFGSPARSGMMSVSHSPERLTTTGLSPR SPGSRTPTRARGVGPLTHLEKSDSYFPLQAQLKKIAA PFICI_05139 MCQQHGDTSQQQPRAADAYGSSRSDETIPKDPFPWDVGVFDAHC HPTDTMALVSSIPDMKARALTVMSTRSQDQNLVHDLALEQGVEAPGAIFSTEDMSSHH RRVIPSFGWHPWFSYQIYDDKASEPTYDGTAEGKAAHYDKILSPAPSSQSKAFSSGLQ DPVALSKFISETRARLQEHPHALVGEIGLDKAFRIPESWTVVGDGGRDETLTPGGREG RRLSPHRVNIAHQTSILKAQLQLAGELNRAVSVHGVQVHGGVYNAISDCWKGYEKEVL SRREQRKIAPHAHDLEDDSSSDSNAQPDVKPSGIRKGNTAGKPFPPRICLHSYSGPVN IMNQYLHKTVPSKVFFSFSTAINFDPEGGEKNQKTEEAIRACPDNRVLVESDLHVAGD SMDGALESICRKVCELKGWPLREGVEQLARNYREFVFDD PFICI_05140 MSYASGRPHPNTILTPTSLDSSAHNAAPYGSPTALAVKSEWGDS GQSNAVTPEDSTAHSKKKQKRNKPTLSCHECVERKTKCDRGRPNCLACIKRQTECKYA HVADLLEQTTRTAANGTRMTKPPKRKLSDTTKDAPTSVPNIADKGFSSGPMSRGTVAS STGLLSNIPYSLPTASKVFGVGAEHPFANYWTFEGKLEQVISVMPKEKANADMLIAQY FECVDPVYPMIHRQTFYADYEHFWSLLHRNQHENADAAFVGLVFVMMALGTQFQTVTT LEDGKKSAEFYASASNQALRIGCYLSSASIQSIQAMVLLTYFLINDNHASDGWSFAGI LMRQAYAMGLHRDPNIVVPDASKFEKQQRRKLWQAVLHQDTFLTILLSLPPSATHTDV NVDDLVDDPNDIVSTDPRDTAYIRGSWSLANLVQETICSPRSLDIPICSTQRQKSKLI SDFRAVYRSFPDIFRSWDQESLTQLAATNKRIVRQTLFLTSNYYHNLMLVHASESPDV PVNVRATLEAAHEAINAFFMLYNLFAHEARVWWVFNHRAFLEALCIGNVLRETASDVE PDEVERFERDPLFARARADLTRMIQIMQIMADGDQGSEVARTRVTVLSEFL PFICI_05141 MAPFDRPLPFHVQSTVDKVHKFPHHDSITQLWESRWRDLCRTAE YPFGEAALEDFEPIFASLVTKFHNDPEALVRDPDGYAASFLPAAAALVREAEASEKVQ DTDRARDLFLRAAAIYRIARFPVNRSPLGHVAWEMGRGSYLRGAAYLEPPVREVAIPF THAKAGVDAAERPILANLRIPSSSSSSCSSQKPDASSWPVLVLISGLDSYRSDSTTRT TAHTATGLAVLAVDIPGTADSPAAAGDPSASDRLFSSVLEWIATTGRGCSTGGYHALR LAHTHSEHLLAVICHGGACHYMFEPDWIRAQDHMEYPFGLAEALAWKFGFRRQRLDKT NSSRSEEEADVAAYVGEAKKRFSLEDGLDAGMLNGRSCRLLIVNGLEDSVFPIEDSWL VASRGRPKEVRLLENRRHMGNPGGDEIAVEWAREVVRQAGGINK PFICI_05142 METLDCLSKVAPDWVKRLDELTGQIEQRQLDLANLAKLEGRKSS SPARSIRNKGSTESLKPKNDGNAFFSPEDPQPEQPRNGAVPNTKQPPQSSSRRRSSPD SGPKTPSFIQRQTEEVAATAQRRARAVLRKRQKTDSMISAEGVVPTYRSRSMIIVYYD SFVQSFFEELVKFVSAQRNHLRRAKMAAKVAEIKRLAELEMPDDDDDDDNDDDGSTLQ PGDGLIAADPTITAKPARSDPRLHYMSTRTMGPTFRSPNTNYGQDRSALTASGPGIIN RAMSAGDQGPTDIFDELDRSLEFVQSMCERAAHQFLRDGDCSEEISKIKKRLEEARRS AQQEMDRLEKENPDALKTEPPNPRSYRPSTMRRDHGASLPAKRVLKVDIDEGVEDM PFICI_05143 MSSPAGSNPAAPWRSLFIEHIEAMKSPEFTLSTIRKVPSSSPQA KQLGAIQTGDGFAHIPRARTCIFRGMWAGLNANPKNDAPLNPELYDSELLTFTTDVRM DKMPELWGAAAAADEMVPGTDGGSKLDGTGGGGPVEAVFWAEDAMVQWRVRGRAYVLG PDVDQETSKPTRELLRDRMRKRDSQHASGQEAEWSWTREVTAHFGNLSPGMRGTFRNP PPGRPVNLPVGDGLGLGQKVADLGDEIARRNFRVVVIVPEEVDRCDLSDPARGRRWLY RFVGADGKPESPGGHVEDGWEKVETWP PFICI_05144 MEVVHHQPATARVETSDDGLVCLELEDGSTFQGYSFGAQKSVAG ELVFQTGMVGYPESVTDPSYRGQLLVITFPLVGNYGVPSREAVDKLLEDLPEHFESNQ IHIAGLVVASYAGETYSHHLATSSLGAWLKEQGVPAIYGVDTRALTKRIRVEGSMLGR MLLQKNSAPNGVNGTASHSQKFSRDDFETIEWVNPNEKNLVAEVSIKAPKLYKPSPET ALKHPSGRTLRVLCLDVGMKYNQLRCFLKRGVEVMVCPWDYDFSTEAGKAYDGLFISN GPGDPAVMKDTVKHISATMERNQTPVFGICLGHQLLARAAGADTLKLKFGNRGHNIPC TSMVTGKCHITSQNHGYAVDAATLPSGWKELFVNANDGSNEGIMHESKPYFSVQFHPE STPGPRDTEFLFDVFIQTIVDCAKDSKLLNAPVQFPGGTAEENDRAHPKVHVKKILVL GSGGLSIGQAGEFDYSGSQAIKALKEEGIYTVLINPNIATIQTSKGLADKVYFLPVTA DFVRKVIKYEHPDAIYVTFGGQTALQVGIQLKDEFEELGVKVLGTPIDTIITTEDREL FARSMESIGEKCAKSASACNIDEAMHVVKDIGFPVIVRAAYALGGLGSGFANNEAELL DLCNKAFAASPQVLIERSMKGWKEIEYEVVRDAQDNCITVCNMENFDPLGIHTGDSIV VAPSQTLSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPDSKEYCIIEVNARLSRSS ALASKATGYPLAFIAAKLGLNIPLKDIKNSVTKSTCACFEPSLDYVVVKMPRWDLKKF TRVSTQLGSSMKSVGEVMSIGRSFEEAIQKAIRSVNFSNLGFSETKALMSIDDELQTP SDQRLFAIANAMAQGYSVDKIWELTKIDKWFLHRLKGLNDFASSLTQYKVEDVANRPD VLRRAKQLGFSDQQIAKYMSSTELEVRELRLEAGITPFVKQIDTVAAEFPAFTNYLYM TYNASEHDLDFNDHGVMVLGSGVYRIGSSVEFDWCSVRGIRTLRNEGFKTIMVNYNPE TVSTDYDEADRLYFENISLETILDIYQLEGSTGVLGAFGGQTPNNIALPLHHAGVKML GTSPEMIDNAENRFKFSRTLDRIDVDQPAWKVLTSFDDAKAFCNKVSYPVLVRPSYVL SGAAMNTVYSEADLESFLDQAVEVSPAHPVVITKYIENAKEIEMDAVAKNGKVIGHFI SEHVENAGVHSGDATLILPPQDLERTTIERIEDATRKIGAALNVTGPFNIQFIAKDND IKVIECNVRASRSFPFVSKVSGTDLIELATKAIMDVPFTAYPPNTIAPDCVGVKVPQF SFSRLSGADPVLGVEMASTGEVACFGKDKYEAYLKALISTGFKIPKANVLLSMGSYAD KKEMLPSVAKLQKLGYKLFSTAGTADFLQENGIPVQYLEVLGKDEDDQRSEYSLTQHL ANNKIDLYINLPSNNKYRRPANYMSKGYQTRRMAVDYQIPLVTNVKNAKILIEAIARQ FDLEVQNRDYQTSHKTIVLPGLINIAAFVPGIVSQDSRDLQAVTKASVAAGFTMVRLM PLGVEGSITDAKTLKVAQLNGKSGGFCDYNFSVSATSDNADQISQVTSEVGSLFIPFN HLSDNINKVAAVMAHFDSWPTHKPILTDAKTTDLASILLLASLHSRRIHVSSVTTKED IRLISLSKAKGLNVTCDVSIYSLYLSQQEYPECQALPTVADQEALWDHLSAIDVFSIG SLPYQVAQCGKIEADPLIGIADALPLLLTSVTEGKLTIDDIKARLYDNPKEIFELHEQ VSTSIEVEIDRPYTIGTGSTWTPFAGKTMQGAVRRVTFNGKTVCLDGDVLPIQPLGQD MSSHGSMPAPMSPPIKPTTQPLGFDSPRVRRQSVLGQRPAFQPRALDGVLDGERRLTS PGGEDFGLAPALRPSFDSLRELLRQPNAFKNSHVLSVKQYTRADLHLLFTVAQEMRVG VQREGVLDTLKGRVLMTMFYEPSTRTSASFDAAMQRLGGRTIAITTSHSSVKKGETLQ DTLRTLECYGDAVVLRHPEETSVDVAKKFAHVPVINGGNGSKEHPTQAFLDLFTIREE LGTVQGLTITFVGDLLYGRTVHSLVYLLRHYQARVQLVSPKSLKLPAKVREQLVASGQ LLCESEELTKEILARSDVLYCTRVQKERFPSLEEYEAVKDSYRIDNATLKHAKSTTAV LHPLPRNEEIAEEVDFDSRAAYFRQMRYGLYCRMALLALVMSH PFICI_05145 MLSTLLRSSKKPAPKDKRRRVDSFMFHSNPNTPSPGPASRREYL EPRHATADFTEAEDEEDEESNEGDENVGELPRFQRPRYDGGGIHDGEARRNSTATLPL FSASYLDSLPIYGLVHSIRIVIQTRTETSLSWEQLKSPQVSQFLMKPMQQQIRNAHLN RATLYALMANCLGFKTESELYPANAGTSSTRAKVCELLALKLLKEYNTRELIDALSYD FYPLQGAPESGVRTSARQVAARTSTLEVAIRASAKHFLSHPLVVQQLEAIWNGSISFY SSADQLHRKPPTPKTPAENGVRSPAGRLPADARTPLLRFQAPSLKENEDQGRGDRLPF FEVPIRRTVALYNPHNASPMKLSRLRVPRYRQFFSTCSLFILICLFLAVLVERSNRIT SLELVFWFWSAGFMLDEVVGFNEQGFSLYIMSFWNVFDIGILLLLVIFYCMRIYGVFL VEAKGWNDMAYDVLAANAILLLPRIFSVLDHYQYFSQLLIAFRLMAVDLAAVFILILI SCSGFFVFFTLSRNNNDAGEVAYKIFQILMGFTPAAWDVWPEYNPLGRVLLAIFLIIC HFVVVTILITVLTNSFTAIASNAQQEHQFLFAINTISMVKNDALFSYVAPGNIIAWLL MPLRYVMPIRDFVRMNRTAIKLTHWPLLLGIFCYEKYFLTADIYEPTDLVENQGRHRS RMISFADPASRTALFSPNIGAFEGSVAGQQKDQALAEVFWRVPDASSFRQQRRQERRK SQTAIRNWMDQNDDNQGPPLSHWPTMDSRRSGGSRFTRRFRTVSDVRSAASDPADLIS NSGFPSGGPFGRFSAFSPGQQPEEDKDQTDADGDDELVTNDDDEDEVATEDQRAVQSR RDEEEEDYFTTPVATRFGVLAPASLTSTRSSIPAATASPRTRRQGLHSRTMSTNTILY APQEVRKALANAGSSSETEQGPAPHSRPLSSRQNTVAATPASSGPRSPRRAVYIQPPT RPLPIPSRTTSERPPLLSAPASRRRPAVNDEMELNSELGLDTSNVEFSGIPASFQTQM AWATGQLKGMNRKTQDQEHSDRLTRLMLAKMKALEERFDNVVEVMRSAVPTAHNSADD KSSKGGSSATGTIAVEVASRDRRRPGTAYKRFKSERLLPVNGREERPTSSRRSTKQRI EKGKGKAIIQPDESSDDAQEVRRIRRRGSSF PFICI_05146 MADPRTLVQNGFAPQYEQQQQQQQFIDPSALQQSQQVESHGRGQ AQSIPRPYTLDEALPFTPFTTVFPFETDIINNPTIGSGQIAPSILGLVEHEDYDALNK EAENSSSSKRLEGSLEFVQNLLKPEKITLFQFKTAPVSNSSNNTSKSSLARGLSPLAR MIYETTNIGFKDPSTDTIKSQMPSANGTSMSPKPVKKSPKARKPEPHKVVKHNPSSFN AQSVTKNRASIEIHLPSRRDHEAAASMYSSPKPEPRDQIALAPTPRVSQTISPADLVL APPTAPVSVRPAETEQKDIKPDLDTLDKKSLPPASRSPSEAVPQIPTEKKPAIEALES PSSQAQNISGITIELPAAGFNKADYMVVDDSPAAPADLSKKRKRTELDNADYLIDGGL NNRERANTHLRELERSLQEIRHAENVAVNSRTSNTWITLTSDEDATMTVSAYNKVMKL ISRTLELQCFNMVPFEDLHNIQNLCTPSLKRAEVLEVKVDQSWAEVDVNMWLQLLPDI EAGLKAARLALTIMTGGRSEKQLYSEGLIKRGLSLFRSVLEGIIVPIAEMRSSGQDGL FKLLSAQRKAINVVFMACQKLFALMTNLISSIDLSEDVVNGLEVASSQIIFVDNALSE RDSVVGVQKFDGLRLVAMDMLSQIFLVNPSQRRGILTDILTSLEKLPKAKQSSRQFKL ADGGSIQPVSALIMRLVQASAGKVEVRGSASGQIIQSLEDQTGEEKHLPDGRGKPGPS YTIKTEDHAAIQHSEAVQELGGLVNPLFDTARFNSSFVINFLVKRAEKSTKTGDTPYR NLLDNFIEDFNLCLDSPDWPAAELLLRTSMSMMLELMKGEKTSAPAKNMALELLGNMA AAISKLRSHVRKVASAFEGSDADELGRWLADLASMVLDRKFYPEKLTSWLGPYRVVLE YLEDRVKEDPHLRSAISYLVTDWAVGLSNAYNEEQHEYPDDRDAEYGRSAYRLRNMVN DRMWLSNEYSFRSVVSNHARLSHSLILLRSPFCDSFKGILNILLHSMTTDAATVRSRS LKSINSVLETDPSILDGDSTVIDMILQCSHDPSPQVRDSALSLVGKCISMRPSLEERM TPTVIERFMDSGVGVRKRAMKLARDIYLGNQSKQVRSDISNGLLVRCQDPDEGVRELA RQMVEEIWISPFYKADDSTAYKQALTDHIALIVQTVAQNNSATTLEKVFETILSPQYR LADANNKVCVRLVANLFDLIHNPDSDDPSTPSGKDALQVLMIFAKADPKLFTFEQIRM LQPRISNVGTSDDLASSRAVVVIYRRVLPQVTTVHSQFLADIRKDLMPVVSKVRRALL DDVIACLWIISGLLGTSEHLARLACSSLVGIQKIRMISIKGSLDEQKIRQFDRYSLIV GMVGKHCNLDGHEQIFKSQFQKWSGGPVSKLMVDTLSPLASPSQPAEVRKSALDAMGL VCQSNPRNFVAVNVYTVFQQAFDEQDAILETMILRSIKEFLFTEERRSEQASAAAKEG KEASKKDLKVMGSTSFDDVASATTVRFLKDITRITLASKDEHAFLALEVLASINRQGL VHPKETGTTFITLETCPTHQISELAYHEHRSLHEKHETVVEREYAKAVQSAFHYQRDV IKDSRGATTDPFTSKLHLLLDVLKISKGKNRKRFFDKLVSQIEFEPSTMEIGQGMPEH VEFSRFLIDNIAFFEFVATDELQALVTSMEKMVTNIGTGLAQAIESDIFQVRVDAIVG VQPTMDGETASTLPVEPPVDMDRLRQLTASAMILLALWEARTHLRRLYSLKSARETKV KAGTKDPNKTPVKAQGVTGDKFWENMEYIMSGLESEARMKETCRSFVELLNVDHEVKV ADEDDELDGDGDPRTPEPDDDEEGDSFNGETRGRKRKASGTPGGKKKRPRSSSKPRGR GRPRKNPLPGAEVDAEGDADFDDF PFICI_05147 MASKTIVTTSKPTDFPSLLRQKLSTTQTVERHKVQTEALIQETC EKCGRKEVRFTSVQLRSADEGATNFYTCECGHKWSHNN PFICI_05148 MTADAPATTIASLRESLCSEATPLPLRFRALFSLKHLARHAETP AESQEAIDAIAAGFASPSALLKHELAYCLGQSGNMEAAKPLRAVLSDLHEDTMCRHEA AEALGALGDLNSLALLKQFRDSKDEDVVITETCELAIDRIEWENSEERKKEKLHQSDF ASIDPAPPMPETSQTVDDLGKTLMDTSAPLFLRYRAMFALRDLASPPDLPTAVPAVLA LAKGLADSSALFRHEIAFVFGQLSHPASIPALTEALSNTEEASMVRHEAAEALGSLGE EEGVEEILKRFLHDKEKVVRESVIVALDMVDYEQSGQAEYALIPESAEATAAA PFICI_05149 MSVAPAGQEAHGQADQFVKGHLTRADLDPSSPVRQFHSWFGAAQ QAGSGVAHPETCTLSTASLPSGRVSARMVYLKELDDKGFVVYSNFGTSRKAADLATNP WASLTFWWESLERQVRVEGRASRLSAEESQNYYDTRVRGSRIGAWASRQSQVLKPAAA EGDDGRKELEGWVAETEEKFRDQEKIPVPEFWGGLRIVPESIEFWQGRESRLHDRFVY ERKEDGKDEWSLERLSP PFICI_05150 MQTNSRLLALATLSVAIQTCSAAALAGANQVTSGGRVRIRDQPF VTCSFAQLTDVSLVNNIVSDLKAHAGDDLSTDPQQCIHLGCDNGAVVWWCNTDSKTLS FKSGDLADQVSLVVDHCETPEQSDTSKFLVSGSSKSTTDDHYIISVSAGTCSHLKDEQ PFICI_05151 MPTTDDKAAQDKANLTRIRENQRRSRARRKEYLQELEQRIRVYE QQGIEASTEIQQAARKVAEENRKLRALLTQQGVDESSIESYLHSPNATPAHGLSAAAG ESVSQVLDHLLASRHPSFDSTGTSLTQATGLDPVSRRSSASYGAGALTSQSSHAHLAL SMPEVQQSAAIQTYGSLVVNNDTQGFSAHVMSGFVEDPKQGFDVRPLPLQAESPRPTM HLSRQAVSDPTGMWTEAPQISPISSIPLHDNQNSQSHTYMERPVQLSQRRAHTYSATW STQLDSGQDIDNSGMLDNGFTPLAPGNHPQQNGFPARVQENGYQELADTSQQFPESRE SPKHDWNQNSKSHFVKSEFGFL PFICI_05152 MDNSHYNAGKGQTNVPPLQSVTTHGHLTYVNSHSQPTPVDKIDI QGTIDKGFFLSEGEWTCYRRNYFSCICSFSLDPPNLHNLPIRYTQTASGVTYDVFDFA MTITAVVSESDAHTIDLVQHTPKRDKGPTSQPERVKLSPKHIVPSSHPLGAGMYAYNR LAGGGAMGAGYGDYSQAQGAHPTEHTFERIQFKQATANNGKRRAAQQYYHLVIELHAN VGEMGRGVADQWLRVAHRKSAKMIVRGRSPGHYQSERRGSASSGPGGSSGTLGSYSGQ VPDYNGGSSILTPSYGNQTYETRPTHHYGTNHRHHEMQIDQIMSADQCKSIDNTKGYQ YYPGAIYEGHDHRDGGGIPCFPRNDQDTMIPSLSRNSDLSISRLKHENEGQLPSLCIP GPNYLGPGCNRYDGKSTSAGYYPISQTS PFICI_05153 MSGLATKQQSQKLFEKLKTKQANKICFDCGAKNPTWTSVPFGIY LCLDCSSNHRNLGVHISFVRSTNLDQWQWDQLRIMKVGGNESATKYFRANGGSAALAS KDPKTKYQSPAATKYKDELKKRAARDALEFPDEVVITDAADADGSSTPAGEPSDDFFS SWDKPAIKKPTPPVSRTATPPVVGRTPSPFLTAGSNGKDISRSASPLSKTDATDAKPA VSRVTTSAALRKTTTGAAGARKTNVLGAKKNTKLGVKKVTGDVIDFDEAEKKAKEEAD RIAKLGYDPEAEEAETKKAAAAKTEASNVVSPAPVSPSYGSGHTRQKSAAEVERLGMG FGRLGFGQVGGNKPAAAPQTAKKNAGGFGSVGPVKAAVEDDGEKYARNKFGTQKGISS DEFFGRGSFDPNAQSEAKTRLQGFEGASAISSNAYFGRPEDEEAAEDYGDLETAAKDF VRKFGITAGDDLENLTSALGEGATRLQGAIRNYLGN PFICI_05154 MKLILRPTAISSSCSTATATAALLSRSRPLHFQRSYATQNNPGS TSKRRSVTPFNDDGHVPWQDLSGAEKTARATQQTFNFGLVVVGAVLTGGVAYFLYTDV FSPDSKTAYFNRAVDRIKKDPDCLTLLGDAKKLTAHGEETYNKWRRARPIASSHTTDN QGTEHIMLHFYMEGPRNRATVNVHLTKRAGASEFEYKYFYLDIPGHQRIWLENADSKS SSTSKKYKFLGVNWN PFICI_05155 MSVQDMEQAAGFSWLDAHPIFVIILVGPNEKPFGIQKDFLCAKS SYFRKYFIENPDDKVECIVKLPTASEDTFGHAQNFMYTGRLSDTATMPGYDVLIDAWK LGNQLDVEGLCDAVLEAMNECRRNTHTIPATPLLVEVWNETPEGSDIRKLLLNWAAEY IRSSESRSEFSKSLPQELLSELVVAMSHLNSAPVIRVSNQSPVNGGAQRKNVHYLEDD HEDERRAKASKRSHSEAGSPVEPKPKDVKPRARSSLPNLKVVKSRKSHGSTNGNVEPT KEDKLVFCADLLTRMLSGPGFWTRLVGPFREPVNPVEDGVPDYLDKVQKPMDLGTIKK RMDSAGYNSAEEFAADVRQIFKNCYTYWDRNTPMWATCEKFEKTFEEKYSGMNKWIAK FDGEEVG PFICI_05156 MQRSQSAVDFSNLLNPESAPSVTPPAVQAVDQDGDVDMATVSVI RPNGPLPGGQPQENPSELPRPYKCPLCDKAFHRLEHQTRHIRTHTGEKPHACQFPGCS KKFSRSDELTRHSRIHNNPNSRRGNKNPQASHHMASALAPDSMMPPPAGPRSIKSAPN STLVSPNVSPPHSYSQYALPQGPLHYGRGMGGSPLSGHTMDIGVLANAAKQVERDNSL ASHHHTARHHPYYSHSIHNSRGHLPSLASYHMSRSHSHEEHDDHYNHPLRHAKKSRPN SPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLRPYAGIELPTLRNLTLGHTPALA PMEPHFGENPSPYQQQQQTASPATRGGISLTDIISRPDGSRKLPVPQVPKVAVQDLLA PSDGYNSSGRSSTTASLAGGDLMDRT PFICI_05157 MEYQSIHGQDIASFDLPSTHRLPTVSAADALEELGTDPKRFLST GLDELDRVLAPEVDDGSQTTARPGGFQRGQVVEIWGPPGLGKTTFGLQLASDALRKGG KVVWVDGYRPVSGRRLHQILDAPNAEGSSAAESGSSQPPHIPDLVHFTCPTIAHFVAL LCKPTSSCVPDGTSLIIIDSFSALVNQAFPKTQEPRNAPKGVPSTSTRRLQILQLIIS SMQKLAATRDITIVILSHCATRIQAERGAALIPAINATVWEQGIATRLVLFRDWSLHK GHISGVRLVGIQKLNGKASAESIGPVLAYDVHEVDGDPYLLQGIDLLTDQQKGLVPVE HDATQASAILSSTAQPKRKLGSTDFEIADSEEEYGWDDEDSSELPPNPSQWQGSEDIL IGNHDEDGNRRDEDSDGGGSIHDGESEG PFICI_05158 MLLLHQVGSVKIGEVVRYTVTYTPSHDRILPSPSILHLRIRNTS AIALRAAFVHGPYSLDVAAYPANFDPNVKFENPQKYGVPEFEPMLKAGGSWQCELVVP EDIRESAGLGASRGHFGSGKEWEDCSSVTWVIEVASQVIFSSSAAVGYEVLLARDEKS LHLGSSTPVLGGNQAQVPQPGRVSDFQQSVGAKDGHHPAQMKGVFSRAVTLKVEDTAS LWNTPGLPGWDEWTHGHLHKNYGMGHDVATEAVGPPKNASEDQVKQAKDEDDVTRRKK KPKKVHLVVVTHGLHSNLGADMLYLKESIDATAKQAKIDARERRRKEKAEREAKRKEN QAQNADDTAGGDEDLKPEISREEEEEYDDEEVVVRGFSGNATRTERGIKFLGKRLARF VLSMTYPDQPFLPTIKSATESIAGAFKPESGDKADKGDKPAHRHSTIHQPKHHNPHRA FQITSISFVGHSLGGLVQTYAIAYIQKHSPQFFDLIRPINFIAMASPLLGLSNENPMY VKFALDFGLVGRTGQDLGLTWRAPTIARSGWGAIVSTLGESAHKKVMGEVQPESKPLL RILPTGPAHVALKKFRNRTVYSNVVNDGIVPLRTSCLLFLDWQGLGRVEKARREAGLV ESVLGFGWAELTGANVTSPRRGPWSPSAGELTVPQQDVPPPPSNISSEPGTPPEHARE VPQPAEDATAEDDRRSLNLSSPVQEHPPPLPTSHTTNNDGPLSGFLNFFKSNKEPQPP PASKPLKANSSKDTKVLKRSQTLKLLENESASSSTSKVSTGHELEGNEQSNVSAPPKT SFFESAGDILNPKIPPMEFLIDPSKRPRTIFHDRVYHPQDIPSPPLKKRPTGTLSMRR KSGQRTPSSTPKAKSIERNDSSASSPYLTPTPSVAHQDSALSTQDYDDLLHTSPDHDP NQVIDSSNMSVEEKIARAYHKGLSWRKVLVKLEPDAHNNIIVRRMFANAHGWPVVKHL VDAHFSDAAAARIPDQKEENKERAKPMSQNPDTTGAETTTQDQPQDQPKSDTAIENGN PESKATSQNEGADLQKVSSPERPPATAHTLSESREAEDAVPDLPNPSASMHSSASYDH LSPSNAASRPSLDRLDSVTWSERDWADSGDESEDMDQHLAYGGKKATNEEKNKSIERT GSPVWNWTEKIVGKSGNKGKQNPAQSDPPSPNEGDQTPRP PFICI_05159 MAYSQDGGGKHRHRRRKETRSPSLSNAFDWEPEEYSTLHSLPYR TRSRTADVDIQMPDSTSRRARQSVSSSSHRGRRASSDSHEIMPPTTTTSYRARAVPQR RAREPMAYDDDEESPSPRERRSRPRGRDRARSPSRSISGSERSSSSRGERVMTPSTPP RSTRVKNATPDSRRSHKSPSRARSRSSDSESTLSTQGRVKEKLRHESDEIEVILDTKT TPRRRHRKTHAEREPPIYEEELSPIARNAIPIVVESKGAPRHQHRHQRQSDSRSGSRR RHRHHHDHHDEVVKLPSSKRSHKKYHDSVNVYVERPKLARSSSSQMTNTPSVASSRRS STALTKFMYGKSPQQSKPTRTVECVACMDEDTPRSKAAKLKCKHYMCHSCMKRVFKVS IKDPQHMPPKCCTEDPIPIKHVDALFENSFKKTWNKKFAEYSTRNRIYCPSSKCGAWI KPERIHKLRDGRKRATCGSCKTEVCCACNGRWHKGKDCPRDEATNEILKQAKENGWKR CYSCLEMIELKEGCNHMTCRCGAEFCMICGLQWKTCECPWFNYETAEQDHLDYMDMPM TSSSERDGSMTRGSRQRGSRHRVPQTYETEMSLRRRQEQQDEDFARRLQYDDNGNDDH DDDDDDDEEDDYIHNKYGEIVGLGNSAGHFMNDDYRRAPRIVPPAPSPPMQAVDRTGN GDYVTGVKKARGLRASSMERRLADRFSEPRHGSSPTHMSYTMPMPPHPPHPPPPPSHG MPMGGMSPMGMTSMGNMHYPPPGVPRMRRAHTMDDEMSHSRLSERIMLGHGPAEFEDD ISYQSPPSRRRPRETREPRERERLSHRSSMLAGLTGPGSGMNRVDEWRYYVTPDEPER QSVTAR PFICI_05160 MKFSAVLVSTLAAFASAAPAKKEEPRASIDASLFNNFAFNSQDL QYLSVVNSLDFNVLQQLATVNGLNLNNFSGLFSNNAFDINSLIQLQQIQNLIQLQQLG VLGGFDLSSFALNQVNLGLINNIGGVDLGQFIDASLVPQIQTIIQQQTVAVVKE PFICI_05161 MSDLDNAIAQLRACRPIPEAQVRELCHKARELLIEEGNVVTVAA PVTICGDIHGQFHDLMELFRVGGDPPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECLRKYGSANVWRYCCDVFDYLALGAIVLGASNTL SPPNDRVSSEAYEEEEIEIEVCNADGEVMSRFGRQAAEQKSPNGIPANTGPPGSGASG SSGGSNGNPTGAVLCVHGGLSPLIDTVDKIRLLDRKQEVPHEGAMCDLLWSDPDEIDG WGLSPRGAGFLFGADIVKVFNHKNDLSLIARAHQLVMEGFKEMFDASIVTVWSAPNYC YRCGNVAAVLELSEDGGGESIMMRSNGDIGRSQDARGILTDTDSLMKSGPARRYRVFQ AAPQDSRGMPAKKPVADYFL PFICI_05162 MSFALLPRAWSSVGRQSHPANLPRNLINKIAAASRSTSLPTRWK MDQPSRAACGCASAKRSGKRSAYIALGSNLGDRVTEIEKACHEMDSRGIKVKRTSNLW ETEPMYVLDQDRFVNGACEVETELEPLALLDQLQDIEKTMGRKKLIDKGPRNIDLDVL LYGDEEVNHERLQVPHIGIPERDFVLRPLAELIPTKAIYPSKPWKLVQDFLNELPPSS EPLSTLTPISPSVGPLNALKATRKTHVMAILNTTPDSFSDGGIHTFDSMRDTILSFIR GGATMIDIGGQSSAPGTPDVSAQEEISRVLPAIELIRSMPESRDVAISVDTYRAPVAE AAAKAGADVINDISAGQLDPDMLSTMARLGKTVCLMHMRGTPATMSKLNDYPDGLIPT IARELLERIAEAEAAGVRRWRIILDPGLGFAKVGEQNLQVLRHFDELRNWPGLEGFPW LLGSSRKSFIGKVTGVPKPMDRIFGTATTVAAAVQMGADIVRVHDVVEMGQVVKMADA IWRY PFICI_05163 MNVAAGPKLAAAVTNAGGLGVIGGMSYSPDMLREQIDELKKDLV DKNAPFGIDLLLPQVGGSARKTNYDYTKGKLNQLVDVIIESGAKLFVSAVGVPPKEVV DKLHKHGIYYMNMVGHPKHVQKCIDIGCDIICAQGGEGGGHTGDVPTTVLIPACVKAC QNSKSPLTGKPVQVVAAGGMHDGKMLAASLMMGAEAVWVGTRFILATEAGAPQAHKES VRTASFDDTIRTLIFTGRPLRVRTNPYIESWENDRRDELKELTSKGILPYEADLEKVM KGDGDKIPGTDSVSAGEDDDLDDMLDQFRPFLMGKCAALVNDEKSAKEIVDEFITDAS AALNKGTQQLAKL PFICI_05164 MAETHHFDAARLFGMKGKVAVVTGGGTGIGLMITQALAANGAKV YITGRRMEVLEQSAKSHTPAPSSGGAIVPIGPCDVTKKDDLEKLVTELSQKEKCIHTL VCNAGVSGPKAEPHHEDADDLKKRLWENESVEEWQQTHLTDVTSVYFTTVAFLPLLQA AVRPNGELERFGASVITISSMSGIMRHAQGHFSYNAAKGATVHLTKLMSSEFQRAGIR VNSIAPGYFPSEMTAKESDEKNKSEVPAEKIQEKGHVPLQRPGRDEEMGMTALYLANN HYVNGEIIAVDGGVLNVVAGR PFICI_05165 MPRLPDDGDVEVFQEDAEQLLQTSERSARRLFSGFKDFAFSGNI LEIAFGLILASAFTALTTSFVSDIILPPLSVILPLNRNLDEKFAVLQRGPSYDELDGY TTLAQAQEDGAVVLAYGVFVNKVFEFLGMGLALYGLAVLYESLSHDPIIKHTVKCRYC KKPVNVKALRCINCTSWLDGREEKDPSQQAFVRRQ PFICI_05166 MKRFTIIIPMILSIAGFVLAMLSLFAGSKPGQLEDYHIIALNMS NFGHDLVPTPTSGSSEPTSTDDSWGSFFTSVVETLASEISDELSEIEDDIADKLSEEL GISQWYSLHVMTACEGNFAPNATTPGAWYNTTNCTSQAAGFQFNLTEVLDHEISVGPL DLNPADLPVPDDITDAINYLNGFLLAIFILFCIGAGFAGLSFLASIAALSLGGTSKTG KTGPSRLMSLINAILTGLASLALLIGAAITTAVAKKGAEKINDKGSEVGISANAGTKF IIITWVSFAVMFVAVLYWVAAGIMGRSSATTGRRRASPGRFAFRGREKKTRHSSDSSV PFICI_05167 MRRAGQGSTARNDVLAHPTPSVPPTISRILQLPAAPAPRPRTRQ QLQQQIRQYGTRRNVNGRRLPPGPPPPRSWYAPILSSRPPPGVHRSVEEILLCPLPQA FTPHHGSLIDIMLHQLAANWSVQKDWNRFYLYDMPDRIRTALIYYVSKYHESGISISD LRLILLGPTDGELAEYELEKPDPAVLNASIHHLDLTGSAGSSISLRSLAELLFPTAAV VAAEVADSWDAPEPLLSPTAILPNLTHLSLAIDRQSGEGPSWRQLLSLSAKLSGLTHL NLSGWPTPSTTPNAIITKMVSAETGRTVNYGATTVYSHALDDDWSEAVSILKRLSKAL YRLEYLDLTGCGHWLPALYKEVDAELTVDFVDWSREWGKITVLRLCSGYYGEHMTPDS DETQLREWKKEATFVEKRIRAQRAGQGHFIIVEKDPLED PFICI_05168 MTPSNEPPEASMPKPYNTEADNESVEQTSEQIKEYLGRSLEETG ARELSIKDALSRPDTFQEDNIVRTLISVLLLCGNHHLILIGKPEIDSEVNDSLDPLGI PGGQHLRLRVRPCLVGDGYVVLAAMHPDGTAKVYDLESRKGHEIDIADQVRGLCLDSE VVEQHIPNLFKASKPDVGLYSVTVAAFLAADMDIQFGFPDMFGWLWRNLYSKLIGSHE TFNDNEVWLHPFTSVADWTEHFKLDNDDKPALIRDLESSKTTIDMAAYPKEFQTWAQN LRSEAQNYVDELQDLADGIHWLHDSCMLQEEILTTKGQLGELADLDEEADQLSDATDR QLIRAMIGDCKAGKQQAIREALTRAQEHNLWPVPQREGFNVMSSVCDTIRGHLEAIRE DVTSISDSFGKTAEVFRDFFDRILINA PFICI_05169 MGFRSKIIASSFINAALAATSTISATASVTSIFLPGFDQQGLVA SVITAAPTATAYFVQCNPNAVVDCGLGGGVTLTEGPSTFEVSINSTDMTISEACQFSS NTASCTYSTPGGEPAAESATGLQAMYLNVTITAGFEALASASSISAASVASISSVSAA SVASISSVLAAEAAAKTADVLATATGTATVAATASSTSSSKTSAANPAETDNGMGSAG IPGARRDIGGVAAACLIAAGLLAM PFICI_05170 MFLKLIIAGAFLARVSATLPWELDITDGDSIRNVASTIAHGAMA LYTGNITNTADTIAVWPAPIYWWESGAAWGAMLDYYHYTGDATYNDVAIQAIISQLGP NFDLMVPLHQGDEGNDDQAFWSFTILEAAERNLPQPNDDVPSWLDIAINIWNSMVVRW DTANCGGGFFWQIFESNANGLHYKASVSNGGFFQLSARLLRATGNETYLEWAQKVWDW SEAIGFVTDAYGSGALDVLDGADISDNCTTVNQLSFSYSQGIYLYGAAVLYNYTNGDS TWGDRVSRLLDGTNTYFTPYDNATDIMFEHACETVDRCKTDMLSFKAYMSRFMWATTQ MVPSTFSTISEKLQTSAMAAANACCGGVTGSTCGFKWYVGGFDGSAGLGQQLCALEII QGLLVQEAASPLKAGEIQHVVGQSTTETTVSNPSATSGRSDATTQSTATGQSTATGQS TTSSLSPASSLSSASSLSSASSPSLASLPSSSMVYGQTTMSSTGPSIRVLSSTSSSLT STPTASPAVVSENCVAKLGNPWLGLGLVLAIMLRWH PFICI_05171 MAPFNLQTCARPNILALEPYRCARDDYKDDGTNVLLDANENAYG PSIPASAAQAVSSSLASPSSLGANGPQIDLLGLHRYPDPHQHDLKQLLCNLRNTHHHT DKAIGPEHLFVGVGSDEAIDALLRCFCQPGRDRILVCPPTYGMYSVSAQVNDVGLLKV PLLPAPGFQLDVEAVAAALSAEPNVKLAYFCSPGNPTGSVLAKEDIRRILEHPTWNGV VVVDEAYIDFSPDGASLAEWVAEWPNLVVMQTLSKAFGLAGIRLGAAFASPEIATLMN SLKAPYNISSPTSALASYAVSADGLATMRANREKIVVQRDRMIAELPKIKGVGRLRGG VESNFLLYEMLNPKGEPDNAIALAVYEALAEQKGVVVRFRGKEHGCLGCLRITVGTES EVTRFLAALEQALAEVYGERKIFGAQATVPANEAELEKEANGVVA PFICI_05172 MHSHNQQERSFSKASSEVVRSFMARTYHSDINLHQIGDEKFVTK TSRHHSEGDSRARQGFRNEVIALKVANEHNHIAKILAFDHWHMSMRLRLEAGKSLNEW ADAANISILSTAECGMICKQMSSALAYLHALPIIHDDVKPDNIMWCRDLQHAVLIDFD AAIVMLPTNFNTSGTPNYAPPEYLLEYKSEKGDIWALGITMLFAFGYVPLPNGDWILP HALARESGPYEKMTEWLAQVEALRAGLIKANPLLAEMLNSDPDRRVNSRELSQRLELP PFICI_05173 MVAIKHLLAAVAVVPLCVAAPTTSSHVLHEQRRSHDRVWKRSSR LDGSAVLPMRIGLTQSNLEKGPELLREVSHPSSPRYGQYLTASEIHELFAPAEEAVNS VKEWIASFGVEPSRIIHSDNKGWLAFDASASEVEAMFDTEFYEYSHDETGKLRVGCDE YSVPEHLLPHIDFIKPGVKMMPVTKRNVPSPGHKKRFSRGPKKPAGRVSLKESPFGSW DFKAAAAQTLPDDLQGCGQNITPPCYRALYDIPIPDGAVPGLGVGVYEQGDYFAKGDL DESYAAYAPWVPEGTYPIPALVDGAQYDFPQNATDWVGGEANLDIFIAQALTYPQNVT LYQVDDQIYEPEEVATTNLFNTFLDALDGSYCNYSAYGETGDDPDIDAVYPNPDPAGY QGERQCGVYEPTPVISISYGQAEADLPINYTKRQCDEFMKLGLQGVSIFVSSGDYGVA SFPGDGSDNGCLGPESTIFNSQYPNGCPYLTSVGGTMIYPDQTVLDPESVLQVALSGA PNFSSAGGFSIYFETADYQKSAVQFYFDNYDPSYPYFEEFDPDFDTVEGLYNRIGRAG PDVSANGANLQVYTNGEQYHFYGTSLSAPVFASVIALINEERANAGKGTVGFVNPVLY ENPDVLNDITNGTNLGCGSDGFQAVPGWDPVTGLGTPNYPKMRDLFLSLP PFICI_05174 MVHLEEITDEVAEKLQVSENSNSSSTPPPSQPKSANILPSKNVV DSLPENPILTKLRAAAEAGPSAAGPALSPARAWTENKSVDEVVAELKKSPLFMTDLEE NDDTEALRALAYEGTPLEVASDFKERGNECFREKKWNDAKEFYTKGVLVLAAEERKRR GYDLQGRKIDPSTQEPDSEEEVAQQRAVLESLYVNRAACHLEVKNYRSCWLGGAAALK LNPANVKALYRSARALLAVDRIEEADDACARGLALDESNKALQAVARDIIARSEHVAA QRRREAERLAREERRAALLKAAIAARGIRTRSTDKPPEMEDARLRLVPDEDDPTSSLS FPTVLLYPADLETDFIKAFNEQESLADHLSYVFPLPWDQKREYTLAGVECYMDTVSGG LIKVGKKAPLLKVLSSDKVEVVDEVVRIFVLPKAKAESWVQEYKSKKAAERGG PFICI_05175 MLSVASLLNPAPPVRPSAHRLPPSPVSSASPPASLSDESALCDR SVMPKNKMPKDAAVFTKAKPKGVINFRPFEQLEESSMREIRKYRVFPLGNIQEYCRHI PYNSGKKDFYEKTGRESFEVFQYVFKLPRDNTEYAVMWDYNVGLVRMTPFFKCCKYSK TTPAKMLNLNPGLKEISHSITGGSIMAQGYWMPYHCAKAVCATFCHHIAGALIPIFGP DFPMRCIPPEAPEHGRMIIDPAIISESTREAAHFRQMYSNMMAPTNSPSPKRDRKVFK SVYDDGRHHPRLRLRRPFLSYESPYGTDTEGEHSPVTDRNIPERFNYASIPPIASHRV TSGWSPVNIPSHRQEAPAPSPWLSAVPRITGTPSYSPSHAQHTRVYSDHPQHTHLRIH PHHHHHHHHPHHHHHHHASPSQHTQVHERADGQDHQHWRHAKRSAEHIDPEHGYDGDE SRNTTAPSTAATSPLEDRPSETSLGSDKNAAMLLMNLSVRDTGSKRSGRCEGAGTASE TTTPVDVSFPRVKRSRASSM PFICI_05176 MDSDIMDDSVFDDDVENDSDAFSPEPKPKAKAKPAVKKAAVKAA PKKMVQTTLKTTKAAPKKRAKPTSDDEGGDDGNNSDFSQTPPNAKKQKKAPAAKKVAG KPLEEIENDSMMLDGTADAAPAKKKTATETYQKLTQLEHIIKRPDTYIGSVERTEQKM WVFDSELNRMKFRDVKFVPGLYKIFDEILVNAADNKQRDPNMSFMKVSVDREAGQISV ENNGKGIPVEMHEKEGVLIPELVFGHLLAGSNFDDDEKKTVGGRNGYGAKLCNIFSTE FTLECQDSKNGKRYKQTWRDNMGKMEKAKVTSNKSADFVRITFKPDFARFGMPDGIDD DLEALIKRRVYDMAGTVRGVKVYLNDTLLKMTFTKYCEMYAKAIAEERGAEEGQEPKA TVILEDSKGHPRWEIAFAVSDGSFQQVSFVNSIATTQGGTHVNYIADKICTSLLAHLN KKKKGHALKANHVKNHIFIFVNCLVNNPAFTSQTKEQLTTKASQFGSKCELTDNFLKA IAKTEAITNIIDFAERKADKLMAKSDGNKRSRINNAKLVDANLAGTKHGHECTLILTE GDSAKSLAVAGRAILDPDRIGVFPLRGKMLNVRDASIDQITKNQEIQNIKQFLGLKHK THYEDTKGLRYGHLMIMADQDHDGSHIKGLLINFLQVQYPSLLQIPEFFREFITPIVK VWQGPNPKKPQKLMSFFTQPQYEQWKEEHASEVRKWHYKYYKGLGTSSNEDAQVYFTN LDDHLKEFNVMQPTEAELFELAFSKKKADARKEWLGSFVPGTFLDHSTRRITYDDFVN KELILFSMADNMRSIPSMIDGLKPGQRKVLYACLKRNLIKDKKVVELAGYVSEQTAYH HGEVSLQQTIIGLAQNFVGSNNVNVLEPSGNFGSRLAGGSDAASARYIHTRLSPFARK IFSALDEPNLENNVEDGKKIEPKVYAPIIPMVLINGGEGIGTGWSTSIPNHHPMDIVK NLKRRMGRLDDSTEELPFEPMMPWFRGWKGTPREAGLNRYQFDGIAYPDDKKKNEILV TELPIRMWTDDFKARLEEVIKAEKSPSWIKDYKEFNDHKNVHFEIQVDEKVLPTIDTP EKLLEKFKLTKQVPTSNLVAFDTRGMIRKYEKIEEIMEEYYVFRLGLYKQRKAHWLSV LESEHRKLSNQARFIKEIMDNKLVVNRKKKQVVVDELRDRKYDPFPKGSETKKAKDDE DEIEAEGADEVETETDGDARDFDYLLSMPIWSFTQERLDRLKDQLAKKQAEYDELEAK SEKDLWCTDLEEFEVEWENQLRLDAEISTNIRRMGRRASKKIGAGGGRGRKAKGDDDD YNPTAKSKAAAKPKVTVAKVVPQKTHTLFEKRFNAKKNLDGADENSDGFSDDDFAALK SKPAKKAAAPEPVADGSDDDFAVLESKPKSKPAKKVAAAKPAVEDSDEELVVPAPARG KRAAAAAAKPVVEESDEEEEEEEEEEGEEEEVAPAPARGKRAAAAKAKTWIIDDDDDF KSSDDDDNMLGDVGALVKGIPGTTGDSGDNKTGRVSLFAMSRPDHSHEGTSSLKVKSK INNKHLDLDSHDDTNYEMLAKPSPHKSPFARNEVDSFLSDDDLPVSKPAVKASAAKAK KSASPTDEPKPVVKKARGRPAGAKNKDKDEAPAPKKKATAVTKPIQLSPAAKLYAKKT AEKSRAKKDAFDMDDDDEDEDMADSPPPRAAGRGRPGRAAAAKKKPVYVVDDDESEGE EDDDDRMEVDDEDNGGQDDESDAFAMDDSE PFICI_05177 MADTAPAANGGSVDTLKGNAQAAYDQIANGPVAQNVRDQSAKTS SEFSNLAAARQTPSQPAATGQPLTHYHSFFSELLSWNNPRASGIAFASVVSFIFAVRY LDVIRYAFKLTWMALGVTILAEVAGKTILSNGLASQLRPRKYYTIPRDTLDALIGDVH ELVNFFVIEAQRVFFAENVWASTAVALSAFLSYYLVKIVPYWGLALIATTITFFAPLI YTSNQELIDHHLKQASDVVNSQTEQLRSVASKHTAQATEITKQYVGDYTAKAQDMIRG RTASPEAPVKPAPQETDFPAAPQGDFAKHEEPVLEKKEPVKAEEEPLIAA PFICI_05178 MEPDPRSLEKSEMAFPVDIKSPVEKEHPTMAGHDSMVTVRLSEP PSLSVRTDLPVWPSRRSLFGPEYTPTPTSATSLKKLGLEEKVKEETVETGEDAQEEKS ERAASQSEESKDDKSKNLSEELDDAAGSDTSKENTSGSRESDDYSEDEDEEVDWEKLQ KSEDEQAQKDDNSTAMLLARLEEENSKLASNPKGVKVKVVEMPTVRRPRPPSMAQLRD MVNGPTPVALRYSVLPPPPMTDMEFYVALVKDYQQTAARLPTLLSRKIRKGIPPPLRG VVWQSMTGARDSLLLEQFEALSGESSPYEGIIGKDLGRSFPGVDMFRDSDGDGQRMLA RVLKCFSLYDQKIGYCQGLAFLVGPLLMHMPDNQAFCVLVRLMDHYDLRSCFLPDLSG LHVRIYQFRELLHQMLPSLSAHLEEHQVDPAYVSQWFLSFFAVTCPLPMLFRIYDVIF AEGASETIMRVALSLMRKNESRILACTELEDVMQLLLSRGLWDCYNYNADEFVDDFVS LSLVVSREKLAQLELNYREAQVATANAARTSDVTTAASRFLGRLWATTNSGSSTKSAF GALSPGLIAPSRPLSMLRRSTSKQSLASTLNSMETSSASVLSSASTDATSRASSNADA SSIRESTPTGPKQSTIGSSAATESKALHSQIEDLLMAFSELQRNHALLATELQKEREE REEERKAVKSLLQGFKKSQEGEDKDNVVTEELARLIEGVEDRFVEEERNSQGPTSTET KQELRDELARAKEHLMIEVSKTQDFNRRIDDLGQEAQTLKDQLRESHAHVRNLHQDKQ RLEKQVQDLRTRVASADTQLSSSPEASGWLTSAANLAMGTVVSATPAPSGPTKGGLRE LKLGRSKSTPNSRDGFGSRASSLARSQTEIPHQPSANEHETLLMDLVQAKTSEAVARQ EADELKQKLESLKKAYGIPPGETPGLATGAANAAMGMFGRLTGGGNVDAGAKSVDAPV PGVQRSASSASTNAAGFWGWRR PFICI_05179 MYAANYGFPNGAPPSQNPQMQGQGPNPNQPPQQQQQQQQQQQQQ QQPPPPQQQQMMYNHQQFPMGAPGGAFPGGPNANMMSGAGPAGGMMQSAGMPHMAAAN GQMPNYPTGYPNNPYGAGVPTSVAPQMNMPPNYAMGGGMPMGGFQMHPGQMTPQQQQQ MMQRMQHPQQSPGGMPSNTPQRQFPGQGQTPVPQSTPTPNNSLPSQQPQFSTPQATHP SHQSQTPNSALQQPQQPLGSNIQTPQTPTFPSNVQGAASNGNSNTGPLSPGAEQREKD RIAVILEINSELLWEASQVQNTMLAIKNEKPPAANGTPNDTEKTEEEKLLMQDFTHCM RRLQGNLAYLAHLADNKKAASGQAAAHPSYMKPPPLSIKVRIRAMPPPDGSEGKTVEP ANRDDTIKYFNELYTKLQHLYPGVDYNKEPALPPPGARPGPQGPNAHKAMSSQSNIQM ASPVPGKQPTPKLATSGPPQMPFGQPMNGPPA PFICI_05180 MASAEAPPPPSEAPVLPDYMTDQNAVLGDSQAAWRFGKPPDYTN TRKVFTETKQQSHAPGSLEDLVQNLVKNWEIEASFKTAVSDWRTVDPETYTFAINGGP AQSAESMVKIGTYNAVIAPNEYYSPRHSDFASSHKTFKRMMPTFAWEVLEVYSGPPVV AFRWRHWGVMKEDYVGWNHDGEKVTAKAHGGPIDIQGVTVAHLSPKFQITKLETWFDP VEMFRQVAPEGVVKKEMAAAAAAAGCPVMAHQAEANAILAAAGTTDDEALDAAREADK AIVDGQERLGAETTASEANPVHGEIPKANAE PFICI_05181 MSDTPSNNNGGSSSSSNDGTKPVNKAVAKVDLDGHNLPPSPAPS SPSAGRRRYALATELVYTETKDQYGASSIPIYQSATFKQTSAGGGEEYDYTRSGNPTR THLERHMAKIMNATRALAVGSGMGALDVITRLLRPGDEVITGDDLYGGTNRLLTYLAT NQGIVVHHVDTTTVKKVEDVISDKTAMVLLETPTNPLIKIVDITSIAKAVHEANPKAL VIVDNTMLSPMLCNPLELGADIVYESGTKYLSGHHDIMAGIIACNDPALGDKMYFTIN STGCGLSPNDSFLLMRGVKTLAIRMEKQQANAQAIAEFLESHGFKVRYPGLKSHPQYD LHWSLARGAGAVLSFETGDIKLSERIVEAAKIFGISVSFGCVNSLISMPCRMSHASID PKTRAERQMPEDIIRLCVGIEDVNDLIDDLSRSLVQAGAVTITLDGFQATEAVQTGGA PAS PFICI_05182 MSSRLFNNTERRTNGTADNATDAAAGSKPRMTSGHSFNNKGEFH GSRSIDSPQSGYSSNATSTAQTAHKRSASGTSRSRSRAATTTDERRTEKVTVTTREKL IARTKSPERRVAKDSGPQDKWRPKESVRPRTAEGKQKEASFESPSKPWDPVASLAPHT SAPLANRILNPPLASDMPAALQPQPLHEMSLEAQEAAIIEDLLFVFMGYEGQYIRFSY AYKPNEERDQLTGPSYKILPGLDPSLEDLTQGMLKMASQYSALEYFVDVQSREEFGAV NHALCAAIRKLLQEYLVMVAQLETQFLTNDTFTLHVLNIHTLPTSQIMSQLYSLAHEF LKRNALLDEESEEESDPDDIGQILENLRKGGDIVPGMTGKKVCKGGVVIGLVTKRLET MSGDPAARSLLTSLLRDATRPYMAMLNEWLHRGGINDPHSEFLIKEQKSIKREILDKD YTDDYWERRYTLREHDIPPQLLDVKDKVLLAGKYLNVVRECGGVDVSKVAQDLPLTFD DPRFLDNVNNAYAYANESLMKLLLTTHSLPDRLRSLKHYFFLDPSDYFTYFLELGASE LRKPVKSVNTVKLQSLLDIVLRQPGTIVSMDAFKEDVYIEMNEVSLIKMLQRVVNITG MEQGEALQPLSNQPVENDKNANGFTALQLNYTVPFPISLVISRQTIWRYQALFRYLLS LRHLESQLSVTWQSHNSGIAWCHKSSSRNLEIWKRRVWTLRARMIVFVQQLLYFCTLE VIEPNWQSLMSRLKAKDGSLDGSGQPDRTVDELMQDHIDFLNTCLKGCMLTNGKLIRI HSKLMQTCTVFATYTNWLARELEKADPDLSGTTRPPNMSEEQWKRFQQVKSQKSSSHN DSIANSTLHDEDARINNLFEIIKKWEVNFSRHLQILLDALNHYAATETVVLLSLCARL ATANQGTEYYGLRHEEDLVA PFICI_05183 MFMARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATSE GVVLGVEKRVTSTLLETSSVEKIVEIDRHIGCAMSGLQADARSMVEHARVESQSHAFN FNEPLRVESCTQAICDLALRFGESADGEESIMSRPFGVALLIAGYDEDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHKSLTITDAETLVLKTLKQVMEEKLDSKNVQL ASVTKEKGFRIYTDEEMAAVVERLPAN PFICI_05184 MDSSDSPSPPQGPSSSNNPPEDNPPNNDKANDDPAATKAKAKKR TKTGCLTCRKRRIKCDEARPTCANCIKSKRHCEGYNQRVIFKDPLGAFGSFGPLAYPQ PSPEALIREQQAAQQKSSSQSLQIIAPKPPSPAYYPGIIPQFSSAYPSQFPPGAPLPL NPLNPAAGLNGSQLPTGSGYQFFTPKTSGPFHSVQWRQEQQQQQQQPPPATIQPPFPN LPPAEPHFLLQQYQPTNDGFIIQNPQHVAPSQTQGAPLEPDVWADKEAEEYEYETDME DSDDEDMIVQTRQIQLNSIVSQRLNDRYDSSGFQPRTFAVHAEHVLATYEPSPANSPL NDKQIAAVFWHFVNVTGPAISMYERYPFDGTTYLQGSPVKTRQHIWTYTLPVLSLTHP ALLQAILAIGSLQIAKLKLVPPTASLKHYHLSLRRIARNVGLVSKKTQSANLAAILIL AFYEVWSSDHGKWCKHLLGGRWIIKDIPFPDMSRKVMDIKRRLRKKKVLAMESRLQQT RLGDDVGPFSTFGVFDHVFDQQPDPLQGEVDPLYHDWDLIDVPLLNAITGSNLDADTF GFVPEEHSRYPRSPGKLTERDLDHYETLSDLYWWYCKMDVYQSVLGGTRLFMNYDLWT QCPPRAPMGRSDAIYGTYDHLILVMGRLTEYQSRDLSRKRRFFKARGTFGPTGAPPGT FPGMMPASEKVQHPMGFSPPRDDPNSPGSNPEEQDLDQLTASAHQDWAGIQGAFEAFR NHLGPEFEPLEPDLHPMSMSPFGPALRYRTYSIAGIWMNYYMGMIILHRSHPQMPPVA MMAGPMSAQQTMGYALKIAQIAHGLEENVGALSEVSTLVSAAFIESAFCLFVAGIQYQ STEQRVWLIRYLNDITRLTGWESGREISNGLENAWWRAAANGRGPPWARTFDIEAQMP GLTNPGEKRRAHSPRRLVKRIQEIGDEKTWVVAKEDKANYAMGLLGVQEDLEKLDLDS AEKDE PFICI_05185 MFSVPGWSVSAASLQTEGAKDVKGNTDKPADKAGPNKRKRQSGP SNVNPANVADLWESVIEGKSGKKAKLDEAGGAPTLSRKEKKRANKEAALAKQAENGGD AAVIQGAAAKAGGKSSVKDDVAVPDPTSKESGKESKKDKKKKQKKSKGEEAEDDKQPE SPETKKDVNKAAPVTAPAPLPKLTPLQASMREKLISARFRHLNETLYTRPSAEAFGLF EESPEMFQEYHEGFRRQVNVWPENPVDGYIADIKARGRQRQAPKDGGKSGRAGPVAPL LRTNGTCTIADLGCGDAKLAETLQADRKKLNLRVLSYDLQSPSPLVTRADIANLPLED GSVNVVIFCLALMGTNWPAFIEEAYRILHWKGELWIAEIKSRFAAPAAKKGKPVTHSV GNRKKQQQGKKGGKGDAEDQGANEEALAVEVDGAEARKQETDVSAFVEALRKRGFLLQ AQGGRDAVDLSNKMFVKMNFVKAAPALVGKNIVADKEPARGGAKKGAVKFIDAGDSGA VDESSILKPCVYKIR PFICI_05186 MTIEALRSSIEAEGIPEPTQHIYHNGQLITDKSKTLQDLSITDG DMLALHIRDMRGSAGLAAAHAQQQHQQRGSAAGMAQDPELIRLQVLGDARLRAELQRT SPQLAAALEDSRRFAQLFRELADQQEEARLSRMREIEQLNNDEFNPEAQARIEEIIRQ QGVTENLQNAMEYNPESFGRVHMLYVDVKVNGVKVKALVDSGAQATIMSPSCAEACHI MHLVDKRFAGVARGVGTAAIIGRVHYTMLQLGSIHLPAAFTVMEGKQVDLLLGLDILK GHQATIDLARNKLIIQGEEVDFLGEADVPKETEEAVNEEPTVQGPGGTTIGARSGAVT AGSSAPPARPAPAAASSHAPIHTPQSTAPPSARQPPQQAATTFPESDIQTLIDLGATR QRAIQALQFTGGNVEFAANVIFQEM PFICI_05187 MPSSPANPKVSQLTKAVFHRHKLRRFPNRMQDSCAKKEEAQRSW WQGLRQVPRPTVYHSTEGTPATTLTEAQARKEEVDNSTFVDLVMKPKFTRAPITEAGR VAFLGESSNLTLLVHDRQGSSDVVHYPLPDNVRGSRARLTELDNIEIDILHQRGAFLL PPRALCDELIEAYFRWVHPIVPVVNRTRFMRQYRDSKNPPSLLLLQAILLAGSRVCTN PQLMDANGSTTPAALTFYKRAKALYDANYEDDRVTIVQSLILMGWYWEGPEDVTKNVF YWSRVATIVAQGSGMHRTVEESQLSKTDKRLWKRIWWVLFTRDRSVAVALGRPVHINL DDADVEMLTEDDFIEDEGDYPSPYPPDPIHVQFFLQYVKLCEIMGLVLSQQYSVASKG RQRNAIDLTHSDMTLADWLQNCPKNVYWEMPRHHFWSALLHANYYTTLCLLHRAHMPP NTHYASKFPDDSSYPSRNIAFQAAGMITSIIENLSAHDELRYCPAFINYSLFSALIMH VYQMRSPVPSIQQVTHDRIRTCMAALKDVSKVWLVGKMVYTLFEAILGNRTYEERLQK AAGKRHHKRVQQTMAQLEQHQQAQQQQQQQQQQQQQQQQQQQQQRPQEAAKRKYDEMA IDFSVNTPTPQESYERSRPQTPAHTRNEHSHPQMGPPGVTSPHTRPGDAFMGGSNSRP HTRPATPFNPSFSVPATPPDLYLVTRNSPNLSQAIWENFQPDQLFPENTMVPQFPQMS PTQGGGGGQGLDPNLMAQFQNMPGNAQMSNAAAQHFQQQRAPTGSNHNNRNQSGSPNQ QHNNLLNPNMVQFQGQGGNIWQGAGVDGLPDGPSPSDSWSTGSAVGQPVPATLNVEDW FQFFGINGNDVNLANLDMGMGTS PFICI_05188 MEVETSAANGTQQHSHSPAQQQQAAGGGDLNASQTSTTPNIQNQ PSFRRWAANAIPMPVCFGFFADFWPFW PFICI_05189 MATVNRFTFLAIAMVFHLVYIYSIFDIYFVSPIVSGMNLFQINR PEGTKAPADRLVLFVGDGLRADKAFQSFPDPSPKTPDDDLTPKPLAPFLRSRVLEHGT FGVSHTRVPTESRPGHVALIAGLYEDVSAVATGWKLNPVNFDSVFNRSRHTWSWGSPD ILPMFEKGATPGKIDAYCYDAEFEDFTSDAVHLDHWVFDHVKELFAEAERNKTLNDAL RQDKIVFFLHLLGLDTTGHGFRPYSKEYLNNIKVVDQGVKEVTEAINAFYGDDRTAFV FTADHGMSDWGSHGDGHPDNTRTPLITWGSGVARPVVQADSVAPGHDEYSSDWNLDHI KRHDVAQADVAALMAYLVGVEFPANSVGELPLSYLSASMPEKSQALLVNAQEILEMYR VKETAKKATQLRYKPFAPLSMGPEDRLQIIRDLIAAGSHEEAIEETAVLIKSGLDGLR YLQTYDWLFLRAVITVGYLGWMAFALTTVLDLHVLQGSTQAQRSLVSVVSFSSVLVVL YASFIASHSPITYYAYAFFPVFFWEEVFARRHSLIKGRQALFGHVQSGPKMANLILGS ISYLGIIESLALGYIHREILTVLFCVGAFYPAVLGVSFLQNHALLSATWSVSCFVMST FTLLPAMKTENVTLILLGGILMVAVGLTYLAFENKILSDFSSVSTPKAQRTENTLSRA LIGAQIGLILLAMYVTRSSALSLQSKEGIPRGNLVIGWVTLITSFLMPLAHRLQPNRH YLHRLLVIFLTFAPTFVILTISYEGLFYFAYFVTLLTWVRLEHYSQKFLKPQPLSNKE QANGNGKPSTESLISKFRPLKLSDARVALFFFVLLQSAFFSTGNVASVSSFSLESVCR LVPVFDPFSQGALLILKIMIPFALISANLGILNKRLGVAPSALFMVAMALSDVLTLHF FWVVKDEGSWLEIGSTISHFAIASLLCVFVAALEGISAIFISGIEVESEASKAKAKRL DEGTAMDAVAELVVGEAIEAPTEKTADINGQT PFICI_05190 MPPGKRGRPALAAKSTNSQLGLHDRGKKRAATDDLEKGDDSELK PKGARGRPRVHKIAKLSDTPDEFSTVEAPERPAAQPAKRGRKPKAQVATPPMEAEIPE TQQVIPVNPIEPEVEIPETQPAGKFDDLDDSLDDEQVEDLPAAYAHASILSSAQRVPQ YMFPPSAMRNQPLVPMSTGRVQYQKLPFHPVGRPMTTSDPSSDPALRRQLGELTRKYE NLEVRYRDLRDIGVKEAEHNYDRLKKQGEERAHTANQLIETLKAQLAAQTELAKEGQR LRQQLDAAETRASKLEDDLAGKSKSLADSKSEVKTLTTRLAAARAAEAATVKVPGSAM KGNVADKRLLANAEAAVQSAQMKEDLYADLTGLIVRGIKRDQDDEVYDCIQTGRNGTL HFKLSVTPDDQSDDLDEVQFMYMPQLDEDRDSDLLDILPDYLTEEITFPRTHAAKFYS RVMKFLTEKLE PFICI_05191 MGDRRRGGSDYIWDIIVFLGMTGTAWFLTKNIVSSLQSTLADPE KEKHEQARIRAKANLQRLQKSRQLENGDDFDESGDGTKAGPRIEDLQLNEYENMVALE VVAPEDIPVGFDDIGGLEDIIEEVKESVIYPLTMPHLYSQGGSLLSAPSGVLLYGPPG CGKTMLAKAVAHESGASFINLHISTLTEKWYGDSNKLVRAVFSLARKLQPAIIFIDEI DAVLGTRRSGEHEASGMVKAEFMTLWDGLTSANARGTPARICVLGATNRIHDIDEAIL RRMPKKFPVALPDKSQRLRILQLILKDTKTDPNNFNVDYLARITQGMSGSDIKEACRD AAMVPVREYIREHKASGHSVSSIDPKRVRGLRTEDFFGRKGGGQILMRNHAQAKSSSD EYEDVDEEEEVQDAVRTA PFICI_05192 MSNLSRTSSNNNVSSISSTALMPAGVETSATSRPRNRRAPNSAA DRSASASHLGTTATPTQSRGASPLPSARLSSSSKLANSGRSSPGNASFGKGLLEGTWT PSWTSVQDFASSLLSGGESGYNSDASQPNSRSASKSRAKTSVWKTFGGGGSNNSAGSR QLPDSWGPAPPKRPSRPRAEDIASGSVEQREAALKAMKTASVLESYDGVNGGLDVAGK YKRRNSDEGASRSVSEPPTEEQLAYLHHVQPTDTYAGVVLRYRCQEHAFRKTNGLWSR DNIQVRKHLMIPVDACESKGRPCDPPNFYNNQNVDHLATTPQPESFLQRSPGEASQPQ VVHDDFFASRNSQTQSLGEEEQPWTHVRWVKLDSVEHPVEIVRVSRKSMGFFPPRRKK SVHTVSAFSSPRQSTELSRGASTISNDRILESPARIADRRQSSLSSRPNFASLGASPS TARSRGNSVGQNDNVPTWMRRPGGVGTMSRSINAPGPAKDSLNTWVNKRLPKGFSIDS LPSMSVMGSESAHWGFTSKPEDDAPTGIVESPFEDGRDAAIVNNTQGLGLEQAAATVE TWLRSAWSKRPTTPMLGSKKPTDELDLIELTDTNSDDGRPRTPLRMPEANPLNSGYFG STARDEGEGNVRGRPIGPKGKKTD PFICI_05193 MPICIECRHPVKTLWTQYSGAGDPSSGHNIRLTVCKNCGRFCDK YVEHDFVVLFIDLVLIKPQVYRHLLHNTLMRDHDQFDPSILRLGTLLLLFDVYLTWAR IEKQSAPAPGSQGNLGSLARQPIVFQYMFFLILCTFSTLAFHLSIRFLTSSPFSPLNH LGLMRTYSRPNSVSTALLVSSSTKLFPILMVIWDYDVPAAARSLGWAVVLNNVEALKI LLDCGYPAALLLAAAGAVSRWVVGRMILWMVGLEGVDGVGESGVAADGRALLAAVLYI RDWASSLAVG PFICI_05194 MLSNDHAVESNYDAFLASGDVKGKLFVDSSTIHPDTTDRLGKKV TEAGAEFVACPVFGAPPAAEAGALICVPAGPKAAVDKLRPYLTGVMGKAEIAMADRPY GAATTLKLIGNTFVLNIVTQLAEAFTVADKSGVGVEPLKQFVDNLLGGIASAYGDRML QGTYWKMEEPLWSADNALKDATHAQSIAKSVGSEFKNAATAQGYLDDVKSHAGGAKGD IAGIYGAVRARAGLKFENDV PFICI_05195 MAPQVFFIGLGNMGHGLQHCSKGQIGQAVAHLNRTQKRADDLSA KIGGSK PFICI_05196 MSSLSKLLLRNASRRSGNLSPMMPQLSSPRVVRRELHLAPPFLL DDYIPRYQLLSSVDAAKKRSKAYAHLRNCNLCPRLCGVNRYETTGMCLIGADVKVNVI APHFGEEPCIQGHNGSGSVFFSGCNLRCTFCQNHDIAHQRNGMDLTPEDLAQWYMKLQ ETGGVHNINLITPEHVAPQVALSILAARDLGLRIPIIYNTSAFDSLESLELMDGLVDI YLPDFKVWNQATSKRLLKADDYAKTACESIKAMHDQVGDLCFTPDGLAKKGVLVRHLV MPGKEEEGKQIMRYLAENLSLDVFVNIMEQYRPAAHVGKPRRRKITEPGGLQADAKDS DDVRYAEINRPVTEEEVGSVRKAAEQAGLWRFNDPPRHAGFAI PFICI_05197 MDTIDPATGQPLPSDSLQRVLFITNTVHVYNIPPMVPGKGHVAA TWTADGNKRQIFTARLRVIETSIPQPDGSEKVKTDIVLEDGKTGQLFAAAPYTTQAVV ESVSDSSRFFALRVQDEAGRKASLGIGFEERTESFDFGVSLQEAGKGLGWDHGGSAGK KPAVEKKQSEDKRDYSLKEGETITVNLPGRFQRRRPDPEPQSNAPLSSFSLAPPPSAS ASKGSGILPPPPSAPTVRVQQSSSQNTQSSNQPSAAELGFDDGKFGEFA PFICI_05198 MLCRQHLSRQLAVRGTSRYAHRLSPSSISRLYSIHTIAPRATSE RHVACSALTTAARQSTLSSASFSTSTARSKEKDNDFFDQKIEPLSEEEVKANLKEQNK SEELAAETSEAKSTDAPSSGANEQGEAADGKGSSAAGGASSGSGNDGSGDGGRKGRKS GTDKALQKPVVPEVYPQVMAIPIAKRPLFPGFYKAITIKDPQVSQAIMDMIKRGQPYI GAFLFKDENADEDVIRNPEDVYDTGVFAQITSAFPVHGETTSLTAILYPHRRIKLSTL IPPGAQDTKETDAPAEPAAEPEPIPPKTDEEIQREKKGDVVASFEESAVAPKPETQAE KYEPTSFLRKYNVSLVNVENLAEEPFDPKSSVIRAVTNEIVNVFKEVATMNSLFRDQI STFSMSSSTGNVSAEPAKLADFAAAVSAGEPAELQEVLASLNVEERMQKALVVLKKEL MNAQLQSKITKDVEQKITKRQREYWLMEQMKGIRRELGIESDGKDKLVEKFKEKADKL AMPEAVRKVFDDEINKLAHLEPAASEFNVTRNYLDWLTQIPWGQRSAENFGIQNAMKV LDEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCFVGPPGVGKTSIGKSIAKALNRQY YRFSVGGLTDVAEIKGHRRTYVGALPGRVIQALKKCQTENPLILIDEVDKIGRGYQGD PSSALLELLDPEQNGSFLDHYMDVPVDLSKVLFVCTANMTDTIPRPLLDRMEVIRLSG YVSDEKKAIADRYLAPAAKELAGLKEANVTLSDDAIDELIKSYCRESGVRNLKKQIEK VFRKSALKIVQDLGEEVLPESEALTADGKAAFEESEKEKEKKDRDPVSSEATEQETTE KPRVALSVPDSVQVTIDKDNLKDYVGPPVFTSDRLYDVTPPGVTMGLAWTQMGGSAMY IESILQSALRPSSNPGLEITGNLKSVMKESSTIAYSFAKAFMAKEFTDNHYFDKAKIH LHVPEGAVQKDGPSAGITMATSLLSLALDTAVNPTVAMTGELTLTGKVLRIGGLREKT VAARRAGCKLIVFPEDNMSDWLELPENIKEGIEGRPARWYSDVFDLVFPDLDKEAASK CKICEWKKEHDAKKDSDDK PFICI_05199 MATQADPSSHDPTPENHKRLSTLLRRFLGRNNAPLTPEGVTEAS QALPPETTGGDESNVSRPSARRVVPGLPRAKTFKRQQSELRERLELNKPTQAERRAVS VDRRGTHLPRDVSASRSQRLPRASAPDFFDDAGSTTTAGNDQSALHSPMEEKQFLNVP DTGIVHVDLAAQKESSAARFPSREPSAADARSVTTSAYDTQIRDELESKWILNLSMHF RDKSRREKFFVTYREKECLWRRVTISLDYRDAPSDSLEGDLQDTKFQREKSAKIYEAI RESLQDIQFYDTVTNLKLQTTNGRLHVHVVEDVNEIIHFPAVQMVQHLGCRRIREKDI IFDSHMSGFVYKVQVDGRTLIKKEIPGPDTIEEFLYEVNALNSLRFSASTVSFYGVVV DDGDTSVKGLLIDYAEKGALIDIIYDAQEHGPDLPWIMREKWARQIVQGLSDIHEAGF VQGDFTLSNIVIDDDDNAKIIDINRRGCPVGWEPPEATPLINNNQRISMYIGVKSDLY QLGMVLWALAMLEDEPEAHRRPLRLDPDIEVPRWYRRLVDNCLSDNPRMRKQATVLLD MFPSSSYENHDQHEPPSISVDDGLSIQQYRVEEHERSGHPIIRTVTPQSDAYASGIGH QSGLTSPGLSEDAFYYPPRGRSPPSPMPSNLDDCDAPFLGRNGTWSDRRNLVEPEESE DAISRVDSKTRMSEITRQLEGSLRTIGSQSCRVSAGEHGGAGEETQSIDLEEPCVPLS SPQIVVDEAGDEDAHEFGPEPVVPRDNVPDKETTNMDPTAVLTLPLEDAKSTDPRGGS QTMNLAPTGVQRQSEQVGYIKERETPLLHQEHDQQDDNYAANYAHVGGSAFPPNIPSE PSTSLQFPASDRPVYDAAADLTGIGTGYETEEFRKSICSDEDLGLTSTTPAPDVTSQA PFICI_05200 MSSDAKAPVGTPVIDGTKDYKPLRGKGYDLKKPHITETPITWGN WYKHVNWLNVTFIIGVPLAGCIAAYWTPLHLYTAIFSVVYYFNTGLGITAGYHRLWAH TSYKASTPLKIYLAAVGAGAVEGSIRWWSRDHRAHHRYTDTEKDPYSVRKGLLYSHLG WMVMKQNPKRIGRTDITDLNDDPVVVFQHTHFIKCVIAMGLVFPMLVCGLGWNDWAGG FIYAGILRIFFVQQATFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYHNFHHE FPSDYRNAIEWWQYDPTKWSIWTWKKLGLAYDLKQFRSNEIEKGRVQQLQKKLDQKRA ILDWGTPLDQLPVIHWDDFVAESKNGKALVAIAGVIHDVTDFIKDHPGGKALISSAIG KDATAIFNGGVYLHSNAAHNLLSTMRVGVLRGGCEVEIWKRAQSENKDTIVVNDSTGQ RIVRAGDQVTRLTQPISSAEAA PFICI_05201 MASGNHTYGKAHHSPRASTTALGKRRSHSEEIPQHKADLVWRKQ YTRDDNDLDQIQHEEDIFIDKYKGFHPPNNFANGSPAASSAPAPNMPINPALLLNPKG YAAPTKPPTTAQSEETNGNANSSDMRFLDFQFSSPNDGTGSSASTPSSFFPQDPDSAI HSHAHTPVNGFGNMFERMNNVQARTSMPQIKRRKVDTDGDDPTSSGFGGGGGGGSGML GQYVKDKREEAAKNPALQRVDTVDLSLDDDGDILEVIDAREQEVCFGQLQNARINFDL IPSPKPGQRALNDQWWPQVKIMLSRRQGDPTNVILAKDHTRQVVGRVDGQTALGLSPL LDSAHVTLRTESKLPSRPRRPGEQVGQPVSLALAFNCILYGPRKSSRPVAKHLFSKGL KLIHPTMVEKGIKYENPMAGDIRQQAPPVRAQFVPAPAAISYPQISRTVEEVRSEVMG VFDSLTRSEDLPEMEPDARITSELLPHQKQGLYFMTMRERPCDLNGNGGNAINTFWRV RFGNNGQRAYHNVITGQTIQRPPSETFGGILADMMGLGKTLSILSLITSSLDAGEEWS KRQPEQPPPPPALKKNGHSRGFDLPAPTPLALTTPILNSRATLLVCPLSTVANWEIQI KEHVKPGTFKYYIYHGPNRIKDARKLADFDLVITTYGSVSSELRARHRGVKGPHPLED ISWFRIALDEAHMIREQSTLLFKAICRLQANRRWAVTGTPVQNKLDDLAALLAFIRLK PFDNKTTFTQYIVQPFKACDTEIVPKLRVLVDSVTLRRLKDKIHLPPRKDQIVKLQFS PTEAKLYRLFEQQAQDKVEVISRGQDKLIGGRTYIHILQSILRLRLISAHGKELLNND DLQAVQGMTQESAIDLDSDDDNDAQKAALSEARAYQNWDLMVQTNSDGCASCGKSLSS AGEESDVENEREENLLGYISSSCFHLFCPSCKPLWDQWTRGQSLGTCPVCQKENANLR LVELRKDKAEAEHESHHATGSSKEKSMNTDGYGGPHTKTQALVEDLLKLQEKSNACPE EPRFKSVVFSGWTSHLDLIQIALDNAGIVYTRLDGKMTRIARTAAMDRFRDDPAVDVI LVSITAGGLGLNLTAGNNVYVMEPQYNPAAEAQAVDRVHRIGQKREVNIVRYIMENSI EEKMLALQDKKNRLANLSMNRGKAMDKGEAAKQKLMDLRSLFR PFICI_05202 MDTQTLGTERLTTEVYLTTLSSAEADQRGRKRRRSPAPFLTVTT RQVPSGESTTFRGRSRFRSTSRLDGSRNVSRMRDASLSPTRRKILRMARLIARHRSQS PSRSRSPHSQELPKRRRQRTRSRGREHHPHEHAAVAEHCSGLRHEIVIKTEAVADKQN GLG PFICI_05203 MASTVEKVADVASDAVNDVTNALSNTSLTGKDENKDAAVHASAA EGRRLYIGNLAYATTEGELKEFFKGYLVESVSIPKNPRTDRPVGYAFVDLSTPTEAER AIAELSGKEILERKVSVQLARTPTSAGEKTESAANGEGAEGARRKASGRGRGRGRGRG GRAGRAGRSDGGNEEAPATEEAAAAPAEAATTEEVQPLSDITNKINADSSKTAKDKQD KNRPAQKRERGPPADGIASKNKVMVANLPYDLTEEKLKELFAAYEPSSAKIALRPIPR FMIKKLQARGEPRKGRGFGFVTLASEELQQKAVTEMNGKEIEGREIAVKVAIDSPDKT DEEANIPAESESKPEETDAAAAPAATA PFICI_05204 MDAFKQAEIERMRLGGNQGWRDFFENHEDTKMMGLSWDDATIAE RYSGQVGEEWKERLSAKVEGREYVPGEKKSAPTQKPAQPKQASRTGTPLQGVATSGSR TASPSRPGVKAKVDDKYFAKLGADNASRSEALPPSQGGKYSGFGNSPMPSQNQGAGQA LPNFQDLQTDPVAALSKGFGWFTKTVSQTAKTVNSDYIQPTAKQISESEFAAQARVAA GNVGRAAQQGAKNAQEGLNRFVDGPDGQRAQGGTAGMDESKRAFWDDFSSVADQRHGS GPQYSSIGTSAMGKANKGAGKKDEEWDDW PFICI_05205 MSSLRILVPIKRVIDYAVKPRVNKAQTGVETAGVKHSMNPFDEL SIEESVRIREKKSAPVEDICVISAGPPKAVDVLRTAMAMGADRAIHVDVKEGEELEPL SVAKLLRAVVEKEKSNLVVLGKQSIDDDSAQTGQMLAGLLGWAQATQASEVKFEGDNV LVTKEVDGGVETVKAKLPMIITTDLRLNEPRYASLPNIMKAKKKPLVKMSLSDLGVAN ERRLKIVKVEEPAPRQGGGKVEDVDGLISKLKELGAI PFICI_05206 MFSRVATKAFKPAGFTRPLSTTSLLAKANQGSKGRQMPSTYTRA TAQPASGTEATFTIRDGPIFRGTAFGADTNISGEAVFTTSLVGYPESMTDPSYRGQIL VFTQPLIGNYGVPSNQRDQWNLLKYFESPHIQCAGIVVSDVATQYSHWTAVESLGEWC AREGVPAISGVDTRAIVTHLREQGSSLARISTGEEYDADEDESFIDPGQINLVAKVST KAPFVVEAHNPKYHVALIDCGVKENILRSLVSRGASVTVFPYNFKIQKVADNYDGVFV TNGPGDPTHCQETVYNLRNLMETSQVPIMGICMGHQLLALAAGARTVKMKYGNRAHNI PALDLTTGQCHITSQNHGYAVDASSLPKEWKEYFVNLNDGSNEGMRHSTRPIFSTQFH PEAKGGPMDSSYLFDKYIENVQAFKATQKVYKDNRPSQFMLDILSKERVGVAPDKIAA AA PFICI_05207 MTEQLRFDDQVVVVTGAGGGLGKAYATFFGSRGAKVVVNDLGGS FKGEGNSTKAADVVVEEIKKAGGQAVANYDSVENGEKIIETAIQNYGRIDVLINNAGI LRDISFKNMSDADWDLIMKVHVKGAYKCARAAWPYFRKQKYGRVINTASAAGLFGSFG QCNYSAAKLAQVGFTETLAKEGAKYNIISNVIAPIAASRMTETVMPPDVLQALKPEWV VPLVAVLVHKSNTTENGSIWEVGGGHIAKLRWERSSGLLLKADESYTASAVLKQWNKV TDFSNPQYPSGPNDFMTLLEESMKMGPSEQGDKVDFKGRVALITGAGAGIGRAYALAF AKAGASIVVNDLVNPDNVVEEIKKLGGKAVGVKASAEEGETVVKGAMDAFGRIDIIIN NAGILRDKAFTNMDDSLWDPVLNVHLRGTYKVTKAAWPILLKQKFGRIVNTTSTSGIY GNFGQANYAAAKCGILGFSRALALEGAKYNIYVNTIAPNAGTAMTATIMPEEMVQAFK PDYIAPLVLALSAEKGPKNPTGGLYEVGSGWAGQTRWQRTGGAGFPVDKPLTPEAVLQ QWEKIINFEDGRADHPEKPQDAVQKVMENLQNTSGAGKKSKPSSAPAPSDGGKYLKAI ADAKSKESPETVFTYTERDSMLYNLGVGAKRTDLAYIFEGHEDFQVLPTFGVIPQFDV NTPFSMDEVVPNFNPMMLLHGEQYLEIKKYPIPTSATTKNYSKLIEVVDKGSAAILKS GVSTVNAETGEEIFYNEATVFLRGCGGFGGPNKPEDRGAATAINVPPKRMPDVIVEEK TTEEQAAVYRLSGDYNPLHVDPNFAKMGGFKRPILHGLCFMGIAGKAVYEKFGPYKNI KVRFAGTVLPGETLVTEMWKEGKKVIFQTKVKETGKPAISGAAAELV PFICI_05208 MSHRVSEFVDDKSPICIPFILDRLHEHQAANKGNGYGIAAGGER PFIIGLNGVQGVGKTTLVQALASVLREREGLQTLVVSIDDFYLGHEDQVALAASHPDN VLVSCRGEPGTHDMALAGDFFAAIVQGRPARIPQYDKSAFAGQGDRAPESTWQEVNQP GQPRVQVVIFEGWCVGFRPLTPAEVQAKWEAPSRTLKLHKLEHLLFVNERLGSYDTIT ELFNAFIHIDAEDTQYVYDWRREQEVMLREAKGTGMTDEQVVKFVDAYYPAYELFSDK LRQGVLKGKTGHQLRLVVGKDRKVKQKIVI PFICI_05209 MQAWVMNNGPGAKPVSAEDRAFAHDHSGSPQKGHVLLSQPHANT QALVAPSTPPRSQPQYQSRMPIVNANANARAADAAAAAAAATGGAKLPSASNRPAFTR PSHQISHSREGSNVQRHRGPTLEPAQNVQRQGQGQGPFWEGSTVEGSTSMSETASNAD ARMVAPSHMLHQDLAFKPRDMTRQPSKRDSDKDRPPFIIGDNGFIDVLGGPMRRSSTP DFRTRAPTKGLSQDSDNELYVEEPRFLTDLEKAPPNTLNHRGARLPLRTTKRDTFAER TTYAADGNNLPLPPDPRRRPADALDYVQRNDRNQLRVPAARESHRTTVFENIDTPVTS HQDLPQPQTQSLPQSETESESADDQVTPKPRVKSSQSINRQLNRQLFTEETKASKARN SLRESSMPRPASEKRQSNTNTKKRSFDLDYDDSVLAKMNYSELKSQDFDFDPARAESS SAQRPPPGTLPEKLDHFFHKDEDAQKTFFNTMPMRDWDDSGDWFLEKFGDIMHRLKDA RRDKRNLVDQFETEIAEREDAVRNKMSSIDQTLGEFRNETKTMMANKQLE PFICI_05210 MRLYLLPISTRRTLLYCQKFNTNTAEGKTYVDKTTAWAAKTWAS WEKKDSGWQKTIVNYGNQAFRRIPYEEWGLKSVPPLSTKRREEELLGKDKVEVVFPDT AIPAPKALGILQTLATERASLHRQRLIWCIVGMPISAPFALVPVIPNLPFFYLVYRAW SHWRALAGGKHIQFLSENKLLSLSPSPILDKIYPTLLSQNGVATTSSTGKPKIEEPAT PHQAETLEEEKLLLSQENGRQLVEALEIPELEVEIERAIFQVETALNKAKAESSTEAS KTKKDE PFICI_05211 MSSRPREGQYRPTVPSPLNPTSPQTLSRQPKRYSRSRTSFKKGN GPVSPSQKLMRQKAEAAWKSLASRRTVEVHSATMEIVTRSAKPKIVQIEPRQSVGPAS AAIKKTPSQAYGYGQQPLFVDAFDGGNMGLGIMVETDIEKQSMVAYSDFGALKASRPS NVLPSFEYRLRGPTIMTQQRILLTLSMICIMGILSSFFSHKEPS PFICI_05212 MFLFGGASGRARKSKSREQLTAGAAPLQRSSSPDPTSLYITDES QLQLQLQPPLPSEILDLNSSLEILAGVFPDVQIEVFREMLYSFSEESRVAVIADAMLK NPSAWVKGRRRLRSEPEPGEEEQRVPKTETFRGKDYQQAVRTLAQTEFKGLSRSSIEA VLSESNYSYLDARPTLVELSSKSWKFTFQSIFFRRKPVTTGEAEHHPLVVWKSSGQGH ILPTIRSTGNAELDGELFKELIIPLKRTEAAMREEKDHELAAKIHNEEAEACEATYEC ACCYGDVTFEEITTCNVNDHMVCFRCVQHSITEAVFGQGWIRSIDKATGTLRCPAVSS EECPGCISAEHIHRAMQGQKNGAEILHKMDQRLAEHELIASNIPLVRCPFCSYAEVDE LFIPINKRRLKLRASNIPNLVLILLCIVLSPVSLPLVLLVMTCILFICATALRGTLRM QFRAAISRYQRRRLGLKFICRNPSCGRCSCMSCSKAWTDIHICHESSLVALRTQVEQA MSMAIKRVCPRCNTSFVKNAGCNKLTCPCGYKMCYVCRKDIGSSTEGYQHFCQHFRPE GDGSRCQECAKCNLWEAEDTETILSEARAEAEKRWKETERRELSESEKAFLETGVASK RDDVMTKLLYGRSKMPTVEDICDGIIETLFVVGS PFICI_05213 MGGALSKPDPAATLQVVGAGYSRTGTSSLQLALAKLVDGPIYHG GTQIWMSGDDARVKLWGLACDAKFVDHDRQRTLKLVKEATKGFSGLVDIPCIFFVSEL VELYPEAKFVLNTRDPEKWWESFGNLLGHVPGYFTILTAPSPGIRWIPKILRAFQIQA DELLRDSGRKPGEYGPELLVTFQESVIREVPQDRLLIMDVKEGWAPLVKFLGKSAPAE EFPRVNESQALDKQAKYLFTKLAGTWFGILALLGGSAYFTARWWKMSR PFICI_05214 MAGTYSLPNDGEEQARLDMQHVLWKIVLDDALGLAPVCNEAPAF VLDVGTGTGAWANEFAERNPTSEVIGTDLSLIQPSIAPNVTFVVEDSEEDDWVFPQLF DYIHLRSMLVSLNDVTTILRRSLDHMNPGAWLEFQDSSWELHSPNGSLEGTAIQRWSQ CVLEGLARNGHDTVLNLQSLKSHLESVGFVDVQEKTFPVPGNGWMKRKPKMKHLGIFT GSIIVQALDAYRKVLGFAGLSDGEIDELIEAAKLEVGNTNIHFYLRIHCVFGRKPIPD S PFICI_05215 MATESDMFEALLKASGRIRETDDSPNVVSELAKSLPHKFQLEDL LRNVIAPDHSGDIQFLRALLVCLKSDQLETGLENDQQVFDKIASHVTKSIAPIAPDSD SSERYHYLSSVRWQPIASKGEVGLAILESLQGTYKAKLDPKVLVATTAFTNPQDPWTT QAAGTLAQTILAAALDRPGAKETLITSTILTTFLRPLFSRSRPATVTASGRKAEFVEP ARYAGAAPDGPTEAELKPWKYAHAYAVTVFAWAVEHANQDLLAAHWHLFTPVLLTLLD EARTDVKVRAMRIFSAFWARCPPGLMARVGLANVFEQAIFPAVLFLPTLTPEDESIRI LNVAYPALFQIAGLPYPENVIGEPVKQPEFTEAQRKMLDTIIRQGLLVGYHHANEHIR LTELFCNKATSIVNGMGILSVKHLKNLLPMVAEIMTEPFGTKYPPALLAANQLIQTIM RCCWPRIGCYGTEIIRILTTCYLHIEDEDSFPSGSPSKEDLKTALSKTTGILAKILEN EMVLLSETVSPLVEKEPLLADLFTSAKIHPSAA PFICI_05216 MTAGDSAEFGTSKANTSQNNGHPDGPGGPSHSAGSDAVYLGERE SSPEPVFPLLQNNTSSETLAQKVQTQKRTVEDDTDEEPAVAQPFVRTRSPDPSREDMP QEDEQTQARSFYKMHKFTLYETASRYYIVGGDVTEKRYRILKIDRNTHDSDLNIVDEK IVYSQKEMAGILDTIDDGNKGTGGIKQRCTTWGLLGFIKFTGPYYMLLITKKSTVAMI GGHYIYQIDGTELIPLTPPKFKPDIRNTEESRFLGILNNLDLTRSFYYSYSYDITRTL QHNITRERIALINGLPGTTYEDPNPMFVWNNHLLEPGNEALHEPFDWCRPIVHGYIDQ AAVSIYGRTAHITVIARRSRFFAGARFLKRGANDVGYVANDVETEQIVSESLTTSFHS PGPKFFASPQYTSYVQHRGSIPLYWTQDNIGVTPKPPIELNLVDPFYTAAALHFDNLF ERYGSPIYVLNLVKARERTPRESKLLHEYTNCINYLNQFLPDEHQIIQKHWDMSRAAK SRDQDVIGTLESIAEHVVTTTGFFQNGDGVISPTQVQNGVARTNCIDCLDRTNAAQFV IGKRALGHQLHALGILEDTSIEYDTDAVNLFTHMYHDHGDTIAVQYGGSQLVNTMETY RKINQWTSHSRDMIESFKRYYNNSFLDSQRQEAYNLFLGNYIFAQGQPMLWDLSTDYY LHHTDPRAWLEKVPHHYIRWFTPRYLQKRMLPNYVEPRGPGKLKQVSFYDDYWLEYYR PSTLSSFLKMFPYKMNSTIKYIPLKSTQEGRYDLSPFRVRTESDAEVHERKKTSNASK KEVTIVDPHTPRKGKADEADAHAAERTNSRGISIQRWLQPVSLDSKPTVPQGIMKETT GNAAVPRKQTPQEKSTAAQWTFKSMVHESLNPSVDAHDTDEYQRYISHPQSLPLVVSN EAPADVDASEYTEYLNGSWQTDGLADHNNTVPEEDVNAFWDLFRIGDNPLTVTEEDLP KKRYKAYGKWLRGKSLFKQQPVD PFICI_05217 MAATTVPTWVADLTNPPPAKSKNAGIPDPPGFASQAVGNKKQAS KTPARTPPTQEETDTLKLKKAWEVALAPVKQLPMTAIMMYMSGNSLQIFSIMMVFMAF KNPIMGILGTNQAFERFESDGNKGKMLQAKLSYVAMQVVALALGIWKVNAMGLLPTTR SDWLAWEAQRDPLEHAVVGL PFICI_05218 MFLAYAAAPTYYSRPLTMQGKVAELRLELNSGSKKDKNFTQKKI ALKKIVANMTMSNNEMVSLFPDIIACMHIQSLEIKKMCFLFLVNYSRMKPEIAVKAIP VLEQDMQDTNPLVRALALRTMSYVHVKEFVEATVPLVKHLLRDSDPYVRKTAAFCVSK LYDHDRHMVERSDLIERLNSLLRDDNPTVVASALAGLMDIWERSDAIKLTIDYNNASK MVAILADCSEWGQTYILEALMSYVPQDSAEATLLAERIAPRLSHSNSAVVLTCIRVIL YLMNYIADEKHVSALCRKLSPPLVTLLAKGPEVQYLALRNALLILQRRPDILRNDIRV FFCKYNDPIYVKVTKLELIFMLATEKNIDEVLTELREYATEVDVHFVRKAVRAIGKLA IKIEPAARRCINLLLELVATKVPYIVQEATVVIRNIFRKYPNQYESIIGTLCEHLDSL DEPEAKAAMVWVIGQYADRIENSDALLEDFLYSFAEEPVEVQLALLTATVKLFIQRPT KGQDLVPKVLKWATEETDNPDLRDRAYMYWRLLSTDMNVAKTIVMGEKPAITAESEKL DPQTLEEMCLNVGTLATIYLKPVQTVFRSARPRKLQDSPALQKHTLPTSQNLPRFENQ KHLSMFGKGDQPAVVRTNPNGGLAGFDNNFNGQPGQNGQNGDMAQATNDADAFFAGIG SQQMAAMNIHEASDAFGGSNGTEAGYVVNQYAPQQVMMPAQDSNGDLLIL PFICI_05219 MYGGRDIGLGLMMVVVWARGDRRTLGLTMLASLPIAIVDGFVSR DQIGGGEWGHWVFVGVGAGLAAGLLEWF PFICI_05220 MKSRGIFGRVVVGLMVSAMCVLAVLGQDVAGKDIKKIEPMIPES DFEIRASTDAIFTSVTLDSGASMTSADAEITVAPENSVHPKIVHPFEVEPHVVGNGSL TIIGRDLQYTTSLSSTTRTTTIIDVCTQWITTTSTRGQPAPSAGQEHHALPTPDKYNT SDEVIVPHTKSTSVRFNWVANATAAFATGGSAGTGHETAALATGVSGGGAHSTAGGPY HRPTQTPYTSAARAVRPEFVYMAAMVSFVAIVAHVGTHVVVWTLRNCYGYLL PFICI_05221 MDNFKAFGNSLTTLGSQFTPFATRTFQYTKEQLGQAEDKTQLPP DYIDLEKRVDALKQVHQKLLSVTTQYNNESYDYPPNIKETFGDLGRTVSEKVQLLSTA STPAEAQAALTAPPSAKPQPKTFSHALARASLAGSQVLHQQHTGAGEDPLATALEKYA LASERVGEARLAQDAQIQSRFLAGWNTTLNTNLQFATRARKNVEKSRLTLDSVKSRVK GNTWKMGANPREEHHNEQDLTPEAQEEIEKAEDEFVTQTEEAVGVMKNVLDTPEPLRN LAELIQAQVEYHKKAYEILSELAPVIEGLQVEQEAAYRKSRESAS PFICI_05222 MHHSTLLPLIVPLAHAATNAINVRDVPASVPHVASIQYSGSGCP SSAPGVDKLGAWDDLAFRLNNFEVSLPNAAASTENCEVHLQVTGCSAGWQLGIKDVYV RGHLVLDPGAELDFYVTNFWSQDAGTTSTVRGVIENTGNTRIDDIATAHATIPNSRVV WSPCSSSSGDVGILNVNFRAALLADGNQYGYFGKGGDTTLTESYGYVWRRC PFICI_05223 MSLTNCRFYEEKYPEIDSFVMVNVKQIADMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVSPEDIVKCEERY NKSKMVHSIMRHVAEKTQVPIEELYESIAWPLNKKYGHSIDAFKLSITNPDVWNDVTF SSDAVAEELKSYIGKRLTPQPTKVRADVEVTCFGYEGIDAVKTALRTAEAKNTEDNQV KVKLVSPPLYVLTSTCLDKNIGITRLEEAIVDIRKNIEEAGGSLIVKMEPKAVTESDD AELQALMEKRERENAEISGDEDVSESDDENPDTI PFICI_05224 MESSETLSSTMEAALVAASTAASQVNTVAAVKSSSWLGWFGRMI LFILQLLSTIVYWSLKLTTFSLSTLVYQLFSTKMTVTMSFTTLALIVALVVSAVTWVV RYRYLNMYSRLPPEPQRKEPELDLPTDSHDGGNKPGLTNYLDEFLSGLKIFGYLERPV FHELTRSMQTRKLIAGETLNLEEEQGFCLVVDGLVEIFVRSLNRNGGSHMTDPFAEDG AAQSSGSQPYQLLTEVRNGAPMSSLFSIMSLFTEDVQLRVPVDGDSVPPSSANSFSEQ LLPTPGLSEMHRVSDSVPGTPQIHPSGRRATIVDEPEVISPSSPNGSYFNHIPPMSLD HSSMPPRAARPIPKRMATKSVHPDIVARATVDTTIAVIPANAFRRLIKLYPKSTAHIV HVILSRFQRVTLATGYNYLGLSNEVLHIEKNMINFTMCQLPNVLRGDALERLKEKFKA ERERTSEEDSGKGIALHNASATSHRRQRSGTGLRRDAAVTALSKARATSIVASTIAPP RERSSLHTAGPGDLLANVQSARAGGSRVPGTLDIPLTPWQSGESIAQPNPNSPMAHHA FNPFSTQKRARIAIDPRESVDEDNLFRVSILECVFNALGMSPGGGSSRGPDSVEASPR LVSYDQRRQRAVFSNNAFGFMDSLEGSTDWDADSMTSGGVSNTGTPNPQLLAAEMKHE VEIVFFPQGSVLVEQGERSPGLYYVIDGFLDIGVPAEDSGSEILTSTSKMSLSAMQRE DSQTPNRRSSSKPNVTPLNGSVPGLAGGEGKKRGKPTRRSVALVKPGGLAGYIGTVSS YRSFIDVVAKTDVYVGFVPRATLERIVEKYPIVLLTMAKRLTNLLPRLILHIDFALEW VQVNAGQVLFHKDEESEAIYLVLNGRLRLIEDRKGGGLNVRAEFGQGDSVGELEVLTE STRSGTLHAIRDTELVKFPRTLFNSLAQEHPNITIKISKIIASRMRTLVDDPSNVVSK EHAAGTSINKISSTLNLRTVAVLPVTSGVPVVDFGNRLMNALTQIGVPGGATSLNQSA ILNHLGKHAFNRMGKLKLSQYLADLEEKYGLVIYVADTNVNSPWTQTCITQADCILLV GLAEGSPEIGEYERFMLGQKSTARKILVLLHADRYSPPGLTRSWLRNRVWINGGHYHI QMAFKSNAVPVHPPTSRRLGATIKERVQVLQAEIQKYTSRKVRHTPYYSPDAPFKGDF HRLARRLCGKSIGLVLGGGGARGITQIGIIRAMEEAGIPIDVIGGTSIGAFIGALYAR HADVVPMFGLAKKFAGRMGSMWRFALDLTYPSASYTTGHEFNRGIFKTFGNHQIEDFW LEYYCNTTNISKSRGEIHTSGYAWRYVRASMSLAGLLPPLCDEGSMLLDGGYIDNLTV SHMKSLGADVIFAIDVGALDDDTPQAYGDSLSGMWAFFNRWNPFSSIPNPPTLAEIQG RLAYVSSVDALERAKTMPGCIYLRPPIDDYGTLDFGKFDEIYQMGYQYGKETLARLRE EGDLPLVEETEAKKALRRTMAPRRASI PFICI_05225 MSHEQPELLGFCAEAARQIRDECEMILGNSTISDNNEMEISGCG QPLVKLLKEQASQVSKILSSPQSSGPLNNGQLPSKTDLVLQRLDDIISIAYTKFYAYL YKDLPVCWRQLYTDASILRFCHLLSQTLPDETSAGPAEKLQLEESLLAELVKTLDLAL ILAGGGGRLRGRRWIQVALDLLQHSLPLAGNSPTALDDSGRPSKRPRLDDETIDGSTP FSKIEPFTPPVKQPITRVPAMSMESFQKHLSQALDTESGPAPIIMTGITDEWPARTTN PWNQPRYLLSQTLGGRRLIPFEFGRSYVDDGWGQKLITFGEFLQTYIDGPLAGDGTTA EVDGRQHIGYLAQHPLFTQLPALRKDIFIPDYCYTAPPPHPTDPEMDQPELDEPLLNA WFGPPGTITPLHTDPYHNLLVQIVGRKYVRLYSPRETARMQARGKENGIEMSNTSLLD VGVLEGWDQSTSHDDDAGSPGHVSAESMREAFANVPYKDCILEPGDTLYIPIGWWHYV RGLTVSFSVSFWWN PFICI_05226 MAEPTVYKIRYARHVLSESNAGDNSGVDLHDPVLTTAEREEDWI KDKKLEEAKRGTGRKWAEGKMRGQFLQHEKKSRVFMVSPLTRAIQTFLLSVTEQELRG SRIIVEPLLIEQTLWFSDRARAVTKIQDMIEHELEYRFNGRLKMNDLNIIWDRMIPSE TKDNERLDRPWHLKTNGWAPENLLERGRLAVQVILQECKNFESYTGRSYTDYDICVFG HGGFVNYMTDKIGTVDVKLKVPRLTDWKTGEVREFKIYDYYTCCSLMVEEKDLPLTSQ EAAVVNTKRRDLKDFITNMVHYNPEQELEFKKGLNA PFICI_05227 MSKRKSDQLEDDDSCSTSQRPRQVRKMGSAPVTTNIELSSQEAR LKKLLLDVAEYIDRSQPSSAEPIVLRWAGGWVRDKLLGIGSHDIDTAINTMTGEAFSS KIVELCKQPETVEKHALSDTDIGNLHKIAANPDKSKHLETTTIKLLGFDVDFVNLRKE TYTQDSRNPQVEFGTAEEDALRRDATINALFYNLNTGRVEDFTGGLADMDAKLIRTPL EPLQTFTDDPLRVLRLIRFASRLDFVIDPEVEAVMRDERVLSALRNRQKISRERVGVE VEKMFQGNHPRNALKKIHSLGLYHTVFTDPNKPDMPQPNVRNLAVAYDCLESLRQRKT PGSIYDVLVRSDESSYFSWVLAALTPWMSIAQPAHIGPTKPPPPFATLAAREGIKAPN KMCDAITGSYNHREEIMSLKVAVSENAEHVHERDRFGLAIRRWEARGGQWKLQVLSAL LHDALQTLQPGDTASRDTAFVAGWQKFLDHLHELDVMEAPSLKRIIDGKQLSKALGAR PGIWMTAALDVCVAWQLRNPDITDPTGAIEEVRSKKEELGIPI PFICI_05228 MSSFKAILALGLMTTAQLVAGHGAIIKAVGDAGGSGSALGVDSS TPRDGTRRNPFQQDSTRFKGDQADTFGETVGAGNNDLETGTKAIMTESGDQLPQVSQG GELTMTLHQVNADGGGPYTCMMNSDGTGADWTDIQVTQSPPGQNSRFRDGAMTDFPMK AAIPADASCTGTVAGQDNVCLVRCQNAARAGPFGGVVPVQMANTTTPAQARRALAMAV KRSEEELLSMKKRASSFKDLSPEEIDELREDGEIE PFICI_05229 MNLEQYRPQEDGYTHDVTGTTGAHHEQPQFTNSFAPGQTEAHYE NPIDDERERSGSKDFSQTDEWGE PFICI_05230 MSIVTLLTRVNPSIDASKVPPSRFQQRKGSILSTQGSRDGHVQK NNERDKGFHETHHKLFGKVKEKAKEVTGASHRRKSSSGSKTD PFICI_05231 MAPNGVTNGASSTRKPDLHLVSDEDAVYEQDILRDLGSTKPWLA YIEFKLHHGTVQEQAFVLERACVQLPRSYKLWKMYLQFRTKHVAKLNAAVFAAEYRKV NALFERALILLNKMPRIWEMYLKFLLKQPLVTLTRRTFDRALRALPITQHNRIWALFR PFANSAAGETAVKIWRRYMQVHPEDAEDFIELLIQVGLYTEAVKKYIDILNNPRFNSK HGKGHYELWSEMVDLLVEHATEIETGHETGIDVEGIIRSGIDRFPDQRGKLFCGLATY WARRGSFERARDIFEEGVTTVMTVRDFTLVFDTYAEFEESIIGALMEMASKRAEGGDE DEDADFDLDIRMMRFEQLMDRRPFLLNDVVLRQNPNNVAEWEKRVALWGDNKQEVVDT YTNAIAAIQPKKAVGPFHQLWANYAKFYESGGDIRNARVIMEKAVKVPFKSVAELAEM WIEWAEMELRNENFDEAVRIMAKAVQAPKRSTVDYFDETLSPQQRVHKSWKLWSFYVD LVESVSSLEETKKVYERIFELRIATPQTVVNYANLLEEHQYYEDSFRIYERGLDLFSW PVAFELWNLYLTKAVDRKLGIERLRDLFEQAVEFCPPKFAKTLYLMYGNLEEERGLAR HAMRIYDRATQAVSDEDRADMFNFYITKSASNFGLPSTRRIYEQAIAALPDEEARDMC LKFADMEKRLGEIDRARAIYGHASQFCDPRTNPAFWTKWEQFEVQHGNEDTYREMLRI KRSVQALKNTDVNFIASQAIARSQATKQQVADGEMDQETADAMEQLERQARAPVGFVA SSTGITGTLAQDEQPAQAVNPDAIDIDGMDDE PFICI_05232 MSGAGDREAVFPTRQSLGIMKAKLKGAEQGHSLLKRKSEALTKI DEAKRKMGRVMQIAAFSLAEVTYAVGGDIGYQVQESARQARFRIRTKQENVSGVLLPA FESYQTEGNNDFGLTGLGKGGQQVQRCRETYARAVETLVELASLQTAFVILDEVIKVV NRRVNAIEHVIIPRTENTIKYINSELDELDREEFYRLKKVAGKKQRDTAAADAEMKAK KQAQRDQENVTPEEDDAPADILASTEDDDVIF PFICI_05233 MAAPPHLTNPWISPPPFNNNGVGANAFAAGHFPQLNLGQANALE KVLASFSDADKGYPNAEQTNVALALNAAIMHRGSARVGAWAFCLGLINVLKTHTFEFP NDPQLTEDLDCQWTPLAGVGGQAARRTLGGLELPRMRYLYVIHLHKGRTAGTNVYTLT MYDREASSGRMSFHDPGTGDAAQAANRLAEVTVFWNISRSNFAGCPGFGMAPAGPDTF LYQQFVDVACMNRKSPTGVPRVVSTSRRTLFNVLAWCDILIRTAYQAGDISNHGNIPN DFEQACGFYGTGHLYVALFLLLIRNHQAGGVPFTNAHNFNNENAVWLAYGLRASQNDV LRQQIHNAARYFAVPIWPAANPGAPFTWLQALGG PFICI_05234 MASSPPIATVYIRNLEERVKPEPLREALEAVFSDYGNIIDIVAK TNLKAKGQAFVVFDKPESALKAIEEVQGFELFEKPMHLALARTRSDATVKTTGNDDEF EHHKRRRLAEKDKKKAAEAAQEQQRLKRPGAAPAQDARPAKVARPGGLKATGPAANTV VPDEYLPPNKILFVQNLPDDYDVEALTGIFGRYEGFREVRLVPGRRGIAFVEYEAEAG AITAKENTAGMALADGTKFMKVTYQRQ PFICI_05235 MAFLTGLLRLPRPTTFCGLMSLQTGTELIALSLLFNKVTGLYGL LAILTGYDLSIVQLSLYVYSVVALGLLAYCLPHIRKQTPFQNLAFAWLYIIDTFVNTA YTTLFAVSWFLALEAVGPKQSEPTETDEPPMGGVMGAIDTTTSMTLIVLFSLIRIYFM LVVMAYARSVLLQYREGNSQQAIDSESQTIENPFAVGMPEGDGWKGKIGRTLVSVGKT YWLPSLAERDEWARSMNSRFRGKTAVA PFICI_05236 MSFLFRKADSSSAVPSSILGSEMDSNNTQIRTPEEAVARIAYLT SDVVVSVQPAKDQDSAFSSHLKRFAERKDQGLVARTDGAVPEIQTVRQNTDPLLSVFS PIRSGRFVSVTTSSAILLPSIAHLYKLANYPVVLHVSLSPAESPDYSAITAIRNSGWT FLQSESLQEAQDMAITAHALAIKSGKGVIHFFDAGSSSRDKPIGPEDASTVLDILNMG DVRRFQAASIQASSIYADDGRVAVAQEQSEPLAAGSSNLADSTVLEAASKATSTEPSD KSSSPADSSAPPSVSSATTVEPNPAAVTSEDIYKYVTGIWAQLKVSTGREYNAFEWSG PSSADKAIFVFGSDAGLFAEALDSAASTDIYAKSGMITARLYRPWLGAKLIEAIPKSV TRIAVLEQVSRKTTKWGPLLIDVLTSIKSGPGGVEHIVGYQLGYIAPETVSQALRGVF QNLTSEKPLQNLEVGKREAAQVSSQSLEQPKLETAYTKILDQLFGKRAYVANALSSKN AGISTTVSASPEFGFGSLLARKEHRQRFVKEVKEAATSNAFITEAPKQWLAKWSASAE DAQKATDLADDVVARLETDGSSVARQLLASQGLFRKESLWLVGSDAWSYDLGNSGVHH VLASGENVNMLIIDSTPYSEKSAADAARRKKDIGLYAMNFGNAYVASTAVYSSYTQVL QAMLEADQFNGPSIVLAYLPYFGEHESPLTVLQETKKAVDAGYWPLYRWNPTNDTKGE PNFSLDSELIKKELKEFLSRDNHLTQLMLKEPTFAANLSQDFGSEVRAQQKRKAKDAY NELLEGLFGAPLTILFASDNGNAQNLAKRLGTRGRARGLKTTVLAMEDYPVEDLPSEE NIVFITSTAGQGEFPQNGLPFWHAIKDSTDLDLASVNYSVFGLGDKHYWPRKQDRVYY NKPAKDLDRKIDSFGGKRLAEIGLGDDQDPDGYQTGYSEWEPRLWQSLGVANVEGLPD EPPPITNEDIKIASNFLRGTIVEGLNDPTTGAISAADQQLTKFHGTYMQDDRDVRDER KAQGLEPAYSFMIRCRLPGGISTPKQWIQMDDISNELGNETMKLTTRQTFQFHGVVKG KLKPAMQAINRALMTTIAACGDVNRNVMCSSLPTQSAYHRQVYACSQKISDHLLPATT AYHEIWLTDDNEKKMIAGDAVQDFEPLYGPTYLPRKFKITIAIPPHNDTDVYAHDVGL IAIKGEDGNLAGFNVLAGGGMGATHNNKKTYPQTGRMMGYVKASEVHIACEKIMLVQR DFGDRKNRKHARLKYTIDDLGVDVFRGKVEDMWGKAFEPEKPFHFQSNIDTFGWQKDE NGLNHFTFFIENGRIEDTPEFQMKTGLREIAKVLKGEFRLTGNQHLIVSNVADEDLPE LKELMKKYKLDNVQFSGLRLSSSACVAFPTCGLAMAESERYLPVLIGKLEACLEENGL RQDSIVMRMTGCPNGCARPWLAEVAFVGKAYGAYNMYLGGGYHGQRLNKLYRSSIKED EILAIMKPLLKRYAAEREQGERFGDFCIRVGVIAETKGGQDFHNNVAEDESDEE PFICI_05237 METTSTTRTCTKAELLEAAGKIRGWTCEKYGSMNPRHVEQYGFP TRLSSDAINCIQNWIDTDTAWCHFQGTSLDFANVTLTVCEKMKKAATATGNDPAKVLV WFTGDPDCVDAKLLSSSYDLFVDDNKEEKQQQQRKSGPEIRVLALVYSLLDQMISALP DHCQNGDLHDAIFDAEVLESIQKIDGTAATAPLALSAVAQLLNILGDGVTVMINAVDH IWTSSDNDGDEDDDDQMPRKSQNILDGLMSALGHNRHRMRLWVSYYNGRGRSVYRETG YSKKHNFRFQSIAGKLIVNLGHPLTELRFPETKRGSWKTKPTGHASTTQRFAL PFICI_05238 MDRRPPEYYDDDNDETPGGGNSAAVRLLTSMEEPLPESRPYVLP AVKSPSPLSLMPPPLALPSKQSLQASSVPHTSPTDISTPEHLDRLKRQEEGPTPKLVS FAEPSSSHQLDSPERTPVAKVQRTPTVKLQKKKKPRQVVEAEILNSLPVIPEGPSTRR SSLRARRASSGPRPKSEESNTIDIESSQDTTSSIRPTPRRSYQPSVNSLDSSFLDPPH LPPPNQSYYQPRESGSPTRPWSRMSGHSDFRRAPASNIQYEPADINGSPRPGTPSSQY GGSPRRPLPPAPLFSGSARSSAYVGDDATVNIPLDPDNDDVFGTDGDMGNHRQSYGAL SQETLSEEPETPFDDKSNLYAPAPEGLQERRGVRAPQMAKKAVQLINGELVLECKIPT ILYSFLPRRDEVEFTHMRYTAVTCDPDDFVDKGYKLRQNIGKTARETELFICVTMYNE DEIGFTRTMHAVMKNISHFCGRNKSRTWGERGWQKIVVAIVSDGREKIHPRTLDALAA MGVYQHGIAKNYVNQKAVQAHVYEYTTQVSLDSDLKFKGAEKGIVPCQMIFCLKEKNQ KKLNSHRWFFNALGKALNPNVCILLDVGTKPGGNSLYHLWKAFDQDSNVAGACGEIKA MKGKWGSSLLNPLVASQNFEYKMSNILDKPLESVFGYITVLPGALSAYRYHALQNDET GHGPLSQYFKGETLHGQHADVFTANMYLAEDRILCWELVAKRNERWVLKYVKGCTGET DVPVDTVPEFISQRRRWLNGAFFAAVYSLVHFKQVWQTDHTVARKVLLHIEFFYQFIQ LLFTYFSLANFYLTFYFVAGGLAEESSDPFGHHLGLYIFTVLRYTCVLLISMQFIISL GNRPQGAKKLYLASMIIYGIIMAYNTFACIYIVVKQITSSDKVSLGNNVFTNLTVSVG STVGMYFLMSFLYLEPWHMFTSSAQYFMLLPSYICTLQVYAFCNTHDVTWGTKGDNVM KTDLGGAIGHGGLVELDMPSEQLDIDSGYDEALRNLRDRVEVPSPGVSESQLQEDYYK SVRTYMVVSWMIANAILAMAVSETYGSSGLGDNYYLRFIMWAVAALALFRVIGSSTFA LLNVISIVVEGRIRMSIKVPQWMGGFGSKVSEKMSSAMSSVGSSLSR PFICI_05239 MRPPTTRTPLISIANGTFYRHHPNASSIHPNPALFSNLNFSLPS AAEPPTHWSVIGPSLSGKTTFLQLLRGQHLCFPATARSFPYLSTEYVPHKLRVPSRAI KYVGFSSEGLSNGPTAAAYLSARYESKREKTDFSLRDFLLGNTELNALKSDDDIVDPG LLDRVVRDLKLSPLLDLPVAFLSNGQGRRARIARALLTSPELLLLDEPFMGLDPPTVA SLSPLLHGLASSASPRLVLSARPQDPIPDWITHLIYLKSDCQVGAMGEKEEVLDKLRQ YVKGVWNGVSKEDETLAVHNLVQMGKKITRNGIEGEALMGEEGTEVDNEPPIENEAHQ TAGEPLIEMDGCQVQYRGKIALGNWKQQVNGQEKTGLLWTVRRSERWGIFGPNGSGKT TILSLICSDHPQTYSLPIKLFGRSRLPEPGSLPLTIWDIQSRIGHSSPEIHQLLPRSL TVRQVLENAWADTFRTKASLTSENKERVDAVLRWFEPELNPAHATPSSTLTENGLAWA DHYMFGELSFSAQRVALFLRAIIKNPDIVVLDEACSGMDDGVRNRCLLFLEHGETRTY ITKDDGSEVVVESEASKTGTVKMAGLNDQQALICISHVREEIPDCIREWLCLPEANSG DKARFGRSRGSLGRDEKGWREIWGMA PFICI_05240 MRLVPSANNPTSFTHLKTAGAPSAPGLHDTLRHGVGPTPSHLEG VEAPVSRHPLESRLKAWQSTQDALRMETLRKTFGIAEPIRRGMEAKIVSEGSWRPAAL GGGAGKNNLHEDILGGRDTSVDWEDVFTGEEQRSAAGIHDEMERKLKM PFICI_05241 MSQPERLPGGDLGSREEQTESPSTHLQANSSNSVEMENLSPKAQ DQSGASTVPGATTSSAIADNTPRDPQSAQASAPDNSEDIGTSHDVETTSHPSAKALGK APATATETKDHDAIGPADSNGEQSTPGELNVDILIIIPSTGNRHPFKINEKYLKKRNV NVTGVTEDGKMDPFSITVYTLKELILREWRTEWETPPREPTSIRLIKLGKMLDDKSTL AHYNFSPSVTSIIHMTVKPQEIVDEEEANKRLKEPSSRSGRSGCCVIL PFICI_05242 MSTSPLENEKPIETLFHADVGASSAKSSAITASGTNNSKHPVHL KTFLAIASIGLIYFAQLITLVGAGAQGHTIAAHFNDTTNIIWLTAPIGTLTVVLGPIV SQAADYWGRKWFLVVCTMFGAVGSTVIARATSMSMLIAGFTISGVAFGAQPLLHTVTS EILPRRWRGWGQAVDSISNSLGAIFGLLFGGALNRSGDPASNGFRSYFLVNMAWYVAA AVLCLLVYNPPPREKQRQFTTKEKLAKLDWIGYFFLTTGLVLFSVGLSYSKNPYEWTD PRVSATFAVGLGLFLCLTTYEILFKKDGMFHHGLFTGNRNFTISLIAVACDGIAFFAA NTYFAFQVSLFYEKDALLVGVRYSIVLIASCVGAFVTGWYCAAARRIRWVTVAAFVVF LIFFICMATSSASSGKAVWFFPVLMGTALGMTLTSLITAAQLSTPPELIAVASGLIIS VRSLGGTVALAIFNALFLDETSHLGENIAAAAVSAGLPPEDAGQLVTALTMQNETALA SMPGATPEIISTGADALFATYLTAFRHVWIAAACFVAVAAVVATFLFDPKQEFNMRID APIEKQAPDNLVEGGI PFICI_05243 MSASSLHDIEAQSSPSSTSDSETSTVLQPARSNQGGVHSDKEHD GYRPCQCEDGLRRLSRPGLNRSESIVARVRSRPTVPRFTHPLAREKTSQDQLVDFDGP DDPYRPINWPMHKKVSTTLLYGLVTMSATWASSSYSAGTDQVAEEFHVGTQVAVLGTT LFLVGFGVGPLLWAPLSEVYGRRIAVLIPMFVAICFSFATATATNLQTIMITRFFGAF FASAPVTNTGGVLGDLFSPAHRGIAMAGYAMAVVGGPTLGPIVSAAVVAQPWLGWRWT EYLTGILQSFILLMAIIFIDESYPPKLLVSKARNLRHETGNWALHAKFEEWDVSISEL SRKFLVRPIQLLCTPICLLVALYASFCYGILYMQLGAVPIIFNEIRGWGTFTSELPFI AILLGSITGAGINIANQFWYNKAYHAAGDRAVPEKRLPPMMLGSVLFGGSLFMTGWTA QPDVHWIVPVIGLYLTGTGFNTIFQAALNYLVDTFQMYAASAVAANTFLRSCFAAAFP LVVSPLYHNIGVGPGSSIFGGFACLMIPVPFIFYVFGKRIRAGSKWSKASVF PFICI_05244 MPESDSKFPEVKGGGSLILAWQIKGKHVLVVGGGEVAAGRILNV LDADALVTVICPFSGLNPEVRHRVTEAQVKHIDRLFEPIDLESLPHPSGEAEKTGPPD MVLVAIDDPDASTQIWKLCKKLRIPANIADVPPECDFYFGSVHRDGPLQIMVSTNGKG PRLAAALRKYIASSLPKGVGNAIERIGVLRKNLRVVAPDASQGPKRMKWMSKVSDQLT WDQMCELTDEDMQNLLKFFDSGKVPSFKDLKAMRAGGDPDELDLFDGSFGFSIGI PFICI_05245 MSSRRLGGGRVLGSGKGLAPPSAATASPAVQRATSPYAPSDSTP SIGGSIGESILSPGSGSPSSASPLPGLAQDLASNISLGGPSNGAAKGALVCPICEEEM LTLLQLNRHIDDNHQELPDFQQDEVKTWFDKQVLKAKKFQPLSLINQKLRGLEVFESN ETAPLPTHTREVSATRAPTILETPFDPDEIITKSHWQRSGFNDVCTDPACGKGLGAVN GSINCRKCGRLFCEEHTMYQMKLSRSAAHEPVRGYWYRVCETCYKSRDGYNDHHGFTR DHTSAFSEIRKKRVDRQTLEISRLEKRLTKLTQLLANPPEEMLNGSGGGGTSLLSPVA SLAGQRNQRKMIEQSVVTWEDDAKVSKCPFCQQEFGSWTFRRHHCRICGRVVCADPQT ECSSEVGLNVANPSVPKSEKPDGSPISVDIRMCRDCKFTIFAKRDFVESVTHKPPDQR AYETLRQFERGIQQLMPSFQRVLIPLQNENNPPSHAQIQEASKLRKRLIDSFTKYDIA AKRIRDLKTASPTQKHLQKAVYQAASAFLHMHMLPLKNLPKILKHSSSASSNSRRLMP NGHAPSPLRSNSDNYLDTASVTSETSTVVSALETEEKDLKERLIVLEEQKYMVQSMIA NSQGARRFEEVSALTRNVAELEKEIESVKAKVGDVEDRWQGLYANGGLSNA PFICI_05246 MTEFTTSRECADTQSQSSFFGLLPREVRDMIYAHLWEASKSRQH VFQREGGSLTHYACTRPRDSSDERNEKFEKFWHRYRDQNPGSTVRDAIWAQRISSTWN EHWCCEEAMLKAEAGVKESKKSTRSIFLSCLLACKQMHHEAGPSLYSHITFILTTLPA SHRFFVTNPSPHLKHARSLELSLNVPYAALHNYNENNNRQQHAATLRPNAWADVCIAL SNLCLEDSNSSGDGSTGVNVTLRSVALRLDLVEDDRFWWEVRESSALSPIHGPLRARL ALQLPELTVDVDRMRMFQYESSISSNPTTPSESASGNWGEAEDDQGRGNVGSDNQPFL VYPLPSYLRSCSGSNVKKRLISDFQSLKRYSRRRWTTTDSLDDGIEARLEFFSPREHA PEALVHRMTGLFRGMLMG PFICI_05247 MFHSTIQGIAACALLATTLVGAAPLLQTAATMTKRDTAERYIFA NCINNVTSAGYAAIFWYYPDFLPDFPEPQDTAYVNNATTVDFAGTTVSVSSPFTLKAV LPADAATAAEGDIVSTDASASSFAGPMAVVKGSGDVFYIPATNVNCYEEYWQRDNQPV EDP PFICI_05248 MASRLDRLVTILETGSTKLIKDTAVNQLADWQKQHPEELFNLLS RVVPYLRHKDWDTRATAAKAIGKIVENAACYDPNEDEDPSSAKKEESAENGHIKKEEE PAVAVSSELFSLDTLDVSMILKYGRELLRTGSVDLGLASLEPQARLVHQKKTLLGRLG LLGRPFEDDEIPIQIEKSASPMTPQDAPPNGNGLGRQESIGGPAQLGEDSGLSARQLN QLRRKRKRDAQNAAKGKGGFGDLSIRRSFTDKSDIDDTPMADTDSKKNGKADYFSLER PDDVDEESKVVSEYKGPVIPIKSEIETKDELQGSEWPYERLCDFLKIDIFDPQWETRH GAALGLREILRVHGAGAGRLRGKSRSDNDALNRGWLDDMACRLCSVLMLDRFTDYASD TSVAPIRETVGQTLGSFLKHIPPESVYSIYRILHRMVMQQEDLGLDRQIWSVCHGGMV GLRYVVAVRKDLLLQHNDLIDGIIQAVMKGLGDLDDDVRSVSAATLIPMAQEFVAMRP AVLDELINIVWESLSSLGDDLSASTGKIMDLLATLCGFPEVLEAMKKSALEDEERSFK QLVPRLYPFLRHTITSVRLAVLKALMTFVHLGEESLGWLDGKTLRLIFQNLLVERDSA TLNVSMDLWLALVQCLAKNPEHLAQEFLPHIDALMQLTLHPVGVSRNPIPMNGALFQK PSGGTYSITGFVSQTPRKGSETEGERAAKRRRKSTKVDEAPTTAHSHDLDGHMMHGDV DLVGMDTLIRSRIFAGRAMGFIMSLVPTSRLEAFDATLMPGFTSSYSSTQLSACMIVD EYSTNSANASSEQRYVDELQKIIESERPSHYRDLVSYVQRVRSQCAQLVNLFRDHGKV SQSKLPTLPVVVQGEAEAGPTAFSISIAEKCVGDDFERLRKAMAPAQRLITTNDLMDA RKSTSTAIQEAKSAKDGRDIRIRAAAACALIAMKVLPKKPSPLIKGIMDSIKLEESHL LQTRSSETISRLVQLFTEKGRRGPADKVVANLVKFSCVEVAETPEFPVHVNKTDVVLS MQKEEDRVDHDDPEKWAREAKAARVTRRGAKEALEILARVFGADIFNIVPSLRKYMEE PLTVAFSGDLPADAKDPECELGQEIVDALSVIRTMAPTLDNALHPFIMQQVPLIIKAL HSELSVYRYMAAKCIATICSVLPVEGMTALVEKVLPSISNPIDLHFRQGAIETIYHLI AVMGDGILPYVIFLIVPVLGRMSDSDNDIRLIATTSFATLVKLVPLEAGIPDPPGLSE ELLKGRDRERTFMGQLLDPKKVESFQIPVAINAELRSYQQDGVNWLNFLNKYHLHGIL CDDMGLGKTLQTICMVASDHYNRAEEFKKTGASDVRKLPTLIVCPPTLSGHWQQELKT YAPFLSVTAFVGPPAERRAKASQFASSDIVVTSYEVCRNDTEYLEKQSWNYIVLDEGH LIKNPKAKITIAVKRLPSNHRLILTGTPIQNNVLELWSLFDFLMPGFLGTEKVFLDRF AKPIAASRFSKASSKEQEAGALAIEALHKQVLPFLLRRLKEEVLDDLPPKILQNYYCD LSDLQRKLFEDFNKKQGKKISETAGREDKEAKQHIFQALQYMRKLCNSPALVMTPANN MYDETQRFLAKQGTSIDDIVHAPKLTALKDLLIDCGIGVEGTDSNDPLYQPIKPHRAL IFCQMKEMLDMVQNTVLKRMLPSVSHLRLDGSVEANKRQDIVNKFNSDPSYDVLLLTT SVGGLGLNLTGADTVIFVEHDWNPQRDLQAMDRAHRIGQKKVVNVYRLITRGTLEEKI LSLQAFKIDVASTVVNQQNAGLGTMDTDQILDLFSLGDAGPNLITDKPKDGIDGREED MVDVETGDVRAPGKKAWIDDLGELWDNRQYEESFDLDGFMKTMQ PFICI_05249 MYIKQIIIQGFKSYKDQMVIEPFSPGTNVVVGRNGSGKSNFFAA IRFVLSDAYTQLSREERQALLHEGSGSAVMSAYVEIIFDNSDNRFPTDHKEVVLRRTI SLKKDEYSIDKKVTTLKEVNQFLESAGFSKSNPYYIVPQGRVTALTNMRESERLTLLK EVAGTQVYEARRAESLKIMNDTNNKREKIDELLEYIKERLSELEEEKEELRGYQDKDR ERRCLEYAYYHKDQVAVQEALEELEEARQGGIDDTDENREAYTAGEKVIAQLDAEIHK LQQQMELLRIDRRQLDEDRRESAKARAKSELAVKALSDSMSAQDQAKQQHDAELAQVR KAITSKEAELAKILPEYEKRKTKEYEIKQELDTAEAGRQRLFTKQTRSSQFRNKAERD AFLKKEINDLNLTLSQQKATRLDAEEEVKNKQSSIKQLEKDVANLRSKNEGFGNSRIA LADEVTGAKDNLEKLQEERKLLRREEDKLDAILSNARRERDRAERELSYTMDGETSRG LATIRRLRQEKDIPGAFGTLAELLDVNEAYRVPVEQVAGASLFHYIVDNEETATYFMN ALQRTRGGRVTFAPLSKLHPRPANLPRANDAVPLVSKIQYDPTYDAAFQQVFGRTIIC PNLTVAGQYARSHNCDAITPEGDTTNKRGAMTGGYIDPGKSRLQAVRNATKWREEYEQ LLSQSSSIKKEIEKKEQEITYATGELRKREQKLRQMDDSYGPLRAELNAKSVQIGREQ DHLEAAIKRRETVDRNMKDFGDTLNAHEAELSSDFKKALSANEEQQLESLSQSVQDLQ KQWTEISRARRELEGRKQILDAELRNNLQLKLDQLTSQAFENGAGGSSGNLKEAQREL KKAQKAVASVETRLQENEQQIESTEANIGKLEKQKAAKDLEQQELARDIQKQQKRMEK GIQKKALLTTRAIECAKNIRDLGVLPEEAFEKYERLESKSIASRLKKVNDALKKYKHV NKKAFEQYNSFTTQQDQLLKRRKELDQSQESIEELVAHLDQRKDEAIERTFKQVSKEF ATIFERLVPAGQGRLVIQRKADRRVDPEESDEEQRGSVENYTGVGISVSFNSKQFDEQ QRIQQLSGGQKSLCALCLIFALQQTESSPMVIFDEVDANLDAQYRTAVAGLLDSISKE AGTQFICTTFRPEIVLVADKCYGVTFRNKNSNINCVPTEQALDFVEGQAPR PFICI_05250 MKRKLDENDAPSVEVADVDKKEPSFADFNLEPRLLQAIASQRFK TPTTVQQKTIPLALEGRDIIAKARTGSGKTAAYVLPVLEAILKKKKQASTPYTAALFL VPTRELADQVTTVLESFTSFCSKEIQIVKLSDRVPDAVSKSLLSNSPDIVVATPARAW HNVKNSALSLDNLTHLVLDEADLVLSYGYDDDLQSIAGVIPKGIQTILMSATLTTEVD TLKGIFCRNPTLLNLEDEAEEDKLSQFVVKCAEDEKFLLTYVMYKLQLIKGKSIIFVA DVDRSYRLKLFFEQFGIRSCILNSELPVNSRLHVVSEFNRNVYDIIIASDENEVIGDE ESPEQEDAEEDAETTGKSKKAEAPPKKKKRKSPKDHEYGVSRGIDFRNVSCVVNFDLP TSARSYTHRIGRTARASQSGMALSFVVPKEQYRKHMPTTVETAENDEKVLAKIMKQQQ KKGREIKPYAFDMKQVNNFRYRMNDALRAVTSVAVREARVRELRQELAKSEKLKRYFE EKPQELSHLIRHDTEMRTARTQSHLKQVPDYLLPEGKKALTANEIGFVPFKKDGKSKK GKSFRGKGKRIGTRRVDPLKSFRGRPKSKK PFICI_05251 MFRQHRDGQGAPEYLNPSIDATANADLESGSFLTESQRNNAIEK PDHASTNKNDGDNPYLDEYTALVRYVDTYRDPHANDGPGEEDFTMDSKPRPWWAFWRG GKAGYSAASLSSFETPSDWLTTDIREGLNDMEVERRRKYTGWNELSAEKENMLLKFIG FFRGPVLYVMEVAVILALGLQDWLDAGIIIGILLLNAIVGWYQEKQAADVVASLKGDI AMKARVVRNGTEMEVLARELVPGDILIIEEGHVVAADSRLICDYSAGIQGQAQYVSEL SAQDITSPRREEFEEGDDEGTPHIGHAIVAIDQSAMTGESLAVDKYMTDTVYYTTGCK RGKAYCVVTSGAQASFVGKTASLVQGAKDSGHFKAIMNSIGTTLLVLVVLWILIAWIG GFYRNLRIALPQESSRTLLHYALILLIIGVPVGLPVVTTTTLAVGAAYLAKEKAIVQK LTAIESLAGVDILCSDKTGTLTANQLSVREPFVMEGVDINWMMAVAALASSHNIKHLD PIDKITVLTLKRYPKAKELISEGWKTEKFTPFDPVSKRITAVCTHKGVRYTCAKGAPK AVLALTDCSPEQAALFREKAAELARRGFRSLAVAVQEEDGPWEMLGMISLFDPPRSDT AQTIAEAQALGLQVKMLTGDAIAIAKETCRMLALGTKVYNSDKLLHSDMVGTSIHDLC ERADGFAEVFPEHKYQVVEMLQQRGHLTAMTGDGVNDAPSLKKSDCGIAVEGATEAAQ AAADIVFLAPGLSTIVSAIKISRQIFQRMKAYIQYRIALCLHLEIYLVTSMVIINETI RADLIVFIALFADLATIAVAYDNAHYEKRPVEWQLPKIWIISVVLGVLLALGTWILRG TLWLPDGGIIQNYGGIQEILFLQVSLTENWLIFVTRGFETTPAWQLVAAIFGVDILAS LFAGFGWFSGGGESLETAASVSAKLSDNGATDIVTIVVIWIYSIAVVIVIGIIYYMLS GWSTLDNLGRKKRSAQDTMMENILTHLSKVALEHEKDEKGSERYYIAQKQVVEEEE PFICI_05252 MAGRFVRASKYRHVFGKSTKKESCYDNLRISRNAWDTNLVKANP EYISVNWEASGGGAFAVIPVNEKGKLPDVIPLFRGHTSAVLDTDWNPFNDRIIASASD DGKVMIWEVPRDFTLFTDAEEPADVHPVAKLTGHSRKIGQVLFNPAAENILASASGDL TIKLWDVGTGQAALSMKHPDIVQSLSWNAAGNMMVTTSRDKKLRVWDVRQERPASEHQ GHEGAKNSRAVWLGEQNRIATTGFSRMSDRQLALWEPGNTSPIGGFTTLDSISGVCMP FWDDSCNCLYLAGKGDGNIRYFEYENDKFEFLSEYKSADPQRGIAFLPKRGVNVHENE VMRAFKTVNDSYIEPISFTVPRRAETFQSDIYPPAVGAKPGLSASDWLSGKTALPSKI DFESIYEGNAPVEVASDYKPPAAIPAAAPVAKPAPKKEPEPAPAAAARSPPPTMKDQK QSMAAMASKYEDDEAESDDDESSFEEISKPTQRSAVPASAQPKAAPAPTKTFSAPQPK PAPSVRSPTSTTSSAAATPTASAPAPSASSNSSVEASLEQIKQLLETQTKMITSQGQQ ISVLTGEVESLKKRVGSGSQDQSERVRQLELELEALRS PFICI_05253 MNRESFDNIYLDLSKESGKCRFSENGLGWKPSGGGNTFTLERND IATGQWSRAAKGYEVKILKRSSDVIQLDGFQEDDLQRLTKVFKNWYSINLETKEHALR GWNWGKTEFTKAELVFNVQNKPDFEIPYSEISNTNLAGRNEVAIEFAASDEADTGTNG HLGGARGKGKKAGAGGDQLVEMRFYIPGVTTRKETEGEDAGSDAEGEEKNAATLFYET LIEKAEIGETAGDAIATFLEVLHLTPRGRFDIDMYESSFRLRGKTYDYKIQYEHIKKF MVLPKPDDLHCMLCIGLDPPLRQGQTRYPFLVMQCKKDEEVTIDLNLTDEQLEASYKD KLQSHYEQPLHQVITYCFKGLANKKIVSPAKDFQTHRQQTGIKCFIKASEGFLYCLEK AFMFVPKPATYISYDQTASITFSRVGGAVSTLSTFDISVHMKNGAGATSFSNINREDL KALEGFFKLKGLRVKNEIDEDANLLAAALREEAMSDSEEEVVGPRADRGSADEDEESV DEDFRADSDSDVAEEYDSNHESSGSGSGESDVDNDDEDEDMADADD PFICI_05254 MASEEPVTQPLRISKNGTPSPTKKDSQPRPLSEISTTEKRRNSP SWNQTTKKLGLNTDSSPFQSSPLENATSPRLFWQNRNTSKPIESDLYGGRNGSPSPTR RSSIERLQKASRVKNSTMFAREQKQEYDPTRIPTIERPLAKVQGFHPGHGRSESQNSV TTLYSPSRLMNSPKPNFSAPQTPVKEQMSPIKSSMSASRFKSSFDSASGDTPMEYFET SFGDEELPNGRFLNRSMKSVTFDNAPPQVNEYEMATPDISSIDSNSREGSYDSEEEDD DDYENYHHNQDGDVPDDSFDATLEDTDKTPVVGPDDWRQDHDNRFDSSPMPENSPMTL AAGKPQHIRTDSCTSSGEHRPLPPLPGMAERMLGSPRRGLPSPPPASASKLEIQNIGN GKMTLEERLKLMMLSDETSPKAPDQGAKSFAEQQRERRMRRAGARDRVGSPEPERDAT ESEAGEGDDTIGEISGLDMDYQLPSISRQSILQRVNGNKAFERESDFNLNSSPAPSSP ERAAQYDPDVPIASIEDSVLEDITEHDEPSVIDITDDKESDVVDLYQESEDEHSEAEE HDIHDDDSDSHYSDEIEKTQAPHVEEDAVTTPRAASPADEEAITNFSATLPQVNNGAK ESDFARSLQSFMLPKPKAADAFTHIESRKMDEVEEYHERPATPQQAKPKPGLYDGSGW GDSEDEEEPGTPESVIHHPIGNETFSEEEEEIESPAIPERVATIKASGSKLKTRPSAT PSDLAAMREARRQVSREIPLLPEIPPIPERHRNRASRDFEAEGLLSVRDDYMDRHPSF KKRSLTLDLDLGLSLDQDFERVIEAQKVAFQQISFEKQFLAASASPTRQVSGTRLIVA TDKTPSRKSQEGHLDTNITSRPQRGYLMRHNTKVVTASDKHSDESLRDATRSAGNSPV KTSRPQSWTVEPWNGKARQRSLKKRHAPSLSGPVPPMPGQESNAAALNPLAEEEGQTE LATEECGERGRLFVKVMGVKDLDLPLPKNERTWFSLTLDNGVHCVTTAWLELARNAPI GQEFELVVPNDLEFQLTLNVKLERPEPQRLPASPTKMTKPKTSTFSRVFASPKKRKEM EARMRAEEEAYAQAQRDAAAKQRNLAPTAWDLLSPLAADDGSFARSYVCLKEHESRCY GRPYMVDIAAFNEWATEDAGFASSVKSKRAGTSTSVVRKAPYKIGKLEMQLLFIPRPK GSTDDDMPKSMNSCIRELKAAEERLSQSWEGHLSQQGGDCPYWRRRYFKLVGTKLTAY HESTRQPRATINLSNAKRVIDDRRQLTQPNTTSRDGKRRRSAFAEEEEGYMFVEEGFR IRFNNGEVIDFYADTAEDKDGWLKVLGEIVGREGQEDESSAHGSRKKWCELVLKREEA IRKKAQGRRTHSRTKSMIV PFICI_05255 MANQLNLNLAQEWESWKTPETKDVQPAPKPGEKAPVNENFTLKT DKPTLIVFLRHCGCPFAEKTFKKLTSISSKYKEDLHCVAVSHSSPEATERWVIQVGGN WEVEVVVDHEREMYAQWGLGVSNTWHVLSPLSLYRVFQLGKQENIWNRATESGNRWQT AGAFGVDADGTVRWVQVAASADDMPNLDEAVRTLGIQPRK PFICI_05256 MREIITLQLGQQSNYLGTHFWNTQESYFTYGEEGESPVDHDVHF RPGIGSDGTETYMPRTVIYDLKGGFGSMRKINALYEAEDGEPTALWNGQTVVQKQQPI ELSAYQESLDTGAAAPELTTGSVRYWSDFSRVFYHPRSIVQLNEYELNSSLMPFEKWS MGEELFSSLDKDHDIVDRDLRPFVEEADQMQGVQVMTGIDDAWGGFAAKYLERLRDEY GKTPIWVWGLQEPTNGLPRDKRLLKLVNKARALADMNSQASLVIPLGVPQRLPSSISL DRSSPWHVSALFNAAMESTSLYTRMRMTNQANSTSLGNAADLLNVFGKQVIVNMQLSL IEPPKPAHNGTNGAMQLPTGRGEMYDRLNALEFEEHQSDSGSPSGVTKLEVDLSSLEE NITSSAGARGGRKPHLFSQLSTARGEDALKGFDDDEPLENEYRRFERPKTHKFQSQLM YPMLDSYPRVFRDREGDSIRNPVSMRTVLSTDSSVTDKMKGLRTTVLRSIGLEDREDI GNELAEIAEGYKEGWSSGSDDDDDD PFICI_05257 MTRFRPCIDLHAGQVKQIVGGTLSNDTSELKTNHVSLHPAGYFA KLYRDHELAGAHVIMLGPKNDAAASEALAAWPGGLQVGGGISDKNAKEWIEKGAEKVI ITSYLFPEGKFSQERLDAVLEALDGDKSKLVIDLSCRRKGDDKWFVAMNKWQTITDME VNQESIRQLEPYCSEFLIHAADNEGLQKGIDEKLVQRLAEWCSIPVTYAGGGRHLEDL DLVQKISGGKVDLTIGSALDCFGGDGVKFDDCVAWNRKQT PFICI_05258 MTTVLGKRKSRASVTPKAAAPAAKPTISEEEAREIFRRHFEAQF APLSDNTTKQAAGKKSNGKSREKVKKSDEAEDEDVEDMRSSDEDDDDDDDAQEGDWDG LSGSDDENDEDDRDDEPEEANKIEVVDYTQSNTPKANPLAAALARREAKAYLSSKVPL ASVGGSDTGTTTKTKQKTKTPTEEDSADLVRNDLALQRLLSESHLFNPTNRSGSLIGS TVNTEHSGRNRHLATDMRVAALSGGGGGKGAASIYKQDKMPMSHRKGIEGARRDRESK RRREAKENGIILEKESSGKKSSVGGGGGGGRRRERAVDAPAVGKMRGGMLRLSKRDVA EINGPVRSGGKMKKRRR PFICI_05259 MAAAPQKPETFMLSTEAQQALPHDAQVALQQVDNLKYFLISAPV DWQPDQYIRRFLLPTGEYVSCVLWNNLFHVSGTDIVRCLSFRFQAFGRPVKNSKKFEE GIFSDLRNLKSGTDASLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHDRLFLDAL ERDLKREKMGQEATTMAVSEPALSFQYDSSQSLYEQLTKAQQANSSSFNAQQVSFPQS QAPSPVMRAMESMPPPQMIPQTMAPLSDGMDAMVQYQAMAMAPALPPQVQQVVKREPE FARVQYNQNGVPIQGHQRHASMPAYGLEYSPAPSFVSSQYEDYSNRGISFEPITPPQQ ALGMGAEPAYIANEETGLYTAIPDHMGGVNGLNGMIQLPPSNLAGPQFSRAYGANNVY SVIEGSPTYKQRRRRSSIPPSISAIAAATAQVQAAAHRPSDLRRSVSASVGPVPEDEG ETSPPGLAYSNSQVSMTSQHHKDMLDMSRHGTPLSTVEGSPAMNPMALQHHDYMQMGH NDLNSDAMSDRGMMSQGGPNVVRRARSATVMELGPYPQKSHSCPIPTCGRLFKRLEHL KRHKRTHDRGEGVEGGFPLSGEEEDEYSGEDHLGSLEEASPNSEGGYMHSSLNSVAHG HGHTHGTPTSNGMMPTTSMSQQPTFNSLQTLSMPMTMSTPAGAMM PFICI_05260 MTTGRHIVRDISCKQCHDTVGWKYDKAYESSEKYKEGKFILEAE LLCNVS PFICI_05261 MSAPSNSTASSSGGASTAGQGQASGWTADMENDSLSNYLLWIIA IISGCVIIWRVTGSLVKYIRHVSCMNNDTQRYFAYVSGKVAWVKKNILYSPIFSKRRN REFQLSSAVNMGTLPTRFQLFFLVGYLATNVAFCVVHIPFESFTDGGKQLRNRSGTLA VVNMIPLFIMAGRNNPLINWLGISFDTFNLMHRWFGRIVVLEAVCHTLAYLAVSAQTN GWGTAFMTLFKVPFLMWGFVATAAFVVIFFQASSISRHAFYESFKILHIGMAALSIAG LWYHLGLKDLPQMKWLVAVVPIWVIDRALRFIRIAYNNFGNGGTSTLVEALPGDACRV TVTMARPWTFKPGQHAYLYMPAISLWQSHPFSVAWSEEAESLDSEKLAMNRQDVLAMR KTSMSFVIRARTGMTKNLYDRAAAQPEGRLLTTCFVEGPYGGLHMMHSYGTVMLFAGG VGITHQVPHVRDLVAGYANGTVATRKIVLVWIIQSPEHLEWIRPWMTEILAMEKRRDI LRIMLFVSRPRSTKEIHSPSATVQMFPGRPNIDTLLGIEMENQVGHMGVTVCGPGALS DEVRDAVRRRQYNGAIDFVDEAFTW PFICI_05262 MGTNEFKTPRRPKYRVNKPQQRGDHILPWTASRCQRLLRPLLSR ISLLRKSATNLPCPDTLPQSLPSPTRPAVASESCAWLAPRKKLRRTYSQRGANALSVE GPEVEPVRFKAARDLQRESHTGEIVAFTPLLRRVRGHQMSSPIQGSEPVLENSFETES RFCKRDAKLEDWLVSLRTHVSPARYTDYEAVFRATEALLNVVSQRPSRKGASSFLDMC LRKVPHYIAGVKAWEVHEAELSGTRTNTTGADTGSRIYSELESLGSFDDGWTHLRTAT EAEAMNVLVRAMAEGLFPDEFSMILIELCSNYGVKQLDELLDTFTSRPYPRPLSLDSK FSEISMLRPLSFLRDISIKTGRPSMMLQQISVLLARGSLHYTWLAIWDFKEIWASAFE MISKGRAALDAVDFLATSVILLCRNLQISPNQKLPDSPNELITSCQQLHITTLAILAA MRRLSQDELFANKSPNPVKVARIGRGIGFTLNACIGEIESWKGRRGKPRVLLYLAMFL SSPISEGERFDNLVAKVIEATNGSNEERLQFMKRSQAMISLLSGTAKICGRGTTLASR HYLAAFCKQLGRLGLPTDLLETINKTGAFTLAEQSNDLRDLLYAESLVSGSASTGGDG CHQGSRKPMLFEGFRWDASISEWVTASPTTGKKTQRNKTLRSSARAHHGRMSDPFVDR TSLSPSRSTGSTGHVATATSSDNIRISGQGRLGSASQDSLSSITISDTTPMPRHQKHA NHYKKRHSEPHAAKNTTFDDELCDNKENQGQVVKRKRYGSEVRRPLGRTRRVRLSGGG VHSDDELGV PFICI_05263 MSAEKENAPAAAAATPSTEVAANGNSEPVADTSADVPDEEAEQA GEALFPLTVILPNEPHKINMVASPQEQVHELRQSIIELPAAFQYSCFHLEFNGERIND FVQISDVKGLGADAEINLVEDPYTEKEARIHVIRVRDLIGAAGDRTDTLHGVTPGISL FDAVTAETKDIDNAQAASYEFAADAKPTTLLPKEEEPAPKTVKSIALSAWNPPPYHLR QKGHLLYLVVSTNEGEQYQITSHVGGFYVNKSSNSKFDPTPKSSPKGHSAHSLLTLVE QISPSFAESFQNLIEYSSRRDPLATFQLTNAIPAAPWLVPNTSSTVNQHSADITRSQE GYLIAGIDNTDSLRDWNEEFQSARELPKETVQDRVFRERFISKLFADYTDAAAKGAIL VARGEVTPLNPTEGRDAQIFVYNNIFFSYGADGVGTFTTEGGDDAARVATGKDVAGVR IVNQLDIDGLYSPATVVVDYLGKRIVGQSIVPGIFKQREPGEHSIDYGAVEGKEIVAA DERFVDTFSKLSRSLRGKKHAVWDKDGKRFELEASVETKGLMGTDGRKYVLDLYRITP LDISWMEEVGNVGENGDSDYPHRMSVLRPELVEALRTQKWTEWVNAELAKRQKKLADK SESKQEGSEEATDKSEEKSEEKSAESEAEKPESGEEQQEDDDRLDTSGFSFALNADAF SGQEPQTDAEKEQWAADEQEVRNACAYLRENIVPEFVNDIKNSELPFPIDGVSLTRIM HKRGINMRYLTKVIAACEGPRLQRLADLCQQEIVARSFKHVAGKYLRYLPMPLTSTCI AHLLNCFIGFSYNPKPAADIDESLKALYPEADLAFAELTPESIRKEIEGEATRRFRYT LQADWPFQLKPLQVLREVSLKLGFQLQHKEFQFTEDAKSESEQPASNGKATNGVNGHA SAESNSKKKKKKAREGSPSSNASATVAPTTFVTDDILNIVPIVKDSAPRSVISEEALE GGRHSLMQNNKKIGMELLLESLTLHEQIYGIIHPEVARAYATLAQIYYQLDEKEAAVD LARKAVIVSERTLGVDNAETLLAYLNLSLFLHGSGDSKSALTYVKHGLDLWKVIYGAD HPDSITTLNNAAVMLQSLHAYHESRIWFEQSLGVCERIMGKNSLSSASLLFQLAQALA LDNEPKAALTKMRDCYNIFKDTLGPENQNTKEAENWLETLTQNAVALAKRDKDQQAKR LRAGIKFPTRNVALGSGAGSAQTSVLSKSKGSKTGLDSRSIDELIKFIEGDDSQKKST KKKQSGRGGPKMRRLSVANN PFICI_05264 MPPSATRRSSQRARKTRDPSPGPATELSSPSRPSKRRRKVQLDP PSEPADDEDSTLTVDANPNSDEALISKVTQCLASIPTQASRDHANSLQDPSGGDISAY AKIAAQEWTYFVKHLVVNIGRTTEIAHGQSIPTDPNAKDFVHIDLGPTKVFSRQTAMI YFDPDADPESDHGSWFLKVKGRNGLKVNGETLKREDSPYLLSSGDVIEVGGIEMMFVL PANLGPLRVHEIYTSRIGHGPPTISTKPQKSSPPPEVRSALPLPVPEAISSKTTKSTA ATSGATGAGPHQLIAPAPHDYRRPGTPPSAARGRTNASSNHRSPAYGSSGTLLMNNND LDLSLDENKHIKPQYSYAQMITQAIISTEDHKLNLNGIYRYIMDNFAYYRHAQAGGWQ NSIRHNLSLNKSFAKMPRSTDEPGKGMKWEIVPEQKEEMINAAYKTGRGGHRGSSAPS SPSQPGPLNYVNHGPREMAGRAPGSARKRKLSPIASPPPPSSVNPTQTPDQRSRYDAD GPANFQDGSPLPRPRKPLTASGSFGTADGVPRSPPTLSSSYMQDESAPFVTPAPQKLH PRLAPPSTAQRPSQHMPTSSPAPFWKYADIGSTPLRALPFDPSPSKPALPPLPGSSSP PAPVNGSRSPIASPSRSTVRSETPHVKAEPALAVQDDDDDDEDDDEEAGFDLTKGFQS IGSYHAPIGRGLGVPRANGR PFICI_05265 MTATAGPFAHDTFASDPSDPDGPIFRNSPPMTAYRPRLEPSLSP PPAIPLPKVSLSPTAGRKASNRKKKVQPSQGDAVLVHYLDGGRRPEIAAEAGAYPLDG GYCDDGVGGEEDDDDEDTDEEDEGDNHSRSSSMSGYIGSPKMSPDPRPTNGAMILKSL ALAALEQQPSSPGADSAPKSAVMENDKVKGQMRSPPEEMIQLQEQQQQQQQLSQAPPP PPQVTHHPQLQPLQPRDMTTKPGLAMPITPYTPSVPEFCSPRLPSSSGLRHPDPMSPT STPSNHGELAPIMASPTSETNGHTSQALPSIRAQVGDLLIEKHYPDKDNAWRRPSFPQ SPPGLPGMSSIPGMTSPTSPQYPYTHSMPSPASVYTPHGSYPGSGFVPRSGQDYSGPT GRHPEAPVIMDHNNPLVSPVSRDRMSIDHMTNQVGSFVCTFAGCNAAPFQTQYLLNSH ANVHSSARPHYCPVKGCPRSEGGKGFKRKNEMIRHGLVHESPGYVCPFCPDREHKYPR PDNLQRHVRVHHTDKDKDDPQLREVLAQRPDGPNRGRRRRGVP PFICI_05266 MALPTTMNAVVFEGPVQDEQDIIVKVHSTALCGSELHVFRGHQK SDAGFIMGHEFTGEVVELGKGVKSVQIGDKVVTPFTISCGDCFFCNIGATCRCEKSQC FGTENLDGGQADFVRVPCADGTVFKAPSEIDDNALILMGDIFPTGFFGTKNAFAGLGS QKPSEATAVVVGCGPVGLCAIVAAMEYKPKHLFAIDSVESRLELAKSLGAEPLNFAKD KEGMLARIKEVTDGRGADVVIEVVGLSPALRTAFDILRPFGFLSSIGVHNAEIPWDGD DAYTKNIRVQMGRCPVRAVFPEALKVLAKNQDKFGFMFDKIMPLRDAAEGYDLFNNMK VQKVIFKPN PFICI_05267 MEKQTHTHDLVAAVYENLENQQDWTSLKKHVFAPESNKPFPRPL ISGLPPRRIYIHPDDQIEMIKNNTMNAAAQTPEVEWVLSTSLNETISLEFLSAIFDTM DRPSGLPSGRSKRLLLAVRHDDSTVVYYFVHDGIVKPRQN PFICI_05268 MPEESSPMLGGGAATTTTGSNPHDDIVEADHHNDHKVQESTGAT VAASLVGTITREQQYENGYHFPPKYSWRETIEQGLVDFWAFYNTGFGFFLTIYSLNVI AWGGMLFLLLCNASPWMCNGDCNNIDSPRRIWIETDSQILNALFCVTGFGLAPWRFRD LYLLLKYRIKKDTRALRELAGVHRGWFRLAGSESLPLRLGPKNIEETTTSYSEQSVPL PLEKISDAPPTGIRAPPTKMWKMDCVVWLNVANTLLQVCLSTFMWALNRYDRPSWSTG LFVCLACIVAGIAGVMTAIEGKHVKAIEGVPLTKRDHERLARDKELGIPHWNNIKDKD PQEKENKKKAKKMASHEEKTA PFICI_05269 MASKALIPFLVAMMLLTGVCNTLLTKYQDNQCVRDCDTAHPKLF EQPVLQTAQMFIGESGCWIVVGLMTLYRKYFGSQTPGENGYRPVNTNDGTENEEAEGA ENSAAATKGAGYGVLSGFRVLLLALPAICDICGTTLMNVGLLMVAASIYQMTRGALVL FVGLFSVTFLRRRLHAFQWVSLVGVVLGVAIVGLAGAIQPDRKAAPASIAAGEPTAED PALPDAARVIIGVLLIAGAQIFTATQFVLEEWILERSSIEPIAVVGWEGLFGLVVTLI GMLVLHLAIGRTDSGRYGMFDMVEGFRQMTEYKAVLVSSFMIMISIGGFNFFGLSVTR SVSATSRSTIDTCRTLFIWIVSLGLGWETFKWLQVLGFALLVYFTFLFNGIVNPPFKF LEVDESEIEELLPEEPIEHN PFICI_05270 MEAKAREREAQISLCFGQEALDHAISAAELYMKAANTARDPSEK ARLKQKVNSLVSLAERLKKAAKAGDSVRNVEKRLKLPRSVRQLPTKEQNILLRGSKLH GNIFPPWEHDPDPNDFAGEAFVDQARFPLSDKQLEVFAGWKRPLEIESFGNTDVQDER EEQSLMEAGEDHDLVQDITTDCSVVASLCASIKHFRPGPLSILPALMFPVDSETGQAK VSTSGRYVFRMYFNGCFRKVVIDDRLPSSSNTRTLYVVDRQNPRLIWPALMEKAYLKV RGGYDFPGSNSGTDLWVLTGWIPQQLFLQSEDIDFNQTWSRVKKAYDYGDVVVTLGTG RLSEVEEETLGLAGEHDYAVLDISEQSGIRRLLVKNPWCDGLVWKGAGSLSTDADDHP TKLKPGSFWIDFDDVVHNFESLYLNWNPSLFTDRQDHHFVWELPTPSMSDSFAHNPQY SLTATASGSVWILLSRHFQDDELAIAKSRPTASHLADVSNRLGFMSVYIFDHAEGKRV PLGDKSLYRGPFVDSPQTLAPFEAKKGVRYTIAVAQHGLPLPKYSFTLTFFSRGALGI GQAPDSLAHYTEAQGSWTRRTAGGNAASPSYLSNPQYAIAVTRPGPLSILLSTAHEEL PVHIDLVWAKGQRVTALANRDLAGSSGDYRRGSALLHMPHVDVGTYTVVCSTFEPGQL AEFTLRVGSMQPVTMVPVLGDAAGKLRTALAPLVFRGADDSKQRAPITTSRITRVSIL VTPPDSMYSGIATPKSTTLRLRLETGRGPNKQIIAATGEGDFKELGTAGLRTPEFDLD PNITRRGVWIVVEQIGGGGQGPGGSLKVEVLSEGTVNVGSWETIDD PFICI_05271 MSTNTAIGNEKSSELENPPTAAIASNLMLEADIPSSERLQQPSR TMEEDEHGSWDSPDDPDNPYNWRPLRKISISVIVSFGQLVTLMSTSMMASALSRIGHD LGIDESATQITFSIFVLGLAFAPFPIASFSEMYGRKPVWLFCNAFYILWNAVCPVGRS AALMVVARFLAGSGASVGITLTGPIMADMYRKEERGKSLAIATFVPYLGPALGPIVGG AISQKLDWPWLFYILSMFNALIWVIGFVFVKESYTPVLLARRHRTACAMPEQTSFQAA SSKLAANLRRPLQLLWQRPIIQVIALIMALNFGVYVLLLSTFAALWIQRYGESAFIST LHYIAISAGTTLATQGGGRFMDWVFTKQKARSSDGSTAPEFRVPCLVPPVILIPVGLF WYGWAAETGAHWAVVDVGVAVFVCGSFLLAQALLAYLLDEFSHAASANAASRMLSNIL GFAFPILAPQLYTRLGYGWGNSVLAFLFIGLGCPVPLLLWVWGSKLRALGRK PFICI_05272 MAVQSKAAPLPPWGFAVAGSTGAVLANALVYPLDIVKTRLQVQV KRKDGEPGHEGGEPHYTSTWDAITKIVAEDGLEGLYNGISGSLLGVASTNFAYFYWYS TVRTLYLKSQKTASPPSTLVELSLGAIAGALAQVCTIPVAVITTRQQTQSKHERKGFL ETGREVIASEDGVSGLWRGLKASLVLVVNPSITYGAYERLKTRMFPGKARLTAGEAFL LGAMSKALATIATQPLIVAKVGLQSKPPPIRQGKPFKGFIEVMQFIIKHEGALGLFKG IGPQILKGLLVQGILMMTKERMELLFILLFRYVRQARAKQLAAAMELASKAKAAVPLT VK PFICI_05273 MAKSKNSSQHNQSKKAHRNGIKKPKTSRYPSLKGTDPKFRRNHR HALHGTMKALKEKAEGKRETA PFICI_05274 MNRNSTPEGSALSSLRPPQSRTSVGAGPSLRASADVAAMTGSTP ASRIRPSSDFYGQSQGQGQGGIDSEDKMTQQWIADIDQYETTLEEMAAATLDQDFKDE LSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMGKNHPMSGVLSPANFD KDPMSNRLSDAMNKLNVNSSRNSMPQASAKRFSGLEPSTINAMFPDAAAAIATEKAKF TQQTGQQPPSNRNSTVVDVRNSLAPTIAEESNGQHPSSPWGDGSRPKSSSGQPPMGQF VQPPPSAGLRSPRPQIGSNQNIQSQTINAPDKSASDLPLLSPYGASGNWASMVNTPMV PNFNNGAPNQADMVANATAMKLAALSTVNNRFALDDVRKYRRARSNDAPGAAQNPLSP GLPGGLPSTNVVMINEHGQVLNRDQLLAIQNQQAMGGFGSRSRPSSPGIAMQGGFGGM GNFASPQNNGFLTAYDSNNPLLNNGLNMGQLGLGPDTYLSDSDMRRGRSPRGRRGSSK PPEDPTDPSLLQDIPAWLRSLRLHKYTDNLKDMQWTDLVELDDKALEDRGVNALGARR KMLKVFEQVKEAKADGKLS PFICI_05275 MANASGFEQYGQATELRGEALEEEEEDELDLDELGDDPLLAMQN NLTTFEDSVRSFAAGGSEKSLLSQFREKPQQPREKKIIKRGPRKAAEPTGDVKLRLSA ATNAFMSGELDEALRQVNDAIRLNAEIHRSWSLLSEILRERGEYKQSLTAAVCAAHLQ PKIFDVWLDCGRFALELIDAEPDDAEDTLKIAIMVFSQAIKIQPDNLDTRQTRAALYL TRQSYKLAVGEYNFIIEREPYDLLALRGLADASVQLFESNRRYSDRQRWEARDAYQRA IDHYRAEFPMGAENEELPFTWDDVFTFTALLVHLEQYGAALDATRSLSRWLLGRAEES FWDDIQDDREWDVNERRRLDVPHFEEKKYPVETYGVSLPLRLRINLAICRLRLDRNTD DEAVQHLEFLDPADIDTDDESQQSELFLEVASTLYETKRLAKALPFYEPLRRQEDLLD ALSLYRAGKCYLEIEDRRQAEECFTAALDFDETPSDVRINARYELARMYEAARKNEEA LYLLEEAMGIEREQKEVSGSSGAEPARREARPRIIRNLAPKPVLDKPPVEPRAPRERK APAPKKPRQPGEKKPPRERMSRPRPLLFALDEDRKLEEERRSAYLAERWRIIHEQREE SEHGPGAAWMAAAKILVDDFRSFKAFYPWDRYLAQMGLQKDESATSANPHLLKMVQRL RGEVDAQQSAVNSRKLAETTVSYRNVEFRAWLDLFLEYALSLALADRIKEAYDVCDAA LAANVFFENPEDKFLANIAKAACAVRGRDEETCVEVARSFMASFQFCSDAFRMYAALG RLAHSSGTWFAHSKVQKFTLRQIKMMDAKLLPAEKKTRLLEQFDSKLYPSTEQDVQLL MLYGHILFISNSFTFALNYFVRAAALDPDNLMVNISIGQAYLHYGLKRQTENRQQSIT QGLLFLHRYYDMKLAQALTSGQRQEAHYNLARTYHEIGLAHLAAEFYRRVFREVESEG DGLGHEDLAREAAFNLQQFCLIGGDMEAVRDLSERWLVL PFICI_05276 MEKDANGTITVSELGKPRRYITDHDADGKGVFNKSFEEDIGSTV LPGILLYDTFLQTQTPIQMNNGEDLKNMKAAQPHAGLVADGTTVVRFVDFMPGTPAIY HRTASVDFAVLISGELELLLDSGEKRLLKPGDHVVQRGTKHAWLNPHPTAVARAFFVQ SSSAPLVIEGEELGESIEWPEEIQKQLEQQAAQAAQTQEAQK PFICI_05277 MESKQPTIWAVLIVTFVLATVCLLFRLFSRFLKKASFWWDDYFA IGAYVVAIAWLITCPIWMANGLGMHIEDVTWLTLNQAIYQSKLMLYIAEIIYAFGLYF AKMSVLLFYWRLFNVTNLRYPMIALMVMSTIWIIIRTFMAIFHCVPVRAFWDLTIVDA RCDIDDKKFFFGSVLVHLLIDVMILSLPVFQIGKLQLRKMQKIGIIAMFTFGFTICVC AVAIIVGAANFDTTSVDITWNVTDIVTWASAEVNLVTVSACFPTIRPAFMFFFGRWLP TTLGSGPGSEGVNNIYTRSGLRSGANKKSIKLESMPGVKGSDEDSSVFGLANNSHRGG NSLDNHSSDFEGHGFDGNPKGVSHTTVSYHHSGNNQERAAEEGKAAAMLGISIKKETS VQVSDNLSSNSDNKEVASNRSFA PFICI_05278 MATPVRKVKAAFASPQAFKESLRVPDAPVEGSAYFNEDLLPTPP DKRIWNTLHFFAYYLTQTFSSSSYNLGATLISIGLQWYHAMIAAVIGAAGLSIVVILN SRGATRYHVGFPVYARASSGIGGSKLFVAVRASVAIIYFATQSYYGGRITSVCLRAIF GSSWENIPNTLPESAGITSKNLLGFFIFWIVQFPVMFVHPTFLRHLFVIKAVYTTVAL FALGMGWAIRENGGGIGNFSFSAGTALTGSALVWPMIQAINSVLATLCPLLINQPDVA RYATKPEQATWSQATGILISKVVVMFVSCATTSASTGFLGKSYWNVWDLYDAILTKYW SPGARAGIFFVGFGMVLAQIATNAGSNSLPVGADSSGLWPRYINIVRGQVICALLAPF CVPWKIIASASTFLTFLGSYTVFLMPICGVMVVDYWIVRRGNFHVPSLYTKEPGTIYR YYHGWNPRALAAWVAGVAFTVHGIAGNLDATAVNQASKNMYKLGFILSFLMGSLVFYV LNLIWPVPIYPEDRASEGQMTFEYMADSEGFFAGESVEGIRGVLSGEGVNVVSDGGDE SRYGKGHLDEKTEPVVV PFICI_05279 MSSRQREAEKGLHDQTEILPKAKLLIVISALSLTLLITFIDQNG IGVTLPTIAEDLDAANTISWAGTSSLIANTTSSTLCAVGLLSIAALLCGLSRNAAMFY VFRGVAGIGGGGVTNLSMIILSDIVTLEQRGKYQGIIGAFVGLGNVCGPFLAAGFISR TTWRAFFWMISPLAALVGAVAWWLLPTKAPAAGFQESVKKIDYAGVLTSSIGVIFILI PISGGGSYFPWESPLVISMLTIGGLSFVLFILVEWKFAKLPMMPLMIFKNPVIRVMLC QSFLFGAVYQSYLYYLPLYFQNVKGYSVIVSAAFTACLVSFQASFSILAGQYISRMKR YGEIIWVGFGSWTLGSGLMLYYKRDTPPGLIVIPLIFVGIGVGFIFQPTLVAFQAHVP KSKRAVIVSNRNFFRCAGGACGLAISAAVLQAALRANLPAEYSYLAEDTYSLPKLEGP GYDAVLDAYMAASRAVFILQVPLIGTCLLGCFFVRDRGLQPPKEPGEDSSADTSAQVT VQQQEPDAAAEEGKVAHEPKEAFVGKDMSTTKI PFICI_05280 MVHIEESLQVPRDFEGFGEEGFDPKWPNGAKIAVSFVLNYEEGG ERSVLDGDGMSEPYLWEKGASGGYRENARYINAEHDFEYGSRAASWRIMRLFREFGWN FTTYAVAVALQRNPKFARALVRDGHEVAAHGLRWIDTWDYSLEEDKEYIRKAICLIKE VTGEFPVGAYFGRGTPQTHMLFPEVWKSVGADFLWSSECYNDDVPYWLDLPQEKNLPD EEKKGMLLIPYNYDCNDGKFHMSPGFGSSVAETYEQYLKNTFDCLYREGGKLMNIPLH TRIIGKPGRSEALRKFMQYISEKEGVWVTTRREIAKHMHEKFPYKPNGAWIPEN PFICI_05281 MSRRQRMDHLLHTETPRGSVAELRPRYTRALEERVAFLETALNE MASGQPMDEPPPAKRPALSAQHNALGEVVELLSMGNFEAPAYIGSSSGLNLALNLGEM VQATVWNKALPIHKNRRESTASASDKAGSHAITMQELVAHSAEPPTDTLGHNILTAYF HQIHPRYPFLDPEEVWALHRDRMVLSSTPVPNLTKAQRFGVFKLYMVYAIGAMLLQLT EKHTTSPPESYYMTALQHTFAARESRTTQNIEAMTLLVIYHLRSLSSFGIWYMIGLAM RTGIDLGLHRKQSETHFDHATVQMRRRLFWSVYSLERTIAISMGRPFSIPDRVIDVEL PDDEPAANSPASPGGAPVKQNSMTCALLLFKLRRIESRIQHSIYRADKPLSSLTSKMD RFYQDLEAWKVELLASLSGAETNYPLLHYHRALRLLVQPFLALLPPTDPYYTLCMRAS GDVCQAHKKLHQTLDYGHSFIAVQTVFVSGITLLYGLWTQLHAVWSVTLANDIRACSL VLFVMGERAPWVRKYRDAFELLVNATMEKLQSGDGRLAETAAAQVNAASSNKVWTPTD GASKPSPGGDQEAWRVVAELANWIDQDEGSPVWMPDFETLQSLGQL PFICI_05282 MASQGLSTDATAQQPADDSITTTTTAHNDIEPNLSRSPSSTAET RVTAGHGADRLSSKDGNDGDDNDSNRDEDEDDHNRDELGGTATRVTSTVSIAETLPLY RELLFVTVICLAQLFTQAGLGQAIPILHVIADTFGVTNTGDISWYIAGYSLTVGTFIL FAGRLGDTFGYKTMFLFGMSWFSVWSMVTGLAVYSNHVLFTFGRVLQGIGPALCLPNA LAILGATYAPGQRKAMVFAAFAATAPTGSVLGSAFASLFALTWWPWAWWCFAIVLAAT TVLGYYVIPDLPPSHMPPTWQAKLAFLDLPGAVTGITGLILFNFAWNQAPIVGWDMPY VYVTLILGILFVAAFFFIEMKYAKAPLLPFDALTADVGFVLGAVSCGWACFGIWFWYT WQFFEEMRGASPLLASAWISPVTVSGLGAAVFTGLLIHKIGPAWVMTIALCAFMTGTV LMATAPVDQIYWSQTFVSTIIQPWGMDMSFPAGTLILSNAVSKRHQGIAASLVNTVVN YSIALGLGFAGTVEINVNNGGKTKEDVLKGYRGAFYMGIGICGLGIAICLAFVFKSHF MKRSKTKDQEKA PFICI_05283 MAVDLASIVVAVISLVASVIVAGLGAYFSYSTQERKARREAERL LQKYRDPLLFAAEDLQSRLGGIFHADVLSFHGKSPHHHDALYIYTSFVLGQFFAWTHI LRTQTQLLPFSLEESKRLSKFIEILHSIQGVMLLNNNAEEGTAFTLWRGNQMAIGEFM AEGGSGGSAEKLCIGFYEFTRTWKADNPIGPEGGADLNTSTNTSGAGAAHYDGLRYWF KPITDGLSLLVEKGSDAPEGYRLRRLQHLLVDLITVLDPQKTRIHSKSIRRCVAAPSC PCTECQKKPGIHEGSSEKKSPFSVLTRKMDTLPV PFICI_05284 MVREIIHLSVGQCGNQIGSAFWETIAREHGLDQSGHYSGIDTNQ HEKIDVYFQEHQDSNYTPRAILVDQDPDIFNAVCSGYGQMFRQDNILSGKYNAGGTWF TEWHDEDAKLVEQVLENVRREAENCDCFQGFQLTHSVAGGAGGGMGTILLSKLREEYP DRMMATFSTMPSLKVSQGLVEPYNAVLAISQLIENCDVVFCLDNEALYDICTERLKIA QPAYANLNHLASMVMSDISASFRFPGQRNSDLRKMAMNLVTIPRLHFLSVAYAPLTNR QPDVGSSHKLTASGLIQQMFKPKNLMTACDVGNRHYLACSAIFRGRVPIQEIEDHVRF IRDDNSECSMERIPDNLQTSYCSQATQGLDLSGTLIENSTAIQHVFQRLSKEFTWLLC RKQYLFPYEMRGLYEFEFTEAVSNMDDLVLDYQLYNAQSL PFICI_05285 MSSSSHLSPRSCKLLLNILPLALQTSASALYSTYNFNPLEHLAG IAPYFEPQDPQTSPDPPQGCSATRAAYLSRHAAIFANDFDYEEYIEPFIEKLQNHTGI DWSSIPSLNFLATWSAPISEAEEELLTRVGRLEATELGVDLSFRYPGLRLPERVYTSS AERTYKSAQSLVRGLELDDNTINVVSIYESEESGADSLTPYKACPAYSSSSGSDESNV YKEKFTAPIIARFNDAAPEFNFTTNDVYGMMELCGYESVIRGSSPFCDLDLFSPDDWL SWEYTEDVRYHYNVGYGNQVAGYAGLPWFNATANLLMSEDADAQDLYVSFTHRELPPM VFVAMGLFNNSEFGGSEAQINDTMPLDRINYRRAWKSSHVLPFLSNLAIERLNCTGSY GYDDGEYYRVLVNSAPQPLTNCIDGPATTCSRSGFESYLQERVDMFSGFSEKCGVDYD NSTDILTIYTDPSVGNGTIVGKRYNAFP PFICI_05286 MDGTGEADADAKKIHPFFSATKNTAPSIPVSAIALPVTPDPSCS PGSDKLSARADASINILDDNEPAQGRTKRRKTDSDAEPEESKPQRKRGRPKGKTKPKV AEGINIAEHFGQKNDATHKKPEVQGEPCNDGTLQTDTHSKMLHSAQPVPQAQPPSAAV DAQPAETSISDKPSQESKPKKLLKFNPKTGTIGSPPKSKAQNMDESVPDQIPAARPRR SKKGTSLLVHIRYGHDDAARTRIAEKIEEIFSGVRYISAKNSKLVASQLSGEQSSSAP SQEAPSKRSLKKDQTLKAAKAAHPFFNSKSKTTVTTTTAKELPKSPSKKQSIFTSTPC SPKQIRQKPTKFNFPQFGPKSGGTKFPGAQQAAWPWKGMVHIHGDERDTNPFWPIASR RMDSGGRKAKGREIEFSATESVMRAVEKRLELAKLAEEVKLLNSDDFLPPPPTLRLPG RHFESGKRLQERLRSELRTLESGDRTQAHPAILNGFDSLSRSLTAFDRSTCENIAWTQ KYCPTSASEVLQGGKEAELLRDWLQTLKVQTVDTGSSDNGTANAKSGPAKKKRRKKLD NFIVSSDEEDLLMNEESDDESAWLPQHSHGSSRRTVVRPTSTKVVRFMNSVLLSGPHG SGKSATVYAIAKELDFEVFEISPGSRRNGKDIVEKIGDMTRNHQVSHKQEAAKLDDAI NEDDVAREISTGKQGMMTAFFKPKVEPKAKAEVKPKGESEPPRKTSNKAQKQSLILLE EVDILYEEDKQFWATVIGLMAQSKRPFIMTCNNEFLVPLQNLALHGIFRFSAAPTDLA VDHLLLIAANEGHALSRDAVQALYESRRNDLRASISELNYWCQIGVGDPKGGFGWFYR KWPKGSDLDERGDRIRVVSSDTYRTGMGWLGRDPVSNLPIRYVQDEITRQVWESWGLD TSEDQCCLNDLEQVMMPNTTHVSRGDRIALLDAADSFYESFSAADIISQGALSSLNQV SLDPTLPPSAIKTKDDFIIGLQPIDAPLLSRYNTATFDMATSIRSFASSQLPNTNLTG HSEHSQLDENSAINKIRANIGKLASLSGITRLDYSMAFDPIAVSDKSLGQSSGHLDPS VFDRTMKMITLDVAPYVRSIVAYDQRLQTERLLRSNLLSEGGKPKKRMRSTRSAYSAL EGGTRASTRREKYFSADINPHLVMKTGGRGWELLTNDVHTMNEVPNSPNSSAVDSDEM NNPIA PFICI_05287 MASNFHVQKPYVLTTLPRPLDPKTGRYVVGEVYGTAEGSRKRKR SEVTVGIDGEAVNIYNVSSARLVTSYPIPPQSAFTCSPCSLRRRIPNSKDIARYTYAA TADPSTKVTLFKDVVESSGKTTSSTKTFTLPSSKRVVYLAARLTDSKGSEAQESSGDE VILVQEDGEIIGLDGEVLKQKWKTSPAILHQDLAFGSKSGFRVETCMSARASEVIDGI FKGNRDALSSVANHAQGNIDADILLVVSSLETDGRRMRHLHLLGPISQSQGLAQSNNG LVQLHVVPLATPTDKVPTSSQYRLDVRSGTLTELADGSLTVHDLTASIPRVSSQMDLG YATSFVRLSKTSILASSESLLSVYNPQYRSLQSSATTELESHAEPETSKLASCDLVAY FSKLELAIGISGPQLLAIQLEAPKFRTKRRAEGLLIDSIGCGIPDSKRVATELTKRPA GSSAFANYLPGSVFGDYLGAWTKDVQKADELLDGDAIHEFEDLLAQKFGLSLKDSSLT NGAKQTNGEIQSAPEWVWPKDMTKFPRVDKRWIVYAISRAFQWSQAQGEDNAAPRLVY VLPDTNVLTYLAAAGHLTISNVKSALREDISGVENIDQVLASELVARIAELNPTFELL TCYLRATALGPVELLLAVRCMSTLDAIRDQAQSAPKMLMDSTAEGAAGTEDINQELDH LEEEVLKAESILRGNAGIREEGLSVAFAKLGNCPASSMIKALQATLKPKEIMDLILQL RIELYKGAWTSRYVEDNTDYDEDADLNPPPDGSIKLIADLLSRCVDAIGLSGWLLNDS TISLGEAGDVIAALTLEVSTALEGLEEATYLKGVVGEAVRYCEAAQKEANTKQRFDLA KPISLQVKEPGSEALPLGLKVKGRIEKSKIASGGEIVQRSTREKGHLRSQQVGQYSLE RIAI PFICI_05288 MTTNNVPPAVTAVPAARIEDVDTFAIRLYRRARNAGADFVDVAT VVRSVHTVLKHLKVEAEDPGSLLNSDQSPVYVRQLTPIVEDCEFTLKQLDTILEKYGA TSSGSEGEDHRRPSDERHLGARERDMVALVRTKLENQKLNIDMFLDTVQLHNPSKSHH IVDTSNANLEPIKDQVDAIASRIIQRRDSSLGEGEDELWLQFRNELERAGYSKDVLRK HQDVLRAYIRQLDEQSVLSGGKTPTVRGFLEAYTPTNDAQRSTPYPLDARGGYSRKEM LPIVENEKFAPSVKTERSYPDHYLPYPSSLPKDTTNLSYDAQSSEDGDSMDNNMALVI STRDLMALDKRQADLAIAMDNMHLQLPPPGYPPNFAQDPALSASPQSRFLEAPPNGGL LTSPGLPAVDEYGLSPRFVPAYPPPPYGSSPPPLLHSNSISAPAIPGSTALLPGAHGQ PQRYARLAPDSQGRDIPLDAQWTRVRRQLISPVVLNEAGVRYEARPDFVAILGVFTKE EISEFARRSAEVRKSRHRFHQRGTHDGKDRYHPDKYKNWDVEAQNKDADSHGRYRANS EFSASSTDLYDTSDEESEEDIPRHRTRGQQNSSEERADKYDYEEKGTKVYPFIVPSPE KEKENSHSPSATVMPKPILKNKNDDPHVRFDPEPKVLDSASPRSVPRHAERSERRHRA GSDRDRHAPRSYDDRNDRYRDKERDKQRERDRDDDSRRHHRHHEGSRRRDRDQDDYSR RHRRDDRDRYRDEGDRSDDRSIKKRVRSETLRAVGIGGAAASLLGVLAEAASGF PFICI_05289 MVSPPSSANGPEPFDFSKPFEGVVVCCTSIPPEHRANRVKELGG VHKYDLTPDVTHLIVGDYDTAKYRHVARERPDIKAMAASWISAVRSYWVKDQEFDYNA LEAEHQLKPFESSGGLAMSNVPEEQERQKLLICLTGFEEQDRHYIEETVTANGGNYMG DLSRKVTHLIVCKPEGKKYQAAKNWNITTVSIEWLDDSVKRGLILDETCYDPTLPKES RGKGAITRREMKRHSLPGKRPRDGSDAVQQNGPRKLRKSASMRLSSQGNNLMNEILGA RQFSAEASTPGLPQEFESVEVRTSVSIPAPKSLPVARASPSLPPPSAEPTQPFGGVFN LCRFMVHGFSEKKHKIIHDYLTSHDGQIASSMSDLASGAHLEPQDQRFLVVPQVSQPD SHPIIPEGVHIVTEFYIERCIHGRRLFHPNDHVLGRPFPRFPVPGFDELTVHSTGFKD EQLNQVEKTIVQLGAKYAERFNAQSSVMVCPSLKDVRTGKLDLALRHKIPVVNAEWLW QCIASGFRVPWDKFVFAEVQHKIAIDVDPELDKQRQKLQRSRSEPVAKKEAKSDLRAP AAKRVPDTTAFSEEAVAGDSVSKEHRAKVAPAIVQQDDSAVDVSNYDTAPTHQAHDSV ETGPLSEVGGNALNESLQVSPSKNEARKTLRRFPTGGTIVDSEGLDESDAVSIRDGSA APEKDTKGPSPSQLERANKQRAEDEKAAERLAMAKQFTSLMDKPLADEASNVPAALTS ATKPQRRKREIFGRAISNVSAASSASAESATTASKALRIDSVKSAPGSIDLLDEMLSG DQAQSDKDEESNRPPATQIGYDDPQARKHRAEMMDRIQGKKPVAANQPKPSQDKPKKV PLAEAAAVTTSGRRTRRKGF PFICI_05290 MGRRSSISRSPSRSRPRSSRARRRDSFSSRSSSGSPSRSDKSRK SQLKDQIKDKTTTTSGLKTSLVFLGSVAAATYAAHKYWPKGVTYGDKEDWELEKEIKK EKAKKNMERHGNGDYYDGPSRRADDRLPLPPPNTRRRDRDYERPRSTHGVLGIEGPPP RRRGTPELDEIIYVRRPSANRSQAERLSTMSQVSGSRTGDVRRAQYVEDNRTVHADRR SHATSHDERDFDYQNAQRYVATDPRPRRYSFDEPSDPRRSDRIIYTGRNDNYR PFICI_05291 MNSGQQYDLNKHLSRFLAIPWCAKHLQAPGTEVILPPGRTPDQG GEEELWARTLNTPETFPAFIAFYRRPAADRGQQDGIAKVDRVDGLAAVGRGLAGFPAM MHGGIVATLLDGIAGLVPGVNQQVGVFPDTRPGYFDAYGFAGPARRS PFICI_05292 MFSAVLRRQALSASRVTISPQLARWYASFPPHTVVKMPALSPTM TSGNIGTWQKKAGDAIAPGDVLVEIETDKAQMDFEFQEEGVIAKLLKESGEKDVPVGS PIAVLIEEGADVSAFEKFSAADAGGDAAKPAPKEEKKSESSESSSAPTPAPEAEPEQY GSSGQRLQTALEREPNVSIAAKRLAKEKGVALSGLKGSGPGGKITEEDVKKAAGSAPA AAAAAASYQDIPLTNMRKTIAKRLQESTQNNPHFFVTSKVSVSKLLKLRQALNSSADG KYKLSVNDFLIKAIGVASKKVPAANSSWQGDSIRQYDNVDVSVAVATPNGLITPIVTG VEGRGLESISNKVKELAKRARDGKLKPEEYQGGTISISNMGMNDSVDNFTAVINPPQA AILAVGTTRKVAIPSEDGTSIEWDDQITVTASFDHKVVDGAVGAEWTKALKQVIENPL ELLL PFICI_05293 MSSLGFVASSLEAIHASKDAQRNKQLLELTEKAIQAVKPGQSQL TDPDVVFAPLQLATKTGSTTLITLALDCIGKLISSSYFSTPPSAGATNEDGTERAPLI DRAIDTICDCFQGETTVVEVQRHIVQALLAAVLNDKIVVHGAGLLKAVRQVYNVFLLS RSTDTQQAAQGTLTQMVGMVFERVKGRLHMKEARLNLTRLKNSSSNVTFDAQEAANDA AEGDENAVSAVIDDDEQEDASTVEQDSETASSEAPNEDDGAGKLTLKDLEHRKSFDDS NLGDGPTMVSQIKARKAAKNSSEQTEGENTPEDTTESLDAEDEVYIRDAYLVFRSFCN LSTKILPPDQLYDLRGQPMRSKLISLHLIRTLLNNHIHVFTSPLCTITNTKTSDSTNF LQATKYYLCLSITRNGASSVDRVFEVCCEIFWLMLKFMRAPFKKEIEVFLNEVYLALL ARKSAPLNQKLYFISILNRLCGDPRGLVELYLNYDCDRTVVNNIFQTIIEDLSKFATA PVTVTPAQEQLYEEKSSNTNQNTGAEWQLKGMLPPALTVVHIVPPAEPESEIPKEYSI KRAALDSLVDALRSLVNWSMVGRPDINNGASNGENRASTEDIRESIDPSNSDSASRME TPLPPSTPIIEDDPAQLEKEKARKTAMSKAVRLFNEKPKRGIKIFLQEGFIPSDSPED IARFLLSHEQLDKAQIGEYLGEGDPKNIEIMHAFVDSMEFAKRRFVDALRQFLQSFRL PGEAQKIDRFMLKFANRYVMGNPNAFANADTAYVLAYSVILLNTDLHSAQIKKRMTKE DFIKNNRGINDNADLPEDYLNAIYDEIANNEIVLKSEREAAAAAGTLPAAASSGFAAG LGQALSNVGRDLQREAYVQQSEEIALRSEQLFKTLFKNQRRSAAKAGIKFIPATSFRH VGPMFDVVWMSVFSALSNQMQKAHNLEVNRLCLEGMKQAIRIACLFDLSTPREAFMSA VKNATNLNNPQEILAKNVEALRVILDLGQTEGNYLRESWKDVLLCISQLDRLQLISGG VDEGAIPDVSKARFVPLERTNTNDSRKSTASTKRRNRSNTAPKGVSSEIALESRSEEV IKGIDRIFSNTANLNGEAIVHFAKALTEVSWDEIKVSGSNDSPRTYSLQKVVEISYYN MTRVRFEWTSIWAVLGEHFNRVGCHNNPAIVFFALDSLRQLSMRFMEIEELPGFKFQK DFLKPFEHVISNSNNISVKDMALRCLIQMIQARGENIRSGWRTMFGVFTVAARDPHES IVTLAFENVSLIYRTRFGVVISQGAFTDLIVCLTEFSKNIKFQKKSLQAMETLKSIIP RMLKAPECPLSEKYNQNAASDANGSKSADVLSRKQSGTSVEEGFWFPVLFAFHDVLMT GEDLEVRSNALNYFFEALLKYGGDFPPDFWDILWRQQLYPIFMVLRSRPELSNALNTE DLSVWLSTTMIQALRNMIVLFTHYFDSLEYMLDRFLELLALCICQENDTIARIGSNCL QQLILQNVTKFSEEHWAKIVGAFCELFERTTAYQLFSATTINTPSTLSPPPNGLGFEV PLTPTESDEKSLEINGDDKNGMPSGSDSATISVPSTAPDEDALKTPTNLTAQAPLEDY KPSSDLQKQPVVVTAARRRFFNRIISRCVLQLLMIETVNELFSNDDVYKQIPSMELLR LMALLKKSFMFARRFNNDKELRMRLWREGFMKQPPNLLKQESGSAATYIQILFRMFAD TSPSRAESRPEVEKALIPLCKDVIKGYIALEEESQQRNIVAWRPVVVDVLEGYSTWPE REFEEHVHSFYPLVVELLAKEISAELRGALLAVLRRVGEVALGIQGMVSGGRRASIAS NITETVIPAADNGEEEGEVGTRTMRV PFICI_05294 MTSFPPPRRSRQSCSKSEDRRHIIAADEPNEISDYQQFIQRALE QEYWDQDPKRRGGGITSHPGRRSLTPVHPDLSGHVCTLQRSGTFGGSRRESRRERSRS VMREDAWKRASCYSYQSRDSTRNNTNNSNNNKRPSYVGVEPTFEPGYTPPPPRDLRKS TSAAKRMSDYFRPHRDMINEYEVPDNERLSLSLFSVL PFICI_05295 MGLVDVNHEWAPKSSIELILGAILALLVGKTALFLWGGVQIRMR FKSMRAQGIPIIEPYSLLWGHLKVLGALKGQFPPDAQPNYAQIHIIQHWQEYFPSAKE CPHIVYLDIWPVQSTPIALIIDPAMCQELVTEKNFPRGISMKRLAEPVIGQRNLIWFD GAEHRLWRSRLNPGFSMRNLHSYMGALVDEAEIFLENLKATTRPDGRWGSVFPLMPKT IDLTFDIIGRVVLDLHLNEQRNGPTELQSALRTLTTKHFFFRTLATLPKRFNPFFRYE GWHSVQKLRKILVPRIQQQIGAEQFTKQKTVLQLAMKEYMNDTQGAKSSKGSSDQFVE DVFGQVRLFLFAGHDTTACILTWAFHYLSKRADVLEKLRAEYDSVFGTDLRAVADKVR QSPQLLNSLPYTTAVAKEVMRLAPLAATVRQAPPGAFFTASDGTRLPAYGFALITGTA MIDYHPELWPRPDEFLPERFMVPPGDPLYPSKPGQWRPFEAGPMNCIGQELSMIEIKL VLLFTVREVDVEPAFDEWDQLPENVGKPRHTIKGERAYRQAKGISPPTDGLPVHVRFR NPVTA PFICI_05296 MATSVAYPHGHAIQRSFDLLCDYLNLGGEGTETGTNGMVRRVLR SPFVRPFFEEYTRDYLEATATAAAAAAAPLSPTSSLDGYEYEVEYEVEDIDYYALRAT VQKQHAFRSKRFAGHGPLATKAGWSATDHAARFVVQTLRYSWRHGGPWDHGRWDLDSD ESDLEFWQVW PFICI_05297 MSGNSTTFRGLWDENPFDSIPLPFKSLLPVQIIAASVAAVLYRI FFSPIRHIPGPFLAKFTRLWHIHAILAGKQNLRLLELHKKHGHFVRISHDEVSITHPN AVKALYLTPIPKGNWYRAFVFPDWRFPLSMAIQDPREKADFLRYLSNAGFSLTNILQR ERDMDEQIELLKGWMDKYSAEKKPMHLDKFLTYTAFDLVGVVTFSKPFGFIRQGIDVG DAILSAMRLQVYLCTVGFYPWVSYLLSNPFVTWTELMPVGLLALKSARALEQRRKNLD AQFDMCSHWYKGLDKAKRDGYTRFSERSVLAAAVSNVGAGTETVSCGLQSTIYHLLRR PAEWQRIKDEIDHACKEGRCQTHIVSYEDASRLPRLEAAIKEALRILPPVPMGLQRLA PDGGVTIGGTHFPKGTTLSVSPAVIHLSKEIWGPDAEEYNPDRWFSPDIAKKEKYFMP WSAGWASCPGQNFAKVQLFKIIATIVRDYDLEFVNPDKEWEWAAYFTVLPHDWPVHLT KTKAPVGGS PFICI_05298 MAAARMAFGAIQRRAFSASARDLSKVTVLGAAGGIGQPLSLLLK LNPRVTELALYDIRGGPGVAADISHVNTKSTVTGYDPTPTGLAAALKGADVVLIPAGV PRKPGMTRDDLFNTNASIVRDLAKAAAESAPEANLLIISNPVNSTVPICAEVFKARGV YNPKRLFGVTTLDVVRASRFVSELKGTDPADEKITVVGGHSGVTIVPLFSQSSHPELS SNAELVNRVQFGGDEVVKAKDGAGSATLSMAMAGARMADSVLRAAQGEKGVVEPAFVD SPLYKDQGIEFFASKVELGPSGVEKILPIGKVDANEEKLVEACLADLKKNIEKGVAFV ASNPGK PFICI_05299 MDSVPPTNPVSSDRPVSEDTQMVDIYQDMNHSGKDIKRDSQEDR PWGPSYPAGLGPLSTGPELRSPGPGIKMHPPASPEVADPPMHNSTPPSLQAYVHIVND NGILDTQNHDKLDSAYSPTQGNDSKDEITTSNFESNSPILPMRPSPSELCRSLHEGDT QMATSDSGSRSFNLQNLHNTLDPMIARDTTIDELFGLEHDDQSQPDGHGADYKYETSQ SSATIPIANLVTPQSVAPKSAVTKEAISYPVKYSKIGIRWTICGYDAIAKWERIPSIG SIVSVLKKALGSEEEYQVKHMWNGPYNKFYSVDCGDEGYVMKITMPVCPRTKTESEVA TIRWTAANTTLRGLLPEVIAYSSSANNPIGCEWILMKRLEGTPLSSCWRDITLEAKEL IMKTLAQYSECVYRSRFKQIGNIYPAPLPGRGRRYQVGKIASMRFFWDRGAEIEPNQG PFSSSKEWFHRRLNLASEHLWLRFPAIQHGPPKERERIWRLIHMSGVKSRLWQLHDRL FPTDQGDDDENGHTISAIGRPVVVNDSRLTVRGGSSIPSEGGEQDNFETHHGHKSSAD STAFMSPKGDVFEELTDSNMDSFNHQREPAYISLKERSTPVAGSQPTSETHARRGYSH EPVNTEDSVFLRTSSVLAPPQVVDKGDKRTPGGRKHTEPQVTTRENFHKWVIPRLDAD EEIQKYLGSHQHAEQTMLWHDNLSTDNILVNVETGQLTGILDWDSVSCLPVYLACDMP ALLHEGNHRPQEPQVEDYAVWIPDDNVDDDGRGHKDLDGNYAQAASSRSGNKSSTSTA SPGNSQAKKRGRIGLEQNYWRAMREYELTHLRKVFMKEMIGRCPSWYQTWKQTKVYKD YEMAVQNCDNEHLIHHVEDWCDAIDAALEKGQEIKPPQVLHLQHMLYKGQNWEDWDDL DETIDELMRPFREKQQKIQQWQDDLDELDMAKKAISSTKGTRTRFLREKARHESAIEK ARQSAAKAAQDVESFENLDEQGLDMEELTNLRRDARDRLAAARREIYRVQQKLPVAEA RVTDAEDKWEAANARELAALERIHSSEWFQKYDRERTHTHETWKSLEKALYKEYGPDN TWWKHRLEPLG PFICI_05300 MLSVRLIPNGCHSQQVTFQRAQFQQRAPPRTEACSMRNPEMEGF VREEDTAGSEPHFSLDGFDGLGEVLSQVIPAHVDGNYEDEIRKRYVALRNEVLLRRVA PPTPSPTISQVEEPIVTQPELNLSHDFVCSALMAKRNVGVIGDHRRPTNHEAAGEEDD DNIDSDEDEILPFRPSHFAARRLARTQTPPKLSIADLLVDSTPDFDEDKVSTFGTTAN WIKSQRGEDDISLPFMPLSLRLV PFICI_05301 MSGLSVDLTAPNGRKITLPTGLFINNEFVKATGGGTIESINPAN EEVICSVEAASAEDVDKAVKAARAAFNAESWAELPGTERGILMNKLADLIEADKEILA TLETWDNGKPYSVAMSIDVAEVISTLRYYAGWADKICGSTIPTTSKKFAYTLKQPIGV CGQIIPWNFPLAMAAWKLGPALAAGNTLVMKPAEQTPLSILHLASLVPKAGFPPGVIN IVNGWGREAGDALASHLDVDKIAFTGSTATGKLLMKTASINMKNITLETGGKSPLIVF KDADIEQAAKWAHIGIMGNSGQICTANSRLLVQEDIHDKFVEAFKNVIKTTNKVGDPF EETTFQGPQITKAQYDKILGYVESAKSEGATLTSGGVPYKGVGQGKGYFIEPTIFTDV KSSMKIYREEIFGPFVSIARFKTESEAIAMANDTTYGLGASVFTQNMEVGHRVAAKIQ SGMVWINSSNDSDCRVPFGGVKQSGIGRELGEAGLAAYTQEKAIHVNLGERL PFICI_05302 MEIREIAAADTHDLRHRILWPDRPLDYVKLEEDETGRHFGTFMD GRIVSVISLFQKADDARFRKFATDSSWQGKGIGSALLQYTIEEARKTGARVIWCDARS SALPFYQRFGMEAEGEVFYKGGLPYLVMRRTL PFICI_05303 MSVAQLSRLLPLPEEELKQVLDYAATLSKAEAVEHFGNLLGDTP DAIDFISSFNSRRQEPKAAASQSRSQSHSQTQSPSHSDIDAVPKHARAPKKKKANLHT PAPRQVASLGPTPGTAYSKKNIQDDYISRKPSPGTSSNAFPLPGASRPPPKSATPPPP KLPPSAAGHLISEAPRAKPKSTPGSRSSTPAPKTKVNISGGTAMHGASTALSDLDAAI RALEMTTNPTRDTDDAARKCNCVAARHPLLAAAPNCLQCGKVVCLKEGLGPCTFCGTP ILSSTEVQSMIHELKQERGRERMAADKEAHKKAEVSKKPAPFSKPREVTGNLSEAEAK AKEHRDRLLGFQAQNAQRTTVRDEASDFDVTGASMWASPEERARELKRQQKLMREMEW NARPEYEKRKQVVSIDIVKGKVVRKIAAMERPDSPEDDVEIPSAPVLEVTSGNRGKNG GAFSRNPLLGGLIKPVFDAKGKGAELEGRQSQKTKWRKVQDDLDNNEGVILDGGAYGG PSANEQATTGDEPACG PFICI_05304 MAEPYNMWIAGQERPGKAELLPVEDPATGEIFAQCHAASAEDVD ETIQLAHQVFKSGAWSKTPRHVRADVLESAAALLTAGLPDLIALEVRQTGRAVREMNA QVPSLVRWFKYYAALLRTEERAVLPTQGKLHNWVDRVPLGVVVQITPFNHPLLIAVKK LAPALAAGNSVVVKPSELTPLTTLLLGRLLKQAGLPDGVFNVLPGYGATTGRALVEHP LVRKVDVTGGTVAGRAIGSIVGGNLARFTAELGGKAPLIVFEQADVNVAVNGIAFGSF IASGQTCVASTRIIVDNTILGPLLEKLTAKASSIARRMGAPTNPESMMGPVISAKQLG NIEALVKEATSSGNAKALTGGSRLGGLSELDGTDFSKGYYYPPTVLVSTSEKSILKTR IWREEAFGPVIVVVAFDTEDEAVKLANDSEFGLGAAIWTKDLAQAYRVSEQIDAGIVW VNTHHRNDPSSPWGGGKSSSGVGSENGVDAYNEYTTMKSTIINYAAPEESLAADDWFK EGAGAVRYG PFICI_05305 MAEPSEPQPKTYRLVFKETLETVPAAVAELLESYSGIPQGQQIA HIIECRNDAYTKCPYPCIGNLRFLDFDLSLHPLYADQVLGPLREPSREGEAEPLFLDL GTCFGQDVRKLVYDGAPIERVWASDIEPYLIDAGFKLFNDDGKLPRDHFLCPGDLLSA APGDRLRVLDDQVTILHMTAVFHLFLLQDQKKIVDRCLRLLRKDTGRPVLLLGMQMGS VVAGPFLRENHSAEFSHKYRHNVESWANLWYEVCGRDEWKDRIAKLEVTSRLLQNGSK PSSGDINVRRHEFDVWVTFK PFICI_05306 MNGETSSEITPVFGQRALQHFSFQPGYRSFGATPRKLQERARYY QTQFEERPDPWLRYEAPKLLDENRAAAAGLLNAPVETVVLVSNATVGTNTVFRNLTWD DDGRDEILHFSTAYGACAKTIDYVVDSSGGKVTSREIVLSYPCEDEEVLDAFRNAVSQ SQTEGKRARVCVFDTVSSLPGVRFPFESMTKACKDLGITSFIDGAQGIGQIPIDLTAV DPDFFVTNCHKWLHVPRSCAVLYVPVRNQHLISSTLPTSHGYVPKIGTRFNPLPPPAA GNSAFVHNFQFVGTLDTSAYMCIKDAIEWRKEVFGGEEEIMKYIQWLAAEGGRRAAEI LGTTIMENKSGGLTKCALVNIALPMWVRPAPGATNAPEAFTPQPEDIVISLEESLGVD RWMRKIFMEEYNTFMPLYIHRHRVWFRLSAQVYLDVHDFEWAAKTMLEVIKRVAKREY ETK PFICI_05307 MFKKRPEIKNLSPLRTSDRRKLADQIIQDYRIQVPSQEPAAGDD AAASPAVTLSSLRNSLLPESTSSARFTTTSGPNHTLVSGTLYVGTHHGPQDERILWFQ YGKSARLVPTVYTLWQNPNIIPLLHTPEFVVEEKLSHGSDLMIPGLVKAKGTAWDSRA ITGAVVAVAGISRDTVPLWVGTCDLDVSKLGDDLRGQKGIAVKGLHWAGDEAWSWRPI GNGGQEAPESVDGWKGLTQDVTSSIGDVSLKNDEDFQNEDGGAPLDSAVSSHGIVKED IAGEAEEREPTTKEVDDAFHQAFLFAVHKAKASASGPRYGFDFPIQPSQLMSNMIQPH LRVQNQHYNIKKTSWKNMKKFIKHLDKEKLLKSKDRSGGETVILDIDFDDVQVTSFRP YTLPKPKTTPTTTTQSEAEPSASSSSGDSSIGQKINIQTVYRASSKLVPTLLASKTEF YTAQQISAALKTYIEQHPELGGQGAASIKLDPFIANDILGSNPSPEDNRAIAAGRIAK GALQKRILEDTRLCQPYHLIVRTPSVSEPKPKSGPAPQIHMSIEKRTGTKVITKVWNL EPFFIDPQLLAPELQKKCAGSASAGQLTGGKPGLLEVVVQGDQRKILLGDVLAKRGID AKWVDVVDKTKSKKK PFICI_05308 MVHTFKNPTNSKMIPVMLTALSGALLVSGQTTASWTAAYTKANA ALAKLSSSEKINIVSGIGWDKGPCVGNTAAVSSIGYPQLCLQDGPLGIRYGNGVTAFT PGIQAASTWDRELIQERGQFMAEEAKGCGIHVLLAPVAGPLGKIPTGGRNWEGFGVDP YLTGIAMADTITGMQTAGVQATAKHYIGNEQELNRETMSSNIDDRTMHELYLWPFADA VQANVASVMCSYNKLNSTWACENDKIINQLLKKELGFQGYVMTDWNAQHTTSGSANAG LDMTMPGSDYNGGTVLWGSVLASAVSSGQVQQSRVDDMVRRILAAWYLTGQDSGFPSI NIQASVQGTHKTNVRSVARDGIVLLKNDAGILPLKKPSKLALVGSASVVNPSGANACT DRGCNTGALGMGWGSGTANYPYFVSPADAIKTRATTDGTTITQSTSDSTGSVASVVSG ADAAIVFITADSGEGYITVENNVGDRINLDPWHSGNALVQAVSQSSQNVIVVVHSVGP IVLETILALSNVKAVVWAGLPSQESGNALVDVLYGSVSPSGKLPYTIGKSASDYGTSV VSGDDSYKEGLYIDYRHFDQSNIAPRYEFGYGLSYTNFTYSDIKVTGTPTSGPATGTV SSGGRADLFEAVATVTASIKNTGSVDGSEVAQLYVGYPSSAAAPPKQLRGFAKLPLTA GASGTATFALRRRDLSYWDTKLQNWVVPSGTFNITVGASSRDIRQVATLTVA PFICI_05309 MSLHTADEKNDLSNAEKGGIQDVTQTGSNVDGMVVGGDEQLHRG MKSRHIQFMALGGAIGTGLFVGSGAILSLVGPLPLWLGYLSMMSVVYFVMNDLGEMVT YLPLKGISIPYLVSRFLDPSLAFAAGWNYFYAYGMLVAAEATAAAILLDYWKSITPLW ITLILLVILILNIIAVEVFGEAEFWFASIKLITIAGLIILGIVLMCGGGPSHDALGFR YWYPDSPGAINEYMTTGATGRFLAYWAAFIKAGFAFITSPELIAVAAGETVAPRRNIP KAAKRFIWRLGIFYGVSTLILGAIVPSTDPRLLSGSSDASASPWVIAIQNAGIGGLNH VINFAILTSAWSAGNAFLYSGSRILYSMSLTGQAPKFFSHTTKKGVPYLAVLATWAFG LLAYLNVSNSGAVVFNWFSNISTISGFIAWIVCLVTYLRFRAAMNFRGLLPSLPFKTP LQPYGTYYALFVVSLLTITNGFAVFFPSNWSVSDFLAAYITLPIFLILYLGHKIYFRT RFYIKKEDIDVDTGVKEMDELAALDVPPVPKNWVQRVWFWLA PFICI_05310 MAWGPTLTALAFSALLAVLAFCFLTVPYLVIGPFTCRFFNTAIT VGPVAAFVGLFAFRYWRLFVNIVAYRLYRPAPRRSPPTYTANDVTVICPTVEPHGDIF RECTESVCTQEPRNFFIVVGHESMVDAAEGVAAGLRVRWPKINIRVEAAPAAGKRIQI DHVAPIIDTAITICVDDHVFWPLHRRFFPSVLAAFEDQNIRLVGTNKRVRIEHGKGRW SLFWNMIGSLYLTRHNFEIMASNWVDGGVFVVSGRTFAIRSDILRDADFRDSYTNEMI GFLGMLWGPLSPDDDNFITRWIFEHGFGVRIQSEDDSEIETTLGWFPKHLGTSTRWAR TTFRSNPRILMMRRIWETQPWSIYAVYIAGMINFAVFWDFLLCYMWQQLPWAHGWADV RVMVIWILATKLVKTWPHFRRYPSHLIMFPGYVAFAYYHSLIKFWALLTIWDCAWTGR NIAELAAAAAAAGAKSTATTYGTTGSS PFICI_05311 MATKNLDVGVFIPIGNDGWLISTTAPRFMPTFELNKEIVLKAER YGFDFALSMIKLRGFGGKTEHWDHNLESFTLMAGLAAVTSKIKLFASTAILTLPPALC ARMATTIDSIAPGRFGVNIVTGWQAAEYTQMGVWPGDAYFGYRYDYAEEYVRVMRELW ANGTSDFKGEHFKMDDCKLSPRPSGDIKVIAAGQSPRGVKFASEWADYNFCSGKGINT PTAFADANARLVDAAKDTGRDVGAMVLFMIIMDKTDEAATAKWDYYREGTDWDALSWV ADQSGRDKQADASSTARRLVSTLGDPVNMNGGTLVGGYESIARMLDEIAEVEGLKGVM LTFDEFLTGLDNFAEFVQPLMKSRAKINGVA PFICI_05312 MTARNPLGKRLIPHIIDDFAKNEPEREAFKIPNSANVQDGWKVV TWKEYANAVNHAAHRITEICGKPEKGTFPTIAYIGPNDARYVIIMVGAIKAGYKALFI SPRNSQEGQLNLFEKTDCHVIIAPKSHHNVIQPWLHEREMQAVEVGEMDRWFPQREVP HFPYDKTFEEAEWDPVVVLHTSGSTGLPKPIVARVGMLSVGDAYIELPEFQGTKFHFN MWTEKAKSHFFPMPLFHAAALYSFINIAVYRASPCAFSVDRPLSSDLVAETLQHLHVE SAFLPPAILEDMSQDETCIKELTKLNFIVFGGGNLAREAGNRLVQAGAPLANMIAATE ATPWPYFYQSNPDLWQYFIINSDLMGYEWRPTSEENVYEQVVVRKDKNHPGLQGFFYT FPDDTEYYTKDLYKPHPTLPNHWIYYGRADNIIVFSNGEKLNPVTIEEIVSDHPQVQG AVVVGTNKFQPAIIIEPVTYPKNEDEKKTFFDSVWPLVVNANKETVAHGQIGRQFMML TSPDKPFPRAGKGTIQRAGAVKLYKDEIDQLYEKVNQVSQAEAPRLDVSSEDALVSSI ERLFETHLQSPHLEPDTDFFSAGIDSMQVINASRLIRAGLEAAGFPTDSTSLATRVIY GNPTPRRLAQYLMATLVSGNGKDTANEDMEQQRVMKQLHDKYTRHLQAGKPGRPEAAD NNQVVLLTGSTGMLGSYLLDKMSRNPRVKKIICLNRAEDGGVAQQAKAMRERGLDTTY GGGKAEFHHVDISRSDFGLARDVYQRLLTEADRFIHNAWPVNFNISVETFEPHLRGVR NVADFATRADKRVAVVFISSIGTADRWDASQGSVPEHRLEDVSLASGGYGRSKMVGAF ILEDAAKVGDFPAVNIRVGQIAGPEGDAGVWNRQEWFPSIIASSLYLKALPGDLGIMN QVDWTPVERVAGLVLEIVGVAQQNRPEDISGYYHGVNPSHTTWPELAPAVQEFYGKDR LPELVSFQEWVSRLEKTQAEDMRAMDANPGIKLLDTYKGMAEAAQAGHKPVTFEMQRT TKQSPTMRNAKAVSPELMKHWCKQWGFA PFICI_05313 MPSNLPSSFASAAAGQNSQRDGRNNNSGEWSRTGRPNGTLTFRR PSTTPSQSISSQPAPADAVQSLGTTVESTQRRYTKEELLNIYESAPSADVSSLFVQGW NPGHVNGSNTATRGWGKSGESHVQPQEPDLCWDPASASIPSGLREMTEDERDLFTTDV NSPVKPPPQNKDGNALNGGRKTSVSHGASLASPSSASRPGTRRRETTDTNPYSGGGGL TSPTSATREGGSFWLRKSDAKDAMFEEPEGDAQPREPAPGQNSPHPFAGLVRNNTATG VSGLANASSLWGSSGATNSPASALGSFGNFALPGSSAIGDKRPGGPSRLARLIPKDSN DNMAGRGSEPSSAVDPRAPWRPRQRTDTDPFAGEENLSGSAVLGGAQDTSPPPTQRAT LFDTPVKGSASEFGMSGLNLGGALDNEPLSPSETNPYRSPPGERGATEEGNEGAQGHG LGLGGSDHVSAFGAGGFPRPFGQAAFEGSDRSQTSSVGAKPLPPISTLSGWPTSATPD RERNPFGNAFGNSLFSPMGDLHSPSLGNLSGVFGPVSSTGLPGSGSIGRGSKLGSLFP PAMQSQMANQDNESLADSIPDVRMNTNPLGAIGRNAFGEPSRNTDSPIGTGRGGFGDL FNPDNARSAVTSEALAGGISAVAQPQGFPQTTGTPFSGNPIAEPPSAQPRSMVMPDRM RWVYLDPQGHQQGPFTGLEMNDWYKANFFTADLRVKRVEDPEFEPLGQLIRRIGNSRE PFLVPMMGIPHGPPAPAGPFSPSAGGGIVPPLNGVFPQFGRTLTAQEQNDLERRKQEE QYMMAQHRDLLSNQQRLARVQLQGHPGLHHHSSAHSLQSQPSFGSINSPIAMPPQPPI GSVGGAGPFLGANTQQSNMAPGASSHIISDMFRDEELNARAPGPIGSVPSQAPVGSSS MESFRARLPQTQELLEDDEGFRGRLEEFEQLRAQHDAQAEQTRAALDNQSSSDSVAAS SPPTQPKETVSAKEVTQKQDDKDMAPEAAASPIDEQTEQAHAIAAARLSGLPMPFPPP QSSTPLPAPAPQRVKSNLPEQYATSSRSETPENVTQPPPLAPWAKDPASEAPRGPSLK EIQEAEAKKAAKAEEAAAAARRIMAEQEAAREREKMAALASGLPTTSTWGTSSPASAT SPWTMPAASKGPAPGTTPTTLAAEKKKTLADIQREEEARKNKAREIAVQSGAASSAKR YADLASKPNASSPTPTSLSATPAAVTGAGWATVGAGGKVKVPTGPAVQSRSVSSSNIK PAVAPAPAARPISKPSANTSRNEAMDEFTKWVQGQLLRGGVAEVDVYTQTLLEFPAEA STIADVVYEISKTMNGREFANEFIRRKKLAEKGVFEKQGSVEVPLQPTGGWNEVAKKN PHKENNGNSIPSDFKVVPARKKGKK PFICI_05314 MAVEEQPKEKSYVPADQLSLANITGESPYLSLLNTDYARDIVGQ FLQDTVFGKPGASHASPPSSTSSTQAEEVLKIGSASFNAFLQANVTGPVLDGAAKSDK LFVTTYEQLAAESGAQAKQGQRSIDALRRSCLRSLDVDGVSVYSIIPHIELFCLAKWI FTSGQVSLATASHEQDELTRDLVWMRFRIHLWHYKLLSQPSLGPGSLFTKSGRFTDVG TLQELIETSLVEAGQRIFAAGTGLSKDAKVQFLLEEANAHIMLGNDHKAKEALSKAAE TSGFVFALSGALGKRTKFQQDSTSQLVVFAKSSDHEQDVEQNSANAAPSAVPLNDETL LEKVSFTNDKVEDVTESNLPEALQGLTPQTQPQLKAEDQIILLTEATLKDTFSPADSL TLEEVLPFAERVIEDKSTNWQVYTQALLVRSRIELNRSRTIERGVLQLQAVVDQVIVD TDAANTNIKAEEHSEDANGDDLMPTITVSGEDNEPQVNDAPKATSFLPAAKASESASP QVRLRYVNALSSPPRWHLESELAFAWTSVGSLVSALEIFKRLRLWPEVALCLASSANT DDSDGRGSGGEEKARAIVRWRLFHRTGQTPETDNDDKEDGVPDTDALNAKDFGGAERQ PPPPNAPRLWCILGDLENEPKHYERAWEISNHRFGRAQKSLGELYLAQKDFEKAAEAY RLAVGVNRLSPELWGRLGDIELRLGHFPDATEAYQRAIGASNGEEGGEGARTWSNLGT ALLSWYKQIAKESKGLKEKKTADADDDDEDENQNDDSIKGIDASSMPATARAAELNKP AHKLIQDALTAFKRGATIAHTNWRIWDNVVTLAASLSPEPALDDVILGTRNVLRIRNS EDALDAEILALLVREATKEAPSTSSEGVYVAPRGSMQNKVIALIENEVVPIITTNSEI WSIVSRLRAWRRDFSGAVESAEKGWRAALGSTASSSSSLEVTTAAGRGGGNGNWQSGE DKDAWETVVSRTSDLVAAYENWGPRVETIGEQRWKGKARSAVRSVMGKGKEIWEGSEG WSTLQSLMEDLRA PFICI_05315 MYLNGAQNQNFVQHPARVTGLQLQSQSRSPSSEAPRSMERSAPE YSQSGLPSPYPSVYGDTQSEASSADHASAAQYSSTGEPRSANYSTSATPTSEYSVYPP SARSSSFPEHLQRTYHPASTHGGSSGGMAQTPTSPSMPTQDGRNHQPQQVKSNDDIPL DPSLTAPSPTYGQNQYSPYAAPPQHHDNMQSYPQSGGLYPQARPDWANYGQPGQVHHP HMYPPTPTSAAPHGRPAQVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKAYGT LNHLNAHVTMQSHGQKRTPEEFKEIRKEWKARKKQEEADRKAADEAERQRQVQEATHN GAQADAGAGQAAQAYGSSLPPIRYQPTAGGSAYPAPPSSAAVPQQPLPEYASPSGSAY PSQYMPASPYAPPPGQQQMYSQRQ PFICI_05316 MDPHRRSADSSTSSPSRSTASTPRLATQDVVLAHTDPLSTPNFR SHKGSLDSPGLLSLKSVDSVPVTGVYPFGSLRINEPSEDTDAEMDHATPLTVPDRTPD PVEKDSDMLQGGDVLILDDLPATFTVGCDTISFSTTQQFLGFRDIPPGAHLIWVSPLE STSSRSGYWISTPEKEAGSPGKVYVKQWDKFNEVLSDPASQAEERFQRERLSQIFAKL APYQFKASTSAVAPPGLAANADPLPSFLSSITIWQQLTSAIGPRLLDRLAGGKQKDAW AVNTSDRIAGETSLPEEAHLYPTSSAQLTFSFPMDQRLFDPAAAGAERTQQALDPSSW VLSRIEEEDTLLGEVQFAFLTGMHLGNYSCLEQWWYYTTMIIFRSYRLSIDRPALVLR LIQTVHAQLVYNERYLEGASILDSAPEQARRLQRALTVYKSRLNEQLLSLGERCTSEQ GAVGEAFSALESWLWRLGWDLRGDYVRSGKVMLEDGEVIDAELSDFESEDERGEFAAV VVDVDENGRPTDFVSV PFICI_05317 MNLACHVDINKDVGGLLIRAAIWMMVITAALMAFIGFFETWLTH GAIIGVVLLLVQMYYGIALVVQAIKPTPREHDPEQTKPSPADIAVGIMLLDAMNLAAA TPIYMKKPLGMRWFTKAALAGQGFGLILLGLLIGLFTRGEFRSGHRCRCFRLFWWAWL SNCSHGRPVEVAAVWLYYAFRCLNFIQNVVFGLKNTGDFQACKGLHPVQKYLRSERIT PGDGNALWNEMDIRGTGSPAPQDEDDASDGQRRQELRRQRQSINSLLSEAQNKPGR PFICI_05318 MAPTQSVQCFGKKKTATAVAHCKSGSGLIKVNGQPLSLVQPQIL RFKVYEPLLVIGLDKFAGVDIRVRVAGGGHTSQIYAIRQAIAKALIAYYQKFVDEHSK NTLKTAFAQYDRTLLVADNRRCEPKKFGGPGARSRFQKSYR PFICI_05319 MSRKGVGLAAFDRSRLTSAQFASHGTSLRTTNAEALETQMTKFR SLVQQFAQTHAKDIQSNPSLRAQFARMCAAIGIDPLASSNSSSSSGGGGSGGVGSIWA QMLGRTVNDFYFELAVRVVETCGATRSENGGLIGVKELRERLMRGRMNGAPEITEDDV LRAVGTLKPLGSSYAVIKVGNRSYVRSVPKELSTDQSAVLEAVQVLGYVSVSMLMVNL SWTRARSQTVIEDLLGEGMLWVDKQSDEWEYWSPGFMLSGSAG PFICI_05320 MSYGGGYGGGGGYGGGRGGGGGGYSNGYDKYGSGGRDHGGYGGS NGYSNGHGGGHGGGGDRMSNLGAGLQKQNWDLSTMPKFEKSFYKEDDAVANRSQQEVD RFRRDHKMTVHGSDVPKPVETFDEAGFPRYVMDEVKAQGFPAPTAIQSQGWPMALSGR DVVGIAETGSGKTLTYCLPAIVHINAQPLLAPGDGPIVLVLAPTRELAVQIQQEITKF GRSSRIRNTCVYGGVPRGPQIRDLTKGVEVCIATPGRLIDMVESGKTNLRRVTYLVLD EADRMLDMGFEPQIRKIISQIRPDRQTLMWSATWPKEVRAMAADFLTDFIQVNIGSLD LSANHRITQIVEVVTESEKRDKMIKHLEKIMDDKANKCLIFVGTKRVADDITRFLRQD GWPALSIHGDKQQNERDWVLDQFKNGKSPIMVATDVASRGIDVRNITHVFNYDYPNNS EDYIHRIGRTGRAGAMGTAITLFTTDNSKQARDLVNVLQEAKQQIDPRLQEMVRYGGG GGGRYGGRGWGRGGHRGGRGGGGDRSGANDQPLGNRRW PFICI_05321 MATSGQVQNGTSELGSRIQGKILVIAGSDSSGGAGLEADQKVIA AHGCYAMTATTALTAQNTTGVSGIHHVPTEFVRKQIDAVFTDIQPDVVKTGMLASAST IEMLATAIKDYQVKKLILDPVMVATTGAVLLPPEAVKDMRTLLLPQTFIVTPNIPEAR LLLSDAGNEPIEINTIDDLEKLARETQKLGPKWILIKGGHVPFKKDGTIAKETEDKEL VVDVLFGEGQITRFVSLYQQSRNTHGTGCSLASAIASNIAKGLEPVDAVRAASRYIEA GIRTAPDYGHGNGPLNHFHSVYTLPFTPGRFIEYLLSRPDVAPVWQKFVYHPFVLAMG SGKLPLESFKNYLIQDYLYLVHFARANALASYKANSIEDVAAGAKIVNHIHTEMSLHL DYCAGFGIPKAQIEATEEHMACTAYTRYVLDVGMAGDWLGLQLALAPCLLGYGAVAQM LHGAEDTVREGNTYYKWILNYVAEDYVGAVRTGSELIERHAVLLGPSRVEELVKIFIH ATKMEIGFWEMFPYE PFICI_05322 MSLKQEIETWVTALGHYDNNEFDEALKDFENIADTSKILFNMGV IHATLGEHEKAVEAYQRAIKLDQYLAVAYFQEGVSNFLLGDFEEALANFNDTLLYLRG NTMIDYAQLGLLFKLYSCEVLFNRGLCYIYLQQKDAGLQDLQYAVKEKVVEDHNVIDE AIREEAEGYTVFSIPVGVVYRPNEAKVRNLKTKDYLGKARLVAASDRANAFTGFAGSE IKNAGRANDKDDRPTDNISFAATNLVKPGLQSRRQQSEPPNGRNAFPPTPPPENDKPP QMSRGASVRNGPKPMPAKLNIQPSRSNSYTKTDSPQSGPGERRPAPARAASEARSPPQ RGYSQRDPPRSRQQPRDEDGYPDDVYDMYNGSQDSRSSRQTARRQQPRYIEEEFDGSD YDSFDEGDFEMISNRRPGTGSVSGSSRGQSRRPEVRKIRVKVHAEDVRYIMIGAAVEF PDLVDRIRDKFGLRKRFKIKVRDEDSPSDMITMGDQDDLDMVMMSVKQQARKQRLDIG KMEVWVQEV PFICI_05323 MTCESCVKSVSDSLYSLEGITKVDANLQDQLVAVEGTAAPSAIV SAIEATGRDAILRGSGTSNSAAVCILETYHEKASEGPAPADLPDGEGGFWKQREVRGL ARMVQVSPETTLVDITMRGVDAGRYQASIREYGDLKDGAASAGPVWASTDATTEPRGL LGTVDVGKNGRGSMFVDHPFQVWEIIGHAMVVQKEENAAGPLQNDENTVVGVIARSAG MWDNDKTVCSCTGKTLWEERKDEVQKGMI PFICI_05324 MHPTLSTQPGVTPPSLGRLASRIATILMGKHKPMWDPSTDCGDY VVVTNCAALHTTGNKKWQKTYYRHNTRPGSLKSVTMDVLMAKFGGAEVLRKAVSGMLP KNRLRDKRLARLKAFEGDAHPYKNNLIQFGGKTVGHDGWEEAVKAIREADSKKL PFICI_05325 MADPLSAVASIISITDFGVKGVNSLRHLYRSYKDAPKQMEQLRN EAPAHWAFMMQLGQVFDAAAEMGDDDFSRMIFELGEQGNTFLNEIDKLLANDEQLDAT TRQKRTRRDFIGAKLRHFAKRCELRSKHRLALAQKKNFENLRGSMNSILLAQSTR PFICI_05326 MSKQRLAILDERTTRSEVSRSSRLSLVETSSKRLYNTPRCSSSC VCNCHNHIKRLSAHVLPNSMQGIWRAMMMASSRKSHLNSYCHDCRSVPRAQVARLVNW RVPNWFGPLVVQFSVRYSLRRGLSCRLKATQRVVSLVGDIFWSIQRNDIEQIRSLLKT SPTVVNDQWDLGGHSPLHAAVHLQNPDIVALLLDHGADTAIEDDRGIDAALAFAIHAF KGYFPRTDHYELHSKLSIAEGLEDATEYLGYGTVHKIVLNLLETDLREYLEDLEENER LEQIHHADGFGRTPLHLAASQDDAEAVEILLSFGAELEIRDTAGFTPLAALARCVKGA KSFKLLAEKGANIHAKNTHGDSIIHVAAYTGSLELIEQLYWLGVDIDTLDSRGGTPLI LAAIYNQPNIVERLIEWGSAMDAVDEDNDTALIYAIEVNAHEVINLLLSKNANHRHAN GYGRTIWHCAAMAADARTMKILQSLKPRREDLTWRDKFNRTPFDILCRHFRGRQEVWD MFERLSKEADTSREVTEEA PFICI_05327 MARLSAARYGKDNVRVFKRDKLADGSEEVTELTVCCLLEGEIEE SYTRADNKPVVATDSIKNTIFIKAKEYPINPIEQFAAMLGQHFLDTYSHISAANVDII KHKWTRMIVDGKPHPHSFHRDGDDKRTVAARVTRAGIEIKSSIQDLLVLKSTGSMFYG YVQDEFTTLKETWDRILSTSVDATWTWKTFATVADVKDKVDAFDKAYKAAQDITFKTF AEENSPSVQNTMYLMCEKILEAVPETAAVSYALPNKHYFEVDLAWHKDVKNTGKDAEV YAPQSGPNGLIKCEVTRD PFICI_05328 MLSANTTPTQKSHTPQPPSSLTSSIATGRPSLERDGLQPPGATN GGKGGSYVSRGGSSFVPTPAPGSFNPDVRSQAASRTGSRADIFALEKLDEEEISLAEK NLNQLKDALSREIKIRDGSENMLEALNIKKAKQTKDQRQRIEAELNTSNQKIKELRTK IDDIQRVKATPATPTRNRTEGLLASNGIRSPPSASRSGAGSDLDEPVEQSPTFALAEI LQALEQEGLTAAYYVGRANSLVELFKKHPTLKYDLVWSIFGDRMQMMLLSDSREVVAA GYRMIRYAISDVTSLKRIRSLNTDYMVVVSLAKDRKADVEREQALKFVRAFLDVKDGV REISRAVVRTIVAVAEHLEDRLRPICIETLAEILLKDPALLTACGGLAPLSEALAEGT YKSPESLASAFLFLLNAPHTRKYLRAGYDLEVMFTAFTDALFSAEGVVKQNSKAVSGA LKSWSGLMTLSMFDFRAIRALISTLSLPNTAIRETVIDMLFTLLRIKPPAWATTFLAG RRLTTYGRVASLKSATTKTGASYDEDNDEQNFVEHYTSLLLAIFVKAGLLPSLLVIAQ EPDTTLLKRKATLLIGEVLKLAGRLLPPAWSSQLQLLPELFSAAIEFKDTSRFHATGV VYQISSVSRTLFRSSPAALTLPASSALDHVGSVEDTPKNSASINTDDATFRQLLIDSG VLGSSNPIKWNWDIVLRIIEGPLTNGKRLEEAIKASKFVKRLMSFYRPFKYRFSGTKS HRNSQKYIRVGCALMHSLLQSPEGRKYLADNKLLRQLAECLAQCDPTSGLTAQRPMFS PDYLTDFLCGGYFPMLGVLTGDPNGLQMLERWRIFNMIYHIVDYNQRPDMIKLILANF DYGLQGHPRVLLSKALTAGTKEIRIHATNALRLYATGQRAPSSSHIVSDAKWAIQLLV TQLYDPEIEVCATAVKILEKACNSKHLLEYIVECRPALDHLGEIGAPLLLRFLSTSIG YHYLDGLDYISNEMDDWFLGRNDSYVSLIEASLARSFVENPEDHSGRLSLYEDELDAD QDNHIPPHFYRELTRTKEGCKLLEDKGHFEEFSTTIRDYGMYSNDPELMVKVKGCMWA VGNVGSMELGAPFLESTDVVGQIVKIAETHEVMSLRGTAFFVLGLISRSVHGLEILSE LGWDSNTTPLGVSLGFCLPTDMSKLFSFQPWEHVTASSVVLPESQRTIIEAPPAVMKD PDETNQRILEIMVELGNIVQYKKAKMELLQLRHKKVPGFRQAKLFRRVLSLLENHHYR LSDRHMAIEMFDKSVLRQIVYGDEEGEEEVETSSGDEGRTERQRSISDPADWEGTRGL TQKFSI PFICI_05329 MSLVSLPPELRSICRRLTTAKTEQLPSLLPSLLKDLQRCQQPLS EPQDAKTTSSSSEAAVLVHKLRTHIGTLLNGRSLEGRFTAVALIKTYIEVGGWETLRV SEPWVRGLVSILQKRDPIAVKELCAIVLVRIFTLAHKFQTLIREIVTPFLPAFATACL QIVKPASTSKAAVKIPLSFTETVIEAFSVLIPLYPTTLRPSVAQIRSVTRPFIAPTSS DDELVPSSLQSSSRKLLARLHMTAAKNGGADEWAKHFQGLIKEFHETADQVFRAVLEN WESTTGHNKQAPNFDAEPGNDSPSAEQLPQWSGILAGSERMMGLLASLADCLRCPTKV AVTIPISAATDMIARVSLIIPPVAGKDKSEYTQLNPAAGREEKDDLWAVLPDVQVAIL QFARVLAQRLGRNFIPLAQDTLDQVVRMMDSSYRLPEVRSIAFALITDLLQLSGPTRS KPQVDALQLVTMTCCRDLLGAGGHLKAPKQQTSTTGQNGAKSRTASQNADAFLKSNAE DETVSVSFDAEHVAAAERLLTALFSHLPQQHINPDLRSRMLRTAILCQIKDAQVASIL NPAKDKNGRTAQVILPYLHQQFPYDEAVEILRFNFRPIAGGARGGDALDAGNDDDDME IDSEVPVEKPADGFTFDRPFQVTSTASVTQTATMSEPVVARTAPSAPAIAAEIQPSPF LPQPLVPAVVSPLAAASSTVPLKRKSEEAEALLASKRVDIAAADTPDPGFGTMGALVE DTLAKSAPAGAGQLTAADGKDDDDDSDDESVHLNMDLDSEGDEDEE PFICI_05330 MTKPSKAEIYLSQLENCRCEDNWDAVPEAVRKIRKHAPNRQCLA TTAETEYAIIKAQLKQTARPATAVSQTDLDITNLLPKLLEAIENEHTLQEDKYQAQVC VGWLHWAVGEYSIAVTRLPSVLEQDPEEVSEWTRVCTLKAAYLKANCLSRDNERLQAL AVFEVALSSLTRVWSTQKGRKQLQFWAELFLTEFCMLQSQALERGEKSLDDSTVLAPY RSWARYWETSKSQGTPLVGGHGFRGAVPRRRVWREYYTALSAILDQDLPFPTAEVPTL SNEASARNQLRMELKKVEAAYEALLLDETPFPRADEEREEIEAFVALVMSNWSILNGR DWHEHDLAHGGKDALSRGILEILYRAATKTFHSTAILRHLFTVHLAVAEFDLALKAFN SYLEIVKKGKARIDKTGHAEPSLDDDATVLETISAAISALCKYGFREEAEAAYGLGIE LEEMLQKLPAPMPNTADITSLPEENANGVVLHPRISAKANALAWQAIGVSQAQWARMT FDAASRTEIQGKAIRSFQKSLAPDTGNPADVRTLFTLGLLLAEQRELSTAIDIIKAAL MTNKKSTEYIPAAGSHWHERSLIPLWHLLALLLSARQDYVMAARACEGAFEQFGDPAV LFGTQGLQSQFRSDHLNELEAKNGHGKPGALVDEMDDLEKENIIEVKMTQLALLELLE GPEVAVNASHELLVLYTRLFGTMQTNAPSTTQRSLTVAPPKSSAGTLRSFRGSVFGNR GRASSRLRETVPLEEETPMSPSDRPQTAQNPPSTAAPVTAAADAPPAPAIQITRDNTD LSDTRSRKSTGSVRGRRSDSVKRGNSLKKRDASSQQRRAASTSRPPSQRAHQPTISDG DAYFTPLGDAAATDRPDFFDWKTKSNISSQQSFSKGRGLLRYDSTASSYKGSTTGPES FTLDSLSPPITLPLLHFPAEQTKRQRQTILVKVWLMIAAFYRRAGVFSQCQNAIDEAK KIIEAMELDIAKDTSGSVGISHPGWGGKRSVEELWADVHSETGSLSVAEGIPYKARAD FEEALMHNANHPAAIVGLSTILLDIYAEVLSPAPAIPGIAEPDGQPINIDDPTATHES LALRSKSALALTALPSGPLGLGGEATSSPAISGSPPKQQRKPSQAVSIEELPAPYKAK SLPLVDRLAARDRAYGLLSGLTRLGTGWNNSDAWFALARAHEESGQMDKAKEALWWCV ELEEGRGIRDWNNVGAGRYVL PFICI_05331 MASSLLPTDVSEYQALEKKLVRKIDWRLMPVLVVMIVLNYLDRQ ALPNARVQGIEDDLGLAGDDYNVAISVLFAGYIALQIPSNMILTRVRPSIYLPLCMAL WGAVSACTAAVTSFHGLVACRFFLGFLEAPFFPGALFLLSSWYTPKELATRTAVLYTG SLLSSAFGGLVGAGVQYGLDGAHGLHAWQWLFIIEGSATVFLSLCSVFLLPDFPGTTR WLSEQEKAIAKDRLHRHSGSQDEERGPVLRGVRLALADYKVWLLAAVVITKTTAAAVT SFVPTLVATFEFSSVQSLLMTAPPYVFAAIVAMAVSISSDRRAERYGHLVVPLAVGMV GYIVAASTTTLAPRYFSLFLMLGGVYGSFNVTYAWISSTIPRPLEKRAAAFAFANMVG NFAQIYSPYMYNSSTGPRYLPAMTANTVFVFASICCATVLRFCLVRENRKLDALENVQ EDDGGSPEKGELEKRDEIVQESRVGPLALSPGFRYTL PFICI_05332 MARILTRILETLGLRKKKAQKENSKQQQQQQAKGKESAEKDVEV RNMSSNAAAAAAAPASLQEWEIKEPWIKLHCALGEGPYYEKASHRLRFVDIIEKRIHT VDLHRGPESLSTLQLDVRVGVTADIAGVDPRERILVGAKHGAAILHRDSGRYEYLTKF YVEDKERIRGNDGAVDPHGRFWLGSMTDFGYGPVQPEGALWLFHAGDPGLEVKGPVSI PNSVSWSPDKKTMYFTHSSSRVIHAFDYADTAEGPAVSNERIFFMYNGQGEPDGHRID VEGNMWCAVYGDAVVLKISPKGQLIGCIKMPTRNITCVEFVGTEIFITTAGMEEGQGT PEEVDFSGGLFRIDVGVRGQEPHLFKLDA PFICI_05333 MSQKRPAPHSTSPPLWRSPRSSWPNSPATHRSNLRGGGDPSSED GSQESSSVRSYGEWPQPVQRSLGVHSILNPPTSQPSSGGAAAPSMGNSPMGGLGRMSH TTTHYGTSPAQRPLLFQGHGVPIQQEISRPSGEALAATGTKPAMGSPNSIQPLSALGG PRRYLTPRSPRVSAISQGPPPGPGNVQPQLYPMSSLTGQGRPARDSVSGSPPDHSVQP FQRPTAALGSGNQMAGNISRSNTPSRSMSQHMLGQAHPSMQDSSRPPELMDRSHRPHG LPPSPYATSVPPTGRGFPTLASPDSRWSPLSAGQQGGRGSSMPEEQSTLVFATPGGEP VTFTIDKTNGSRQADEKRQRNAGASARFRQRKKDKDMQKEAAIEKLQAHNRDLERQIQ ELKLERERYRADRDRLRDVVYRTPNISELAYQGPPSPSARPAEPFASRSMLETGPPHQ QQSLVSATYGEMDASTGERAPRRRRTNSRTEYDSTPYSNPLPPPSYSLPTSQPGTPLA GSRLERLPPLRLDRGPGVPTTSGPTSNPSVQGYSPIKREHYETGWAARPTGPFP PFICI_05334 MVTTLPSAKITLSCPLWACDFDPHDANQLVVGGGGGAGRHGVGN KIALLKLATDSEIENAGELELSGQEDNVATLAFAARKDNKTANVFAGVNGSPENCKKG QSQHFRIFTLTRPAATAAATNNSTKSSGVKFSEATRETLFASTDADTFQRRLVLSQPF LQQNASAQLGAISTGFASKHQIALFDVPASGPARWKPRGRLELSKEAMDLDVIQTGPD TYQLAYCDDHDIFTVNVSKTEVSEPRCIYTLEVEDGPRPAYKSIRYLSSGFVFAVANR AGGKGVLLHGYRLPQTEEGPARLSVVKQLPKSIGRSTGLAVRNLTPPTSAAERQGDSQ YVVAVSGQDSSISLYTMDYSSSFGAGTETLNNLAPFHTIKSAHPAAITSLSFSTFIPP KGDATNMSLKLASVSLGQTTIVHSIPLKKAASAGTTSKSPRYVVAIKSRGESHFGLLA TLSVMVLLLALIGQVFMEATHIQEEYLGTNKYLPAAWTRDWRVAPRQGPVVGAKTFGD LLRTVNPGQDERILIRHDDEGELGPDGFPVLKAHIHDEEAHGPAKSWDEMETREQFMW RQRLKKTGHWVEDMGEAVFKGVLFGEIGGAIGAMVGEAL PFICI_05335 MATKETVLVVGATGNIGTSAVMGALRCGRDVLAVVRNTASAEKL FRNVGTREGITTVEADVLSDSGVQGVVDRIRQGELPAFQHVYSTAGGAISNTPLSEVS TAELRQSMAQNFESNFFAYRATFPYLQAQPNPTSFTLCVGGLGDLGTRAAAAMTQGAL YSLSAAAAHEVRGTRVRFIELYLDMRVETDESAARTGAVAASDFAAHYAEILERQQQE MAEGRGARVKILNREGLTGLKFVDKEFKL PFICI_05336 MAPPSTPLHGYRPDETSVQDLLDTLKLLILALWARTPRRIRLAG GFVLLFITTIWFSLPTIRTADYPDVPRLHFDYHEPPASPYNASKVALLIENRANPILA PLMLHFMSVVPPDWRFRFMGSTESVAHINKSVAIREQVNNGKLDLTYIPTNMSTAGQE MISRFLTTLWLYEVVLQPAEWLLVFQTDSILCANSRQNINDYLEYDWIGAPWNPSGRY GGNGGLSLRRVSAMIEVLRDQVRADGSEPEDVWLAERLAHRHGARMANGTTSLTFSGE MHRGEATNIDQDVASKYNSTFDAAVHGEFIEGIDDWRKGFYEPMGYHTGGSGSMLHSG IWGTPEYRKHIWDYCPEVKMTLFMDAAKFVPGSCHTNWKRDMTSWENAEFEEGAVPST QDADETGYDTEVIDGIEYPMLPSGLVPW PFICI_05337 MAMATPSSTTTPISGTFALTKSKVLLIVSLVITWYIAGLFPHYK PRIQESFKNKLDEAKGKIPSVKVDWHPNAIPETDLYPRLRYNTSKVALLIEPRPLPHL VPQLLHMITVVPPDWRFVFIGTNKSVISVGRSYATKHQQAIGKLDLLMLPDPWDISSK EGVFRTLTDARFYDEFLPGVEWLLKYEYDSILCANSESSLNEWLHWDWAGAPRTVDDR FSGNGGLSLRRVSTIQRVLKFQERINNTQAEDEWFGTRLWVLPGTKVAAGLHGQLAVE DTYIPNPMGFHVRDGGNNLHDAVWKDPTQRKAIFEYCPELSLIMDMKLEAERCPGDDK QGNLPAETTPAAAAASQG PFICI_05338 MDKPPSDRDLDLTEKTTTPSAAITADAKSYAFSLGDRVKSFGSE PLHSIAYLKYLARYLTSPFSKQQHHHRQHVLQKQNIPHNPFVIVYELKPGERPVARPY SDPESFADFSQSGNNIIFLTGRPSAEWLNIIGSKYQLDPRIFHQHLGALFPGQKHCYA VPSLPSRSLQSLRLRIPTILFIGSQGRNLGIKGLELARDKCNGELRRAFRSFQDSAIS EAGTSIIRNIDIYDGSNLVIEQEITAYVIRRGNDWSVLIWNDAGHEKGFGHIPVPPTE HFTPVATDLQFCPVFFENNLTETRSSDESQLKASSHTQQSSILLNRHYGATIDWTQSA SISPLYILQELLDFNAAAISQYINMVEEVLTDIGSPFEFPSYEHTKLEAILHYDYIKA RLTRLIRSLAEVRAFLLQPPGNWSQPSTVSPKKPDEHAPRLLTKSEEDFQYLFERAQS LIAICEDGKSTLISNASVQDALRSAAETKLVTRLTKATNRVTFIFLPISFITAVFGMN FQEFGQGDLPLWIWAAVTVPLLLISVLFVEKGDDIRSWSRSLSRKVG PFICI_05339 MPELAIVRGYDSHADTAPAVNFIVVPGIAGATLGDKTCPHVDDF LATMITNCNSRARGWVYDNDIVLNTLESWDKYSSNGFDLLRQLLCMQDKPGFALFEAW NEAHRPDQKRLLDKIETVMMIGEPILDPRKRDQWIGSISECFANKRTLPPHLADGATV GSLHRIANDFEDIYLNSTVVDVDSTALKPRTKLFKIKKTEHDGCICPKTVHVRMWTTA SKEQLVEKGIGDISQCGFDPSSAHYQSLLSFNSKSILPTSPTGDEEVMHARSPVPKDE YGPVTPGCRDQYGESPSIPVMAQGSGEVQVQECEQDASNVSFVQSTTELKQPSEICLI SARSSNDDAEKHIVTSEPGEMTADSPEEIRPVVLSAADDSTISQNRGRRERRYKFSTW LPPRDKHFTGRSDILQQITEQMPAIVSDGTSSRQLHPSCPKSVWLEGPGGIGKTSIAI EFAHRSVDRVSNIIYCDASSAALWGSSCHDSAVALGLVDGRTSQNHETSRQMLMSWLE ASSETWMLILDNVTNDADFIRRLPSSAQGLIIVTSRLPPLHSIEALALCNIRIPSWSQ LEAEEFMFRAARRKQKELDVEELRESARRCRGVPLVLRQVANWYRRDQVSLKTVNRLL ASAESRLLIEHGANNSLFLTAAISNLDARQTRLLGSLSFLKPDRISKRLAGVCSYLPK DDAGYGDSIAATSRQLWKLSLLDMDETETNFRMHSSTQSKIRSDMDETAWNDLFLAVS AGLDSQWPSQRKFKNIIHGFWDDFQSLHDHTHHLAECMIEKGVQNVGSPMIEPSIEFA SLLLKHTWYNGRRGNEKEDKTLHNLATILCHCQPSKRSSTKEVSLPRRCLEIPKTPDK PIVLRDTEGTYGTYVACSLLGQKCHSAIQELSSKSIQHYREGLDVSKLPENLLQAIHI ARGNNIPYVWVDRLCIRQDDPKELSEEVPRLVEYFSNSSITVYDAKDSIVSPMLTFHS KHHKPATRNWLRELIVAEVGSEAAEIETAAQPLENHKLDEILPGISSTEEHVPEKSVT TESTEKIPRSSPEQEDTVPRRESPRSSVMPKNDTNHIIKGWDLLEDGKSHLIAGSMNE AVGSLTLSRDAFRINGALSYDELDGYANASALLSTTFRLLKLDAVALDLVRNATSLCG EFVDLHTFKHHGYGLLLLSEAMALYSSAQVEQAQERLNESRAIFDFVGNEPTEWTASI DVQLASCHAALGEYREAHELLQSSMTYFQAQPMSPAIEGHLARILFRQSQLYEKENNI IMHSAFTAAASSAFAKLRPLFRENSSPANGLNTHKELSDTDFDALVEPWYR PFICI_05340 MRWITTVLLVGLASLSAAHDEGPVPKFVGGRKLLSGLKSRRALE RKVSGIRMPHVSEDLSGPTTEDETPSIDARDNTSGKCGPNRGSCAAGYCCSVEGWCGL GIDYCEAPDCQLSYGPGCDGNSKPSGVDTSTIARPKVGNVEYGGVGIYDCVNAGDIAM TFDDGPWNYTSDLLDKLQKYNAKATFFIAGNNIGKGHINDPSLPWRAVIQRMAAEGHQ VASHTWSHQNFSDVTATQARNQVLWNEIALNDILGYIPTYLRPPFSICESDCEDLLAE LGYHIIYFDLDTEGYLYDDPADIQICKDIWNEAVDNSDACDDNFLHIEHDIHWQSVYN LTDYFLASLFENNYRAVTVGECLGDPPENWYRAGSSSVPAYDFPSPTPTNPAQCAESS TSKRGTGTDPSPTGGLVVSEEGDCGNGVTCLGSEFGNCCSQYGFCGNTTDYCGKGCQP AFGSGCLGASSSVSTTTSATATTTSPSTTTSTGVSTSPPSTTATTTTVSTPAPVPPPS TTSLPGTTTTRRSTSTSSTSSAAAPTGTNEILVPSKDGSCGKGTGYTCLGSDFGLCCT SGNRCALLCLLTGCQPDYGTCAVLIGPE PFICI_05341 MAPRILIFGTGSIGAVYTYLLSRAIPASNITAICRSNYEAVLKD GFTINSTSWGHGIKVKPAVAKSAADAVQSSPQEPFDYILVCTKALLTNPSTAELISPA VSRGTSIVLIQNGIGIEEDYARMFPENPIISTVVYLPATQVSPGVVEHKEVELLHVGT YPAEAPAPAKDAARAFVDLLGAAGATAELHDDIQEQRWSKLLVNTSWNPICALARLRD RQFLDVHEDAEAFIKDVMMEVASVAQAYGYHGINEELVDFQIKRAVVRSLPGVQPSML ADTFSSKSLEVEAIVGNVVKLAREKGVKVPILRTIYMLASGLSKSFELSQT PFICI_05342 MASFIAKKISTKILGETVENKWGVKDPMFEHVPATRLDGKPSKK MKKVKRAIPPGISEHDAQILNKVKRRAYKLDLSLFSIAGVRFGWSSVIGIVPFAGDLV DFFMAAMVINTCKKVDGGLPSSLTMKMWFWALVDLVVGFIPFLGDVFDAVIKANARNA IYLEEHLRKKGQQNLRKSGLPVPEVDPSDPYVFDHLDDEPSGRRNGHRSQESGVAATP DLPTRPNDARVRNDRRSGGGFFGFGGNKSRRNDEETGIANHPPAQSSTRRA PFICI_05343 MATEITPSKKAASAFESLKMESPVKKLDFSGKENAPFDADAQVE TETQKPAMEPVKEVAKGGVAPTIKAEEADEPLLQENPQRFVLFPIKYHEIWQMYKKAE ASFWTAEEIDLSKDLHDWNNKLTEDEQYFISHILAFFAASDGIVNENLVERFSGEVQI PEARCFYGFQIMMENIHSETYSLLIDTYIKEPKQRTYLFNAIDTIPCIRRKADWALRW ITDEKSTFAQRLIAFAAVEGIFFSGAFASIFWLKKRGLMPGLTFSNELISRDEGLHTD FACLLFSHLNNRPSKEMIQEIIVDAVNIEQEFLTEALPCALLGMNSNLMKQYIEFVAD RLLVALGNEKVYRATNPFDFMENISLGGKTNFFEKRVGEYQKAGVMASTKKPVVEEAP KENENGGDFSFDDDF PFICI_05344 MPYYASADEWLRQSQLLLEARPTTTRVTTRYSIRSADAPRKSKG GEDGKKTEAAAAAASGEAKPPRGRLVLKTFDPKTGTTLKYKTSKAAEVSRLILSLGKL GRPMAGLPALKDDGVPALDAPESGMGTPAPEKADPVPAQQGQQPGGGSGGGKGKKKKG KR PFICI_05345 MASTPMDLDGPESSPANGTPLTSLNITRTLGANLPKTAPVTDVI ANFRPTKVPPRPPVNIKPRDPPKEQQGLRTSKKKHYITAASVLPHQPGESSRPRGSTE ASLSAVSPSETADLALVTPKSLGDDLKNASISNNQQLFKRDELKEGRPKPYILSLDYD DPGELLMTSESDDTIQIYKVREGRHEKQLLSKKYGVKLAKFTHTSSSVVYASTKVNDA IRYLTTHDNAFIRYFEGHEAAVTSLAMHPGQDDFISCSKDNTVRLWNAATKNAVGVLN LNTPYLAAYDPSGQVFAIGSPSSGQILLYDQRNFDKAPFSTFDVAEACAFADTAYVLK GWTKLEFSNDGKNLLLGTKGNGHFLIDAFEGTLRAYLHKPNGGTRRLAAGEAPSMNGS SANGSSSIEGSGDCGFSPDGRYVVGGGANNKLLIWDTLGQVDEDKTLEPLHMIDSERP ASVVAFSPRFNFIATADQEVVFWLPDPHA PFICI_05346 MLLRQSRQQRVLFQRSMATETTPTSTDAVADSIPPVGMPIRKPQ KFHIIPSNNPEKPVRTGFAVYAPPTSIREAHPSALLSFHAQQIRRLDRTGARTALFSK KNPDSAKVGDVLQVSTTKGEPFAGVCLSIRRSGVDTAILLRNTLFKTGTEMWYKIYSP TIQGIEIVWRRPKRARRAKLTYMRQPKHDMGNIEHLVTAWKKSRNVFSSRSGQKGGQK KGQGRVKR PFICI_05347 MSRRTVLSAGNGAFEAASRRIPQHASRSFSSTASNAAVITQFQP TSSPELDTALSTIREKIILPSYLTVQQRKRIISPKWAKKLQADPITVEIDGEIFKFRH MNPFSGEIPNTRRSVIEAIKKFDGTSDDDFKNLRPLIEGVYGAGRQLGNGFYAKVLRV VGDKGRVAELMDLARSKKTGFRLDTSEKVNELLHFIQLNALESGWNRAETEQALRWAE HVIEMVQDEAAHAPQKRIEGDLPLNRDPQVLLAPLHLAAALIVKGGVKDHKTVTMANK LASTIVKLWPAGRGLRQIHPVSTYIEDNQRGYLLEANKFVAMASPLLHGLGLASQAVT EPTLAAELKTRYERLEEEVKSSLSEAAKKGKSSRGQAVYQKFFGGSA PFICI_05348 MSTPAVLRQSMRRFATSAVRRAVVPAAAQEPSATTIAVSKAQGV SYGLTGAIGNTPLIRLNKLSEQTKCEVLGKAEFMNPGGSIKDRAALYVVKDAEERGLL RPGGTVVEGTAGNTGIGLAHVCRSKGYRLVIYMPNTQSQGKIDLLRLLGAEVYPVPAV AFDNPENYNHQARRHAERLVREQGEGASVWTNQFDNTANRRAHIETTGPEIWAQTNGK IDAFTCATGTAGTLAGTTRFLKDISGGRVKSFLADPPGSVLHSYIQSGGKLAERQGGS ITEGIGQGRVTDNLAPDLPLVDGSLHISDEKTIEMVYRCLDEEGLYLGASSALNVVAA KEVAEMMGPGHTVVTPLCDGAYRYADRLFSRKWLETKNLIGSIPESLKRYIVLP PFICI_05349 MDSPRPGVDLEKELTCSICTEVLYQPLTLLDCLHTFCGSCLKDW FSWQATIAENSPDPPPAGTNVATCPSCRAPVRDTRHNATVATLLDMFLAANPDKARSE EDKLEMHEKYQPGVDVLPKVSTVEKSPEEQRLEELESQMLAQAREMSLRASGVGAPAS PRPQQHRHRREHQIRHLDSSDRSDRDSSRDSRHRDARHRTRREGERRQRAVSESTLQP SEEGRHRRSESQQRRSRDPSRTRRARPIEHQSSIRSLISSSSIDSLDMEREIEEFARQ IQEEGLLDGLDLDNLDITQNDELSKRITEAYRRRQTQRTRQDTSRRTTTSSRSSRADT APLGQRPLVVDRSRASSRQRANSENTRNSNSASQLENRTRRPPITATHLDVRDGPERR RRRTSSGARSATEPTVRSWAGETQPAARSQTDLTLRARASDAEVRRPSAGDRSSSLPT AAMRDQVPVGLGLSFGERTISSTQLSSSVTIDTSVADHGSPNTRQRPPSVVVSPQNPL PSLGAPMSPIGHHRTRSHFYHEPSITCSRCGKGHIEYDLHYNCARCRGGEWNICLDCY RAGKGCLHWFGFGYSAFKKWERARANGNPDLEDPHMLTSSRYIAPKIIPGGAEGRRTM TTDDPLRRLQSGMFCAGCLAWTNECYWRCEECNDGDWGFCNRCVNEGRSCTHPLLPLT WVSPSNGPSPPPSPRIPNPPAAASLYKGPQAMTLGNFRPLSFRTACSVCRASLEPSQS RCHCYTCPSPVMSASSTVAEAQPGEYEVCMDCYRKLESDRLISAENGLLGWRRCLNGH RMVIINYQVAGGGERRNIVHDLVGGWDFHMQPLTPTLSAQPIPGLMKWSWPGPNGTKC ERLVTDVVGTTAPITGPWTEAFPPEGGSGLRATAHWAWYPGTGATDELMFPKGAEILE IEDVNGEWFFGCYMGAKGLFPAPYVRVIATQ PFICI_05350 MNTLRSLRPVASRVQAGTLPRAVRMFSASPARSYEYIETSEPRP GVGQVTLNRPKALNALCTPLITELNQALLEYQKSDSVSVVVLTGSQKAFAAGADIKEM QPLTFAEAYTNSFIESWSDLTTQIKKPIIAAVSGHALGGGCELAMMCDIIYCTETANF GQPEIKLGTVPGAGGSQRLTRAIGKSKAMELILTGKFFSGADAEKWGLAARTFSTYEE LMENTLKTAETIAGYSRVAVQAGKEVVNKSQDLPLRDGVEYERRVFHSLFGSQDQKIG MKAFAEKQKAEWTHK PFICI_05351 MESLNEEQTPFAAVTAQTSKLQRQYQAYLDKSTPFVTYRWIGTA ALLMIFFLRVFVAQGWYIVAYALGIYLLNLFLAFLQPKFDPSVEALDSSMDMEDGSAG GLPTKQDEEFRPFIRRLPEFKFWHAATRAVAIGFVCSWFEVFNVPVFWPVLVMYWIIL FVLTMRKQIQHMIKYKYVPFSFGKAKYGKASTS PFICI_05352 MASSSRSGENRPIHQDFIARIRYSNALPPPPIPPKLLDIPNTGL ASGQYTAPGFASRLAREQPLNIEADAELGMPLDLVGMPGIFDGDESSIQAPAQAPQPH LHDKPLLRSLQQLGKPKTDNAAVSFLRRTEYISSSASKSHGSGPLRAMNTAPARRQVK RKSPEPDKDSPAYVKRKIDQSFSIAEQNLKDRSRIRHPSKRNLKLVDSFPLLPDLEAF PDSGAYVTIKFLTNPVGKATKYDTRLLNGVFRPIERTEAEELAIQAARDAYERDPQNN PKPADNIHYDYYLNDTEANGKKFRQRFDVDNPNRDDESLYPHKHDSDGCFQFNRLRAY ETAQESELNHWSKYDEELIIAFNEESTSLHQKAAYYYPVMQRSQIRPQRNKNIARKIG ITDEDEQIIDQLDITVDEPTEQIKDKVERFKHDPYWPETAEEEEVVMEEEEGHHNGHA DEEEEVNGRSRSPPAHSDEELDADGDEED PFICI_05353 MATVKLKELAQTAGTWLLGLRPSFLSPNPHFNFITVHYFIIIGL TIVASVLIFGSGRGNVAYIDALFFASGANTQAGLNTIDVNLLNTFQQAVLFFCCMVTN PISINSGVVFLRLYWFEKRFQGLVKDARSRRTTITKSRSKAKANTADLERGVAGRNIK VMHNTAKASRITNDGLLLDEYDLKTAQQQHHPGLEHINSGDPPGSPRDATIKFSDKVR RSDALGDEEEDADGTMRPSKRTEAEHIAILERQRNEDHGTLRIPGPRDAERGVLPEEL GAGQYDDEFISPIEQVPTTRNQRNSSEPTRVSSHPEGGKTITIAEPQHPNKRSEIADD FEAATKTMSALKFRKPRGSGEKMHHTNTNEESHNPLRRIRTALSHNKHTDPMPYLSWQ PTLGRNSEFLDLTEEQREELGGIEYRSLKTLAVVTTCYFFGFWIFGVICLLPWILVNN ETYGSVVDAASQNRTWWAFFTSNSAFMDLGFTLTSDSMNSFNTAIFPLLVMSFLIIIG NTGFPIMLRFCIWFTSLFVPHGSGLWEELRFLLDHPRRCFTLLFPSTATWWLFLILVV LNGVDLIFFIILDLGTGAVADLPLNIRFLDGWFQAASTRTAGFSVINLSEIHPAVQTS YLIMMYISVFPIAISVRRTNVYEEKSLGLYGSAQDAEDSKESGFSYVGAHLRRQLSFD LWYIFIGYFILTISEGPRLMAGDFSMFAVLFEIVSAYGTVGLSLGYTGINASLCSQFS VVGKLVVIAMMVRGRHRGLPYGLDRAILLPSESLNRKEAEAAEARLQRRQSAVSLARP ASLSRGRSRSVDNRRERNVIASFLHPGPTQPLYSLSVSKADTVDDNHFFLKPPEDLRR TLSEPGSGEDDSDRSGQKPRRRETQPPLGH PFICI_05354 MPPKRKASSNVLGVAKSGRVSAASTPGPATPRDLDSDEDEADSP GQVELPNEEEIQSAVDRFSLSHYQDNTVRGPTDGASRHFGTNGRRDFSYLQLKPDHKD RPIWIDPQRGRIILENFSPLAETAKDFLITVAEPLSRPTFLHEYALTTHSLYAAISVG LQASDIIATLDRFSKTELPSSIRNFIANSTAAYGKVKLVIKNTKYFVESNDPEVLQRL LKDPIIGPCRVQGTDITTTTSNMPSVVIPGTSNAAGVQQVKQKTAEDVPPEQAQTENA MQALREDDDDDNQEAVHAFEIPDTEVETIQKRCLAIQYPILEEYDFRNDDRNANLEID LKPNTQIRSYQEKSLSKMFGNGRAKSGIIVLPCGAGKTLVGITAACTIKKGCIVLCTS TMSAHQWRQEFLKWSNIHPKDITVFTAEKKDKFEGNTGIIVTTYPMVTQSGKRAYDSA KMMEFLTGREWGLMLLDEVHVVPANIFRKVSSHIKSHAKLGLTATLLREDDKIEDLNF LIGPKLYEANWMELSEQGHIAKVQCAEVWCPMTTEFYDEYLRTNDRGLKANLYIMNPR KIQACQFLIDYHEKRGDKIIVFSDNVYALKEVALALGKAYICGATGNNERMHILENFM HNPNVKTVFLSKIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFN AFFYSLVSKDTTEMYYSTKRQAFLVDQGYSFKVITQLAGMDKMEGLVFNSAQMRRELL QKVIVDTEAKRGMDDEDATDDLFYANKGRRKGVRRTAGTLGELSGGQDMAYMEQRKSA NKALKKNAKQNDFFKKHYREVARNKANAQ PFICI_05355 MATHTAMTQGNDPELRGSLERKVGILHFVSPKDQGWTGVLRKRF TDFQVHEISEAGEVVHLKDYFTNARDYERAEARKNGEAASSGQAPGTAKPKENGAATS TESQDGQPTQNSGEDSTTLKDQATESAAKATEGAPEKTDTADGEIAASDLEKLKESLG SDVTEELVALFKKVLADPEARPQTHGLVKFPQIERSVRGPLHAEIRRIFSSKIETETN HDGIIVARAQPPRKSRWGTRADNRNRNNQANQVKIGKFLHFSLYKENKDTMEAINILA TALGMRPNFFSTAGTKDRRAVTVQRVSIRGRDPKKVISANAKLRGLAIGDFKFSDKDL YLGCHNGNEFTIVLKDCTFQGAENESLDRRLEIAQSTLDRALADISQHGFINYFGTQR FGTYEIGTQTIGMKILQGDLKGVIHDLLSYDSELATMDPNTLQDDNNRLTDMYRAKAL AKYKDGNDADAAAKALPRRCNTEFAILKHLSKQPTDYHGAVLAITRNMRNMYLHAYQS LVWNFAASKRWELFGKNVVKGDLVFALADDTQKAPAKEDLDEENIHLAEAAQSDDGSK PNVRVLTAEDVASGRYSIQDVVLSIPGTDVIYPDNEIGDFYKEFMGREENGGLDPQNM HRVQKAFSLTGDYRKFMGGFIGSPSGSVHSYGHDHDQLVPTDLDLIKARQAAARAEAA VDGQGNSSAGWKSFAQNVGEDERNEAKVKLAAAQRRKAEDMEANQSGSRLNDTWVQTS TDGSNKRVKVDTSTDMIEAQIGSTAQVEASNQHDDVKDLSTLEVSTPNIVNVESTWVA RFKKVLLQLYDATIGNLFRFFNTDKTNRKTPQDTQQPGQSAVTKVESECEPSDKPAAE GVPQSAPIDTPMADAPLASHVGKPSRVESAQSPGQQDKTLLPVEDQKIAVVLKFSLGS SAYATMVLRELQG PFICI_05356 MTTSRNPTALSRSESISEGSPRYQTNLRQRLQDNINTLDGLINE TNNTAAQNTASLTASSPYPSPPSTDPPPYLAANFASSVPSLRPRASTATLAQNPQPIM YSNQQNNNSRPYGVPPPPPPPTMSPPQMLSMPSIPPPPPRYPSAPSGTVGMQLPGPPS GPPPNAAMNSQTQWQGSWGRPYDTRPGLSMPGPPPNGLHRAYDPKLHQQLSMGNLGGT MSIPPPPPSDPPNMSMSATYIPQGDTYGEGVGIPAFGFDELPATFAPAAQVSWSAAGQ IGVGDAAKTMAADDSQNRLYANSMANRMASTASNAASSASGVSPELAAKWPLDNVLLW LATNQFSKDWQDTFKRLNLHGAQFLELGSARGGRGNFGLMHQQVYPTLAQECTKNGTK WDSSREREEGKRMRRLIRTITYTASANTSSGRDANNHAKGSGGAPPNSQGAGTDVESP NTPIKAPGPGFSGRRFSQTRSTTMPTLSGNTMSSDANHRTILKGLDIESSRRHSPVGN DADGNYRSAFRGDSPSGSPKPNSGLFPQSAGNLSASSPSGRFGHRSRDSTSSVSSNAA IYGSGVPAEASQLLRNGMSGIGEMLNANRNKDNSGQSPLEASDRSAGPDPPGSAKDNK SFLSFFQKRKKKEDGAAASPEEGDAQTSPHLSKASLFGNRSAGAELDASSLDSAPAFR RNPNAPRVFVLTTLDGWNYRMCDVTDCQTAADLRVCICMNMGVSDPKTALLFLTELGR TTHDEALEDQSLMIHKRNKADATGTLKFFVKIHNPAIHNSIPESLTPGYALPANSMDD EAYARLDGQRERSSSSPPASRVDTVSGNGPDPNELAQRANDYKLGVEKQQAAYLARRK QALEKSSPQENSSQFGIVGRNVDFDQPRNSPYEEKKPDNLFPQRRAPAPPGVETATLI KANSLSKKSGHQMRQSQSSFDGYPSPRRPTTSTNDTSQEMSQRRPATATREPQTSALG ALVGMGSALSHFGRPTQNNRHQKPVSPARNISGASAGAAYDEVRGKRAMATVDFDQTA SGRSSPRSGSPGTLTWSRGDLAFTVPDYSPGGTPVNGNKSKPEYGPLAKVRDAAQRAP SPGDLSPNSAHPSSNDVRPEPQRRKSHGPDVDFTETDVQFAQPTTSVAQKDDSSGDDS DDGLFAVPVRGRQPSTKKPSLTLNTTRSKKQVSVSFGSPGPRIPDLEEDDGSMRSAKS FQRRTPATPQSDSRESDDGKLGRRKSFIERDVWANRPPAEALLENLDAFFPEVDLDEP VLDEASLQDVPSPRSPAFVNTPNQGVEASRAASNESLQLPLHGTETARSSVYSDNDTL GSDESTLKALEQRPVSIASVAQRNVGRRSGGLGRMKSIREVARGAHEANKRYTTASTA SGMGGASSAIQRRKSTKMFGANVVQIRPERGSMIDIMPQIPQDTLPKRQTTFRWFKGE LIGKGTYGRVYLGMNATTGEFLAVKEVEVNPRAAAGDSNKMRELVAALNTEIETMQYL DHVNIVQYLGCERKETSISIFLEYISGGSIGSCLRKHGKFEEPVVSSLTRQALSGLAY LHREGILHRDLKADNILLDLDGTCKISDFGISKKTDDIYGNDKTNSMQGSVFWMAPEV IRSQGEGYSAKVDIWSLGCVVLEMFAGRRPWSREEAVGAIYKIANGETPPIADEVREA ISPYALGFMLDCFTVDPGERPTAERLLMQHEFCELQEDYNFFDTDLYAKIRGTYN PFICI_05357 MAGHPNSPWAERFAPYRIPIDIWGGVQHSGLAQAHPPLDPAHYF DVWGANIPTMYTHINKPPLNELDRIFHYERLQQLIMRGIKTRQLGVLNTRTFLGRSGH TYEDDYLGGTTFQINENKWLVCFRRHRWYAPQTFRGLSPSRPSIMNTDDDRVWRHLSY PLELLHRILRLMMREQHSL PFICI_05358 MLLQWVRRAMAPNEPVPEINGQPCRLFIRERPLEQRASTGEMAA QIMAAVDDVVCSFLTEEDARQQTESDGMSTKFPGQDPTLPSTKMIMLAQGHIRAMVED RATHAETCSKQFLALIFSSSW PFICI_05359 MAEYRRGAYRIYRIRELEPKYEIPELHGPLMKLNKLMGERETHN AKIRPWYAVEYAKWQLTPWSWTSARQSIQEFSISHAKQDFRSAFQAASQLMHMALTAQ DNPPRGDGKGTEPWTHWPTAWIFVYLASTMYAALPDISVPEFHQASPAQAAHPGGSVP QERHQPVMGAIFTRSLRLMYTPPASNLVDPGEENWVERSKESARSFGLTQQIAFPAVW ADAINDNFKAVEAFRQNPLKDKHFAPWNFTIPQYQGFSLWSVLNKDGTIDPYKGGNMT EILEGFYPSPRIYTVQHPNDRTQGSKPAQLNPMATAFASGGPSNALRSRKKSPFLKHK YYTIAEVADNGWIVDPNPKDGTTDVFDIEALLDVLDDETLQVDDLTKCGPLGRQLSSS QDEIRAALRDSRTRFRVGKLLPKYRFAEVYENDGQDDAPSWIAHDDRVYDVTEYQNRN EKYSLWLSRCAGGAFFASTEDEHDCFAEVMASIQKYQIGWILDEEPKAEPAPGRSLRL LTESMLRSHDNPTEGVYTVIGDNVYDLTKYIDNHPGGALAIQAVAGSDGTKAFLDNHD ADLIDQPEFAALKIGRLIKERDPGVVDEDEIVLHDSIFNISGLYKHDRDLHRRLMKWY GTDATHILTNDEGEDSKLRNDLTLLFLSRKDWIVAKIRTEKYVRGVTSWDVKKHDESH PEAIWAVVDKDVFDVTPLVRHPDYYSGARDLTAVDAGRVITSSKTATWLHKYHSHRIV AKLVEQRHDSVSTIHLDEDGPDHEDQDERTDNRPAKKRKVHKDIREQLREFINRNKHL INENLHGSSSCPGVGAM PFICI_05360 MSTLRLTIEDGQFRDNHGRHVLLRGINVAGDAKLPSTPDVPSHI VDDFFDGDNVKFHGRPFRKEDAHIHFSRLKRYGYNTIRYVFTWEAVESAGPGKYDEVW IQHTIDVLREAKDYGFYIFMDPHQDVVSNIASASPGMITPANSYVNQWSRYCGGSGAP MWTLYACGLNPQSFAATEAAIVHNTYPEPENFPKMIWSTNYYRLAAATVFTLFFGGRD FAPKAIINGLNIQDFLTGHYLGAISHVAKRLKEAGGILNDVIFGWESLNEPNRGIIGN ADLSVIPKEQALKKGTAPTIWQAILTGSGRACEVDTWDMGGLGPYKVGRSLIDPHGEI AWLPADYDDSRYGWTRDPGWKLGECLWAQHGVWDPTKDVLLKKDYFAKNPRTGDVLDH EKFTNTYYMEHYRLYRDTVRAQHPDAIMLCQPPVFEVPPSIKGTADDDPKMVYTPHFY DGITLMTKKWNRTWNVDVLGILRGRYLHPAFAIKVGETAIRNCFRDQMAAMKKECLDY MGKHPVLMSEFGIPYDMDEKNAYKTGDYSSQSAAMDANHYAVEGAELDGYTLWLYDTQ NDHVRGDLWNGEDLSIFSLDDQTLPMPSVPRTPDGNTSQSSFLKTAATQVRPEVDDEA SVTPGNLKRTLTNPSISSKPPSLNPELTNAPGYRAAEAFVRPAPIVISGTQTSYGFDL KTCVFHLSLTSSTPTSETEPTTIFLPEYHFPRDQVSVEVSGGKWEISTDDEERAWIQK LRWWHGEGKHDIKITGLVRPHNNLNGSAEEAGYLEQCQASYGIDVGKCALM PFICI_05361 MSSTAKQLKAVRELVKRKQWDDVLDQAQSIIKDDPKSFHAYVFL GFASAEKNKVEDAEKAYEAAGRLKPSDPQPWQGLIKLYEKQGATKIAKYHQAALKLAA VFHEQDDMYRCQDVIDKFVDFANAHGTRAQYVDALAAILPDSPIYPALEGRVPHPAKT YEKIAQILETDEKKRINTLIGERRTRIGATLGNVTIDVNREVLGQSKLGNIYEQLINW STDDDIRRQYEEKLLRFRYDRLVVFPPGPEKEAELAIVQKLAGDMVIIDHPFRLAWDI AVNWQDNKEIRDWDVNVLNGYCSHFPESDLYKVLTAFMTSSISPFPKKPASDPAPAQS EGDADSSEDDEEGGAPTSIIPFTEADRLSMMEDGVESTDSLLAYRLIGEYYQHLEEHE RNVELMRKAMQVMVDLTNKIGKVFLQTNQAFMLHLGTALVFYQSPRNHGEAKFLFDKV LEVDASSTAAQIGVGLIYEEEEEYDSAIDFLERALQRDGTNLRVRAEVAWLYALKGDY TRCKADLESVLEAMSAKNAVSNELLAQTQHRLGVCVWNLDTSKAARKSRSGAYAYFLE ALKSDFSYAPAYTSLGIYYAEYAKDKKRARRCFLKAVELSSAEVLSAERLARSFADDS DWDRVELVAQRVVDSGKVRPPPGSKRKGISWPFSALGVAELNKQDYAKSIVSFQSALR MSPNDYHSWVGLGESYASAGRFIAATKAILNAQKLEASLDDGSVGDTWFAKYMLANVK REIGEYDDAIVLYQDVTKTRPNEAGVVVALMQTLVESGLDSIEKGFFGKAVELAQETI SFALTVDPEVSSTFNFWKAIGDACSIFSSVQGRVEEFPAEQVRKLVELGDDPESAYNV LHDIDGVGKDVVLAKGLFPEDEKLGVDLTRSLHASILAHKRAVHLSAQDVHAQAVAYY NLGWAEHRAHTCLPSELRSKASRYHKAAVRCFKRAIELEAGNPDFWNSLGVVTSEINP SVAQHAFVRSLHLNERSPHTWTNLGALALLQNDMQLANEAFTRAQSNDPDYTHAWLGQ GFVALLYGDVREARGLFTHAMEISESSSLLTRRQYSVSLFDYILTDPSNLKVVSLVQP LFALEQLNGLDPQNLAYGHLATLFQERTSDSPRAITMLEKACEDLEANYEATESPISA GRFALAKTDLARSYLSAGKYEEAIECGEVALQLSGVDSESDLTSEQRRKARSSAHLTV GLAQYFNGEVNDSLTYFESALEESDNNPDAVCLLAQVLWAIGSDEARERARTLLFEVI GEDSTHVQSVLLLGVIALLDEDEESLEAVVAELNTLRTSDKVTDAEHSQIGQVLRAVA ALSANSTENDVLAQMQNDVMLHPHMPHGWSMLANSSGEEYPAEVALKVATKAIPPRGE LGAAELASAYAGTARAADAQVSIVIAPWINNGWDSLNDAVAGLSKTWTLAR PFICI_05362 MNASSLILAVAAVGAVALGNQTFESTCLSFAPEASIWNSTRTQL QYVPAGTNLTFPDNDATCSRGSQVVPVDLCRVALSIPTSKRSSITLELWLPEQWTGRT LATGNGGIDGCIKYEDIAYGVANGFATVGTNNGHNGTYGNAFYQNEDVVTDFAWRSLH TSAVVSKKLTAQFFDSALGKSYYIGCSLGGRQGIKAAEMFPRDFDGIVAGSPAVDFNN LYSWRASFFPLTGASSDTNFISATTWNTTIHNEVLKQCDEIDGVKDGIIEDPTLCHFR AQELSCQGYNTSNCLNADQVNIVEKIYTDYKWPNGSLVYPGMQPGSEILAATGLYAGR PYSPSYDWLRFVVLEDPEWDPASYNIDDALIAHDKNPAGIETWPSSLAAFEGASGKLL TFHGLQDQQITSFNSLRFYEHLATGMQYAPEQLDNFYRLFRIPGMNHCNSGPGAWVLG QGGNAPSKGIPFDAKQNVLAAVVDWVEKGTAPESMIGTKFVNDSVDHGISYTHRHCRW PLNSTYLGGEHDPLQMDSWVCA PFICI_05363 MMENSSLQTTIAFLGATGRTGSATLGPLLANKDKHVQLRTYVRS KAKLLRLLPEIENDSSVEIREGQLDNVKNLTECFRGASIIICTVGENQNIPGLCTLQG AARCIVAALTTLQEEDENWSKPRFIMLSAAPENPRFRAAMPAPVLWLLHTAFYYSYAD LSAAQDILAAAPQLMSLLLVQPPGIVEDEPTGHEISTESVRKTVTYADLGSAFAELAL ERAYDELHAVGVSSKGGDVSGKYEFELLSRMVIGLFAGYMPGYWPAKRFIDRIRGALG W PFICI_05364 MTRSTVATSLLCLSALTGVSEAAFNWANVNSVVAFGDSYSSVWG TAGYPNYTFIGSNLPSQYAFTPSQLLNDRIIQNYSATAEGGPNWLEFLTGCAVKPGLY LPRSCSNQLWDFAFSGASYSKQFFPPHHSYTVPVANQTQRYLSYADQTLALDKSRSLI TFWFGINDVFDTKNFYTGPLTDEQLWNQIISASFQQSVMPLLRAGFRNVLMMNFPPLD RAPPNLRSSDPYPTKSMVDLWNSILAKQSAQFQASNPGTKIMVYDDNKFFNQVLNSPG SYGIRVTDNFCRAYENPDVANNPSKYGCYPLSQYFWQSGAHM PFICI_05365 MLLKSLSLASAAGLAVASPMSRRDAYPPLSTSTFFTLVANVTDT TKNIFDPPVNGWSLSGVHSGAGLNAAILSANGGATFFVNGTGQEVSSASTSVALPPIA STDGNGQPYYTPQGLQFSDSTTSDEVYIGLNFGLGTKGAGITPGLRSPYADLFGPFGS TLVVCNETAPAYTRPQYPVRGETVVPDNCVAIKLLAQCASGPTLEGVEELNIITEDVK CYEDVSAIDWSQY PFICI_05366 MIISNPTTSCILMLFAILARLISAYDGNGPPCETDECFVDIKSD DALCWMDDDNQNIHYLSPLYGGIHHIPNACRQSNIFGGDGYLVAYPSIGGLVMLRNPL AVDLQYLGLPHTHDTARSPDEDDSLATRMVQLGAQWWPDWNLYFRHSHNVESGTFYDY HFPSKVYVAFPTTGGAWVANFTQDDYGYQFSDLACQPWLSHAPRLWQIRLRYSLSMDD KAEIMKELGATFYASVDQIPGLAKTVHEAVSLFEPFKERLKNMDDPAYSAHFCTWSEE QDKSTSNEKLEKPRWGIWSLFNGLP PFICI_05367 MRKQHTQEPASSAISHNHTSSPMLLKLPAELRMEIWRLVLGGTV VRISQEHKPRIPEPALTWTSRQIRAEALPIFWAHSIFDGRHEAVLRFFGLIGRDNIEM IRHVRHSGHVGLNHIVGIRLTLWILSGAYETLGLRPEALHLPLLIEDEGESWVSLQEA SQLQQIRMGLGLSAMPIVRRAKENSELFYGHREHFFNGQRV PFICI_05368 MDPASLASDNIGGGLRAFAIVMTVLSAVSLICRIWSRALHRPTV YEGEHRFWWDDWIALATGVFCFAQMGVIIAMIDFAGLGRHIGTLTLGEIALFSKLLFT TEQLFGFTICLSKVSALLFYKRLFLPATDSKTFRTAFWTVFALNIAWEVGARFGSVFN CTPVAASWDPFMRGKCGSTTTLFFATALTSAFVDLCIMILPLPKIWGLRMTTWRKIGL SIVFFLASW PFICI_05369 MAAIDAANDDASDTSIGSPNLDTVASCSTVDDDPNDGFCGLLPG NTYMILERESLRAMTLTNEGLRLKHIEEGQSLNNRWLCVEKNGWLGLQEPKSGKYIGH DGKSGVRAAANGFHAWEYITTRDRPGGVYQLLVPHWWEALRVIVVAEDGESLITRQHG ETLWRFLRTSGTGSN PFICI_05370 MAAPPSDAYTTPFQLTKSMHRDVYSAIDPATNKELSATDKVIIV FGATSGLGFATAKSWNTAGAKGIVLVGRNSEGLQKAEKDLAAKSEVLSITADVGSVAD TDAVFKKTLEKFGRVDVVVTAFGAMAVAPVGAQEPAAWWENFEVNTKGVYHVAHSYIT VAGGNGTLINMVSLAASFVAPGMSGYSASKLAVIRLGEILDVEQPGLRVFSIHPGIVI AENGRGAVFEPFRPFTHDTAALTGGLTTYLATPKADFLKGGYLHANWDVVELEKHKDE IVEKKLAKLAFLNGQLGPEGHPWSS PFICI_05371 MSTPTTPKCANEACQSGQRDNLLACGRCQAVQYCSKGCQKQDWK YHKVPCQKAAGVPIGSLACNQKFAIHDPKAQTLAKDMGMKPSPADFLAYPLRRLALTR KDTPGNLPLFFGDRDRPDIRSAIKEFRIEALLRPPPGSPTYAHAKPGRLEEGLPAWTP QPASLDEAKEVQEIRKMQQIIVDHSGPRGTKDITSDDMMSILTIHYPERWAEMLPLYN LTLNALDQGAQA PFICI_05372 MKNSGTLLSKLSLCAFYYRLSPARWYQYSIIFTSFLCIACFGSV FFAVLFACRPVSAAWNLRLYTGDNCIARPPWYILQAITGGVTDLLLMVNPIPTVLGLQ MSTKHKAALIAWFGIGTITLATAVMRAISLLSMISSSDTPWTMADAMLWLVVESNLIV LCGCLPTFRVWITHVFSRRRLPSKGNSGGGSQGQAAQGTPLRTFGQGKTRHFDTVAEI ERDNIKADDDYNGTEPPTSRHGVAVSDTGSEERILHTQTRVISSSE PFICI_05373 MRSLIPALALLRTVATLNLTASSGPYNMSNSSLMSIEETCVALE AAGVRVYRPNSTEYVAREADYYSASARRSPSCIVQPTSTEQVSQVVKSLKDASMTNWA VRCGGHMAWGPAADIHDGVTIDLGLMNKTAYDADNKVAKIQGGSLWQDVYSTLETFGV TAPGGRTSTVGVGGFTLGGGNNFFSGKVGFACDNIVNYEVVLATGEIIQANSEQNPDL WKALKGGSSNFGIVTRFDIQAFEQGNIYGGLVIHPNSATNQVVAAFSNFVDNIVNYQL GSCFSFWSWVAGSNETVIISALHDTTGTVNASAYAEYAAIEPTVSSTLREDTHLNFAK ELEFAKGNQNVWFAITVKNDPEILQFIVDQHNAFITNWKNATGDETFSLYTVFQPLPK ILFDHGVERGGNVLGMDREQGNSVLFQVFMVFQGSELEDTARAHLVSYRETVRQRSLE TGTDVEFAYLNYADKTQDPIATYGQENIAFLQQVSAKYDPEQILQTRVPGGFKLPNTN TTTL PFICI_05374 MATTEAPPPMGLTRSRTLTNSAAEFLELRSDETIGAELAELSPE FVPELDRFVPRDEVLQQDYFAESQKRVEKFKETLALFQKNLIDRKVDKKYEIEIKDAS SYTLEDVLKIAKVVQKKHSDADQVHSCMGRLKKFFHATGRNATTFKRLLTFVPDDVYG SVICGGFTVILGTLERLETLRTDMYMALDKIPTTLGRLHELLDVHYQSPKLKHYGDGL LVAVFVLLELIVRELSGSAAKKIFKAPFRGGRYGYTIDEAIKSLEEAVREFEIEARIC DSQRLGQVDIVTRRTLLTAARVETETKKTEQGQVLLTADYHLDLTNFASRQEHFAQQF REDQAADSQKILASTEELRDQIKQSQIEHQRHGAQVHQVFYCFLTSSPSFDSKTGTLD RYQAQRRLIRELTPGSSFEVDDKESGPMPFSETAKALVDSWNKVTGNAKQVAENDLQQ AIGEITAKEHEPAEHIRVEHDRVGYILTSAELMAWLLAAESSLLVVQSNSETGEEHTS SSYSSALLVGVIQKINQFPVLHHFSATRAAAPDDRKLRGAAGLYISLITQLLDHLQSQ GHNVELDFLERVIRHLKKGERKTRQLRRTFQELVDRLPIGSGLFIIVDSLWKLQDSES DEEVEHLLKLVKSDARQIKLFVTDALSLRIIDPLTAWMKKTQRRAEKKDLATPKERVC VLEPPDDVDGCHTDFNVAWIKEELQGSVEKANLSRSGSSVGSGGV PFICI_05375 MDKKKKKKTKLRKKGSDRDSDSSSTSSGSSVGPPIDGLPYSENV KDWHDRHNAEKHEKRKRTRGSRAERRRRSQRSRSTSTATSLTLSGSEVEALERLEENK TTSTALKKLWKNMKYLQEKIQKLEDALTDSESDVSERQSRRRKKNAKQEPELPREKEV GNESKEEKVKSGEEATTSVSAKDNLDPESSKDDAETQASAERQKEGVDELDDAKASKE SDKPHGSVTSEGKEGKEKSSSGVEDDEKASDGDDEDSSDEDEHDSAESSEEDSHSTAF ELKSQFFYQKDVDELNRFYDDKIEPFIRVRWDDINDGAAPENSESAGQKGKVVAGEID ILQICIESPVFKSLIIHTGGLTMASPSGPPQPPEPPGPGLIDVKDLRRTRRHRPRADD TLSFYRPFRWLIQNRELLEEKMKKYKDDGLKTTTSKESLKASQKAEHSTSEREFNGDG GGLEMNLGAQIKFLLDFMDEHLAEPLQKYRNARAGDLKTIGFEDLWMLYKPGDIIYCQ QRTALPTATVPPAPSDVSRNGTRKPPGVPAPVIYRQGFGRDTPQAYKIISVVGGRRYA SPIGPRGRMANTHVPLKLICYFLDIGGYRFDVVTDTFTFRPFDTEMVITDLDAYPLAY ASSGDIHGEEGMRKFLAARGKSFVEVCEASHKLYAGAAWIDSHNNKEEIDTPVIVDFA LAYQNIPSWRPPFCVPYLDFYNSTAKSARAESLEMTMSSRTNRTVDWYYHHQSLLISR ARAAITETVQGYPIVGTVASDPAIPELITAMEANEDILLLPGLAYAFALRDRKWVALD LTLLQDTQYEDGWKDLILPAGHKEMVRAVVENHAAGSRATGGMKKNSAEVDIVRGKGM LLPAKIAIDQTLISPKSGKGCIILLHGEPGVGKTSTAECVAAFTKRPLFPITCGDIGY EPDEVERNLQKHFTLAHKWGCVMLLDEADVFLAKRSRDDIKRNGLVSVFLRILEYYAG ILFLTTNRVGSFDDAFRSRLHLTLYYPKLDRKQTQQIFEMNIRRVRELNSKRELAGQR SIQVQDEKILKFARKHFETLSWNGRQIRNAFQTAIALAEFDVRDDGEGEGERQAVMGK KQFKTIAHASIQFDSYLWHTHGGADQAAKARREQVRWDYEVEGRPDRKPDLIDSSSDL SSDSSSSSSDSSSESSESSADGADSTDSGEERRRKRKKKKGKGKDKDKKSKKSENRSK KSRSKKDKHN PFICI_05376 MSWKLTKKLKETHLGPPSIFSRSGSTSTITDKDEKLGAPPTSSS TPAPPDNAIAASEAMVQQPVLTPPKPGILVVTLHEGQGFSLPEQHRQAFASSGHQGSV STGSALSGSVRPGSRGAPSSFVNGRPQTSGGGFQGIPTNHGRFSSKYLPYALLDFDKV QVFVNSVSGNPENPLWAGDNTQFKFDVSRVTELAVHLYMRNPNAAPGTGRSQDIFLGV VRINPRMESKRPFVEDPKASKKDREKAAAEFAEKERQAGHSGVQWADVQYGTGKLKIG VEYVENVAGKLTIEDFELLKVVGKGSFGKVMQVRKKDTNRIYALKTIRKAHIISRSEV AHTLAERSVLAQINNPFIVPLKFTFQSPEKLYFVLAFVNGGELFHHLQMEQRFDVNRA RFYTAELLCALECLHGFNVIYRDLKPENILLDYQGHIALCDFGLCKLDMKDEDRTNTF CGTPEYLAPELLMGQGYNKTVDWWTLGVLLYEMLTGLPPFYDENTNEMYRKILGEPLH FPGPEVVPPAAKDLLTKLLNRDPAERLGAGGSAEIKAHPFFHAIDWRKLLQRKYEPTF KPSVADALDTKNFDKEFTREVPQDSYVEGQPLSQTDQEQFVGFSYNRPFALGEAGGSV RDPSFVGSVQDRRR PFICI_05377 MPRSRTILTAVLAASGAWAITPEQMLAANRYSDAVPNPAGDFAI FSVTNYSFETKEIASAWKRLDLKTGDISIWPARDELSEFVFVGDTEILYVNGTNEEGD GGVSLYTADVDNLESATLVASLPAPFSGLKAAKTSSGDIHFLLSAQAYPNGTAYNEAL AETPASTARIYTSIFVRHWDHWLTPYKNAVFGGVLQGGLNASTYTFDGVLTNYVTGIA NVTSAESPLDNSGSGDYALSPDGTKVAFLTKDIDLPIANYTSSQIYLVSFSGSAEDAV PINARGSTQYPEAQGASSGPVFSPGSDKIAYVQQNGIYYESDREILYVANTDGSDFNV TRLAGNWDRSPDTPIWSKDGETIIVEASDLGRTRLFPIPLSAGDDYEPANITNEGSVV AYYAISDDTLLVSDASIWSSRDFYSVSLSGEVDTVYFQANLVDPELAGLSAADVSEFY YETNTSVSKQQAWIIYPAGFDASKKYPLAFITHGGPQGSNANTWSTRWNLKVWADQGY VVVAPNPSASIGWGQNLTDAVQSRWGTYPYWDIVHAWKYVNETLDYVDTVNGIHAGAS FGGYMSNWVQGHEMGRWFKAIVTHDGSTSTLNQYASEELWFMDHDFKRPFSSPEDFQA GSPYYDWNPLLFADQWATPHFVVQNTLDYRLPESEGILLFNELQVRGVPSKFLNFPDE NHWVTNRENSLVWHTEIFKWINYYSGLSDADSPY PFICI_05378 MSPIGAKDGRETPQLPRLAIPAYNKTNPFSATSPTVSATISQLG DIIYPVWTPSSASTTKSPSLRGSGLPPWTPIAAGKSPQIAVGMPIKAVRPPRPISKDP PRPGTASTVSKDPPRPETAASINKELPSPWTSASSIHKELPRPMTASTKRLTLSRYNK TRPIKYGNWKDGEIELVPQPSDDSEDPLNWPLWRKNLNFYALLHMVALVGVSKTMFVT VHSEVALSNGVSYTAAVALTGVPLCVSALSGMLSLVIAKVFGKRPIYLASTLFMFIGT MWGMYVMNSFSQNMASRVFQGLGWGAFDTLVLGSLQDTFFEHELQPRILAVQAVSIAA TWGSPLLGGVASVGPRGFCLQYEILSIFLALGTWAVVFGVPESTFERSSISMFSRDSL QSRPRLNWPWIRFSTEAAKDYISRMRPWSFKVSLMDSTLLLRAPRAMMTPTILLLFSV TLLPYAALWGFASSLSLLFSVMPFMLSTTNIGTLLTGPFIFATAIMITLALPLYTARF TSTILSTTLAVGAIVASVGTFGFGLYIEGSMVMAANGTRNSLGTMWSLDAVGANISFP VVSFLLGLLAAGSLTLDATIRPMIQRSISFTSVNLSNAMRSTIDMHAGLTCLRNLVAG AFIIGLPNAVWAWDGLRSAALGIGIAQFFVAGAVGCVWWQWQENVWRLDGKAIGAVDA SALVLEKSYFDTS PFICI_05379 MASLVALLLAFCLGTKHALAAGLCDASTFAGVLPENATVRSADH VARGGTYGDGLLDLNYPIQPTGLPELCAVTILVNTSSTSSFRFGLFLPTVWNNRYLVV GNGGFGGGINWLDMGNGVGYGFAVVSTDTGHNSISTDIGWALDNPEKKEDWGWRSIYG TTNLGKALVEAYYDKQIAYSYYHGCSTGGRQGLKQVQISPDSFDGAIIGSSAWYVSHL NPWVTKVGTYNLPFNAPNHINASLFPVMAAEAVKQCDALDGVEDGIISAPSKCAPDYT TISCDKAGANVSACLTETQIQTAKNVYADYRSSSGELLYHGLLPGCENQWYLVLNQND TSPYGINYIRDFLYDDPSWYWTEYNDSVVYDGERLKPGHATADDYDLSEFKELGGKMV IYHGLADGLIPPDGSKYYYEQVAETMADGDISGIQDFFRYMEVPGMGHCWSTSVGAPW AFGGGSQAAALGTNVWSVPGFKDAQHDVLLALMQWVENGTAVDSVVATTWQNPTNAST PVLRQRPLCVYPKVAKYDGEGDVDEATSWSCE PFICI_05380 MVSAKQVALMGLSTLASASVNSTGNSTLTKPNFVFIMTDDQDLH LSSLDHMPLVQKYLADEGTIFNKHFCTVSLCCPSRVSLLTGRAAHNTNVTDVSLPYGG YQQFINNGLHEKYLPVWLQEANYNTYYTGKLMNGFSATTYNNPYPAGWNDSDFLVDPQ TYWYYNASMSRDNSTLRYLPGNYSTDVIANTALEYLDLAAVAGQPFFLGVTPIGPHAE MKPSLSGEFLLPTPADRHANLFQNLTAPRSPSFNKLQGSPVGYFATLPELNDTEVAYV DLFYRKRIQSLQAVDELVESVVKKLEALNLLDNTYIIYTSDNGFHIGQHRLPPGKTTC IEEDINVPFVVRGPGVAKGAAYNQPTTHTDIVPTLFTLANITLHDDFDGEPIPVTTDL QARTVAKSEHVNVEYWGEGIMEGTISNWVTSTLPNNTYKHVRVVSDAFDLSYTVWCSN EHELFDFKVWLLTPMNSHSTRDNADHAHPPKKTDPYQNTNLYGQSGLIAGYDAEKLTA RLDALLLTLKGCKGRVCTRPWETLHPQGDVQNLTQALDSKYDQFYLEQQPKVSFSQCA AGQLLEYEGPQTPLGYGGVDSAELLKNWDLWT PFICI_05381 MDPRILTLERFTLEPGYLVCENGCTNADMTVWWFRWYTVDSKHY ALWSSYECVSVVSQMAHCMHRIDLADEEKWADTTHLANKFLGAD PFICI_05382 MDGLPNEILIHVLELFPTQALLPLALVSQRFYGLVSRLHYARLV EAIALQDHELILECYHPSAKISTPYMFCDYLGTDGLEAVGLDPTLTSIGNLYSRFRPV LSEEHRRPRARHATKSVLEGKEEPIVEWPTHDIYLEPSELFSQICTIINMIKVGPKRG LFLSYVNVVECVIRIWREWLANESSKPSSWQPDSPSDLASSAIVWTDSSKNYGIRLKV IEKPDVQAPILVGPGEDPPVSTPRTLKSSHSGHGTVGSAANPTARAATPTLGETPGDV PEYIIRHAPLVWLHSQDPFRPSDILQHVQHTTPTVGTEPIANLPELDLDNLDLLNDRP EGNPVALTANEDITGLPTWLYGETPNEAGLLSNATACAVILVESGENAGDVDAFYFYF YSYDRGPNITQVLPPLNGVLGSRIDTNASFGDHVGDWEHNMIRFRGGKPTGIYYSEHS DGSAYDWDHAALTIEDERPIVYSAYGSHANWVSPGNHTHDSVLVDYCDAGLRWDPVSS AYFYHFDSDTSRLSRIFPTGSTETSNFTSFLYFSGRWGDVQYADDDPRQRTVPYFGLK RYVTGPTGPLTKQLVRKGLSPDHKEKRPWIQRAVAIFMLLYPYFFRGWRAWLSGLVFL GVIVTIILCTRRAIKGYLSRRQGYRKVNTGADVPLETLEFRDDITHHSQVN PFICI_05383 MKLLSLISLATLAVAQIVPQGTTLNLDGVNYFIPPFSEGKILTG AIQSANLATAFDFAPITIVAEDVLPDSLGSLFSNWTVDDDVWQPGFTGAVVMTNSSGC GTINTSYYSGVQSSVFSLSGALTVPTGPYFLNVFSGEVHQAYRLYEDFAGAFLEPLLQ QPDGKFQTLSAHVQSSSSLTIGVPSRLYFTRTAEKPLAGVRVGVKDLYDLAGVKSSRG NRAWYALYPPANVTGPAIQNLIDAGAVIVGTQKLSQFANGENPTADWVDYHAPFNPRG DGYQSPSSSSSGAGASIASYEWLDLAVGSDTGGSIRGPAGVSGVFGNRPSHGLVSLDY VMPMSPTLDTSGFLTRDPYIWGAAQAAMYGDNFTSFAETAPSYPTKIFTLDFPANDTA EGQMLNEFAINLASLLQATLTAYDIDEQWAATGPAEVASLNFTELLSTTYAALITKEQ IPLVREPFYSDYAAVHDGRLPYIDPSPRNRWGWADTQPDSILDDAKKNKTLFMDWFNT EVLAPVDDPLMCSSGILLHTQSTGSFGDRDVYGDEPGVPLGWSLSRMTIFSEVPDSVF PLGEVASASDITNHTEYLPVSVDIMVAKGCDGLIPRLAQDLVSAGVLSIPKPGGSMTG GAILF PFICI_05384 MSFVPNSFGVGYPTDEYDNHGYQLFITGTTFVIAAGLFVVLRLW ARYQKGNVGSDDLFILGSLCFSIILTVCMNLAVENGYGVSKSKLTKPQIREALKWFFF AQIFYKIVVMLTKVSLLLLYLRIFVSKQFHIATYAVLGIVGCWSVGSIIATICQCIPV QASWDASITDKTCIDSDAAWYQYGITNILTDLIILALPIKPILELELGRREKLGLLGV FSLGFLVCVISILRVVVVANSTQLKADQTGNFVPRSTLTLVEANFGIICACLPLTRQL LRLLFSPKSSGKAQSYELPNTGSNPPFAHPVNSETQLGVHEAEGEGQRPDSAPSRGSL LCEQNTKEYSP PFICI_05385 MIGSLSSWAPLGTALVAALADGVHGASVTDCVVKTPNGRIIGHR SSIQPEVCEFLGIPYAAAPVGSLRFAAPEAQNLAGDFVADSWGADCPQNPSSLYAYPN ATAVYEDVFTSFVSTTSTNHTQSEDCLKLNIWVKADALNASSPVLMWIHGGRHTSGTS NTPFYNGANFVAAQDAAFVTFNFRMNIFGFPGAPEGTQNVGLLDHHMAAQWVHDNIEF FGANPNQISLFGQSSGAAAVGNWAYAFKDKPIVAGIASHSGNQFSFPTNTLVLAESNW YNVSGTLGCGSSGLTLECMRSENITFQQILAAVKKVPTVATSSPARSQPQFQATQDNI TWFTNEEYVSRVKSGDLAQIPYLQIHGDHESGFYRISALAQGNTLNETAWQEFEQETF TCAAAAEGYYRTQVGIPTYRLRNMADWENTRLYDPPSSGAYHGVEIHMVTGNSELVSG EAPAEAQGEITATMASAWKWFAADAANGPTEGLGWPRYLPGAATLGLVASNNTATVTF VDASSYDSACPTLDLDFWDEAVPV PFICI_05386 MAQSILQKQINLTQTSSHSYTISSHRDWSVGPALHGGSVAATIH HAASTHLRTTLAAQNQPDILTLHFQFLRTCVLQDSVIDITDLRLGAGTSDIQLHLSQD GQVKVIALATAVNFDNVSGPSAPTAWKLTPPPEPVDFDKIQTQEPDEDWVSTIVDGEL IPVTKRILCLNPRKGFPIDGVCDAWTSFLGNERMDATYLTLMADIIPSLPDTLLRNGG IYDARANFAQIEAAEKKNPGAPVVLTNSLKQAAQATVFNHTVTLDIEFKRRLPKEGIQ WIFTRAEMRMLQAGRGDLDVTICDENLDILLLARQVILVLDAKRKFRENKSKAKSSL PFICI_05387 MQSKREPYRRLDIRASEFRLLILQAGSWDQDLVCNLKISSHPKD EEFEALSYTWGDVGNLVPVTVNEACIGITTNLEIALRHLRKSDQPRTLWIDALCIDQE DTEEKSSQVQRMGEIFSSANSVLAWLGPPEVNSEEAMQTIEKIGNTLWSIVCDGTDDE SIGDDGFAYLSRLSPADYEKLGLDISAMNWNAIWAICERPYWHRIWIIQELALAGGTF QNAAQNRCLVGCGTSWIRLPIFSAFVFIFGIMRGNARWMEDNMSPPLYLLTTKGAPPV EQMFQIIWSLDDIFNEDGESRIKRSLSHLQRMSRKFQATDPRDKLYAFLELAESQLVT PDYTLSVSEVYSSWTKLCIQQDQNLHCLHGNRELSNPFGPSWVPELYSQLWDGYAFEF AALDDKIKRSAASVSFGEGGSVLKARGISLGTLDRVVGPFTATCHSSQNEEKTQVKVI PSTFEKFAELVNLYLSLPKDVQEIAWRAFILDTDTSNRNEPKSPAPDSFYHLWRVLIS LEPLPDSFFESHLAKETQLNRYLSPFTESLDNALYSARCFFVTNGLRVGLGPRCTKSG DEVVLLYGSPLCFVLRPEGGRYRLIGDAFVQDVAPELWSDGYEGPGLNVQEFEIQ PFICI_05388 MRTASVLVSAAAFAGMAIAAPVDSTATATIVIEASHGGAGSGLT NTTISVPIGPIYTNSDALDEVSTLYLTGATGVDVTSVTCTPYQATDGEGTGGLPFTSS QPSYLSTNTVVVGSIVCESS PFICI_05389 MHGSKLLIPALAALATASPAHRAMPRATNSTGVNPAIYDGSCFY PTPDPNFPDDLSEYLGRWYQVAGTFALFTAGCQCTTADYALNDDGTVSVHNACSILGF IPNEIDGAASIVDSKYGSKGVFQVSFPSVPGGGVVCPGPNYIVQKYDPNYAIVQAPSW DDLFILSRVQNPSEEDLDAWIAEAAVLGTNASSIEKIIQSNCTSS PFICI_05390 MAPPPSPSLPLQERILALAKTLQFGWFTGHLVLLVSILRYSLSW LRFNYYSRTAQTCYRLSFVAAAVTYGIVIYKTFRARQKVGAKYPTGAIGLLSDENVQY FAMALVWLFTPQYPLALLPYGIYSVFHVATYTRANVIPTVAPAQGAGATPGAKSSSPI ADAIGNFVKTYYDMSMSVVSALEVLLWIRLLVAAILFQSRSWILLVVYTAFVRARFAQ SSHVQSTVGQLNARIDAAVGQQGTPPVLRQVWDGVKSGGRQFHDVTDISKYTNGGAVP KKTS PFICI_05391 MASLLRQIVAGPRARHPEAGLDLCYVTDNIIATSGPSDTYPQRA YRNPLDRLVAFLDSKHGKNWAIWEFRAEGTGYPDEAVYNRIRHYPWPDHHPPPFRLIP MIMASMRNWLNGNDLDDDGVGQEDKDKSNRLIGKVLEAWKDKNNPRVIVVHCKAGKGR SGTSACSFLISECGWTPEQALARFTERRMRPKFGPGVSIPSQLRWVGYVDRWTKGGKK YVDRELEIVEVHVWGLRNGVKFAVEGFIDEGKKIKVFHTFKKEERHVVEGDAPGSAGI MDTFYSMAGYGVNPSGDGPGLKSEQQQDVLDDAKTSNAQDDPVSAGTKSDVESPVSPS SGPSKLQKRYSKLISPISRNSSTRSVRRSKSKKEKAEKIRSSSSSASSTSSLDKADVD TEPGGKAVIFKPTEPIRVPTSDVNITAERRNRAPSSMGLTMVTAVAHVWFNGFFEGNG PEQDGKPEETGVFEIEWDKLDGIKGSSQKGTRAFDRMAVVWRFAGSPQANDQVVITEP AEGETVPQMQAADWKGHNEADPGLEKKLGLRVQSPESASVSQASSIRSAEIESKDKGA DDADSDSLKGVKSDVGETIQNGADDKVEDDEKYRGRKGKEVAEQDKLAAYAEKLPSPE GSESSGSKVEKK PFICI_05392 MAIETPLSEKPTINRVPLYVNDGLLAPDEVKLLRPSYPSEPLEV LWKRYREDGYIFLKGLLPREDVCSARGSYFQRLQPCGVIDPDSDPRDGIFNASGGAKA DYPGVGVEAGSGAPATSNEELFTELALKAHTDEWYIGSPDGKTPGLVNHPLLLQFVAD FTGWGDKTLPIRRTLLRNNTPGNKAIGVHYDQIFLRYGEPSVLTAWVPVGDIALDGGG LIYLEDGDQLGKQIESECTAKAKAAGLDDAEVRNAFNSQMMKTGWLSPDPAKFGRKHG RKWLVTAYEAGDVVLHSAYMIHASTVNHDKEGRIRVGTDLRFVNSARPWDTRWSNHFT FTDGL PFICI_05393 MAPVFHENTSHFEPDTPRTSVHGGRGENAPAAAATAGTTGADDS SSVDHVQEKAPPAVPLTKRQKVKRHCGKFKWWYLAAALILAAILLPILFLVILPAIVQ RIVNDQDLPVNGGSFLALSPTQMSVSLNTSLDTPLPANLDPLTLQLYNHETPDFSPFI SLDLPAVHIDGNTNIIVTNQTVTIQNQTELEYWFNNVFDEAQTSMSVRGDATVRLGAL HSKAHIDKTISVYSLNQLNGFGILELSLIYPALENGTNIKGTLNLPNWGALTLGLGDV SLNLYSGDVRLGLITIFNVVVPPGNNTLNFSGELYLHDLVANFGKVLDAQADALNEGK IQIDATGNATVVDGVHIPFVEHILNNKRVTSYTSVIKLASDVINSLTGGGNASVVDIL GEVIGNNTLIEQAIGHWNTTQSTTSQKTKKSLSWGLTGPRALNLLKLGMKMTGKF PFICI_05394 MRFSRFLLAALAPAGLLADSAARTISISELELDAYLAKHNLALV PRSDLTDALTELNGLLRKNNQLQQARTKKRTVPLYPRQNSTGSGSSSSSGSDTSTTDD LGDIIGDLSGLGDLGDILSSLTELITQFGDIAGYLKALQGLLSDEFLQALHDAMIYLS ATLQPPIPDITRDILTKTQPLIDLLSSLDLEGIVDEVKDIDFPGLIKVVLSLLTTQNL ADIQALLTNGAALLTPNFVNETQALIDGAAPLLASLSGIDLKGVIDALSPLLTADSIQ GLVTLLGNAEALLTSDTVSALQTILTSVQPLIDSLSKIDLQGILDALSPLLTPDSIQG LVGLLSNAEALLTSDTVSSLQSILASVQPLIDSLSKIDLQGILDAITPLLTPESVDGI VGLLANAENLLTSDTVTSLKQILASVQPLINSLSQIDLQGILDALAPLLTPDSVNGIV GLLGNAEDLLTSDTVTSLKTILASAQPLIDSLGKIDLQGILDALEPLLTPDSVNGIVG LLGNAEDLLTSDTVSSLKTILASAQPLINSLGQIDLQGILDAVAPLLTPESVQGIVGL LENAESLLTPDLVSQVQSLLSSAAPLLQSLGKLDLQGLIDQVTPILNELSQLDLKSLF DAISPLLTSDSIKGIEGLLTNAENLLTSDFVDETKSLISGAAPLLATLGSVDLQGLLD QVAPLLNSLSKIDLQGLFDAISPLLTTDSVNGIVGLLGNAENLLSSDFVDETKTLIAG AVPLISALSSIDLGSLIDQVSPLLSALSKIDLVGLVNQLQPILNALGKVDLSQLIDQI EPILNSVSQIDLVGLVNQITPLLNSLGKVDLSALIDQVEPILNSLSQIDLASLVKQVT PILNSLGQIDLQGIFDSIAPLLTPSSVDGLVGLVDNAEDLLSSKFVNETQTIIGGAVP LIASLSQIDLPALIKQVTPLLNALSEVDLSGLVSAVKPLLSALNQIDIQGIVDEITPL ITPATVEQVVLLLTNAGDLLSTTFVSQTQELIGDATPLVASVSDFANAILQALMSQ PFICI_05395 MARFFAIVFMLFAMLHAALAIPHENVLRGLYFPRANITGETANV TSTNATTAATNETASSAAIKRAMRSHPIALRVPGRD PFICI_05396 MASINKRPHVLIIGAGLGGLTLAQCLRKQGITFDVFERDNSEQA RSQGWAIGLHTILKELENAVPSDLPNLRKAVNHLTPLDLSTQICFYTGAVNQRVGVQD TPETPCLRANRPKLRQWLATDIPIQWSKHLVHIDNTDAGQVTVEFADGTCATGDVVVG ADGVNSTVREHLMQRSNHEVLNIVPTATIIGDVKLSGAAMERQLSLGHSCYIASPGVS SRTFVGLQQVAPDGQSGDFYWFHMEDDADVGTPGHWLRTASRKDKLAYVQKALAPFDP QLREIVELTTADGIRDDEFVFRDSEMISSLPRGSIALLGDAIHPMTPYLPEMIAEYHE KVTKRGAEAVRKSRNANRYDGERKVQMFAWGSPAVPLPLETIVLSEHQEHLQ PFICI_05397 MSTQAVPPLPQSAGYGVVVGLGAVFALGMIWVTRAMKKTLHEDN HSTETFMVANRSVGTGLTAAAVISSWLYSTALLGASLLTYRYGVALGVWWGASASTTV CFLSLISIEAKRRAPHAHTLLELVKKRYGAVAHVLWIVFCLVNNMLVFSSMLLGAGTA VTSLTGMHILASIYLMPLGVAIYTYFGGLRATFLTDFVHTFVIMIILCWFTIKVITAP EIGSIGALYDGIMARDRESSVAGNWQGSHLTMRSDGCLYFGILHVISNFGSVIMDTGF WQKGFSADVAAAVPGYVLGGVASFSVPWTVGTVVGLAALVLEQTPAFPIYPRMMTETE ISSGLVLPYVTQAVAGQAGCVAILLTIFMASTSIASAQMIATSSIISFDIYGTYINMN ASNQQLIRWSHLGVILTSIVISTLATAFHYGGVDMSWLLYAIGNVVNPGVFPTCFTLF WKGQTRMAAIASPIVGIICSFSVWFGTAYAYYGEVSITSTGGTMPCLFGCVTGFLVPL PVSVAISLAQPESFDWNIFQKIKRVQTNGDTNVSWSSPERVQYMKKMRWWAAFWSVLT ITGHALLWPLPMYGAKMVFSKNFFTAWMVVAFVYLWFTLIVSNVYPILDGGYRQIWTV VRGTGGKGAITPNSRETPSPESPSPKDQKEAETEGQAV PFICI_05398 MSPPVAIGSIDFSASQHVDSSKPPLATIDELIRARAEEMGDLPM LGYPNEGLLDFEEHSAKSCDLYADAAAQKLKQLGLAEVDPSLTKPPVVGILAQSGFHV IATTLALFRLGYTVFFISTRLPSPAIIQLLKLTDCNTVLSTPTYDAVLAETAKVREIT VLPLLQHSDYYGVVAPKVSRVYNPEAERKKIAIILHSSGSTGLPKPIFLSNGNCLSTF ATNMGMKAVITSPLFHAHGVLELFRSIHSRKPLYITNFKLPLTGSGLVQVLNHIQPEL LHSVPYVIKLLAESEEGVRALAAVKMVLYAGSGCPDSLGDLLTEKGVYLVGNYGSTET GRLMTSARYPDDKDWSYLRLIKPSEPYVLMDEISPGLYECVALDGLPSKSTINSDDPP NSFRTRDLFTRHKSRPGLWKYASRLDDRFTLINGEKVLPLPIEGHVRQNRHVRDAIVF GEGKECPGILIIPADNAAGLSKEEFFEKVWPVVEEANSRAETYSHISRELVVIMPADT IFPQTDKATFIRLATYKKFASEIEQAYANFEPEQGGSLKLSGEELENFLLLNLKIKIG ADVSAEDDLFAAGVDSLMCIQLRNIIRTKLDLGGRHSDLGQNIIYETGSVRALAKHLE SLREGTSVQTHDELQVMQDLIDKYSTFEQHKGNGKPLPNTEVVLLTGATGGLGAHMLA QLVSRPNVSAVWTVVRAKSDEAGLERILQSLAVRGLDLSPEEKQKVIPIAGDLGQSDL GLGPTRLADLKSTLTLVIHSAWAVNFNIPVQSFESQHIGGVHNLIQLCLSVDTTNPAR FFFCSSVSAGAGSPRPGTVREAPVAIPEYAQKTGYGRSKYVGEHITINAARNFGAPAR VLRIGQLVADTRVGEWNATEGMALMIQTAKTTGALPQLDEQTSWLPVDLAATTILELA GVIGGGSLEEVTKKTVADTDLVYHVLNPRRFHYAKELHPALREAGLEFEALPPGEWME RLRNSEKDPSKNPPIKLLQWFESKYGTGKSDKAGGDLLYLTEKTQRDSPTLQKLPDVT ETEYVKKMLGRLQRYW PFICI_05399 MVVTTLHSRVLDELCCNERTDLMDSIDTLRSQGINHFVSLPQII VCGDQSSGKSSVLEAISGVSFPIKSNLCTRFPTELVLRRTPQASASVSIVPHHSRPDV EKTALAGFHEELKSFDGLSNLIEAAKSAMGITSHGKAFSRDLLRVEISGPDRPHLTIV DLPGLIHSETKSQSASDVGLIQEVVESYMKEPRSIILAVVSAKNDFANQVVLKLARSA DSSGNRTLGVITKPDTLHPGSGSESQYLSLARNQEVEFRLGWHILKNQDSEATYEPLT KRDEREAEFFARGIWTDLPESLLGISQLRNRLSKVLLNHITEELPSLIEEIETKRDGS RKRLEKLGVPRETLQEQQRHLIGISESFQRLVRSAVDGNWDDLFFSDEKSTHSYGRRI RAVIRNLNEDFAKVLATQGQRRHIVDSNHECALGTCLHVSRDDFVDYIREKIRTSRGR ELPGLFHPMVVADIFREQAGPWEELTRRHVRGCWQACRKFLKHVIAHVADAGTSSAIL HKILQPTMDTILRELDAKTGEILAPHQKSHPITYNHYFTDTIQRVRRERGRAQTATVL RGFFSVSDLNVNTYLSDTYNLDNLLKLLTGDDAEPDMHRFAASEALDQMDAYYKVAMK RFLDDIAVQVIEVVLLAALPAILSPVKIFEMSSELIARIAGESEDTRAQRHQLMKQVV VLSKGIEVCKEFVVFKDNDTVPLSVREGQEEEDDDDYQTGDLNPLSEKPETQRPASAL PVVVQTSSHASPTAKGIDLHQTTEIAEDLAPDVWGLTYSKTKKIKKAAKKKVPTKDAF CWE PFICI_05400 MDDVVLHDFGFVKVTGHGVSNGEIREALDWTQKLFRLSYDEKMK ARNPPGPMPHRGYSGTGKEKVYSKEDVELLKNDSGDVGKGLRKISDYKESYEIGSEHD PVQENIWLPEEVLPGYRSYMSGWYERLAGVSKLLLQIIGLGLEIEPDTEAHRQLLNLI SDRHCQLRLLHYPPISKDKLENEMLARLPAHHDWGTFTLLFQDERGGLELQDPKTKAY LSAEPEEGSLVLNVGDMLQRSTNDYFISALHRVTVPTLDKVPAPGLPPRYSIPFFTCP DFASTVATLPKFITEETPGKYEPVRFDEYGSIVSKYQYQGE PFICI_05401 MASHPPGDCCGQGFKHEGEPAGKMITVASKWDGYLATPPMGTEH KEATVLYIPDILGIYNNSKLLADQFAARGYQTLVVDVMNGDPAPANFDSIPGFDLPTW ISEGTDGKKPHTKDAIDPIVSAAVAYLRESLGANKVAAVGYCFGAKYLIRHLVSEIDA GFVAHPSFVDEEELAAITKPLSIAAAETDSIFTTERRHKSEDILTRVRVPYQINLFSG VAHGFAVRCDLQQKGQRFAKEQALLQAVAWFDHHLS PFICI_05402 MVADVADHGIAAPDAPVITVFSVQTISSDSAFSEQQTLESPPEE PSTSRSDSSSHVDQEFAQTQITESPCSCIHDAIRIVQQLDDDHFRITTLSLDQVLWLQ KNILAQCDKPLQCDACSKLPSVYSVLIIICDRLTEMFECIHKRLKRVIVLIAHNTDSG SDSSASSTRENNGQSSKQLFCSNTGQMADEAPCSLELFQSGPQSGFSKKEQLYMMEGL LKFQIHTFKGFLAQVEALGSSVTNLARQSRHISLMTRLGMAANNIAEEVQRSSR PFICI_05403 MYLLPKTYKHAVFKAQGERLTMEQVDLVQPSKDEILVKVEVCGV CYSDMYAQHNGMGGGFPIVPGHEIIGRVAALGEGTSSWNVGDRIGAGWHGGHDGTCVA CKKGWLQMCSNPIIHGENKHGGYAEYVLIRAEAAVRVPDHIDAAAYAPILCAGMTAFN ALRNMGIPAGETVAVQGLGGVGHMAVQYAAAFGYRVIAVSRDGSKEDFARKLGASEYV DASKGDVGGQIQKLGGASLIVATAPTADGLQDLMNGLGILGKLLLLSVPGEINLNTFS MLGKGLSVQSWPGGHCGDSEDTIQFSELKGIESIVTKYPLDKAQEAYEAMLKGTVEGR IVLVP PFICI_05404 MGILTVVEERPTPPSVYNWRIYVLAATASCGSCMIGYTSAFIGT TIGIDSFKEEFGLDELTSAERNLISENIVSLFVAGAFFGALLTYCLSHFIGRKICLAI GATIFSVGAGLTCGASSSIGLGILYAGRVLSGLGTGVASNIIPIYISELAPPAIRGRL VGLYELGWQIGGLVGFWINFGIESGLPPSHEQWIIPFAVQLIPSGLLMIGSMLLPESP RWLFLKNRREEAIKNLCWVRQLEPTDTYIEEEIAGIDKIYEMQKNTVGFGFWQPFKAL GSRPKLQWRLFIGCMLFFWQNGSGINAINYYSPTIFTSIGINSNTVNLMTGIFGVIKA VATFFWLLFLVDQLGRRKLLLGGAIGGSICMWIIGAYICVVDPTKNPQDHLTSSGIAA IFFFYLWTAIYTPTWNGTPWVLNSEFFDPNFRSLASGATTASNWLFNFLVSRFTEQMF EAMGYGVYFFFASLSFLAFFFAFFLVPETSGVPLEKVDRLFEIKPVWRAHDKLVAELR EEEAEFRSDSVKGEAVHRDQVLSSESDTA PFICI_05405 MAYHLRAGRLASGILFAAANPLNAKFDIPPSRVKVRNASPYNPT QLADSAGQDVEIAGHTRDEVGVYSLFSVFDDATSALPRTHSPANPTTFCLPILSISVD VTIDGMVALTKLTQSFYNPSEFVITEARHTFPLYDGAAVTSFDCSIGNERRLQGVVKA KAQARRDFEEHSYKKREAAALLEELTPEISETSLGNIPGKTTVQISLTYVQELQVVTS QTEKAEGLAITIPTSIAPRYGAPSRATALPELSSDKLNINIKVLDNGTIDPKKCHVES LHPATYQGTQPVRDTVVTNAADLSSLDLTSESTDQVEHLWQYSESQPPLRCDFIMVIH MHEESRLRSRAFITPIDNFGHAALMVNLRPNDIFGSAILPHEFKGEVLFVLDRSGSMG WTGSGSNTLKIDAMKDAMSLALSGLPLACKFNIISFGSEVRGLWLESHSVSDTESMTD ARTYVSTIESNMGGTDILLALTAALKKRDSNSTSSTQIILITDGEVEHEPHNPIFKFV LDKRKELVDKVRFFTLGLGDRVSHRVVESIAELGGGYCDVIDPAKKPRWEDRLNRMLL SVMEPDSWSCDVDLGAGYERQSLAAHQFWGNDQSDKQMAVFAQGPHPVPTLHPYRYKS LFFLLQVGISNMPKTVTITTTAASAKRKVYALNVEPTYLKHDTIHPMAVKSILQSLEN ECKRGVVDEEQAKTNAEFLGTRYAVTSKWTSFVAVADEDKELPHEVDIYKAAFREANI ETPRFEMSQVHRRVNRLVLKSASSANYEPYHRLGAFSGLKFSRYSPTTHKSYESRHRD SERESRKNERRGSDGRLDSRREADTKTPDPSPAEAKKQKKDLARPEHADDLVEIGLEP KGHDDQSPISFMHLQRPMLLSGEDGRPPPSMSSRLSMPPAPPVVLRQQAPYEIQSQGF DHERRIPQRLQQGDSFPEDINFVVGETSMSRMACCSDDNESDEPCKDSCPDTAYETHP FTQLPVGAITWKHAARFEEQGLFVLPGGVQASLHKHFCPKTVGKMHESLRDLPPTKSV KSEEHPVLIDTLLMIQYFKTHLADQEDYWNLVIGKAERTVLLALGLDENQEEPLEPLY DMLSSAISHAHFLEAVKDSSVSKILTETPSASPTPGTCLVCDMPIDKNDEETGAASRD FVCLADECYDTSSHSRVRYPNWTEFWAHQIQSGHLICPDVSDVQGEIVPTE PFICI_05406 MAEQKASLKLSGRSKPDIQASSPGSDQPSLQDWAEVALIAIGSP KSAPYSLRLELCMLSESPKSGLLSPVEDREDKQGDDDLSSHLDSALNQTASRQALD PFICI_05407 MAQQRDGDMLRGDVLAQTCNDLLANISHLEESQLSEMQDVRAHL EAMRELLRRLQPLEGRTWTQVILDECRNAFGQLSMAAENGSSNSVSEPVRNEMSQKAQ AVKDLVHVRNKLSLASNLSLCNEDLKDGVMIETVHEGLDAISQELMGSANVIMPYTFL REGHKTFELREATLTDHGTESTHKIICSNCSFHEIASVASTRSMRFACLCPSDEGSHD GELSYQVLPATFVVEHLRPIQTREWHMVLSRPRDDSIVSIIISETQKTGMPHRTITLR FENALIV PFICI_05408 MKLTAKGQNRKFVASSTQDGPQILSEKIVSSEEWLDNIDVLKSV MEASGLQKRGHKTVKVCVIDTGFDPKDKNLPKIKGYKDFVNPEATSKSDNTWHGTTSA SIILSIFDGCELYVARVFQSDDTDDRTEPELMAQAIEWSITPEVDVDIISISAGFLYH SPKLQDAVQKASAANKLIFAAASNWGNLGPVAFPARHDLYTICVFSTDTYNRASKFNP ERRPDAHNFAILGEDFEHPRDGKQRVSGTSASTAAAAGLAALIIDFSRQPDNVGAIVR VGDVSKMVGMIAIFNFMSVRAGEFKCIMPQKLLPVHHRDGTRQENRAYVRESLKRAME QAN PFICI_05409 MEKVWFKLRQTHYPPGPEDAILAGNGDDSQAPLCLGHCVADLKH IDFPINSGAIVPFLTRMRVFPSHILNFDWERKRGFLTSAALGAGAPAAAALGMLTAKA SIKLAFQRSITQHEHYSRLDTYIVQPTRLYVEQCLERDELKKHIGNQSNWSFFMITGI KVARAGQRSEEITKFVEVGGGPELHVPGVATGQATTENGVDRTENTKEDEVSDFIWAI RLAKVHKGILMTDWSLDPYTHRATFEVGGGKQIDVAAILKREGLSSFQVIEDDELQEA VVLDAGDWAEA PFICI_05410 MVLAPHPNPDSPVLSHFNLDGKTALVTGGSRGIGLEVVRGLVEA GAKVAFTYSSTPPAEAEKLAADISAANNSRSVVAYKVNVRSRTEIEAAVEQTAKELGG GHLDIVVANAGIADHIPATEYPEDKFREMFDVNVNGAFWTAQAAARVFERQWKQGGED TPHRGSVIFTASVSATLVNVPQKQAAYNASKAALVHLSKSLSVEWVDFARVNSVSPGF IDTDMLSVHPEEWRRQWFQMIPGRRLCKAAELKGSYVYLASDASSYMTGADLIIDGGY TLP PFICI_05411 MAEINPAFVLQKVKEVTFEDRDVPKLRDEHDVRVHIEQTGICGS DVHYWQRGRIGDFILNSPIVLGHESAGTVVEVGSKVKNLKVGDRVAIEPGVPCRHCDY CRSGAYNLCADTVFAATPPWDGTLQKYYIVAGDYCYKIPDHMTAEDGALVEPVAVAVQ ICKVADLRAGQSVLVFGCGPIGALCQAVAKGYGASKVIGVDISKSRAAFAEGFGADGV FIPEKPDASLDPVDASRATAERIVDHFGLGEGADVVLECTGAAPCIQAGVFAAKKGGT YVQAGMGQENVVFPITTACIRALNIKGSIRYTTGCYPQAVELVASGKVKPRALITHRF KFEQSLEAFEVVKKASEDTLKVMIAGVQ PFICI_05412 MDTTYQDLANNIVALWKAKSEASRSHPNITRGNERIIIGLAGPP GSGKSTLAYKVAKIVQSFPAGPRVSIVSLDGFHLTRAELSALPNASEAFARRGAPWTF NAAAATRLVQQLKSTFGNQDLLVPTFDHAVKDPVPGGLVVDKDTEVCIVEGNYVLSNE GAWASIAELLDERWLLDVDPAVARSRVAARHLSSGIEPTMELALARTDYNDMPNGRLV MESSKNRYDRLIPSIEDAAQR PFICI_05413 MPAARQSIRARNPPIADSIFQSFRLDGRTVIITGGAGGIGYEVA RGLAEAGANLALWYNTSAKAEKLAAVIAQEFKVQVRTYKVDVRDYAAVEAAVSQAVQD FGRLDVLIANAGVPSKAGALDDKVEDWDRVRAVDFDGAYYSARAAGLVFRQQGHGVAI LTASMSGHAANVPQEQSCYNACKAGVIHLAKSMSVEWAKWGGRINSVSPGYIDTEISG DCPFEMKEEWFSLTPMRRDADPRELKGIYLYLASDASSYTTGADFVIDGGYTAR PFICI_05414 MKDSEIVGTALAEVLPQTGRYWFQESHLLRLNLLLLIPLLSSSV SGYDGSLMNGLQSLDQWKDYFGQPAGVLLGLVNAAQSIGSVLAIPFVGDLSDRFGRKP ILLTGILTIIIATIIQATSINLPMFIVSRLVVGFGGMFVTQPSPMLIAELAYPTHRGK YTCAFWTMYYLGAILASWSTYGTQVYEGEWAWRIPSIIQAALPLVQLCFIWWVPESPR WLVAQGRADEAANLLSRYHSGEIHSGEEQTPLVMREISEIVQTINMEKEAETTGWSAL VSTSGNVKRTIIVICVGTFAQWNGIGVVSYYLTLVLNTVGITSTYDQTLINGLLQIFN FGAALSAAFLVDRLGRRTLFLWSSVGMLVSYIVWTACSAVNAETGSKSAGIVVVVCLF VYYFHYDIAFTPLLFGYTTEILPYSIRSKGIAVELFSIYSSLVIAAFCNPIGIENIGW KYYIVFCCLLAAFLPVVYFFFPETKGRSLEEIAVIFDGEQALDIEQVKGVDTKGTVAQ VEVV PFICI_05415 MAAPVGNTSDLALGVAEQSCKECRRRKARCDRVLPICSLCVKYS RHCLYEKHARTPLTRRHLTEVEARLEKAEALIRRLRQQSNTTANPASAAAAHDPAYPD VLEADLSSLENQQSIGDVPHRAAPLGPQSPVQDGLRAERRRASLNQDYSGSRGSPAGT QHSIRVSASRRQDFVETPPREDFEWDELDATSPTSLGSENALNTSGEGPIKDGMASLA VDEKDGGYLGVASGAALLRILEPNSRTRTFSNSSHPRPHIPLLAQPDPNRHITDTMID AYFATYHVSYPIVHEPTFRAQYSEVIPQPHGRSWHILAYIVAALGVYSSTQQYSDLEF QLFSHARSMLSFNFLEMGNSSLVAAITLFSNYQQKRDKPNSGYNYLGLAVRMATGLGF HKEFPGWNISPLKMEMRRRIWWALCVFDVGATITFSRPILWPLDGVEVSFPLNVTDRE LTANSKTYPAENTGVTPYTAVSVQARFHVSTNEIYSRVISKPLPTAEELLWLDKEFLE PWQSSLPPYFDETSTVPPKYAFAHAVMKWRRRNFRIIMYRPFVIRRALNVRGVLSEES EADSIAFHRCLEEAESTISMISEYCSHNSHNNLTAWYALYFLFQAALIPCICLRNGPF ESDAPNWAMQLRTTLCTIKALSPSNSSAPRCYQVIMDLCGKYLGGVELQHVSETSESA QLDVSEHQRTREVVPDISQADAPVSSDKDMTRTALEPISESPQTQLGSVFPMMWPNVN AFEVADEVMGDDAWLEFLGVDVRGGDNQV PFICI_05416 MQFFATALLAASAVSAAAIKRDVVFSVSDFSAACIAHSTQCSYS FGVIQPGTMETTPVTCSAMVTANNDGTLPDVTDGTCENSSRTWTVTRGDAGLLFTVSQ QVTPSSAQSGSYTIPSSDLTKSDAPNAAVESYTGPTAFDLQ PFICI_05417 MFSIALQLIFAVLTAAHRDVSQTLNDLHDITAGANNLTTITNAW DGQIAGANDISTSTNVLADLVDTANHHAAEGDVATSEDSVAIRDYITETSQPSVAASI DAVVARKADLDTAGASPDILDAMQSLKSKVDAYATTLWVITSEDQRDSVRSAIGQLDT DFAKVIGAFS PFICI_05418 MPHEPVIDSKSENFRGKSTSQHDKHNKLEAIVKWTMNGTLRVHP GLTFDLQYHATYNSAFFKLRALVVKKENSTTDQVPIFVFLAPEVIQTLSHDCKHANEL GPDTVCFRFHMKSGNAPSLVVPKDMDLSSVTWKNKQSSDVWESLRSLVHASSFDVFCR LPRRAMSEARVGSLCDALSSSQVVSTPGYADLAGLYGGKGGKLVQLEAGAMECVSDDS PPAYGDLEPGPPMPPVLPEKASNKRRRGNSDIEPPRTCQEPVNDLEATVAWLVTELKE HKIREAFIAAQLKECKAELQEYKARESSLKLELEEVKAEVAKSSRDHERQHDRLSSVE TQLTKLDDLQERQGVVEAKLDELDDDLEVRVDCRVEETLDQTLSSRIQGVLEGVTLSA RF PFICI_05419 MDGLNETGSSPTPNSRCAVAQSSKVLFTDRSPIPESSEKVYKIK EAAELDTLLASTQHLVVDFYADWCPPCRAIAPHFSKLADQHALAGHLAFAKVNVDHVQ KVAGRYGVTSMPTFMFFEKGVPNGPAVDGVQGRQSVLLTGDGRIDKIRGADPVALQAA VQAWAKPTDGGDR PFICI_05420 MPYTYTKLATPTTIRLIQLMPEKVNNAIACIVHTHETEKSQETH QEIRYRALSYRWGDPQANRHVFLKDADETEWHQYAVHENLWEFINYAWHQNLFEDRFW TDYFCLDQNSNEEIAQQIPRMGVIYAQATETVIWLGLSESEETHIQRFIGKWGRHYSV YFTPLDNDTDRKTVETVKALWRNEYWARVWIIQEVVLAKQPNELPSEKPERRSLWMLL IDHVSKLYQCAKPNDRLYELLGLVQTPPDFVQIDYERPYGYVILDAVLEAGADCHDVW ALGVLDAMDNDQAFMPTKDSNELEEYIYDEKTLPRQKELANLALQAYDAMSFITVLYT DPSQQMRSLKFGDLSGNEGLYLEQGAILLGLSLGLGGSQNWASLLFEDWTTRRQPRLQ TQSWKSPWRCKIHYKEFQVRFIDERMKSYIRRHQGAPSLPDYMQQYNREYIRVYGEYP PTTAYMKRYKQEYARYFDEELSTKKYMEEHSQWFHQRPRLSKDAQESTEVWEDSPEFG DDAFVRDMVNGCAQANEHCDGSEMVLAIPRHWFRMIITDGDHVRYEFFCKKGCA PFICI_05421 MGHPEQATRTISESDPEAGPSESQEQLVKPIRTWKGYIWDTWEL PSDQRRLLFKVDAFVLTFASIGYFLKNIDQSNINNAFLSGMKEDMQMYGNELVTSTSI WTVGYVIGQIPSNLLLTRISPRWVIPALEVGWGIATICTASVQSVKALYALRFLVGLF ESGFYPGIHYMLGSWYTPREIGKRAMIFWLAGSVGTLFSGFLQAAAYNNLSGVHGYAG WRWLFIVDGIITLPLAVAGFVFFPNLPQGGKKTWWTTEEEHLLSVRRMQAVGREGKQP WTKAKVKKILLSWQTYLLPLLYIVWNNGYLQAAMGYWLKSFNTTPAPVPGVTYSITDI NQLPNVSTGIFIAMALIWGWLSDGPCRGARWPFIYAGAIITILFSTLLRQMPLYTNIH GRTVVYWLSNIGLGAGPLILSWINEICSNDSEMRSLLIAMANDLAYVVQAVAPNFVWK TTDFPAARKGYTWSIVLNVLLILVTAAIQILLRRDKRRAARASDDHSSITPVGYTINE NNLDEKASRHGEISAKDAEVAR PFICI_05422 MTTLVDLHGISLPSRYEIRPVHPDHWEWCRALLTKEMLLRAPVW RPLVRNPKVKTALQHFEGLRKFHAHNLESGLSYALYDKEYEFKRPESAATGGALYWHE IDIEDPRLEEQGPQWMLDKMDFPIVALALSYDLFAPPTNEARAIMADVAPLWLQMMKQ YFSDASSTIPGLPVPTSLGQYVHRSGCVTRTGYERQGLGRLFSWWVCLEMEAKGYKGV LIGAGNASINPIYLRDDAPFKSTFILEQDMSQTEIDMGEEKVRPWLHEEWKEFKYVLV DLTKRVS PFICI_05423 MSQTPPLYHQGLHVVGDDHDFESRSNDLARNFDLPALEEGPYVV EPNPHESGEESAKMLTSPKPAEGWWRREDSKRRVYIEAGFATLVVIAIVVGAVVGTRK QHSSSPSDSAVSHLLEPNDDDIPIGTSFNASFTIPGANCTNECRSSSSV PFICI_05424 MAAPHPRMPGFQSAGLPRAPNMTKVATGPVARMLPTLVVVGAVS CVVTYVGSQLSHSKNEYSRIFAQQNTPVVEAHRRAQLQVETMGDPRKSLFNVLGW PFICI_05425 MSETFTVQTSELTNIRDKVVLITGGSSGIGLATAQLVLSLADTN RVAILDRAAAPASLTSSNNSDRVLFHQCDLTSWTAQRAGFDAAVAKFGRIDFVVANVG LNEKGVQFFSDELDGDGKLKEPDRSVLDVTFTANADTVKLAIHHLRSNKNGGGIIMIS SFAGYLGNAGAPYYNASKHAIVGLLRSLKPEVPKVGVAISVVAPAITSTPMLGSLDGN VTPAQAEARLTNLGVLVNRVESVALAVAHLINLGADSNGMGILVQGDRMRDVEKGYAK SRSTLLGKEMLDMFRQGSGKELYPRLKIEAKI PFICI_05426 MAGGQNLGALTTTAPLSSSCVDELDVVYKVHTTPEGYYYLLNGP LEPASCYPSGYAGITTQYYSPAPACPSGFTSACGTVNAIGTVSETAYTCCPTEYDYTC QTNASYAWESTLGCVYPVDSSSSTAWTVVKISSGLTSTITSTGYAGGMNAYSIQVRFQ ASDLTTATSTSSQTTPTTAAVTGTNNDSPSSTSEASSSSNGLSTGAIAGIAVGVGLVG LAIIGAVAFLMIKKRKQKRVLQPTQVSPTQELDNNKSTPAYQYYYSELDTGRPNEVHE APA PFICI_05427 MATNTEAKVFVITGGNRGLGLGLVKSILARPHHTVITTVRSQEA AETLQKEIASVEKGEESVLHVVQIDFTEAVSPDNVRAAIDQFGIDHIDVLILNAGYAH PMRTARETTAEDLRAAFETNTIAPLLVFQGLWPLLQRSPSDPKLIWITSSVGSIGEME PVPGGAYGPSRAAQNWLTRALHLENHKDGLIAIALHPGWVKTRMGQHVADEWPQFRKD KPNEPPLEVEDSVLAMLNIIDTATPEDSGKFINAAAKPEEPKVIAW PFICI_05428 MPKQLIVQSSIEAYQLIDTPIPVPTEDQVVIKVVVAGSNPKDWK FPLWRNQPRNSGDDLAGIVHSVGKNVFDFRPGDRVAAYHEFDTVDGAFAEYSVAPSWT TFHLPANVSFEEGATIPLAAFTAAAALYSDMRLPLPYNLEHSDSGGNRGPLLIYGVTT ATGAFAAKLARLSGFYPIVGVAGKAAELAHSLADYVIDYRKGEDDVVAEAEKILQKEG LRSRYPYVLDAVSEGGTIELTLRFLNLDGGVVATLLPPALFAKEKEHFKYPPGVKAIS SNVTCIFNDKKDFGYTWARLFSRLLQDGRLTAHPYEVVPGGLHGVIPGLKKLKNGEAS GSKYVFRIEDTGEAPVPAQEDGYYSLGHTDHTQSENNHPLRNFPLPA PFICI_05429 MLEHVASRDDVDSSASKGLTFGFGSTQEICQAQARPDDWLSSMQ LATLISSRIVFPSYSAAVLLLDSYERSVDYVCHVLHLPSTRAMMRTAYLRLGRQETIA PGQAAVLLAVFAFSVYFYEAAPASEVATGERDALALCRHWSKGAMDILDYSQRHSTGS LEDVQACVIMSYVTYYLEGMSKKGRMLMASAVAIARDLRLNRLDAEDDVVHKDDERTA QAVICQEVKRRIFWHLVATDWLQSTVSGPQEGMYFIHPHHIHVKLPKNCDHEFLAFDE IGTTGSESRPTSMTFFLAKLRLAHICREITDTIPLETAKLMRTPYENIIAVDQKLKAF LSDLPFFLQLNPESRTRSRSLETVYDKVPLMRFLLLTTAHSRRCRLHQKFLLRLSSDA RYTYSRRACLESARAIIRAFDDSARRSDSPSIPTAIARMASAVHYTHLALTVMVMDLC FNKGEDDMEERKQEVRAAMKMLEGVREASPLTDRSLHSLRQILHKSNIELGGPIMAPI DNVSNTVQISQPGAETVLSNDGSPQLPPGLTVGDWNEAFTSLSNSWTLTDQELSLGSA TWDDIFSALNSRPF PFICI_05430 MLFEEEDAPHLKAWIIKRLENTSDADADVLADYVIALLKHDADV HAIRKLFEEEIPDFLREESAPFTDDVFQAIKYKSYLPGAPPAPPISRQAPKPTPQAPK IPSGPAALQKAPFQSHDVQHTPYAETPTAFASHSFRNGSKKRSYRDLDAPDPQVMSWD YGGGPPQQQPYKQAKRGGGLGSRGGRYDDPNSSRGRGGFGGYNGGLPNAGANGFPGSG YNNQQFFDATAIMENIRQLQELGAQMGLHLPSTDALPKPAYSGQAMPASSRRRRGQCR EYETKGFCSRGTNCQYEHGNSSAFVPPFQPPSTDEYDPNNAAMGMLEHPGQPVKPLDM PFLQMPQPTRREPKKPKRKGGRSAIAAEGPSHDKTNTKIVVENIPEENFTEDAVREFF TEFGSVQEIELRPTAGTQKRIAVVKYNDWTSANAAWKSPKVVFDNRFVKVYWFKDESQ LVQDKRHPKGDKNGSSNGDIAMSEPDFDIEDFKRKQEEAQKIHLEKQQKREELDRQRQ ELEEKQKELIARQQEARRELQEKLAANGVREDSLSPILSKATLDGEQPSQAEALRAKL AALEEEANSLGLDPDANDDSFSWSSYRGRGRRPYRARGGTPSRGWQGSYRGRGGGATQ DVHAAYAAYSLDNRPRIIAISGVDFTDPVKDEALKQYLFGIGEFADIHTDSSATHITF KDRKTAEQFMFGVSGQKAVPGVEGEVELSWASSAPKTTEADNDLSGAHDEQDTATNQE EETEEHSGFGRPSANSQYDMDYEGGDWGAS PFICI_05431 MAFTMHSHSGQFCPGHAKDQLEDIILAAIAKGFTMMGLTEHMPR TSLDDLYPEELDDPEGSLAVLMPRHEAYLVEARRLQEKYASQIHLVIGFEGEWIRSEY ESLIGELLAAHPSVDYFMGSLHHVNGHPLDFDAAFYARAIASAGGGEEQMYERYYDQQ HEMLVAMKPRVVGHFDLPRLLSKDPARDVRKWKGVWERIMRNLELIVSYGGWLECNTS ALRKGLAEPYPARPIAEEWLKMGGKFTFSDDSHGIAQLGTNYMKGLEYLESLGLSEVW KIERTPHPWAEGHEKATLSETSVAISDIKKVCQNW PFICI_05432 MHIKEMLADAERSGQPSFSFEYFPPRTAQGVQNLYDRMERMYEF GPKFIDVTWGAGGRIAELTCEMVAQAQTYFGLETCMHLTCTDMGEEKVNNALQNAYKA GCTNILALRGDPPREKEEWVATEGGFQYARDLVKHIRNKYGSHFDIGVAGYPEGCDDN KDEELLLDHLKEKVDEGATFIVTQMFYDVDNFVRWVGKVRERGITIPIVPGIMPIATY ASFIRRANHMKCKIPEHFTKALEPVKNDDAAVREVGKKLVADMCRQILGAGICHLHFY TMNLAQATRMVLEELDWMPTQGRPRKHALPWKQSLGLGRRDEDVRPAFWRNRNKSYVA RTQEWDEFPNGRWGDSRSPAFGELDAYGIGLTGTNEANRKKWGEPKSVRDIADLFVRY LENDLDSLPWSEAPITSEADSIKADLIELNRRGLLTINSQPAVNGAKSNHPIHGWGPP GGYVYQKSYLELLVSPELFQKILPRLHEHPDMSYYAVTRTGAVKSNASSEGPNAVTWG VFPGKEIVQPTIVEGISFLAWKDEAFRLGSDWARCHDAGSPSRELIDKITNEWYLVNI VNNDFHQPRTIFELFDGLSVDGLEDEVIVPPKVTNGVTAEPLD PFICI_05433 MPKTDEHNATSRSSKQPKPRQRVHKPPPVLEVPDINEDASERKR ILNVLAQRRYRQRKRQKKLDPAAGNDDSSREESVGFEGAVQDPTQAASPHIVATRAGS YDASSSQAPSQYGEPSMAEFDMPPEWSTYSLSPASSDFLLAPGEEPASETNMPYSSGA YLPNVTGVATQSYNIDPNLYMDMSCPYMDEYSSRARAGEEAAPSYAFPESYPITMPEL KVLQAFVQLATKLNCEGPVWHLRAPSSFGETANIPDVSGLSLPPVELTTKTGPSSSLV IEFPPWPSVRQRLIGVLPLPGELGSHLSADSGGPMDNLFYGVAGDSQGVRIWSHAPHD VYMHAYQLFSEEWKSDHDCQSVGQASSWRSTSDDGEIQ PFICI_05434 MSTLRAATVSNRIIRRKRKRSADASPQTEDPEPGEAELAVFPSS ADPRGAPTTNAIASDVPRRKGSTKKGPSSPAQPEVAVVECAVEWPPYFKELDKIHRAL NLVFTFCSTRKHLATTFDTIRTTVESHIKKELLVEDVAAIVALRPEGINFAYVDELML QTDIKGAERDATFKSGKSKDIRSQGPAPDASVGGLTGMDDFTMRRHPDEDPIGVKEVL YFEFIDGDLKRQVQHKKTGEPTKPNRRLRDEDLKMPVFSQKQMTTLIDKRNNKFGAAV NSFLSKCTADKLDPELVLRQEAESYIPSPTQSKEVTPKPEASTVPKSIPKERKPIPEI VQELKESSWYTGQIVPDGHRVFEAQPPVYGDLDFLLSQDMVNALYNAKGITQFYAHQT EAINQLRAGSHVVVSTSTSSGKSLIYQLPVLHALEQDRLTRAMYIFPTKALAQDQKRS LKDMLSYLPGLEDILVETFDGDTPMHDRNMIREDARIIFTNPDMLHITILPQEDKWRT FLQNLKYVVVDELHYYNGLMGSHVAFIMRRLRRICSAVGNRHVRFISCSATVANPEAH FKTIFGIDQVHLVDFDGSPSGRKEFLCWNTPYKDPGDPSSGRGDALAECARLFCQLIL RGVRVIAFCRVRKQCEALVAAAKSELESLGRPESMARVQGYRGGYTAQDRRQIESEMF EGKLMGIIATTALELGVDIGTLDCVITLGFPYTIANLRQQSGRAGRRNKDSLSILVGD CFPTDQHYMQNPNELFTKPNCELQVDLENMLVLEGHVQCAAYEMPIRPAEDAKYFSKD LPRIAEERLIKDEHGFYHCHDRFRPVPSRFVAIRDTEEDHFAIVDISNGRNVVLEELE ASRAFFTIYDGAIFLHQGNSYLVRDFQPQKMIAKVEKVKVEWTTQQRDFTDIDPVETE AIRKIPGSLSRAFHGAIRIQQNVYGYFKVDKKRRILDAVHVDNPPIIRHSKGMWLDVP KKALDILIDRCLNVAGAIHAAEHAVMSLMPNFVISMPGDVRTECKVGMKEFAQKETQR KRPARLTFYDAKGGAGGSGISTKAFEFVDLLLAQALKRVEYCHCEQGCVECVCSDLCK HANEVMSKAGSSVILKALLNMEIDVDALPMGPEEGSPAGIETVVLAKPVPSRGRIFVD NVEVKIEADDATNATST PFICI_05435 MSDSDNRRYSHIIGDFDPVPSDLTSLTGPESIDFDRIPVDLTTL TGPENMFSPRSHGDFDSPQISPRTIQHAEGYEVQEDSEFATIHAKLDAMQDIMEKQHQ EFMAKLSAPSVYHHDAHDNDSSTQSTTDWTADSDQELQDTIERKADQSAAEIVKSLRE RITQREAFDDSSKQLEAAWGDLQTARNRIEMQKKELTSLRETLEERDENISQSREGYE KLEAEFRKLRDHLEQERAANRRQFEKLQDYQGKIRVIARIRPMMRGDSPDDEQDFGER LPGEFSANWGQFRISEEQASATGTRTVVREQSLERIFGPEATNGDVFEELEFLVSSGL SGSQCAIFAYGPSGTGKTHTLSAISGGDTPDDVNDGVLPQTLAMAFRHAQEDRHRWEF TFGLSATEVYLDEARDMVSNQPAKVALGRDVQQPSVQIRSYEEAMKLLARVLAKRRVG STAVHDKSSRSHMIFSLRIHRRTVDGSSKSVEGCVHICDLAGSEKIADTASPVQKKEG VDINSSLTDLITTLQQLGGGHRPTPNHSLGKPAQERLPAPDPADAGTGAKGPQVARSQ DQHSATPQAGGRRRDYYEYPRHKAANEPGSVIPSYSHYVELHFFLEY PFICI_05436 MQITKAHAETSVSALRQLVRDHPLGVLTTAIPSSQHAFIQSSHI PWILDVEDDSSETELGVLRGHLARGNPQSKAMIESLSAPDRKETEVNKLEQEVLVLFT SQVHSYLTPKFYTETKPSTGKVVPTWDYTAVQAYGKATIYHDKSEEASSFLSKQIDDI SLFMERNVAGHTSEGDRPKPWRVSDAPDSYVEILKKAIIGIEIKIERLEGVVKMSQDK GEGDRLGVIQGFKDMGTQLGHDMAEQVTKRHEIKKASKANAAA PFICI_05437 MAASDGHVAQTWDRRPPYAYSTEGEAAFEKKLEGKCQCGRVRYW LKSDKPLSSKFCHCRGCQVLHGAPFQWAAIFNKDDMVFENGTQNLVFYHSGECTLGHD LPCKVSCAHCRSPIMDEGRRMVLLFPTLLKFNDKAARDLFYPQCHIFYSARVVDIPDG KPKWDGLDSSR PFICI_05438 MTKAESLSLEGKVAIVTGAGRDSGIGAAIVTALARNGAKVAINY MSDSTGPQAQAIADRLAAQVGARCAVPIQQNVETEEGAQALVQKTLAAFGVDHIDILV NNAGCNLPGSTADTPLENIHAQFNKNMFTAIYMVRAALPHIPRGGRIINISTICTKFY IEGLNFYSAAKAALDSLTHSWAAEFGRKYGITVNSIAPGPVDTDESRKFARDNPNGHR AMQAIVDVTRAADRMGHVEDVADAVLLFVQEKSRWITGQFIDTSGGITGH PFICI_05439 MDWLSCFYRCPHTSVESVEAAEDTTKRMDLTSWYQRDCIHCDFN ILDLSVRELHAESPELERALPTSAWDDEVRREALVAADWGQLGDALWYSLLPTDGPVT NITSEQNISNTEVKNTLEKDLPSQSQSNIDDEEDEDTEEGGAKLW PFICI_05440 MRESNVVHVRAPVTVVGDIHGQFYDLIEIFKIGGWCPDTNYLFL GDYVDRGMFSVETISLLVCLKLRYPNRVHLIRGNHESRGVTQSYGFYTECSRKYGNAN VWHYFTDMFDFLTLSVVINDQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLV WSDPDPERDEFSLSPRGAGYTFGAKVVKKFLAVNGMSHILRAHQLCQEGFQVLYDDHL STVWSAPNYCYRCGNMASVLEVGDTGERFFNVFAAAPENDQHKDAQQGGEKVDGNALP DYFL PFICI_05441 MASHEQDDHMPEETQGYKLSQPKQSLAEYQNMDANDESLQRYKQ SLGLSGGADLSDPNDKRVCIIKKLSMESPGREAVTIDLSAPGSETTLKDKPFKIKEGS KFTMVAEFQVQHEILSGLQYVQIVKRKGIKVSKDSEMLGSYAPNTDKQPLYTKRFQEE EAPSGMLARGHYNAISTFVDDDKKQHLQFEWSFDIAKDW PFICI_05442 MAGRQLPQKHNPLMVDDIPAYPELVSRRRLGQTQLTAKMVAAGA PGDVDPASLGVFDYAHLRAPLPKGIQSGIFKSSPNSYFLMRRSQDGYVSATGMFKATF PYAEALEEEYERKYIKSLETTSPEETAGNVWIPPDEALKLAEEYQITSWIRALLDPAE IAVTNAPDSPPKKIQAPPKYDVLRSASVNLAPPTPSSTSRSTRARRSASPAKARPVAS PRKRAAKSKTVQTISETPSVNGASTEETASVEDIQVKEIVKEPTVVFGPTDEEAKVQV KVDRDVKVFEGVETTHTSVELELPIDQGPPSAEETARMIAEAKELVDSAAAEAAASSS DLKAKRKADDITAGDDEDEANGEGEAADGPRSKKVKPNVELRKARVRNRALIGLSATL AVGALIPYVMGVF PFICI_05443 MGEPKPLDMTSQDVVGQGYTDDGDIIGDVLSTTEDMRDMRRLGK KQEFRRNFQFLSTLGFVSIYMATWEFVLVSLSVGFANGGFGGLFWCFITTVLCYSTIV ASLAEMASMAPTAGGQYHWVSEFAPPSAQRVLSYASGWMSTLGWLASVASSNYVVALQ IEAMIEVLQPDFVFENWHLTLIMWAFIGVTIVFNTWGARVLPALETASLIGHIAGFFV VMISLLVLCPKNSAREVFTDFQSSSGWSIGSAYLISQVTVMYCNLGSDSVVHISEEVQ DASLIVPKCMWYSYIGNVILGITMLITMLYCLPELGQVVDSDVPYLILFNNTGSPALS IVLNVILFLLIYSGNITALATCAREVFAFGRDRGLPWSRVVSKMDPKYHVPMNAVYIT SVLVAILALVQLGSTVAFNTIVSLSVLGLLSTYLISIGSVLWMRIKGQKLPPARWSLG RLGLPVNAFAVFYCAFIIIFASFPTAVPVDTESANWAPAVWVGVIIISGVVYMVHGKQ HYQAPVDFVEGRKAPGIGLQSS PFICI_05444 MENVYALSGAVPMRFTDLTTHTRRQMRDYLTTWGRHHMQNMGPI SLRKLEEVVDELIFDAWTGGDKITEPKMDWPKITEIYVAVGIFKKDLPDPNRARSLFE TDYLAELLTYLGLRERYTPHESRKAGKTACVRARLDKSQDAVILDWLDVRGKWVPYTY IDFQTPDNKPSNAIIAQACRQSTQRNGIPREHTKFVAFPRFAGILYTFSFL PFICI_05445 MPVLVSRVEDLDDGQMATIKSIWETIKQLAPRSARKTYNAYTDD DFTEFVTSIFQDDWNTSRRPIQAAWKPKKQDMNAITVGVWNKDVVKKADGATTIPAGK RKGAEALCRPEVVGDTVVFKIVDKHGAMHTFKDVTWDNGDRLTGETKARVCMHYDRNE FSHVMAFNLQAARTHIQHYLWTPNDRMAVRAADPLPEFKETNLCLPLWRSMYQDARVV RKEMKKMSQRRNYL PFICI_05446 MSDSDDPFATIRGRVAGTGDPPRHLLPRDQPVPAQRISAYIACA LPRRITCRTSRAGFAGVTAPRSSRSSMFSRGTLCASGARRERTTARL PFICI_05447 MANETAKEGPGPEEKSQHHHEEKNILTLREEAQQDAIHIDLSWR SWVVVFITCFAIMSQVFVVVAAGSVIAFIIRDVGSPSLSGWIIQGPLLMQSVLSPIVG RLSDVLSRKYLAALPPLIAFAGAVISAKATSMSMLIGGGILIGTTLSTIAVVQAIPSE ILPLKYRALANGFAYLGGAVGGLLGSLVSGALTNIDAGGWRYIFWLQAAFHLVSSLGL FCFYWPQEHIEYPKMSVKGYIWACDPIGSFLFICSATLMLLALDWAAGAYSWSSATVV APLTIGIVLLVLFLLYEWKGRADGLIAHVFFQDNLNFFLSVFAFAVEGWIFYSAFNSI TPQLVLNLGFEDNAWSISVRQLSTQLPTLLTSIPITLYATKFKDLKSPLLVTFTLFLA VTISYAAIEPSWNRAQIALNVLAGMGQSGPLTLLVACVQFTASHAYLSTATGLAFSAR AIGGAFGSAVLNAIINGRLSTHYASAVADAATGAGLPESSVGQLLDSLDAGQIDISVP GATSAVWSAAIDARHWEYAHAYRLAWASIIPFVVLAIVAVACLRGVKDLMTDKVEAPI EPIRKEEKTQKV PFICI_05448 MSYSLHPSIDNGLQAGDPNFAGGKLYCHCASDKVEVEIKGNVLH NHACGCSKCWKPSGALFSIVAVVPTDNLKVTANGNKLKIVDESAAIQRHACSQCGVHL FGRIVTDHAFKGLDFVHVELSDSKGWQEPQFAAFVSSIIEQGFSPDKAPEVREKLNKL GLSTYDALSPPLMDALATYNAKKSGKLSA PFICI_05449 MSEATVYTASHQVVYSYLAATYVLFAFNEAVVLRLTNDLTVWKA LLCGILLCDSIHLYAGWAALGSDVFWNPALWRMEDAVNLGSLWVQAAIRVAFIYEIGF PRGQGKGKQS PFICI_05450 MRAISFKARSLVHHGQRCAFSSSPRRLDSYGFIGLGQMGYQMAK NLQAKLPPDDTIRLFDLNKNAVQELAQEMKTSQAGGAIVEIAESVKDAAKDSDTIITC LPEPSHVKGVFADILTPDLPKKNNRIFIDSSTIDPSTSREVAKQVAAAGQGQFVDAPM SGGVVGARAGTLTFMLGAPDALVPKIEAILLRMGKAVHHCGIQGTGLSAKLANNYLLA INNIATAEAMNLGIRWGLKPKTLANIINVSTGRCWPSEVNNPVKGVIETAPANRDYSG GFGIGLMKKDLKLAIVAANEADAKLELGGRAREVYEEAEKADNCKGKDFSVIYRFIGG KE PFICI_05451 MSTNGTQHFSTKNCKEPGPYCPVEATVLGYYPNLGANAFLVAGF ATCLLVLLITGIRKKTWGYSAALVAGCILEVAGMYNLKYDTHLRAKHQDIMTFGSICS TTSSTEKTNADPGKFFHIVGYIGRIQMHANPFSKDAFQLQISAIVLAPTLLCISIYLT LKHAVLSLSPGLSRVRPRLYPLIFVPADVSCLILQAIGGGLAASAGSSGENADLLLAG DRVIIVGIALQVAVLLGFGTMATDYLVRVRRVLVRSAAAAASGNDDNDNVLAPGARAL WVDKKARMFFFAVLGAYFGILIRCIYRIAEMSGGWGSTIMRDQPSFIVLEGFMVLIPV ALLTAFPPGFLFPAMAEREAQRFRKKGKKSDEKSAAEGNTVETGVKPGDETSDGAEVA PVKAEKPLQV PFICI_05452 MESISRISTLLENARELTLDAAQAARSTRVTTKSLPIAQLKKLV DSRNEREVLDGLRRVISMMYRQQRTLPFFSSVVKNVASPNLEIKKLVYIYLIHHAEQE PDLALLSINTIQKSLSDTNPQVRALALRTMSGIRVPVISQIVSLAIKKGAGDMSPHVR KAAALAIPKCYRLEPNTLPQLIDYLATLLGDKQYFVAGAAVTAFLEICPERLDLIHKH YRSLVKKIVDMDEWSQLATLRLMTIYARKCFPRRTRASKTKDKSADLQDFYGENPSES AENGEQVLVLDPDLLLLLNSIKPLLQSRNSGVVIAVARCYASIGTAEYVKSTVGPLVA LLRSAQDIEQIALYNIVSVCLTHPSSFVKYASHFLVRASDPAPVWELKLEILALIFPH SPNHTKSLILSELEHFSRGTNKALVRDAVRAIGRCAQTDPSTSARCLRLLLSQITSLD GTLAAESLTVIRHLIQQDPEAHTGTVTRLAKNLDSASDPHARATIIWLVGEFAGINGD DNIAADVVRILLRDFTNEAEVAKRQIVLLAAKVYLHHINRETEAKKPEEGEEPAPASE DHVIVRLWEYVLHLVRYDTSYDLRDRARLYKALLEVPQLATLMLLAPKPAPQAASPSE TRKGYLLGSSALVLAGGGSIHGIRGYENLPDWVPEGREPDPALRAQDGVPNEYGEGKR VTPASQQLDEAPKSTFSGKSNGVSEGTGAKTLDDWLAESGEDDDGEDDDGEESEEESE EEESEEEEDDDEDDDEEEEEDEDESDDNEQTGDESARLVKNE PFICI_05453 MSFNPRMSIIPASQTSSRTQRKKEEEADAFMRLPDKEIVGCITD IGIPFTVADLAKPNPVQVQMIFEWFAELLLNATRETIEPAMHAAAQDFYGDYPDVISP DTRNLMGFYVSLRRLLQECGINDFSFTDLYKPSRERLVKIFSYLINFVRFRESQTQVI DEHFDKAEKTKARIETLYQDNQDMEARLNEMKHNRKAMEAQVREKNARNEELKKNLLE LRRNQEKVAARLEEAKEKKGELAQRLEQKTAEKLHLKQESAKLRPYTLQSPIALQNSL SELNSTLHADKQHIESLERRARALQTSTDSFTVVAADVASCIKTLDEIADELAKEEEE NKRNARQRDTLTDRGKNAKDIERQQHLLERQLAKWSERTEKLRDQSREKAQEAKAKME ELRDLHKNLTEERATKGTEIERRRVRIEQTEKKMLDLKENVENEVRAAQDEYLKMEAH IKLYITEMDQAISA PFICI_05454 MAIWPFRRKGSRKRSPGRGRAQDAFDAPQRAMTEPATNTDLDHA SGSDRAKQKRQRESPSSKKLSRKPRTYSFSPGRRDSIRFAKARQESVPPLPTGPIPAS IQQNHYGAAGQGSSGAAANEAGIRDQTPTLHLSISQQKRSAQPLPRKNSSKRRKQDHD REAEIRALSQSHTMPARLNADNWTQGKPVKRNSKRHKSSSLMRKWHRPDSDISLPIAE SLHSAMSEDSEHISYRVSAFDALAPRPTIRYASNPVFGAPSQPGPLRSQSVKRKLSER ARISEAELKAHKRVDNLADDLDASDLRELMERDKRRRERKQEKERERVERRLARRAER QRAEEAEARKNGTPPPQNLERGVLGREAPAVETDTTSAVVTSTRRRPSAEDAEVNDSS PKSETEDGKGQRAPSPLEEFHRENSFDAGADEIQRDPLPEQKQTSTEPAKQESRSPSP GIIGFMRSRKRRSKSPLPTGSEQHDGRSESTRIVSKSESESLDRRLSESSSSRPWLSR FRWSRRGGKARRTSDEPSSFSNTSRDSMPTAQQGSQTPTYAPVQRSNSKVPKRTMSRF REDLPELPLSPPDSRVASPEGEVQQPEPLAAITDDVVMRYDTPASGHRETPNSLVRDE VQPSPAPHSMSMASIDSEGSWFGGRVNRKRTSSGVRGSMNNYPLRSVSGDSVDQDEDA THDRDFLNIVTDEKHLNRKSTGEALPSSDEEDEIESPKWGNVTRTPTFTHHRETMRSR EGLLLHSFEDDEKEYESEKGSEEVSSFGAESPVNLQSATSVKVAKGHGRNYSAGSAKL LDISPRVSSDIRRSLEPVTQ PFICI_05455 MTVHNIETVDAWKQALKDNKVVMLDCFATWCGPCKAIAPILANH SNDEKYKDVFFAKIDVDDLPELSQELGIRAMPTFMLFKDGEKADELVGANPNALVTLL DKGL PFICI_05456 MRYRGQSENIVSADSHSDDKNMADEKYAAATVDEELNGFEQAIE SELNVTEQDLIEAKATAESMTLEGVKALAENILKIHKHDPNFPHTILEKIQEFLSTPD VFENPEKHNELIWEMKLEAALVTNNSPYAEVRGVVDNIDDVDTPCSTVRAWSIGIFFS VALAAVNQLFSVRNPPISVTSNVAQLLAFPMGKAWEKIVPDWRFTLFGVQHSLNPGRF NKKEHMLIALMATVAQSLPYTQYIIWTQVLPFQFNQQYARSFGYQILIGLSTNFIGYG LAGLTRKFLVYPAYCLWPASLVTIALNSALHKEYNIPVLGPFKKIYRASRYRFFFYAF TAMFVYFWFPNFIFQVLTYFSWMSWISPNNHTLDILTGFNSAGLFNPWPTWDWNIVAY TGTDPLMIPAFSTFNTVAGGFIFGLIIIAVYFTNTWNTGYIGIVDNHVFDHFGARYNV SRALDAHGMYDHEKYMDYSAAYLGAANTIVYGAFFGVYASAITYVAIFHRYEIAMGFK SLFKAFSFKKKKRNTDADGIAEIEGEYSDIHMKLMSKYPEVSEIWYLAVLLIAAACGF AGILAWPTYTTAATVPYGVLLALIFVIPVGVIKAMTGIEVTLNVLAEFIGGMFAQGNA LAMNYFKSFGYVTCAHAVGFANDLKMAHYLKIPPWHTFAAQMVGTLVSTFVATAVMQY QINIENICTTAAPMRMTCPGPSTFFTASVLWGTIGPIKVFGPQGQYGALLLGFPLGAL VVLIFWGFTKKFPNSRLLRQIHPVAIWYGGLNWAPYGFSYIWPAAPIAWLSWIYVKQR YLAFWSKYNFVLSAAFSAGIAISGIVMFFTVGWYGITIDWWGNTQPSVGCEGETCTLK TLADGERFYPWWNSWVGAP PFICI_05457 MVVEANLQSSNGGEDGGGGGGSGSGGGGNSSGSLDDDNNNNKPA GSGKIGFRERIEHFTWANFTGTQSTGGIAILLSETPHQFHGLQTAGAVVFILNLVLFA VFCAAMLTRFYLHPYTIKKSMTTVPECLFVGPFFLSCATIIICISRFGVPHTGPWIIV VVRVLFWIYAACTLIYSTTIPVILFYRRLDVFKMNPAIFFTVFNVMLTGTIAASIAQQ QPPAQRLPIIVAGIAYQGLGWILCMIYMPWFLGTLWVSGLSPPDQRPGLFMPVGSAGY TIVSLIGCSQALPSNYAYFATHPVGIEVLQIVADWASIFLWLFAFWVFAVALMANLPV ALPYRDGRFAAPQMGFKLSWWAIIFPNVGFTIATGFIGNVLEWAAIQWVCTIMTVLLF VFWLMDIALHVKAVVTGRIMWPGKDEDATK PFICI_05458 MDLSGEGTEADMTIAVMGMTGVGKSTFIRHCLDASSAAQPIIGH DIESCTQDVEVYQCQYGMDRQYTVDLVDTPGFDDTHRSDREVLEAISLWLTTSGRYQS NRKLHGIIYLHRISDVRMQGSALNNLRMFKKLCGPEAFHRILLTTTMWEQVLPEDGSR RLSQLETADDFWAPMIRKGSRVVQHLNTPDSAKKLIGLFLDKPNAAGQSQLPTSIILD IQTELLKKDATLMQTGAGRELDGNLAAAQIKVETEVETIKADIRDAEAECDDDDAEYL RKQIPTLMRKIEELQADREGLHVSFKEVMELERRRQDKASEMQKNLQEERSRTAELRR NTEDLRLKHEGEMARLRKQLQGTQIDRTQTTEVASPNLATWTRQIKLQNLHTTSFKVF GDAFFFQASNSCIWSVSLGF PFICI_05459 MNDNTPQDVLIMVTGLSGSGKSSFIQSLVADDNVEIGHDLLSCT SKVSFFPLQHHSGCRVFLVDTPGFDDTYKSDGEILQSLAFVLAQTYSQRLFVAGVIYT HPITTIRLGGRATRSLEILKRLCGEQAYSRIIFITTMWNISERDPNILRDAVKREKAL SNSKVAWGALCDKGANVMRWKSMQNGSSMSIIDHVINTYWREGPIVLSLQQQLVDEGR ILSKTDVGVAINQAIRNALASQHKEERELEVELQRGKYDVEDHENSRQALSKALETVE ALLQSQKKLLADFRELAKQETPAYAEALKDIQTDDGKRSTLTKEGNDTAMLGQKTTPK VQEGSAKNRISNRLFSNSQETLINIADDEYGTRVSRKYGSSSSFNTGRASVKQTVTPS RSQERLKKRQVLQKNLVPLLEILVGIGIAGAGAAVPPMIGPGIAAVVAGISGLDFSRK KDKDGDDGILGRYKRQKNEKHADHDGDGLDFQDS PFICI_05460 MPGVTSERTASRFDAIPGPLGLASASLEGKVALVTGAGRGIGRE MAMELGRRGAKVIVNYANSSESAQEVVNAIKKSGSDAVAIKANVSDVDQIVSLFDQAV KVWGKLHIVCSNSGVVSFGHVKDVTPEEFDRVFTINTRGQFFVAREAYKHLEVGGRLI LMGSITGQAKGVPKHAVYSGSKGAIETFVRCMAVDFGDKKITVNAVAPGGIKTDMYHA VCREYIPGGTELDDEAVDEYASTWSPLGRVGLPIDIARCVCFLASQDGEWVNGKVLGI DGHAMM PFICI_05461 MAPLRPSAPRLAARIAPAVLKPTTQTTVSARAYAAVGAEQPPWT RSTFPTAAPAPASSSGLKGNKVLRDAVAATAPRNTWTREEISAIYNEPLTELVHQASV FHRRFHDPSEVQLCTLMNIKTGGCTEDCKYCAQSTRYQKGTGLQAKKVETVESVLAAA RVAKENGSTRFCMGAAWRDMRGRKNSLKNVIAMIQGVRGMGMEACVTLGMIDQQQAHE LKAAGLTAYNHNVDTSREFYPSVISTRTYDERLRTLGFVRGAGINVCSGGILGLGETN TDRVGLLHTVSTLPSHPESFPVNALVPIKGTPLGEQESVKFPDMLRTIATARILMPTT IIRIAAGRKTMSEEKQAMCFMAGANAIFTGEKMLTTECNGYDEDKQMFDTWGFVPMKS HQKSPPKSAA PFICI_05462 MARLDEILGDTLERRKKAGRLRQLTVRSPEKIDFSSNDYLSLAA DTELQRKILARLEERICATERGEVARGILGSGGSRLLDGNSEFAEQLEEKIAGFHGAP AALLFNSAYDANVGLLQCVPQPGDVILYDEAIHASSHEGMRLSRASAKIPFAHDSMTT ADSDSPNTGSHEGLEQVLQNLTKGHQGRAVREGSRNVFICVEGIYSMDGSVAHLARVV DLVEKWLPRQNGYIIVDEAHSVGVLGPQGRGLVSALGLETKIWAIVQGFGKALGSAGG VVLCSHTTRAYLVNYARTLIYTTSPAYSSLVSLDTIYDYLLAGNGDCRHDQLQQLIAH TWHLLQAMCSRLAAAAASTTDAALVRVDRLQAKSPIIPIYTSSPRSLAAWCQQRGFMV RPIVAPTVPAGTERVRICLHAMNSIGQVDALITTIEHWAREQKCLSTAQHMTSPGTVT GHSVLLKSNL PFICI_05463 MAPVGSMLWRSLRVWQVYGANTEVGKTVLTTTLCKAARNRYQDE VTAYLKPVSTGPLDEADSHHIQKYAQGVSHATLHQYDLAISPHAAARGKLQLVPSDKG LLESIYAHAAKTAANSPGWLFIETAGGVHSPGPSGASQAELYKPLRCPVVLIGDPKLG GISLTISAFESLKIRGYDVEAILLFREDQYNNWEYLTEYFKEHYNIPVYTVSPPPARD AVSAETDAQAMKEYYKEASRLPVMHDILKHLDSKHKGRITRLESMATTAHEKIWYPFT QQKLVTPASITAIDSANADFFQTLVPQGSRDDSKSSTPLLQPSFDGSASWWTQGLGHG STSLTLAAAYAAGRYGHVMFAEAVHEPALALAETLLGGMANPRLTRVFYSDDGSTGVE VAVKMGLRAARVRYGWPAGDKLGIIGLKGGYHGDTIGAMDCAEPSAFNEKVEWYEGKG MWFDTPSIKCVNGKWVIEIPEELRGHMKNDGFDFDTLSDVFDIEQREKQGSHEAYEKY IEETLQSHLAKGRKFGAVLLEPVVLGAGGMILADPLFQRALVNVVRRSADLFGVARDD VSSSDKLGWTGLPIIFDEVFTGLYRLGRFSASSFLNIDADISVHAKLLTGGLVPLCTT LASESILRAFESDDKTDALLHGHSYTAHPVGCNVATESLRQMQAMERNGDWDWAKSGG WSTSTAKDVVADDCRVWSIWSSGFIDWLSRHPAVAGAWALGSVLAIHLHAPDGSGYTS NVAASLRDALRTNASDRDEAPWNIHSRVLGNVLYCMGGQRSTGQDIRELENVLRRALD KQ PFICI_05464 MTRKSTSSIHRVPSGAGVEHGYPQGHLGHLTASEEQALKDFKVL IEEKKLYTPGPPASHDDPTLIRYLRARKWSVQDAFGQFSDTEKFRKTNDIETLYDTMD VEAYETAKKLYPRFTGRRDRRGIPIYVFQVRHLDSSTVAKYEKSADTTFSKAKSDGST PAKLLRLCALYENLTRFVQPLSTECTDRENASTPITLSTNIVDISHVSLRMFWNLKSH MQLASQLATAHYPETLDRIFIIGAPPFFSTVWGWVKRWFDPVTVSKIFILGPADVLPV LTSFIDIKNIPKPYGGELEWDFFDEPRWDDAEYQRIMTFQNGHTKIPEGPMYVRPAAD GKTVELVAVGYKDQKQRNEVFATVPKAFPAKAEAPSTTTETTVVDAAPATTEAQKTAE APAATGDAPVDGINKLSIAEDEKDAALASEKVVSEPVATKETPVAS PFICI_05465 MPKKLSKTGTSTSLSSIATSSTASLASSLPPVLTDGQPLPRLIV FDLDYTLWPFWVDTHVYPPLKANADRSACTDKVGETFAFYRDVPSVLHGLGAAGVRLA VASRTHAPDLGREMLKLLHVPAAAALFPGADEATLKELAGKKGDRPRKALEFFDGGLE IYPSSKIRHFEALGKRTGIPFTDMLFFDDESRNRDTETLGVTMWLIRDGVTWGEIEKG IQEWRRRRAMDS PFICI_05466 MGKTWDQLFTKTPKSSKHETKEDYDSKSRGPRVLYDGTGGYSSG RVDIIFVHGLRGSARKTWSKGNICWPRDLLKDDIRSARVITWGYDADVAHFFSNASQE SIFGHADTLLGDLARLRQDITRPIVFVAHSLGGLIVKAALIKSAEYKSHNRHPRQAEI YASTCGVAFFGTPHRGSSTEGYAEIIAGIAKLAWRRPNKSLLDALKENSQILEHQRES WTTISNNIPVVCVREELPTAIGMIVPEASASYDGYNVLRSSINANHIDMVKFGARDDP MYERVAGLLIDLVTPSLTAEEVQESSLLTDHMKWQVQHLSFAEIDDRESDIDDAYEST FEWIASNATIVTEAHNLTFATWIDSSYQGLFISGKAASGKSTLMKYLKETQETSIKAS QWASDSPPIVFATYFFYEQGSDLQKSREGMLRSLISQILHQVPMRLFESDCKRSWRKL KSILRKAISDLEARGTKLFLFLDGLDEFRLIEQIGEYTDEQKDLIYDGGDNDAAWGFS EWIADGYREISTFVLELCSRKNVKICFSCRELTVFEESFQELPRLQVHVHTWNDIYRY SHGRLSQETHGLCEIERLATTIAQKASGVFLWVRLVVDRLINDNFNGNRPEELLCALD KFPSRLGDKKGLYMSMLRNIVKSDRFESARLFVLVASVQVPLHLLHLSFAAQSLEKIQ ANGFGSCIQVNETESTSSAGIYRTYQRRLQSRCGGFLVADPYVNFMHQTAKQFIERPS TWNSVFGDQGDFELVSCSSALIEGFITLNDVVDRLLRTRAFDVQRGRREALVSAIDLL NHVHHLDRYSRDPMAYASLVDRMNERRSVLQIFGKNEGMESFHPTICEPPRMAWFTAF ESAQDYHSPTQWDFCLPDPEDFMSFAAQTDLSEYVKLKLSLKSPSQRAEEACRLWRFQ SPCGEKPVFRLAYRTSYGFSFAHSYRYSISDSDIGIDMCKTLLPYMAQPDNPGTMEAT RSLLPTWAHALEAGCHRFLSSPINVVSERQSTYFQDWLGLVLLMLKYGASVNTPIDIS NEESSDTLVTLPSSDILLAILRQTEDISAGNTTLKHFEARLMRCLPENRKTPEVFTYL PFICI_05467 MAGPEPHKRITLKRIAHAYYKYADLETAAQFLADFGFTEEKRVG EDKIYFRGYGTEPWVICAIRNPGGRGENEFGGVAYVVESEEDLRVASETLPKASKIHD IEDAPGGGKRVTFLDPVDGFPFHLVWGQDTVDMLDIPLPHEAVNYPTEKNRAVNKTQR FKKRPAPVHKLGHWGHCTTNYSKTFEFYTSRFNFIPSDIVHNAEGVDVTTFLRLDRGA EQVDHHCFFFYQGPKYHVHHSSYETHDFDTQVLGHDWLRAKGYKNCWGVGRHVLGSQI FDYWYDPAGFIMEHYVDGDLVDSTQAPHRSLASPDGLHVWGPDVPSDFVE PFICI_05468 MKYAITFGAAVAPMVAAHGMIKTPTPRSAGTAMSSACGSQIYNM MSSDSYGNIQGETQLIGSDFTDECNLWQCKGMQYSDNTANVQSYSTGDVVDITYDIRA PHTGTANVSIVDTASNTIIGDVLAYWSVFASNSQASAANETSFSITIPDLGGKCTTGG ECVIQHYWDSQSAGQTYESCIDFTVGGSSSGSSGSASTTTAAATSAAAATSQVATTSQ AATSVVAVSSTKSVVSAAATSSAAAETGDDDEDDSCDADDDDEAEDAGDDEDDDSCDA DDEDDEPVASSAPASSAAPTTLVTRTSTAAAQATSAASSGSSSGSVALYGQCGGINYS GSTTCASGTCTVMNDYYSQCV PFICI_05469 MGQAYSLTTPYAGSAGIDVPELSDLVHERSLGDARFMKSIRARS YDGLVLAKVTVKPHTPMSLKSYGVKILKERDKLQDVPNALGFERAFETDTNAYLIRQF MYSSLYDRLSTRPFLEDIEKRWIAFQLLCALRDCHARDIYHGDIKTENILVTSWNWVY LTDFTGSFKPTRLPDNNPALYSYFFDLSGRRTCYIAPERFLAPGEEAKESDKITWAMD IFSAGCAIAELILETPIFSLSQLFKFRRGEFDPVISHLSRIPDQGLREMLSSMLALDP EKRYSAEQYLDIFKGKVFPEYFYTFLHQYMELLTDTSSGRAPVSGATKNLGEADDRID RIFYDFDKISYFLGYHDKEIKSDLTPFTPRLGLGLFPVMLSIPNNEHFVSVIAQPAAD DGTLIFLTMVAASLRNTARSASKIRACDVLLAFSERLTDEAKLDRVLPYLMTLLNDDV DVVAIAALRSVTQLLAMVSAVTPVNAHVFPEYIMPRMQVFLAGASRQLVMGKERREPT SLMRATYASCLGSLASTASRFLEMAAILRAEGSIATADPEIEAGTDAETAFDSLFDNA QRELVGLFEMHAKAMVEDSDAAVRRAFLSSVPELCMFFGSAESNDILLTHLNTYLNDR DWMLKCAFFDTVVGIATFLGSTSLEEFNLPLMVQALADPEEHVVQAAIHSLAELASLG LLSKAKTWELIDVVGRFTMHPNLWIRESAAEFLSNAAKFSSSASIRCVVLPQVQPFLK DSLIPDFSELGLLEALQRPLSRTVFDQALVWAQKAEKSLFWKAVQKQKQSNFTITTMG MVRTSREPQSLALSKTPKNDEDEQWLSRLRNYGLTPDDEFKLIALREFIWRLSRMKDR EPVLPEGGTGLAPILLLRNIMEKFQVQTIMFDDTVPPLALKDGEVGLDLSKGPYTIAD ALLDASMTIDEPLGRRRVAALNTHRSRLGAQGDAVSPRTSISPTAGQASSYPDGESRR ASSAVRGRQENRDDATDASERPYSVRRAFRPQSSALDLLRKDSNRSMAETGTTETNAF GQVEGPFVPNAPKISLPGSAGENVDLTTVNDTEGRQKASHTYEGNDPNILRMLDGMFI DNYPHDIAEFGPVVTPVSRKTNKNAAQAPAEAWRPNGRLVATFAEHTGAINRIVPSPD HMFFITGGDDGCIRVWDSGRLERNVSHRARQTHRHAPGARVVALCFIENTHCFVSCAT DGSVHIVKVDTVISSNGSPKYIRLRLLREYQLPAEEYAVWCTHFKLDLPSVLLLATNR SRILGIDLRTMTLLYTLENPVHHGFPTCFVVDRKRYWLLLGTSHGVLDLWDLRFKLRL KSWGIPGKSPIHRLALHPSKGRGKWVCVAGGTGLPEVTVWDLEKTQCREIYRAAGASS REGPKEYKAWDVDEDKPEGMLGRFATSIEPSSQTVDRCVKAMTVGAGTTGDHDRDVRN GYIITGGADKKLRFWDLNRIEQSSVISGLVPNDDAGATKPVFVAHTSPNNPNLTLNLE RLPKTSTNAEQTAGKNGETAPSKKREKPPRHTVISAEQGKLLRSHLDTILDIAVLEHP YTMTVSVDRSGVIFVFQ PFICI_05470 MPRQVGAGNSHFPCPNGNGTQIGDVEKFNVLCGLDIGGVEIDRM QVDSLGTCVSICTSYQGTRCDGVTFRLDNVCIFKTAFMGAQFQKTTNADSAIGILPNP PPSSRCDSLGTGAIQLVTSKNFNLQCGQVFAGNDIEQQFQPTFDACLNACAAMTACGG VSYDVQQSQGFKNCFLKTTVTTGGLLSKAGIDSAFMTVDNSVPMVVSQPSSSVLQATP SVAQLSPANTPDTASLNDPSSQSTRILTSSSTILPLSETTSSSIPSLGLATSTAVSSM ATTSSNAWIAAPVIGSIAAVALLIGFYVLWIRRRRQNHGLPISFIDRFRGGGIHRAPS FTGGAKFDDEAAVRSLSVSSSNSHNDILVTRVVSRDMDSPSRSRPGTGDGEARFLAPL ELLPLEDVVVDKTNSVLRNSQNGLKLNGVSVRSTDKEPLSVTSKERDDTKPSDSETSD RTSQ PFICI_05471 MVSQAPDRQSGGVNDQETDGKRKGCATCLRRRIRCDQTLPSCRK CERKGLTCPGYERRLRWAGAIAVRGRFRGIAEPRQLHGTGASHEHIVIGPSHVRGKEI SSEQVAKWSDVAVDIARLVPGALTTAEICGMVHYYHDRIAGNMVWIDSPSNPYKRLVI PRARSQPILLLAILTIASEHDAMSRKASSSMFSKNAHDIVISHITRELERTVNMMSSS GAAVSLELHTVEWILASILVLSNYECIGSQSMLWCSHRLGARTLIHAVSISRSETSEL YQFLRAQFSTIDILASTTTRLHMNSQDVVLQESNDPEAILSEYMKLIHEINTYTWKGE TNTSQIPPASVLRTKFETVRGLTMMNAAASPALADDSTRLHFILLADVFHTAALLFAY RTACRLKPEDPAVQVLSKELMEKLDHFIANVPLIQTLPWPVLIAGIESHGLEERQVLV SKWYQAIIEGTGFNNYKEVELFLVEYWAGNEKNWRKMAELWERQGRPVLAV PFICI_05472 MEHQANILLIGGGALGAMAALNMETGSRAVVTAVLRSNFAVVNK KGYEFKSCDHGDIPSWRPTTVLHSIPRLGPGDTPYDFVVITTKNIMDCSPNIVSEIDK CVSPGFTVIVLIQNGLNIEQPYFEKFPTNIVLSGISMIGAQEICPGVIEHTSTDDLSI GAFHNQQLDANREMEAARQFVAIYAASGRASCNYTANTNWHRWRKLVYNVSFNALSAI TDLDTGALRLSSHHARILISSAMTEIAAAAKASGHELSVDIVETMIDTDPIEDRFVPS MLQDVRKNRLIEFEYILGEPLREGQRHGVAMPIVTTLYTLCAAIQWRMKQSHAGTQ PFICI_05473 MSWKHSFAQIQLQRDESRPLTGGIAPVVDSDMFKSPQCLQKPKS KSMEHFLSVESRARGAATLKAGGAELTEDIISLSTGRPSSEYFPFLNLSLELPKVPRV SESHLHQSTTHAIEKHGLPGSKSLDLAISLNYGYSAGSEQLIRFVTEHVEAIHNPPYS NWHCSLTVGSTAALDAAFRMFCQRGDFILMEKFSYSGAIEAARPLGIQMAGIDMDDDG LSPTHLDNLLSEWDTAQRGARKPFLLYTIPTGHNPCGITQSLQRRREIYAVAEKHDLF IIEDDPYYFMQFNGQQNAPLHATEDSEGLPIAITEPTWDLLPSYLSLDVSGRVLRLDS TSKMLAPGLRCSWMTGNSEIISRLLYYHDVSTVSPSGLSQLVMHTLLNEVWGHEGFMT WLEYLCDEYLQRRDILVRACATHLPSRICSWHVPDGGMFLWIKVAWQQHPSIADAAAG SPGHDEMLAIEDSIYQNAMKRGVMCCKGSAFCVNDQEKDMFFRATFATASFQQMEEAI ARFGGAISAEFQLMD PFICI_05474 MSAVPESEEATLGPFLSNPRQAWIVLFGSFCIIWSTYGMLASNG VFLEIWAADQLSIYPQDQLTWINAVHVFITLFLGGLAGIIFDRYGLRALMSLGSILYL AGFFLLAQCREYWHFMMCYGVAAGIGCALLSTVAMAIIPHWFTRRSGLANGVMMMGGS TGGVMFPQIIRILYENLGWSSCIRILSSILAVLIAIGNICIRARTTKRIKVEIDFRAF IGPKVAYVLLGIALFDFVLFGALGLLPTYSAYLGYGTSTGYNIVTAMNASSGVGRLVS GLFADKAGPFNVMIMIMIFSLCSAVAFWIPPLQNVYVLYIFAVAFGFGTGSVLSLEPT CIGRLCEVRQIGQFVGMSYVPVSFVTLFSVPIGGKVLTSFGATGFAAFFTAILFLSTL SFIVARLAILGYQWKWLVKV PFICI_05475 MAIYSSVPPPPELQGDATEHNPEQQQQTPTPTAVAPSGQIDIEA WTITALQSLSVSPPVTGTTPLSIPLDQNVQNTPRKATVRVQEPTQDVITPPRRPPSRR DSMKRREALLKGKEGSRQRRRWENDRLMDNPHVQPPEPIDFQPHPTHPVQYVPYQIAS AWDNRLRADAEAKTAAAARRKQRQTQTLGDENVPGRVPRELFLRAKKTPAVKIWVRSL EEPVRKFLVDREVAKEAESDSEDTEDEEIVFVGRNGSMRDGWKKARREGHKDEVGMLL DDPGYDDESGAFKRWLTHSISDYYGLDSRSVMMGNPARKVVYVGVKQVQSAHASTPKS TLPRPLWELC PFICI_05476 MNTGPVLVQDVPSRSSRTRQIAPGSVNIPIPAWPKDTTTTTVDA DEIATKVIGSLNLALDRGDNAAVARLFMDNGYWRDHLCLTWDLRTMKGVSKIQGFLDD GHHLQSIEIDRSSTDRAPKLTALDPNGTVKGVQFFTKIATQHGSGRGLINIVDDSGDW KIFTCFTTLTELTGFEEAIGHNRPKGVQHGAMPSRKNWLDRRKDELEFQGHDPEVLII GCGQAGLTMHARLKMIGVPALIIDTCNEVGDNWRNRYHQLVLHDPIWYDQMPYLEFPK FWPIYTPKDKLAGYFKSYAEMLELNIWTRTSLTSTKWDESKQEWTVQIRRELPNGSIE SRIMHPKHIVQATGHSGKKNQPDFPGWDSFKGDVICHSSEFSGAGKYDKGKSHKGKKA VVVGSCNSAMDICQAYFEEGYDVTMVQRSSTAIIGADTIKDLLLGKLYSEDGPPIDDA DMLIWGWPTEVFKAIHQQLTRKQVDQDKDILEGLEKAGYKVDYGPDDCGIFVKYFQRG GGYYFDVGSCHLVIDGKVKVKQGQEVAEILPHGIKFADGSELEADEIVVATGYQNMRT GTRQIFGDEVGDKVGDVWGFDEEGEMRTIWKQSGHPGLWLFGGNFAMCRYYSRLVALQ IKAHLEGMTGKHARRDSKMM PFICI_05477 MDAATNAFTTVKSNVGGSSSLDAVGLNIADGYLYAVQGSAPSRL LRISTADGSTQDMGSLNLTTTYNTGVVDESSQYWIINTAGTSWVQIDLRPGLSTFGRT VASGTSATPAYAVQDWAWVPGANGGNYLYGLGSTTGLLGGTYLMQWNRATKTWANTYT YLNILGLLEGSTANWASVWAGQDGTLFGYDNGSGQVYNFVLPTSGALNLNLLAAQVAT GSKASLSDGARCVRVLNG PFICI_05478 MADDVPEIVSGGRVVEACAAKDSVSVVEVIEDERSAAELLMKTW DRRCQIRRWRYVTRYQCWH PFICI_05479 MKSELDAVGLRSEAKSKPMEDIDGRLISEVLDVVSVTPTLEKIL EIMPDSTLDAALDSELSSTVDDGRVVDSSDTSRLGTMIETLLEMALDSELASRVVEVG MMLVVINAEGSRTEVMLDSVLVDGKVDDMVGKSELDDGMLSKIVDWLSSEAGREVVKD SERLDSVESVATLSVVKGELEPSLAVEGESRSKDELSGPVSVLLVKIGEIIGTELDCW PSMVSIVEDTKVQSCDVKLEVSLDKVAELDKIRETTKLVAEMLLLVVPVFSVLISILL VVSVVENWIGGSDRMVDSSDMIFGLIFVLPVLISVASTWVALIGESLDTRPVVKEGRR LRSMDDNPRLVVEDKLVNSKVVTLETAGEIAVDGSGGKLNDETPDCEVESPSTVLPSP LDVSGVLSRRDEVSSESIEFVSENCSLLVDLGSVGIEIDVAKFGMLNEEE PFICI_05480 MVQTYSILGRQVGSHYLAMGVLTALFGGTYAAVGGGSKASAAVK TPPINASSSDEESFIKNFLDQANKDEKAKH PFICI_05481 MPWWGKGTAEDKQAPQAASSAPVTGQPIEPVESKNVPKESANTS SFDPSHLPERKKLSRNLQKIVDKHDHDDSWYDELVDGYVPDSTESNWRYAAYANRLRT IMLSAHRYVAYTSDIGESFRPVAHPWLVRSAYGISWTYILGDVSYEGYKAYWQNQRKL NPELVLSEQQLKATGLSNEPQAGALVRKEPGVVAPLEDYRTVMLQRGIFQSVASMGLP AFTIHSVVRYSGRALKNVKNTKLRTWGPIGLGLAVVPTLPYLFDHPVENAVEWIFHKG FSLYGGQAAVGNLPTTGREEQLSKRPKEKEL PFICI_05482 MASSSSDNTESSYVKMDTADATLIGKHCQYSYCNQLDFLPFFCQ SCKGTFCLDHRTETAHTCANAGEWARRRREAELAKPSAGEGKRMRDLVQQKPCAAQDC KTTVGTSLVPGVHCDRCNRDYCLKHRLGEEHDCKNLTPIGARPAQVDFGREARSALSR LKAWGASRKEAASRALPKPKPSTASQRIVAVNQLKKTAKGDAKLAPEKRVYLFVEAEA ETTTAKFPKGQFFFSKDWVVGRLLDSAAKGLQVENVNNSSADEKDKLRVFHIEGGRVL EYNEKVGSALTSGNTVVLLRGVGPAQEDLIKM PFICI_05483 MPPHLPRKRLRTPSPEGGNGAKKAGKSSKSSTPNGVVPPRKSTV FEDLNTSDKKRSADKTKSALQKMVDDDDSSSLSSLSDSDVEFEDVPSAKRQKVDAQSV KESDDEDDDEDIEFEDVPNHEPQLEVEPVISGDLELTLYRNSHVPLASEMSKKGPSKR EKQIRNVTHCIHVQYLLWHNATRNSWLCDPEVQATMISHLTPRLWEEVDRWRTNSGLE KDDAVEEKAAPTSKSGARGKSTTKGKTARSRGKQPASKSTRDWSDAADRLENGVPNMS HGDPLFRLMKSLSAWWKQRFTTTAPGLRKKGYMDVRRLAKWRVAFEEEEHDPERFGEK IHNLEDFRTHARKCEGSRDVGAQLFTALLRGIGLEARMVANLQSLGFGWSKSEEADEE KAVGDASTNGTATPIKTNAKKSQAAAKTRKTPARPTSRRTRKNDKDSLKMDLDDSDEY LEPISDDDSDDNSVVDVTADFKKPKAALKIYDKDLDFPIYWTEVLSPVTKKWLPVDAI VKSIVGTNRELVESLEPRGAKADKAKQIMAYTVAHSQDGTAKDVTVRYLRKQLFPGRT KGSRMGVEKIPIYNRHGKIKRYEKFDWFKFALSGYVRGTLKYPVTELDQWEDATDLKP AVPEKKEVKEGEETLQYFKSSKEFVLQRHLKREEALLPSAKPVKVFRNKVKGGKVEEE DVYLRKDVVAVKSAETWHKQGRAPVLGAEPLKHAPYRAATTNRRREIAEAEARTGEKV LQPLYSEEQTDWIIPPPIENGVIPKNDYGNIDMFAEHMCPEGAIHLPYRGAVRVCKRL GIDYAEAVVGFEFGHRMAVPVIQGVVVAEEHEDKVLEEIEKDEAERKRKEDEKRRKAA LGMWRKLLMGMRIAARIEKEYGHLEDKQTKTIVDLRGSDEDDAGEGGFMKMSEHDEDM AGGFLPEGYDEEEPGDQPKQTSSYFSGAHDAEGVDTLEVDHGDSVEKPRDFSGLAISR YHEGSPEDKGDEEENNEDMEDEDIKPPKRAQRKVAKPKAKAKAKPRATNRRRTRTTQI KSSEDDDDDDDFELSDSE PFICI_05484 MASAGGFSNDPGLLVSTCQSECLYDHIYTVIKITLKIAFVIIIA FLARSWWRLRHVPGPFTAAVGTFQFCRSKNDHNRQLELEKLYYEYGDVVRTGPSRIVT TDVQALQRLTPIISAHESGFLRRVSQNISISYFSPHESLDDEEHVRKSLGAHMAVDIA KVAACMEDHCRVLVDTIKEACLSSGTRCHFLDPTSMAQEITQHVADLGDLDFYEAIYN KYAAGLNTRRAPANQLLATINYMATTMQNTLTRIIASPVTYARLREEIDGSQDYSTVL SPVQDDKGCKSYLQAVIREGIRLFPARINPLYTVPEDGATIGGHRIPSGITVALNNTA LMHSKRIWGADADLFRPERWLEATATTFATMHQALIAPWGVGENATLHQIVYQAALGG SVREVCEIEFRNILSKFEN PFICI_05485 MEFEFATLDVFTNRRLEGNPLAVVKVRDSQKDKLTQDLKQKIAK EFNLSETTFLHVPDGDVGKSTAPSALSVDIFTIESELPFAGHPTIGTAVLAKSLFPSV STLNIKAGPIGLDPYNVNGRAFIRAKIPHNVHLHARTLADVIPAAQQATYEGLSHGEP QIRERELAAPVFSVVCGMTFVLVKLPSLELLGRVGRLRLDFDALPAPLLDVDSEWAPS FVARYYYVDVDDNEGEGAKGAGKVGGEGKQHVRKIRTRMVELGFEDPATGSAASCLAS YLTLTEGVQEEGGAGASFEIVQGVEMGRRSEIRVDTTTETDGKGRTKIKDVYLGGEAV VVQKGTITVD PFICI_05486 MPIRVKARPVSQGDRAPSPYFAPWKPTELPHISYDDVFRDVIKS LSRYIADCVFLPLTFEQLRTTSSGDCLRTLVDHLAENVLNPAIVNALLALRWHYSTGP DSSGVGDARANACEIVAWRFLTRLSEREAVEFCLYEIPDPKQKSIFLRNAPDLGESNE RTALLPSSSSNEHPGSRPDTLRGASQRRTQLIQSLSKLTMSMQLDSNDEEEDENDPTA PFINLNALEIAAIADAKRFLSQHVVQKIITGIWSGDIIFWDRLSAGSIKKPRFYNPQT ADPFCRLRVPRYLKVYEVVFFGLFLFLYYAVLIEQNRYAITPREIMLYVWFAAFCYDE VSEYIDAGSIFYSADVWNLFDMIMIAIGLVFAILRFIGLYNQDLYMVDIAFDVLSLEA LFMVPRICSILSLSPYWGTLIPCLKEMGKDFLKFMVLVVIIYVGFLTTFSLIGRDSYS FNHMAMIVTKIFFGSSYVGFDIMESIDPIFGPPLMLIFVTLSSILLMGSLTGMLSNSF SRVISHAREEYLYVYSVYVLEASTSNRLTHFYPPFNLLALGIFRPLRLFLPSDNKFRQ ARIMLLKATHLPIVAVIKAYELITFRVSPSKDGFDSFRGPRQTSRRSPAPPLSSSRRS HISSRHTNHEVISQPSPTRSRQDQDDSTDAPTDVEVRIAELSSKIDRLTALVAMLQPG AGIETTRT PFICI_05487 MAPIRSEVVLNHCTSATVLGNSISIHMLDFLSTVKTHPLGFDEL AHDFLEVCRIMWSLEAGLLECAKIHQTLPDEMLQELDKKFRTTHSDFQVLDQWITRFV EYERKGTMGKIQRGWRKMFADTGVDKMRESLAKTKEALRMSALVFQWSLGDAKIDDAV GIGYTGLAAALDRMDRGKSVIGITKLKSLEQHMVAHSLEEVSAIDSIHHSSIDRSLTP SVPHLPPVLEMAKSSTDLSPFPGSDALSARSIPDLHFPSLIRRTSISDHTVSSHGGRD RERVISGSETYVSGSSGHGSHHPSHGHIDERLSGSTNGHGTLIGSEDEYEPGPIKVVR IKADPFTMPRWSPRNSTSPTPAMIDALISAIEARNSKMVEQLLDRGIPANTGSDVHAL NLAIRMHDVETVRLLLLFGADPNIPGDITRKTPLYMAVEEAFLDGASMLLKYGASPNL TGDLDSPLALAVATNQFALTRLLLTYGGEPSHMMNDGDTLLIKAISQKASRKTIDLLL EYGADSNGKSREGKTAMFDAIQTGRPDIVSTLLDHGANPNLPGPKHMLWPSTYQPKCL KILLSRGADHRKAPGIMELATSINNIDSVRLLIQAGVNLDAKKDGIFTPLCTSIRDNR EDIFHLLLSNGADPNTPSAEYPCFKCVTHNRVHLLEPLVKAGGNLLSPKGILETAVQH NNVAAINWLLDHDVPINDKVPKTGATALTTAIRENRPELVQLLLSRGADGNVRGEDWP ICLAVRQPAILKLLLPALAEPRAFKGVMEMAVSAGQLASVKLLLRAGVSVEDRNGGVF SPLTTAIREHQNEIVKFLIDEAGADVNAPGEHLPIVKALRRMQGNDTTVLVMLLDAGA SPNKVYRGHSAIIQALENGDVDVLRLLVDKAGVDLDATDDSGKTVLELAQSRGGDEAS EILLQARRVHSS PFICI_05488 MSATAVPFSYHPKAQTDFKIPLLAGDNAYLGDVFSSDKTNPEKP ISAGLYRLEKGEPLVYTYKYDEMKIFLEGDMTITDATGQSVKATAGDVFYFPAGSTIT FTTSNYGLAFYCGQRKHSDF PFICI_05489 MDFMFQAPFLIPCLIFLSIIIPLVLLLGGRKRTPLSPPGTPNSG KPSRAKNPPREPGQWIPSDFEFPTVAPYPDWDLASTKPLPYRAFRYGPKYNVNMGLRS TPYDEWIQLDNHYPKYHHDKAERIKERGSKCCATAPEAYPAAIELLEELVNYLPNRYP SLFQRTDVGIVNLWSGESFDITERPLKEDPMCMAGRLVQDDLALMIEKPDGQYYLLAG SILLAGFWRLEDKINKCMSEIHTSGDVPQFKEKLESSMMKFFSRLNCHELYARNNYFI QVDDSLPWSWSIGAEDAPGLSWQTAEKNRAIEHHFFRSERQTLRRLPQSGAIAFTIRT YFHPVTEIAQEDYVPGRLASAICSWGDDVSRYKGKERYEEVLLEYLDNEHQKQIDRGL DLTREDDVRQFPW PFICI_05490 MDNKPQAPNTKVKSRSRNGCSLCKQKRLKCDETRPECLMCAKNG RKCPGYTQSFKWSTKHEKTLSGKARGPSNLKDLVTATSKTIQSPPQQPQAPVVLEDEQ STTASDSSPASQFEAAAACQQDVQQDTQQGDFQNSLSPLLDTLIMPEYDYGIEDLLQD IWQDVPQPIPANKDANQFLDQSVCLFNSPGPGDPFSQLTWPGTPSTNPQQPVSFQIPR AINDRSSLLVEEWFRNVCPMWSSFDSDANLNRKLAFDTWTRSETVMNCLQSMSATCLS SQMPAMRRVAVSYLQSATTALQKELKLIREQPVKKFPTETLLALCCIGTAACWIDTAE LGTKFLREAKTVLKRLNQSKDLSAQDRQILSFFNNSIIYWNMLVAVVSDERDDLGIPK LKEPQRKDMAQKIVPHPWTGVSATAQRLFTQAVRLCRRFRWNLRQGSIATMQNLEIAM KDIREAQKVEEELLGLEHYQPHEISETGDRMSPVSDFINVAEGYRLASLVQLYQTFPD LVSRRLPEDAVGSHVPWDNWIVPLTLRLVNTLKTVPVTSGTRCIQPLLYLSASTGLRF DTETLLQQRRFAQAAAVTPDITLASLGEPQVTRLSIEVTYARRFVMERLSALEHSLPP APVLVAKDLVTAVWAGYDNDTPGSNNTHWIDVMEDSDLRTIFG PFICI_05491 MAYEKVSFRTLDGLTLRGHLYLAKGGQLGPAVILLPGFSFIKEI LVPKVAEHFQTAGITALSFDPRSLGESDGSPRRDIDPSRHVADLHDALTYLQTLSEVG ADRIGFWGFSFNGVVALNAAALDKRARCVIAVSPLTDLSYPEDGLREMLAAAMEDRAA QLAGKAPRYVPVVQKDGNCPFGWGAGTSLIEYGVAERSAAMFENYCNEMSVQSHYRIS TWRPYDLIPLVAPTPAMIVTAELDYMSPPEKQKALFNRLTGPKEYQSVPEKGHMDLLG GKGFENIMAKQVDFLIRHLAKQSKMKAAL PFICI_05492 MQYQAIIHSFGAAEDVVDLQLAELPALARDKVRLRILARPINPS DIVTISGAYSGRTTLPFVPGFEAVGVVEECGDDVLSLPQGTRVVPLRSAGAWQQFVDA DPDWCLHVPDNLSDFSAATSYINPMTAWLMLHDKIGVKPGMRIAVTAAASSIGLMLIA IANAAGVRPVAIVRSESSRNILRGQLEAVILAVDDVELASRLAQVGHLDAILDCVGGE QALVLGSALRVGGHFVHYGLLSERTIPGSFWITNPQVNFSHFHLREWVYSQDIKAVQS KYSLVASNITSGVISTSIRQVFPLRDIRKVLEAAVPFKAGGKVLVI PFICI_05493 MKWTSFLLAQSVAVAALTISASISVPTATISEIVAPTPTIVPSC PPLPTLPLPIIPNDACVIGCVADFLKALQKATHLVCPAIYPPPPYCVRPVERAIAQLR LCLIGCGRPTLPLPATPDVVAVVFCPLAESATA PFICI_05494 MDLFNFVREGVQLFGAQALVATVVATLTYGVSRCIYNVYFHPLA KYPGPKLAAITDLWWAFSSTSGRYPWIIEDALKKYGDIVRIAPNELVFVTPQAAKDIY LAQEKHLELFVQVGYDAVDTGDGGISGEPNPSRHYEIARRVAPAFSMRNFKAKEPIVH RHIDTFVQRMLEVGTQKRGAEMQQWSDWLALDLSMDMTYSVKMDQMLNMRDSIPLKST LKLNFFLAMSQITRKFRILSPLMYLTIPPSIWFTLPKLMAMNSENIKARIELRNQRDH SGSDYFEQLIPADKPVPHGKKEIYHLENVLGQLLIASWQPLANQFYSLLYFLLGEPQA YAAVVKEVRAAFLNYDDITSESTTKLEYLKSSTNESFRLHQDTVDGLPRISPGAIVDG TYIPSGVTCQISYFAAARSPRFFAEPLRFCPERWLPRGHPRYDARFENDDLKASKPFS QGVRGCPGGHIASSVLRLFAAKVLWQFDLELDPGQDVSFERDFKFLVFWERPPFFIRF KSAQKTSI PFICI_05495 MSVFPPAEIQEILDEVTSLLKSRNETVSVAETAAGGLISSSLLT AAGASAYYKGGLTLYTLPSRIAYAGWTQESTKGYKGPTTDIVSGMAKHVRKDLGSTYT ISESGTAGPTGGDTPNRTPGYIALAVDSDKGTFVRELNTGLGNDRTANMVRFAVEALK LLRDVMTDQAKL PFICI_05496 MHASGILKAIALGLNLVVVKALTDCPSTLSYYTDADGARYATCS GTDLQGTSSLITSSVTTSSACAQICSANTTCTKAVYDTTNKKCHIKDTTATLTWVSNT QFNVIYINNTFAEGTIIARCPFTNTTYTGTTGTFSICPDTDLQGTSASIVASIASREA CAKLCDTTSGCTQAVYDKTGKYCHLKDSTGLLTVSWVYNKKYDVINKAVASTPATTGQ WTDLIRFPIIPVAAYIVPEAPDTTRLLVFSSWGATTFGGAGGYTQFADYNWKTGAISQ RQVSNTNHDMFCPGMSQLQDGRLVITGGSDAEKTSIYDPKTNAFTRGPDMNVSDYNQS STTLSNGKIFTVGGSYSGGYGGKDGEVYDPTANTWTLLTGAVPEPILTDDHEGIWRED NHAWLYGWKNGSVFQAGPSRTQHWFDTAGNGSVVLAATRDTDDAMCAINVMYDVGKIF SAGGASDYDNSAGWTSAHITTITSPYVNATVERVADMAYARAFGNGVVLPDGNIIVTG GQKTAHVFTDTDGATAAELFNPYTKTWKTLAKAAVARNYHSVSLLLPDGTVLSGGGGL CYVGAPGSSDAACNKAVDHADAQIFSPPYLFNSDNTLATRPVISSVSATAVKVGGSLS ATMSSSTAGVKFALMRIGSVTHSINSDQRRLPISTTVQSGTQYSFTLEQDPGVLLPGY YYLFALSSAGVPSVAKTIQVTL PFICI_05497 MALVNNDQIKSSELLISLPTFRHLYIWPFAIIWPIFARYYLDAD LYEKHIGAQEWTFVWCGTIITAQSLVWLSTHWSVNLKASFTASKAKSIEDAELIKVIP VANAGTPEICKLERENVAGKTNISFLFQKRRFLFDPATKTFSPLAYAIDAEPKPKLDE FQKSKGIPSQAEVTRLEQYYGTNTFDVPVPTFTELFKEHAVAPFFVFQIFCVGLWMLD EYWYYSLFTLFMLVAFESTVVWQRQRTLNEFRGMSIKPYNMWVYRAGKWTEVQSDKLL PGDLVSVSRTKEDSGVACDMLLVEGTAIVNEAMLSGESTPLLKDSVQLRPADAHLEPE GLDKNAFLWGGTKVLQVTHGNPDEEKPKLASGVPTPPDNGAMAIVMKTGFETSQGSLV RTMIYSTERVSANNAEALFFILFLLIFAIAASWYVWDEGVRKDRKRSKLLLDCVLIVT SVVPPELPMELSLAVNTSLAALAKLAIFCTEPFRIPFAGRVDVACFDKTGTLTGEDLV VEGIAGLGLGHTGTDTPVESDGAHSHMTLVKDAGMETTLVLATAHALVKLDEGDIVGD PMEKATLTSLGWVLGRNDVLTAKPAAAAAGGVAGSVQVKRRFQFSSALKRQSSVATIN AMDSKTGHKMKGTFVGVKGAPETIMRMLTVVPKDYEETYKYFTRRGSRVLALAYKQLT VDNELGSGKINDLKRELVEADLTFAGFLVLQCPLKEDAKQAVQMLNESSHRVVMITGD NPLTAVHVAREVEIVDRDVLILDAPEHNEGGEQLVWKSVDDRVSIDVDPSAAIDPEIL KTKDICVTGYALTKLKDKDNHAAFRSLLRYTWVYARVSPKQKEDILIGMRDLGYYTLM AGDGTNDVGALKQAHIGIALLNGTQDDLTRIAEHGRNTKMKEMYQKQVDLMKRFNQPA PPVPAMIAHLYPAGPSNPHLQKAIEREAQKKKITPEEYIKLHGIDMTETVTTPAAQQI INNDPRQAKQQAAAQKAAGFADKLTSGMMDMEMDDEPPTLKLGDASVAAPFTSKLRNV IAVPNIIRQGRCTLVATIQMYKILALNCLISAYSLSVLYLEGIKFGDGQYTISGMLMS VCFLSISRARTVEGLSKERPQPNIFNFYIIGSILGQFAVHIVTLIYIARYCEQLDPRG ERDVDLEAEFSPSLLNTAVYLLQLIQQISTFAVNYQGRPFRESISENKGMFWGIIGVT AIAFSCSTKFIPELNEKMMLVDFSNEFSTTLTMVMVLDFVGCYSIEVVLKMLFSDFHP RDIAIRRKDQDEREKARKAIEMDAKAAEDEKARLAKVEEFEKKIEERRRKIQEWSQGR QQQPQPAAALR PFICI_05498 MDAPRPKGIVVFGGGTATNSLVDVLNDLRESRGCSLSYIIPISD NGGSSSELIRVFGGPGIGDVRSRLVRLIPKPDSNGDVAATKALFNYRLSSEPEAARSE WLDIVEGRHPLWAQISSDKRELIRSIFNMVNMEIVKRARPSSTFHFGRAAVGNMFLTG ARIFTGSLESSIYLLSQICSIPPSTSVLPAINSNFSHHISAGLADGTVITGQNAISHP SEPTSLPDVNGTGTATRNAADSTGVSSSAEDTDAHDLIEDANLPGSLPTLRKQYIEFS KSNEDDDLPSRIDRVWYINPYGHEIRPRANPRVVEALAHANAVIYSIGSLYTSIVPSL ILRGVGHAIAHAGVRHKILILNSSNDRETGPSASPFTAVDFVKAIARAAAESQGEFDG FGRHNEVRRYVTHLVHMEGEGTPVVQKDALASLGVDCIRVYGRRVDGVLRYDEQGLKT ALEAVLGRTERGRSRRNTKQ PFICI_05499 MAGGVKKPVNIFKLKNLNEPKGVFNWRLWFAVISFALLGAARGV DEGLISGAFNSKDFQNSINYSSYSDSEKTNIKANVSAMVQIGSVGGALFAFLICDRIG RIWATRELCLVWIVGIAIFLGNNGNLGAVYAGRFIAGLGVGQTPVVGPVYIAEIAPAS VRGLCTCIFTGFVYLGIVLAYFANYGAQINLGDVSHIRWMAPTSLHIIFAGLILILTL FQYESPRYLIKRGKLDRAIEVMSRLRNLPKEDPYVVSEINGIVRAHEDELEATKGAGW FGILKEMFIPSTLYRLYLSSMVQFLSQWSGAGSITLYAPDLFKLLGITGQNESLLVTA VFGIVKLVAAIICALFLVDVIGRKRALLIGITFQAIAMVYIAGFLTAVPELGVVDDYV LPAAEKGASRGAVAMIYISGMGWALGWNSMQYLLTAELFPLRIRAAATSFTMMLHFVN QYGNSRAVPNMLIPSGEGGISPKGTFWFFAAVTILGGFWVWFSVPETSGRSLESMNRL FDLPWYKIGLHGNEDAERQDAVVNEKEREFGPAEQVEHA PFICI_05500 MAGDSLAPPPPTLTVNTHCRNNSSCSTAGSDAITPSGESTISNP FLTPSKSAKSDPFLTPSNRSRASSFATTAVDADTALRPDPGTENDFYVENNPFGYSPG QLNKLLGPKSLPAYRALGGLRGIERGLQTNLESGLSVDETGIPARVTFDEAVSGTINH TEKGQTPHKDSKAFDDRIRVYGKNVLPAKKATPLWRLMWNAYNDKVLILLTVAAVISL ALGLYETLGVVHPEGSPPSVDWIEGVGIIVAIIIVVGVGSLNDWQKERAFVKLNAKKD DREIKVIRSGKSFMINIYEVLVGDVIHLEPGDLVPVDGIFIEGHDLKCDESSATGESD AIKKTPGDQVMKALESGNMHKELDPFIISGAKVLEGVGTFVCTSVGVHSSFGKIMISV RTETESTPLQKKLEGLAMAIAKLGSTAAGLLFTVLLIRFLVSLQWDTRAPTEKAFTFL DILIVTVTIIVVAVPEGLPLAVTLALAFATTRLVKENNLVRILRACETMGNATTICSD KTGTLTTNKMTVVAGTFGTSRFAKSDKSDGDEKGASQWASSLSAAAKDLIVQSVAINS TAFEGEDGGETGFIGSKTETALLQLAKDHLGMQSLSEIRANEDIVQMMPFDSTKKCMG AVIRLRSGAYRLLIKGASEILLGYCASKANVETLEEEGMSQSDRETLQTTIDSYAKKS LRTIGLVYRDYAQWPPAGVEIEDDHVTLSSVLKDLVFFGLVGIQDPVRPGVPEAVKKA QTAGIVVRMVTGDNILTAQAIAKECGILVGEGIVMEGPVFRTLSEEAMNETLPKLQVL ARSSPEDKRILVTRLKALGETVAVTGDGTNDAPALKAADVGFSMGIAGTEVAKEASAI VLMDDNFASMIVALKWGRAVNDAVQKFLQFQITVNITAVLLAFISGVSSSDFTSVLTA IQLLWVNLIMDTFAALALATDPPTEKILDRPPQPKKAPLITTNMWKMIIGQSIFQLAV TLVLYFAGHAILGYDVNNETQMTELDTIVFNTFVWMQIFNQFNNRRLDNKFNIFEGIH RNQFFIVINCIMVGAQVAIVFVGGTVFSIKPLNGVQWAICLVLASLSLPWAVVIRLFP DPWFAKIAHTVGKPVVVAYRALGAFFSSLARVFKKPAQKFRKEKVQESEDREKEATNN DTPAVVVSEAV PFICI_05501 MKILCLHGKGTSGRIFKSQTASMRRKLEELSPDTPFEFDYVDGP APSTPAPDTPLFYDPPHYAFYEGTEIKAIRRAHEWLSQLLGVRGPYDGVLTFSQGGAL VSSYMLYQQWYEPEKPAPFKFAMFMCGGVPITVLRDLGVPVTKEVEELDLATKRQLLE KTTAEVTRDRWQLTDYASVVRRAQFNSDDCFGLNLNTIPQELKIRIPSVHVYGRKDPR LPASVQLAGLCDPYIRKVYDHGGGHELPRSKEVSEDLAHLLLWGVQRGSWPGQEAHIT PFICI_05502 MASRPSPPSRQATDHAPTTPSALRKSHTPSSSSLFNGVGGGSGN DGNVSATPDQHSSTSEAGPSGGPTTETTSLLHHDHAHPGPCDHGTFSPRPSSPVGSSF RGSDAGSLLGSDASVTKGNWRKTLSSKMKTKTMTNSRSLAERHGFKDSKMMYLSYYVP SLIWIRQYNWSWLKGDITAAITLASMYLPMALSYADSLAHVPPINGLYAFVFNPFIYG LMGSCPQMVVGPEAAGSLLVGSIVKSSIDSGSGDDDNAAMHARIAGVAVGIAGATVFI MGLFRLGFLDSVLSRPFLRGFISAIGVVIFIDQLIPVLGLSSVAARTPGVGHGSSVQK LEFLFTHFSDLHKLSAIIGVVSFTIIMVLREVKRRLQPRYPSVAFFPDRLLVVVISAL LAWRLRWEERGVGVLGDVQGGTTNVFEFRNPFQTSHIAHIREAMGTSFLIGMLGFFES SVAAKSLGTGDAIEGMQLSPNRELVALGVANLIGSCFMAIPAFGGYGRSKVNKSTGGK SPMSSIFLSIITVFCVLFMLPWFYYIPKPVLAALISVVAWSLIEEAPHDVAFFLRIRG WTELSLMAVILLVTVFFSLNLGIAIGIGLSLLQVIRHATRPRIQILGRIPGTERFENA EENSDRLEFIEGCLIVKIPEPLTFANTGDLKNRLARLERYGTSLAHPALPRLRPQGSH RNVIFDIHGVTSLDGSGTQVLEEIVQSYRSQGVRVFFSRAPSKTHKVWKLLERSGIVD LVGGERHFVHDVKDALRLTEIEDIEETEASAAPSETS PFICI_05503 MIDSSINHLYIPAAAILVAVAGWFYTVLSSPMAKLPGDWITNFT DVPYRWNVVKGRRPKWVQGLHEKYGPVVRISPTEASFQDVATTREMYRVKGEYLKAEF YDKLANGQVSVFSTRDTGIHKRQRRLLSSEMSESSLQKHLPVVETKVRLAIQRMQEEM QQRNATASSLDVFHWFLSMATDVIGELSFGRSFDMLETGGKSEYIKNLQDVARIGGII STFPVLVKLARFVRVPFISEATTKRRRITGYADESILRHQRIVEEQGDDAKPTLLSKL YNLAGTDKLTFLEVRDNASAYIIAGSDTTANTLTYLVWLVCRHPDIKARLLAELATLP DGFAYDDVKTLPYMNQVIEESLRLYPAAPSGLPRVVPAGGAQLSGHYLPPGAIVSAQA WSMHRHPDIFPEPHRFDPSRWEDSTKDMRDAFLAFGGGSRVCLGLHLARMELRLATAR FFTTFPNAQVSSLEGFSDDEMNPNMFFLLTPNKHRCLIEAC PFICI_05504 MASLVRSLWLWVLALAVVLVAAEVQPRSGSLLTVLTSLEDELPS CAYTCFSTHASTRNCSTVEEFITSDVTTCLNSACTTHEYLSAEKLITTTCGTVERNTQ ASIRAIAWTLWAFATFFLSGRLLARTAFFGGMSLGWDDWAIVLSWVVLTGVTVGAELM VVFGLGKDMWTLDDTHINIVLILFYVAEFAYVIESTITKVSILLLYLRIFPDRQFRKH IYVLMGIMALFCVAFVVTLLTYCMPFAFTWERWDNQKTGTCINMNAQTYTCAALNIVL DLIIFFIPIPQLMKLDLTLKKKAGIILTFLVGLFVTICSMIRLRALIGWTESTNSTMD FAKLAAWSLVELDVGVICACMPGMAGLFRRLKKRGTDYIRSRSSNNASMALDTFNGTK GGGPAITKTTIISVKRTHHDNDTNSVGSESELELVDKSKGTYNYSTRQFGNSMV PFICI_05505 MAAEKPENFRAIIVGGGPVALTAAHALSQAGIDYIILERRKALD TDSGASVAIWPHNVRLLDQLGLYEEAEQTYMPVLNKWNLRRDGTVLSKSNMFEAIGIN HGHPWMCFHRAKLINMLYQRLPDPSKVLLDKEVVSIENTANGVTVTCADKTTHSASII IGADGVHSSVRRLVNEASGKTEDPFMSSYRGLYGYAKRSPELEPATLYETHSANLTIQ LIVAEQQQHFLVYERLPKPTRERTRYTEEDANALAKKYADVRFPTGKDGEFVTWGDIW AQKQWSVLANLEEGIVKNWHDGRAVLVGDAVHKMTPNTGFGMNSGLQGVAQLVNRLRA QLKQTPDPDVATLSRVFGEYQAARLSNSKEAVEVSGLYTRLVAWNNPVWRFTDQYLLP YVKGDNTSLNVLMSPIVQKGVPLDFLEEKRFKRGTYAYHTGPVTASAA PFICI_05506 MPPTEQAPSTVLSRARCYCGSLDYGVSLPREILPLSAYICHCSR CRYIHGAISITHATLPKGSSLKFFEPSSLALCATQYRPQGSKYYHYFCSTCGCHLGGR DEHEDVWYLSIVLFPYDESVFRIDKHCFTASAPGGLHEWLPSIADRPLDIMNPVQDTH NSQAYKSEVGSNGKERLRAQCDCGGISFTIQRPTQEVLEDAYMRGYVSPEDPTKWKAM TDACNDCRLITSTVLSAWAYVPLKLIEPRIGPDLMHGTMKSYISSPGSRRTFCRVCGA TTLYWSDTRHTTDDDHVIGIAIGLLRAPEGINAIGWLTWRTAELGWLDAGSEYAKSLY HSLNQGHQDWSIRAFGRLVDFKLPKPDVSTD PFICI_05507 MAQGDPSISNGTCYWGQGNKTSHAFIPCGNAYREDIILSCCGIG DFCYNEGGLCFNQERNEYYASGCTDPDYENVSICPYKGAYSNQQWVGLVRCETSDDDK NEFWAGCPEPDDDHLTTFTKGCKCEKPINPPLVVYSAKSTPTASLPAHRGGRIISLPG SSPTTDSVVPILSTTSPTITPAGNSSTSPTDSATAAPLIPTTSANKDPLSTREIIGMS AGLGVFAIMVLAAISFLILRYKRRKEQDEELIASTQSPNRPENMDPTGTALPPVSAMD SQSLAFNRPYIREQQREAFATEDAHNTRAFVSPVSPVSPVSPASHPHSIKSLVNSASQ QFEAYNPDRHGNYSDYSSSRYSTDSVQPSHRDFQYWSPIATSPQHISIACSPETAGRE RLNQRAMTSIAELEG PFICI_05508 MSLGDVRGIQDPLLRTDAIRETFARNNTAQQQLNDSSNDESQET TATHDSDRDRVFTPPESDSGASSGPVHANGNGSSQESQLFQLSELAAAQEKMTEAAQS RKRTADGAVKYTRDSMSTSPVRASGHSRNTSTVSATSTTGSRIGELSAELKAKLSYAM MKVNHGWQSNSIDEVESLASQAASPISSTSTIHGRPGANPSPRLSLTAMRQGTQSAGI SPVGLQASQGRANEAFWRDTNVAPQNHSVSPPASQASMLAPPAAIQPGRSGHLNPRRN SNARHTPAYLSHQASPRSPAQPSPLQSTPGTATLRTPQVDQMVFSPHQNVREQEALET LLFMSSPGNSANMKHALPSSAQQGPNNIQAHRTALPTSRLAPNSQPRKTLPTIRHHAH GSIGKRVGFEKSPGRVSEMDVDDHYASPRGTPRRKTFSGSRPSLSVPAGLNAPSRPRP QLQDADIERMLDRVAADDSSDSESEISLPAG PFICI_05509 MSAADYYGTSGSGGSQSPYPSQPQYGQPQFGGQQQPQYASSPYP SQPSYASPAPQSSQYLAPYPSQPQYARPGSAHSDYPPAYDGSRPTSAQSGSPYPPARP HSADPSSYGQYPQQHQQQQQSYRDPTDPSNAQDGEKGLGSTLIGGGSGAFLGNKLGKG KLGTILGGAAGAVAANVISHKLHGKHGHHGGGDPYGGHHGGHHSSGGLLGSVGSLIGG GSSHHGSGHSSPYGPPPSHHGGHHGHHGHHGHHGGHHGGHHGGHHGGHHGGHHGGW PFICI_05510 MATEAYKNSSFSSPQWWKEAVVYQIYPSSFQSHPGNKSGWGSVK GITSRLDYLKDLGVNVVWSSPIFKSPQADMGYDIADYKMIDPCYGTLEDVDVLIAELK KRDMKLMMDLVVNHTSNEHAWFLESRSSKENPKRDWYIWRKPKSVGADGKPEPPNNWA QILGEANSAWTYDEVTGEYYLSLFTPEQPDLNWENPEVRAAVWDVMHFWLKRGAAGFR MDVINLISKVPGYPDAEVVLSKGHKYQPAWKYYVNGPKLHDYLQEMHREVLSKYDTIT VGEMPGVSDEKEVLRTVGAKAGELRMIFIFDVVDIDKPNVRMALKPWDVKEFKSIISR WQRVMIELDGWDSVFIENHDNPRSVSRYADDSDEYRHLGAKLIALMQTTLGGTLFVYQ GEEIGMRNIPKDWDVEEYKDIETINYWKKMKELYADDEEQLNHGKTVIHMKARDHART PMQWDASANAGFCDADVKPWMRVMDDYPTINAAAQTKASDDDNLSVWQFWQRGLKDRK EHADVFVYGDFQELSHEHPQVFAYTRTSVQGEKWLVVLNYSGKQVEWYFPEDLKVDFW AASNYTKGRIGKPQTAMVPLKPWEGILGKCI PFICI_05511 MAVLRIRLVLIILLMLVTGILADFTIMAADLYFRGIDGNYKINT RYIFVEGDGHLNCNNLWSIPAWFESIDVSGDKQGVRYKGIRPLDPELIEFNTDFGHYT MYKDRDYHLAALDDEDSGHCRVVNSFTRNCHYEGNRLVVKSIVRCTTDTVRL PFICI_05512 MTFFKFLRRRSSPGHRIRQCPGCTGDDSSSFPSSCETICSSAET ELSTLGNVTGSCGTFQSSYESCASCLVSVSDNTTEAGEILASPFSRYIYECSATAQEV TATIYTAVGETSTPVTTITTALSISLSSSDSSSGTTSTTTPSTSTRSTSTASPTNSNL IPSAEPTITTTPDSESKAWLAGPILGSVLGVAILVLVVFLVYRRRRNARKKMSPDELT DKPQLHSDSIPLPPPEELDAGMRHELPGDEPRDPNAVVTELLGEDPPRKLDKAYGKTE LPFYEPEQLPS PFICI_05513 MAKSIHQRFRLNSPWTQNFISGIGVGCSAGLYVALNLLGAGGGR PDSAQVVQVVNATLCSVWFLSSSFGGSILNKLGPGITMCIGVQTYAVYVGSLWYYDET GKRDYPFVSGPIIGIGAGCVFITAGYVATAYPEESEKGAYATMLMNMQALGSVIGGII PVIINRDSDTTAGVPRSVYITFIVIMVIGGLLCLSLQRPHKLKRDDGSVVAVNPPRGA WEEFKDNLSVFKDPKLLMMLPAFFPSECFLVYSGAVNAYLNNLRVRSLLSFIAVVLQI PAGYGLQWILDHKTWGRKKRGLIGLTVVSVPIIAAWVWEMIRVRDFNRAESPTTPTDW TEPRFGAIFILFMLTWISCQLWHNIVYYWLGALTNNPRTLTHYVGVFRGVLGAGEALC FGLDSIQIPFLAEAGGVLLFYTIGISVFYYLGFYHMAETNYNKDEEGAVIPNHVLLER EF PFICI_05514 MAASAQSWLPIKDQFVDALRAFKQRARLSSSEELEFSMTSLDDL KLAVRKMESDQESRRCMMNLKRLDPFLKSMEEYGKLIEIFVNVNEVVAFVWGPMKFIL QVASTHAEALHCILDAYQEIGEQIPLLASYQSLFAGNDHMGQILKDIYTDILEFHRET LRHFKSKVWKQLFRATWKGFNLRLKMIKDNLTRHKHLIESRASLIQFEEVKIIRQQQE QMFQLMDQSAQSNRFCEVMEWLSPFLPDGLQDSYKEISSICPDAGRWLLEHPKVKDWL LPQYCTTPLLWLNGIPGAGKTILASLVVDKVKTIPEVSVSYFYFKFRDQTRNSMLSAA KSITAQLLREDMDGDLLYQFYDNKSSSGSMALTSPDLAKEMLHQALEGKSKTKFIILD GLDECERSERRTITAWFQEEAETLIGSDPDSIRIMFVSQDDGVGRRDLAQVPMIRVSS AENKEDIKAFAEKWQQRIEERFGNLHARLFHITNIILGMFIFARLFAEYLFNLGSPDQ LKQELNPERLPVELDDLYDRILYRITDSRPEPLSAMIRKVLGWIVAAQRPLRWRELQG AISVDLETQDVNFEKRLLDSPDILFASLVQQESDDSITLIHSTAKEYLRRTNFVQWRN PDHSLAILSLAYLNLPPFDPSYPDENISNSILTGFYSYAEYALSCWALDVATAVGDAS QADDLSNLVDTLDVFIPMHWEASSRMNNISASVKRKFIRFEKADCYDDLLQAASWMEK QLEGPDKTCLDEAPLRLMQVIKSIREVFEQKIEDGLTDQEQATLIQYYGASWFKCPRL NCYYFHQGFKNAGQRKDHVNRHERPFLCTITNCHSANFGFAAKNELERHMLDFHGFDM SNADEFPKQQLRTAPHSSTKNARDFKCELCNKTFTQKHNLVAHVRVHNSEKPYQCTLC DKKFTRKRDCNRHERGHGEKESICFGELDDGTTWGCKASFGRADTLAAHLRSKAGREC MRPVILREQELNAKDISLMPFAELLRICGLSPENMGISQSTQ PFICI_05515 MLCDVQIQLSVVQPGFHHNLQTYQSDNENFNVVDVFFDHSNGVI RAEDTTPIALLNVKAYRALTSEIDPSLLSCIGLVRQKDLEQGLFNSTSLRVPRSQKPS CPMDVIICGPHYLRDKLSRNLSKSRLFLQRPRFIPDHRIYDNPHYLGLPGPDPMEGEA LSSITKTTTPEGEKGKILSSESPESSQTIDAIDILSHITVQNDLQEVDMDKTLIRTAL KPYQREGIDFILRRERPSPDDTNSLWRRHCSFESEPLSGIRYLHRISDTKSPIPRDPP GGILADDMGHGKTLTMICAIVRTLQQYDTYNKQLPSPKFKSDHGRNPSKSTLVVLPSV DRHVVEGKISYCKYHGRMRRLDDLTNSPHDIVLTTYATVAKDYSPGGGMLDHFQWHRL VLDEAHTIRNASSGQFQAAMKLSANIRWCITGTPIQNSIHDLASLFKFLRIPYLEDDR TFRKHIIGQTSVSGAISRQGLENLKLVLGAVCIRRSSLLVAESDVLYKEIRVDLTPIE RGGYASIGMSIKKSLDATVSSHKCGGASRMLLRGILKLRQFCNNGGRQICSSSGHGDS MDTLDEKISLLEQSSETTCALCLTSVLVNGEFDPMRLPQLTCCDALICGSCVPQLRSQ TSSAGTLEATPCVVCGDDHDGIDYLVEASPNCSIEPLIEPWTTTTSKLHALVTDVIEH HMEEKSIVFTYWISTLDIIESMFQQQAISFRRVDGTLSTMKRAERLSQFHQDSSIRVL IMTLSTGAAGLNGLSVASRLHLVEPQWNPSVEDQAIGRVKRMGQDRQVTVLRYITNDS IEISVQNRQLQKKKLANASGLRAADDNNGQRDRGMYRKELESLLCI PFICI_05516 MEPPPPPPVSTAGDLVESAKRAAGRKAVAEHLHPSYTRIGIGSG STIKYVVEAIADLPREQTDRMKFVPTGIQSRDLIRVARLPLLAIDDMVMEAEYEEGQQ YLDVCFDGADEVDLELNLIKGGGGCHYQEKLVAVSSKKFVCVADYRKKVDRLLTNWVG VPIEVQPLFTERVRRQLITMGSIKPFIRSGLPGKAGPIVTDNGNHIIDAPFPPLLLNS EKDKTDPSKGLWTVDELATRLKSMQGVLETGIFSGYNGPQSRTLLTDAEKPIIVYFGM ADGSVETREAQ PFICI_05517 MYDSFDNMYQATIGIDFLSKASTHYRTVRLQLWDTAGQERFRSL IPSYIRDSSVAVVVYDISNAKSFQNTKKWIDDVRAERGNDVIIVLVGNKTDLNDKREV TTQQGEDEAKKNNLMFVETSAKLGHNVKTLFRRIAQALPGMEGTDAAGQAASQMIDVK TNTQAPQQEGCSC PFICI_05518 MPVIKPLTGSTEAPATYKQPSRKGKKAWRKNVDVTQVEKGLEEL NEEIIKGGVVAERDSDDLFTLDTVGDAANPKKFPKHTTKKLKSDEILAARSAIAPVDS RKRAGDSVSKTTDGIIASKRQRTGYVTQKELARLKKVADGHHEDTIEFSETVYDPWAV APEPEVKSELHDTRNDKRKAPKSITEKPISLAASGKRIPAVAKPTGGYSYNPSFPEYE ARLSEEGNKVVEAEKKRLAEEEADRLRMEAAARSAAEAEAAEARAELSEWEEDSEWEG VQSGGEELKTSAKRPGRKTQAQRNRIKRRKEEERRLIHEEKTKVRKAQLEQIKEIARL AAEKDKARALSKQAEDSDDEMDDATDDILRRKQLGKMKLPEKDLELVLPDELQDSLRL LKPEGNLLKDRYRSLLVRGKLESRRRTVKKQARMKSTEKWTYKDFRI PFICI_05519 MPPPQPGAIVARLLRSQGQQQPTFIFGPRFTSPFPSQSAANNNK NFVFFQQQARRFTQPTKRPHSPQQQHENATHGLYPSKLASRELSGAPLPQRRSFLLNF MYRSAAVVGTGVGFVGFLFAAFFLYDATTYKESSEFGECRVSEYALNPRTGGPKNLPI VDALLDDDDTEEKAAQKTKPRLVILGGGWGGVALLKQLNPDDYHVTVISPKNYFLFTP MLPSATVGTLELRSLVEPIRRILSRLSGHYIRAEAQDVEFSHKLVECSTLDAHGKEMR FYVPYDKLVIAVGSVTNPHGVKGLENCHFLKDINDARMIRNQVMKNLELACLPTTSDT ERRRLLSFVVSGGGPTGVEFAAELFDLLNEDLSIKFPKLLRNEISVHLIQSRSHILNT YDEALSKYAEDRFSRDQVDVLTNSRVQEVRPDRIIFSQKNENGDLVTKELPMGFCLWS TGVSQAEFCRKIAQKLGDAQNNRHALETDTHLRVAGTPLGDVYAIGDCSTVQNNIADN IVTFLRGLAFKHGKDPENLELHFQDWRNVAADVKRRFPQASAHLKRVDKLFGQFDKDQ SGTLDFGELRELLGQIDSKLTSLPATAQRANQQGIYLAKKFNKLASAASALSANDIRD GDLDEAVYNAFEYHHLGALAYVGNSAVFDLGGGWGLTGGVWAVYAWRSAYFAQSVGVR TKFLMMMDWMKRGLFGRDLVSF PFICI_05520 MTADLSNLPDFDSLPAVEGMPKGCAWGVFDKDGEKDTLGCLNLL TPERIRAAYTEARDGVSISLNASLDLIKAAGGPRAPTTHRVLSWAEDIAPEACAGLVV HDDEVSFNTQASSQWDGFCHVGHAPAGGLTYNGAAASKQALADPDRARRLPGLEHWHA RGGVVGRGVLLDYYAYAQARGITYDAMSRHVITIEDLEKVAAAQGTELRTGDVLLVRA GVAEAFEGLTGEQQTELMVKGQGAMVGVEGNEQAAKWFWNKHFAAVASDTFAFEVFPP QKPDGTIGGFGDLVLHKYFLNMFGLNIGELWNLKALGEHCAKIGRYSFLLTSVPLNIS GLVASPPNALALF PFICI_05521 MDYSTIKTPERCYADFCLIPVGTGSVSVAEEIAEVQKLLKDSGL QYTMHSAGTTVEGSWDDVFRVIGQAHSLVHQKGVVRVQSSMRVGTRTDKKQSAQDKVK RVQDLLAKETS PFICI_05522 MLPSISKFLTVAAALSATAYASPQGWGGSSGQGSSSGSSGQSGS GSQGGSESGGESGSQTTSEYYGSSTSATSASAVAASTATSTSAALADDVACNGSHDLC SRTYDNITHMGAHDSSFVRDDSTDNSIAGTQYYNATYALNNGLRLLTVQAHDSNGTIE LCHTTCSLLDAGTLQSFLEAIKSWMDNNADDVVTLLIVNSDSFNGTDFGPVFEASGID KYGYTPTGTDSSSVTWPTLQDMINANTRLVTFIASYTYDSDYSYLLNEWDYVFETAYE VTSLSGFNCTIDRPSTYSSASSAISAGMLPLVNHFAYTVVASYEIPDASDIDTTNSPS TSTTGALGLHAQTCKSEYGQKPTFMLVDFFNKGPAIETGDSLNGITASGRSNSTSADG SSSTSDADRIGHRSFAALLAAVVMAALLA PFICI_05523 MPVDYSKWDALELSDDSDVEVHPNVDKRSFIRAKQNQIHAERQQ RKLHIETLKYERQINDGLIKRISGLLNSLKNHASEAETRNPAEVAFQAVMESAPSSEA EDKPPKRPEGVHSEVENPPTYTKMMATLLDQVNKALDEKKPDNRYQAMIEEIGDHLAK VEDLQKQLYVKLAELEKADAGKITSDSYRTGFDSSHITKSTPEEAGTKKEEKVELLNP NFDINNPNSLSEAEPRDDDEEVQASSDAQAFAKIKSTDYRESNNFISSHPKILSEKEQ DGILMLAFDAQLEGRDDFARNCVHQALLLQYCRALGKDGVALFFKRITTKGHNAQDVF FKDVQDTYMRIKNRCREINAERAAGGNDEEREQIQLHAVEPGTVINIKVPPENSEDPE EKKCREIFDSFKPDVKKALETGSLDKVNEVLGKMKVAEAEALVGLLGEANVLSLEEEI IDATTEEGQKHLKEMEKQAALESSSYADDPE PFICI_05524 MADFLKNIIGGAKSEEPVPSADADFADFAEAPSPAPIPFEKPPG GATLNGNGPAVTDRPYTKWYNVHERHSLSEFKAEGVILAVIAVIFTLHFIGTKLNKAK SRAWIKAHAPTLENEFALVGFGGVPNVEQQQPEKLLKQKSLFEYATYATGRQNVAFMD VALTLKKRFNPIMTSVETVLGFFFDSFGSPVDSLEATIYPFDGKESAIVPNLPGAAEL RTKDAKSGYDNFVWALVNKDNMKQLRDERYDLSITFTKDNSKLPIWVTCMSESAEITD ALLTKDLAAAIEKAGDLFEALIISDQPLDKPTRVEETAPRKRLFLRYRLPSDNNYDNL LPLFNVFVRLPDHLAASGHFRPEVLRKVKNIRDETIKQIQKLSEDEKAEERAIEREKT RKAKRDAELNALDAKAQKKYLDKEKDKQMRKAQKKQTVRG PFICI_05525 MLTDFPAAQSTESLQSDDSGDDVWDKAALLKLSDSVRASIRHDE SLGPDASKLSAFLEAIIRDEERKHPTMNFETIEYARLDKLLEELLHFSGLMKAASHTV ELPLRFRVDIAHSKKLRVLWRHRFREQYVMIDQLRCAVMVKGGRLKEVSFTSAVAYDL GMWQTAATSNLVGAIEGNQVFEPGHWWLNIVCAQRDGIVGAPLEKATSGRYGITALPL LTGREELISRRAHVIKYIREGGPMDMHIPLISQVGQQIRVLRGYRLKSVFAPQAGVRY DGLYIIRQYGTKMNETTSIHRLELTLERVAHQKAMQDLERFPKPSQLDDWRLYEKLEG DKIKLIEGDAKFFEWTVQRETEKQDREDYKRDCEFRASFS PFICI_05526 MAGKKGKSDSKSQSKGKADAAPAATKKKGAQAISARHILCEKFS QREEALAELRDGKDWKEVCVKYSTEKARSGGDLGGFKQKGSLQPEFEEVAFAMDTVDE HKAAVKGEKEPMKSYNYGLCKTVFGYHIILLEGKK PFICI_05527 MGGMLSKNDFPVKGRTVLITGGSRGMGRAAGRILAERGANVVIV ARDQKRLLEAIKHIQEGAENSETQRFHHISADLSSPSESVRVIDEVATWNSGNPPDIV WCCAGTSHPGLFVDTPISAFREQMDGNYFSSLYMAHAAVNCWIKGAREGVDGPKETAS NGKAAKPARHIIFTASFLALYGIAGYGAYSPSKAALRSLCETLSQEMNLYTAAHPNEA PIRAHTIFPATILTESYEAENLIKPDVTKMLEEDDKGQTPEVVALESIKGLERGLELI TTDLMTGLVKGSMLGGSTRGGPGRILIDWFLAWLMGIVMVIVRSDMDKKVRDWGRKFG SASKTTASKQT PFICI_05528 MLRVQSLQTQVDSKFEDISIQPGWRVIVMHVLGAEFIEVLYVWN HPHHDGTSGKFFHQHLARNLNESLAQDKESVLETTKGSDSLILDLSDPSDKLAPNPEL LSWWLVAPKFLFKALWKELKPPSIFPPEDTYATWAPIKHKPFTTRFRTFSVSHEVATN LVRACRLHHTTVTGLVQALALVSLARSLGDMKGFASRTPYDLRHILPPNPPRYPWLQP KETMCNYVSVVDHEFDAKLVASIRSKRPPRTDENASLPSEIMDTVWSVAARVRQEIRA RLDSGLFNDSIGIMKFVSDWRAQQQGEMQKARYFSWLVTNLGVLDRNVGRAQEQEEGW SLRKAELVLSTEILSAAISVIIMTVKDEQMCVTCSWQDCVVEADIGERLLGDLERWIN EIGS PFICI_05529 MSDVEEHNAPEAPEEVEVSADASKGQMSVLDALKGVLKLSLMHD GLARGLREASKALDRRQAHMCVLNESCEEEAYKKLVIALCSEHKIPLIKVPDGKQLGE WAGLCVLDREGNARKVVNCSCVVVKDWGEESTERSILLNYFQTEQ PFICI_05530 MVKTSVLHDALNAINNAEKAGKRQVLIRPSSKVIVKFLTVMQRH GYIGEFEEVDDHRSGKIVVQLNGRLNKTGVISPRYNVQLRDLEKWVVKLLPARQFGYV ILTTSAGIMDHEEARRKHVAGKIIGFFY PFICI_05531 MRPKQLVLTGGFLFFIICVFSLLRFSPSGTPIPHAGSFTSFTGG HDDGSMTNPASSPPGPDDPKTKPAPAGSHPMWHLITAAERELEDTKRRQSKTLQEAVA EYRRRYKIPPPPNFDKWFAFAQAKGVQLIDEFDMIHQSLTPFWGLKPETIRQRGKEAL GFDNALLGISIRGGKATHVQGGGDWQREATVGMMGKFIEWLPNMDLCFNLHDEPRVIV PHDDLARLVNRGLDVNMPKAFLNKNPKNEFTKAKSGLTTGHGFEEQKQTRFNVFAHQP TWTHSRLSCPPDSPARGLEDDEKRDDVSKYGLGELGFVYNTTAMSDICNSPSLSSTFG FFDRPNAYNIVLDLFPIFSQSKMSSYSDILYPSPWYWYEKVSYDEKQDYPWLKKEDKL YWRGSTTGGFSRAGGWRRQHRQRFVQKINAAEGAKILVNKGTEADQQWEPKHVNRGDY RDLMDVYFSGVGQCDPGDCDAQKEFFTIKGHADQYDAWQYKYLLDIDGNAFSGRFYAF LRSRSLVFKWAIFKEWHLEWLKPWAHYIPLSLQGDDWLEAVRFFGDGDLGRKEAERLA NQQRDWANKVLRHEDMEVWFFRLLLEYGRVIDDGRDHIGFSLGSVDASA PFICI_05532 MGRSTRSFAFFGLLGLASAVGCPFADPGSLLARDDVSSDDFLAS FELDDSTGYMTDDVGGQISEQNTLKAGSRGPSLLEDYIFRQKITHFDHERVPERAVHA RGAGAHGTFTSYGDWSNITAASFLSEAGKQTPVFTRFSTVAGSRGSADTARDVHGFAV RFYTDEGNFDIVGNNIPVFFIQDAIQFPDLIHSVKPSPDNEIPQAATAHDSAWDFFSQ QASTLHTLFWAMAGYGIPRSFRHMDGFGIHTFRLVTDDGTSKLVKWHWKSKQGKASLV WEEAQILAGKNADMHRQDLWDAIESGNGPEWELGVQIVDEEKALAYGFDMLDPTKILP EEYVPVQLLGVMKLDTNPTNYFAETEQVMFQPGHIVRGIDFTEDPLLQGRIFSYLDTQ LNRHGGPNFEQLPINRPRAAIHNNNRDGAGQNFIHKNKIHYSPNTLNGGYPQQADQKN GRGFFTAPSRTVTGNLVRALSSTFDDHWSQPRLFYNSLTPVEQQFLINAIRFETSKLS SSTVQQNVIDQLNRISNDIAGRVATALGLDTPTPDDTYYHDNVTAGISITNSTLPTIA TLQVGILGTTGSLTQATSLRQALEAEGLVVTVVAESLAAGVNKTYSAADATDFDAIVI DAAADQAGLFNSTAASSLFPTGRPAQIAQMGYLFGKPIGYLGGNGTVSSTITSSGITE SEDGVYFQSEMNTLVEDLKDGLATFKFTGRFAMDS PFICI_05533 MMKPSTVRRYGKQQHKKSKGDRLFAELPQTPNRLATTIRKTAVA ATKTNVTIEDITEQVTALDIQNENSISETVQSAEVKTPVVASRRRTTKRPKTPVQVQE PTPEPDEPVNEQQTERQPSTPEPEVDASGLRVLSWPEICPPGDRIVKIAEASYAEVYR VNNERGTSIIKVIRMVSPIKPQTKAQEKSRLVDEQPHSESDLVGELKISEWLADIPGF VMYKERYIVQGKACRELLETHQAFHKRAKRQDPGRLQFYPSPSRYLDDTKFLVVELGD AGVALEDFQLHSADQIWDIFFHVAIALARAEAQIEFEHRDLHEGNLCIRQVGHHTPPE ARDTSSYFGHSGLEITILDYGLSRARENPELEDSRPVAYDLERDMSLFTSEHAPQCRV YRQMRSFMLRGDRICLPPNSHKNAYAEGVDGPISWIQHEPYTNVLWLAYIYDYIVSNF QGPKKELNAFKRITKEFWTHLDPEADESIPAFPSASDIVMFAVESGWIDEDQLVGGRS MIEKSILSILAIDDRTRESGVLEAQSPLVRRSPRKSQPRHLVDYS PFICI_05534 MAQIFFTAGYGHKVSSQRTYQAADPIIDWILDYVKPFMHCVMGF LTAWIFRIVAALDILWAIPETRMLLTVVMVLWFIHLAIIVSARVMALFIRIFLVLSTV LFLACAWHFFSASAAMALAAVLLFIRSIVKLIFVL PFICI_05535 MGSLNFGQVADSRSESDNVAPLPPTDVLLCVRTGKIQPLANVKY MSAIDKQPHQGKIRVTATGLVGDEVQYEEHGGTEKALHMYCASHYAAWNRELPNREHL FKIGGFGENLSVYRLNEDNVCVGDIFKAGPEVVLQVSDARQPCFKLNYRFEHKKTSFL AQTSGRTGWYYRVLKTGYIQEGDAFELIERANPTWSLSRLQKYLYHETDNLEVMETII RLPGLGDEMVNVFTNRISKGAENFDGRLKGDDIPADWRTYQLKEKVDLTPRVKKFIFE VDDGSTADDVENTKLGRFPHVRLHFGPDLSFSRAYSLVSGNMQRLELGIAKDDNSRGG SVFLHDNLHVGDALRIVQGRDIASLQTNCIDNLNSKKHIYIIGGIGVTAFLRDIAKLS QTSADIEVHYAVRSRKEAAYLNLLPAKSTTIYARDEGNRLNVKSIVPSPEHGQFSAMI YCCGPTSLLDECQKLTKKLRYPRSHVHFEAFGGATTGTGNPFEVEIKSTGKVLQVPQE KSLLQILNEAGLDVEWSCTVGTCGTCMVDYCKGDIEHRGMVLDDEEKQKKMLACVSRG KGRVVIDC PFICI_05536 MEVTQLIIPTSPGSRGLSTTTTLHRMSDPKERFYRHFQTEITSI QDQIEDLALLSPINGERQDCIDTILAGISRLSNEVADARDFVPAYDQRAYSLALKGLT EKLNEATGKFARKSRFQFKPKSGGHQPVDGATKEARPRQDSRHLVHAGFTGRDATILE SSTSAIKDDEESDAVGNLPTFGGKNYNEEISRPVLGNNIRKPSFSSAKTISIYSQTGL HIMLPSSASRATSSGSLTDLDRCIVDMTIPTAASSGTPFAGLTIKNIKQSLLICGTVA GPAHITGIKNSVIVVAARQVRIHECNNVSFYLRCGSHPIIEDCSDVRFAPLPDVYAES AENSGQDQWDQVDDFKWLKNEPSPNWSIIAEDKRLPANVWAKDVLSNPSLSTDDILRK FGVPGL PFICI_05537 MADQEPTTPLALPPGLSPDQLDIMTELSSLLARLRAPVTLPGTS TTGQTPAAPTPSQQPSGSQSQSQSQPLPPPPPSSSSAAAAAAAAAATQSQGSSSNSTA VGDISLRDFPASTDHLRLKLQEAKAVVLALPDMDKSVAQQEAEIRQLEDRIRRQHEQL AALREKGNAFATTDRMEE PFICI_05538 MPKQTDQKACLSTLSIPPQSAPPSSPDTPGPEPPAKDAVLGTTD RAIAAGDGGSGHQVVIDGWGIPSKDSPANGDAITNAKTPVMDELYKSATGFTELEASS LAVGLPEGLMGNSEVGHLNIGAGRVVWQDVVRIDQTIKKGELAQNEVIKKTIEAAKNG NGRLHLCGLVSHGGVHSKQEHLYALLKAAKEAGIPKVYIHFFGDGRDTDPKSGAGYME ELVAKTKEIGIGEIATVVGRYYAMDRDKRWERVELALKGMVLGEGEESTDPVQTVKQR YETGENDEFLKPIIVGGKEARIQDGDEVFFFNYRSDRVRQITQLLGDVDRSPRPDFPY PKAHLVTMTQYKLDYPFEIAFKPQHMGNVLAEWLGKQDVEQVHIAETEKYAHVTFFFN GGVEKAFALETRDESQDLVPSNKSVATYDKAPEMSAAGVGKQVVKRLAEQKFPFVMNN FAPPDMVGHTGVYEAAVVGVEATDKAIGEILEGCKKEGYILFITADHGNAEEMKFPDG KPKTSHTTNKVPLIMANAPEGWSLKKGDEGVLGDVAPTVLAAMGLPQPEEMTGQSLLV KA PFICI_05539 MDGEDPKERPSEISTIISGLERYNPEAVPFLENYLKEQCENKFI DGNANRTLLKLYQLNPDRIKDDVVTNILAKSLTNFPSPQFSLALHIIPPSILAPNSRA DLSEAIGHLRELNNQLEGAQYARFWDTIDSDDLYADLIADVTGFEELIRIRIATLVSH AYREIDLNVLSSWLGLNDAKAKTFITETAGWKVEGELVKIPRNAENEAKKTEVREDVN VDMFSRVVRRAWEETA PFICI_05540 MAPMASSTAVETETLTVKARGAQQSIEPVYPDVNTIRKAIPAYC FQPSVVRSLGYVARDLTLAAGLGYAAITYIPQIENFYARAAAWAAYGFAQGLVGTGIW ILAHECGHGAFSLHRRLNSFVGWVLHSLLMVPFFSWKFSHARHHMYTGHMEKDMAFVP ATKENYERKMASLSWLGRLGIDAEMFDETPIVTLAKLMAHQLFAWQGYLLFNISAGPQ SLQRKNSWWRLSHFEPTSGVFRPQEALYIFFSDVGLALVGYAVYVLGQHVGAMNAFLL YGVPYFWVHHWLIAITYLHHNHEDVKHFDAEGWTFVKGALATIDRDMGWIDRHLFHGI IGTHVVHHLFSRIPFYYAEDATEAIKPMLGDLYHKDERSFYGQLWSVFSNCKYVEKEP KMPGVMRWHKN PFICI_05541 MITPADVSATSSLDRETISGSSDRVGASPMQNDQSTSIADSHGV TNAYSPEDRQVSPVQNSAQDPAQDVATGSATINSSAFSLHQAIKNHDMESLNRLLNQG VDVNSTNGDYERPLYYACEVGLQEAVEPLLEAGADAEARSINYVSSPHPTALFLTVEK SWIQLTELLLKSGADINATNLKHSTVLSMAIHKRDVNMIRLLLRYGADKNLQNLDGET SIDLARGSQDISSLFERSQGPPTTTAELQEACRVPIHRVRPPTYNRDKMIACHNFQAA LVEFHVDKFEERKTEIMSVYELLYGHKPSAKPSEEGTNHRVFRWYHLPANNMEWVEAT GLGGTTGVRIESLQISLTGDEKVSKQIVAFAPYLHFEDQPKFAARTDELRGILSSLAE VKTRMVHPSRRRDYNSSRPYERLDPDDPSNWRAAESLHIHLLKGYLTPEMKNDVPRLH IRRTLDQYLYTHLDTQERDKDQVVSRWMARSNNPSISKVPKMFMVDQLWLWIINEEDT VITCASEDMNSLSAPLAPIEPARSPLVNNERNHASESLQRAQETAVPSILTMIRNRTR DQRGRELTRTAPEFLPASPGPSINHLSSVEDVSGPRAEVKNSESPVITGHTPLDVRQK ILRYLEKVDRAPIETPYQLASIITRLSMSVFDHHEVPAEFQFFDFFEQSIGVVVTHLL RLFKDRATNDKGDNLQGHIDIQEEANLLVEIEDIHDELMILEKILEDQKSFMQDFGMT LTDATTSDDGNDHISNSLPVEDHFIIENHVQRVDSMLKSTEKTKNTASRLLLSLLDLK QKQASIFQAMASVEYSRQQANQARETAIQGRTLMLFTVVTILFLPLSFMSAFFAIEID VFPVNDNGKLQLDYILKYMGKWWSVTPLCCHN PFICI_05542 MENWDPINIPGELGGTARSKTDWWDGTSLITNTESCSEETVPEE TVPEETVPEETVPEETVPEETVPEETVPEETVPEETVPEEAYPEETVPEEAYPEETVL GETVPEKTVSNVVAPGLMRRVWRNSL PFICI_05543 MAGKSLLDVKYDELPDPTKVWLGSPKSREEGLGRLALLTNDQVR KAATEQIRTGRRVGMNWELTKMETPGLGRQPCEHSIVPLLSGLCFDDIYHFNPQQSSQ WDGLRHFGMKVKTDANDEQHSEEKRLFYGGTTESEILEKGNDRIGIQHWAREGITGRG VLIDYASWADSKGLEYTTFSQHTIKLDDVLEIAQTSNIVFERGDILLIRSGFTREWEG MSSDAKKAYSTSQHPQHAGIEATTDVLRWLWDSGFSAVAGDAISFEVFPPTGDLMLHE HILAGWGMPIGEMFDLEELSRVCKEVGRWTFFLTSMPLNMPGGVSSPPNAQAIF PFICI_05544 MHSLLLLAAAAVPALADTHYFFAGSFAGTTIVGVEFDDAASTLT LVNNITTSASGGSKWINIDAAKENLYVGTTGSFQSYAVTADLGLTYTSAVNLSSDCSN ANFITSSTSSPFTVFATAYGGGCPSQAISVDDTGALVAAFANATYDSAGGVHGTALSP NNDFLYSADDMGNAVWVHSYDAETGAVEEVQYLAAASDSDPRHVTVHPNGQWVYVVYE AANSVAAYKRDNTTGELTFDNTTYSLLPTGFTNSSSYWADEVLISIPASNSSATSPKY LLAATRSRTTGISGYVSAFSLDSETGAITEQLFLTPTTNSGGSANAVSPAPFSEDYFA ITDSGSNFVEVWKIDASDDATTAAPVAHLDLASGPANVQWVN PFICI_05545 MYSPQLLVATLIAASAALTGAISLQPRQSSLACNLARFQIVKAI SDTKSAVADIQDPTVQSAAAAGVKTAQGGVAQVASSLFSGAAPSADGRDAVESGLNAT SAALAGGDA PFICI_05546 MPSARAMEISGAPDPGYIPPSDAAHDNTWYNQDFDGYRISEHPL FEKRRLRVVCVGAGATGLQLAYKAERALEEVDLQIYEKNADVGGTWLENRYPGCACDI PSHAYHFTWARNPDWTSYYSSSEEIWRYFKDVAVRFDLEKYVKLNTSVRSATWDEYEG KWHLEISSSNGSDFIDSCDILISASGILNSWKYPNIPGLDEFGGKLMHSAQWDESYVF DDNVRVAVIGGGSSGVQIIPSLQPKVQKLVAFLRSPVWVTTGFGAKHAAPGGTNFDYS EEQKGAFREDQSLHLKYCRDVEGELNKRFNLMHLHSEDQRSSRKLIADIMADKINDEA LTKRMVPDFALGCRRMTPGSGYLESLTKPNVEVVHESVVRLTRTGVVDAAGVEHEVDV VVCATGFDTSFAPHFKVTGRHNADIARQLGDFPRGYLGMAVASTPHISLMLGPNSPVS HGSILPIMEWATRYIFQIITKMQTENIKAVEPKSKAVKEYYNHTHELMKRLGWSSPCR SWFKNGKIHGPVTAIYPGSRLHWFEMLKNVRWEDYEIDYITENRFQFMGNGFSQTECD PAGDPVWYFDDPFTKI PFICI_05547 MSTAYHPHNPALSSTYNSHVAMARQPAGLIQTTRAGAAGLNDAV AQITRSQPQQQSQMQQLQPEYQSHSQQPHYSSNVNSVTSLSFTDSSRHSTRNSTPSST VTATSARSQFEGTISRRESTLVMHSLRLPSCISPNGGNLDDFASLMTCFFWFENMDVI HSAEKIKERPLNSPVPALSSLTRPGINYKKWVNSILTTTQVTQNVILLALLFVYRLKS RNAKVNGSQGSEYRLLTVALMLGNKFLDDNTYTNKTWAEVSGIAVKEIHVMEVEFLSN MRYGLLVSKEQWEDWLKKLACFHEYCERAEQTEKAAMAQRQRAEAAQLNMSPSHRGFS SPLPSPTTMLPSSMQPSPATLAAYSPNAAVYNTNPTPNWSYQSTPAMSPLAAKPTLGF PTNGRKRSLENAEVAEPAPKRNTRPTPTTSVPASRQLSGAPESGRLSVPHLTLDTSQV IPPSVPYQATPNYPQAQAPVSLPPIGTGMRAMSTVYPHATTWASQGPILATCGPQTPA FTAPVHFGTPTKRHSPGSLAVYNSSPLVESFAATHTPISNSPLAYLQQRNSPYKPVRP VNTLNYPPPSIPLSEYHLGSAQMHYQPLGRRNVRTGIVPEFLPSVGGGRPTPLSMSQA PPSHYPA PFICI_05548 MPPPATSSEPSITIEQPMINSIPPELAARFDPVFLEYYNRYNAG RLASHQVPIEEYRRDPLRYTIAYGREIVDPGHLTITEEKCPVEGGDIAVRIFQPPPPP PQYDEQQQQPPPRPVYINFHGGGWVFGNLGNDEDFCKRLALETGCVAFDVDYRLAPEF KFPIPVDDCWTALKWVRDEKAAQFNLDLCRVAIGGASAGGHLAAVVAHMCRDEGIPLV LQLLGVPVCDLHVFTPTGELRADCPYESQHEMRHTQPLSVERMSYFHRHFLGNPRPAE LENSWKVSPIKAPNFSGLAPALILSAEMDPLRDEGRVYAQKMNDAGSKAEVILVKGVP HNFMALDAILEGGRLYNRESIRALREVYGQI PFICI_05549 MPVVSPEKLVGLQSKSDDVRNICILAHVDHGKTSLTDALIATNG IISPKMAGKIRYLDSRPDEQLRGITMESSAISLYFSMLRRSAPDAAPVPKEYLINLID SPGHIDFSSEVSTASRLCDGAIVLVDAVEGVCSQTVTVLRQSWEEKLKPLLVINKMDR LVTELKMTPGEAYIHLSKLLEQVNAVLGSFFQGERMEEDLNWREQMDQRVAAAAAKEA ELASQVTESDELQFQERDDEEIYFAPEKNNVIFSSAIDGWAFTVRQFASLYEKKLGIK RNIMEKVLWGDFYLDPKTKKVLGRKHLKGRNLKPMFVQLVLEQVWAVYAATTGGDNGK GDPALLEKITKSLNITVPPHIARSRDPRLLQNAVFASWLPLSTALLVSVIESLPSPRV AQAERLPELLEDSPGSSHIDSNIKEAMIQFKTAKSDPVVAYVSKMVSIPESELPQNKR KAGQLSPEEARELARKKRAELARAQQAEANDANDLTQALADVSLDDIVKEPEEKEIDP EHLIGFARMYSGTLSVGDELYVLPPKFTPANPHASPEPKKVTVTALYMLMGRNLESLD SVPAGVVFGIGGLEGHILKSGTLCSQLEGSVNLAGVNMAGKPIVRVALEPAWPGDLDK MIHGLKLLVQSDPCAEYERFGSGEHVLLTAGELHLERCLTDLKERFARCDIQAGEPIV PYRETTVRAEEMKPPANKELGRGAVVGVTNSKQVSITLRVRPLPQNVTTFLLKNSGAI KKLYSEHIVQDEDEANNGEEGQVVIEADSDVLEGKSLSVEDLKKQLQEALEKGKGREM WKGTVDQIAGFGPRRTGPNLLLDATQAGCLAKVFGAADAGEAPAVSEKLSAAHLRDKI SYAFQLATNQGPLCNEPVQGIAVIVEDVTIIPTEDETSARDSLGRLTGEVIKTVQNSI RQGFLDWSPRLMLAMYSCEIQASTEVLGRVYDVLTRRRGRVIAESMKEGTPFFTISSV LPVAESFGFADEMRKRTSGAAQPQLIFTGYEILDQDPFWQPFTEDDLEDLGELADKEN VAKRYMDGVRKKKGLLVEGRAARSEGSKQKTLKR PFICI_05550 MSAFQNGERPIRSMEDDSDVEEEALVNDYKEQVQYEDGMGEELE RVSSLTMAQQADDIQSRLVAAAQPLDFSAPLEVKFQSYDSYCNLFHFILNSEGPVDLE PPSYYWAWDVIDEFIYQFNSFSSYRMRLARQPRDNEEERQILKENPNTWGCYSVLNVL YSLIQRSQIVEQLAAMKRNEDPKAVAGEYGDKNLYKMLGYFSIIGLLRVHCLLGDFSL ALKTLDDIELNKKAMFARVMAAHFTTYYYVGFSYMMMHRYADAIRMFSHILVYVSRTK NFQKNAQYDSITKKNDQMYALIAICVAFHPTRLDDTIHTALREKYGDQLLKLQRGGPE SLPIFEELFRTACPKFISPVPPDFENPEANVDPVEHHLSIFMDEVKTNMWNPTVRSYL RLYTTMDLKKLAGFLEVEPEELRSYLLVNKQRTKQLRWADQGLLDGEWVNVSDLDYAL QGDLIHISEAKVGRKLVDWYLRNLSRTYN PFICI_05551 MAASKASLPGTPDSSVSPRSQASPKQEGYFPAGQKQATSTGRDG PKLPLRTTHGARIVPVTAGEKFPDLLDRAKDYEPANDSDASATTPGDTAPSPGSISEP PSRKASISSISFRQPSNPLLPQGVKKKPLDASRRRAASPPHRR PFICI_05552 MATETLTRSREQHRFQSHVAFDNIPLGESTDYNPIAFTLNAKHD GYQSSRRSRTFMVGVDDNTYSDHALQWLLDELVDDGDEVVCVRVIETAMRLTNTAYQE AAQKLMAGVQAKNKKNLAISLVLEYAVGKLHNTFQHLIKIYQPSMLIVGTKGRSLDSV SNAFFNRSSFSKYCLQYSPVPVVVVRPTEKREKKRLKRAQDPARQSYLQMLPGQKHEA DSENSSIYELEPNLSAAEEAHRVAVANGLPAKYDPTLKPLDTDAILRHASRSRRSLAM EPPTAEAVALAESGRLPAEPDMNGDDTESDEDDDEFEVTTGEQALKKERLHKMESNEG AVLKEMIQRKPSDESIEEESDEGDGGAKTATQ PFICI_05553 MTKTRDINVLITGMGPYPDGRGGRINPNTSHVVTTVLPKTLEPN TPRNPSAARIHITTLPEPVKTEYGHVRAFCRDLHARHAAADDDVDLFIHLGEARGWTW VTVERAAYGQGMSSSWWALWDRDAYYTIPDDAGQTIRDVGPSPWAGRVPLGLHPSFNV DGVCEGATALLDTLYRFGSGGSSSSRPGNVDAGNASDTFSSSSSHVQGMDNGKTVSTT NKPIEIRPHAEGGPYLCGFINYESLANRYVLNLKPNVLFCHVPGESDPTSLRRTADGL LAIVVAAVAQLQRTTTRE PFICI_05554 MKSVSAAARPLQLAVSRRARPSLVGKSSFRAAAAAEETPRRRFI ATQTSQPQGHQQHPDPPPAIGFAPLPNRSLIAIAGVDAPKFLQGLVTASIYKQPAARP DEPRDEGFYTAFLTAQGRVLHDVFIHPNPQFHGLSDAVKSKGLAPNHSFLVEVSRSQV DALFALIRRYKLRSSFVHRKVELDEASVYSYWNEPFSTEDKGSGMPDDLSRNAAEAYI THDSRAPDLGWRVITSGKSELQVDAEQCPRKTYTVRRFLRGVAEGPGEIIPDKTLPLD ANIDLMGGVDFRKGCYVGQELTIRTKHRGVVRKRILPCVVYGDGESRPEELEYKPTAP GRLGAESVPSKTDIAPVSTTKKRSTGHWINGIGNIGLAMCRLQPMTDIELPGESAESP SPYDPSNEFILKWETEGGQQLKIQPFVPDWIREKIAQSAHSRPAQST PFICI_05555 MSITQTYMMAHKARAKLSREAAQPDHNLRLLVGHANLLDSLMLD LAEAEREQETWFNQSVRGASAKGEDRHVQWADAIPEHTDEDWEADSSDSDSDDEDYDD EDEDIDMADVVPLRRIPSNTIVPPSPQMELMDEDDFDASEDDEEEDYDSLTLRRSPSH TASPPELDHDSDSSEDESMPPSPPFANLPTFSTKQRETTVTTAELYGDSKDDDEDEED NKADFYSQGFYLPPRRNPARLISAISVY PFICI_05556 MPSRTSGAKKSRPAHTEELEVVSLASQSEASSDDEGSSEGDDAS DSDALDSDAPRKKRKVSVEIEVEPEDDEPYETSVISSIQAPSRIGKKRAPAAPEASQP VKPMAMNIPTATSVPVDPKVTFESLSLRPWLVQSLSNMAIKRPTGIQKACIPEILAGR DCIGGSRTGSGKTVAFAAPILQKLAEDPSAIFAVILTATSRELALQIMEQVKAISSAQ TVKAILVTGGADMRAQAIAIAQRPHVIVATPGRLADHIRSSGEDTWCGLRRVKFLVLD EADRLLSEGPGSMLPDIEQCLRVLPPASERQTLLFTATMTPEVRALENLPRKPGKPAP FLCEVDTQVLAIPATLNQMYLQVPVTHKEHYLHELLLTDANAEKSIILFCNRTSTAEY LHHLLRLLDHRVTSLHSKLPQRQRIDNLGRFRASAARILVATDVAARGLDIPEVSLVI NYDLPREPDDYIHRVGRTARAGRKGDAVSFVGQRDVDLVHAIETRVGRDLVAWEEEGV NLETRVVRDALKLVSEKKREALLEIEENKEVGGKRKRGMQKLRAE PFICI_05557 MKAPIPSLETPPPAPPIVTPANPSPVPMMNSPAPLLRPAIGGGR ASGARTPRLGLAIPPSPNVKAVGGAAAGGRPQLPTLHLATPMGSTVVPCEQQPRQISI QPGQSASGGSESSAAHSRSGSFGPLDGRASNPTSAGSQFSALSFASQYGIGSRPQGTP DPISAAVSLYSEKSEGGVGMERDGSMQGLENSFDKLALEKARTADVEDLDDEGWRIAS VEKRIVELGGLGEGAGGAVTRCKLTGGNTVFALKVITTNPDPDIKRQIVREINFNKSC ASDHICRYYGAFVDPATATISIAMEFCEGGSLDSIYKEVKRLGGRTGEKVLGKIAEGV LGGLTYLHSKKIIHRDIKPSNILLCRNGAVKLCDFGVSGDFGTKGEANTFIGTSYYMA PERITGQSYTITSDVWSTGVTLLEVAQHRFPFPADGTEMQPKAGLIDLLTYIVRQEIP KLKDEPDSNIYWSDSFKYFIECCLEKEPSRRATPWRMLEHPWMVEMKTKRVNMEKYLS QVWGWDEATKAA PFICI_05558 MAVTELEKPSPCEDRGAAVPTFVRDDLYEERDTGGAGIAVFATS CIASGTRLFCEQPLIAVPGEATQLGVFRAVAALPGPDQQAYWELAACSKPSKDLEWIT ELRQSSGEDESFNALVEAHERAWSIYETNRFNMRFPNGDRKFGVFYKAARLNHSCSPN VFHRYNPLINRLTIHALRDIQPGEELNTSYIDICHPTATRRQILKDWGFKCQCSACES SDSQRDLLSKRIEDVMVKLRKREDKVKSRPHPWEAKEYSRSMALIEKGLRLMEEHGMS ETDTSGYLLSLAATYGARTGRHAEATEWAEKLVDVEMKCLGDDSNEYRAAVELGRRIS EGSWSPF PFICI_05559 MKSLVIASIIAHSTTFISAVSASVHDAECCHALHASALGSNVYF PKSSSYDDRLDSYWSRSAALAPTCMVLPTCTEDVAHIIRTIVANNCSFGVRSGGHGSF AYSNSVDEGITIDFGFINKTTYNSDTKIASVPPGSQWQQVYETLAPYGVTVTGGRAGT VGVAGFLTGGGNSFHSASHGFGCDNVHNFEVVLADGSIVDANAEAHADLWQGLKGGSG NLGLVTRFDMYVIDFPDPGVTDIWGGVVGYDLGATDAVIDAYIDFADNVYKDQNSSTL PYLAYVPEAGGMILNVALDNTINAAFPPAFDGFLSVPGITATSLRSAPMQEITAELGL AQPYGFRHLWFSSGYKNDARIMRYIVQKHKEIVARLVPDYPADSGFNTLCLFQPITQT LVGHSAAKGGNVLGLEDRVKDGNGVMFLLGIGIHSAEDEAKLWPIFREWFDDVDSYAT SIGANWNWHYLNYANLAQDSLASYGKASVETIRRVSEKYDPGQVFQKLRKSGFKIPAE VHEGTYGYQGANIEDYSSIDQKVLG PFICI_05560 MPLIILAGFPTSGKSTRARQLHKYLEERIQSQSQQSSSGGGSSS SAPPKYRLHLISDQSLSISRSVYDLSTLPAHVRSANASEKDARATIYAAVKRVLSDKD IVILDGLNYIKGWRYQLHCEAKAVRTPSCILQIGSGKDKAQKVNEERLQRQKDREAAG GEPAGDDEAEAPYSPENWENLVFRYEEPNPMTRWDSPLFTLVWDDDEAQTKQVFDGLW DAIAGEGRKIIKPNQATIQRSRDAGGDYLYILDRETQDIVKRILDQQSDEGGDEIVVP KGSGSGDETLSIELPAGKKVGLPQLQRLRRAYMGLNRGGIGLETVGNLSGPSRIRESF VRYLNDSFEADG PFICI_05561 MAVVMEMIAIAILEGFGLTLNKVTKVENRQSRSKLLGSRNRGSN QYEMSERAERAPGADGH PFICI_05562 MTLTESNKVSIAEIAIYVPALFVAIFLCIRHGFGRSSGWLYLII FSLARIIGPSMQLATISQPDNISLYIGSAVLSSVGLSPLILVELGLLSRALTSIRKSV KTTVNERMIRLVQLVVLVGLILTSVGGSQAGSNYADTGVYTMPSLSKVGLGLMIAGFV LTVLAAVQVFLQISHAELGEKRLALAVGLSLPFVLVRLAYAAATMYSGNPKFNQL PFICI_05563 MLPITASWLLAISAAGLASATKKSAGCGSDNEIPDLGERITYDL KANESRSYMFWLPEQYDGETPLPVIISYHGVRQSPAKEANLDWFTDPAYNTGQIVVYP QAVEAPGTNEVMWQGPKQATQDDVTFTLQILDEMEELFCIDTDRVYASGKSEGAGFVG ILACNSTSNQRFAAYAPVSGAFYPGNNDESTKGCEKEVPIPCDPQRCNIPFLEFHGEA DFLAPYDGGLQRHECLPNIPDYMQEWADRNELPSEPVETYNLTDVAVVYKWREDGLVT HVYDGPKVGHDWPWTVENPDTVRAHSKPCTFNATSYIFEWFANFTLSDSTGCSNSTDE D PFICI_05564 MGKGTDKLYITHSEWSSSDGFSASTGAQARAGAGSFKRLPFNFC AASLQPFKNPVCTADGTIFDLEVISKWLDSHDTNPVDGKPLQLKDLFKLNFARNGDFD ASRTDGDAQGDLIDPVTFKVFTDNTHIVAIRHGTYANVFAWETVDRMNIKAKMWRDLV DDKEFGRSDIITLQDPQNAASRDLSQFKYLKDGEDALLTKQQEEERKEGNVNISALGR VGDKVLRAKEAVEKARREREAGGDVNRSKALAKATGTNVPRQSMIQDKKRADNSAVYT TGRAAASFTSTGLTPETSGERAIMTEEEYMLKPKRIKHKGYARMETNLGDLNIELHPE YAPKAVWNFVRLAQTGYYRGISFHRNIRNFMIQGGDPTGTGRGGESIWKKNFADEFQG PLTHDSRGMLSMANKGKNTNSSQFFITYRPVKHLDQKHTIFGKVVGGLDVLKKMEDVP TDGSDRPLNKIVIKDIVVYLDPFEEFQKAKNDRERKEEERKNIALQGGTEDDKTTWTG KRLRQDGTVDQANSAGNVGKYLQDALKRQSGTGKVVEDEIVEEDVPDTWDEPVRKKAK SGGFGNFDAW PFICI_05565 MKWWTSSLLLLSSSLWAAHALEVQLDQTAEGRQKCNGMYSKQAW GGPEDPFISIVFPKTDIPDNQDPIVSVVVFEWKDQSLVGKQVDPNSYERELICTNDAV TMGYCDESDLGEFILAPNATEQSNNLVLTQAMHLKSSAPIKYMIKKTGYYCVGAKGFN TEEFMGIIEFREAYGELPATQIPKLPFYGGITILYAVVVVFWGFLYYQHRYDILPVQN YITAILVFLVVEMLMTWGFYDYLNSNGSNVGARVLLIIVAVLNAFRNSFSFFLLLIVC MGYGVVKPSLGKTMTYVRYLAIAHFIFGLVYAITSLLVSPDTASPFVLLIVLPLAGTL TAFYVWTLNSLNFTLKDLRERKQTVKEAMYKKLWWCILISIMVIFGFFFFNSFSFASA TDPDYVPFHWKSRWFILDGWLNLVYFADVAWVAYVWRPTANNRRFAMSDEIAQDEDGG FEFADVGNPDDSDDDEEAIIGTKPPAPRQPISSSYAQPSSTQQREGNARAESPRDSFD DGETIFAVGEDGDKFSDDEDDEERSKLVPGKK PFICI_05566 MAAQVSHDVQPPAGAPNGDRQHRESFLVRLPSRSISKTDAANGT SQHPFTPMQNGSATPFGSSNPTTPLYEVIHAHDPTARPSMLERQPTSSVENLVSMEDR QQAIAKLGIHDTSVEAVMPVLPGQEFHLASWLTSGRTLAEPTWAFRTKVPVDEHRLRG AWAVLRRRHPIMRSTLVAVRPDEAFTVVLRQSSGASNYATFSKAKHREGTLEEQVKKE LKKIANQPSSLKTPPTRLTLVQGDVQEGDAVLITIHHAACDTRSMGLLVHELTELYQG KPVVSKAPSFRNFVKETLFTHDRDAEEEFWKDSLRDCEETIVKPETDGEGNPLKKNEI VVTGTKTKTSELETAATIAGVTPPTVIYLAFSHILAEKTGAKRPVFGCFHGGRQSLDN VDRIEDLVGPCSTMLPTTIPEDVDVSHELNGSRSELIQSLQSVHEHLNAQVPYEQSRL RDVLRWAEVGESVPFNTYLNILWNTKLRGASQGASPATTPPVDDNQPWERMDLGMRTE YSAAAAIPGPTTVDVLDASSVMRKHNVFVDVGCDLEEVGGGVLEIRLSANEVLLTLPD LEALAHQIDNEVADLTECLLS PFICI_05567 MLEEKKPFHIIVAGGGLVGLNAAHIFQTLRTALAGSRDIQFTIL ESHSTITPYIGSLLALWPSTFRVYDQLGLTSALKPVLDETVTTVNFRADTGKIINRLT ELGPLMERRHGHGFRVCHRPKFAETLYETLSEETKGRVLLNKRVVDVETEADGVKVIC EDGTVVAGDILIGADGVRSRVRTCMQRLKAKAQSANAGGESGSSEEDGANAAPEKGAA SDDKEKSPYLGTFRMLFGNVPGGAIPDLPMGTNHEGASNGLSTQILTGTTQSWWAVYE QIPTPTHERKRYTEEDKQAFIEKYGDAYMAPGITLKQVLEYNSGDIGMISLEEGSVPS WTCGRVVLVGDAVRKVEPHAGAGFNSGLADIVTLANKLYALLNKKEEEDSSAAGPTTA ELERAFQDYERERLQLMPTVDKMCRRRARQVTWTSWKYRIYATWIVKHKPIVKLGIKY VLAPIFRDAPVLAWLPEHNLPKHEVKYKYHGSQGTQAAVPAIAEVEA PFICI_05568 MSGRAPPGGSSRQDDLLLDLDDQPTYSYNSGQRADDAPPRPSVS YDDFIGADSHTTHPSVKNPPAGASGLAPRPPYMAQNDRQYSQTSDLNNFQRYADDSDD YPEDTNSYYQNGGGTDARDNARSRNSRLALGGGFLGKAKKMMGMGQGYSEMDLPLTEN GGRGRGDSAPPAQQSKNKKFDLKSFKFGFGGGKPDPSTLGPRIIHLNNPPANAANKYV NNHISTAKYNVATFLPKFLFEQFSKFANVFFLFTAALQQIPDLSPTNKYTTIAPLIAV LIISAGKELVEDYRRKQADSALNNSKARVLRGSTFEDTKWVNVAVGDIIRVESEEPFP ADLVLLASSEPEGLCYIETANLDGETNLKIKQAIPETSALISTNELSRLGGRVRSEQP NSSLYTYEATLSMQAGGGEKELALTPEQLLLRGATLRNTPWVHGVVVFTGHETKLMRN ATATPIKRTKVERQLNILVLALIGLLLVLSAICTIGDLVFRSSRGDSIPYLELDELDS ASTVVTTIFKDLVTYWVLFSALVPISLFVTIEMVKYWHGILINDDLDIYYDKTDTPAN CKTSSLVEELGMVGYVFSDKTGTLTCNMMEFKQCTIAGIQYADEVPEDRRATVQDGVE VGIHDFKRLRENTKSHETSQAIQQFLSLLSTCHTVIPERDESQGGKIKYQAASPDEGA LVEGALELGYKFVARKPRSVLIEVDGKEYEYQLLAVCEFNSTRKRMSTIYRCPDGKIR CYTKGADTVILERLNDNNPHVDATLIHLEEYASEGLRTLCLAMREVPEQEFQEWYAVF DKAQTTVGGNRAEELDKAAELIEHDFYLLGATAIEDRLQDGVPETIHTLQQANIKVWV LTGDRQETAINIGMSSKLLSEDMTLLIVNEENREATRDNIQKKLDAIHNNAEGSIEMD TLALVIDGKSLTYALEKDLEKQFYDLAVMCKAVICCRVSPLQKSLVVKLVKKYTDEIT LAIGDGANDVSMIQAAHIGVGISGMEGLQAARSADFSIAQFRFLRKLTLVHGAWSYQR VSRAILFSFYKNITLYMTQFWYTFQNVFSGEVIYESWTLSFYNVFYTVLPPLVIGILD QFISARLLDRYPQLYSIGQENQFFKIRVFTNWIINAFYHSLILYIGSELFYYGDNILN DGTTAGHWVWGTALYGAVLATVLGKAGLITNNWTKYHVIAIPGSMALWIVFIAVYAYV APKLDISTEYAGLVPKLFTSPVFYLQLVVLPGVCLLRDFAWKYAKRMYRPQTYHHIQE IQKYNIQDYRPRMEQFQKAIRKVRQVQRMRKQRGYAFSQADESQTRVINAYDTTRGRG RYGEMPMASER PFICI_05569 MAAVQAKAGASGVDALKPDDPRVQHLDAQIGPYNYHYMLATPEN PVATILLVHGWPDLGMAWRYQVPYLQSLGLRVIVPDMLGYGRSSAPAPKEEYTLKKMS GHLKQLVELVVGKGEKIILGGHDWGAALVWRMAMWYPELISAIFTLNVPYTPPHPQLI PLEAVVQRVPSFKYQIQLASPVAEGIIDKSPERLRQFINGIYGGTGPNGEHIFSTDVG IIEENMDNVSEAKLMSQEMVDFYVREYSRNGFHGPTNWYRTRELNFEDEKPLAEIEGG FKFKIPAMVVMGEKDIALPPALADGMEKWFENGLKKEVAQGVGHWAMWQDPETINKHI GDFLKPVLDGKAKEKL PFICI_05570 MSKNEASSDAGNQSGQPLHPAWEGSIELPAEALSHFGQLPENQF TREYQTLKTKESGQSLPFSLPLQAAGNNVTDGAQWSTEELNPIIPHFADPELDRQSSG CSVVEEGSILGESGRTYHGYKDGTSGYLLPNDAAEQDRLDFQHAMMAHLWDGRLVLAP LPRAPRLALDVATGTGIWALEFARANPTSFVVGTDLSKIQPTPDVPNCLFERMDAEDD WLWTYKFDYIHVRMILAAIRDAKRLLQQAFDALSPGGWIELQDAGMDLRSEDGPQSDD RVATSYLRQWFDLLAKGAALHGIDPYKTEHYAEWLKEIGFVDVVEEKFKVACGPWPSD KKAKVVGQYMRVNYLSGMRGAGYKALRSTGMTPEEVEDFFTAARDEVKNGNIRGYTPW PFICI_05571 MAPVSLALKLAVALLMIISIIELSFVSATVGWLHRTASKGFHFI YDGSTYPLAGMPQNFLTDQGHTSNGAAGTAFVLIGLGGIISLWIRSRTPYQKRSFAIY IYYLWIALQIPALLLTVGALGYVFNVTNAREGQTINQPLAANLDGSKYPEGSWTPQNW FAAVSHLDLVSGRGDLLSHLHVMRGWQYNLIPFFLIQLAETVLAFLDFSKWHRNGGKM TGHSEV PFICI_05572 MLRSSSTPTAVVDDEESSFESDGSTLVEWDDNEYDADDEQSEVD SSDESDGDSSDESSIATVDYNNNGGWVALVDEDFVLSNWIDEAFKRCPP PFICI_05573 MTSSNIAYSATLSPTSESGLSSHHNLLSSSISSLSSSTLTRPTP NYISKTYRQASQLFLTRRLPEALSTIQPLVTPPPPEDGAGDAPEPAPIARASRSTRIK VWSLYLTVLNAVLELEPNEGKEAFGAQDWRALCTKVRDGGIWEEVVRNGYHGVEGDVD SDVVINLATLLLAHAHSQTLNQKRLESYLAASQEPNLDLAQRLEASQSPREHKRKGSH AKARRATSGADTPRDLNSRVKILELYTLHVLLRNNEWDYAREFISVSSVLDEERRDAF LQALQSLQEEQQEQERRDREEKQRQDDQLRQDLEEARRYRAENEARERKRIEEERARR EGSEIDYGIESTPSVGGSSKGRRTRAQSSALSKPKPPAKGKAVKSPTFSARASMVFAN LRAVLEQMGASLKTNPMMLMRFIAFLLGLIVMFGNQRIRERITRVLGTGWNKVKATAG MGVKVSYI PFICI_05574 MCRKQPGIAIGRLCDKCDGKCPVCDSYVRPTTLVRICDECSFGN YQNKCVVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQKKTNRGAAY PFICI_05575 MSQDPATATAASLDASPTTQKRKASSPGPVGNGGHERSVSPKRQ RRESESESIRNGANGRAATSPTTTDRRQSASIEEKRRGKRLFGGLLSTLSQTNKSSSQ QRKRHDVERRQQARVSQQKTEDDAHREARLAKLKATRQREQIAWEERVLRTKHDHVTN TARFLQTESEPKIYYLPWELTSRQEALIKDQIRDADELVEKETREFKQRKAQRLTALG VPPSKPDSEHVPVSEPAPVPAPDSGPESQTASADPTAEPPTSIPGKVDIELVSDHSTH RDNPTETADGHVTDHASKAGLHQERDNDDTEDIIIKGDEDTVIY PFICI_05576 MAHRLPASYFDWPSPATEPTTKASKHTSLDLSGSVFLISSAGNV LRLPIPSDSPHDPLAWSWPRRIVAFCCLQLFSIVASFEVNIPGTLMPAFHAEFAKDVP APLSISSLSSALTLGVGIGYLVNIPLATAIGRRPVVIMSALITAIATLAAGLAGSFLQ LLVALAFQGFAVGGTIGMCLVMILDATFIHERPYALSLYWSIGSVIIKLLTLPMPYIT SLATEWRTVYQVWFAVSLVSLVFLVSFVPETFYIRPPVAFDGRVLVQSSTEKVRIYDE WDGVPEDQQEVASMSEQRNNKSQPWADRFKVLRAQGTKWSSMRTTYAQMPFCFINPLI FWVSLLNACLLGAIIFLNLLQPTALLASVAAALDGPSINLNLGISGAVGALLALPFSG PLTAWLTRHLSLRTGGVRHAEVYLPGFAIPTIAGCLSVGLACAAVDRSWPAAWQYAAS MLSMMSYNTGNVAIVLWMTEAFPRWASAALAVVLFTGNMVAFVIGLTLSPWIVGQSII PQGAVLIGLIAGVGLIALPVAFWGKSVRQYIQCRWSSSESGALRPQ PFICI_05577 MASSSRALTRLAGSQLSARAQPQLNAQAAAARVANLTTSSAASR ATLSSPIISRIQRNQTISSQFKRTYADSAPSTPPAAPKKRKIRYFRWAWRLTYLSILG SLGYVVYDGFQARHPDDQFVPDPNKKTLVVLGTGWGSVALLKKLDTENYNVVVVSPRN YFLFTPLLPSCTTGQIEHRSIMEPVRQILRAKKAAVKYYEAEATHIDHERKVVKITDN SEIKGTITENEIPYDMLVIGVGAENATFGIPGVREHSCFLKEIRDAQAIRTKIMDCVE TAAFKDQAPEEIDRLLHMVVVGGGPTGVEFAGELRDFFDEDIKKLIPDIADRFKVTLV EALPNVLPMFSKQLIDYTESTFKEEHIEIKTKTMVKNVTDKFIEATATTPDGKKETIT IPYGLLVWATGNALRPIVKDLMTQIPAQKDSRRGLAVNEYLVVQGTRDIWAVGDCAVA GYAPTAQVASQEGAFLARLFNNMAKTEALEDKIRDLSSSLNLKPGNAAEVAQEIEATE RQIRRVKDLQPFHYSHQGSLAYIGSEKAVADIAWLNGNFASGGKMTYLFWRSAYLSMC FSTRNRVLVALDWVKAKVYGRDVSRE PFICI_05578 MENSTIAKDTSLDESKRYNNYFVPEGDLKYVPPYFRDTPETVDE VTCPTRGEWPSWLTGTFMRIGAGRFTIPLSEDGSKPNAVLQHFFDGLGILHKFRMDNG QVHYSSRHTAEGIVRRAKRDGYVSTGMFGLNANTPLKEAQDPCSALLGAQQSLFLPKG HLAPDEMNVNVTPRRGMHLPQDANPHSRGTASENPETEELLVHTDFNALQVCDAKTLA PKRLLTYAEIDPELAGFGICAHPPKDRVRGHTFNYIISNQGVLSIFALDIRAKPAKLL WKTALPCPPCYIHSLAMTDKYVVFIRNPIHMDVSDTTKQLAQMLEYEPESPTQFFVLD KETGEHISTFSGNGFMFFHSVNAYDYVDPATCEVNIHVDLCSYQGTYIPYREYNLSNI VDPAGPFQDGTLVRYELCNVSTQGLKEKPGRVTTKAAIPGVAAELPRIAKAASMRPGY RYVYFTAGNGGASPGTEVPIGRLGNGLKVVQAAFFGSLAKSDWETGTFVRWQPENGES CPCEPIFVGRPGATEEDDGIVLTIVVNKQGTRSILIALDGRTFKEVARAEMPQVYGMG PHGSFIEG PFICI_05579 MTWIVYMCRLPYPVQRLYPDKLVETRLANLENEVRLLKRQNRGN SKHEQDDENDAITSDSTRSDVVAHGELDETHASPDATDGIGSIEFMDENDSAYFGPSS NITFMRKIRRGLTFVLKDRSIQLDPVPRRSRSPPPASLAISRPQSPRSRPSDPHPNGT SMADSLSLPSPAEARTLVETYFATTGVLFPFVHKATFMDTFEQARRANFRTLRRSWLA LLNIILAMATTIESTLFISASDRAARAEVFFARSEALCIKLTMSGTTLETVQTLLLMG LYLQGTNRSVKTWNIHGLAVKAAFHLGLHSNDFSRKFSAIDREIRARTWFGCIVLDRT LSMTFGRPSAIPDNYIRIPLPEGLGFSSSKDIEQEDSEALSTVFFNETIKLYKIMWLV LESLYESNIGSQGGTGMLTMTSDILRVEQQFMEWQSSLNPALTLITAKHLSTDEEEYS LSKRLRVILSLRYHNLCILASRPFLDFYLHRMERREPDDPETSMILQLGSRYCRTCFE SATNLIDLIHTIFHSSKLSRKLLGAWWFTFYYTFNATLAIAVVMLIDRVCGSENQSIS GIPEATLKESLDKAIDCLPLVDPGNQMVSKCTQVASTIRRYLELLASSNGLNEQPMVS GTIAVEAVDVDGIDAAVVEFPIDPSHIGLDWFHQGFNPELQSDVVGGLYDMDLFC PFICI_05580 MNDMHTYLAIFFLVALGAAQNVTHDYVIVGAGTAGLLLAVVLTE NPNISVMVLEAGGDARNTPNVTDPERRGNKSDIIIRIVKLILIVDPGTIQHTEYDWGL ETTLQPYLYDNGTGGSQYVPRGKTIGGTSAMNWMIHNEDSQVQLDIWETLLNLTGWNY TSMSTAFRQSETMYAPPAYEAQYLPYDASYHGGAGPIQSTFQRSVYTLYSNYVQPSLE ASGWPRAHDGNDGDARGPNFLPLAIDPSNYTRSFAGSVYTTVQQRPNLQVQVNKYVTQ IMWNSTIGNVTASGVQYIDIGGTNSTHAAYARHIILSAGIIQSPQILQHSGVGDPLIL SPLGIKTIVHNENVGTSLRDPPMMNYLPISFQLNESAAEAANLTGNQYIQNLIDLEPA SISLAQEDYDAASAWLNETDSIPGLADAQFAIFKELWYTEQPLLEFAWQYQVANVTPY NLVPLSQGTVRINSSNPTDPPAINPNYNSVNATINGTEVAWDMWFLAKAAQHYTAVLA TAEPMAGLITGTIPDYRLPFDQWYDAVFQQTGSSQHLTGGNPMLPLEAGGAVDASLLV YGTTNVRVVDGSVFPYQPSAHPMGVTYALAVRAASILQQLEGGGGLTETTRLPVKNAS MAAVAMFPGDNPQ PFICI_05581 MTPRRSVSNPDSFTDLNRLLARLQQSILHADAEREHRLRTSEYE RNKASINLEYARTLLTRLEQDALSIKIHTRRQETQADLNRKRYIFDQITERLAELEET SIDSDDDDDSDGEDILGTIQTPSDSLDSTNSDQPIQAWTDAEEEEIEEDEQDAEGESY ADESTIVPESRRHIPAPQTEAVTRGPEPPKQEPSVTLSASQPGTSTQQSLRSRGAPAP LQNDSAETTARSQLFGNKHSSPTTALSTSATTEAILDHQRAEQDKLTESLLSMARTLK TQSHAFQSDLEQEKDVLSAAGAGMEKGERGMDAASRRMGTLRKMTEGKGWWGRMMLYA WIFGLMVIAILIVGVMPKLRF PFICI_05582 MGRSLNPKEQPIFKNMVIAVAGDIGHSEAKIKDWLALRKGTFAA DFDDSVTHVLATDEQFKKKGPMIQKAMKNKNVRVLKPDWFDQSMNLNKLQPVSEYSHR KSESNKTSAKTKSQTQTQGKGKGKAKSQTHEEYVDTGSVEVCDFRMIRTDVISTGLFH LYSDSTEFKYAITLSGHDGDRFTVELWESNNHQPHLYHCAGKYYKQGTKKGIPWRISA TAGTFQREYECFRSIFLKKTGVRWSERVKAANQEGLVEARVKDSPAYNYQLPEENQPR GKADLLDESASLNSKASGGAGKAAAGASKTKSDNTIAARNKSAPSTPSRAISVDSSDD DTESPSPPPANLPLRPSKNADDDSATGGSFLDHMRVPSMAQKRPSQALGTGAARQSPA PKTSTQKRSSLAPSSNKSTPARTLTPALSQQSVSTPITPKPGRVEGAGSIPQTLPRLP HTPEQSKTIPGWTPVTIPSAPKKPETAKFQSSLKRPRDSTSSTPTGHVQKKLAMMRGP VDENKLLKAKMATEVKAPEHKPEALGIFGNGSGIIDVDAEEETTANTEASAAEAVQTN VDKEKSTTPALSIDLTMDVDDNAAPPVDPAATDKDEVMVTDTANEQTATTTTTTTSTG PCTSPGDVSEDMSEHEGSQNAFQGDHDDKYDALESSLEEDERKDAAEVDDMAVDSDHD SDSTDSN PFICI_05583 MLDENLPTFFSRQTADSPLASVLYFTQNGSEPAPEYAYKRPDPT LPQSRNKYGLGLADAHTQDIIYGEVLIEPEWQQPTLSAAEIRAQNGVTPAPVPMIPDG FTIQLYDPDQQVVVKGEKSTWTGKESWEFEMPQQSFKLPSASKLDQDADPAGASSLAP KIMFKWKRDSKFSKDMTCYMTGRSMGKQKSKDPDITVAMFKQGRNAGLTIYEPNLARV DVEDRKGLELVLLLGAEVIRDIYLFPNRDSFNVSGMPPTKRKNSRPIPGATPPKTSSP APGSSAYTMTGGLGNLPPAKTSTPVTQQPTPPPLDRKSTAAVDAETKRLQAEVQRQER EREKRDKEEQQRIKKMLEEEERQQRRREAEIEKETEALRKQFGMQGQDYEASRPNLPP RAGPSQPQQLQPPGAPNGTAPPPRPVSVGPPRGSGFSSWWHGPAVGPMLPPQQYGTPQ PAQGSSSGRRRNGSEGNNNKIHKKRSVFF PFICI_05584 MAPSKPRRAVLDRGSDVKAEVLSAATLKDRNDKGQQNDDSSGIK KSRDGGSDDSDKGSDGKGKGNGGGNGGSDKNKGNNKSQDDGSKDSNKDGGGSNNDHSG SKDGGSNNNNNNNKGSGDESTTISVVTATIATEVPAAAPPATTPTTTPTPLPSPPPTT STTSTTSVPTPVASVVQSTSIVTSVQMATMTTVIPVLTTLPPITISSQPRKGVDGPGA DFTPALPALGTSSSLGTIAFTATDATATVTATALADSLNDNDNGGNNDSGGSRNGGKD RDDNKPPPGALDPAAEHALIAVGSIGAFVLICFVGWIIYRTLKKSRRRREEFGGNNNL MNRLPWRRNQGNSGWDSQSMFMRNDLPPPMYEKGSHNSMEAAGFYGADKMYQQSNQPP RLSRTNTATSQRNMQPQLAPGSVVMIPAEQYMAMSQAQSPIGSDVNNTMRSRMPDNFY NQSELARQPSDAYDPNRRQVNRASELSSLSSGFGDGDIIIPEEYLNPPQPAATQLRQS NNFITRFSWMSRREAGDRETVYTTTSEDRPARYRSVGSWVNQQTGRLKRADERSQEVP PVPDLPPINTDQGGMR PFICI_05585 MQDTQKSQAVDGLNDFTTYHRAPKPTPRSTTSTASTANLFHSGT HSLFFQVFDGLTAGFPGTG PFICI_05586 MADKVKHPSSLPELQALFSSTTYVAVDFFADWCPPCKQIAPIWQ KLAEQHSVPGQLAFAKVNVDHAQDVARAYGITAMPTFMFFKEGKQVAVHGQAKVQGAD PRALMAAAEKLGGLAQKRAAEAGKA PFICI_05587 MASKLSVVAGLASLIACSQAACASNCDSVEAGYQCSPEISQNWG QYSPYFTVPSEIDASIPETCEITFVQMLSRHGARDPTASKTAKYNATIQAIQSSTTSY GAGYEFIADYEYTLGADQLTTFGQQELVNAGMKFFQRYEQMTRDTTPWFRSSGEERVV ESALNWTQGFHQARLASGGSSSDGYPWDILTISEDEGVNNTLSHSLCTAFEDGPDSEI KDDARASWTAVFLPNITARLNANLVGANITADQTIYIMDLCPFNTVANSAGNISEWCS LFTTEEWEQYGYYNTLDKYYGYGYGNPLGPTQGVGFTNELIARLTNTSVQDHTSTNTT LDSSSATFPLGLPLYADFSHDNDMTTIFSAMGLYNATAALSNVTVETTAETNGFSASW LVPFAARMYVEKMTCAGVDEELVRVLVNDRVIPLETCGADELGRCGLDAFVSSLTFAQ SGGFWDQCFV PFICI_05588 MTGGISVRDVDAQKFIEAYASFLKRQGKLPIPGWVDTVKTGPSR ELPPQNVDWYYVRAASVARHVYLRKTVGVGRLRRVHGTAKNRGVRPSHHVDASGSVDR KIFQSLEKIGVLEQDEEKGGRRITQSGQRDLDRIAQTVVEEDEEEDEE PFICI_05589 MEVFLRAALLRRQDAGDVPEEEPSTVTVECDSGNEFDGRLGLRI SAIFVILVSSLLGAILPVVLARSRRINVPPITFFVAKYFGTGVIIATSIMHLLVPAFD ALSSPCLEDLEISAYPWAGGICFMTIMVMFLIEVVISHYDLFGSGHSHGGEAVDPSLN LLKKGSDTSVHAPTPPPQDLEAGGHSKEQVKTGLPGEDHLGHHRDHSETEAAFAAQMT ALFVLEFGVIFHSIFIGLTLAVAGDEFVVLYIVLVFHQMFEGLGLGSRLGTATWPAGK SYMPYLLGALYALSTPIAIAAGLGVRETLQPGSNTTLIVNGIFDSISAGILLYTGLVE LMAHEFLFSPQMQKAGLKMKLSALGCVFLGGGLMALLAEWA PFICI_05590 MREFMDYIQSAFYAATGWNRDNSYSSLNATADALLNFPTPRGLR LTLGSLATPQFATAYQLGTVGVVDGSVSYLYSSVPLADAIAQSSRIPLPDLLRSYKPL AELSRGTTGSGNWIRGWDRVEKDTLAYGRLYLPLSMLEALVVKKFTPDLQVQVSAVSE QTLRNGGTMLGMVQYDVGKYGIEGLASSDGGLMGLRGLYNFGGDVSKLQPKPATDDDQ GTRYTNGNGNGQGNGEREGIYGRFSAGAELYYGTLNKSGGMSMGMRFATLPTYKGSPL TATMTINPLMGNISWSYAVMAGKHCALASRMDFNVYSYESDWTIGMELWRKKGAWTVD KSDDAATLAEDAPTRRERSFQAKLEWRLDEPLPSDDAHAPEVVIAPTPAEPALPVSPA EATNPELTESVQRRQRSFRSKMEWRADTPDDDLATRLGDDEEEEYSGVLKARLDQNLK IGLLWEGRVQSLLFSLGSGIDLRRLDSPFRSLGLEVQFSS PFICI_05591 MSHTTMSFQMNQMATSPAETCGSTLADLYACLARVNKQLAGLPK AVPLTTSKFENAWVSRDRSAKARQEAASRKRGLLAEQGSLRAAIGSKSKRGVRELHVF DLPEEIICQIFEHLRGSPYVEEASGLACLRHASKDIRNVRLTCRRFYGASSHLLLSLI TLDLSAASASRIRDISQQPLIRRGVRGVKVQMQFYDEGLAHSLRDFAIYNIVKLRQEI RRLEGLSEWNNNEKDIKKANLIVNSWLALVENPLQPAHAMDERHLRYQNLLLDAHEEY KLLRKKQCNTLEDGSFIKAVGQALSRMPQVKGLQLCGGNWFDNVRIRFGVLKSDHILR QALLLPMTCHEMDFQPIQTLNAWGQLFHDQSNAQTISNSISRRVVGKSRRHVQKVMLS DFVISTSLLENFLNCLGGSPLKVTLKNVGLKTGTWEGILDLLRQHDYCVWRIDEPVGA ELNLMAVAEKRRIFGSSRSKSSEGLSLAESYIAGVPGFTNPFTVDTASDEE PFICI_05592 MYAGTNEPGHRRGRKHELQTTSVNCFDIIIVNNNSREEEIALVH PASTLENIIFCIGIMAGISIQPASEADLDDLVRIQFAAIGAFGHETLVSGEASPANMS IMAERHAQHMRANPGLIVAKAQVSDGRVAGFGMFYFPDPDAASNDREKVVTPLRSASD DPDWARITIQAPWIEDEDRRRRAETFLRFIHNEKQKHVKHNECIYIRYMCVDPTFQRR GVGKSLMSWACNRLDALKLDAYLEASPAGEALYRQFGFEVVGRTKDVLGDGLKMEYAH MWRNHSS PFICI_05593 MNPILRRDDDDDDTVVAFVNPWVEVNAGLWSLFAGATIFLALRI WCKVTRRHGLWYDDYILLVTWSVLLANNTLIIYEFATGYILENSAQKWDDRMHILINI SSCGTLIGQALSKTAFAVTLLRLCNRWQQWILWFCISTMNAWMIVKVVLQWAKVCGKD SYDVWYRLDVCLDSKFRDDFKEGGNVYNIIMDFIFATFPWFITRSLEMRRLEKVGLCV TLSLGMVVAIVAAVRVSWKDEGNSRDPYYIWRNGLSQVWYSSEITGTIMVQCIPILRT MLREIHTSFNSKKLTSLTDRQSTMAASSKRNSKRNSNRSSGGIKMELVRKGSDRIVLK EIPEEPQGIWVPPPSWKRIDTVESLSSLDEEHALPLSPRSQWLAQASRGNSDNYVPRA GQSLDSEADGGPHSFTGVAYDHEEHGLSPPPQRKSDPWPLK PFICI_05594 MARLSVKGAALLTTSLLSGVASAVEYLSINGSNFVVDATSKRFD LIGITYQPGGSSGFSSTADPLSDPDSCLRDAIMMQQLGVNTVRVYNLDADLDHDQCAS IFNAAGIYMLLDVNSGLYGQYIDRSDPSSTYTLDYMEHIFSIVEAFWNYPNLLGFFAG NEIINEDSDESVPMYIRAIVRDLKEYIALHAPRSIGVGYSAADVADMLTDTWAYLGCE LDNSTYSKMDFFGLNDYEWCGDSSYTESGYDTLVTDFAGTDIPVFFSEYGCNNVRPRT FTNVPVLYGDEMAELSGGLVYEYSEETSGYGVIAINSSTEITLLQDYNYLQQQLASID VSARTELNSTAESAAATSCAASLISESSFLANWDLPDRPSGGDDLVTSGLGSSVTVSV GSLVSVTATTMPATVYNYTGAEVTGLALVSLGCADVNYPGLIATYTASDETCTYATST AGAASSSDSAAGPRFTGGNDAGVVAMMAALLSGVVAGVMLL PFICI_05595 MAASSRGSRAAATLLKRKANVCVSCRTSQFLRPYSSAADAAQLA EAHHLKPQETIPHPPFTASRKAEYRIKSGIILSRAPLLTRNLTPFENAFFFYQKRLNE RLTTEFRHTLFFKENTVPDLDWKIKINERGGIAAKELGRYFAKGRNAWNDELLVGSTL SDEGRIREILVKDAESRVTEDGEEAKPDEIVPVEKPMDRITEADRTNDVRRLDRKLDQ TLYLIVQSGNGNWSFPTDDVPTDENLHQAAQRVLDQAAGVNMNTWLVGRVPVAHYVRQ PEFNEDTTIKARGEKHFFLKGRIMAGQANLTGNPLGYKDFNWLTKDELKEKFPAGYFR AVKSMMADR PFICI_05596 MSALDCGDDACSAPGFGSPSTFSTFVSLTPFILTFGGVFAFVLR KIFPQLSRVQNSRDGEDHFLPSDAPLSLQQAHAEHGSKSIRRRVAAGAFSTTVALATV LAELILCEISNLVNPYARMTALKITVPSLLFFLIVVIPFLELQSLITGSGWSFQKTSK GRLPRTAWILQFAVFGLWLCAFWSLGSLVPVGSEFMHSTASMRESPESSGGLARACLE RIGVIGISLMALLSGFASVSSPWQTFGSKNSRKPVTDADIARKQAGLDATNEMLLTKR HRLQTLQRKVAADTTSAQNSGFLTKVVGSFRGSGDAAEIRGLKLEISGLEAMEANLST TLSQLRSRQADSARAATPLGKVLLVPTYAFSLYCMYRIGATVLTTLRRFYSPSASFSS SDPINRFLGLIAKHWDPKLDQIAWARQISFLLSGVILAASANSALQTFHLFAKWTPGL LYQAQANLALLIGQISAIYVISAALLLRSNLPKEVGSVVGDVLESALEPGFVDRWFEG WFLLASLITACGIWIGRKIGELGEDWDDISMEEMGQKRS PFICI_05597 MLPYQYSPITAEEHGFRLLRLHPATTPHIEIDIIHASLKEAKIR LYEAVSYVWGSSQLVSSVYVQGSYLNVTNNLELVLRDLRYPHDERWLWIDGICINQND QHDHEKKEKNHQVKQMARIYSKATRVLVHLGRPTSLTPVLRDTLVELEELEVLSDLQG DELTESDARTVWQRVSRILGESYVDFQYRIRQAVEEVLGHPWFTRIWVVQEIAYAREA RVYWGTEGLSVPWFVALVQILDIKRNGHQDALLNMMPRRYRRTSPFGSSQDLLHLLQS FKGAQASNSHDRIFALLNMCEHGKGQGSIVQDYSRPLQSVVRESIAYMCFCDKDDVPP ELERMDRLMDGIDFLLNDLLVHFARHSRLKSLRSLLSNRMDETIISFEILESAFKHIV NKEVIDILLDHHPDASAIIPEVIQIAIKHGADKEIIIHLFDYAPNTAFITPEIIETAA MEEVHEDVFRLLFDHLSDTIALPEKTNKGAAETPLGTASTDAVIDNLSDTSSVQDETH KSADETPLGTEVDDALFNHRFDSAFATPEIKESEINSGISQDVIGISLDHQPSTGVIS DWAKASAQALSQQRHDVAELVASQCAEKFPRLAKWIRAEIDMAKIGGYGNRRWLAPLW LALLHGDVDDVQQLLKGGADVNGRGYQGLTPLQWAAADGKVDVVNVLLSHDADINART TRTSIRAGELAEKYEVRIISDCGGSIETLDFSKKTALALAAQFGREQVVQVLLDCHAD IEARDFLGRTPLGLAVERGRRNVVQILLDHGANVEARDRFGRTPLSMAASGGFDRVLS LGNSKRGQDIVKHHMEGQFRKMMRHRIDMELLNIESDPRSSLITPIDQVYSDLVILLL KHGANASAADQLGRTPLWWAVNRGHQCAVERLLDTGVNENHTHVGGMSLLSWSIWNNF PSITLQLMESNSSDIFSINDALQWAVRADSTSLLRALLEKRAVIDAPLGLGQSLILMT VAEDNAAAKQVLLDHPAIFASSY PFICI_05598 MLTPVRFLVYWAYVHGLLPAALLVSDAVQTREDAPSWHQYVRAP ASRAVGPKEVLSQYTAGNVTNANALVGDGGDAAYLTRASGSSEVPTVVIDFGQNVVGL LEIEFAGSESYSELGRPGLKMSFSETLQFLTNRSDFTRSDNADGDEKITNGTDQIAVQ SGAYTWLDQWGCQHDNQVCSDGLHGFRYLKIELDALASDSPYTTSEGVVAITSIQLQW SGYLGTPDTFTGWFECSDEQLTQWWFDGAYTTEMNTDVFRANDTEPRDATSPSLLDKL VIHDGPKRDRDPYMGDLAVSALTSYLTHDIFEAARNVMEDLVQHQRSDGWIPPASIRN YELPLFDYPLWWVSCSWEHVYYIGNLTYLESYYGNMKVLLDNYYPLNTDNSTSLLLRP DGYGDYAFLQRPGSAAYYSALYVLALERAADLATIVDQDADAENWRQRAKVVAQSFVD VLWDPSVNAFFDRSCSDEGCSAHAQDGNSLAILSGIIAANSSAATSLLSYLSSANSYP YGNSFYDAGGDNLDSCDECSQRVYAFISYFEIAARFQAGQATSALEQLRRMHGWMAAH DPEITFWEGIGTNGEPYEDAFTSMAHGWSTGIVPLLSNYVLGVKPTEPGFESWSLKPN VGDLSWAKGVIPTPDGPISVQWTLDDDSYDICVEAPSSTGGVVEVLLEGLGSRDFVIN GEIVWSDGNTTSSKATLIDGIIRVELAKGEASNLSCLNS PFICI_05599 MATNGVVNKLVTRVSDEPSRQPSPQPTHFSVPQKLNGNGHRILR KATVGYIAPEFEGKVEQMKQVRAILVESGWIPDSHVDEQIEWFYNSLGIDDVYFQLET VDVIATHITSLYAAKVAARSRANQQEAIRLDMEAADHAIYIDTSEPGKTALGGPRYET RLEAKYLDHVGTRRFRVETFRSPGTISGDDQSKASLRCYFVYQCQFVDPNPSPDETRL EVISDRMFLTKATNNTKQLYGDIISLAVSRTGPVIEVFDIEDSQEKRLIVAFRSRTAR GMFSALSDLYHYYGVTSTRKYVEQFSNGITVICIYLKPAPNESGKFPPIEQSIHQITK EISLLYCIPQNKLHTLFASGELSLQEAIYGHSVWVFVQHFLNRLGTEYATLQEALNPS NSLHNEILSKLKRRLRTETFTPDYILEIILAYPGLVRALYASFASVHLSVGTDYERET IAPTPAVEVLSDANLKEKISREVSNEHEEMVMTSFRVFNNAILKTNYFTPTKVALSFR LDPVFLPEIEYPKRLYGMFLVISSEARGFHLRFRDVARGGIRIVKSRSKEAYSINARN LFDENYGLASTQQRKNKDIPEGGSKGVILLDPKQQDKGREAFEKYIDSIMDLLLPAET PGIKNPIVDLYGRQEILFMGPDENTADLVNWATEHARSRGAPWWKSFFTGKSPKLGGI PHDTYGMTTLSVREYVKGIYRKKNLDPSTVRKMQTGGPDGDLGSNEILLSNEKYTAII DGAGVLVDPNGLDKEELLRLAKSRVMISHFDISKLSKDGYRVLCDDVNVKLPTGEVVA NGTAFRNTFHLRDTGLTDTFVPCGGRPESIDLVTVGKLIEDGKSKIPYIVEGANLFIT QDAKLRLEAAGCILYKDASANKGGVTSSSLEVLASLSFDDEGFVANMCHDKYGQAPEF YQAYVKSVQAKICDNARLEFEAIWREHEQTGIPRSILSDKLSEAITKLDEELQQSDLW KDERIRRTVLADALPNLLIQKIGLDTIISRVPDAYLRAIFGSYLASRFVYEFGSAPSQ FAFFDFMSKRLANAQ PFICI_05600 MASPLNLHAQAEALDKDDSLKHLRDEFVIPSLGDIKRTTIQKDA SVQETAESAASCTYLCGNSLGLQPKRTRTRVQQYLDTWGTQGVQGHFKPLDDSPLPTW LDADAHVAQKIAPIVGADESEVAVMQTLTANLHLLMTAFYHPDPNGKHKILLESKAFP SDHFAVLSQIYHHGLSPETSMVTVEAPSSEDNVFSTSAIQDLIAAHAKDTALILLPGI QYYTGQLMDIPTITDFAHKHGIFIIWDLAHAVGNVPLHLKEWDVDAAAWCTYKYLNGG PGCIGGMFINSRNSLVTTQITDPNPERGYGKRLSGWWGNDKGTRFVMDTKFHPVKGAA GFQLSNPSILDITSLGASLEVFELAGGVSVLREKSKRLTAFLEHCLGSMPDNVKKLFR IITPTDPERRGAQLSLLLLDGMLHTVMKELTDRGVIVDERQPNVVRVAPTPLYNTFKD CVDFIEAFEPALLAAEKHKTA PFICI_05601 MAEVITLVGQISSLVSLSHDLVGLCCEFFSTVKNAPKELEDVRR ELTAFEQSIIGLKGFINAHPEEITNLRQLSLPNGTLDSATEVIKELMDLLEAGKFTPS TGPKRAKLMHTTLERIQQGLRRTNIKEPLEKLRRFRESIQFTLNAALARPILETRQDV VVIKESVQTLKDDQTQFQDDARKLKVLKWFNVDMSGNRSIHKDRLSQQQQSTCQWFTR NPAWNKWLQEREFIWVTGLPGTGKTVLASYLIERASHEFNSKGIAYYYCHHSRNRDES LTLLQHIVKQLISQIDYVPSRIYDNHKRADKLDTDDLLLCLEELSSKFKSGVRVIVDA VDESKPRDKLMSILFTIGTDLRFRRVSLLVTSRPEPDILEGMSPHLSSLVEISMSDPG VTADIRSLVQSELAKLPWEEYFKREIEQCLVGGAKGMFRWVACQIDHIKRLSCKGMNG EQAIRRALNNLPEDVFATYERILLEIPNEDSEFARTALALMCSQHGEMPTAEVLVEAC LYRVMGEDINKYDVRLLVDICGCLLRISRFNRPPLAVFKDATIGPFHKVSLAHYTVKE YLVHPDTATRPAKFFALTPQVLETIDIVVAFKGLQRFGTRHCVTNGGKTRVTRYEEDC LKKTEDALNHRRPDFDKNDELYQALIQSLKYSSSHAKHLRLQRGIATDMSTRFSTWDK LINQLETPPKGDQAEQVTLLLSLITLRWYNMAVKYLKSHPDFIKLHPNVRAKIWSTKF KLRATRSGPSQPESLLLLCVRQRRLNFLSLFIQHDASFEKESEVLYAAMYSPDDEDND SDTTLAFLRTMTDLGRGAKADPVPFRQANDHRGSNEDPNTRERRFAFTPLQAAVAFQE LDWVEVLLETSADPNLTGVTGGQVPHGYGRLNLNGISLELLKEMGEQTPLQINRSINA TDSAAHSRNAEIERLLLRYGARDPTEADDDDTIMISSDDGE PFICI_05602 MDKVSPELLHDICACLKIEDVLAFRLVCKVFADVGAAYMLPEVS FYMLQAEFARLRAIADHPIISKHVRSLTYFAEVLDIEKITFPAYVRGHTREQAAARSW NGFFKPTPKLDSADLPKLRSDYQTYELTMKQQQEIIDHAYDVECLKEVIPKFSSLRQA SVSSTGAFYDDNTKSRTWDATRPLELCKSRKSPFESIPLSSGNSLLPEGKRHLNGLIA GLVATKTQLEGFRAGVLDWSYFKDFLEIPDGLSHTFKYLKRLELFLDTDPGQDSRGIT RCRKALRSGILKQIIKPLQQLEVLMITLYPVEWTSDEWPASLGDIIAPGHTWPALRVV SLGGIKTNRHDLIKFLELHKDTLKKLCMRDLGLGETSWWKLLPDLRNKLELSDVCICG DIIGKSEGDADGVPDEFWDLATEEDYPHHKQRASINAYCLGPKHYPDNVPLSKEVVRE HYEEYINDPEASCDEDDFDDDDEVYFDGPYAEDYLDFYGDEDFLGGFDDEEDMDLVSQ MLFAGAGGAMFGFGAPVGFDDEEEEGDEEDEEDEDDDEIPDLIDPDS PFICI_05603 MGHQQFLIRCVFLCVRQEDAGDPGTLRVVRYQEALSHVLGPESR YSLLLTSHTTSRNPFFSSACVHLAAHDELYLTSSLLKPGSAAKLPQVLISRVALARAP ATVDDPSPDVTAAVWQKMRAPPSMPNPAGACAYEDGVVYCAKTSPGGETGGLVYMPRS KRPPQVVSRYGGRPFSSLQRVAKSPTDNSLWFTTADADSGFEMSGALNGGSTAAQQRT SPSLVYRHDNRDGSTRVVADGFGKPGAIAFSRDGTTAYISDVKTAKEGEDGCTNR PFICI_05604 MAKVRSILLSACAAGLATAAQVPTLPLKAPDRAQITAAPVLNKA VVNAAVVNAAAASDDDSNYYGCENAGYLVEYCYDAYDYDACLCCDGSSYDPTYLDDSA KSCASYIEESLPASTYEYTFYSSLGSYCKSVGSDVCSITSAATYTSVATAPDACWSVV DIADNCAYTNSGIYEDSDEEQASCFCYTTHGRSTTWAPDVFDGEASECADWAATAETE YYSDWLALATFCQSVGDIMTTSTSGTKTSSTPPAAQTGHSGTTTSATATSTSASATAV TVTVAPSATGTSAANTMSGGASIAFGLASVVVALFAYAL PFICI_05605 MAGDSSSGATAALSKLSLDQSKTKPAASKTKKPAVADSWEDESS EDEGEGARKEEPQEDRGDERPKPPTYNPSTPAQSSGFAAPPPTPSTPSYNADASWKSM MGGAGGSSGPGSPEDGRKRPEKTDAVARRMIASALGVKAPRLTDEQRAYDRALRDKER KRREAEKEEEKKRKEDAEKAKLAMWED PFICI_05606 MSDLKPITLHGHFRSANPWKVVQILEELDLPYNHIFVDMSTVKQ EPYTKINPNGRLPAIEDPNTGITLWESGAIIEYLIETYDKDHKISSTSLPEKFLEKQY LHFQMSGQGPYYGQWAWFKLFHKEQVPSALDRYEEQTLRVMGVLDNILEGKEYLVGNK ASYADLSFIAWESTIHMFFADRMDQIKAYKNYWAWYERLTARPATKKVFKLRQEATAQ NS PFICI_05607 MIKQCTSKLVRQQRQLYQQFRAYSSEVETQPSDSLPSLRYPDSI NKDHYDLPSYVAYAERIGLDTSTTTYVGTHYEYTVAASLKRFGFELRRVGGAGDYGID LLGSWSVPSTPQPLRVLLQCKASSATSKARIGPATIRELEGAFVGAPPGWRGSNVLGL LVTQKAATKGVVDSLGRSRWPMGFISCLSDGSVQQMIWNAKAEEEGLAGLGVAVRRST TRAQQELMLTWKGQPAMLD PFICI_05608 MGDRLTQLQDAVDQLAQQFVACIHYINQHHNLQTLGPNDEVRPS TKTPDQQEVDPHPSDVFKANQIELAQDLITKEQQIEYLISILPGLDNSEQDQERNIKE LEEELKIAEAQRLEAMGERTAIKNKLDEVIRSIRRP PFICI_05609 MGSQTETPNGSRQDLPVLFFDIDNCLYPKSAKVHDLMADLIDQY FAKHLGLGEEEAIRLHKEYYQNYGLAIEGLVRHHQIDPLEYNAKVDDALPLEGIIKPN PQLRKMLEDIDTSKVRLWLLTNAYVNHAKRVVKLLGIEDLFEGLTYCDYSSIPIICKP HKDMFAKAMREAGVQRNQDCYFVDDNYGNCKGAKELGWTAVHLVEEGVKVPRTQASQY QIRHLEELRNVFPQFFKSES PFICI_05610 MAQTSITTMSMPTSALATTDGLLEMKEYHRIVKFRDDILSGHHP RIKIPAPAGGAKAAQGTQQPTAQNSASATTSSRNEQNVFVSSNLKSFKANSQQPAIVV PVTKPSDTAPRPLPSSKTQIDPLLLTKSDELIKAELHLQRQRLERSLREEIEAQRAAS KSNEQAADLDLSDILAKALTLVQATAPPLTETDLVANAPSVSSDSFDDNTFYSSQHDT PEPEPRPQAQAPTAGVPVQNATSVQTRADVSEPKATHDHTLVPSPFLSRAEQPHMSGA PKAGNGTYTGPNTLLQDTSNAHDSRYSPTYDIDTVSLGLRPGADELHAQVISSNGSGT TSRSGNSGDADLERRADYNRLQATLPPPQNNRYGFGEPLVRAHDLSPYAPQPSHVSPL ALARHQQSAVEPEISILQGAPAPVAALRLEQGNGTSPESSPQGEKGGKKKNKKKNKRK AAETRSTDRPVSPIIKPEPRSPSPLTSPQFARPQKRQRPLAQTQAALDYDDNSRNAQP VPVRVIEDVSAHPREYESVYNPYPTEVRYSAVPGSQWVDRPVYEERRPESRVQYIRRA QSPTYASPHGAGEVRPMRSATYSMAEPVYREPSAFRREGRMSVRPVVDRARSRSPIMV EARQSGMAPPRPPTHIFRDEFGREYMEPPRPPPSVSRYSAAPPSRLAEADIIYEQAPL RSSTRMPGPDTFERDGVLYRRASPLQAARRIITQPEYGVPDYREYRQREYSARPAPTP SAPQELLHYRSEGRAQQEFVPEYGIRASTVRPPEQNRYEYPARVASVRPDAAVRDYAT STHPEVRREVPIPVYREYSSRPAFEQEPPRREYSVRPVERYYENRPVLREDEMQYIDQ PRTVQREIIYEDGLREVYR PFICI_05611 MQKLANMRHWPERMSPNFGMSPRPNMGVSSVLNPHQKTAASGAS PLSPSPAADSTIHYAFNVPFASDLAGPNTEEILHATGDSVERWTHPGDAPDDVPVYDL PVHAQNVTNLRNMCADLTKGPLPIEAYVVSTTPSRAKSQVTTVCLSGPPELVHKSRET ILNDTPLALRCTTVDVEYGLVVDTKAGVLMKQVTDALDTFAKFCGVDIFILGPKLTPM MDVLNGDVEVIRDQRWRVAIYGDAESSEHAKTQVLIFIDKLLGRTVDVMRIESSMHPI ICGRSRKNIKLIESATNTAIYFPPFAQPYRYCPPMAQRRDPNEIYITGENPQGIELAK RKIHELVTRTNLFLKDSVAPPSKIDMVLLGRMDKVRKIMESNGTYVMFPPLASQRNTI RVQGVEGLQIERSMKDIMHLAGQYYFATWWIQQPNVSQLPSPQDIRTVLGDICANSDA DISFDKSTFTVTGSDDAVKAALQVLATIKFVYSTPHQIRVKIELANEHKEFVSGKKNG KINKIMTQSNVQIIFDSFREYNFDIDVIAPNYEAMKQGLGLVEQEMPASISFHVPDQY HKRIIGIGGQHIQRIMKKHSVFVKFSNAMDRGGVNREDDDVKVDNVICRTPARNAQNL ELVKSEILDMVDRAHSEFTSQVVHVDRLYHRQLIARLPEIEELEKKWNCKFVFPSTEQ ASDEVTVTGPEWQVPLCADEFLGMVPETHELVLVRTPALVKFLESPEFVNELVTKLKA QHEVTVEVHENPEEKTEDGGRTVTLKWKLTRNNTGGLRDAIDFLQNQFAKASVEPTVV KGAIPRPKSDSFEESLQYFDSKLLQHAPAPMGTDSPTKPAFGEEIQSRSTTLLEKLRK PAQLLSSLDRRKNSSHSVNTLFKGSANVSKSSLISIESTRSFNADRNPWNDSGVNLPD DDNNPWSNRHFGNGFDTKLTPPSILHSGGDITPRHGPRGSGDSGRPSTSHSTHSGYPG PIGGPFR PFICI_05612 MSGDNKRSVEEKVSSSAPDPVGADDAIDDTDDLDDDHVEIQKTI SNSRKRHSLKNGDIKVQDVLPFPFLPNIRPLTVSDLESCVALENAAFTDSKHRCSREK FEYRLSSCPELCMGIFCTVSPEAAKGWEIETCKTAHPVETGRDDGSVSVMFAHIVGTR CHGELVTDQDMDYPRDFRTVKTSRSNIGHQEDGRTVAIHSLAVHPKLQGCGLGKLIMK AYMQQVNNSGTADRISLISQSYLVNYYTRFGFVHKGESQASFGGGGWHDLTITLSGPS SP PFICI_05613 MASIPQYKTDFISAALDGRCLRLGEFTLKSGRISPYFFNAGDFY RADLLSALAFAYAHTIHESFASSSGSSDLPFDVIFGPAYKGIPLATATTFALAQVDAR YKKLEYSFDRKEAKDHGEGGSIVGAPLKGRRVLIIDDVVSAGTAKREAVAKIQAEGGT VVGIVVALDRQETLPDSTGSAIGLLRQEFGIPIVAVLKLDDIFRGLKQSGVATEDDLK RIEEYRAKYGASD PFICI_05614 MKTTWKDIPPVPTSQEFLDIVLSRTQRRLPTQIRSGFKISRIRG FYTRKVKFTQETFSEKFSQILESFPRLEDIHPFHKDLLNTLYDSDHYKIALGQLSTAK HLMESISRDYVRLLKYAQSLFQCKQLKRAALGRMATLLKRLKDSLAYLDQVRQHLGRL PSIDPNTRTLLLCGFPNVGKSSFLKSISRADVEVAPYAFTTKSLYVGHFDYKYLRFQA IDTPGLLDGPLESKTTVEMQSITAIAHLRSAILYFIDCSEQCGYPIKAQIELFKNLKP LFSNKLVFIVCNKIDILKPEELDAQTTEELQSLLSGGDVELLQTSCNTQEGVQDVKNA VCERLIAERVSQKLKAGTSSSGAVGGRLADVMARIHVAQPMNGVVRETFIPDAIKELK KYDKSDPERRKLARDVEEENGGAGVYNVDLRADYILDNPEWKHDRIPEIFDGKNVYDY IDPEIDAKLQALEEEEERLEAEGYYDSDEEVDDAEEADVRSKAELIREKQALIRNEAK MRKSLKNQAIIPRKAMKKPLSELEEHLDVLGVDTTDLGLRARSSTHEQRGRSMSRSRL GTEVSDAMDVDSTPQERFRSKSRAMSRARSEAATNRREDGVTDEVTRTSAERASKVAQ RKMNRMARQGEADRHIGAAMPKHLFAGKRSMGKTSRR PFICI_05615 MANDGDAGSATSDLPLRGVTSSNSNAEPFDIVATYYRLLAEDSD LTMPMAAIEALIEFLGHNQVKTVFETIDLVKMQSTKLQLGVPNPIALSHGTDLFQQYL IMQLKQPNAPGGAAAAKDDNINKDPDARFEETRQSLLRNGRLFAARAKNGRERIAVNA RRYIEDGATILTLGGSRVVGTLLGRAAEKHDFGHFIDEPIRFRVIYAMDPALEVESNK VVAALRAKGVSVATIPLSAVAFAMQKVDMVVVGAEAVTANGGAISRLGTLQVAQLAKA ARPQKPFYVAAEQHKFGKTLPLNQFDFGQFVRNFDQQLLDFHASKKEEGAEAKPRPKP LESPVDYTAPDYIDAFFSDHKVLTPIEVAKEVIDMLM PFICI_05616 MPSATEMFYESQAIPTAFELSKGLSKNPKSSRRLDIIDIRQAAV ELNLKEEIHQLLRPQEGPRKLPTLLLYDERGLQLFEQITYLEEYYLTNSEIQVLRSSA QAIAKAIPSGSMVVELGSGNLRKVQILLQALEDAGKDIDYYALDLDKRELERTLAQVP AFRFVTCHGLHGTYDDGRVWLKNSSVSARPKCVMSLGSSIGNFHRSDAAAFLRSFSDV LQPSDTFLLGLDSCTNPSKVYHAYNDRHGVTHQFILNGLRHANEVLEDEVFNLDEWQV IGEYVYDVEGGRHQAFYSPSRDVTILGENIKAQERIQVEQSLKYSEDGMKKLWSEAGV VETDRWMTDNNEYGLHLLTKPTTMPFSLDPRQYAGSVLPTLDDWKALWSTWDTVTQRM LPDEELLEKPIKLRNACIFYLGHIPTFLDIQLTKTTKQPPTEPVSYSAIFERGIDPDV DNPEHCHSHSEIPDEWPKQADILRYQNNVRVRLTGLYSHGPESIPRDVARAIWVGYEH ELMHMETLLYMMLQSDKTLPPPHIPRPDFKGLARKAYAERTANEWFTIPEQHILVGLN DPEDDERFKGHFGWDNEKPARKINVKSFQAKGRPITNEEYAYYMYETKVTKIPASWAE APQHHVNGSNGTSHEHTNGQANGHANGHVNGHVNGSHDTGSTLLPSSFLDDKTVRTIY GLVPLEYALDWPVFASYDELAGCAAWMGGRIPTFEEARSVYAYVDYLKKKEAERKLGK TVPAVNGHLVNDGVEETPPSRGFGMQVNGEASENDDSFVDLEGSNVGFNHWHPMPITS RGNRLAGQSEMGGVWEWTSSHLTRHEGFEPMALYPAYTSDFFDGKHNVVLGGSWATHP RIAGRKSL PFICI_05617 MKATFSSALPFLACVAPSMATLKARDIHKRSKHFGLKTASMENL DLVGNATFEQYVDHSDPSLGTFEQRYWWDGSNYKTGGPVFLFNPGESAADGMIGYLGN DTVPGRYAQEFNGAAIVIEHRYWGKSVPFDTLTAETLQYLNLPNAMKDMTNFALNADL EFCEEGDCNANDVPWVLVGGSYSGALAAWTSQKESGVFHAYHASSAVVEAIYDFWEYF SPIQQALPTNCSNDVKAVVQHIDYVFENGDDDDIQELKDKFNLGDLNAMDFADTVANP ISEWQGDQDAVIEFCDYLETSGGHSKAILNNGAGIGLVAALDAYAAYINKTAGCGDGG EDCNTWNDQIQWDTPDELDDNRPWQWMLCNEPFGWYQNGPPESDGTNIVSAQLRPEQY ARRCPLTFPETNGYVSGMVTGFTEEHLNAYTQGWDAAYKRVFFVNGEFDPWRSATLSS DYRPGGPVNTTDAPVYIVKGGVHVPELFIDEDDENTWPIIQAALEQMRCWLDEWEKPS KA PFICI_05618 MGGFDQPYMYDSDRHDRGLPMKEFDPKAVTRASWEPQPKKKKKQ NGPLVSFNRHPDLHEVPTGRTTFFRPMSNATKSGIVWLRRVQLGLRSLELIGALGLLA LMILIANVDALTAWVMRIASGVVAINCTYGIWHHMRPAGSRTPASSAAYQLFAAFTDL STLPFYAYGALAVRNRSQTWTTLLSDQALTNYFVPAYYYTLIGAGGLHVISLGISLWL GLMFRRITNMPPDMNPLEDNLTARAHKRNKSSVSTTYTAMSESNKRLSTPLEDRRRSG APYEDLSRPPSIPFMHTRTGSRDSVISSKFDLPSRQYGVVPGNSPRNSIASPDDVKRL SKPTSGRGSYTEIPIHETGSSRPSSGVMQEATSPARQPKFTEAWYTTESLLNRTQQKQ RAMAAAVARKTRAYEALAQRYDEDTDDDSDRENMMRPDAADVSDLDDSILGGSGRHPN PLRSNPASVVSSAPTVPPHAPKNAGQPIPRAKTPYYTPRGNALGEISSNSRSVSGSQD IADQKSAGLPGSTMMRNRDSSIQAEEGLFYSKPYGDLRSATPPVIVGTGRQVSSGNDF DFGSGKGGYVGSYRRNVSGKVAEEGMAGKRTSRYAVLNDDF PFICI_05619 MAVVGSGPAGFYTAYRVMSRIQDSKVDMFEALPVPFGLVRFGVA PDHPEVKNCQDKFEEVAASPNFRFIGNVAVGNPDGHFSGCTVPLEKLMRHYDALVFAY GASKDKKLGIPGEDLKGVYSAREFVGWYNGLPEFAHLNPDLTSGDEAVIIGQGNVALD VARMLLENVDVLRKSDITEAAIETLSKSRVKRVHIVGRRGPMQAAYTIKEVRELMKLH DVGFHQMDMNLVPDEVSKLPRAQKRLMDLIIKGSTAKVEGASKTWSLDFCLSPTKFSG NAGGHLESTTFERTSLASPFDPASKVSGTGETLDISSAVAFRSIGYKSVALPGFDQAG ILFDDRKGIIQNDGLGRVFTNSTVDKGTPTHLGGVYCAGWVKRGPTGVIASTMQDAFS TGDAIAADWSAEAPFLNAGESHGWDALKDEVDSSRSNVVTWQDWHKIDGAEKERGSQI GKEREKFTSTSEMLAVAA PFICI_05620 MFSAARRTALRAANGIPKATSAFASPYSPSALQRLLSTLAILEQ REGKLNHGSLSAVTAAKKLGGSVHGFVAGSNIKGVADEAAKVDGVEKIIAVDNGAYDK GLAENFAPLLVENIKKGGYTHVIVGHTAFGKNLMPRVAALLDSQQISDITAIENENTF VRPIYAGNAIATVESADAVKFITIRGTAFAAAEVGSGSAAVEEGVDPKAEALAEWVSE ELAKSDRPDLATASKVVSGGRGLKSKEDFDKVMLPLADSLGAAVGASRAAVDSGYADN SLQVGQTGKVVAPQLYMAVGISGAIQHLAGMKDSKVIAAINKDGDAPIFQVADVGLVG DLFEKVPELTEKLKSS PFICI_05621 MEEENVSYGSPANAATPGSNPILAQPPLQAGPTGEAEASEADVQ MSEVQAPAEPQIKQDSNTPAPAALSDNPLDAPAGPPAETVLGSKEDEEMGDAPKDGQN VEKEGEASAPAAGADGAATETKSKESIENAAREHLISQTHSIVLPSYSTWFDMNTIHS IERKALPEFFNNRNRSKTSHVYKDYRDFMINTYRLNPSEYLTVTATRRNLAGDVCAIM RVHSFLEQWGLINYQVDADQRPSPVGPPFTGHFQIICDTPRGLQAWQPSADPIVVDGK KNVDTDQKAAATPTAAGERNLEIGRNIFEASAKGKQLNKTESKTNGEAPATNGTASAT PDAEELTKKPIAKVNCTNCGVDCTRVYYHLPETQGPGKAKNDVCPSCYLDGRIPHNQT NNQYTKLENPTYSAVLDRDAPWSDAELLRLLEGLEKYDDDWAEIAEHVGTRTREECVL QFLQLDIEDKYLESEAPVHAPTGLGLLGSHGGQLPFSKADNPVMSVIGFLASLADPKS TAAAAKLSVEELRAGLRNKIEGGEDKSSEKGKEKEGDSMEIDIRQETTTTTTTTTTTT AAASIPLAMMAARSGGLASHEEREMSRLLSAAVNVTLQKMELKMKYFEEMESILQAER RELERGRQQLFLDRLAFKKRVRDTQEGLKTAAVNGDIKMSGLESQGLTFATTPAVGSV QPVGADGQIKSYEA PFICI_05622 MATISDEDDYVPSEINFGASEHESQGQNSDIEPSRHVTERSQTG ESADELDSEDGASPSSSSSSRSRSRKKRKAHHLPPYAGAPLKRQRRPFNPDYLSLLNQ DIADAALSLIADDAAAADLLEPPTQVGAVRWSPVEKEAFFSALGRLGRDDLPGIAARV GSKGPLEVRQFLLLLEDRARQRRDARSRRRRTLRLAEVPAAAELSHECTAALEEAADD LSLRQDNHEASTEEKRWGDRWLITPDAAEDAPDGGGGSIKDEDMPFLDFFKAKTWLRL SDRLFMNSAIPDYNWRYVSEEPPAIRATALADFHSLAVSITRRLVASTLFMAGMRLKA QRQYFPHARPLVKERDVKAAVDSLNLKTNSHDFWAGAARRLRIDVVNDQADSAPEEEP GDEESDGDYVMSYHDVETALEYSVQDNSAAAAGGPSSGDIETEIEDEEDTMDISDASS LPEDEEMTQETGDEVDEQDVAPDVDEDAVKRDLHEAISYSADYGFTTRARQGLQQRIE TEHRMEAEAEEHDMHNSRREEAQLQAMLRRVEIPSDAPSNTNPAMRNARHREFEPAGT DWRDNIQYVSEWEIQ PFICI_05623 MLGARTLRCLSRPTFRLAHSTVVHCQRGPRPSVRWATSQATAAS VAGEAAPAIPPVGGGHVRLRKYQEECIQAVLSSLEQGHKRIGISLATGAGKTVIFTQL IDRIRATSQHADQTLILAHRRELVEQAARHCTNAYPDKTVDIEMGNMHASGTADITIA SIQSITSGDRMSKFDPKRFKLVLVDEAHHIVAPGYLRALDHFDLDQKRQSSPTLIGVS ATFSRFDGLRLGAAIDEIVYHKDYVDMIGEKWLSDVIFTTVASTADLSRVRDGANGDF QPAELSRVVNTDQVNEITVRSWLAKARERQSTLVFCVDLSHVSGLTQTFRSHGIDARF VTGDTPKVERSERLEAFKAGKFPVLVNCGVFTEGTDIPNIDCIILARPTKSRNLLVQM IGRGMRLHQGKTNCHIIDMVSSLETGIVTTPTLFGLDPSELVSDASVEDMRDLNARKE AEAERANKVNSSKPQASNEGAVRSLTFTEYDSVFELIADSSGEENIRSISQYAWVEVG PDRYILSVPSGTYIRLEKDEPALDGTPRFGAWEIRMLPQGTASKSPFATPRRLLEATT FADAVHGADKYASEKFPNNFISRRMRWRKSPATKVQLKLVNRMRSPDDQLTPADITMG KASDMITKIKHGARGRFAAIDADKRRRQRQATLVEQQKERKLREKVTVGPLSV PFICI_05624 MRVVEYPPELQSQRLLRKGKGTFAVGCGPLPDDTDWTEIPYAVL KEECAIREMPTGGKAEALAEALTNYKAPESRPPAEDVSRVVGKGKSADGEKRKKKWLD GPDHTYHKKLEAIKNDAICLMSLRENGAEHKFGGPSIEFCMANNRADNAQYRVSICKM PTCDCPSKNFRAQEHCKHIYGRVPCLTAFVYLLIVAAVLANILKVPEPLLWQNAFLSD ELEQFFKPILQASNDGAVSDGSVGLLANGNCPICFQETTDVAKPTVECSDCHIKIHKR CFNILAMNTTGYGLKCVACLSKWTEPGQWGAEGVEADDRAIAEAKEAAKALKAAEKAA EKAAEKEAKARKKRNRVE PFICI_05625 MPVATQASLKGLTPEQLEETGCRLCLNNTYHLGLKPGQEALEAI GGAHKLQGWDHNILTDSGGFQMVSLLSLATITEEGVRFLSPHDRTPMLLTPEHSISLQ NTIGSDIMMQLDDVLVTTSPDKARMREAMDRSVRWLDRCIQAHKNPDRQNLFCIIQGG LDTEMRRECCKEMVARDTPGIAIGGLSGGEAKADFCRVVATCTELLPELKPRYVMGIG YPEDLVVSVALGADMFDCVWPTRTARFGNAITKHGVLNIKNSKFATDFGPLEEGCGCI CCRKGEGAIKITRAFVHHNVGKETVAAHLLSIHNVWYQLHLMGDVRNAIIEDKYPAFL RQFFADLYSDKAQYPNWAVEALRGVGVDLLAD PFICI_05626 MSHFSSFHLFSSLPTEIRLEIWQYSCSSPRVVEVYYDAKLDQCT TITPPPAILQACSEARREALRIYKPLFGTSTHRARIYFHPQLDTLYIPRPPSMGYDDN ARDFAELCTGASEVVNLALDHVNPAIRKPWETYNKYALMSSFPKVMEVYLVLDSVVNC DRAVGNNGNSAKHGFIKLAEPLGDPTEICKLLQDVKMSFTYEVGADFGTDGGAEGVPE PPVLVLKAKVETDYLRLL PFICI_05627 MDEIAPEYDVIVLGTGLTECILSGVLSVKGKKVLHIDRNDHYGG EAASVNLETLFKKYGNFQEGSKPWEKYGRPADWNIDLVPKLLMSSGELTNILVSTDVT RYLEFKQVAGSYVQQGAASKATVAKVPSDAAEALRSPLMGIFEKRRMKSFIEWVGTFD AADASTHKGLDLSSVSMKDVYDKFGLETGTRDFIGHAMALYLDDSYLTAVGKAPEAIE RIRLYGNSVARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTSVDEVLYEGSKA VGIKATMGGIEPEMKFETKAKFILGDPSYFPQKVKVVGHVLKAICVLKHPLAGTGDAD SAQLIIPQSQVGRKNDIYIACISSAHNVCPKGYYIAIVSTIAETSANHHLELQPGLER LGQIEEQFLGPPIPLYEPLEDGSKDNIFISKSYDATSHFETTTDDVQDIYKRATGEEL KVEGLREGLQVSEE PFICI_05628 MASPTTIRPVIGRILQRSTQRAPSQCLALAARSSPNSASAPFST TASLEMRKPRRDNSRLRGLSAIYRSGTKARMAVDGYELPEPAEYNPADEVKTDPKHGL YEFFYDKERSLLDPEAEAQHGRSWTVEELRHKSWDDLHKLWWVCVKERNRIATASRER TRLKFKTGEEESKERMRAVSKTMKSIKQALTERYYAWEDARDLAEQDPEVDLANTNNP YTPKNYFDEEVAGAPAIEAEGARQETGKPVEVTIDPSALPKSADSQPVSRT PFICI_05629 MHESHDARRTIIQPIIHTHPTCYVQILSFERTPQYALAWTPAFE RMNGPEFSTLVMVWRQEMHNMRERTERSHVGRPVRAGKRFRAGGHIGAMHIAQVD PFICI_05630 MDGSQYHSNVIGTSAPSYHPSPAAISPHQLQNGHLPPHTLPPLQ PHNPAMQSVYNSHPHTPRTPGTSNPPTPTNMSNYPPPPQNNQRGGYQQMMPNPYPHQG YATSASMMPQSSVAASHPQPIAPAPASRPPVLRPMPAGGVMPQSGLSSPYGQSPLMPH QPSILQETEQPTHVVGSQGRRGILPSAPGRPAAPTGPGSAKSTVIPQKDADGKFPCPH CTKTYLHAKHLKRHLLRHTGDRPYMCVLCRDTFSRSDILKRHFQKCSIRRGNPTGASH LSHPSAHVKKHQAAQAKAAAEGELNQMNGINNMQGDGVVHPFGMVPMADGLTNMSNEQ SQLSRSSSISRMDDNRDRRSMTGGGSVYGGGDVQNSMASNINPQLANYSMPQGQNGMQ MFAGSNSNQQNLDWSMFQAGAQDTYANSFQPPNLGQTQVGTKTEHHNSDAERAAAVTD ANDRSLFFSNWDTSSTIHDPYQHISTQIHGFFHPPGTVVTSQTAGITNFYSPANIKDF LEQYSHYHCHFSFLHIPTFRILEAYTGLLASMCCIGACYSAHVSPNQVREVMNFLKIA LERDSNLFSGNANGDNKATDVASDNEHRALEKLQALVLLSQLLVWNGTPFQRESARRL FPQVADLSRRFGLLQVSSPPGLYSVLHQPNLALQNISPGNFDWSLWIRQEGRIRLMHM LYLSDVAYGLYFNLDAQFDSSEMQIPLPADDAAWEASNPTECAEALHLYGSEVAKARN PDGSQRSKQPELSLAMRALLHESYQIHPGSTNLYGKFILIHALLAQIRRAQLEGAVAN MSRSATPLLQNDWIFKSDGAGGVVSAQNSGRATPVSGQSCQLAPPVLKTFAIALDKFK SNWDMDMAIQFPPSVPNSRRYGFSRDGIHFYYLARWLLQHTEAQDLRLPADHRFARVI QLLKNVKTWVLEDGAKRGEELGSVGEIDNEFGVADLTLNMADLFKPLPQVVKSPHMPS IRTEI PFICI_05631 MSLLQSYRNLSPRTRIGVGIGILAWGFIGLQLSDRAEEKFGLKP TDEDKAALSQLAPRIIAVDKGKDR PFICI_05632 MDALPVELLRLIFGYCDRQSARHLREANRTLANVGYEYMLSPRF TVLAYRDDVDRLRNIALHPQLRGSIDSIVINLSDVDDYDARHAAWLQHFIQLPEDRQL TLSSAWNEYPKIAENRKKLAQFHTRADDLREALAALPNLKSVSVTFTECPVDNAVLRD VYGVPSCRRMNRATAYENLNAIVAALNGLPLTSLSIDWFPLEMLKTPVHRRHWFTHSR SFKSLSRFDLTLDPSGLQGPAAAMKAVNGLGFVLQQAANARTLRVAFHPYSSPDSKFA INFREMLNGFVFPELEDLTLVGMSCEERDLKTFISQHRATLQRLRLGGRGLAKPYQAS LGGMHLREGTLKSLFVGLRSQLTKLERVHLEGIFECEGQYETYNFYPLTNEDWEEVPR PSWVHSSRETISCLPFEQFLTAGRPYPGNMFGQQNNN PFICI_05633 MEAWQSVLVSLVIVCTILAGGYVAYEQGMFDPLIEKIGVMMFKA KAEAEKEKYQAQGLKAGEDFVDGKQPQAPFTFHFPVISKENFPMQEPSKVLYSLNEIK RIAS PFICI_05634 MQRVSALLPSWDRSRNSAGSSGKTSLDKVRGWADRIPSSGNRLS TASSKTGRELYAPTTLDRECERAARILISFCRDGYLVTDDRPSSPTTTASGTSTRKVL KKIPPRVIQNAVGLAVFTSMRSGLWSSGSGGSGILLARKTDGTWSPPSGLTLQTASLG FVLGVDICDCVIVINSFTTLETFGRAALTLGTDVQMTSGPVVSLGLLENEFKWADLSD TVFTYVKSKGLSTDTKMDGTVLNERADENERFYGCSFSVPKILAGDVNQSLPQLRPLH EVLKFAEGRNDYDANLVEQLANQPTPGDASIDPSHSGAPSPIFGIPDPEDPDPYGVLA LGLAGSEIREAGTRLRPDSSQFDFCPSPASPAFPKYNRQSMDTYLTRSNRGSYMSNKT ERSHVTEAGTQTDVNTAATTPSPGQSEAGYQPSIEESPEEEEEVKQPEEVDYTQIDIS AIRKLTAFPDLDEDLPTETNDDKALDIEIEQTASPKTISESEPELDADDEDDDFDQNE SEISDDLSDDDDEFEDAEEPIVYEVATVQPPRMLASQVVQMKGAVVNIPRRVPPPLPM RSPARMSRTSKSEFGDVSMISSPLRNEFEIPLQADDVSTPKAGESFETSARDSMDEAA VPVTEEKTDATDAEETVDYFTQPVVASEAQKPTTTEISHALEAEEKKQAIEAKSTNNT VSGETTA PFICI_05635 MPWPRGTPRPFGRHTRKDGTRREPQAQAVAEIRPSQLSIDTRTR RTTEPGSHTDRSTSLDQQQQQQQQREPTTPRNDSASERTRLSREVRNLQNPWFLGHNS SSADYFESDEETEEDNSPLPSFEGQHSLSSSVPDSPARDTNSPRQQRAVRRDVLRSSS FFDEQSSANDHPNANNPPFESYWDLLNCDLSQLDLSHIDLTALNLDWDSVEFFNHDQD VSIMSSQQGKWREEQVLIICPGSQTTMAQLGCAELTPPANRIPTRMFKDPDTGEFMPY YTYKRKKATAANGGDDTKPSDEDDYEYVEDRDSIEGAIYPIVGGRIVNMAAFLAFLDH VHSLLTTTYHNTPIVLMASPQWTRPDTENIARYIFEKTKTPALCMLHSAVAAQYGIKW PTMTVVDIGFEKVDVTCLYDNMIVGSKTLGFPNPQREISGGEVFTQKLHALLKNSSFN YNMAEQLKKSPLCEVLPYAGDLDELMELPTETSAPSSQLPAVDALKINEPPKPAPQIG AEDEAGAEDKTGDEGVLDVANIVTSGNTREFLAKKEKEKEKEKALRGKKGKGQEPEPA KAVRLPNSKRKFATFHYEELVHEDVDTSAANGASKPTSENPANGEASATDAAPGGEES KEAPVISDATAELPFAAEAPNLPITERRTRRVRQDFEIGLERFLFADRDEIDRITTTI YRTIQSIDDIYQRSGCWDNLVFVGNGSRLRGLKENIMQTLHARHLISPSSATIFTSEL PSNMATPTGTGSQTPTGSFTGQLPSGQLPLPGSSSVNPLLQAATTASMGVPGSVQAPG SSAGDAGPSRHSHAQTPTSIKLATLPTYLAEWTKNGFEEAMFLGSLIAARLAYCIHNL DVSTQEAQRGMSLNRVDYNELGPKAVNSHSMLG PFICI_05636 MKPILAGLAFESKKGPKMRPPMRSSIACLRCRKSKIKCENNGGT SPCDSCLKTGKDCVFKLPEPNQAPPKRNDPPSTMKQERDGGSDRKKLKKIDELSKLDN EKGTLFADEVLSVPFLTEDIWDQVLDLYKLHFAPELPFLHLPTMKEKLGRRFRSQQPD PNPDFNLVLLGILTLTARYHPDLVKYLAHICNSQGGNARSRPVQTQVDPAAASEYYAE TLTRALGSMRNAMGSASVERVQALLMLGLYEWGQTRPNTGGLGAWMFVGIAVRMAHFL KLGSIDREFRKVGQHVERQNGSISESQLILEREVCRRTMWSCFILDRMLACGKERVAI IDSTKLLIQLPCSEDKFDLEMDASTGFLNPSRTDPRVTDDSVLSRFVHLVGLWGDISR YSVDGGRLTEQRPPWDTETRFCQLRERLFVFEENLPGTFTFSRSNYFKHENHQASSVY VLLHMLRNVCIIMLHREYIPFVPIRCKGPEGPLDNPVFPKEQTPDGFWLDSAEQVFKA AREIIDLIEICQKKDKLPQSTIVMFAIWTAAFVVLYAIHFEQMDVQEHVLSHKWNEPH EGERDVDIFRYGPLGLTYTTLTKMSVCLSMASTYITVLQKMDAYFIKIKRDYEVHVDH NRALSEKTSLGVRHGGKGGGLEEYKRMALLKDFGHLEPSDMSSMEDRSRASTLDRASP VDSRLPSFSRVPTPRSGPSTFTAINHASGPYGDTNGAQNGSGAQQDTWRGESNTLRPI QSYASSAVAMLGPDNATGSPSTKDDPSEFELHSEERKRFNVTNDLGILTQNNDPWIDG QLPFFDLSADAFGGIAAHFGDAY PFICI_05637 MLRCILGFANLSGKEPRLLYAFQGVSAYHLHNGREESLTPAGPQ TLSLLMVPTSSSYADPASFDSEEASPQDFYLHMHLPPELDIPLPATTQIYHQPPTSYL IPRWDLGPESGAFTRLEFPVPGSRKNLQEDVDTFETILAQCTAFLERAPVPKSAKART ATGLSTSGSSPTASKSKSAIKDDLPAYNPGDYAPGQGYADGAQSKNAGGKIVLIDEED GSVIGELGEGYQVLEDSALKPGSKDPVEITLPTEGQDTLAVAPAPKEFLEMELHPAYK KSFLVSKAVYASRLIVTTSDFLSKGMQTGADSFKAKTKPNEKAVTFNPTTHEHARRIG KFSGNVAELSAKTVGQVSKVAQNLGASLAGRKARDSSSKGIGPDGQPMEKFKPGLLNK SLMAFSTVADGIEYAGRSLMNSSSSAATEMVTHRWGEEAGHLSKHLGGSVKNVGLVYI DVTGVSRRAIIKAVGKGMVVGRVKGGGQVIVGEDTTELITDGGRRGSGTSLARDDASI GGPSKGKQLYQ PFICI_05638 MLHEILLSLSGHPSPLLRNEYSDAGPGALLSAPERDLLKTAGHL SDLHCNLIKYTTHISASHPSVICRAAATAISAAHLAAFQQKILDVEAGILCKDASLVG AYNIVPLTAVIGEFSGWTRRLEWLWDLVQFMSRKKDDGALCTGAELMNKLRGELLTGY ADIEETASSLVKVAETAWLKQVSAWVLYGRLPSFGAQDFFILKDEHDEQGYKVDPSLL PSFVTSHTASSMLFIGTSLNRVRSKSAGQSSTAGTLGHLSSQLQELSSLSFPLSSAAL SKATNAIRLNYSRTVLQKLLPLERVLEMLQLLRQFMLLGRGEFAMALTQQADDRTRSR WRRADNLAYQKRDSLSTVVVKEGEVAAVLARTWAALGMMQSQHADEDEELEFARDVLQ LTMSSSLSTTKEAPGGLVDTPFRNLLLSAPVEITVRIPSPLDLFLSTSDAQIYSCINS YLLSIRRAHLRLTDLWKITRLRRHHLAPPRAPFSMTRGGLAKTKTLRERWSSRSTYLR STWTTTSAAIFFLAETEAYLQIEVVEELWSDFLSWLADTSESADDGRIRAPDRHLQSK TISQLSDNLWVPSGLSRHQQSGAGQPATTFKVAHHDPQTLSVAHRLYLRCLTRRLLLT QHVFTAPMYNLLIHADHLVALVHRLDSIWTSMDLESDEGVVDAFSNLEADEADVKTNL RSLEVKIKKAVEEVIKVLRNLSIDPTFLAEIENDGLLEDEDHMAEDDQKRYIPKRTGG VDRLLMKLDFGGWFGSG PFICI_05639 MSTRGSHSSDDSYDHDSYGSSSTAPSSLSGAMASAKDFRSRAPR QDPERGGVDLSPSTNVYARSSVETYSSLNSTDDVDEMGLNDVVDDTAVPPLRPYTHDI ADANVRPSSPEYFAELFPSMNRLSIRHDDFTTDGNMNLRVDTIVPGRRRRTIQLFHLR MYDLNKRDFSLRRYCRDSGREVCNSKRKYTEPAMQSRPNLQRSVSSAMKSFGRPQPRR APTSGSMLSTLSRPGTSYSNSTTGEDFGGLFDTESTPDKHQRVLQHATNSIKLEFSNY ARVDVERCGSKGSKRYEFSWWGHKYAWKRVTDKLTGAVSFHLFRDGSNSTPVAHIVPE TRSPTEVRDDNKAGGWVPPCHMWLSDEELVTAMTDVADVVVATGLMALVDDCIKQRWG PKAIQAQRISLPTRSKTVEFDHGAPKSFVQQLFSRRNSDHARPQRNTQRQFIPY PFICI_05640 MAAAPFRVKALFEYTSNHEDDLPFEIGQIITVTDVDDPDWYGGE YVDSSGEKREGIFPRNFVEKYEPVAPPRPTRTKKKEVEPAPPAPVPTSPPAATEPEPE PEIEPVPESVPEQTRPTYEELEEETPLASPPPAAEPRSPKAPAVLVPAPRPVEPIAPA ALVSEPPVGDVTADAPAKASGPARGGPPPVSEKPASSSFKDRIAAFNKPAAPPIAPFK PGSLSSGSGSGFIKKPFVAPPPSRNAYVPPPREKPAPKVYRRDEDPEIKEKEAENAEN AAKAGLVASPSQEVEDDDQPKPMSLKERMALLQKQQTEAAQRHADAAAKKEKPKRPPP KKRTESQEPTERASIENPEVPSLERDTTDSLDEAQPAPPPRQPLPVRRKSKSVPEDGN EADMSGAGDTTEGPEDLTERDESDGQSRRLSQAPARKLPQAEAAEEKEGYEDEEEEGE AEEEEEEDPEVRRREELRARMAKMSAGMGGMGGMMGMHNPFAAPLASTGPTKKKKPAP AGRRSSEYGREDMPSPRPAPPIPTAMALPGMSRESHKEEADDVEEQDSPVGEDITPIA TTSPRPTASEPLKSPLAPPPVPGGRPAPPPVPAESRPAPPPPPAAITSPSIGSESDDE LSESQMRSLETPRSEAPPSARAPPPPIPIASPTMAPTSPRPGTRSSFGGDELSPTSPR LPSKRDSRPPPIPGAAPPAPSQSRPPPPPPPGAAPERSSTSDERVAPMKPGRLDNGEE GEITDYDGDYDTDIASSVPHKDALKSHARDSSLDDTTSLRSPVSEAPPPSLPPPIPAA APRAIPPPVPSQPPPPARPSADMPRAAPPPPPPPAPVQTEQDDDDEYDPYRYSGAQPS VSTNVSYNIPAAENDVKSPETAPSYQSPPPPPANRSLPPAPPSQAAPSRAPPRQSMDA QRPIGGRRSMDVGRPSLSVESGFVANDVDLAQHTSWWLQPNGLPPVFQGRRDIFFESD ESTTSAPGGRTVVTKDVYVLFQDYSQTIITIRFDPQNPADTNLDQRHEPPPRSLRQDQ LEQAYERFGLEISNAVASKKDSVVGDGSPQALVYELLKPLKDVLLPVGTRAYGALVYA NMANASTHMTDEIRPGDIISIRNAKFQGKHGPMHAKYSVEVGKPDHVAVVAEWDGTKK KVRAWEQGRENKKVKQESFKLDDLRSGEVKIWRVMPRSWVGWDSQN PFICI_05641 MATKAPKSILKKPSNPGAIAADQAAAAKAREVAVTHAKIIHQQR DLEDQIGDSIIELSKFPLVRDASHTASNPAPSDAAAFRSGIRLFQPGDYDDLIEERNA EGRCGYTLCPNPRRRFPGGEWKIVGSSILPKKEVEKWCSQPCAKRALYVKVQLNETAA WERAGIDSIQIELYEEPEQKLVKDMSNLQLEKERDAVKIARDLALERGDINRETQQSV PIDLREKTTVKAAQEPSLEDQPGTDGHLMLEGYKVKSGERNPSPMDMDEI PFICI_05642 MGRVRTKTVKKSAKVIIERYYPKLTLDFETNKRVCDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDFAQNSDNGQL DIDTETKDLLKHLGVRYLSFLWQHDTPTVLANFTMQFDSIPVNVVPVTQSQGTERPRR FGDRAPRS PFICI_05643 MASASASRSVAPGAALLRSSRMFSMPAPIPAPTDLSQATRHKSP TATTPFPTHLTVTTPESSRAVGDWGFKRPLPLKTTTKSSLPLLKVKKVDSIEQVTDFG SSSDHAITLKKWQEMNMNITVPREGTDSRPAKSVFEDYSDVTSLSAEDKVKKENVRWK FSGPWLAGMTDGEFEQYLSNTVRERRQEFREYLKQQLASELTEEQSALASEKAEPVPA PTLANDITEETLTEYLRKLRGDRILLFQHVSRFLDLAPLAPESTTLEALDRLNPNKKY EIALSPYARNGPPVTHPSAGLSYLRTNNYLENHPIYGPQQYHAPVKARIVTPRQSSSG WVAKIGVGGFISKIPEGETSFNYTSSLGKGAQERIPGLNNFLPDVQGGSKVYVRPERA SIESSGKMILEFGATDPNASVVHKEMVGEASVFEDASKERHEIRVERPMHTHQSFRRL RRHITSSSASYGITKE PFICI_05644 MATIKAIEARTVHQIQSGQVIVDLCSVVKELVENSVDAGATNIE VRFKNQGLDSIEVQDNGGGIAPDNYETLALKHYTSKLSTYEDLASLETFGFRGEALSS LCALSKFSVLTCLASDAPKGTRLEFEISGTLRGTSVVAAQKGTTVVVEDLFNNLPVRR RELQRNIKREWNKVIGLLNQYACILTRVKFSVSQQPNKGKKIILFSTKGNATTRENIV NVFGAKTLTVLIPLELDLELEPTTAPSQRLVTKKETNTKEVKIRGHVSRPAHGEGRQT PDRQMFFVNGRPCGLPQFAKVFNEVYKSYNSSQSPFICADIQLDTHMYDVNVSPDKRT ILLHDQSRLLDNLRESLIALFEVQDYSVPASQLNGPKQAAARKLAVNRQNTVESEPRS NRSTSMNPKNDDHNDEDEVDTPTGQNPDEDETVGDQQQNRGTSYSNAAQSSEGPTFIS RWLDKRSTDRPQGPGVPKPIDSTTSDITRSSQITEGNSLDHKFPEKTSLLSPQRAGFV ARLDELSRNKASTETVDIDHENQATPESPIPSVGRPSRTSEIKNVQWSPRRFKRTAPE IATITIDGETVTSIIGSPAKKVRTERSNPGKSHEDQSTAQKAAQMPSFKGRLTQMFSA SSSSHACSEGIGLMPSLGESPRRSRSPSTASAASEGLFVESDKDADEEPASPISEAKS GQIETHASGIGRGTPAHSAISRETDSADAYELELSNSNLPVRSEQEFADEAEQKAQEE DRVRDMIDSAEDVAKITAEESQKRQQTFVKGMTRRKDATCQFMQKVRTNTDTIAAALS SLDEYSSHTTLGADADFSKNDISGEDAEEKLSLTISKSDFDKMKIVGQFNLGFILAIR YASRNTDDAEDWHAVDDELFIIDQHATDEKYNFERLQATTVVQSQRLVQPKALELTAI EEEVIKENIEALESNGFQVSIDESGYQPVGSRCQLLSLPLSRETTFTLADLEELISLL TDHQSTSVNTIPRPSKVRKMFAMRACRSSVMIGKSLSNKQMEKLVRHMGELDKPWNCP HGRPTMRHLCGLGAWNDSVWQEGDGPDGEPGTSTNWSAYLKEQRTSNPN PFICI_05645 MERRSSGSSEDSITASVETPSASTDGRQTIPNGSRSVGQTVPSD RQSDRPEKTAQNASSRHSFARIRRQSSNINWKPAHSRNGSRTGSLEKEAFAQPHTTSI LVTNSPDSDTGEEEEKMADKRQTGHRPWMRSPWRMTLRAFLTAVIGISLLTAILNSSW TRQLDSKGCRMSYMSPSYVHMSDFDTEHTRFASKYSLYLYRESGISAGNTVTGIPVLF IPGNAGSYKQVRPIAAEAARYFHDVLQHDPVLADAGKQNLDFFTVDFNEDFTAFHGQT ILDQAEYLNEVIRYILSLYLDPKMSRGRNPDLPDPASVIVLGHSMGGIVARTMLVMPN YQTNSINTIITMSAPHARPPVSFDSQIIKTYDDINNFWRQAYSQKWANNNPLWHVTLV SIAGGGLDTVVPSDYASVESIVPATHGFTVFTTSVPNVWTSMDHAAILWCDQFRKVIT RVLYDIVDTGRASQTKPRAERMRVFKKWLLTGMEDIAEKTLSHSDPQILLTLEDNSNT VLKQGERLVLHQLGQAGKPRAHLLPIPPHSSSEPKRFTLLTDTKLDLAGDNGKLEVLF CTVFPLQPGASNILFSMNMDLSGDSTGSTRLACKNVAPDLITLPRSTARTEFPFYRDG EQPLAPFSYLQYDLEDVVEYEFVAVVEKATSTTPGWLVAEFSEEKNYLLSSHVSLQRL LSFGLQVQLPANHPMVTELRIPSVKSSMLAYHLEISKQSCGNREHFAPLVRQYLSEPY ESKFFVNARDIKVSLHGVAPYVPPPMRAKRSQDGLSFQFWTDPSCDSGLSLRLRVDPY GSLGKLYMRYRTVFAAFPLLVVTLVLRKQFRVYDNTGVFISFMDGLDSCLRQSLPLLL LSLTLFGLSVGHSGSSTGSWLWKNVAGSVNFQQNDLLIGTADPFFWFLVPMIGVFAYV VACLVQLSTSVRALRFFREAPSIGNSNFYNYVHSILLLMLWVLPINLPTLVVWVRNLA VQWFTPFSSHHNVLSILPFIFMVETLTTGKMVPRLTSRLRHLTSFLLFGIAIYAAVYG VSHAYMLHYLINIVAAWLVAIHSTTDSFSVAGMRALFDGDLSDHRKQGKNP PFICI_05646 MASGKRKNDAQDGAAGSKRPRKNEIPATRKFEPREKFRKVVQLP KQNGPPAKDIHLIPLSDLNIFIGLTRKDIQRQLRARKENLSENGTKVELYLRLIESRD REAQIAFRDREAREYVERAARNIARDFQQKIELERATKQVKGLFLS PFICI_05647 MGALLSLPLLAIPSAGTLMSFAASCCGAATCSMVCSACGKCGNS VATRIAYALILLVNSILSWIMLTPWAIEKLQHLTLDYVKINCPTGECYGWLAVHRINF ALGLFHLVFAGLLFGVNNSKNPRAALQNGFWGPKVIAWLAFIVLSFLIPDAFFKVWGN YISFFAAMAFLILGLILLVDLAHTWAEYCLAQIEDTDSRVWRVVLIGSTLSMYLGSLA MTIVQYYFFAKGDCAMNQAAITINLILWLLISFISVHPAVQEYNPKAGLAQAAMVAVY CTYLTMSAVSMEPDDKQCNPLIRAQGTRTTSVVMGAIVTMLTVAYTTTRAATQSLGLG NSRGGIRLPDEDEHDLVTQQPGRREMRAEVLRRAVEEGSLPADALLSDDDDDDDSSNS KTGDDERNSTQYSYTVFHIIFFLATCWVATLLTQGQDIKNDGEFASVGRTYWASWVKI VSAWVCYGMYIWTLVAPIVLPDRFDFS PFICI_05648 MTLDKQLHNARIVSSVAATVISLACGTNYVYSAWAPQFADRLLL SATESNLVGLFGNLGMYSMGVPVGMLVDNKGPRPAVISGSILLGVGYWPLHSAYNAGH GSVPLMCFFSYLTGLGGCLAFAAAVKTSALNWPHHRGSATAFPMAAFGLSAFFFALVG SIFFPGDPGRFLELLAAGTFGLTFVGFFFLRVYPHGHYHTVPHAEENLSDSQQLHRSI SHDSRKSHAGRGQLVEPGMSSDDSTIPETDAPESSGKPGSSDSRVADVEVAGHSKRLP AEVEEVAEADETSSLMSRSTSASSLPGDLLLQNSVDLDRSHRVDIRGWALLRNLEFWQ LFSIMGILSGIGLMTINNIGNDATALWKHWDDSIDDKTLVLRQQLHVSILSIGSFTGR LLSGTGSDFLVKVLHASRVWCLVLAAGIFSVAQIFALNVENPHLLGFVSGFSGLGYGF LFGVFPSIVAESFGIHALSQNWGYMTLSPVISSNIFNLFYGWVFDAHSIVEPDGSRDC TEGLSCYRAAYLVTICACALGVVVTLAVIWVQKQARLKEASKARQED PFICI_05649 MFHGQDSKTTLAAFQSARKRPVTVAFPEQLKMLFNRVTAAHRGG REPSSRADPRPGSPLPPQHHRGRMARRPFRAVLGSIEEELETALL PFICI_05650 MIKTFGLDDAVMVLAFLAAVGSMVCLVEETKYGAGRHFIDIRLD EFAQLAFWQYVHGPLLVTGISLVKISLGFFLLRFVQGLWYTRFIIFMIVFLTLFTLTC DLTLALQCIPPVAAYTIPRPIDAKCFSADTFLAISTFNGVMNILTDAIFVLLPVPIII KLQVNRRTKLSLLFILSLGLFACIASIVRVYVGSHVFEDLDYTWAYAFFIWNYAELHT GIVAACLPALRPLFSSILENTSRRLRATGYLYDSGGRFAHKYGGSRSNHNRSGYHRHD DAVGLNSLQRKHLEEGEDVGGMYNARVTSTSGTGVSRSGGGGDDSSEEGILPPPPRGA VIKTTQIVVTEGRQGV PFICI_05651 MKLSLWALFALTSAASSYTPESTLSTDILAIASLAKLAASVAEG SLADLLAANGVSQECNILEVGVRREYSTLTNKEKLAYTNAVKCLMSKPAQTPADQVPG AKSRYDDFVATHVNQTISIHATGNFLSWHRYFSWAFEQALRDECGYEGYLPYWNWGKS ALDPIHSPYFDGSEYSQGGNGIYAEHNCTDALGTGLNCIPPGEGGGCVETGPYVGIMA NISATAPTLTAANVTAGSFLGYQPRCIRRDVSPWVSSQWSTDQQSFDLLTNPLYQTGI GAFQDRLQGDFDAGFFGLHSGGHYTIGGDPGGDFFNSPNDPMFWLHHSQIDRTWWIWQ NQLPLNRTLQISGTNTVFNTPPSANTTLEDTINLGIIRDPLAIKHHLSTVAGPYCYIY V PFICI_05652 MVQSDRPPANGFVARARKVYNPIGFSKGYNFVLWFIFLGALLGF TLARLQYLDIWGVFCGDQGSSGALPGECFYYTRPGPDQIGIILHLGCILPASLLACVQ FIPVIRHKAIIVHRINGWLVLLLSVIATVGAFLMARNSVGGGIDVQMGIGVIGIMFVA SLILAVVNVKRLQIEQHRAWMLRAWFYAGTIITMRIILILSAMIISSIGGYYHTQPCD KLEYMLGSANATRSLYPECASYFSGANPAQQAVVAANFNGNVAEIGSSFNATFGPAFW LAFALHAVGIEIYLHLTPAEAERLRNVSYQRQVEAGMRYPGRAGLTADRLGDAETWKP KKDGKETDLSADSESQLQAPSR PFICI_05653 MAAFMSRRLASSFMRARPAPSYPTASRRLVRFYSSDPPPPPLLQ KLKGDLKTAMRAKDAARLTVLRSILAATLNASKTANPIQTDAQLVALLRKTAKASEDA AAEFSGAGRADLADKEQEQIVILNEYVSGSGIQVLGEDELRTVVAGVVTALTSEGAQS KAKLGDVMKQLLAPNGPLEGKSVEKAELAKIVKEVIG PFICI_05654 MVNDGINADRWSQYIREIRRVLVRNGWVQCLEIYLNVQCPSGNY PDDGALRQWSSRYMEAMSRLGKDPRVGMRLGALLRAGGFVDVEETSFELPLCEWPTDA QERQLGAWNKANVDELLYSLALWPMTSRRGLRMPIENFIELVEKARVEAGNPAFKPYF TV PFICI_05655 MPRSRPATTGYERLAQADQFSDDSDEEVLGQSFASLQPQTAPRY AAATQPRPHSGMASPDAAKNRHRPRRARTNSGVDLKAINARLERWADEIASKFKRGKG KGNHGDEEQLEIHYSVFHAPDGVRPATAETLAASSHETAMSREQFEEIVESVRTAIDQ GMHPSMITQGSSGSYFARNTDGKIVGVFKPKDEEPYAAGNPKWNKWIHRNLFPCCFGR ACLIPNLSYVSEAAAYTLDCQLRTNMVPYTDVVWLSSKSFHYPYWDRRNFYRKKKPLP AKAGSFQVFLKGFKDANVFLKQHPWPDQYWSGFRSNDTHRHKQKRWADNCRPTSRRVN GNESDDDYDAPTPPPLGPDNFVWTEGLKQAFREELEKLVILDYIMRNTDRGLDNWMVK VDWETQEVSIASEPPQFNMDTADDDDDDDEEQQPARPVDLTEGSKSRSSSRNPYKAQK PMNAQGSTNMREPKMSIGAIDNSLSWPWKHPDAWRSFPFGWLFLPVDLIGRPFSQKTR DHFLPLLTSTEWWSQTQLALRKVFRLDPDFQEKMFSRQMAVMKGQAWNVVETLKTPDH GPLELTRRARVCVWDDLVDVPVAVPMRAASAEMRRKAEPELHDAIEEEMDIGAANSSS APNVQTDDLLGFASPLPNPGRFELSTPRAQSPDEATTSPNGRSSAHFDEVNALGSQNS TPRPKISRAAPRASYQGPSRPNANMYTPRRERRFSFATAAGRRNSNSIAQQMYGDFAA DDDDMEGDLGYAAAEGMEGNRRKVIVERLETVKARNPVFTCW PFICI_05656 MANEQASNGEVLPTDGRRILILYGSETGNSHDFAIDLETMAERL RFRADVFAIDTITLKTLTQYPLVILVISTTGQGEMPKNSRLLWKRLLSKRLPPTVFKN VNFTTFGLGDSSYRQYNWAARKFHKRLLQLGASEFYPRGEADERHEDGIDGAFLPWLI SLRSHLLSEWPLPEGVLPIPQDVPLPPKVILERDSVAAKDKVFHRDHLENVDSNGLDC QTLRFGQLSVAGQMTEEKDTFVENGAKKDQAEEPYTGDKAMLNDLLPIPESWTATVEC NDRLTPDGHWQDVRQLKLDVLPRAETEDRFLNNAGDSVVLYPRNFPEDVQALIDLMNW NDVADEPFRHYLRGDGATAEEYTPPNCYSAKNSSLRQLLTNNYDITAIPKRSFFDSVR FFASDPMQKERLEEFSDPKYIDEFYDYTTRPRRSILEILQDFLSIKISYQHIPSMFPL IRGREYSIASAGKLSQPGGEASPEYHVELLIALVKYKTVLRKTRRGLCSRYVESLQPG SRINITLNRRGGPFVSNKQIIERPLLCIATGTGVAPIRAVFWEREQERESSHGPNHLF YGSRNEKADFFFKDEWPKLDVEVHTAFSRDQKQKIYVQDVIRTQWELVCSLIKAQATI AVCGSSGAMPEAVKNAIAEVMVRGGVVDPNVDAWDYLVRNNMVWEEVW PFICI_05657 MLLVSRKLLCLAALLCNGLDQAVAAPHMGHVERRLASNNQTAAS IIESSGTTVPDSNPSSTSIESTSITEDGVVTARLSVASVSTSSTVSTSSGQGFALHGG LGSITTVARPTTFTLGLGTAATTSQSSSQTSRETSATSSETLRETSTASSETSSVATS SDSSTSSAASLDPYPISYINASSTTVPSITLPINSTVYELGWNSTATISGEPTIITTE PPLITTSYDVLTLSTPTDEQTVDSCDVTTNSNTVTVWSTIYTTTITWTLPPEQYTPPY PTTTSTPIICADTTGRFSVSKCEGSACTQLSYPVGATSVPHVDPTATKGTTTVAASNS GGRAGEATITFYTTDKNPAVVYSSRAPPDYGDDGTTQVQDHNTPDNNDAATDVPQYEK GTTTVIDAGATGGSGAAAPGGNGGAGNGGAGNGGAAPTSPAPVQQPPSPTSTAITVII QTTQVIINDQTFTDSPQSKTETVVVGTDTFVINPSEVIGAGATVARPALGGVFMTTAT TTTIAGVQVVYTPVGSAAANGGSTAAVATIDGTVFTLGPTTSTAVVKGQTITLGPGGI AFASQTIPIVTAAGGATESAVLGGEMITAIGNSVVVVHGTTITYGPDTSPITQVIDGD TVVIGPSGVTVHGITFGGPTAALTATTYEIVGGATITEIGGSAVVIEGTTYLIGAGAA TAITTVVDGQTLTIGPSGVAMSSYTFAQPYATSTVIEPGGTSSVAAATTTAESMGSQS RPNWSTGIIGMCIAIGVGFVGGLIL PFICI_05658 MGLYAGVPGQQVDVLVSTSLSEIWVVESNGCGASALCTAARGNV FNISASTDWESLGAWELGLDYLQQVANGDYATEAVAVYNTVTQNQTNLDKQVVAAIND TDYYTGFFGLGITPGRFKNTVVQSPIATMVERDGIIPSHSYGYTAGAYYGGQYGTPMS LVLGGYDQNRFISHNTEFSLNSTTRQPEALIRAITASMSSTDQASAGWNSTSISLSAF DEAVVALIDSSTPYLWLPTVICDRFADALNLTWNETFGLYTFASNATYESYFDTSLAF TFSLSSSDNRDNFGDPLNVAGVVNITISANAFAQTLRYPFMNLFQYGDAAIPYFPLRR ADNGTQIIIGRAFLQESYMKTNYESSTFSLHQALFPDNADTNKTIIAVQSSADNPYPS AASSSGSPEGALGTPAIVGIVVGACIVLSFIALIVFCVRRRRKQQKGTMVEADSFKDT TSSVESECPRTPVARIFSRITRKITGRRSRRIPAYEVSGDTAQPFEAAGQERYELAVP PPPVELDATDAHSINGTTEFGTDDTHDLSPYEIARRKMDKQLQGPVPEYTPGPSPIDT HGNSYEKGYQDISSVPHHRRSDRSLFSDNRSLSPASTPTHDEYSYLTPSPIPSPMTPH NMDWPPSEMPNISSPMPFAPRSTLPRSTSNPGSGYAPSSLNTLERRRSISRSASTAGS PTSPMSHFAQHMHSFQRTPIDQQKVVCLGPLPSNIRPPGPTVPPRSGSSVGQDFALPT ISSAAESRRQSIAETLGSNFTVEEEEIFRNEIEHNDTFGGYGLAGAMIEEEEPDPSPD HMTGGHGQLELIHIPERPIPASVVSDAGRLQGRVDFVHVPTPVDPSVTPTSATQRLNG FDLVHVPQPAQKRYSWEASSPQ PFICI_05659 MQQEFPEVSQSSQVISTLVSPQTPSQHGFHQLEHGGSDRGCDSR SSCSTSPPSACSRRVDDHEYGLDSADDVDFDRFDDKLHGLSVQDPPLLSPGQRISEYE NALSPSMPRQAMGFKVVKRVTPSSDGPQLADFPNEILTHILSHLHPDSHTAVALVSKR FYGLVTTSHAWRMAFQRFFPGHDTLLLPGKSNGVLDDDDEFIRSETRFFTPLTADASW RSEYLLRTRLLRSLARGKPSSSRGIGSSTRNAHVGKKLSAVLTYNTKLPSMVTNAHAT FASSAKKPPRVIHGAADLNACSASDPTIGKVEKWGLDDPFTFSQFDEVVPQILPYGVG EGPAAVPNVMDASQPFGVVAGEGFPGGRAFFRPSTIYRGKYLSPDSSMADAYPDIPKI PELSEGICSVWIAKSAAVPSLTQTMMGILTGSTLGVITAYALATESTGARFPTGQITA RWALSPGVPIIAIQVDEAYSQKRKAANRVFAVALNALGEVFYLTQPPTPLVHRSKAED ATKCAWYAGRSTYWHLVETTRRQARPDEWEKNALRGTYSPRSPSNAMDLNKNQLIAEA REIEKFLRYQPSHFRKVCTGWDMRRKLEVDFSSVTADGAGEAIFVIECNHDEEQLASI TRFSRVITGEVGLADITPEAETAPVPSLFGDGVVESTKPKFSEPQQTVASGTQSPMTL GVGKPHDWQETRLLMPNDAVRITTTAVDMSLFATSTSSENASKDGTSALSGSTTPTKQ AAADIPGRWARMIGVGTSVGSVWLWNMRAGHLVDGIKPVRIIQTESPEISCLAISALY LVHGGSDGLVQAWDPLASTLDPIRTLNARSMGRVPRMVLSANPNLRHSAFASVGALYL DPDPTVLRGIVCFGTFVRYWAYSSTGQPTGRRRRLRHSEIHGRADSSRRVTGGLKGYI AAEAEMIRVEEHRKAQEQAHLRSRFGVGLADLTEEEALQYAEMISQETFLLDGHRRVS ASETGSAFDTTSTSGRSLDTVTPDPSVTGLSPPTASSSNVPPPQALNDESDFELQIQQ ALRLSLLEDVNGADQSPKGNSSGDFGFPVTYSEKRGKQTPSTPSTSHTPMVQPGANSS LEALSPDMDDDLRLALELSLAEEESRKSFQQNQGGSDDEFPALVAGGKGKGRA PFICI_05660 MSMKAASKNSRLAQNSESDSDFEDAQDEVAFLGPGSDEVYELQE LDQHKTHRQQSRGHHGLEEDDDDDDDGLHPIVRRNRRLSSSTAASFQLYTPDEEQNVV RKFDRRLVLFLSVCYMMSFLDRSNIGNARLAGMEQDLQSRPARSEWYAWSLTSFYIAY IVFEWMSLLFKIVPAHIYISLIILSWGIVASLQSIAVSYPALIFLRTLLGIGEAGFSG VPFYLSFFFKKDELAFRTALFISAAPLATTFASSLAWIILKIGEAGPIAPWRLLFLIE GFPSVIIGVVAWSVIPDHPQAASYLTEREKKVARLRLRHEKPRTRAHKGANSKRSPLK SKEVLSVFADPKAWITALMFFLTNMAYSSLPVFLPTILHEMGHTALESQALSAPPYLI AFLTILVTAHLSDKMQTRAPFVIAHALLSALGYTVMALARPLNINPMLRYLAVYPAAS GFFNVVVLIIAWSINNQPTESKQGGGFALMQIIGQCGPLVGTRLYPTSDEPFFEHGMW TCAIAMFGVVVLAAILRFYLMRQNKKLDPGGKDGNDELDAEEAQGLVEGSNEAARVED GLVGFRFIL PFICI_05661 MHTRLAVATLVAAASLASAVPDRPKIYFPKKIKREITNSTITSA PVLSTPESSVSSSSDTTKRDPFSLSQFFSSLTGDRDSSASSESGTSLSDDTTSLIVIS PSTITSAAESTATSATDSTASTTTADDASTTDSGIELLPSLSIDVPITLGATSTDVTT ANATTTTDATTATDATTATDATTATDATTTADATTTAATASETAASSTADSTTAATEA ATDSTATVTDAASDTSITILPTVTSSSSGDGGLIGILTSVVSDVTSALLDPTANATDS SASVTASATDSSVLATTTAASDAATTTSSSGLDLGLTLTDILPTVADPTSTTFVGTGT AVTTTADSASASATSSSGLDLGLTLTDILPTIADPTSTTFAGTGDATTSASATPTSSS GLDLSLTDILPTILDPTSTTFVGTGSTTASGSASATSSSGLDLSLTDILPTIVDPTST TFVGTGASTTATSSAAISDILSSLAPTVTTSAGVSVNSTDSVSATTTFTPPTSISTPV SVGQNTTSIDSSTIIPTISTNTTQPESTASTTPETSFTTSVFPSATQNTTLPETTTET SSETPTITTSSSISTTPVTSIQATATITNSQSWLPTTIIVQSSITESAVSTTATSTGI PTTLPKSITPFETPSAQPEGTTPIQISFGYGFNYEWIAAQSMAAAQLFSLLPQAIAFD RSLSTDDVVMKSIVPYDTTAQLGFITSQAILYIPTSSVELLRLDHKIPSSALYTNPNA LVYNMTSQINPAIDILIGSTLDGSSTTSTDGSSPSSSSSSGGSNDAFGSSSSGDQTSQ QKGTTAAIAMGGIAVAGAYGAAMFIIARRYKRKKQRHQRTSSVNSPSEMRQTGSPALM GGALLSRDFTAAGYGGVNGHNQMGGNMRDSQGSGRSGMNNSGRTAFISAPVAAENSLG WN PFICI_05662 MGVQKTVLKEGSGAQPQKGSTVTIEYTGWLKDTSKPDSKGNKFD SSVGRGDFVVQIGVGQVIRGWDEGVLTMKTGEKSTLDISSDFGYGARGFTGHIPPNSD LIFDVELKKVQ PFICI_05663 MEFLSVAGIGSAWESPHFHSQNAEHVFNDYHVVAQEPRAGLPWI GHLSGFAKTGYLQITATVLSALLVLRHLVRRSYSKVTRPEGNASTFTLPLELASQVLR AAALAFMIVAAVRHGDKWANVVVLGYVFLLGLLRLVNDMEWRHVALHQVNFTIFCAWL VILAGELLPTISVEWHDSIDPMLIASIASLTAAVLVAFVTRREWVPPSLDLDLPENVC SEPSPEETCSWLEYYVTYEWLTPLMWRGIRSQMTIEELPQLPWYDEPLVLLRRVQEAR KKNQSTAWTLLRFLPHELITMAVWAATCFVVELVAPFALYNLLGYINAPEKAMLRPWV WLILLFFGPLSRSVAFQQYIFTSTRLIVRVKAALTQELYYKAMNSMELDDESFQDISS ADKPKEGEKKQETVTSTGRLANLMSSDIDAITNGRDLILVGAGLPTGTIVGFIGLYSM LNWPAAVGVGLMLTMSPIGVYIAQRMVHLQRDIRKIQDSRISVISEYLSSIRAIKYFA WENAIINKVKAIRLNEQRKIWRINVLFMLLSQFTEAIPIIALLIIFSLHVAVRKQPLT ADVAFTSITLIRTIRRNLAMMTGMSRGITSAGVSVKRLDRYFSNVVPLTRHPLGPLRM ENATIRRHKKATFTLKDISIDFVEGGLNVLAGPSGSGKSTMLLAILGETLLEKGVITC PKDIAYASQSAWLQNETIKENIVFNSEFEQVRYDRVINACGLPIDFNEFPDRDETEVG ENGATLSGGQKSRIALARALYSKAPILLLDDIFSALDAKTASVVWEDCFCGDLLRDRT IVLVTQLPWITPQADLAVKLENGLVKEIERHPDIVRKPVTLGMELVDEGDVDTTVEVA ATQEASNGNTNGNKSNGKSNGGTNGTMKTSEAKRRDEVTQEALKTGPTARLQFFKYMA YFGNPLLAVGAALMTILSTASAVGTGLWIAVWVDAYGRGEASDIAFYLGIYGAWSFAE LLISAMTFVFYESGGWYAARTLHNIFIESVMSVPLSWYKTTPIGRVVNRFSRDMSSLD NSLVTYLRFCFDAFTRLVFQVGAVGSVMPIFMLPAAVCCLVGVIAGEMYTRTAVAVKR IVSSSQSPLFSQFADSLAGIAIIRARAGMPKTFGDQLAEKLRIYERASESQYNCNRWV ALKVDMATTLVTVAAGAIAVSKVGTVAAGLVGFSLTNATTLSQVIIMLVRCMNELEVE MQSFHRIREYALVEPEEKPDEYKESTAYTDDETLEMPADWPRSGEVEFRDVTIRYDPD GPDILKNINLKFAAGERVAVVGRTGSGKSTLVLSLLRFSNIVSGKILFDGVDITSFSR KRLREALTIIPQEAVLFSGDVASNLDPTGEVPKDVVTRALQYCSGIASFEYQDEDAAE DDTGDNTQDTSGGHADTQKINLSTSVKSKGENFSHGQRQVLSLCRALVRKSKLMLLDE ATASMDYETDQGIQQVLRKELNEHGRDRTLVTIAHRLKTIIDYDKVVVLSAGSVIETG SPRELYDAKGQFYDMMKHSGEFEDLEKSLNAKE PFICI_05664 MDTSANRGGSTGPARRRASRSGSHSHKLSSGSVSSISSRKGRHV EPVASFEVPVDADLSMPPPPMPLPVKSPRSSFPPTRRESNTSESAWSEDHRPFQANLR NVSGSSPLLADDSDDVAVDDHENTTPRLGPTTTAHHIIRDPSGSIDRLPEPALTTRSS FSDEGLSSKRLSVSSIYSLASARGIPSPASSEGGISRSVSGVIMASNKGLGPSPGHSE SGLSNVIVTTSSTSSQAQASTSHQLAPRETTHPNPLDAVRRNPTKPDPNTRPQAPTRS RSRAKRRFSGSTVTSSHSPSSERGIQTEVKKDKSEAKSAPLGIIGVCALESKARSKPS RNILNRLRGSDEFEVVMFGDKTIQDEDVENWPICDYLISFYSDGFPLEKAISYVKARK PFCVNDVPMQKILWDRRICLRILDMIGVPTPRRVEVNRDGGPSLLTPEIAKYVKDVTG LTLDPYDPEMVPAPRVVEMLDDGDILSVDGTLLKKPFVEKPTSGEDHNVIIYFPKSQG GGARKLFRKIGNKSSEFVEDLVVPRAISEPDSSYVFEQFMRVENAEDVKAYTVGPNYC HAETRKSPVVDGIVKRNTHGKEIRYVTPLNDEEKEIARKISTTFGQRVCGFDLLRADG KSYVIDVNGWSFVKDNDDYYSHCARILREIFIQEKLRRGGSTPPPLPSPTTLDHRDPL YKAAMLAKDREPRGEALETTPVKSPAAVNAPTSTPRPSQLEATTAEDVGMVSASTSEA GPSVAPSKVQSGLTSPLPAVQADAVVASLPATAPPTLPGTPSVVPSDVSGQTSEQPTP PPPPPKHQWKLKGLVSVIRHADRTPKQKFKFTFHTQPFIDLLKGHQEEVLLIGEAALA SVIEAVDLAIRQGEEDASKLKTLRNVLIKKGGWAGTKVQIKPMFRKKKTEEMTPTPEA KTAENQEAPNAPDSSVDAASNEVKETEPPKEADSSNPAIEGQRRPAKRSDSMSGVTMS KFTAAENSLVLDKLQLIVKWGGEPTHSARHQAVELAQNMRNDYLLLNRDVLDEVHVFS SSERRVTTSAQIWATTFLDRDELPEDYITVRKDLLDDSNAAKDEMDKVKKKLKGLLRK GNTRPPQFAWPDNFMEPSEVQGRVVQLMKFHRKVMQHNYAKLTSGAMNSLTALSNSSA EKLNGEGSGSSASSLSQANTISNIQARWCSQEDPELFRERWEKLFIEFCDNEKVDPSK ISELYDTMKFDALHNRAFLEWVFTPTKEMLQEEFNIVIGRDGKTQEFEEGKESKNPDD KSDKGQASGSSPSDKTDKSERTERGEKSDIQKTAKRIFRRRSWRNVRQQGEAALPEQY FKLQKGSGPTKAKTDARFEPLRELYKLAKILFDFICPQEYGISDAEKLEIGLLTTLPL LKEIVQDLEEMQASEDAKSFFYFTKESHIYTLLNCIMEGGIKTKINRATIPELDYLSQ ICFELYESETKPPASSPDDPSTFAYSIRITISPGCHIYDPLDIQLDSKHCIGYAARRS LTDHNDWKTVIETLRTKFHQVKLPKTFLAVNLSEAFTFQEKERHNSEVDVLEMKNLEH TKSPKVAAATPSDDQVDPSSSIEQKEVPAVPAVPEETPK PFICI_05665 MPHSEQDGPLAKRQKLAVSQKPSAQKQQSRIFAPYRTIGLVSPT NVPFTTVPLGKTTFQLTTSVGRSLQTYDLRRGLNLVFITRPQTPFDITATHAWRQKVF AAFGDARNGETQGLWVLQRGKKIAELELPLDLEEPVKQILVSGSWIIAACLTRIEVWK TETLEHYTTLFTMAAKKGDNEISGGICTMPTYLNKIFVGRRDGWVEIWNVSTGKLIYT IVPPSADCGAVTCLQPSPVLSLMGIAYSSGPLIVHNILTDKTVLRVNAGTEDAPVTAI TFRTDGQGAGQDGRKDGVMATATSASGDVTFWDLNKGGRVMGVLRSAHNPPSRDGPAV RGGVSKIEFLAGQPVIVTSGRDNSLKTWIFDETPFSPIPRILHSRSGHAAPVTSLQFL PSDFDGADAGNKWLLSAGQDRSLWGWSLRRDGQSTELSQGAIRKKAKKFGLLAGDVLK NGPTTTLDDLKAPEITCIACSLNRDGGMGAMPGKQAMWQKSQDRKSKPSDAELSGMTG WESVVTAHKNDSYARTWFWGRKRAGRWAFPTGDRTDVSTVAISPCGTFAVIGSIGGTI DMYNLQSGVHRQRYPSTLTPAQARQAKMQQLRQADSIMQLDARSTTGFAAGVGKHTKA VTGIIVDSMNNYIISCSLDGKIKFWEFLTGRLKHEISWAPMTAITGCRYHAANDLIAF SCDDQSIRVVDIETKQTIREFWGCQGKINDFTFSNDGRWIVAASQDSIIRVWDLPTSH LIDAIRLEQPCTALAFSLTGEYLAAAAEGQLGVNVWTNKTLFTHVPTRQISEKEIGQV DGPTTSGEGGQGLIDAAFEEAAPADEDTVAAPSLDQLSAEMMTLSLVPRSRWQTLLHL DLIKKRNKPQEAPKQPEKAPFFLPSTTNSSVPQAGAIAEAGDAATSQSRITKFDRSSR SEEAFTSKLRNGAESGNYDDFIEHLKSLSPSSADLELRSLSASEVDEDSNELLQFIRA LTSRLQSRKDYELTQAWMTVFLRLHFDMIMESDTLMAALQDWKRHQAEECERLNNLVG YCSGVVSFLRSPRT PFICI_05666 MADVKGQEQAAPAEHTTRLSGSAASPTGGGGIEVREDVEQQGSP LQRIKTSFGERPACFKNTFQEVSFVFQATVATATTSFLTGVGMIVTASIGRDLGMTQG EISWITASTSLVAGAFQLALGQLADLLGRKAMFVIGMGSFSAFVLLLAFAQNPFWMVI FE PFICI_05667 MIVCGIASSVSTWRAAYIFLCILWALFTAHAVWAVPNVENFDRA PLRERLGALRRFDYVGTVLTIFGTGMFTAGLTLGPDDGWSRAHVVALLVVGVVLLVVF VFWERAYPTPLMPPEIWRDRNFSLVIVTILLGMMAFTASGFWVAYYMQSVQQLPTIIV AVHLLPMAVAGLIWNVIAGHILHKVNNTLIMIGGSLCFLAASLLLSFMRQDSNYFAFI FPALILNVAGADFNFNVANMYVMSSLPPHQQSLAGGIFNVVIRLSSTAVMGITTAVFS SVELTPAGMADPMLKYTRTFQACVALAGASVLFAPFIRLGTQGNAPKGVVAGSEKSEL VAADDVQGGPQEKEKALR PFICI_05668 MSSLEAKIVVLGSQGVGKTSLLMRYCKGAFNPSQTTSTVGASFM TKRVVDSDTDTVVRLQIWDTAGQERFRSISRLYYRGANACILCYSITDAQSFAEMGVW LTELRRNLPSDIILHVVGTKADIVARDPTRREVPFERCIAYVAENLAPGQGNTPPPTA TPGGMMTPALQSEMGSGGFMNSVGGILGEPRSPSSKRSSGFWGQEVGWDACHEISAES GEGVEEVFRVVTRKLVEQNRKMEQALLAAVASPGTPFDRSLDTGYFDGVNPRGSFRVG RDRRSWLFSPGFSPAITVENPAHNGTEQSVQQVQRKNSSKCC PFICI_05669 MTVRVVPPFARLDEDDDFVTWEDIPEEPPRQGMVRRVSSHGAFE SWVDERLIKRHRRPAWLLKKSNRPGRELDYLRSASPVIPKAVSFRPYGEDPYKKWRHL LQTAPHYAPENHHTISFEELEAQPQGSPIYLEQQLSNVVSRRHNWFFVNPLPQLIFRL LVLGSTISSLAIATTLYRTHWWYTATNQWELAQKGQVILAMSVDSLSIPYVFYMTFDD IFGAPLGLRRPIIKVSLTLLDLFFIILKAASASLAFQALETSSDELNLSLVRALAACI LTGLVFWVANFTISLLRIIERLGGMEKGSKMMEKGRRKMQLRQPTVNGSAANEKVPYT SATTNGRRFSRPISISRKR PFICI_05670 MATDYSKLKVTELKAELKRLGLPQNGLKAELVARLEEATLEATE ATDQEADALPIAENDEVNEQVPEENENIMSEAAELPAPLSDTDPDQAEQNVQQAPSTQ DAAHPRQEQQVSNDAEGQSDVPQEDIPSQTAQDEQVAKPIGEEPPQEVPMTGVQDSFQ DNMSSKDTAAPEPESALIAEAAQPIVVTDFATSEPQPQVSILASSSSATPMRPADILQ DSQKRKRRSASPPPSPDGTNTKRARLDSEQPRLAAEQSETENKEVVQTEPGVQTDTHM EDAQSGVPADQEDNTQDANGPEPPDAHKHMGGDSERAGSAMVDDVKEVSQLENSTAMG GSLIDSRPAEEPEHDVEPSIHPATTALYIKNFMRPLRPQAVKDHLLDLATPMGVEIDD QTIPEFYLDNIRTHSFVLFNSVAAAKRVRNALHDRVWPDETNRKPLWIDYVPAERYHD WVQMELSAGGGRGSGNRYEVVYEHDRDGNVTANLEQSDAAPPASKPPPPGERKQSIPT GPSRGLTGIEGAPTGPRGFQGGSRPQSYGSRMDRGGGEYKSTSTRPSVAFQPVPEDLA QRRLDAIRQAKSRDYDPRTDRNKEYRRYFFETGHQLVDRGPEIFLGIRPPHRERERRQ EQERDERRRGGRNRRNGRRGGNGGMPMHHGVPKGGDRYRGAAADREERGSRGLDRYRG ESYHRH PFICI_05671 MSMLAPIDEPLDLRDVGSDEEIFTKPEHQTAPPIPPRNPIGPTR PKSRASAVEESEDDSDDEGLGDVLAEGDTAPPRPTRFPPRTPKGKKSPSKKKRASTSK GNKKRARSSSVSGSETKRTRQFDSSESDDSSSDEKDSKKGSKKGSKKGKKKHSTSGIK TPNASALDLREETRQLHIISSNPGNIALLPDTWAGHFRGFPIPNGLFYRKTREASNWP RIYEHTDGQDFHGSKIFMNLVKVQSRIRDIRNEYIEEQQDAGKDHVDKADDERRFRAR YVKALKPALEKASHWAWEDGKLPQFPVPQTVTAFAVTNLRNQTAAVAEIRENMHEMGA RWREVMEDERNAAAKGNPTILENYPKAPVVYGFAIMEHNVIVVHLDASDENAEPFFQM NLDMDTNNQRQWFALMIMVIVCFARDKVMALVGQMGLEPESESEESDPDA PFICI_05672 MRTALPTRPVTSMGESQGPSSSNDAAYASSAGSRSPGTESFTTS GRDMATSLSPSPVPYSTLHDEKTASAKASTTPSTGQSGQVCSNCGTTRTPLWRRSPQG ATICNACGLYLKARNSQRPTNLKRPPTVVAASSTSRKSPEQTSPKPCSQTNGATYVAA DQTQSGSCPGGGRCNGTGGAVGCSGCPAFNNRVAKSAQLNSLQNQDPSTREKDVDSGP QPIDVAAEEANGQNTTVVIACRNCGTTVTPLWRRDETGHTICNACGLYYKLHGVHRPV TMKKAIIKRRKRVIPVSQGGSEEGTPMESVEQTVQYSSSEERGSTNADGSINLGFRRR NEHPMTLLPEPVRHSQTSTPMPSSDLAAYHNAVTPQSMDGREDSLTDNNRLAPLTSIA ALSERQSSLSPASFLSPSRKRSFSPADSEPPQSEIGQSAKRLSSIKSILNPPASAHSP ISNPMEDAAESLRLLRSPASTLYSAPSPIPQSAIPNLPSINESEREKADRRAALRQEA ERMREILAAKERELAALGD PFICI_05673 MILGGISQFFTEANGFQSFIIGVYVILFGAAIGLLEFQIPPQVS RYASFLFSFIGRGIFYIFMGSILLGGGVLRIIAGSLVGLIGVGYAVLEFIPSIEPPAN MREADAGWGAEQV PFICI_05674 MMFAFSLIRALALLLRIAQAGYPTNGRLAIAASVLTMAGTILVF IINLFFAQRILRGYHPNFGWSKPARIVFRFLVASVVISLLMVIISTVQSFFTLDEGTR MRSHKVQLFGGTYMAVLAFLPIPIVVLAAILPRKYVIEKFGSGSWRAKLFIVLFVAAI ESLGAGFRAGTNFVPRPMNDPAWYDSRAAYYVFNFVFDLIVTYLYLLSGFHRRFHVPN GANGPGSYMGALPTDRMSHGSLRPMSHQHQHPHHHHRHVAQPASFQSCDTLTTQQGRA PSPKMHNGKLHVGYTGYQNASATSLKKGGGNSVLLQQQQQRKQRNRLSKSNPRPFGRS NASLASQDTYVGSIAPSIAETPSSMYRGYSPMLRQFPSDPFVGVGGGGGGGVDTSMPL PPVPALPASATAADSGLYGASPDGTIHEEPDYMVAAGGAGMVDAEGRPTTGSSASAGG GGRARRPSDVLSDMLDKMESVRESVEMYRKRGSVERTTSDGLQGWRGGLDFEDEKEAL PLPPPPSAVATNGVYRAVPTHDATPRPSLSSSRYATEFDDLDSDEKMGELRKS PFICI_05675 MDTNMEDVSQPAELAPPLPTSEPATIPTLDGWIESLMACKQLAE ADVQRLCEKAREVLTTESNVQPVKCPVTVCGDIHGQFHDLMELFKIGGPNPDTNYLFM GDYVDRGYYSVETVTLLVALKIRYPERITILRGNHESRQITQVYGFYDECLRKYGNAN VWKFFTDLFDYLPLTALIDNQIFCLHGGLSPSIDTLDNIRALDRIQEVPHEGPMCDLL WSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLIARAHQLVMEGYNWSQDRNVV TIFSGFLAYFDTAPNYCYRCGNQAAIMEIDEHLKYTL PFICI_05676 MATRRSTRLQNIPTQQPASDKPDTHLVQAVGKGARPKSASKPSA SKRAAASEPAKDKNDQPIRKKAKGNGKTSTVPSLDVSTVSANDKISILPPEILHMILG SISDQKDMSALGRTSKMFYSVMMPRLYGRVVVASMFHAHIAKLIRTLEPLLSIAQKKQ LKKEGKYKGQQEKYPTGLNEKAIPACAGYAKQFVVGYCNPGRKHDYICYRYVEEALKV LKNLEVLDIVILNKSIAKGIASLPNLKALRLYVDCACDDLLPLASIKQLRHLEIKVSG VFNEPRNVFRTILQNSTTTLESLAIVGDSYGCQIFDDWAEKSKGKIAPDFVALKSLSL TGLEITEQLLKDFDQAFDFTQLRKLQCSTLIDPGCLLFPFLTGRFSSFHGTTDAPLGL RTLNLNMDESTWYHDQMQIQALFEAKCNFIASFDTLTTLELPGYVYSADHVPANPGFS DLLLRAILKHKNLRVLKLLHYGYSDRNHYPHLDANMIAALVDGLPQLREFEFVPMEER MPEISRELCRASNLEKLSCAPYSDEIKLISTIVGGFLSHLPSQVIASAQNGNFNWEDH YKLSRITSRRNWEIASNFRKVKRGSEKPEKIQVDGLGWEHGVKYRYVPDLVRIHVGYD PDFPFLKQAENCLS PFICI_05677 MPKKSSRATNCSYCGRMGHVEARCYHAHPELAPRDWVIRRPGQG RGQRRQGPRDERKQNNFIQPQPSRNQWVGTSTANGAPATKTNPTTNNQSGNLNAVTRD MTKLSVTDQGQEKTFRLMDLSTELVLEVLGHCDVLALTRLLRTSKLTKSLVESLEIIE EIAGNAKILGKLHIAVQRSKEHRKWSLPLDLSNWNPASILSSYKSEICPTCPPHEADF NAPEGWEEPVPHSWMKLVNATDGMYTCFDCFIHTPGYRLALDIEEFKQAEEWIHYRPD VGFAKNYLDNRVCRHGARLSGLQPIFLSQEGGPTFARISRNLWAKVRPFADSLGYDAR EVDRYYLAEGCDKHTRPDMCTAPDDISDYDPNEI PFICI_05678 MGINEWMSEVQAARPVAYLIDLWHLYRTPTLLVTLGVLVSVYIY LLLTQDREYSVALRKIYDEPAKSEPAPAPVVISEKSTVPVIKNPATNGPKRIKGELRK AEPKPAEPQSDEPWQVQPLIFFSSLTGNTERTVKGLSESLADALKQDSTAAEKVLAPK VLDLTEVDYDEYFITAPKATETSGAVKYVYLLLIPSYNIDTINDNFLEHLQETHNDFR IDTAPLSDLLGYSVFGLGDREGWPSEEDGFCFQAKDVDKWMAKLTGRKRAYPLGMADT KHDLKERLDEWSRGVADVISLIGSTGSLGEGVPGSGDAVESGDESVSGDDDGEVLFED QTVEIKRPKKKQTGNLEDLEDLGKIMKSSGGGQNGSAPIAVDFTTYSKPAKKTATQTV KEMVPKSSPTYNSLTKQGYTIVGSHSGVKICRWTKSALRGRGSCYKYSFYGIASHQCM ETTPSLSCSNKCVFCWRHGTNPVGTTWRWVVDPPEMIFNGVKEGHYKKIKMMRGVPGV RAERFEEAMRIRHCALSLVGEPIFYPYINEFLAMLHAERISSFLVCNAQHPDQLEALK AVTQLYVSIDASNKESLRKIDRPLHRDFWERFQRCLDILREKRFKQRTVFRLTLVKGF NVDDEVEGYADLVERGLPCFVEIKGVTYCGTSSSAGAGLSMANVPFYAEVQEFVEALN KKLNDRGLKYGLAAEHAHSCCVLIASERFKKDGKWHTRIDYQRFFELLEERGADGDWR PEDYMGLPTPEWATWGNGGFDPRDQRVDRKGRPIEA PFICI_05679 MVQNSTIATIGVATIATGLLGYAIYFDSQRRKSPEFRRSLRRSE RRQVRNEKEHAAAAGKERVKDIQRAVDAAKEEGFPAGVNEREGYFMEHVQIGEQLAAD PSNTLEAALAFYKALKVYPTPGDLIGIYDKTVDKRVLDVLAEMIAYDKALDIDGTGGI PHPGLD PFICI_05680 MSDEKKGISLRSGKRKTTRPVISAPKQISGPIPNNAVDIPRSSG GVKPPGPGPMAPPQPRERPPPNRGKTSDKVLRRYSTRFNNIPDNFDASAPPMPSLPTF DPSKYGAPPAERRPPPSRGEGGPVGGTRTAGPVVVDIKALRDPGLAPDQYVANILSEA TEDEIRDYEESLRKLKSRAATDLQQNVYQNRTQFIKISKEAEKLKGEMRALRNLITEL KSNTTALRNASANTSATLNGELSTGLSKKDRRSSVADRTALWNSQMQALYKNVEGSQK FLPNSLGRHVVQDAGYWIELDNATYKSRRSMQIFLLNDHLLVASRKKRKADQPNDARA PMTKVVADRCWPLLEIEVVDMASTHESSSSRNKLADAIMVRGMGQESFIYRTEKPEDT QKSALTLNIRKAVEELRKGLQSEMEANNKAKETINYFASRDPGLLEKTELLQTLSDIK DMLIEVDGKQQNLRWVEGQMDELDIDVALQQFETAVAKVEKLRDLARGLKNNTIAQDF IYFKVQERSTKLAGLITRNLVDNHAQSQKAKRNVGWLTRLGFEDRAREAYLEARGTLV KKRSRQIIFQGDLHLYIWQLSFAYFSIIRNTVACFQSCFPQAMLSACVKWAKEEVENF NVILARQLSSVEKDGEVWKECMERAKTHAQMLAEVHLDFRELIGKEIEEEPVANGDTK PVGLGVSQG PFICI_05681 MASFEVTRDIRGAAQDPKRAKEKYAKWANGTRPSSGCKYSTMDF ETIVGGSESQRAKVRPGRSFDNSFSHGRSHNIVKPKFVHDVKPNYSRAKVDAMEELMD PAISRSLIELLPSPNRSSRKPQVTDGFLYSFDRKDSPGRPLSLEVFVKTNTKETEKLV EKEYEILDNNGDALKGRKARRNLRRQGGSVPLTEEPSVVEDDGFELI PFICI_05682 MAPVTDFAVKEIYEYLEGFGSYHQSEAIPGASPLVNNSPQKPPF GLRTERISGSSFTAPRDHSLQTWMYRVSSSLRHEEFVPYQNEGVPKKPSVISPNSYLW PKFPVDAEADWLSQKLLAQNGDPATKTGVAIWVFSVAQPMKPNTAFSSLDGDVLIIPQ SGTLDIQTELGKLLVRQNEICVIPRGIRYRVTLPAGPARGYICELFQGHFRLPELGPI GSTGLANIRDFQVPKAFLDGKLEKGTAVANDATWSIISRQAGRLWEAKQDHTVFDVAA WHGTNYPYKYDLGRYCPMGNLLFDEHDPSLFTVLTAPSYREPGVNVVDFAVIPPRWMV GEDTLWLPYFHRNTMNEFYAPIVSVQHPKHPLNGDQQFKPFVAGLHGSMTTHGAAEED FQKTTNGPTTPQKVQTDGFSVFLLETEMPLFLSDWAAKACFKPADAKPRGAAKI PFICI_05683 MGSTVTQIHYLTIPEDKHLTDVNSDAGKTWAKALDVLEAQSGFH RLYWGRSPEDETKVQLHVVRDTLAQHKGFLSSADYSKFKTLLEPLLPSSSTTSSDFLV RHAHIRDFTPDPQALARGAPVTGTAIYVSTSAAWHEGAWPLWTHVVRHVDGCLGCTGG SVEETVDGRDGCYIVYVGWESIGHHDNYHHTEHFAKNRIILSKGNEGWREYGHVRFEG SREGPRSLRSRGKEKL PFICI_05684 MSSSEDDSSPDTCSTCSRYAREGRLDFSKSAVAASAAVHQECSE CDAFEIDESQLCGFCIHIRPSHLLTCRCVHDLYRTKVSSIEGEYNIVMGTLSELKSRQ RGCAFCRWCVEAIQANARLFEYNVEEYETIRIERDIWIELRNLRKGATILGREAHAYV SVKAKTYRSYLDSDQRFHIGHNKGYWKTSVATENVKLADSSPHSFGDFSLASSIADWA TVRQWKSVCEKDHGACGERAASELPAHFRLIDVTSRYIIDITTSPPSFFALSYVWGAG SEDEMTLRQNNLVDLQKPGSLHELPRTIVDAMRVCEQLGQRYLWVDRLCIVQDDKQDK YGQIRSLEAIYSRAELVIIAASGDDMQSGLPGVDNTFPRQQYQFATDVFGFTLVNKLH DFSSALRTVWNDRGWTYQEAVLARRKLYFTAAEIWFECAEGIKRQNEYSTTWPKARGY QARSYTNAGSSGDDFEDYRYHLGQYSRRILTYPSDVYHAFRGIEAAFYPGSEIIHGLP ACDFSRALLWSPYNRPELQERQCSDQEIVLPSWSWASLIGAIETCGLFGTNKKYYMHG SFYCSLCKWETSENQDDQQALRQINSIHDEDVWKELDKIFARELGFDKRPNHRQVLAL AWAKGCIEADVPNDLFQHDFDPRRLRSSSSISAKELALRWPTLQDFWTEVRKRNYCTE DPPGPPTLEKGHILTRTQSSTLRVEYEGKSAEDAYSTEKFLIRHAPTGAGSVEIIGSL LGAGEYKLRGTMPQGQVANVDFIALALGYMPGTITMKLDRETQRGNRFHQDQSSYLLF EDQPGVIVMAVTWTGSIARRLALGWVTLQGWVDSKPTFRRVVLA PFICI_05685 MQYRIVSVLALAGLASAAYKVEPTTSSEAVYPTAPASSSGYPAE SYPASSSSASVSSYSASSASTTAYTTSTIYSTNVYTVTSCAPTVTNCPASSGKPHVTT ELVAVTTTVCPVTETEVKPSTYPVETYPASSSTKKETTSNGAYPTETPVYPTTTLSTA KQTYPVGTGSATTKTEVGTTYTPSKPTGTSYSAVPTAAAGRTEVGLGMLAAVGVAAAL L PFICI_05686 MDSLMLRDEAAKERIRLAEEFLDPHDQHARSYRADIALMVQKNQ RRLVVNIDHVRDHNPDMAEGLLFDPFDWTLAFNHALKQVVGTIPGTNVDPESLYYCAW AGSFGLNACNPRTLSSHHLNHMVSLEGIVTRTSLIRPKVVKSIHYNENKNIFHFREYR DQTMTNGQTTSSVYPLVDDEGNPLITEYGFCTYRDHQTISIQEMPERAPAGQLPRGVD VILDDDLVDKVKPGDRIQLVGIFRTLGNRNTNHNSALFKTVVLANNVVLLSSKAGGGV ASATITDTDIRNINKISKKKNLFELLSQSLAPSIYGHDYIKKAILLMLLGGMEKNLEN GTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTSDKETG ERRLEAGAMVMADRGVVCIDEFDKMSDIDRVAIHEVMEQQTVTIAKAGIHTSLNARCS VIAAANPIFGQYDTHKDPHKNIALPDSLLSRFDLLFVVTDDIEDMRDRQVSEHVLRMH RYRQAGTDEGAPVRENASQALGVALQSQNDTQRPTEVYEKFDAMLHAGVTITTGRGAN KRKEVLSIPFMKKYIQYAKSRIKPVLTQEASDRIADIYVGLRNDEMEGNQRRTSPLTV RTLETIIRLATAHAKARLSNRVEERDTTAAEGILRFALFKEVLEDESKRKRRRTRAAA ESDSSSEESSDDDDDEGALRSTAARSSAARSARASARGQAKDQRAATNGTAGSKGPSS SAAAEEEEEEEEEELYDATPRRTRATAGRSSTAAPSQSQATSFASSLPSSQLQNESQD DAELASSAAGLNLDAPISNERLATFRTSLGQLLNTDLFEDDSADVSAVISAVNGRVGR GSGGAFSRDEAVKALKKMDAANQIMYTDGDLVYKI PFICI_05687 MSRDAHSDTAPASQLATESEKTSLPVPSSGPENMAANPDQAPSA QFRSRPVSVAVNPVSLVINECISVTSVMRKHARWAQSSVSSILGGNPNPVQLGPPSPL LRPGSRSSNTTTLSVDGAQDIGPANRWGLRGKKGKSMQDNPLMAGFGRLRHDLSTCKD IHEFDAPALLNPFLQVIQASATSAPITILALKAIRKFLAYGFISPESPRFALAMQSLS TAITHCRFEASDSAQDEVVLLMILNLMEDMLSSPGGALLSDESVCEMMETGLTMCCQS RLSELLRRTAEAAMVRMCQIIFEHLKHLEDVAGDDMEALDQQTNGDMDAVKMVPSTHG NDVIASTDGPENVVTGEPRESSSSTEAEATPPPVDESIDEDASELEIKPYSLPSMKEL FRVLVDLLDPHDRTQGDNMRVMALRIIHVALEVAGPSIARHPALAFVAEDKLCRYLFQ LVRSDNMAILQESLIVAGTLLETCRGVLKLQQELFLSYLVACLHPPVEIPREPGIDPS LYEGIPQAPKLVKPPPGQAGSGRATPVPVQDRQKLGLEGGARKPDARQAMVESVGALA RMPSFLVELFVNYDCDTDRTDMCEDMIGLLSRSALPDSATWSTTSVPPLCLDALLGYI QFIADRLGDEPVLEGYPDAAKLREQRLRKKTIITGANKFNEKPQKGLVYLQSEGIIDD FTNPASVARFLKGTTRISKAVLGEYVSKKGNEKLLEEYISLFDFTGKRVDEALRLMLE SFRLPGESQLIERIMVTFSKAYIASDIPPGCADEDAVYVLSYAVVMLNTDQHNPNMKS RRMTILDFSRNLRGTNGGKDFEPEYLQAIFDAISSNEIILPDEHDNKHAFDYAWRELL LKTESSGPLVLCDTNIYDADMFQKTWKPIVSTLSYVFMSATDDAVFARVVTGFDQCAK IASQYGITEALDRIIYSLSCMTTLATQSLANTALNTEMKVSDDGPTVMVSGLAVKLGR NFKAQLATLVLFRVVTGSEAVIADGWQYIVRIWLNLFVNSLISPSFSTDSERLPVPAI PLQPPSQVIDRGAKAADTGLFSALSSYISSYAADDPPEPSAEELESTLATVDCVNACH MDDVFENISKLPAKNLQNLVEALLDEIPEDDNSTVPAITVRSDNIPSSPGPKPARKQS NYDPSVTYILEFCTVLALRDAETVELLGKQVSEALQAVLREPSRHHSILVSRVAFYQF NILKASYEHDFVRAPLLLHTISTMPKEILGKTSQLVLQGLKSCISEPGPLRSEIMTSP DFWVLLRNLAGNASSSAAVFEILEGGVSGTPSPIIADNYEAAISLLNDFATAAKIGAV AEQKGDAGNKRARSSRPKKETPSDNAVVSRGVKAINLIHNMTSRIPHLMKQSHLEASE AWSAYWLPIFQALTTQCTNPCREIRHLAFSSLQRCLLSPELISSGHEEWTAIFGEVLF PLIHKLLKPEVYSSDRDGMSETRVQAASLLCKVFLQYLVLLSKWDGMLDLWLKIIDIM DRLMNSGQGDSLEEAVPENLKNVLLFMSSSGYLVPPSKDPSQEKLWVETWKRIDRFLP DLRNELALEEPTPVEEKKVEEAVPTAKTPEPQPASAE PFICI_05688 MLPSYASSGASKVTPRHHSGAYANGYPRGNTFDMSPHRFQPRAS TPATRRRRKLLVRLGIIASVAILFGLFVWPGSGTFISIFSLGLISSSEDILLDTVRYY DLSNVQGTARGWEREERILLCVPLRDAESHLNMMFSHLRNFTYPHHLIDLAFLVSDSK DRTLEVLVEKLEDLQGDDDEKMPYGEIQIIEKDFGQKVNQDVESRHGFAAQASRRKLM AQARNWLLSAALRPYHSWVYWRDVDVETAPFTILEDLMRHNKDVIVPNVWRPLPDWLG GEQPYDLNSWQESETALALADTLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMD IDGVGGVSILAKARVFRSGVHFPAFSFEKHAETEGFGKMAKRMQYSVVGLPHYTIWHL YEPSVDDIKHMEEMEEEKRQKEKEEKEKEERERKAKESFSDSTDQWEKDKAQMALDEE ANEKVVGSQDSAKSSENDGGKDTTNGKEGKTKPKGAES PFICI_05689 MAMPDVEEAKQEPTNSDHDSPGSSLKARPELPASRFWLLALSVC LGLLLSFMDSSIVAASLLNIGTDFQEMENVNWVALSYTLCYLSFAVFVSRLSDIIGRR NAFAGAYLIFFAFSLACGFAQNMTQLIACRALQGIGGSGLYSLTMVILIEAAPQKTKP FLGSIVGAVIAIGGVLGPVLGGILTHYASWRWVFWINGPLGAISMVLFCFTWPKPEYL PDIERRTWSELDYLGSGLLIAAAVLVVFPFQNASSEALFDTAVFLAPLLMGIICWVAL VAWEFFVEHHWGDNLAAALPVRLLRNRMFAAAIANTTLLGFCFVMLLYAFPLRLQVVN GKSSLLAGIMLLPLLAASATGSVLCGIINKTKDRICESMIAASSLVALGCGLESTISD FVEPEAKALGCLVLVGLGFGLSAAVTTMSGSLQASIRDLSPAQGILAQTRVLGGALGV AASSAVLGVTLRAQLAGVVDSKLLNSLENAASTLTNAQLTAVRHAYSDAFSKDMRIGA IIACAAIVLAFGAWSNPRDRPSVQERGEHRRKEEAERRRASSGRK PFICI_05690 MSSLHSSPNDWSMAGSYQSEYQSFNLGSASGSEESSYLQISSNQ PDSDQSSEVTGRRPPPTTTGGGSDDIHCTHSLGVEHCLLHSHSDQDFDSSSSVQREDT SASDHTQEPDNSESPLAQGTPEYHYAASQAMERYLDDDSRHERIALGYSSVV PFICI_05691 MYRPPKLTGKSSRSFNDPRLKRLLLVDSTQQSPIQPDHHQASSK SIRYPSPKASSNINNSAEPHQNKRPTSRSLYAHALMDRIWNGYRRQANKGSVTLFRLL RPNILAVARKMYGEDGVADVVYGRHKDTAYVLIQLAREPEGAEGHASDEFMALNANFY EVLKDGQAGEADVIAAMSPWVVAKEGLAGASLVDLYEVQVHHPISGRLLVGPIEKQKR FMGL PFICI_05692 MKIIIIGAGLGGLSAAICFARKGHEVEVLEQHGRVSRAGSGLNI RSSASRIMHTWGLQEDLESISDDTPANCFRSLQTGAVATKTVAADIADHPDWGTHREN LIELLERRARDAGARLVFDASVTEVRDEDGSRVVVVQRNGTEATADLVLAADGIRSRT RSQVLADLSVPMDPLLSDVTLYGMVLDDDQMRGIPELEPLMENAFINVYMGHQAFVVC RHNSKLGRQSCLFGIKGETDQKGLWDEKGDIEYVRKFFAGSCPELCKVLEITHSCDRW RLAEMPNLPRWTSRNGRVVLLGDSAHAMMPNAAHGFSQIVEDIGVLEYLISQDENATA NLANITSDWESIRRPRVERIKQWAKANSEAFISQPPTGTKHADKWQIISLKNTQPDMN ADMNSSAFLKWAQDYDAINEARKYMVNKGPKL PFICI_05693 MAPSIPIPTQGGMFHTFQGVTPRKQSVDSSEGSKTSSSSAAKRI TTPHACAECKRRKIRCDGQQPCGQCLSSRAPKRCFYDKHRQRVIPSRKTLEALSQSLE ECRAILKRLYPNHDVQSLLPLSRQELLNVLDRPGTDPTTGMPSPPIHSSPIEAGLQSP PLSATEQGLTALEQVPTRDSEWDEERRGRDPIPVEADDVNALSLSVDRQASYLGASSI KAALMVMLRVQPGLRNSLTTPLNSVEMTHNLPTMKQKTTNMKEPQRVPWSWKGQTLID AYFKRVHVFIPMLDEASFRADYLEGQRCDAPWLAILNMVFAMGSIAAMKSDDYNHLNY YNRAMEHLPLDAFGSSHIETVQALALIGGYYLHYINRPNMANAVLGAAVRMASALGLH RESLVSSPSGSSNEAVAAETRRRTWWSLFCLDTWATTTMGRPSFGRWGPAINIRVPEP GISSGRDSAQHAGILPLIENIKFCKIATGVQDMLAISPLLRAEDRCHLDGQLVNWYSN LPWLLRTTDPCAEPLYIVRCIMKWRYQNLRMLLHRPVLLNLASSGASHAAEHDIAAIE ACRDLAKATIEDISREWTRNQMSGWNAVWFLYQAAMIPLVSIFWQWDSPRVPDWQKQL DTVLELLEAMEDWSLAARRSREVVWRMYEASRQLEPAMRAHSPLQRLVTDHGMLMGDG SDLHMSPIGLEPEGFGLMGALDPQGLWDLDGMLWGNQEEMEYAQFGNVHDGMMQMDYG MLEPHHGAGGIGGAAYSFVQ PFICI_05694 MTEIVTSQTPPILHGPSDKEKKYDRQLRLWAASGQAALESAHIL LLNTGAGTVGIETLKNLVLPGIGRYTILDEKVVDESDLGVNFFVDEDSLGKPRAQCCA ELLQELNPEVQSDWFPKQKESVDVKQLLASSSTYTLIMYTMPIKQEDMAAIEEYGRQH STPLIAIHSAGFYSYFRISLPGAFPIVDTHPDIEKTVDLRLTQPWPELVDFAKEMTQE IDKLDDHDHGHLPYVVILLHYLEEWKATHNGEYPTEYKAKIEFRKMVAAAARTNNPEG GEENFAEAVAAVNRNIKKPQLESTLREVFEHQLTSEAELHSSFWVIASAVKKFYEKHG YLPLPGSLPDMKAQSKVYVKLQGIYKTKARKDAQEVLEIAKSLHGGKDVDPVEVEMFC KNASFVKLVNATTKGRQLMSIYESEMENDKLAEDMMMPLSSLPIYLALSATSHNPTAS ADEILADVYGKIPSAADNMRVKQAAQEVARAAGGELHDLSAVVGGMVAQEAIKIITKQ YIPIDNTCIFDGISSRCQVLRL PFICI_05695 MEHTLRCNALKCRRELTDKALVTTCSHIFCTECANRLGLTDSSA RHSCPACNVPLTNPDDAVITNLKPTEDYKTSVLSGLSPNIIVECASRALNFWAYQTIQ QIIYQEHVSKALADKYTTLTVDLDKVISEANAQITTLNNKVSRTQDMDLDQQALRRKN EELALALKEKNKKLMQTQELYDKLKRRAMMGQMQHAAEDAVDSNLPSLDARDGGGQGS TRGGDGTVLGLHEGGMGPIFPESRNVRIHNNTHDNMNHARSFNHRKTSLYPWGQVASG PGGKCHFLINSITCSY PFICI_05696 MFPQRRQAPQKAGPADLRLAAVPGDAVQHSLRTIPRKPVSQRYD HVHADLPHESKFEAPSWVSHDFVPRAMPKAPAQAPELRERGKEAGQLRSLFSSVYNRN KKGKSKLDKASISAPINIYAPPRVSNFRAPTGTMPRRPGRTDGFTSVPTSSRAQANPV SEAKPLPPVPSEWKTSNPVRLSPAPREDADMEDQAYARWSIGNWSLVADDGDQEPRVA DWSSDEEGEEEEYVDDLDSACGDDELRRASTEVVVDGDAIENWQLSRGNVYLSFPEPG FEEKEEGNSTKQQRRRGIIFSSSETVQLPDEAYVDDEVKEEEDDDLEGPASSEEPSVF DASFQSPEQEHSVDNEDSSSAPHLQLVEIKVTPPTPTRGRADSLVHNEYLTPADAYKR IAEKYRKEAKRSREEADLVLYHCKPLMQAFEIIKNDPEFSHLDSWEGAFEVLEMILQE RKYNKIAREQARSSAIWFRELYEKMLSEQVRLVSIYGTSEDFVFTTLSSSASSEGHAE RSVEREHTRGGEYWHFD PFICI_05697 MGKSKWRWGVIFDAGSSGTRLHIYRWKDPARAIHDADDEDLVRL PKVKTDKKWTEKIKPGISTFGDNPEDVGEYMKALVEKAVAIIPEEKHADTPIFLMATA GMRLLSPLQQRAVLSEVCTYFRQNTQFSLPDCDRHIQVIPGETEGLYGWIAANYLLGG FDNPKGHEHGNGHHTYGFLDMGGASAQIAFAPNSTEAEKHANDLKLLRLRTMNGAPSE YKVFTTTWLGYGVHQARQRYVEKLVETYLIEDEHEVPDPCMPRGLRTTENGEQIKGVS KKLELVGTGDFQGCLKMTRPLLGKDVPCEDEPCLLNGQHVPGIDFDVNHFVGVSEYWH TTHGVFVEKGEKAYDYNTYQKKVDEFCSQSWSDIEATTTRKKDHSKEAQEACFKASWL INVLHEGIGVPRVGIEETPNPDINVTKNIGEAAQEKGFLDAFQAVDKIDDIEVSWTLG PMVLYAAGQVPPSDGTDKHPVGFGSNVKGIPKDFQYAGSNWTALPLQSSGDEDWDDLA DELVDHVKTRSGSGFLFFILIALFIGYLFRRKDRRMRVYGKVNSLLRRHQRRPGSPRS KPNRGLAAFTNKIFGRNSHTYERVLEDGDAAQFELGDVDSDEGENSDGSGATRQGRTS GLATPKLNVMGSFDDLTKSAHPGSALDRTGLVVRTESRERLTPQLLHAGRRSRAGSPT RIKSPLMGPLNED PFICI_05698 MADLLEVMALAVLDTRRGSCLETFDISVKNPSDCRVLRFRLCRL PEVIRPAGKKEIVGRVMDAVEDRPQEIEELVRRHGLVWFPWARSEAYCNGNSSQGDAC ETRFRRIIEKIACHTALASRNTPAVPTDSSLYPFLPSENLATKNVAILQVLAETDPTT GPGASGTWARIQALEDPAQVKVQYTHVPDEPLSFGTGDVRIDMLLWCHMLKLHGAGYP AKLPFNIVGVPCHGFCNEDVGMS PFICI_05699 MADQQQPLFGLLPAGHPLMTAPSSIPGPGSFLYVIPSTTPANPK PFSHLSVFLLPGVALPPDTAAAIYLITNPEALAAGTAPPATRFLGGVGPGKESAVFKL GGGQQGVVIGVSVEDAGSVAQRIEEQQAARAGSGGGELVKAGGAASGGGQQPSTQVLA QRIIKNAFNFLASFSGQAGGTEVVPLRAFEEWWKKFESRVRSDPSFLERETD PFICI_05700 MAPLSNLDLAKQVDVFPYDQDGILKAFPEPLYTLIHRISTGKDI TLGYMHPPVVQSLLSIPESIRGAVDVDSEARTIRAFDLPTEAERTKVVGDVMQYWRTQ GTWEIIKKWRNEPWPVYSTDDGELLYSVERAGAGLLGVMRYGVHMTAYVKDDGVKHGI RVWVPRRASNKSNYPSMLDNTVAGGLMTAEDPYECIIRESDEEADLPESLMRERCQLA GTVVYVYVTDERAGGEKDLIYPETQWVYDIELPADVKPTPKDGEVQEFYLWTVDEIQE AMARGEFKPNCAVVLLDFFMRRGILTRENEPDYDEILQRMHRKTPFPGPHNTEAPSMS LQ PFICI_05701 MSSGDAQLFEETFVVTNYDQAKYDRVARITATSNDNATQMTLDI NIELFPCRVGDPLSVVLATTLSLDGKAGEEERGWRDVKAGTETASLADNYDYVCHGKI YKFEDGADGQSIKAYISFGGLLMMLEGPSKKLLPLRVDNTYLLVKK PFICI_05702 MSLRKRNTVISTSSSAAAAQAKVENVSVPGLRPSPLDGRVTTST GTASLDSLLAGHSGLPLGTSLLVEEHGTTDFAGILLRYYAAEGLVQGHQVHVLGMHEG WKTELPGILTDSKSSSKSEAAGGDKMKIAWRYESLGSVGTPRDTPQRSTGTSAGSSGI FCHSFDLTKRLSPQDVNGQISFHPSMAMPGARPTKESGSPFQLFLKDLGTRLLSSSPT WVHRVVVPNLLSPTMYPTSLCRPEEVLQFLHGLRALLRQFPRKLTAIITLPLSLYPRA TGLTRWMELLCDGALELIPLQLDKVHAPPPDAKSDSKSDEKTQGLLKVHSLPIHHEKG GGGSDGHTGEDMAFSLSRSKGLIIKPFVLPPMLEDEEKEKNPASTTKTSIEF PFICI_05703 MASDSSRSPVRISKVTDRYLLFDIDDIMYLRRHHNICSPFVGTM PQAPSQSVFMGLPIELMAEEAMVLVRKKAAYVVDDAAFHPSRLASPDPAVRAAYLQAM KQDGLGAATAAREYAEFRKVQGLNKAKAKGKKAQKTKEDTSSITSAGAVTSSKEPDNA AAEAAASVDEELNLFDSSDSQVTKQANKPKASVAAIEPFAITPVTSGALMDPPPSVDE EEDTASLVDAPRGYPLYAHLHDHGYYMMPGLRFGCDYNVYPGDPLRYHSHFQATHYGW NDEIKLLDIVGGGRLGTNVKKAYLIGGATAGEGKTSGEVVGGHGVKATSATGPPVRAF SIEWAAM PFICI_05704 MASPGAAVVPAPTAFDNASMLHNLTQWTLQHLRLPVNVSRYAED LFLAGPRMLTKLGSIMSYADTVDGFGQRVLPDPTGSDLFLTTAAGSTTANVAADASVA AAAAAELVDQDPAAMASRLSLEGAKGLGSVFSYATSKWALCCVAMAIILNRTHIFAAS RRRLRLGWHVRLFLRFLPLVLFLFQAQQLLQSIQCQTSPDFSEMRWGNASKSSDLMFT EPGGYLHTISSTLLLGASDLDSCRAVNMVPDDDQVYPAELQGSLSLLWPLFGTFCLSH FLETVSCAVQGRPVAVETGMTLFEHSLAFAEADAAVSNQLGWGLFSSSGTANVTLTQG STGTSIAISRSMIMKRVNTPPEVLLVAFLSAMSHVTSHLLGVFNLQSRFRLLNTGFWA LCFMSSIVYEAFNFSLDDPSSMGLFRFPTVCIIGFIPHVMVFTGIFICAFIYGIALVL SALSPPDGMENVRQLNFYQRLRSAHENMQANISLSDIRVSRDMDFYTALLRIGFGVIT MASEAVYLNEDRRVNVKQLTWLEEERFKELEKFRMQWTGSSLGDSRYDQAGTIGLIPV KDDQVNASSGYARERAAQKLPKARAGDKRAKDGVGAIERSSRWILAMDFIININRLIL RWSSLLMLRALARIGIRAQPRFLLWLSRRPKKTRKEEAETRNTEEASSSRQGAQDMLF NDEDADVESEMMMRLRHTPETANISWADIDKRLYQSWTHGALWGSADTSGAWEPESDA DDWDTTSLIDSVASSDVGFNTRDQYPWEDEEDDLDDGQRTPTQQSRFATREPTPSEAS ITMSDLARLLNPMTADERQEAQALAAHLTSDRIMTRSAYARQQAAQRTRLLTTAVTAG RDRNRRMTPEEEAELLEQLIISRRTAPRRRPDIYEIDNSGQENTGSWAGLDENGGDGG PQCVVCHSSPRTVIVWPCRCLSLCDECRVTLAMNNFDKCVCCRREVISFSRIYVP PFICI_05705 MADRRRINGPVGTTTPPVFVHAPARPRPRESDSIRKIYLKTGIT PSASGSAYLELESRPGHPHRSNLKLSCTVHGPRALPRSAPFSPHIILTTHVKYAPFAT RTRRGYLRDSSERDLGVHLETALRGAIIADRWPKSGVDIIVTIVEGDQDRDAPHNQDD ENWEMMNVLSGCITVASAAIADAGIDCVDTVAGGVAALVSKKDGQVPSIIVDPIVAEN QKILAACCVAYLPSRDEVTNLWFKGQLPGGDFMVYNTMLERSMVASRSANRVIVQALS ETAKKGQEAT PFICI_05706 MATAVAKRLSGKTILITGASSGIGRSTAFEFARASPQDLRLILT ARRADVLKELAAEITKEVGEGVKVLPVQFDVSKPAEVRAFVGNLPEEWRNIDVLVNNA GLVKGVAQAPKIAEDDIDIMFSTNVTGLINLTQQILNIFLARPDGGKGDIINVGSIAG REPYPGGSIYCATKAAVRSFTESLRKELVASQIRVIEIDPGQVETNFSVVRFGGDKAK ADAVYAGVEPLTPEDIAEVVVFAAGRRDNVVIADTLIYHKNQAGATTVHRKT PFICI_05707 MLNYEQGLEVVPGHEIPEVVPRELESIPTALDPWRAVHGPYEKE CHDTRTKTPPGAEPPRPARGIFGARKAWKWWTIVLIFVLVAIAAILGGVLGSRSRSQT TDDSTTPVSDPGVFEGTDGKLRFIDRTGPNGLWSAVTTLDDVPLAPNGSFAISTDMEH GMSTVEYTLYYATESGELCGQDFAYGRTPLTGSPGDINDVNLAAANGSSIATYFPYIV TQDQDTGEMLWYGYKNHVVPYYFDLTGSSLTVIGALYTAMLVLPTNSWTYERVNTTLT AAFFYRTPEGALAFALGEPAFRSANESTIASWTPYAGATPNVSLPERSAVGGFAVASG IDSTYVSTYLLYQDDNGTIQVLLPGGKGWDRPKSFDAFNGADRGTSIACLTMGAYSQN NETNVPVSYNTDTSRCYFQSEGLLKEAWYDGAEWRDCGLVATGPAA PFICI_05708 MLARSLWGLALSASMGLAGLTNTLNLQTQTAIQCTTKKTSVSVK NVPTSTKTLQPAPQIVLATVVSHPIKTVTPAAITKSSTKYVTSTVTTTASEETDTFST TTTSVVTTTVTSTEAYTTTTATTISTTSTSTSTIAATASIVPVDQTVVAANSKRDLIK LPGRSMPMPRAKAASKNTCPLFNDKFAASVSCIKSVTVKYVKQIIVVGSSITVTLRPR VTTVVVTSTLTSTSTVPAVAASTTLTFSETSTSTVASTLTTTATTTSTATEVATATAT FYAACGDDNIIGPKGPNGGYLGDVYRSGSGSYVSASASSNYECCVKCLTSSTCQYSVF YQGSSCLMAQGTQCSATFQAGTVYETNAQNQYNPLYLSNGYCGQVIDGGIDDSLGS PFICI_05709 MSAVWSELSHYADCMRPDPDSARLVRMQRVITGYIPVAQLYDDG IEFLHPDVISDGSYAGPSRRKIAVVPSQLAERLANVAHNAPNGQADVAVRRYMDQFVD YSTLIDITLEEENELRQSLGAPATYPTNHLLLENFSKMPRVYKLWAPMATTVSLHSGQ ATVEFRDNFSSLLISVGVKDMETFKVEPAPTPTETEPEAKPGRLRQFLGLFFASSGSS SSWSSGYSTGYGMYSSDY PFICI_05710 MTAALDTFGASIGLSPREIQTLASAHQPPKRDSGNSSQSISQAC AAAQLAIGEANVDTAPVNETLAAENWSQACVFQPYCIVQPATTDDVSKVLKIIKYYGI QFSVRSGGHSPNPGWSNTQNGFLVDLQKLNQIALSTDGAVASVGPGARWGDVIAYLDQ HEAAVVGGRIPAVGVGGLMLGGGLWHFSGAFGTAADNVKTFEVVLADGTITHASQTEN SDLFWALKGGGPNFGVVTRYDLNTVPARNIWYQVAVYSVDQAPAILDAFAEWQTSGAA DVKSTVAMIFNLDSILLGFLYAEPSDQQPEAFTSFDSLPEPLMYALPATNGTVASLTD FLGSAFTAEPLRHDYRACSTRVDAGLYKDTYSFWRERALQVRETTGANQTFTIQPISK NFAQQGLEKGGNPMGIPLEDHQWWTTLVDWENAEDDELVRSVSIDTTAEMQKLASERG LDLPFRFMNDASRDQNPLASYGEENVAKLKTIAQKYDADQLFQRQTDGFLLSKVC PFICI_05711 MASNVSFDSLIPPPDEIIDTHKEYKIAIVCIFLGVLASLCVASR LTARFLSRSWGYDDWAVIPATAFYIGWTALAAYINLHAGVGKPLEEITVGEFSLWYKG VVVTTWLYPIMSATIRISILLFYRRIFAIANGAFMNYAIWILLGLQVAYIITFCVLPA FMCQQLHYAWDVYEHPLHCNDWYYFWSQIALYSASMAFDTILLFFPIIPVVRLHLPTI KRVGVLVIFMLGAGASIASAYKLAVFDIEMKRYEPTNPLWLTYRMSRYIPAQFDRYGY TFWIPSQVEPTVALIGTSLPALRPFLASASERVSQYFQTHITSRSTKSTWQTITPAHA SGFVATNSSETELWDVSRSKSPRTTISA PFICI_05712 MLSNILRPARVSAFMATIIAKRRFLIALGIPLMILCMLMSGIVV DVDTHTNSDVLNGVRVGHFPSNSSAAHSNGTISPLKERPAMTYKGYGRPGYELPLAKG IPLRILAVGASTTRGDSPVEVDNNGFRRPLRQRLTALGHKVNFVGTDRLGNMTDNDVL AGPGAQVHIINEMVKPFAVRSKPNVILVNAGTNDCLMHVDIDNFYKRYDSLIQYLLMA SHKATIVVGTLLPTWNTWFNGREDVFRVNPQIRRLVKIYQKQGLPVVLAEMQGPDGIQ DENLAEDGMHPAKPGYEMMATKIFEAIVEADARGFLLPPENVPWIPDDGEEERKNEEG YHRWYKEKDEAQAAWIAHEESEMAKMEAGLEMMRQQRKASG PFICI_05713 MTNPTAAMSATTTLAGSRAPSAHLVPLDHSEACEKESSTTNTEP EIKDKEQLGPVTDGNETPSIAERYPDNVVGWDGPDDPECPRNWSNTKKFFFVVVTSSV ISAVSFGSSVFGPAAKVTAAEFDVPVIVMRLAVALWILGFFTGPIFFGPMSELFGHVV VFFTCVVGLSIFQIPVAVAENVQTILVCRFFSGVFGSAAFAVVSGMYVELYEPIPRGV ALGMSSICINLGATIAPIAGAYLTSGDGSEWRWTAWATLIIMVTFGIAAIFGVHESSS RMILRNKAKRLRFETKNWALHAKSEETRVDMHDIIHRYLTKPMRMFVTEPILIIFTVY LTLVYGTLYLSFQAFPIAFQQRGWSAQHSSLPFIAVMCGIISAWGIQSIFTVTWYKSR VTRLKGAAPPPEFRLPPMMAGAVILPPSLLWFGWSGNVHPASQIIASFFIGLGLMLIF VPGISYIVDVYGFHSNSAMSIHVVVRSLIACSFPMFATIMYDQLGVAWASSLLAFLCI ALAPAPALFWIYGKKIRSWSTFAFD PFICI_05714 MKKPIEAKVRLSTQELPNQKHAAKVFLNGTLILENGLQAGQVVY IESTNDPKKRREGIVWPSTDKNLAGNKSVVQLSRALREATHLELGEVIRVSDAGKPSV PDALDVVIRDVTPDAPVISDAERKFVAYNVECALETAEFVFPGLNLDKVLGKGVKRSF IVESVNGSGTNVAKYCTDTRAQWLEADAGNGDQAQPPPGRLEISGIPGMADALDKLDD FFSDYDVKFSHGAFPHPSCGIVVQGSRGTGKSMLLNRIADTRWGSVKRIKTTDKPQAI QESFRNAIDDEKATIILIDDMRGVVGKDRPAATDAVLNGLEELAVLTIGNKKRPNVLV VGSCRNYLEDVPEGLQTRLAFGRHVTLTIPDAPARKEIIRSYSPNFMPEHFDKYVSDL GDRTHAYTGSDLVDLLHSAFRASQRRTRNRAGGEPITWEDVQRALQEIRPTAMHDITL KPPTVRWNDIGGYQEVKTTLQQVLNRSTDMVVSWKPPKGVLMYGPPGCSKTMTAQAVA TESGFNFFAVKGGELLNMYVGETERSIRNLFQRAREASPSVIFFDEIDSIAGNRSGGG ATAGGGVQALTTLLTEMDGFEEMGNVFVLAATNKPDSLDPALMRPGRFDELIYVPLPD EQAREAIISRKAQELRFPPLDIPELARRTHGYSGAEISRICDKAFMPVDSNADTNGEP DGMAVLERAIRRTPKGVTSEILAHFTAWRLSRNEF PFICI_05715 MRQLQQPLKTRLIIAAAAWRPRLLFSLPVRGSIRWQSSTTTTTA LENNPEAEAAVATATVGHEPAQASSSFFAALFPDEAKHRVRREQTWAQSREPGGSSIS NHDLDSSYPPPQTQSSSPLQQSERPLITYYENSSHHPRAASELRCTVVLAAASKNLAA SDFFRVGAGRAAHVEGWVGGITKVVQARDPDTLEPLGRYYVTFGTAAAAAAWREEVKR LWELSRAYTPGVMRSRAYPATGNFGGAGIPVRVRGIDGTPAQLESTRREVQTFTLVPP DLRWSLDVAHYTPEERAMEIAGSLVEKLCRKAGTRFLVMVAVNGGRISPETLRASIRD DNEERGLPWRVKNLDTLVGGGKDGEWGIMPFGKSDAKALPGVTQEESLQQQAASATSG ADRTDKVRSETRRYPRFLVPFADEAEARRFVRHWHRRQLTLRMGHEDANDQTLTEWEE ARVLDVTYLW PFICI_05716 MSGNRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDQRSFDNIR TWFANVEQHATEGVNKILIGNKCDWEDKRVVSTEQGEALAKELGIPFLEVSAKSNINI DKAFYSLASDIKKRIIDTSKDQPSGGNNNVKVDSGDGSGGLGKCC PFICI_05717 MSVVADQVRRLDAQLDRIPFTHLAAHHDDEFAAQASAQLDSPRV KELLRVIKSLSTTSTAHPVLPAWRLQTLLSQSDLGTVTSDEDHPVGQYEAELEWLLVS KATVQTYGIIMDTLLDQIIPLNDHIWYWDDILSSHSFGTLYLVQTSPWRFWAWTQEIY QDSIDRLRNRRSIGSNEFSEQNLGEEIGSSISQRWRQFYGIVRDSIRERSLVNIQNRF MSPAAVARTEARRKQAKLKKLREMTSSGLGVLIDEGLAFGGNGSDDGKSDIIMSNNQE WKGVVERSVALMDMVLKDVLTLETGVAEFEDKVFAGVEEDPELSIQVEEDEGNRPAVV AKRLQTLLEKKLPGHLDAASKIVAEHGRPSRLVRYWLPATAILLSSTTILRVFFNHEQ EILAWIADFGVTVKDFWFNWVVEPTRKVVGTIRHDSNSEIAIMSRDSLQADRDSLERM VVEFASDKPSAAIGTSSISESQLSDIRAKVREGDVTPVLRAYEKDLRSPFVGALRGDL VRTLLIQVQKTKVDVEVAMAGIDALLKSQELVFGFIGLTPGILVSIGLVQYLRTVFGG KRSYRQEGHAGKAVRVLRNIDRIFSEATPSPNNLLSYKDHGLLVCEVHVLRRLAHSLL PADIEREFLEDLDDLANLKGIQSQVRALDRIRWAYAKWLK PFICI_05718 MAGLNATLAGVFTNPDTFSSVFEEVTKHNVQLNVVERLWAAWYL WMQNDTLATGIMSFVMHEVIYFGRSLPWMIIDSIPYFRKYKLQNQKIPTLKEQWDCAS LVLLSHFTVELPQIWLFHPIATYFGMDYGVPFPPLWKMALHISIFFVLEDTWHYWFHR ALHYGPLYKMIHKLHHTYSAPFGLAAEYASPIEVMLLAMGTVGSPILWVSLTGDLHLF TMYMWIVGRLFQAIDSHSGYDFPWSLHNFLPFWAGAHHHDVHHERFIGNYSSSFRWWD YMLDTESGPEAAKRRRERKLAALKAKKAN PFICI_05719 MSTAAAAAGTVPRFSAGSDEAVMLEKLQPLLSSSSSAAGGGRWT LIPNGQGLERSFKFKTFAKTWDFMTAVALQCKLKNHHPEWSNVYNTTFIRWTTHSPPG LSALDVELAAICDGLARDFGEIVENVKQSDNSCSLGRQLADTVASGAGDCCVPKPKAN PKTQS PFICI_05720 MRVPQLPAQPLAARPAASIVAETTVTPDAIVAYTGLRSDLLIPG RGEPIRDGALVIKDDKIEWVGHYADCPSKYGHVDFKHVPVLMPGMWDCHTHFGGYGRA FSTFGDSKALLPGAQSLAGAVTVADLKRTLEAGFTSVRELSGFAGYLWPGIRDGYIIG PNVYSSLSLLSITGGHGDEHDVPICAVHQFTEAGGNFYLCDGVDECIKGVREMVRRGA RCIKVCSSGGVLSLNDDPEDRQFSDDELKAIVDEAARSGRAVAAHAIGKAGILAALRA GVTSIEHGCYLDEEVAGLMKKQGTILVATRHIQESLLQDPTEFPPRVIEKIQKIVPLT RDNYRLAIKLGVKIALGTDMWNSNPDHRISHGRNAMELTYAVQAGLSPLAAIEAITAT APEVLGKKAPSSGQLKAGFDADILGVAGNPLESLSFLTDPAYITHVWRGGRLYKSPSM PFICI_05721 MPPGPPGVGPSTSAASAMAVQDLIDHDPDNSGPDGRKAKRELSQ SKRAAQNRAAQRAFRQRKEHYIKKLEQQVRDYGDMEHSFKSMQNDNYALREYVLQLQS RLMDAQGEVPPPPANLQLPHPAPRPASHVTNTAPESAPAAPPTVGAPSAGSLADVAAA VAGLRAHGAGVDSYSKFKAENENPRRDEDARRLHETLPPSSSLRA PFICI_05722 MAPSAIDTPTQVLGELPTRQEKVYPPARIFPVKELRFEKHISPQ TDGREKALAQPQGSAAIVIDNGSSAVRAGWSFESEPRFNIPPIMAKYRDRKLGKTYSF AGTDCYADTTARGHIRNAFEAGSGIVSNWDVMEHVLDWTFLKLGLNDNEGSIDMPIVM TEAVANLPYSRKSMNEIIFECYGAPSLATGIDSLFSYRYNKGQTGLVVSSSYSSTHVI PVYNSKAMLAQAIRLNWGGWHAAEYLLKLIRLKYPAFPGKLNASQAENMLRDHCYVSK DYDNEVRSYLDWTGLEDRDAVIQYPFTEEVIVQKSEEELARIAERKKESGRRLQEQAQ KMRLERLMRKEQELEYYKQLQDKIANANKKDTKRLLDGDELKDEAHLERMIKELEKSV KKARNKDLGIDVEEEQEAPDFSLLEVPDEELDEAGLKAKKQQRLMKSNHEARARAKAE KEAEKARIAAVEQADKDRRDNDLEGWLEERRVARADVLQRMKERDRLKADLGNRKSLA SQIRMKSIANLASDGPRAKRRRGGDDDNFGANDDDWGVYRDIAVGQDASDDEEGEEDL ESNLKSLEDDLLRFDVNFSHDDTYEAQNDWSRSLLHAFTRGPRPFMGSQAEINQIHLN VERIRVPEVIFQPSIAGVDQAGLIEIAGDILNQRLVHIPTINRDDFLRDVFLTGGNTL FENFDYRLQQGLTALLPADSPLTLRRAKDPVLDAWKGAAEWVGSSGYKSAVVTKAEWQ EKGADYLKVSAV PFICI_05723 MAATPTEAQLAHQELIDKLDIHSIPKSFRNTNWRPNQRRNKNIK TILGDASRKEASSIATPQDGDSGAATPAPAVDENGLSTSGTSTPALSTTGGGSGSNSS SLQPNLAQASRSLSKLVLEKSLKPGSGLGFATTSAPSATYTNIESAPSLAHTKRYCDV TGLPAPYLDPKSRLRYHNGEVFSFIRSLPQGVTEQYLEARGAHTVLK PFICI_05724 MPTMWLSDTQKIGVAFCSGGGFFLIGGVMLFFDRAMLAMGNILF LIGLTIIIGPQKTLLFFARKQKAKGTAAFFGGLLLILMRWPLIGFCVELYGIMVLFGD FLGTIAGFARNIPVVGPYIGVLVDRSGLGRRNAELPV PFICI_05725 MSWQAYVDSSLVGSGHLDKGAIISAAGDSVWATSSGFTVKPEEQ KELASILSGAEAAKNKAFADGVHVAGERFVAFKVEDRSLYLRQGRTGVVVVKTKQAIL IGHYGENVQAGNATSTVEALADYLIKAGY PFICI_05726 MFKVVDRAQARRDAAGNPDASVTHVHGSPVRRGQATVSDRSQPT QQAHYNTRSPAATDRSYLDRPLPAPPLGEKMLLERPSTSGGPSSKTQSASGVSKFNSK YNNNNKRVSRDDFYVNTKSSSSNSKVYGKQQYAASHGHLPSSNLTSPRTKAYKHQFSA SHGHLPRQRTPSQPAVPIVRLTTPATMSDQSAPIGMALGSPTHPPEMSNGGTLRPHQM QPATSPLSSVESVDSFDMPKEKKQPGKRRLFGLFGRKRSEAEPSPVSISEPNQLGRAY RPDQQVGSHSPNEVRPKHSRSKTAHDHKALTQKSTVKRSQTLPDAHEQSSTLAPTGLR AHGPSIDTQRSTANFGSIPIVLDSPTQESTPAPSFLNIEIPKSNMERYSVMFQGVLGT QPNNTSSLLARRQATSKELKKISDAIIAEEVGHIHPNSKSCSYISNPRQDEKLPRPRR ATSPQPSKSPQFFLFPAAPTGRRTPQQATRPWKTVRSNTSPALLPSPSKATFDQSLEA HAQSGHPAQHHVGRAAISHDVKSSALPAPSFHFGPNESGLVLESPSDIDSPTIAPEII RTSTSKHKIQEPDWQILEPSTYTLSSGSSSATSARKTTPSSASSAQTHMTPPPSSDLD EPDNIDYSHDPVQISIARQISISREQRKLLKPLETSFTLRAKASPARASPVVPRIGMA ENERLAETRSATPTLVVPRQTLDADLAQHRKSERIIIEG PFICI_05727 MKLHYIGIIKNDVKPGIELVSEKELSAYSRFTRQNYGEFMTMFS KTVAERTRPGQRQDVEEQDYTFHAYARSEGVCGIIISDHEYAPLAAHQLLSKVLDDFL ASHPKSAWANGQLQTMPELKEYIAKYQDPTQADGIMKIQRELDETKIVLHKTIESVLQ RGEKIDDLVAKSDGLSAQSKMFYQQAKKQNSCCLIM PFICI_05728 MAANDAEARWREQFAAMKAALAELKLPVNGIAQEEESDFDLDDA FTSGNSGDDVWDFIEDDEEDLWSSDFVDETNGQLEGDSGLGTSWFAKQCATVASKKDG LSPDAFQAQILEILTSNQSEEQVQSVLTDLVGFDDLDFVIEVISHKDQIISDFVSQAE GHPSGNRLLTKAEREEALRQKDWEHKNAALGPAMHKEVQYPHVYRAHAAGNSLSYSGK RYALPAGSERKLFDKYEEIMVPAGKTGVLAPGRRLLPIPEMDGLCRRTFKGYKTLNRM QSLVYPIAYKTSENMLICAPTGAGKTDAAMLTILQTIGHYCTPNPIEDNEATDFAVDL DDFKIVYVAPMKALAAEITEKLGKRLAWAGIRAREYTGDMHLTKSEIVQTQIIVTTPE KWDVITRKGTGDTELVQKVRLLIIDEVHMLHDERGAVLESLVARTERQVESTQSLIRI VGLSATLPNFIDVSDFLKVNRQQGLFYFDGSFRPVPLEQHFIGVKGKPNSKQSRDNLD QIAFEKVRDMLQQDHQVMVFVHSRRDTLLTAKMLHQKAVDEFCVDLFDPQGHPAYEQA QRDMKSCKARELRDLLPKGLGIHHAGMTRSDRNLMERLFAEGVIKVLCCTATLAWGVN LPAAAVVIKGTQVYSAQDGKFVDLGILDVLQIFGRAGRPQFEDVGIGMICTTHDKLSH YLTAVTEQQPIESKFSSKLVDNLNAEIALGTVTSIPEAVQWIGYSYLFVRMQRSPMTY GIEWAEIRDDPNLVQRRRQLAIQAARTLQKSQMIIFNEVTEELRSKDVGRIASQYYIQ QTSVEIFNTLMKPQASEADILSMISNSGEFDNIQSRDSEAKELTHLRHEMIPCEVPGG IDTPQAKTNILLQSYISRAQPEDFALGNDLNYVAQQSGRICRALFMIALNRRWGYQCL VLLTLAKSIERRLWPFQHPLHQFDLPKSVLSQLDAKDNLTIEAMKEMEPAEIGGLVHN VSAGKKIANILNNFPTLTVDAEIAPLNRDVLRIKLYLTPEFKWNDHIHGTTESFYIWV ENSETSEIYHHEFFILSRRKLYDDHELNFTIPLSDPLPNQIYVRAVSDKWLGAETVTP VSFQHLIRPDTESVYTDLLNLQPLPVGALKNPALEELYAKRFQFFNPMQTQIFHTLYH TPANVLLGSPTGSGKTTAAELAMWWAFREKPGSKVVYIAPMKALVRERVNDWGNRLAK PLGLKLVELTGDNTPDTRTIKDADIIVTTPEKWDGISRSWQTRGYVRQVSLVIIDEIH LLAGDRGPILEIIVSRMNYIAESTKNTVRLLGMSTACANATDLANWLGVKEGLFNFRH SVRPVPLELYIDGFPEVRGFCPLMQSMNRPTFLAVKTHSPEKPVIVFVPSRRQTRLTA KDLINMCGLEDNPRRFLHMEEEDLQLNLARVKDEALKEAISFGIGLHHAGLVETDRQL AEELFLNNKIQILVATSTLAWGVNLPAHLVVVKGTQFYDAKIEGYKDMDLTDVLQMLG RAGRPQFDNSGVARIFTQDAKKDFYKHFLHTGFPVESSLHTVLDNHLCAEVSAETILT KQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNSIAAQQLANDYMIEMVNKSLGELA QSKCVEIYPNGDVDPTPLGKIMSYYYLSHKTIRYLVKHVKPKASFEDVLKWMSNATEY DELPVRHNEDLINAELSRNLPYSGANFGLPMWDPHVKAFLLLQAHMARIDLPITDYVG DQTSVLDQAIRIIQASIDVLTELGYLSSCLEMIKLLQCIKSARWPHDNALSILPGVGP DETKDTTSLQKVSKLKKPQLDELAKKLGIPQYQYGKFIRAATVLPDVNVRTENVTALS MTVSLKRSNPIVEREGRIYAPKYPKPQTEGWFVVVCDVANDEIIAVKRAGWSQGPGKS VAVGSRPTAKVNLKLPEPAQGGKARKYDVLVLSDAYVGLEYKVMGVEIPALPMVDDDV DKSKKGAINGSVGSGSV PFICI_05729 MAASSSHPYHSPEIEDDLIDPDDADLNDFDDPLSQRQPLAGNIG SSSSSNRLDESYLTSRIPGEDRRAPQNTIDETVWETLRRDLLAVWSKMREVLYPRYLF GGTMFESSEGIRGAYANLRGAGFNGAREELQSLAGRFVDSEALLNQNNMTPGLRDWDM WGPLIFCLLLSMLLSYRAQSDQKDIVFSGVFAMVWIGEAVVTLQIKLLGGNISFAQSV CIIGYTLFPLVLAAMFSAIGLPLIARIPVYLALVAWSLAAGVSILGGSGVVQNRVGIA VYPLFVFYLGLGALCFIS PFICI_05730 MDEHFGSMEHTFMTIHNLSPDANILFVSASVTEILGYEPHEVQG RSCFDYFHPDEEPWARRVHGRGVKLDKAASLHYLRVQHRNGQWISCECCFTVVHDVLV ACTSIYRRGAKSERRAIEAPQIRRIFSSSPRDPRYHMLEHLSPKFKMPPVEREPRAAL ILNRFTRPLSIMFATDAITQILGLRPDQLQHKSFYECIANNCLPDAVRCLESAKSNDS IAYLRFWFRDPRTEEDFEAQDDQEMDGVDGGGSPSSSDLDSDGGAQLSSPMDLDDDTV IKAEPTSPEPFRAEAEQSNNHSRQNNHAQPSAPAAGSPNAARRPRQQSPAAAAPPGAQ NGNRRQRERIEPFELEAVVSCTSDGLVVILRKARPPIPNIYSPSASAGSQNGLFAAPW GEQPIQPYYPPEMYHHFRPPLLPQHMPLQEHVKAAGGPPTEYLMRSIRDVAVFAWALC GINGNLAGYCSGTPQGEAQPPNGLPVWDPNGGRTSYLGPENQARYRWQQYDSKGKGLA ASGHHVSSSTSSVPQFNPYAIMHHGTVEYTQHYSAPPWRQEDGYIHGRHPHAMPTQPG YTYQPLPRHPGHPVMEPWAEAAAANLAQQPRGDPPMPTTQPPNSGEEPPNYRHLWQ PFICI_05731 MPAVVDDEWDLPRLKASIGFEEENRHEDLDEPDTEFLDVKFYPY LDPKDDPIFAAVSKKHIIIYRLSHTATSPVQLIQVLRDDDENANNCSCTWSRDSETEI PWLCVAGSDAKIKVYDVIHGSLVKCIVGHGAEINDLATCPTNPYVIASASQDTTVRIW SLDPVDQKQPCLAILGGEGHSSGLLTTAFHECGRYVLSAGHDNCVCLWTLPDFSQRSR DKNPPPPVVVHYPHFYTSEVHSGIVDCVAFYGDLILSRACHEDIIVLWRIEGFSSKNE PPSASEAPSTSDPERLTRSAFVPTTAAAIPPYIRMIQFHTPGSGNQFYMRFKLFLDKD KHPILAFGNAHSTVFFWDLARITAFHEFMTELKKPNRATALHRPSWLQPVVQRQKADT ISKLRNDAEDKDSVISGRTGSDLEPAATSNHYSQETLDSWSNRYDITRTDEALKAHSQ RTISIKDFIGRQVAWSPSGDWCIVVGSRNLGVVLSRWQKKEKA PFICI_05732 MVGGPFALPLPVWRQATSAQRADSRKRKRREGTLPEDNSSQDDE PLTPSALPSDSINPRSHSPDTLRQFAVAGLSPDEEVPSKLYPRFPHRSLPSGWQTGEV PRRRRSRSRTSGVTSESEAEGAETDGETVRRLTKNGRGELMSSHADIFKHMSTLMAIL HRCIHEGDMRRAKRAFGLLLGTRHVDVRLNDMWTLGTEILMRDGETNKRQQARSSEAS TDHSHTDGDGPDAANGDARPPPPARWGSADNMQKVRDYIETLAQHHPYDVHFTRSVSA VDFWPALYNIEIYNVDAEHRRALHRLEDSAALEDEDDGNDEFDMPYDDQYPDGDGDGD YEARLERRSQQREAVRARKQCAARDEIQNETRVAAQQIAERMDNLMMAVPYTTHVELL RLRGMLSLFIGDLQLPTRLLHRCNLIGYTTSELRLTTLKERVRNQVQSQEDWEAVWRW ESEVDKAKLQFEKLAEKGIRLEPWLAKYLEPDEDVDTSR PFICI_05733 MRRTLRLFAAVKPVRYLEAGNPTGLTGLYTHSSPRSSLLYLYSS TLDKLKAAPESSLYRQSIEALTKHRMAIVAAAEPPGYKEWQTRAQKVLKENPEGFNIA SEAAIDGAQAQIITKGDQTFLLRHDPQVKDERYEEWDGELDEGGEREGLRSEAERADA DLIFSRKPLELKQSQVKWEPEPQLTADQVEEIEQKIGAGLIEEVVQMAESELKLVDYM LQAKPWEELEEKPVEGQWTYFDRN PFICI_05734 MFPRLLCAIVLAVGVAAEDGDDFANNLLSDLAPLLALFGERVTM QFMSQSLGWADNIILAMVPLGIITIISSAIRVGGPSFLKALIGRARENLAIAEQELMS STSKEVCELWNGHEVVRCMGSCPVSEFICLLPGPPIVWNGDVTVMKFDEVLEKHFLER GRLFLSFPTFSTSTQVGELNLTLLLEEKTWKDMYKRIRNAISPNSPKGQKEVIKIESK PKKPLASIIITRNPGIDAPNVSLNSHSHTSRAELRMVAVLGIIFQLGVLIFSGCAVYH PTLEVRLQKDGNPVARYAFPCNAIGTVLLVVGMLLCAHVVESSTSEKTFRPSNNLKAQ LVWLQQKKTVNDQVFDSFAIYAKTKRASITTSRRWSEDKTTQDQSDKLSVGVLDQQPP SQPPASTATSSPDVTRLPHPQGDVLEMKTILGTTIGLCGFIVQFVGLRGLHWSASIVQ LGVVLLMTGLRAWVRRGLAKPPCCQHILSEFELDWFATTFADAKKAPWWPDVQNKENK RWSLDRLEATDTGFGNISEPPQSELPHLHAHRVMKIRRDLAQLASWHGPVSAEAISIA RSIEVTMDFFFRDTEELNYTWALNSPTHDLVVLRLHRENGKWQAYADELEAALSLWLF SVDKAENDDELDHLNKSPIGDAQDDKWLRAKGLSAKNSLRILGSYSQSLHRDLWWWIP HETTRIISLTEVGDTTDLAQPLSVRTHRIVGSGRISRHTGKETRYGIKELPEINFNSK SSRNSDEKGENDNTTITTTEDEDSQKRLAVEFNGPLRSLFAQDIFSSFWVSAAKTLTE SSFTEPAEIYSNHTTSNEPWRSFSSSISLRNEQFTKLARTIQSTGLGSLDDIYLSMIP PLSAANKLPPVSHVIDLARHHAEPHEYQQRWNEASYVYLWLFSVAKTFPADSEFATQA IAVVVEFLRQVSSALDFCERLALEPELDIYPNQRRWENKGDINTKDVLGWTPLHYAGL PSPSGTVLAPYLRVFPLGMSELEVNSQDLLGWTPLHYACGTKKPAVSFIRELVRSGAD INIQGRDGVAPIHCAAMNGNLEVVNLLAESGANIDIQDASGKTPLLWACISRNRGTVE YLLKNANTKLRDGDGRTALHLAATFADDKTVSWLLEHGADALAVDREVMAPLHCAAEC GHLEIVQLLCQVGKADVNAKDGYQTTPLHYAVLGGHEPVVRWLVRESEADLNAKNWRD MMPLHYAALCGHEAIVKCLIKEAGADAEARGGEEKGTAIYFAVRGRHESIVQFLIDQK VNIEANSILEEPVLHLAVRRRALGIAKLLLDAGAREYRNYKGQTALDLAGEERDAFTK LFAPYHSTG PFICI_05735 MEPKATEIEGIEARVSQKEQVAVPKDDGPVMRAAVDDFTVWQSV RRFKKVGCIAMAAAFCASLDGYQINLNGGIVANKGFIRQMANPGTTIIDSKYVSAWGG IQSAGQFFGQVLLQYATERLGRKPALWIIWIILVASIFTESFASHWDHWLIAKLLSGM GVGMLQSTMPLYLSEIAPTQLKGFFINAYSLWFVLGQLFASVALNRLNASDPYDYKVP IYTQWAMIGGIGVVFAFLPESPWWLVSKGKLEKAAEILHSCNGQVEGYDVQEKIEIMT ATIDLERQQAEINKEQGTFAVFQGRNLLRFIIAGWPKIAQQFVGLSVFNTYATYFFQY AGNKNPFLVTIVLSCVQLISMILTTVTTDRVGRRPLTVYPYAVTVVSVLCLGIMGCVD YTKQATSALLVFFACLATFSTTGASAIGYAYAAEIPQQRLRAQTAAWSLALSNLVAIM FSFCTPLMINGSAQWGVKTGFFFAASGAIATVIAWFILPEVTRRTPAEIDELFEKKVN LRKFDKYLTDVQVHANELHHSKGVA PFICI_05736 MSQHSLAAGQLEPPGQSRQQRRPLLPRPAPTPAQPAPLALAAAQ QSSPPSRVAHLAKQSRSLRRQQVPAACGACRKRKIKCDGGRPVCYSCTQRRVPCDYAS QPGETPSQALRRSYSQLRDHATSNEKILDLLRTLPDKEAHHVLQRIRSGTDISAILSH IHDGDLLLQLALEPETRFRYQFPYRQNFPENDLPDNSYLNSFVYEGPLSYAPDQRLGP DGSESDSYSLVAYQRPFHAAQVVDPRLTDVKPSLWTSVCDDDLLMRDLLGVWLRCEYQ FTAAFQKDYFLEDMLVMRQDFCSSLLVNVTLAYSCVCYPRFSDRAEYWNPHTLVYRFI AEAKRIWEIESHQVRLTTIQAGMLFNVFYNLCGLDEIGQPYRIQAIELAHKLRLYDSA ISGQSKRIRDGRLYTAWALYDWETLVGFSFMHAPLLKTPPVEPLPDPLEDPKWFGEIW AKYPSSQSLSPSHFGLVFHAKSQFRIIMNEFCVEAYSRDSMITLDQAYQFRSRLISWY DGLPAPLCAKTIVLPAQLQLHMYYHNLVLNMFEPLLDEETDRVPGPQQIIDDSNKHLR TLVRLYYLRHGFDAMDLFICIPLILVAFKCADAIDQFTSASQLEALRSTLILVVKGLS SQRHNHYLSEALFRVIRGRMRVQEASILKGVLNLDDEDPVEKSRAPMQAVRSAWTVSV VKEDTQVLNNLVENYAYLSVNDRADTKSSYQGG PFICI_05737 MWPSITDLSAGWQEQLCLPDHDSAPLRGHLSDDQQVEAILQGLL QFGPAAWLLIGASFRIAQLRGAPLVVLPNRQGYPKALVVSILCALQLSYLLLSDVERS QSHLLAARLSSVASAVLCVLSFLEHGRSVQPSTLLTSYLLVATLSDAINAGLLFVARN LCSSEDLTLAIFATRFALLILEGRTKTSILREPYDELSPEETSGFFGVAFYWWVNKIL RNGYSRVLSLDDMPAFGKSLDVGRTRDAMQREWDTIKKQKGRFSLVLAQLKCSWWSNI DIFVPRFIYIVLRCCQPLLISRVITSVSSSLTSFDNRNEALRLILLAFVIYTGMAICN GMYERRIAQLNCQIRMGLVGVIYNRCLTIETGVLDDSAAVTLMSSDTEDAANAGELFH QLWSEVLELCIGMYMLARELGWVCISPLLVVLCTSKAVNFITENLVDRQIAFSQATQM RISTTKAILDAMKNIKIMGLGKKMEGKIQATRVHEMKQLVAFHHLLVAFFVSAVALSL FSPAITLIIYAIQSQLRGVKSIDVEMVFTSLAIIDIVTTPANTLLSILGEAASVIAAF DRIQSYLLSPDREDRREFLDTQCPDHDSESNEGSAASVSLDQVAIRLDNVTVRPASTA APVLRNISTVIKKGSLVVISGAVGTGKSSLAKTLLGDLTPDAGVIQTAYLSIAYCSQA AWLTNGTIREAICGPIGDDTVPVEEWYKRVVHACGLEEDFSQLPDGDQTVIGSRGITL SGGQKQRVALARSVYARRSLVILDDVLSALDAKTARHIVENLIGPNGLFKELGTTVVL ITHATQHLSLADHFIILGDRGQIAKQGSRENLRVEAGYSGKTTLGRDEKVDGTKHENQ VGNREKGRDESQAPPKQRQSSMQDIVLKTGDTTLYGYYFGAIGLSRLLLLSGALTLYA TFSGVIPYWLRWMAENRGDNMWLFTSVYFALALGAFLSLVTAVSSVFFVIAPHSGNTL HARLLRTVMHAKQSYFSETDTGTTLTRFGADMALLNRQLPFALFQVLQSIFSVLSQCI LVGLIQPFITATLPFTIFAVYLIQKVYLATSRQMRFLDLEARALVNASFLETLEGVAT IRAFGWQESFIRDNAMKLDLSLRPDYLLLCIQRWLGLVLDLIVPGLAVSVIGLAFALK GSTTGGQIGIALNVVLRANHSLLRLVEAWTKLETSLGSISRLRAFEQDVQPEDEPGTT KSLPPSWPARGAIELTKVSASYRPAVLALNDISIDIAPGTKVGVVGRTGGGKSSLLLS LLRLIELEGQGTICIDGSNICDFSRDDVRARLIVVPQDPMFIATDTVRENLDIAGANI SDDDIVHALERVGLWDPLQARKLDNDKKLLSTERHDSNDSEEEFSESGDDSTVETLDR TMRSLPLSHGQQQMFSLARALLMRPYRGRVVLLDEATSNVDGKTDQLMQTLVREEFKE HTVITVAHRLDTIMDKDVVLVMDAGKLVEAGRPSELVERVDGLFRELIRGKNR PFICI_05738 MFGGMRHQKDTENEEESGLLDTSEIVLKPAKKSIQLQSLLLIIS LSFNALFAFLGLLSLSRTQELVTLPSYEAGFASDLEPARKEIELQVKTFSGGVELTSE GHYFTDKGGDEFVGQPRPEIDEAWSRLLGGWFYISHRALKAQQSF PFICI_05739 MGLLQFLFPASKSGGLLHEDGQEDEKDTFLPSHRPLRPLQSSRG KRLELCLLIVSGTILILGLAIAVLAINRSTGVSMPFESGWVTKIGPPYPVLSIEQVHF DAGLALNEKGDLIRTSNVEGSRQYVGEPSDEIDSNWELLIPSDTNITREEAQQIGGEF YFYPGTDIATIEISSFHLLHCLDMVRQSVQWEHYWPNGVDKRQQVHVDHCIDAIRQYI QCHMDLTPINLVWSKNRGGILPDFKQVHTCRSYREAHEWVLRRNIANYESGIGDKGVA DDARQTLQRLGWE PFICI_05740 MRTVDDYPGAEERLLVDDDEKPKNARNARSWKTRHLPIVFTFLC TSLFWLLAFWLQPHFTSQNSISTTTLNCGNSTAEARALGCVYDPLSVVWIPEPCFDKE ITEAYQEAADWVGSNDWQGKEMLDLDAMSERVAPLGYFTSIRDHVVHCAYAWLRQHRG YLRGGLYLDDDSLEFSHTQHCAEVLVRALDLDVDALEQRTTKAFVGFSSCEVETGLTP LARDRTASADGYR PFICI_05741 MVELDQPCQICAGVDLYSLFTGPRYIQELGTLKDIKSNARCPLC RLVKHALYENATAPLRGSPWFHPKEIDDRKMKCVLLPRRQDYNEETGYGNPRTGDLVA TQIKISLRRTPDYSDEDALSIYEGSVKAGFQLLSPGSVDPARPLANGFQVTTMQRNIE LLSQWLSTCRNTHNNTCQGGSFSAVFSPAGISKIRLINVQSRTISEYNIAEAEYAALS YVWGENKEARMKLVSELPPGLGSSGATAVSLPSEIPKVVEDALRICSALSIVHLWVDL YCIDQNDAQQKAAEINAMGYIYNHAQITLIDGHGKNSSDANAGLLPEDILSNLGGNQR IETIGDKSYITSLPGTGDRIHESQWATRSWTYQ PFICI_05742 MDDIYEYQAHASLDIELAGTLVCGVEDAHKPNKCRQWLAPLQLS KKLFTVGITSEMAHFSVGIIASGMNSDSDINTNSSEAMSWDAEKMYSLGESLALRNSA GSQYFEPQSAGYRPWPPIRIGLPYELRGSTITWLLRDGIDLLNILDTEMLEGDAGGDP LPSRRVFCLGIDRSQGGTRRMCTVHMTREMWEASDPTITRIELS PFICI_05743 MADSNFISSLAGSLSAESRVLSDERSADWKDSMNRWSHYGLKMP SAIVRPKTEADVVTAVQKLVSASIPFVPASGGHSPFSYIGKEGVVIDLSQFTGVEVNE TKDLATVRGGTLMKELQTSLHAHGRFAAVGSGNTVGVIPYYIGGGISAYTPLYGYGSE NIVAAKIVTAKGDLVKVSERENADLFWGLRGAGQFFGLVVELTIKISPYSLMGNDQGQ RMLGTYIFTLDKLDAVSAAMVPIMESEECASAGHFSIALAPPDFQHQVLLVAPQVFAS AEDAEKLLKPLADLGPIMQTFVPSTFEKHSDHFDYLCAKGSFKRFTQIGMTGFSKDNF AELINLHSELVKGCPDAAMSAFSVEWHTPYKGSREVVSFGHAQVDYWMNILSWYQDPK SHDFVDSVGKKAQAISRKGDDEDAFVTYTNTSRDDPLPWRYKGEERINRLRALKKEWD PTGVFTKTFL PFICI_05744 MVIPWYTSKSLDTAGNQFGQDVRGYLNESAGNTQFSAYINFAHG DEALSSISGKSLPKLKQLRHKYDPLKRFNQWFAL PFICI_05745 MSSKLGSQASSESSGLAFFGRQFTKPKPLPSDTQLSEHVAIVTG SSSGLGFEAARQLLALGLAHLIVAVRSQEKGDLAAGKLRDEFPKATVSVWILDLESYD SIQAFAERCQTLPRIDIVVLNAGLRKPSFTTVPSTHHETVIQVNYLSTALLAILLLPV LKSKATPTRPPTLTFSGSDLMYTVASSKGKDPILQQFDDAKAYNQFSVYSKSKLLLAV FAAKLAEFVDPKDVLVHVTNPGMTKGTNMFRESSAPAKLIFGALNKMMGRPAEIAASN YVYSTVVSGQDDHGSFVSDWTVKPFPEMWYTPEGQEYSSRLWDETMEEFKFAGASKIL DDLRGAQ PFICI_05746 MTSQPRSAQEMQQQSQKVQLSLSLSTSPPHAISIQDPYPSEPLQ LIASIKQTASPFPDRAVTILTKYSCLDTTPTEDAFFIRTMRSPQITASDSQCPAPELP LHPVARHITTTRISGNPDLLKRGVDDGFDFITVPPLGQGHAEVAFELAPDRLVQRLGN KDESVQDKLLRLLRPGDSYKIVPSDLGIRWWAFGSLDGENGLREKKIARWTFPDDLPL VREPGEDETDEIALRLRDLVDLHDVNHLSSRSAVEGEQRPRIGIMHSEGWVFGEPQRA LVLVFEGQEKGAIFTIDE PFICI_05747 MMLPRPDLTALGITHRRIPLMAIGKDVYCDSAVAVDALQALSAT LQSSPSDKAFEAYGNTIIGIMLRAFALDSFPGLVQDRATIFSVVTRPDHATLRESALS ELKSIFEILEFEMLADRKFVAGDAMTIADINVVFVVRWALLDLQFEKVPGFGTADLPR VYAWISRIPLPEAVIKISAEEASAIILESEPGQLSLSNVDLHAIPIATGVVVETVDSV PGSHPQYGTLIGSARHKVVIEIENGLRLHFPKRGYIIRSEESFQKCGIS PFICI_05748 MLSTHNIVILPVAWDHPDGIHLRNLQRTEIAVLGGLEPGIPPSA ADVPVFLVAYCNDIPVGCGGLRPLSSPPDGVLIDAAEIKRMFVDTAYRGPLNLPKGSR GTIAELILERLEGEALERGWSLLLLETGTFLAKARRFYERRGFVQRDMFGDYNEADNS VCYEKRLASAQMASITSPS PFICI_05749 MGTCLCTSIHRLAETFDEDRAKELIPALNSHLQHLVAVLGQSRA LCREVQYRITPSNQRTNKVFSVESVDLTPVDQVCERFRGDVDGFWTVFDDDAVHAELR RRLACVVIFLRSRLSAQASVPPPIAKLFVGQPNYTNIRNSGRKYIQLARRLGGLGAIF WFPLNIPHSTYERYLNVDDGELFSHFLSLRPQFKHYTGFVQRSILCQLHDLSLQSSYY NLFADYADVLPDSDQLLLLLHGLGGTEIPVVLLKSARLSQRRWNIHGEIESINAIDFG LPKGVVECLSDETVFSSVAASPYIIKQVLEDDTVAWSLRPEFSLFLSQALTPEAMEEL GSIALKLLCFACPPCYEGNTDWSIPLKDAVWMVLDNAIQMQKVQSSDRSQVLEAILYF AERDSVSTRHAAMERAKALVRKPMSYYLQASVVLFQSILCRIDGDTVKSEAQIRNFMW RGPQPATRRDHALRGRLHISQIENKIKCFDNDVPSFIYKWRAELPLSTLDTEVTFRLQ STAARFFQSIGDFGAARASLEQFMALSSPKPIRDISRRLLVGRLADMYCEMEDYAKAL EILQPELDSAVGPGRSRRDFRRLLLASVESNIGLGRLDAAQSLLNELRNHEPRELDNI HDQQLHMRIVLAAARIVHLGSDREEAVHQWMFALWEVQNMNILNSTAGFTAAMIYLSL AHAQLTTGDRYGGQQSWESGSEILKHERCEFWLSVVPTVWLQKIAQEVHAMEGWSVNM MLPGGTRTVTRP PFICI_05750 MAKAPDGSSPTADSSETTSLLRESERPSDSSDTKVISWMSLPRK GQLAVIVFARLAEPLSERSLTSYLFYQLRWFSPHLGPSEIAKQAGYLTAVFAAAQCLT SMWWGRAADNPRLGRKGVLIIGLTGSALSALGMGFSRSLIAAFFFRFCAGALNGNVGV LRTMVSEVVADKRYQSRAFLLLPMCFNVGVIIGPLLSGFLADPIHSMPGIFGPGSFLG GDDGVEFLRMFPYALPNLFCAFILGAAAFGIILGLDETHPRLRGRPDPGRRLGELIMR KILRHPTSAYSYQPIPSSVAETPLNSLWDEEEADERPAKPEDKKQTPLRAIMTRNVCL NMLQRFLQSLHVSAFNSMFFSLLPAPRAENQDFHLPFRFTGGLGLSSEKMGFANMTIG MIGIPLQLLLYPRIISSLGVRSSYRIFLPLSIVAYFSLPYLVLLPDEAALIWTCLSMV LTMNVMSRTFVNPATIMLVNDCAPSPDLLGTVHGLASSISSAARILGPTVGGLMLGWG LAHNFVGLPLWLLGIIAVANWAVLWWIDDVNMSG PFICI_05751 MALCVFFGFKNTPLAAFVHTSHTQLNIFHRIVGYATIFLVFLHA IFYTIHFGRQGRWETLLEEGNLQGIGAGIAFLILLMAIFRHQGYEIFYVSHIVGFIAS VVLVTFHRPKWSGKLPVVMIFIALIWTLDRAIRTARMYSNLVNNSATFYPLPDGGTRV ILKKPGAQIGLPGSHCFLWIPRIHLFGNHPFTIVSNGPSGLELVMKSHEGFTKAVNTF ATLYPGRCTWASIDGPYGTLADTRDYDKLIMIAGGSGAAFTFGLLNRIIDQSKMAKVQ SIEFIWTIRHIEHMSWFHESLHHLANSGLPVLITVYVTGHEPPAERDIAANTYEVCHE TQIGTQSSLVSDRSTARYGTFQQADMLAKFLAGPADDGFAELHNIKIGRMDIEVVIGE SLQTLDSQSRVLVTACGPRSLMDAVRDSADKWRDKSEVALQVHCEAFDSG PFICI_05752 MSAGSPPSDGSDVAAQTITRPSEKAPADVVGYSAEESEKPKDFP WTWKLTALACGIALSWGSSFSENTLGPLKSTLIKELDITNSQYGAISSATSLVNTILP IVGGYALDFYGVEWGSLACSIAIFIGASISAAGSNQDSFTLVVTGRIIMGFGSTVIET CTSKASLTYWEASKLHILAHWFQHRGLGLVYGLDVSIGKLIVLLAKATAVPMRDATSF WGWALWIPAIVCFANLLQNIFYVWWARTRPAWAHIPTGQQLRTKSKQFNSTQARNNDV STVVTAGTTRRFNIRSIWRAINRVPRFFWLVACTQILQAGVVGSFNGLNADIITVTRG STAQIAGYTSALQQVIPIICTPLIGLFFDTFGFRMMVVSFTSAIWILVYCLIGFTETN ALGTMIIASLGSAFNAIPFLVSIPLMVPSQVELGLVFGIWKAFNNSSSVIVDMIAGRL QDITPGGTYERVIAFFVAVKGLEFCLGMFYGILDRRFLSGVLTMSEKKRMNAEANNEL GDPVGRKPSAKFTLVGIVLLCSTIIVAWVLFIKYSI PFICI_05753 MQSQDEAEIDAVEAQPVSTAPNRDPEKAPDTDAVGQAAQNPSRR KPVAFYLGFLSLLIVVLIVSLDATILAVAIPASRLLALAIASDLGASTLQAFWASISF TLAVVIVQPIYTSMSDALGRKLLLNTAFSLFAVGSVVFSVGKSMAVIILGRVLQGLGG GGLDVLSEVIVADITTLKERPLYIGLLSVPMAVGCILGPILGALFSEFADWRWIGWIN LPLIAIAGALSTLFLRLKPIEQSIRSRLAQIDWFGIALFSFACILFSLSLSWAGVMYA WSSWKTLVPLLIGTALFVLFGIHEGRVEHAVFPYRIFKSRTAQVTLIGGFIHGMVLYT LLLYLPLYFQAVYLEPPLQSAISVLPVCCFVMVFTGVAAWAVELFRHYRWEIWVGWAF LAVGTGIFALWDSNGTAGSTRAATAGFQAIAGIGIGTIFTVPAISMQASAPTVEDQGL AIGILVSFRLFGALVGLAIGSTTFNSVFASAVADLATLPESIAALSDPNEAVAFIPLL RNVDLPVVLMDAIRGCYAAAMRDIWMILAGFGALGFLTSLFVESLTLETEELGRQHYE RE PFICI_05754 MTEHLRAARLRARDALVRAGTVGFKPVSSAKWVNIFRTWSGVLH VQIEHDSIKGVTPQMMRWWFEHLGQSTTWDGKALGGPEVSLYHLWHHRDHVAIIPVSS PNDQVNKGFIQGWLSEVHEQFNDFHDRVDVRSTTDILSDSELNFSVKLFGNVVTQILH HWKPRWSRLLRRDRRWV PFICI_05755 MVTQSLRFQYIWIDSICIIQDDEEDWEVQSSLMGSIYSHAACNI AATWASDGSKGCFGNGDLAAKSPTFLTLRGSLGGSYQVWNNKSYEADVIHAPLNKRGW VIQERYLARQQLSFARRQVYWECRELMASEQFPAGLPESISKHQACLEFENEFEARKA WNHIVELYSNCQLTKKSDKLVAISGLAQALQESIHDDYICGLWRKDLHHQLLWVSEGD NGQVTTTYGQIAPTWSWANIDGPVLFLKDHLLAKSIESMPWMEVLGIPAGPTNRLSLR GIAVRSHIQISDDRNILSHEFRHILDRSIRKSITKVFNLHLSEYNRLYSAPDVVLDSY NDLQRNRDFDLIFFMICRDRGVDQGLVLRKLPPITDGRMQYVRIGVFWTDPAFMDPYW KHVTDRLGLPEPDIYGMDRPLDLGDPRLADLVHTVHIV PFICI_05756 MTKTSWLLSSLTAAASLATALDLNTSDETSIKQAASEIAKGLYL YHNESATTGDFNQPQPWFWWLSGNGWNGLLDYTVYTNDTTYQADLLAALAENIGENRD FVPAAQYGWEANDDQAYWVYNALTALEYNFEPLPCDETAADGTCLNSWLAVSTNAFNL FVSRWEADAGTCNGGLKWQYRTSESGYYYKNSVTNGGFFQTAARLGRYTGNQTFADWA TKIWDWSTGVGLVSSAFNVYDGAGDNDGANCTGINQDQWSYNIASYLHGAAHMYALSE QGETKDLWESRVQALVSTAKSTFFGPTTDAPDIMYEQKCELTRSCGTDQTSFKSSLSR WLGKTAVLVPSVSDTIMELLTASAEGAAASCTGYDNSTCGSQWYTSFNDQSDFGVELS ALEVVQSLLVKNAPSLAVASST PFICI_05757 MVSKLLLAAVLLGHNVLAAPVANGGVEVAVPNEARGLEQFDGFT KRDEGPDVTLTLRDDDDEKRRGGDGRGGRNGRDRDGGHHDGGNRGGRDKREADRGHDH DHDHDRDHDRDHDRDHGRHHDHDRRDEHRDGGDRGRDGDRHRDGDRDRDGGRGRDGGR GHNKRDDRGGDRHRDGDRDRDHDRDHDRDHDRDHDRDHDRDHDRDHHGYDRRDEHRGD GHRDGDRNRDHDRDHDHDHDRDHHGYDRRDEHRDGDRDGGRRGGNDRDHRDGGRDGEH RDGDRGHGRD PFICI_05758 MHIPRAYILGNSHEPGSSYNQVTSHANPQQSDSNETWQLIQHEL GRLLHAWTASILDSGSGLRKRTTAHQLDTTIGIVVGVLLGVFVLASIAFLYIYRGSIR IKKRKRHHRHRKSSGSRGSKASDSGASASAAAAPPAA PFICI_05759 MSLAHRRNRRLEPYHRPSSDGRGRGFDEGVYQTAPQLFVHPSET VNGGNLRVPQMTPTSQIREPARARDTSSQDDAATPEEVRQRLQCDFMGAANSLAAAIV ELHDLSEFLTDSCFDDLRIGAMLSQFVFRETARLRDVEKHLSYALYHLVPTPLDIDFC LGCTVQKRIAEIREDHGRLAAGPLLRVQDPRAHPGDYMVASDDDAQTGPLAEGEVRGR SEHETGWVGVSEQEIKSEDEAPFHH PFICI_05760 MAATMETFMNKTKAEDDNVPEQARLEDQHDTIMYSMGGKALYAP IDLTKPGLRILDSACANGRWIKDLQAYSPAPHNYIGTDVVSSLYPNPPPTQTHFQDQS IKESFPDAWQGTIDVVHQRLVMAAAAPERTPIDVVKGLAGLLRPGGWLQMVEVSTTPV QGNGTAVNQYIDMLDTLYARLYSTSDHFNRSNLWGGLPQDMKNAGLTNVAKEEVLVAY GAAVKDSSVREKSIRTAVAGVPNFLAVLRTMPKALWKDAWEDLPTRLHTELVETGGFV KFIEDMICHRTL PFICI_05761 MEQSRQRFACDRCHTLKLRCPRVESTGASCARCSKAGSLCVFSA PARLGRPLGSSQKSLNNNTAPANHHQPTANDAVLMEHIGTISNDNNDGTDSTTPLDDF LPDMLSTDAASMDAWNNASVLLDNGQMSVVAQHDLPLGPLQFSPTHKFSTSRCSAVSP EHQRHLSNTMLDQYEVGNTVEKCLECSTAMMQTAALDADGDTIQDIMSQLSSLIVASH GHRRLADGQLRLLQLRLKHKHAVVDEALSRSVIPEDMTTASDYFTTRNLIRTQQSFRD VIRRATRVTTDSDGHFPSPVASSSRGDIDAAPSSQSFGTIDRRYTTKSTNTSPTQRQP TMEQSKTSQYNDYRNETSHSCVLALKLILNCYSEILDIFATTFAMIETTINHYPSFLP ISLTVPAFLPSSGNMMDASFSIFTANQLTQRLFEMTKKDMQDMWDRHNAHLLQADGDS SSGDMPPRRVRSSLQDSVIQMTFQLSWEKEASIARVIEDIGEKLNELMDMS PFICI_05762 MPLQTLDFAKFTHGSEVQKKGLARDLVRSLKNHGFAKFINYGIS EEMTADMFKSKSSELFALSFEEKVAMANLPRDAPQRGWSRQGAETTAYLRPERLKEGT ALDLKDEKEHFDCSAPDDTEYPNLWPRENALPGFREFMERFFDLCQHVSLQIIEAMEI GLDFPHGSLGDKCADTASELRLNHYPAVPLSQLLGGGSKRGWPHIDFGIITLVFQDHV GGLEMEDRSDLGTFVPVPPNAPGSHSELAVNISETFQRWANDQIPAGVHQVAPPENMR GMPGDSIVPERYTHIFFFKASRSTNVGPLPEFIDEKHPPKYEDMTALQLQRNRTAFLH SLHQK PFICI_05763 MNNQSTHDGIRTIDISPFLNSDDNAVRKAVADDIIDALHVQGTC GIIGHGVGKPRLDEAFGWANKFFALPYEEKMKANHPDGIVPHRGFSGIGREKCFIYTE DELKSMSGELSATSQKPLDWKEHMDIGSDQEKVHHNLWIDEKALPGFQAYSLGLYWEL ERLSSSVLDALLLGLDVDEKGTAYYRDVHTGHQNGLRYIHYPEMREADIDRTSTTWCP THTDFTTFTLLFQDGKQGLEIEDRLNPGTFIPATAEIKDRLWLTIGDFGEIWSNGYLP ASRHRVVIPRPDDGREYTHPRYSMPYFINARHDSISGPQYTGKPGTMPKGGFKTGTVK EHIDFRMKFQY PFICI_05764 MVTSEDNNVSTKPYHGSVSNEDLSKIWCWNAVVPEPIDACVHQI ISERIVREHQAVCAWDGSLTYGELDDFSSRLANYLITFGARPETVVPLCFEKSMWMPV AMMAVMKAGAASCAVDVTQPEARLETIMGQIMPEIILTSKQSKDLASRISHTGTIVTL DKASLDRLPMSTPNLRSTLVGPDNALYLVFTSGSTGTPKGVIITHRNFSSALVHQTER LGFKRSSRVLDFASYAFDAAWYNVLHTFYAGGCLCIPSEESRYNDLSRSICQLQPNFA NLTPKICGLLDEAALGILNTIELAGEAADPFQVARMRSKTTVRFAYGPSECSILSTVS TDTATCSNLGHGLGVRVWIVDGEDDSMLAAVGSVGELWVEGPLVGRGYFNDETKTSEA FVEDPSWLARGGPGYPGRLGRLYRTGDLVRYDNSNDGSLLFVGRKDAQVKIRGQRVEL GEVEQQILERLPTAWKVQLVVDMVKPKESENPMLTAFLVSPDASQATIEHVQEDLMQA LPIYMIPSFFLPVDEIPMTQTGKTDRRKLRQWGAGFTVRQLSDMNLTRAPWRPPESTT EKQLQRLWAAVLNIDVCTVSADDNFLRIGGDSIGAMRLVVAAREENLSLQVADVFKQP RLRDLARVAKLESSLETDGITVAPFSLLGRDMESLRKIASDQCGVPVSQVEDIFPCTP LQEGLLALSAKKPNSYMVFVNREMRAGVDLGRFKAAWERVVALSQGLRTRIVDLGSHG LMQAVIDEGIEWISAAQCDNKRAMGLGTPLTRIEVVTESENNRRLFRLTIHHAMCDGW SVPLVFDMAMRIYQGESMPPPPPFQAFINHVQNLDKAQARNVWRQQFQNMEAQVFPQL PTATYQPQADGEIAHLILDLRWPSRNSTPATLIKAAWSILTAQYTSSSEALFGMTVTG RQANVPGVELMTGPTVATVPVRIQLDRNQTVEQLLQCIQEQSLDTMAVEQMGLQWIRS ICAESERACQFQTLIVIQPPSRKPTQPDQLFEAGFEGTWGLGTFNPYALLLEFQLQSE GVLLRASFDSNIVARSQMQRIIDQFETVLRQLCIPGKGSKCLSTIETMSAQDLGSLWS WNASVPQPLDRCVQDIVSETASKQPGAVAISAFDGDLTYSELEMKSDWVAAKLYEFGF EPGVVVPLCFEKSQWTPVMMLGVLKAGGTFTLLTPSLPRARLAAILDAVRPKVVITSL EHRDLFGTTPTLCPGDLVLEERGVTSNSLPYRGSLSTPVAVQFTSGTTGKPKGILLGH ACISTTAFRAAQQFSVGPHTRLFQFSSYLFDVSIYDTFIALTSGACLCIPSEYAREND LAASLVESRANWVFLPPSVARTIPTDSARNLQALVLGGEAVTDADVAKWADEVNTFNW YGPAECALSCQTPVKRSAWNTGSIGSNFFCNCWVVERENPSALAPIGVVGELVLQGPG LMRGYLDDEEKTAAAFIVDPPWLLRGAPGHRGRRGRLYRTGDLARYNSDGTLTYMGRK DSQVKLRGQRVELGDIEHHVLLSLVALGSTATQVVAEVITPKGTSNEMLAAFVETEQA SFGAREGLRLVDHSLTVSLGHKLVDILPRHMIPAAYLAIKTIPITPNGKLDRKKLRTI GSLLELEQLTTPVSAAQAEHQRQPETNAERQLQKLWGAILGTKNQIGTEDNFLQIGGD SIAAMRLVSAARKEGLSFTVSEVFKKPRLCDLARAARPVGKAVRANVERFSLLQNKLR QDTFLHEELPRHLCSSNSVIDCFPVTSWQTACVDLARRTPPRQWSHFIFDLPAGYTRS DAVKVCGFLWDNMEILRVIFVEHQGTYYQVLTEALPPTILHYSTTGTTHDNITSRVCE DDLQHAGVLGTPFTRFSVLASHEDPPRIILRLSHAQYDSTTLLHAMRILVSFLGNETK PRLVNFPSFLQHAASNERSCRTYWSGVLRSSKVSKLKSDKRGDRTSSSSTAIAVHKTF SMPRSSTGFTPATMFTAACALFLARATGTSDVTFGRLVSGRAMLPVHVRDTIGPCVNI IPVRVTLDSEFSWQQASASVHEQHADSLPYETIGFDKIVSDCTDWPSDVKSFGCVTHY QDLGDAEAQSAGINLQIKSYKGSSALGRLMGDNVLMISARPTGGHLEVELATAGGHYT VNQLQDWINSLAAIMETEKYHSNGVTNRSLIDPASEH PFICI_05765 MLTSYISLPLMAFALSGALSAPSSNVEALNPGSAARDETGLVDF GGKLDDEDNKISKRQTGMVDYGGKLDDNDDKLSKRDDDPLGLGDSDYISSCGSEWVPV DDFQNNVRWYMGYREAVKLFCTHITSDYEGKPAVVAPKSYAGTTIYTNDAREQIGLAG GEDPSTSTKVLPGHIEFEIHNKQKSGDHIPTLDNCRFYLMKMATEGQSCFGDDNNDTK GGTWQVGSKDVSYHALPKKN PFICI_05766 MGILLFCTAEEAKLVVPEILATEQSQGIDLYVLAQYRNPDQARQ NSLVELKDGETFENDFIGAHETDCQSWAREQIALDKSLDAELIAIADQRSARDKTIMM QFFNKKPGIDFPPYGILPPEEEAWYSFRIPAKDAFTLVAALTETALDVAYPVYFGRKD EFTNEQGVFEVHRADNAAAGEHERVA PFICI_05767 MPSKFSSVSKALLLFLAASPSLATPILESDTAGTPTNVDIKPRA TGDQAEPIEASFDVTGWPLSAEFNCYVMLCVLGGNRDFQRIEDPSERRTHYQQSGAKF TPFHTGELDKRNAERLDDSTDSGEEFPWESLAQGGTGAHLFPTTMAEQRAQGSSLGGR FRSTRQATPINRGDWIRITFRGYSRGGYCEALFKDPPDFSICDRNIKEHIGGHPEYRM DDYDQVMGSDYKLHLFRGSKKRSEEQSRVDVSAVKDRGIPEEGMTLVERDDVSEYEGS DMCVELPGGTNTCD PFICI_05768 MAAYLNIPRLPAELHTSALVLVLIATVGYFTCTAVFTLFFHPLS RVPGPKLFAISPIPQALMQCEGYAHKRILALHKKYGPIVRTAPNSVSIQDANVWKELM SHRKSGEKENQKHPSFYDDLLPHSVITADSEDHARMRKIMSHGFSAQGMQNQEPIIRS HIDLLFRRMKEHSDAGKPVNVVNWYNYTTFDVIGDLAFGEPFGCLETSNYHYWVSMIF EQLPQAQILSQLRRAYPLVGSLLGSVLRLLAFKKIKVNRDLTELKVRKRVALESMRPD FMDSMLGEDTDGTPRMSFEELCGNANLLIIAGSETTASTLAGVTALLCTHPDVLSKLC DEVRSRFATDADITLVSVQQLDYMLAVLNEALRIYPAAAGSIPRVIRQGGQTLCGYYL PENTIVDVWFWTMFRNPQHWVSAESFIPERWTGDPRFDSDDKAAFQPFSFGARNCLGK NLAYAEMRLILAKLIWNYDIELVNQDDRNWTQNQRFHILWAQPPLNVYLKARKVS PFICI_05769 MNWRDSEGPPSKRRRFFSDPEDENGSIPSTTAAPTTRSPLEPRG DVTNRGAGVGIADTPSAAAAAVPTSKTPVFDQATFEAFIGDKVPEEILAILREKCGTN LEAAVNMYFDGTWKKYKKTANASATQSTLDRIGGSRSSSSTTTQVQVPIVPSPTQVLQ AMPESQYIGAFGAEGWATRSGTNLLKHGDLVRLERQKLQNQRLGSGKLRVDVIVRFTD SKGLEIGRLAKEAANWISALIDQNVCRFEGTCVYAPERLRTNDTVFLQLRCFIKTAVF ESRRPATADNRSTGLFEVAETVEERDLRLRQIALVKLFQEINLEPSRAAAKHNRQGLL TAAETAEEREKQAAKTQKTNGDPASSPPSDEAEDGAELEQDQLDALYKKAQSFDFSTP EAEPPDTFAMTLRPYQKQSLHWMLSKEKDLKNESREASMHPLWEEYRWPAKDVDDKDV PQIKDHPAFYVNPYSGEMSLEFPVQEQHCLGGILADEMGLGKTIQMLSLIHSHKYERQ PGESVTQSIDGPAELMSRSNGPLQNSKTTLVIAPMSLLAQWQSEAENASKEGTLKSIV YYGTEKSTNLQSLCTSTAAPDVIVTSYGVVLSEYNQYSGKNGNANPHNGLFSFKFFRV ILDEGHNIKNRQAKTSRACYALLAEHRWVLTGTPIVNRLEDLFSLVRFLKVEPWNNFS FWRTFITVPFESKDFMRALDVVQTVLEPLVMRRTKDMKTPDGQPLVPLPPKTVELVSI ELSKQERDVYEHIFNRAKRTFSANVEKGTVMKAYTTIFAQILRLRQSCCHPILVRNQE VVADEDMAGAEADAAAGLADDMDLDTLMESFKATIGDEKNANAYGAHVLEQIRNEAAH ECPICSEEPMIEHTVTGCWHSACKKCLLDYIKHHTDKGDLPRCFNCREPINNRDLFQV IRHDDDPDTRSSTPKVSLQRLGVNESSSKVVSLIKHLRELRKEHPYMKSVVFSQFTSF MTLMEPALTRANMRFLRLDGSMSQKARAAVLEEFRNSDRFTIFLISLKSGGVGLNLTQ AKRVFMMDPWWSFAVEAQAIDRVHRMGQDDEVKVYRFIIKDSVEERMLKIQDRKKFIA TSLGMMSDEEKRLQRIEDIKDLLS PFICI_05770 MYRSRTARRGLQWCRELQRRAPSSNKRGYITDADVAGARRYCLE QLRQSDYDAYLIRNSLPQSRQDAYDALRAFNLELVRLPELVSNPTIGMMRMKFWRDAV TNTFAGKPPKEPIMVLLHKVLTDLREADPTHSASSIKFWLLRLINTREKYMDNRPFTS MDSLEEYAENTYSTLMYSTLAALPVNSMHMDHLASHIGKACGIVAVLRGIPFLANPAP PVQSPQGTTVGSGRNPVLLLPLDVMAEVGLKEEDVYRHGPQAEGFQDAVFKVATRAND HLITAREMLKNIRAGENPGHEYEHQHEAEHNYSETGLGTDETKTDLRRGFNVLLEAVP AQDYLQRLEGTNFDPFMVKSSWKLPWRLWRTLKTKQI PFICI_05771 MPHITSFFRSSHDPINTIKATVRRRSPSSRTPVSATPRPGSTRT VSYPNSINDEAEEQENQQTRSNSSQSVAPPVRMPESAREKEVSHHRLSFPGLHLGGHK SKDHGHQHPHASLDWKIESPPAVMYGDAENSTGAIVSGQLMLTAKDAPLEVEGFKARL EIHVIRKRPYKDHCHDCVNQKTELKTWELIAGPATLSQGVHYYPFSALLEGHLPASTD NSVVTVRYDFTAEAKPKNGSALKLLKTINVRRALPVPETPHHSVRIFPPTNITASVHY PNVIHPIGSNKLELRLEGIIKSNPDVKTIEYWKLKRLSWKIEEHLTTVVPACQKHSPK DPETGEPTKKGLKRTEARTIGSGDLASGWKADYSPNGNVEMELEYQVNPSLKPVCDLK SNDGTEVTHQLVIEMVVAQEYAPTNQPKHVTPTGVARILRMHFGTIVTERSGLGVSWD NEAPPIYQDVPPSPPSYHCEIPYEEVDEALSPLDAPSKRSN PFICI_05772 MGGGYRVSSTRHRSPQRPPRSRQPSWVPGGDAGGVQSSRGRSQG IRGPANVHNDPFVDSGRTAPLGEWFSIPGVRPDWRWPASSEGGGDTVMEDRDSFNEDE GEIVLVGRPSDEGEVSDSAEPDMFGAHEWRPAGLIRRPAVRRPDHPRRAPFRPPPPRT PSRRAPGNLPPSRLPARPSRPWSPPPEYSPPRNLPPRYSTLSVRPRQAVGRPSHYRWL RARAIGDHVVDTGYHVVGTMLETAGQAMVETGSYLMGERRDGRRRR PFICI_05773 MTSNPETIVQNGPPTRGDFPQGESGNKLSRNRGSIDTSNLATPK RLVVCCDGTWQSSVSGLKNIPSNVTRLARSIADHGMDANGRVCEQIVYYDSGIGTGEL SKGEKDRQGGFGIGFVGNVIEAYNFIVLNYQPGDQIFCFGFSRGAYTARAVAGLINDV GVISPRALQDFPELYALYQKHEDAFTFRKSTAYREWAYGVLSAEQPRGDQEPARYDKP PHGDAPESSRVVEVVGVFDTVGSLGIPDMMWTKWNLKFLEKVVGIPQVGFHNVALSPY IRHAFHALAVDEHRKPFSPTLWQYPTDDELCPEKPAKPYGKLCKEWENVTPSTPIDQV KVTWSSLIGHQMSEHLEDLEHNKPELLQVWFPGVHINIGGGSDDLLKDLKGDLEQIAL ISFAWMCEQIAPYLQLRGGNLSQLAKDTVEDRYNLMRPALDSVARGDKDYGSHWLLSK ARAALDYTGIHTAQPKKVADDTVYGWAIGPIVDSFTGAMMAAGSEDRTPGRYPRDTTS KKAIKSGDTNEMIHPSVGYRKEKRRQDYDPPALKGFERKQSGPEGFIWTNGKTTIPEY KIKPADVFTRYIAGRDKCDPKLLASNYFGSVDRVVGQKAVKL PFICI_05774 MSSSIEEKHATTSPRAASLDTSLSVVDSEGYGSSDDHIFKDPAV ASHWLGIYEQANYENRHRFDPTLQWTAEEEKKLLRKIDFRIMVWAWVMFCALDIHRRN INRAISDNMLAEIGMDTNDFNYGQTIFLASFLAAELPSGLISKKLGADVWIPSIMVCW SIVAGSQAFLSNKAGFYAIKALLGLLMGGFIPDIVLWLTYFYKSNELPTRLSWFWTAL STVNIVGSLLAAGILQMRGIGGWSGWQWLFIIEGIITLIIGIFSWVLMPPGPCQTRNW FRGKDGWFTEREEAIMVNRLLRDDPSKGDMNNREAVGITQLFSAISDWEMWPLYLIGL MAYIPPSAPSTYLSYILRQLGFSTFQANLLAIPSQFLFAINLLVITWVSKKANERAII SSLSNTWMLPWLVALVCIPSTASPWIRYALMTGLLSYPYCHAILVGWNAKNSNAVRTR AVSAALYNMFVQSGNIIASNIYRDDDKPLYIRGNKILVAITAFNIVLFYAVKVFYIWR NKVRERQWNALTPEEQQDYAVTTKDTGPKRLDFRFAH PFICI_05775 MDSSGLFRVDGMVAVITGGGSGIGLMMAKALAGAGAKRVYILGR RKDVLEKAASEHGSIVPLECDVSSKQSLQSAVNTVAKESGFVNLVIANSGTLGPPNRF NAELSISDVRKTLFDDVDMDEFTQAFHVNVTGAYFTMLAFLELLDAGNKNALKGGFGA PLKAGSDVPSIQSQVIVTSSIAAYSRAQASNPAYTGSKSAIAHLAKHASSNLAKYGIR VNALAPGLFPSELAAGMIGSRDPGTETFDNPAYIPVRRFGGEEEMGGTILYLAGRAGA FNNGLILVNDGGRLSIMPSEY PFICI_05776 MAPVETSISTTIPAGTSSQSVIAVLHNHDLYIKTACPQLISYKL VSGTPALNEPCIYEVTDKKPIGQTTYPLTLTNREDGIDAFIDAKAPTGAIRLESKWRV VGEKLEESIRIDSNMLMNKMIKGNVEKSHPAQHQGLLQAVKV PFICI_05777 MATIGLWTDLEGHIGLWCGCFPALQPVLRIVSYRLGLRSSPLSY GDRPTGQSGQPVGHLSGANSRTRSRGQYLRKGSGVDLEGLDSDTDSQKGMVEQNEGLG RDMELGEMHKKTNVSEEIETPHV PFICI_05778 MTCFFYKLFECTPVGDTWKPPGTPGLDCVSTDANEAMMTGHAVV GIITDLVLLALPIWVIYTKMMFSRKMFQVILVFSVGVFVVVTGIVRLYYMKTLDFAID S PFICI_05779 MNTSSYPDATNFWETDDYRGSGQRNLNAALIAFSSAFLAIRLYV RLFMTKSPGWDDGFAVLTWACLVVQSTMDIVGR PFICI_05780 MISKGLSTAAALLLLAKTAPAATAGSKVVARAVCTDPLVRKEWR YLSQDEQFDYLDAVKCMMSTPGRTSSLYSGVVSAYDDYQALHIAMTEHIHFNGPFLPW HRGFLAIWEADLRATCGYTGAQPYWDWTLDVVNEEGWAASPIFDNVYGFGGNGAYIED VSNLTQTSAVDDLPGRTGGDCIVTGPFANLTVSMGPGNSTTSTPHCLRRDFTPWLATQ TLNRTLANWQLDADEFAVYDRRVQALDLTLSGITTHGGGHFGVGGMVGEMSDMYSSPG DPLFWLHHGKLDQEWNKWQRLDWPNRLTDIGGPDAMWAYPYNFFGDIPYVNITLAYQL AYGELGATLNVGEVMDPEAGALCYTYE PFICI_05781 MSNSSPPAAVAAPNAAAKDETASTITVNTTKAPPTFPPPKTDKP RPHVCATCQRSFARLEHLKRHERSHTKEKPFECPECSRCFARRDLLLRHQQKLHQTTT PSSRPRNRRESTSSTAPAKSRARQNSVANANASAAAAASAAASMRPRANTISHVDNTA MQMIAAANAQVARGHGQPSHNRHASLIGLPIHNLDHTFGGMSSVMGQRGINHGLPKLD THGINNLDFGAGLRTAPPMGFGQPDFDFENLLFGQPGGTTINPNALHYSESPHSMALD PMSPFGTSMNDVAGSQHLDDNFDWLTGFEQNMSFNNPNENAVESNSPSAMSTTSQSGI SDVMVDGSNHPGATAVTSSMWQPAIMGPPTTMSNPFLDLSPSVFPDLLTGAPVSPQPA AAQKAMDNVYFSTPPPSMSSLSPSGMPGLNTQNLNQALNSFGTGPETPTSLGGHHGHS PVSTVTDTTRAAIVSALSQCAPLFGGRKYSFPTPNSPMSPQNQPNGENIPEHAKNLPS THDLQRYVASYLRFFHPHLPFLHVPTLSFDTPTKSSNSKVSPVGGSGCLMLSMAAIGA LYEHDLSQSKELFEMAKKMIQLYLEERRKADVRKADFRRTPMSDHGSSESSLHTPLWL VQAMLLNVIYGHNCGDKTASDIASTHCAALVSLADAACLKLDTPDNHDPQINDAEGNW SGIRIESEDHAEWLKWKTKEERKRTLYTIFILSSLLVSAYNHQPALTNSEILLDLPCD EEFFAAESTAAFQLKGGVRAAGHNRMSFHDALGELLRANDKQQKIAMTNVHQPFGSSV SLSDLPKSELRPSTFGCLVLINALHNYIWETRQRHHNKVWTNEETEKMHRHIEPALKA WQAAWASNPHHSLERPNPFGMGPLSADSIPLLDLAYVRLFVNLSRSKEKFWQRDWDGL ADELSRGSEIIQHAEHSPASNAESSTDPSDASAASSVFVESPPTQSSSPEFASSKFVS AAIVQPGSSRVTSRREKHLRKAAFYAADSLSMADKLGVTFADFTSRELPMQSALCAFD CAQVLAEWVATLQDRVGRYLGVLGQDEVDLTQVPAIMLLEEEDVKLLGKIQEVISSAE MKMSLELVSASGTMATGIDARLQMDDHSGLAAKILRLTAYMMDKSAVWPVTHLMARCL ETHANHMRARAEKSVVSSD PFICI_05782 MSSSSSSGVKSTPPKSAASDQHVDPDGSIPASDNRQDGNDDGAA PKAPPKPGIMARLGLDKSTLLMMFKGGVAPTIAISILQSARVANYYTTIGYLVGIISI LSLSITPRGKFLQTWALNIIFACLGAAVALLVMWSALQARLHTESRPLDPSTGLPAYN SSQSAVSGVWLFVLIWFANMIRSEHPSIKIPVIIFCIFINISCTSSPNITTTAAAEAL VKKILTTMLTALGIGGACSLLILPVPSRKVTFGQMRGLIMLMRGAIKQEKLYMQSLER EDMFAKPHDVSSAVDHGRDRRGSKLKPSGADAEPVTQAEAKALKATISQMRELAGKVQ ADLEFAKRDFSWGKFEPADLQVTFLKIRSCLIPIIGMSTLIDIFQRVAERRHWVTDSR TPAEVISEKKEEKRLWNEVMRQLHNPFEVLSEIVDQGLEHAAIQLELLPRPKAAKKRQ EDQPNGAEDVEAKGGLSRPGDADFGRGLEDKINSLKDVKTKVLMVWARERGFVQENGD EPETIRSDCLNNDPDEKHRRDQSQLYLLLYIEKLIQEAAEAVHSLVKFADERVASGKM DKNRLIIPTWKRFKKWTAAIFKGEDASGENTADFFDSNNVVYIGDGFAAKKDPEHLPA TNLVERIGNGIRTVNRFFGSPASFFGIRAACATMTVAIVDFIEPTQAFFVKQRLVWAM IIVSISMTETSGQSIFGFLCRIAGTIGAMVLALIAWYIVDHRAPGVIVFYALFIMCIH YGFIKHPQFMPTWMISMVTLTLIIGYELQVTKIGIAVADIYEFGPYRLATVAGGCFVA FIWTIFPSPITDRTWLRRDLSATMYLLAHYVTAINETLKSRLNDTGGDPDVKNTPAYR LAKTRRRLFGKLLLLLPSLNQHANFQRYEPTIGGKFPRQTYLDIIQRATRITSYLTLM SHSVTWHQNPSQEDRAWNQALSALLQDVSSTKDTIICTLALVSNSLLNGQPLPPNIPV PKPYELTRHLQKIKVPAPSAGTGPTINLLDASNMAENGYAEFAVLQVTSVLVCDELAG IVKGVGKLVGVVDFSFRVEGSVSSLPSGSTTAAGTDSDADGKGKGKQD PFICI_05783 MASVGLNAGGGDVQTDTALREALNQFRKKISDGHLSRFQTGTAM KLKYECYLIQRDQERMAALSNFRRIEQFLFRLQELQVILKKFSNGEFYISYIWGAMQF LLQTATSTFKDGSDGLLSAYESIGKNIPKLKDLEPLFIETTGMRKCLVALYSDILDFH SGAYQCFTQFSDKGRKKIFKSAWKEFEKNDMSYLEGRMRKHKTVVEYGQQCLAGQPSP VQESSLSPNDITDLRSKVFAHENDCNKEEEEHNRRESERREDQFGDALRWILADQNTS DATHERLCKDRMKFPGTCEWIDDVDSVGNWLDPKSTSMHPILWINGSMGAGKSTLASY LVEKCVKNETSAGTPCKATYFYCDGKDPTARTFLAISRGLLYRQLMHIREEERFRHLI AHCCDKKSNSGQQYLNAEETAESLLKTFFEIIPGQYIVVDGLDECEKPVIKDTLRTLS SVVTQQDTIEPGSLRLLIISRELPEIKKPLSTESANAGIFKIEAEHNEAAIKMYVGQR LADFDPRFDLNIDMKKYIIKKISDTAEGMFLFARLTLDHLEGSVSRADLDHRLEDGHF PKTIHEAYNRLLENLKKSLDSEQWNKAKCIFGWLTCAMRTLHWHEIRAIASIERHNES VELQQHGFIPDVQKFCGTLVHVNPGNKVELIHLTAHRYILESEHVSKEEVQFDLATLC MEYLTFPCFNKGILVPLRKSFASDGFYAFQDYAVSQWFYHIAEVLKIQELTIDGPKSQ KFAEVLVVFMDRYRDSVDDVDAAPSSAGSEPSHIDLEEVKVQAKTDCASLEGRPFYKI LFDLWVHVSKHEKEGIENRNKPCLKEMEKVLEDNRKAIEALGEAKNERPQNLYEYYGK NLYKCPRTRCDYFHEGLKDEKQRKRHIARHDRPFMCTAPGCTMAEAGFISNKDLERHK KNYHSDAAEGQSPFPQRNNRQSSEARFDCRICKKKFTRKINLDGHMRSHFGDRPYSCQ TCNRRFTRLNDLRRHERLHLRR PFICI_05784 MVDLKRLLNDDTPDYHHSRPRLYGQDVDLAWNHYPVGGSSDGVV DFTDLLSSFGDASLEGPLDFDFGAENLNNFQEWADDHAEITGEPSSSSPSDFDTAMSN NTPGPSQESSTARERAGLNDCDVCYGMLYNADVKVAGDMALLDEKLKPTQTTTRNHRF KLKDSGDHIQLNFSDGTELGILRTNLLKGLSSLLGLPQLHFEAVADTGVIRDTIGRVT KASDALVRVNINIYGPPDQADHIGSQLSEHKLWLQKPEQPIAHVVYKNPHILEFEDLD LSSIDQTINPVESGSRPVPRTAEEHLRRTMDEVYSSTRRQNELTRRAVSGRITTSMLD HQKEALEFMTQRETGEISDEFRLWREVVVRGETKYQHEITKAQSRLRPDEKGGGILAD EMGMGKSLSILSLIVDTLEKGQSWAENRRSEDRTGPLKDHTRSTLIVVSSALLINNWC NEIQEHLENSLNVIRYHGPNRPKDKTGLDILVDSDIVITTYNTLAKEWSTPGREKSSL LHSIEWFRVVLDEAHIIRRQATTFYKTCAALEADSRWCLTGTPIQNKLEDIGALFCFI KARPFHDMRQFRSSIVIPYEQSEDATAIERLVLLNDSLCLRRTRELIDLPELKVEVRE LDFNEAERKQYEKTKATLLRKLKQKVGEHEQTSKFGLFQVQLQLRILCNHGTFQKHFS WVNSQRDANEAILSSGAGNAQINCNGCRWPMPVLGSNKVYREFVENCKHVLCLDCLEE AASSQGAEGTQRHCPLCNTPQAVAAKNATRSHNNTTRRGEDGELDDLDYFNSNGHSTK MDVLIRNVRTDLDQTKSIIFSCWTRTLNLVERHLRLAGISFSRIDGECPLSRRQKILD DFRQPTGDRILLMTTGTGAFGLNLTCANRVFIVELQWNPSVESQAIARAIRLGQSQHV KVIRYIMNDSVEQEMRSQQKRKLNMAKKGFDDEMEQ PFICI_05785 MHFSTFFSGLGAAFLLAEHATAAAVARSAADNVCNGDLYSSYAP LATWSAAQAFCSSTYQSSTVTQTVTTTVIAEATSAHSFGAFVVVSVTETSTAVVADVT PVNVKRDNYKPRSYAESSPESSASVTKDSSPRYSTGVASVPLYSNSSLAISSSRASSV TLAETASSVDEYTLLPQSTFAVTAASSSSLPVATYSARNSTVATSSFQTMASSATLAQ TESSSSSVPYEESLFSQLTAGPSSAAETICSCIAAPTTIMITTTATVAGVASAATEAP GTIYVTKTDIVTSVSSAYSYTSSYWSNGTTSESGPYSETSLAAVSEDSPITTSWTENT ASTSMVRTPAVDSPDSASSTVPNSAVSTSSTYSESLATSTREETSTTSTFSTYSVHTP SLSASPIVNNTIVLSTLSTFAESLPAFTWSSSSTTTSFASSSSTKIPVATYSESATQA SATPLINNTIVLSTLSTFAESLPAFTWSSSTTTSWTSSASIPVTTYSESTTAAAESSA APLLNNTIVLSTLSTFAETLPTFTWSSSATSSWTSGGINTTVPTYPVSAPASSPPSSS SGSPALSSASSSNSTMIRPSPYTPGSSSVIISQYSPSTFQTSKVVATGSTSTEASVYP TASSGYAASATRVPASTTVSAASSYAAEAPAVTSSASYPSANYESDNGLSYRIVQNSL FVADEFNTLDVAIQPDMDSCIEACSTTSDCVYVVWVASTSYGSSHECYLLNGHDPDYG TGYPGVDTAELLTGRT PFICI_05786 MSQEIPTEQWAQVVEAKGGPVVYKKIPVQKPGPDEVLINIKYSG VCHTDLHAMMGDWPLPTKMPLVGGHEGAGVVVARGELVKDVEIGDYAGVKWLNGSCMD CYFCRQADEPLCPEPLLSGYTVDGSFQQYCIAKANHIARIPKECDLEAISPVLCAGIT VYKALKESGVKPGQYVTIIGAGGGLGSMALQYAKAMGIHAIAIDGGEEKRTMCVDKLG AAAYVDFTTSKNLVQDVKKATADGLGPHGVIVLAVNEKPFQQASEYVRSRGTIVCVGL PANAFLKAPVFDTVTRMVTIKGSYVGNRQDTAEAIDFFRRGLINAPFKTVGLSELQNV YKMMEQGQIAGRYVVDTSR PFICI_05787 MSGQAAQDQQQLQQQQAAAEVVVASPLPAAAESKDIPTTKETTK SSEGAMSGFANYFRLLFYAEPAFLDIALLIVGVLSGCASGVPFPLVGIIFGQLLDSLN SATCAAEEETDAEQYQEGINSKVLIVVYLAIAQFVLIYLYIVSWNLFGNRLAHRLRAK YFRSLLKQEVSYFDKRPAGDVSSRLTADIATIQNGTSEKVGVVLGVSSMFVTAYIVAF VKYAKLAGILASLLPAFMCMGYFGGKFIGKYSKRVQKHLAEAASVAQEGLSNIMVVQA FRANDRMEKRFTEKLSLAQKDGIKKGIATALQAGCLYFLAYSANGLAYWQGSQAIADV VSGKLTGMSVGTTYTIIFVVVDGTLVLSQMAPFIQIFASATASFERLREDIDRQSQID GTIEAPPTAGPPCEGDFKLENVAFSYPSRPDVPVIRGVSLHFPAGKRTAVVGLSGSGK STLAGLLTRLYDPDEGSIYLDGKNLREMNVRELRSHIGLVQQDPLLLDRSILENIALG LFNSSRPEHDVFQPLLLGDTFAKIAEDARNGQDLDAAATAQGPLAKQVVDMVQHAAAM ADAGIFLGTLEYGLATNVGSSGDLLSGGQKQRVALARALVKDPRILVLDEATSSLDSA SEMRIQKAVEEATVGRTVVSIAHRLATVKTADNIIVMRNGRVIEQGTHSDLLAKDADY AALVRLQNVGSETSSIRTAVPSRGSDEVGSKTDGFATKEVDVSSNKTADDDAADDAAP EKKEIRSTRTAWTNIKTFLYYARPYILFAILALTGSTMIGGAYSGSASIFGHTVGALS PCNDESYIRSKGSFFGLMFFVLAIIEFCASLMSWSCFGYVAEKVLFSIRTLSMRSLME QDVQWHQSEGRTPSTLLNYITADSNALGSLTGSIIGTLFSICVNFLVAVTLSHVLAWR IALVCLAVVPIMLGAGVMQMRLHHKFARKHETAFAKSVGITVEAVTSIKTVAALSLER EVEATYWRSLKHPRQDYLRTSLWANLFLAVTYAVANLIYSLAYWWGSRQIIEGHNTQT EFFIVLVALLISAQQWGELFTLAPEVGNAGRAAGRIFDLIDQGSSKDVAKKPSAEKDP EAAVEMKNVQPHIEGGMSVKFNQLVFSYPARPNRQVLKGLDIEIKPGQFCALVGPSGA GKSTIISLMERMYHPESGKIEVDGVDIAAREEPVFRDDIGLVPQDNILFDGTLRFNVG LGARPDHEPTDAEIEQACKLANIHDTIMALPDGYETQVGPSGGQLSGGQKQRLSIARA LVRQPRLLLLDESTSALDAESERLLQDGLERATKGITVIAIAHRLHTIRRADVIFMIE DGRCVDKGSHEELLQRSESYKVNVLHQTLG PFICI_05788 MAEHLQLGRLATLRLSGASPSHEFGSVNTGTDINTGAAMTTDEN DSRVPLLSDPEADRRSDEYGATGHNKSSAETTTDHDEASDDEDDNVSDSSDNVHGGVK QADAINLVWSRSALILAYFFIFLCSFAQALQWQILSNLTPYVTSEFSSHSLIPTISLV ASILSGTLKLPISKIIDAWGRPQGLAAMILLATIGLILMAACQDVKTYAAAQVFYEVG ISGFSYVLNIIVADTSSMKNRTLAFAFANLPSLVTTVIGPPIAKAFHERSSWRWGFAT SAVLFFILSIPILVLLMINARKAVRLGLLKKEKNEQKWTVEGFLHQLREYDAIGLSLV TFGLTFVFVPVSLGTGAAGIWTLAMLIAGVVMLVAFVFHEKYYAPRPVIAFSLLFSRN VAGSCLLSIVLFIAYFAWDSYYSSYLQVVHGLSITEAGYINHIYEFGSTLWGVVVGYL IKVSDRYKWLALLALPVHILGGASLILFRRPETEIVYLVLAQVMLTIGGSTLVLCDQI AVMAVSSHEDLASVMAILSVSYYVGSAGGNALSGSIWNSVLPKALAAALPDMPKAELK ALCSDLNKQLSYPIGTPIRDAIISAYSTAQVRMCITGAAVSLIQIIAVAIWRDVRVSK VKQVKGKVV PFICI_05789 MATQPVESSYTPEPFVERRKLSVLHGPVDPPLVDLTLGELLELQ CQHHGTQEALVTPWNGTRWTYNELNQQSGQLARALMSLGIGVGDRVGVMAGNCAEYAA IFFAVARIGAILVILNNTYTPTEAQYALKFSDCKVFFTQKKIGRVDNSRLLAELDAQS TKPSVVIIRGEAGAYPTYAELMKRGLKVSQSDLYRLGRKVLSHNVCNLQFTSGTTGLP KAAMLTHHNIVNNSRFIGDRMRFGPDDVLCCPPPLFHCFGLVLGLMAVITHGAKIVFP EEVFDPAAVLRAISDEQCTACHGVPAMFDTLFSLPLPPNFNCDRLRTGIVAGAPVPRY LMELMVERFGMTEFTSSYGLTEASPTCFNAFTDDGIDKRLTTVGTLMPHAHAKIVDHE GNIVPVGTRGELCIGGYQLQAGYWNNSEKTSEVMMRDEAGVLWLHTGDEAVFDEDGYC SITGRFKDIIIRGGENIYPLEIEERLMAHPIITKAIVTGLKDAHYGEVVAAFLEGDSS KNGQRPSDQEMRDWVRQKLGKHKAPAHVFWLGEGGVPADVPLTGSGKVKKYEMAKFGE ETLRKRRLEKL PFICI_05790 MQLDTVYHEPIAFASKNESDTFRLLSWGTESSRAITCNGISKVI SHNLEVALRHLRPLVDWDSVSIWPEDHPLHSSRNAWRNFARNRSETRMNTHSQWKPVW IDSICINQDDPDERASQVKLMHEIYQKASVVKVFLGEEALGLGVPRLTDHGNVPVVLV FLEQALRNIEAQETSLASLRPVHDYAHRSKLHGLPKPTASEWDIFRGFLTNAWFQRTW VVQEIVLAKKAIVIQGDWHIDWDAIGKATAWYAREGYGMPQGIREAPADAPHLQPLAD AANMWKMHQRPTKRLALLDILKDFRCRAATQAVDKLYATFGLAQETQSIEEKGIHALL EPDYTKSVKTVYRDLAKFLIIEYGSLIVLSHVNGYSADRSNWPSWVPDWSLTKPSSEI WRSRQQTLFRASGDEALTMDLSGDDDVLVLEGCRIDTVRIYGDKLKGSGFGFVPDKQE RDFVSTAWRIMKSRESGTQVSQNSGMRDLLRSFIYTITIGLQGPNPWGEDTDEALADA AQWFSQYLGKSLPTVVTSSGKWFHGKKPDAGRFHQAFVHACKGRRFFTTEEGRVGVGP EFIKEGDSIVLLFGGQVPYIVRESEGKHRLIGECYIDGVMRGEVITGRNDGEWGQSKE RFTLF PFICI_05791 MGLTTRIKKDLELKNFTVHAQWLGMFAAVVHAIGALRLLYMAFK ADRYADWWAGEISMNLPWSFRLAWTLTGYLNLM PFICI_05792 MVQDLNGGDDTDASFYAGLLVSAYAVAEALTSMGWGMLSDRVGR KPVVLFGLVGVAISSLIFGLAKSYWVALLARFIGGALNGNVSVMQTMVAEMVKNPAHE PRAYATQPFVWTLGGIIGSAMGGFLAQPARFYPGLFPADGLFGRYPYLLPNLVAVAVI VLAVLQGIFFLEETNPVLKEVDDNAVEDSDMDETTPLNGARQRGPRASTISERPRFAE DSLPLPVEQNFDLRRSSFGTVHSIRLPADAQPSAVRADGRPAPPSRALSTQSFKGKTF NFTIIMVTVALVIFSYHQMAFCTLLPTHLLDKPQQPRGKLDLMGGLGYTVHDVGVYLA VNGIIGLFIQAVIFPLFVDRIGVWRSFVLMIVFYPAAYVLNPFLSAFPDWLVSPGIYL SMVLQSFFGIIVGPCALILLKNATPSPAVLGKVNGFAMAACCLARTVSPPLVGIIYAA GGSAAAWFSCAGIAIVGIVQVIWVPRKHAVEHVEVDAALFE PFICI_05793 MFADFRYRQPAVSKEDTPCDKAAQPPTSKREQPESRDKEQAKKI REASTALAARFVAQMKPYRSGTLGSVQGQQPLIPRTPSLTELKQAQQEKEDLRSRFEL LNLKSPRFSLTSFSPSSLKQPAPVQHSWLRDPTPPATPDPEEAGRRGGNGMFPIPPGG KNRRNGDGRIFGLQKRWFWGLLAVAAVVILGIVIGVAVGVSQAKANSTSHTSTSGGVG NSTDSSASSAVATATTQTGTTGTTTTSTSATATSTGGVDCPAGNGTTYTVPGSTVQFL HLCGIDYSGDDEATDLGSVQTNSMADCMDNCAGTSGCTGCGWGYVDGDSLFEHTCWLK SGLKQSHTADSGWAFAIII PFICI_05794 MTAVTGTAAKPYKVFVAGGCYAGLSCAIHLIERCDSRTDSPIHV KVTIVDERDGYYHLIGSPLAFSDKKYAEKAWVEYKDVKILQRPDVEFVHGSVVSIDPA AKTATIRESADQHERTQEYDFFIGATGFRRAFPVVPQAQSRKAWLIEANRHIDAVTSY SDPVLVVGGGAVGIEMAAELKTVKPDIKVILAHSREKLLSAEPLPDSVKDCALDLTRE AGVECLMDHRLTRSTPIKNAAGQDAYEVEFENGHKLTASAVVMAISKSVPSTDFFPAE ALQTETGLVNVTNSLQLANKAIPHADDHFAIGDLINWSGIKRCGSAMHQGKLTGLNIH QIMQQQLLGTEPKLNTIDEVPPMIGLAVGKSAVSYGPDGMSSGPQVMQLFFEEDLGFR ICWDHLRLGGSLA PFICI_05795 MVLPQDNEPVLKLPHPYLTPYVLAKSSKANKSAPFFQAKPQQVA NGKDLPEPLHNTSLFFTEPTDLKSSERPAESNNTPWGRARRSPSSTFLWEGAEAPTLG QAWLLVYILFTVRPQMEYCRLTLEGAGKESLATQLKSVLLAIDHPANPETAEDELLLL RSTFWQGAGSPFGPRTAWIPEDDEPETPKPLSSYPLTPYENTMTSEPNTVLTWHPRRP AKPRPGSVIYSRYIPHLKENFSMVALDWQNEEHLRLFHEWQNDPRVSQGWNETGTLEQ HREYLRKQHVDPHQVTILARFDDTFFAYFEVYWGKEDRLGAYYSAGDFDRGRHSLVGD IRFRGPHRVTAWWSSLMHYLFLDDPRTMFVVGEPKYTNSSVLGYDFIHGFGLDKFVDL PHKRSAFVRCSRERFFQLSPLAESEKVVGGTMVGLLPKL PFICI_05796 MAEPVSPEIAYLLSLQAVRDRSRLVLQAAKEGALNSFDYDEPRM SEVANVVIDIIKRDFGPDRYAEIPPHGRWQHFNVGGIDRVGALLAQWSSEGQDKLEQT RRLLDLFFVSVLVDAGVGDKWTFKEPDSDRVYSRSEGTAVASLYMFKAGCFSSKKEKP HSVDSAALQGVTEEIFCQQFQITSENPMVGVASRVKLWNAVGQQLHNNDPKIFGAEGR PGSMVDYLIASAKEPNTLDYTDLWSVLQGVLIPSWPKERTHLAGNPIGDAWPLKVLAD RAQREGDSSEAAAIAPFHKLTQWLGYSLLIPLTRVLGIKVINDNLGTGLPEYRNGGLF YDLGALKLKPKVLEAGQRASGQDLPLYPATGDTIVEWRAMTVALLDELHRLVSAHFEK EGVKLNLAQMLEAGSWLGGRELAAKLRPETKSSPILFEGDGTLF PFICI_05797 MIPARSLARSGLPRATARSCRATKPQTRFQSSTSSSSSTGSAQS GNSHLSAGVAGGLAAATVLYGAYYFSPSGRMSRTVNKTVKEANNKYQEAATKLQQNTP SADETIDYLKNFCYSYVAWIPGGRQYIDLAFKDVETVRKNHRDEADKILNDAYKQFQQ LSKSGLSIETAYKAAEVLADLSKKVGALAGDAFTDVLDNHPQLKDKFGGSIDQLKQMG DQYGPEAKKQVDETWSQVKDVLGGGLTAANLDKVRRLIQEKVEQVKKLGDEAWKKGLE QAKPYLDKNPKVKELIEKNADTLKQGNAKELFDKAKKAVESGDTGDIESYVNSAVEKA KSKGSQLGKSFGLEQYIDQIPNGSEILSKLQQLRDVADKHSEEGKKLVEETLAEVKQV LEKKSQKAEEIVEKAKKESK PFICI_05798 MVAVTSPFSREKAAETKKSLAEAFSSWSNLKQALQVKNTLGYSE SHLEPTPAEKRTWDFKTYCLFYFGISFGNWTLGSTMIGIGLSWWQAIVVIFVSQTIAS IVMAFNSRAASRYHLGYPAISRAVFGMYGSYYFVGARAVLAAVWYGIQLYSGANHVGN MLRAIFGDAYNNIPNRIPESAGITTKGMLSFILFWLIHLIFCFFRPYQLKKFFWFKGF IMLPAVAGVFIYCMIESKGKVGNTLADSTVTGGLGWAIMHGINSGMGNTATLITNQPD IARWAKNPRASILSQILVQPLAVTLSATFGILATAGINGKWDLELWNPWDLLDAIQDH HNGSGARFAIFLAALCWTISILGTNIAANMISFGSDAALLWPRYIDMKRGFFIAQILG YAIVPWKILASATIFTTFLSGYGLFMASVVAIMVSDYFIQTKGNIATEWLFNPGKSNV HYHYHGGWNIQAVIAYIIGIALPFPGFAASLGATGVNQAGYDLFYIGWILSFTMSFVF YNTICKIWPTKNQRLIRERGMGWEECAYNPISDDSSSAGQEEMGVSQVVADGTDTKKE GAL PFICI_05799 MVGAHRRQTTVDSPPAYHVVGQRGSVAGPSRLNSGFSNLSLSGE PQHPEVGTCLVHLRLLYTFHNLKDDVGFSDGLFGLWDARYPATPNNPNKALASLREKR WALFVARAVDRYEAWWNSLGGQFLTERDMEKDRTRYLNFMANWEPMHWSEDHMPPLDV LLVLHAHMLNPRLFLEDCLRCGHRALWTTGIPWHIIDQMIDDRFQYTASEKCKSNWTR TTGRKWFNTEDGDTKAVRCPACSGLVSMPWTTCSQDRVNFETKFPPPRPQNIAGEGYG DGNLSQKCPKCNITITHELLCLAKFRNHVKYLVNNDQPLPGTILDLKKGMPTEASRSD SPRLFPNRLLRRGTLADVVDLVKPGDETTPSMLMVKDLIEKGINDKSLLKRANGGKKP DLAAKQQIRHMMSRYWDNASPFGLDLVGAVMRQGVFTQKMYKIAWLQSPEANPTIARF LIKYDRFFNIMASKPDQIVVPTVDIDLVWHTHQLSPRQYYKFTVAKIGLFVDHHDKVD EDKLSEAFEWTTKTYQTMYGEVYSECICWYCETIRAWSISSFGVLLNVSKQDKIAQAW YDSGKAHAQPPSKSAHISTHPAVKVNETEARQKVTKKMRALYKSRLEQSYARAVSRAG KKGRELGPKERTYRHWGANYTLEGPWSHPHYLAKGLYATDPTQVNAGDGIPGGCVAGT CGGSGGCGSGTVSMCGS PFICI_05800 MSKTTKQLPHERRKNEAALSEFAEFVEKQQALRYPSSKTNKVAD ASATEHHEELDILDSLNLEDSEPQIPLRELLLADDEANFDKLVSVVEDRLREGHAEAV FDVGFENNGESMGLTKPEWEKALARLKTAAKSLRADCDVLLTKNIGGEKEAESVITGK DKDKDCSGKVLIRQAPATTENVIETRIAVVGNVDAGKSSMLGVLVKGDLDDGRGKARV NLFRHKHEVETGRTSSVGMEIMGFDTLGNTITSDTPGRKLSWEEIGKRSAKVITFTDL AGHEKYLRTTVFGLLSSSPNYCLLMVAANNGLIGMSKEHLGIALALNVPVMVVITKID ICPPNILEQTISQITKILKSPGARKIPIFIRDREECINTATQFVSQRICPIFQVSNVT GENLDLVRTFLNILPHHGRYDAGADFEFAINDTFSVPFVGTVVSGIIKSGVIHAGDNV WIGPDSNGNFTATNVRSIQRKRLDVPAASAGQSASFALRKVRRKDVRKGMVVLPKVEG HAPPKVTREFVAEVLILSHATTIKTKYQAMLHVGAVAQTCAIIDIDRPFIRTGDRATV AFRFTARPEYMVEGERVLFREGRTKGLGIVKSVTYDPAHPLMGQDSPHKPSEGDESTQ KAAAQPEVQVGA PFICI_05801 MAPLHVESVGLANWALIGSVSVVLYLVSVAIYRLTFHPLASFPG PISHRISVWPRAILLARGDLPFHVAELHRRYGPVVRLGPNELAFANPQAWKDIYGHRT AGQDEFPKSPKLYKIFDDLPASIINAGREEHSLLRRQMAHGFSDRSMRGQEPIIGAYV DLLVQRLQSKCDDGRRPLNMRNWLNYATFDIIGDLGFGSPFGCLDNSDYHPWVRIITD NITQGAMLTAFASVGGRPIIQYLKRNGYLKRRNQHEFLVRENLMKRMELGAERPDFIE GLIKMKEPLVRSMKKLEMNAGLLIIAGSETTATLLSGAMFLLLTHPEALQKLTAEVRS SFRDDGEITLLSVGSLTYMLACLNESLRQYPPVASGLPRVAPKGGALVDGHFVAEDTQ VAVWQWAINHDPHHWTKPMEFHPERFMGDPEFKSDKLDAMQPFSVGPRNCIGRNLAYA EMRLILAKIVYNFDLKIADDSLRWLQDQKAYNLWDKPALNVYLTPVTHS PFICI_05802 MAQTSRSKSHDVSNPEETDPALLRDHTQFRNYKIKNFDFPKVRV FFRPRPKIDEFPQHPATLPLLVFIHGLGGQASQFSGLISSLCNNASCLAVDLPGCGRS AFSPQHWKAYEPTVMVALLETIIQEYRDGEHNQGVILIGHSMGSVLAARLANPGASHD TDLTPHILGVIGICPQSGPPSEKASSKLRKLLWLPTWLFDLLRRWDRRGGTNSPSVLR IAGREAHRELRELQYVFNRQSSSPVFRRFAWGCLSSYTAGQPVGGLFGEPTWAGLNLP VYLIGAKNDNITQPEEVDKIKALINDQEENSTANSGAKESQKVPQHISTNEVLTKTSS SNSSGAFGEATIPALTNTKPREKLPQSISDITAENFQPKGPTANSEDSSDEVITPRDT GESPVAIPPLELRPTKFVASRVLANANHTVLYDPKTVRVVSGLISDFIILHITGRFDL SWQLQHLNRLGKWELKNLQKWQSVQPVSDLIAHRFRAMKTLREVDDEHSPQNFASKWG GEVKAIIDISKDNPAYDPRGLEQAGIQYHKLPSVSKIPPTDQDVQNFISLVSRLELEL LPNHVSEGRDDPKVHTIGVHCHYGFNRTGFLIVSYLVEAMGMPVQTAIAEFAKARPPG PFICI_05803 MASTLRICRPAGASNSILPRAAAGCRHISHSTSASVRARCSLNL RNQQPVAPNQSSRGSVASFHTTPSVHASRAQQPGGNPAATSLGLLDVLGNIPAPSTSV DICMSSGFVLNSGARVTDGSGIILIGGEAFRWRPWELSPSASEGKPRLQNKKGQYDVP PPSLSLFAHLWPRPDLLILGVGPENRPLSPELRKAVSALGIRVEVLDTRNAAAQFNLL ATERGVDDVAAALIPIGWVEGQGAPSDDEGEITHE PFICI_05804 MPNNRVTYRTRNPYNTSSNLTRIVKTPGGELRALHIRKRGTAPK CGDCGTKLPGVPALRPREYAQISHPKKTVQRAYGGSRCGNCVRDRIVRAFLIEEQKIV KKVLKEQEGASKKK PFICI_05805 MSNFQPPPPPPGWGPPPPPPPPPGPPSDFLPPPPGAPAPPPPGY RPPTDPHIAKFAQKKTEWLRMQKNRFGEKRKGGFVETQKADMPPEHLRKIVKDIGDVS QKKYTSDKRSYLGALKFMPHAVLKLLENMPMPWESAREVKVLYHVNGCLTLVNEIPRV IEPVFHAQWATMWLTMRKEKSDRRLFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQM ELDEDEDAAVYEWFYDHRPLLDTSHVNGPSYKTWNLNLQQMAALHRLSRPLLSENYDP NYFFLFDMKSFLTAKALNVALPGGPRFEPLYKDIDPNDEDFGEFNAIDRIIFRNPIRT ESRVAYPYLYNSLPRSVHFNWYSHPQVVYTKTDDPSLPAFLFDPSINPISSRSVAPKN ISISHEDELFGPGNIEEAEDDAFELPAGVEPFLADEELYNEDTSAAIGLWWAPFPFDR RSGRMVRAQDVPLVKQWYLEHCPPKQPVKVRVSYQKLLKTYVLNELHTKPPKAQNKQN LLKSLKQTKFFQQTTIDWVEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKT LTTKERKKSRFGNAFHLMREILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQ LTGMYRYKYKLMHQIRSCKDLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGII PLLERWLGNLLSRQFEGRHSKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQ NKVNTILQHLSEAWRCWKSNIPWKVPGLPAPIENIILRYVKSKADWWVSVAHYNRERI RRGATVDKTVAKKNVGRLTRLWLKAEQERQHNYLKDGPYVSSEEAVAIYTTTVHWLES RKFTPIPFPSVSYKHDTKILILALERLREAYSVKGRLNQNQREELALIEQAYDSPGTT LERIKRFLLTQRAFKEVQIDMNDNYSTINPVYDIEPIEKISDAYLDQYLWYQADQRHL FPAWIKPSDSEVPPLLTYKWAQGINNLDRVWETADGECNVMIETELSKVYEKIDLTLL NRLLRLIMDHNLADYISAKNNVQLTYKDMNHVNGYGMIRGLQFSGFVFQYYGLVLDLL LLGLNRASEIAGPPNSPNDFLQFRDHETETKHPIRLYTRYVDKIWVFLRFTAEESRDL IQRFLTEQPDPNFENVIGYKSKKCWPRDSRMRLMRHDVNLGRAVFWDLKNRLPRSVTT IEWDDTFASVYSRDNPNLLFSMCGFEVRILPKIRNQNDEFPIKDSVWSLVNNTTKERT AHAFLQVTEEDIQKFNNRIRQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTVN LLDTIVKCETKIQTRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPASDKR WSKQTDVGVTHYRAGMTHDEETLIPNIFRYIIPWEAEFIDSQRVWTEYSQKRLEANNQ NRRLTLEDLEDSWDRGLPRINTLFQKDRSTLSFDKGFRVRSEFKIYQLMKSNPFWWTS QRHDGKLWNLNAYRTDVIQALGGVETILEHTLFKATGFPSWEGLFWEKASGFEESMKF KKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISL IQIFRAHLWQKIHESIVMDLCQVFDQELESLGIETVQKETIHPRKSYKMNSSCADILL FASNKWNVTRPSLLYDTKDVIEPTTTNKFWVDVQLRYGDYDSHDIERYTRAKYLDYTN DSMSIYPSPTGLMIGIDLAYNLYSAYGQYFPGLKVLVQQAMAKIMKANPALYVLRERI RKGLQLYASESNQEFLNSQNYSELFSNQTKLFIDDTNVYRVTIHKTFEGNLTTKPING AIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKELIV TRKGLLDPLEVHLLDFPNISIRASELQLPFQAAMKVEKLGDMILRATEPQMVLFNLYD EWLKSISSYTAFSRLVLILRALHVNPDKTKLILRPDKTVITQDHHIWPTLSDEDWIKV ETQLRDLILHDYGKKNNVNVSSLTSSEVRDIILGMEISAPSLQRQQAAEIEQQQQEQQ QLTAVTTKTQNVRGEEIIVTTTSQFEQQTFASKTEWRTRAIATSNLRTRSNNLYVSPV DSDNDDITYIMPSNILKKFITIADLRVQVAGYLYGSSAPDTDDKVKEIRCIVMVPQVG GLRNVTLPQQLPSHEYLNNMEPLGVIHTIAGNELPYMSAADVTEHARLLDQNPSISKT ASITVDVFFTPGSVSLSAWSLTPDGFAWGAENKDLGSDQPQGFVTTMGVKKQLLLSPK YKGFFLVPENGKWNYSFMGAGFDALLKKKVDVKLDTPLAFYADVHRPLHFQNFAELEE VGVDREDQFA PFICI_05806 MDLECSENINLKATKPGLGSEANRASPEETGRPEDKESHDLKEC EKWELELSWLQRCADYASRLNTTIKAKEHQHMMFDFSGLEPAVQQRKRMISEEVSRLL QSPSPLVRQAAFSVVSRVISRRFNDYADVEPVESETMLKALLDGCKLSGRLDIELTMR ECLLRAMDTRDVVPEDMIDAIRHYIEACKSYMMRIKQLEYLETYVYIDPCEVSFPFNG DARISGLLMRHFPTFSLEGLDIWGNNTLQALIWTADQEDIEQLIPTMDSGDFLSVATK RGPCGYTILHIASIRNISCVIEKASILSRVDINSTIHITDESSWTPLLHAVARGYCGI VRTLLELGADPKAQDNQKRNALHYAAGCGQKDTTRLLLSHYPSLCTATDWHGYTPFHA AINHGHLEVAKMFASTSGTVLLVDEGTPLHIASMKGHVPLISWLLDERDAGTIDWKDA FGRTPLSLAARSGNLDAVNLLLSRGADFTIADENGNTPCEVARIYGYHDIVSVLERVS IPAHGQ PFICI_05807 MVYHNPLQRTMNAVLIDFCHICQPELMLEYELDTVPDNGRSKTC RRCSKTFVESVSFTNQDQLEKQLARLAAGHFATSHGLAIASLGPARLEKIEIRWKDGD HSFGYGATSLLGMEPDRFQRQLDMIPLRGFIDVIYIEFKSPSHVHPGPKPLPAFPSPT TSQVSYSPRVTYPNQSRGPTGKSKLDQPWDGRTLHPNLHNPYVSPKIRDVFMSDFDPS PTPVDAKPFCDHTRIKAIPSNGFRTSGSYEINTGTARRTPLQPGTKKNHKAASTDPYR KASSNLSKHLAQPLSNPFCDGLSDPKPQTASILPLIHHPHMAPRHTSQQQASNHHVPS FGDGRASHPSQGAAIKLVPHPNAFTGLSGDNPGIGTRPMRPIKRLPRTRKPYSGPHNS FGADRAGHGHHADIGAFTKDQQIEHFLGAWDGYAGSDELMHDAS PFICI_05808 MSQHHTPHHHQILMDPSHLGGLPGARHMGHHGASHYGAQTTSHG VPLYPSMTPSQPHSAGTKRSRPDDLDLSVSGMPELDQGDLDSMQQTPLGAAYAQATSA PPTHHHHHRLPDHGPPTKMMRRDAEGSLSASGGGAPSVVGQAGMPAPAARPRGPKLKF TPEDDQLLIDLKENKNLTWKQIADFFPGRSSGTLQVRYCTKLKAKTTQWTDETDQKLR AALADYENEKWRIVANKMGTGFTPVACRERAEQLAEGTDEEENL PFICI_05809 MICRAPSKCGQGVAKTFSSSAKPVRTEPGHPAWLQQILRDTAPP PKLYAWTSANLKDAADEQQNSDLAISPKKRIHVLGLGNLGRLFASNLRKLSSPPPVTL ILHRKALLEQWVSSPGIEITRAGQVEKFTDFNVEWWTEERPSTGPVVEATAGTGISNL IVATKASDALPQVDRLRKYLDDTSTIAFTQNGMCKLWPPLGSTYNSHRFSDQKQPNWL ACVTTHGVVSSAPFKSIHASVASAAIGPVSLNTRSADESGYLIEAFVNGPDLYAREAA RSELWILQLEKLVVNAVINPLTAVLRCKNGELFVPRDDALPLIIDKLIEEASQVLREL IIHPSSTDILKDVAAHSKRSKDRSTDVSLQDAKEQLLARFAFEKLHHMVHEVGYKVRE NTSSMLQDVRAGKRTEVQDFNGWLVDTAQFLSPDSQLPTHMRLISLVEDGLTVSRSEL SENFNLSRKA PFICI_05810 MTTFKIERDTVRKGTETVTDAAAAAAAAAAASGSKDGHLPGTSG KHPHLEKIGTVITGGKSNAGTKGYLMAYIKQLEENPLRTKMLTSGTLAGLQEFLASWL AKDRNKHGNYFTSRVPKMAAYGALISAPLGHFLIWCLQKAFKNRTSLRAKILQILVSN LIIAPIQNSVYLVAMALIAGARTYHQVRATVRVGFMKVMKVSWITSPICLAFAQQFLP EQAWMPFFNVVAFVIGTYINTMTKKKRLAALRKKHFGDGRGSGSVGGRPDDYPPMGPN PPY PFICI_05811 MEPKTNGCVKVNFDAIGQSLTAQVKPGPRSSESRSNKYSFFSEI DDVAMRTYSPAQIATILQQREDADRSAQLQHVLASEVRSKTVRRRPLTYLPSSSSSSS TQLPLPPGFAAPDQRKRAWVPDDSFECQFKCCHACRPTCEPRSYLSLDGVADGDIPPS AAAGFGFHHCGIRPIGDANVVKNIGYRPVPLPRSVLCTSGSPRSSLWSMLNVIDEQIV ELARVEDAATSKNVATKEFNNEITRPAKASSEISHLHDSSSHTRDNDIAERQNCFIRA CATPLPTPTQQEETFFRETLTQMEEQEIEEGRFHKTPLEVADGIAVLEESVEMHVPDV MTQF PFICI_05812 MHVPLEQYTANLKTILSHPALAAQRDCRIVLITPPPIDEHQHDI KDRNAGYPALTRRSLVAREYAEACRRVGEASSPGVAVLDLWSVLMERAGWNAGDDVLA GSLEAPKNIMLDRLLSDGK PFICI_05813 MAASPRLLLALRRPLICCHPCNIGMRRIATSAAEAASKMTDTFA NKVFTTRQLLDANQLQKLSLTLGRRELYPGLDISAEPPPAKTPVPPGYHLVYFTPGGL ESELGPDGTDRTFNSPAPFTRRMWAGGKMTWPAGPAQLRVGEVAEERTKLLSAVPKKS RDGGEMVLVQVEKEFWGKDGLALTDQRSWVFRPELEAATEPVAKPVEGKVKRGPSTLV DEQAEGHAYPLRKLYWSPVGLFRFSALTFNGHKIHYDESWTQSVENHPAQVVHGPLNL ISMLDYWRDVVGKGEVGEISYRAMSPLYAGDTYQIRTSNPVDTEDGSPWEILVEKDGK TCMKGAITEKS PFICI_05814 MFWRILTSSSLLYACVAPAAGDYIPAPPLPEPIAITELPLPPLA PNNDTGSCTIELNPLGTGCLANGVAGAFQSGDFLPDGKHVIALVTYQGAPAWPDPSSI YSGQQIIIVKTDGTNFTHGDPWKCITCGVPAENAQGADLSSYDYPQAFKDGKRLLLRK TVLDCGDIDLVSDDCTPNKTYIYPLRWSTTADDSGAGGDFREQRLHPDQVHIQFNAFT TTVLGLGQYGYFGRIVFNPSPTSGTPLAPRYDIVNVTLLYNSSLPQPLTFSGDQLFHD PTKNYIGEARGFSGDGSEITYIGYSAESCNIDIFASHLTTGAVRRVTSHPEYCDPLSF SQDNKWIAIMDTRGSGRQMFISGMRGIPPIVDLIANVLPASSRNNGPRRFFQPYLLDW YGDRGDYYGQKINGNGYGVAGSGSVDDPEWNGMADPRWSPDSTQLVYWQTHTVSPSCG GDNPLPCYPSTEPYGRNYRMYLATFTDREPSAPLDVPEHADVIPWGEPYVAGSAAPNI SLPPAGEYTLAGKSTGLAQVNITWLTAPQVGTISVVYKNYSDDGSSFLNGNEEVTLTL PSLYSSHFDWYSDILQTGAVNGSKKTSPEGYHASIDVLVNILDSNGTLTTTLDGVEWV SPQSGT PFICI_05815 MNKKEPPTDSGNNQQIILPSKIVAQEYVNCFFEHANITYRYLPQ ADAYDYLDQLYDEDSSLLNNDARMAIILLLMGIGCIWLASYRSLPFEEYKIKSHRFLR AGRERLESATSNGGASIELVTGHVLKCQLECAASRFREAWMTLGTAIRLAQMIRLHRE QQTSDPIHQYCARGLFWAIFMIDRYLSVSLGWPMALHENDITIPLPSEPDASILPRVG PQEAKVISGVVAHARLTQIIGHAIMKLYGGTRISPDSCEQAITQLEGELDKWLQDTPS FFHPRGDSREAGDQEFYDLPWIFRRQRRTIRSAFHFTKMLIYRGTLLQEFLHHIPSTP PFKEPGSKYIQHCADNAWEMANIAADIADDDTYNSVYWTTSHFIFCAISILLVYHLLY QGPFSRSELERLLERAMKGHGKLDNGPSLINEDLLQKSPLEARPAGQTEVPLRDHTNT ENAALDPVVDRGMVETQPLSAHGAVSVDTLLPSWLNQAQAPTNTGVSFLIEPGASAGL VHNWFSQGLDSSTGDVFDMIMDLGFDGTYATDFGSNMDYE PFICI_05816 MLAMCQVPQGATDTDASAPGVPQAQSTGASTPSSAHTVPAGGPS HVHDVEVSPSGQTALPEPDRLRQEEELGQ PFICI_05817 MSRKHFIDGEDAKDLVQDALRGLTVQHPELVLDVANKTVYNSRH DSNECVSVVSGGGAGHEPAHAMLVGNGMLAAAVSGNIFASPGVPQIFQCIQRIHGTAG TIVVLKNYTGDVFHFSLAIEKLRANFGARVEIVVVGDDVAVGRRKGGKVGRRGLAGTV LMHKILGSMAVSRESIEKCMAMAAQVNKGLATMAVSLDHVRIPGQPLSETELQDEIEL GMGIHNEPGSMRLRPQPEIKQVVEIVLSRLLDTNDEDRGFVDFSGAEDVVLMINNLGS LSVLELSAITWKIHEHLGRRGIKPSRTYSGTFMTSLNGPGFSVTLLRANAEMLRHLDT ATDAPGWPVSVIASRTADPERSISLSSLPLSTGIRNESNKIGPKVDYDLFRQCVMSAC QAGIAAVPNITRYDTIVGDGDCGITLQRGCESVLGLLKRDQEGKGETALTHILRIARA VEENMDGTSGAIYGLFVNGLAASIRTMESEKRMGHEQWVRAAHGALFAVQKVTPARSG DRTLMDALEPFIAALTKNGVDAALQAAAQGAESTKGMRPAFGRAVYVNEEGWDQVPDP GAVGIVALVEGLLKNLQ PFICI_05818 MGSTFEYPATNRTWQIIHNARKGGYAVGGFCVYNTEGVLAVIKA AERCRSPAMIQFFPWTMHFQGPEFIRFAAERAHRASVPISVHLDHCLKPADADMALQC PFDSIMVDGSMFDEEKNVEYVKSVVDRARDKNITVEAELGRMEGGEDGLPNIDLESVW TDPDGAANFVKKTGVHFLAPSFGNVHGPYPPGGAEKHWQLDRLEKIHQAMGADTPLVL HGTHPLSDDMVRVAMEKGMVKVNQNRNVRNGYHKYLEDNAGKVELTTLQSEGVEIYSQ GVERLMVDVFKSAGKV PFICI_05819 MAAVNGSSEEFCPALYPDLKGKVVFLTGIGQTGDQSMWGNGAAT ARVLAANGAKIFGCDLYLEPAQHTERRIAAEGGDITVTTADVTNDASVKAAIDACIAK YGRIDILINNVGRSEPGGPAEMTEKVWDAQTNVNLKSVYLCCHHILPMMEEQGSGVVV NVASIAGMRYIGKPQVAYSATKAAVIQFTKATAVIYAPKNIRMNVVVPGLMNTPLVGM LADKYAGGDLAGFVAKRNKAVPMGLMGDSFDVANTAAFLVSKASRYITGQKIVVDGGI TSSTG PFICI_05820 MRDLPHMDTITTDAPHKDNRKAATSSAEHVADGSRDLAEDLSSD EIYFVENYGEERRRKVIRKVDIRLVPVLALLYLMSYIDRANIGNAKIEGLAEDLNLSG SQYNIALSLFFVPYILLEIPSNIVLGYFARPSRYIGGMIAAWGAVMTLTGLVRNFGGL VAARWFLGVFEAGFFPAAIYIISRWYLPNERQVRIALFYSASAVAGAFSGLLAFAIAK MDGVAGLEGWRWIFIIEGLVSVACGILAWFCLIDTPALSGRWLEPEEIRYLELRQLAI QGNGARVREAEKSRKWQIAKSVLLDWQLYLQALVYWSNTAPNYGLKFTMPQIIKNMGF TSSNAQLLTIPPYFMGAVSAYVSAIFADRFRWRMPFIVGAQTLVMIAFIILFVKAADV KNNIAVCYFAVILSCIGFYPINPGGNAWTVDNLAGPTKRAQGVAFMIGMGNIGGIIGS YIYIDSEAPRYPTGFSASLAFAALGIVCCLALEFGYWRQNKKRAQMTEDEIRAKYTDE ELEGMGDSHDREWRLGHQFTPDLIAGAVPEKCWWPIG PFICI_05821 MADSTAQAIQALRKQQQRIGSSGSKKPRIIVMNALSVGESHKVA PLFLRLMMDYTNVGKTWEDHSAVDAEIEDNCGDDVHWTVVYVSVLAGSGNKPVKTFSS DQSGFGWLITRESCARWMIDAATEESGDKFTNARVIVSN PFICI_05822 MHGDSGNTGDTDYAAPLGHNLRISSAAEQIAVIVWASDGSMIAG YGNASTNPITRAIGAVDPSTFEVLASWTAPVNQTLNLGYMEYILDTDEVLLSTTQGYI YAVHRENCDGVPSFTLRRTIDLTTTIKPWEWMLNSMIDAAGNIWFTTGGITGSGDPAQ NSTTAGYVTPDGTVYAIHIENQMVENGIGVSGTSMYMATGLSGAANTSYNATGYMWAF APEKGVGLKTVWKVPYNAGSGGKPGEITRGTGSTPVLMNDQFVVITDNEDPQINLLVY HQEEQESADAQLFCKVPLFQPGASSNENSVISHFDGDKYGLLVQNSYAAPPFELPGPG FILNGTWNDMSQMPGGFVRVDVNPKGGCEVRWTANLASKTVTLLSTKTGLLYSYIQDK AVTPTGQYDWYAVATDWATGEIAWKVRLGSGGIFNDNWLPASIGPDGQFYQAVFGGIV SVKDGL PFICI_05823 MARAINGQRAAVAGGINRGNFEAVYATSIFIAANTVSQHQFLPI KVETASDLGKCVVEWLSAFRNVRSVVAASRQEFGQSSLAAVFPRGGWQHLSAQDASPN SDQVAFGFPLDELIANAAQDERYSDYHHIIVGLSNIYEQPSREAFMRFLVEARPEFLL HVEAGEPLALMLMAVCFSLTQLLPPADMMDQSAQRDLEATRLHLPPNHSLLLERTIAV IRLHPWHHAFAEIPNFKAKQTLDNTPCQDFPVAVLAQLGT PFICI_05824 MSAVTYLGHSGSALSKPQIALVIAPSFIPNGYNQANVGGLLTES DWVKTFPEIDTVKTTGL PFICI_05825 MTTYWSLSAALDPWCMVLPRTVNDVSMTITTLADNECPFGIRGG GHGAHALSNSVEDGVTLDMGLFNTTTYNTDTKIASIGPGAHWGSVYDTLTPYGVTVTG GRAAYVGVGGFLLGGGNSYHSGSHGFGCDQVQSFEIVLADGQVVNANKYENSDLWKAL RGGSGNFGLVTRFDLYAIEFEDPSNPYIWGGIVGYNYTQSDAVIDAFINFTNNVDKDI YSSSIAIWGYSASGFSVRSILDNVANRAYPPAFDKYLSIGSQTSNSLRSETMSNITAE LIRDHRTRTIWFTGTYANDPRILRFITAKHDKLMLTLESILGPDSGVFSSCQAQPMTE PMISQGKGNNVLGLETRIAATGGPGFMFLIYIGIESAEHEALALPYVESFWQDVEEYA DSLDGNWRWRYLNYAYRTQEPISGYGVENVKWLREAAQKYDPKGVFQRLRSSGFKIPQ YIPP PFICI_05826 MPQYRAKLSCARCQFRKLKCTRTEPCSNCASSNQDCEYREQSKR RPISRLYVAGLEDRIAWFENLVNRLKAASPSERDAILDTVDLGDHLVPQAASEVDKEE PLSSALASHFIVENEGLVSYHGPTSIYRFSTGLPLPDQLRPFPNNTVSGNASELHTEQ VARDFGIDLRGELITMSLRHFFRWQYPHSMFIYREAFLRDHFSNHGNRSYWSGPLLLA MCALGLTMAPELEDKIMSDRFFSAAETILLVSGMAHPSITTVQAFLCLALYEIGRGQL SGGWKFSGIAFRMAQDLGIQDDPSHYMAADKSTLSSEDIEIRRRIYWGCYISDKIISM MLGRPALLSDSDAGVEITERLPDFPGMEDWLPASNDVDESGQERVQQLVPSFQMQIQL AKIMQRALNELCSFKKHRRRAQIDVLNLELCRWETILDQSLHWNKWQATSTRICPTIA ALHMLFHSLRIVLNMEASDGDEVSLTSARIVIAIVRKYRSQCSLKHAPFIFVYGIAKA LQVVASSGIPEEQAYLLQALDECSVTWALAGYVAKHALGNYQHQPILSKQRQQGAI PFICI_05827 MAPGITENICPENASIVDTMSITAKTKGATAIKEKFAAFRNLVP LTSQPDVVFLNASYAPPSNLIVHEALTTYSYEALRCPHPKPHWQAAAEELRGLVAKYV NTEPTNIAFTRDTTEGLSDFIRSVPLSAGDNVVVLDTEHPNHVYGWMALRRLGVEVRQ VPTMAEAERTGQVVAATADTFAPWVDGRTKAIGLSSIMFHSGQRNDVAGICAAFRPRG IHVLLDATQQVGFAALDVQSLGVSAAAFSLHKGLNCPTGLACLYVDPAVMAEFDPVPP TVGYGAVENTRADLLVPADALVYHPTASRFDHLNISLSAAVAGRAYLKFYLESLGPSD VEDYLYGLGDDLRKDCAELGIDIVGPADRKGHAPHLYILKLLDPAWVELLRDRGIYVT QYRLGIRVSLGFYNNVSDIESLTRVLREGISKGLPTM PFICI_05828 MQSSVEANGKSPDPKSSPQETASVSEGLGLASDVHLRREFKERH ISMIAVAGAIGTGLIIGSGTGLVRGGPASLLIAYCIVGAVVFFIMTALGEMATFLPMD KGFAGYATRFVDPALGFATGWNYFFKYAIVLPNNLTAAGIIIQYWRPDLNVGIFVATF TVAIVAVNILHVSFFGEAEFWMSVTKLLVLVLLIITCFTISMGGQPSGERIGFKYWSD PGAFGTFLVPGTTGRFLGFWACMVQACFAYTGTEVVGVAFGEAPNPKKTVARAAKQTL FRITTFYILGALVLGMSVPYTNDQLIGATHSSTGAAASPFVVAVQLAKIPVFPHVINA CLLIFVISAANSDIYIGSRTLFGLAHDGQAPAIFRRTSANGVPLWGVAMMSVFTVLAY MNVSKDSSTVFGYLVSLVTVFGTLNWISCLVSYIRFTRAMKAQGISRDLMPYRGPLQP YGSYFALLLTILITFFNGYNAFIPSFTVSSFVTSYIGIIIYILNIVWWKYFRKSKAVG LHDMDLYTGRIED PFICI_05829 MMSKEITIDILVIGAGPTGLGAAKRLQQLNSASWLIVDSNETPG GLASTDVTPEGFLYDVGGHVIFSHYKYFDDCLNEALPASDDWYTHQRISYVRYQGQWV PYPFQNNIAVLPKEEQVKCLESLIDAALDARTRSPADKPKNFDEWNIRNVGQRLTEIF MRPYNFKVWAVPTTKMNATWLGERVAAPNVKLLTKNAILNKVAGTWGPNSTFRFPARG GTGGIWTALADTLDDEKTRFGKHGQVTKVDADAKKAYLADGTIINYQFMVSTMAVDYL AKSMDDLKLQDLCKPLFYSSTNVIGIGIRGERPERIGDKCWLYFVEDNCPFYRATIFS NYSPYNQPQAGKLLTTKQLANGKKPASSQPLPGPYWSIMLEVSESSYKPVNHDTLLAD SIQGLINTELLKPDDEIVSTYVRRFDHGYPTPSVERNDALDQILPYLKSKDILSRGRF GSWKYEVGNQDHSFMLGVEAVDNIVSGGVELTLQYPDFVNTRANTERRLDSTQN PFICI_05830 MQAVSINSTQPLEGPSYIGQPAILKLMRPRFSYEPKSQGYHRDA GNTRSEDYSGPLGFNPVVETFAMPPPAPVFWSQQGQVTGALLCRENTSCIAALNPDTY QIEATYPGADDGDVFDMVSMVYMQILDNHVIVPTSERHLVDIERIDDNSTPYFVKRRD IDVTSVVEDGTRIVGIAYDANGNLWFCTGGFPGVGLPAADIAVIGYVDPMDNIHSIQL PGTAVENNFAVSGTNVYLVTGPAGARDTVNATANFYGFRPGPNGVEIVATVPYQAGDG IKKGGVSRGSGSTPSLLGDKYVAFTDNANEQVNLIVVTQMVNGTGGGDPICTVPLFEP GQSANENTMVVHWDGGSTYSAVVANFFDAVPIYLNGGDPFGNGSIDVETINEEYNNIT QMAPGLTRIDVDDETKSCSVVWFNKEIRGTISPILSTKTGLLYMATQENELAHQGSYV QYGTGIDFDTGKEVFKVRMGAGGSFNYNYQSPVLTPDGGYGQFVIGGFVKMKDQN PFICI_05831 MSIVSLLWFIATAVSDVFVRNLSAVLNIDLMGIISEQSVVYLVC KAVYLKWFHPLAKFPGPPLAAISDVWYAFHWTGGRWPFVMEKIHQKYGDVVRVAPNEL SFATAAAYKDIYGHATKGKKQFLKSSWYENGDPVPGIVSTRDPADHARQRRSLAHAFS TNSLRDQEDLIHTYTDLFITQLAKHGGQSSEGINMPEAFHWLTFDIAGHLAFGESFGA VASMRTNYWVSIIIDGVFFGNIANALKKRLPLLTPLLLLIMPKDLKRKYITHRQLTLE KIQKRINNQQLDRDDFFSHILKKGDYTNEGLASQAGTLIIAGSDTTSSFLNGVFYYLV TNPDKLSKLQSEVRTAFNDMSEITGASTATLPYIHGVIEEGLRLTPPAPFGLARISPG ADIAGHFVPAGVTVSVDHWSTLHDPRYWYDAASFLPERWVGDGFGDEKAAFHPFSLGP RGCLGINLAYLEARIILAKLAWALDWELVDADVDWKRDARLYTLWHHPRMRGRFNVHD QARPN PFICI_05832 MAARGGCRFWTTLLKGNDYVFDEHHEVVYFSKYLEAHVTPDQHR INEELGEFYNDDDGKIMLSYIASSGVQPSITFKLGRGPGQVTNFHIWVHPDERLRSLL SPALPPNLAPKSELSFYRARTWIQECLSKHKDCNLRVPEEANFIPRRVLKVQKGTSGP LVRLVSQPAAQRYVTLSYCWGGDQPAKLVKDKVAAYSAGIPFQSLPRAIQDAILVTIG IDISYLWVDALCIIQDDDDDKADQIIQMHRIYQYSHATIAASVSRGSTDGFLHNRQEL RPIKMSGRLDDNVFGDFVFSPGILEQEGIFPEASLPLFQRAWTFQETHLPRRILSYGH QGLTYRCLFTTHTEGVAKVDDINIDMAIDPGNSRYNTLPHPDSWHAIIAAYTQRQLTV ASDKLLGIAALAAEYSRQKGTTEYYAGLWEEDFHTQLLWVTYNEESSPKSRHGQYIAP SWSWASTNYPVWVHDDFAHWADMLEHGLEQPCPPLDHFCTLLDANISLVDSRSPFGMV SAGFIKVRAKTKRIAIHVSHESPSLKVAGRDVLMTSDECLDKWPQSGGYDPRFFFNLD YPAEVVDGHVYSAIEICGVTSAGSEDDSDEEQDEKLAGRSYGLLLEMVGSDTYRRLGL ITLSRQDVWGWVFDIPESQEVIIV PFICI_05833 MVGVPGRSQGCITCRSRKKGCDGQRPACLRCIQAGLQCGGYSRH PVFIASTPDSQGNLATYTRATPALTASSHTSQSSSAQDVTLSQSLTQTAYNARYMDMF WSHYLPCGETLTNEAMKLGNGGWINLALDLFSTDSTLQLAMQSVVLRGMGMRNADQSL MRQGSAAYSMCLKDFNKALSDSGRRSTDGILCTVKLLSLFEMHYGADETDKLAQQRSW GAHANGQLAMLTLREPQDFRSGKAHQLFVDYRYNLIISAIVERKRFVLDGKGWNTKPW KSIRKSPRDKLLDILSELCQVLEEVDHMQACTKLDEKTVLRQDILRRCWRLDELLRAW TNETTKLKNFEHDHSLEPKDPQDFALAHLTILYWATGALVHANLFALVDKDDALPPRI NPLIFVHKVASAMPFFLHPRAGAMGPKIASFPLGMALQVYYGMEDEPSEDIDIFAGFT ESGFDATAIVNFILSMQRGYVKTEVADRGGLQGTRTLAKAWMNLSGV PFICI_05834 MLLSYIKFSPALGALSALGHCLPTTTSTSSISIPTPTYLFTAEL YIGNILTPPIPLLEGGSVTIEPLVNGTVKGPALNGTIYSGFAAATVVSNNTVTGNNVT VQVPSIYVYGETSDGLPFYVQETGVGPIAGQNTRLQIAVGGKHSSLQTAFIIAQPSIN MARTKVTVPCFSIPLAPGIA PFICI_05835 MLCSRASGAALQPVYHTLFVVFLFLSCLFTAADATNCSAFATNG TAAAQYNYYRFYDFRNIPSDTWDSVKNATSPNNASAGASTADMGWSLDWQRRSGLLYA GEGQNLLPIDYQPDKAALKTLDADEADPGVTTALGLTSSRQYSDRHQSAGIDFNEDSI LYLSLRVRARVTGAKGACTAFFTYANDTQEADIELLTRDEDHVVGFNTQPSIDIHGNY INATHFNTSLPHNLTREQWVDYRMDWVSDQVVWYIDGVHMGNTSTNVPVEPSHLYITM WGNGGTWTENMTLGDSALLEIQWIEVAYNLSDAEPVANASAQICNLDEAKEDNTWQPT SFPAPHDFSASAGYKLGMSTLFMVLAIIFTI PFICI_05836 MPSLIKEVSALVRAASLQKQSVEDLRRRGVTIIAADLQGPEDDL TSALAGKDVLIASLPPQNTLDQIGLATAAVKAGIKRFVPNAWATVAPAEGVMILRDVK EQVFNHIKKIRLPFTIIDIGFWHEGVTPVVPSGKFGTASMVGKNFLIGDGSTPSAVTS MDDVGPFVARIIADPRTLNRYVFAYGEIKTQLDCLSLAKKLTGEELEVVPISLDKVSQ LANAAETDEYTLWHKMFVQYFNTVWVRGDNCPRYAKELGYMDAKELYPDLPFKTLEES MLETLQGRRNFPEAIGDDQYWEVIAKLLTGGVL PFICI_05837 MRRSFHIAASALAVATIATAATIEDLCTVSNVQAALPVNGTLLG IDLIPSSVTTAIVYNATAEETTKLYDYCNVTLSYAHTGTTDIVKLNYILPSPDVFLNR FLVTGGFAYQLNTDFLGSLVYGAATGGTDGGYGALEGTSFSSVLLKGNGSINWDNTYM FAYQALGELTMVAKPLLQGFYATSDKIYTYFEGCSDGGRQGLSQIQRYGDLYDGAILG APAIRYGQLQVSHLYSNLVEKTLDYYPSACELAKIVNATIDACDPLDGRTDGVISRSD LCMLNFNLTSIVGESYSCAAASDGSSPAVEGSVSAEGVAVAQGIYDGLHTLDGDRAYV SYQVGSAFSDAATLYNSDSDSWGLNINRKGGEYVAKFVELLNIDNLPDLDNVTYDTLV DWMTTGFERYADSLQTSLPDLTKFQSHGGKMINYHGESDPSIPTASTIRYWQSVRSIM YPNESEEDSLAAMSEWYRLYLVPGADHCKRNTLQPWGPYPVGIVYAVINWVEFGIAPE ALNATINYGPYAGEVQGLCAWPTRPLWRSDDNSTFDCVDDSASVATWDYSFPAFKLPV Y PFICI_05838 MVEIISLVPDAQVFISGLGTLSILIIAYCVAKVIYNLYLHPLRK FPGPMLWASSALPAALNNVSGKPHIKLLDLHRQYGEVVRIGPNELAFAHADAWKEICG HLKHGQHEHGKDPKYADESLDKSLISTSRERHGPMRRTLAHAFSARAMADQQPLINRY INLFLQKISERCNNGTVALDMTDWYEFMTFDMIGDLAFGESFGCLEKEKAHPWVNILF DSLKFLPWLQAINDFPFFSILKPSYFVLLMPRDILRKRQDSIEFSKASLGKRLSSPIT RPDFVDAMLAAKGGYSMSELEMVDNSVLLTTAGSETTATTLTATTYFLGTHPEVLAKL STEIRSSFKNEEEININSVQNLPYMLAVLKEVMRVYPPVPIALVRRAAPGGAEIAGRY IPEGTTLGIWQYALYHLSSNFLCPDEFIPDRWLDDQRFANDCKDAHQPFSYGPRNCIG MK PFICI_05839 MSKRRQTALQAVQALNDWDIEAIMSYRHESCIHEMLPKSLERPP ADNATFRKHFSALMPLLKNYKAEVEDLVEDAASNKVAVHIRGSADSDFEPYRNEFISK WRR PFICI_05840 MAEYYQYSWLTVVAAYTQDRGLFHDVTSNDITRVTRLPYRDMNG KQNGYFYLQAADSKALQRDFMKSVSDGELNRRGWIYQERLLSRRLLFFSKSGMFVQCQ TGPARSFLGDIFNPTKADSQGDWVKDYSTTTSSILAQWEEVVQTYASLELTQLEQDRL MALSGIAIEFGRSLRHMRRAKASSSDADAMKVEYYSGTWLLAIRGLLWEQTQPGNRGR IRGIPTWSWASMAALVTNSERQNVWSGLAIQWGHHRDGKAVCELTAVLPVPVTKNLRP NFGRALRFRPPENVYDGDKRFAILGLRGLCTPAFIHRNFPTEDDTSTAARLTGHDSGQ SSNMWRQVTLTRESLVTAGWASIEHPDIQTDEDCEAAINLHGRRGLLDHEEAENSVPV FAGAMPFSIVELGQYSREKFTFMDITQLYHIKYSVKAMDVKASLTTMDCFGTFTGGSI DPKALLLVLDCQGVLGTAQSTSRLLTLLSIVHGHSCDWDSHKMEHNQIFQSYSEQQRS IL PFICI_05841 MQSFAQTFLLASAAIISFTSAAPTAEGPKTKDFTVTQVRNPHYV RNGAAALAKAYRKYGAPLPEYLTAALNHTYTKRATTGSAVTTPEEYDVEYLTPVSIGT PAQTLNLDFDTGSSDLWVFSTELASSYQSGHSVYSPSKSSTATKLSGYTWSISYGDGS GASGDVYTDVVNIGGVSYATQAVEAASKISTEFTQDTNNDGLVGLAFSSINTVSPKSQ KTFFDNVKSSLSSPLFVADLKHDAPGTYDFGKTDSSKYTGSIAYTSVSTSQGFWQFSA SSYKVGTTSYSTSLNGIADTGTTLLLLPATVVKNYYAKVTGSSNSNTYGGYVFPCTAT LPTFTYTVGSVSIVIPATYLNYAPVEDGSSTCYGGLQSSADIGINIFGDVALKAAYVV FNGASTPTLGFATKTLS PFICI_05842 MVGYTRRQVAAGLALMGGLPALATQVPLGLDTNREQQLPLQSEG SALPLVSTEALQDSIKIDNLFARAKELYEIAKLGEDEFNHPTRVIGGDGHLGTLSYIY SSLAELGDYYTLSNQTFPAVTGRVSEYRLVLGDQVPSSALPMGLTPPTKDKEPVHADL VLVANAGCDASDFPAEVAGNIAFVQRGTCAFGTKSEHAGRAGAVAAVVYNNDEGPLSG TLGTPSPYHVATFGLSDAQAAPVLKKLKDGKRVDAIAYMDADVQTTYTDNIIAQTTAG DPDNCVMLGGHSDSVTEGPGINDDGSGSLSVLEVAKQLTKFSVNNCVRFSWWAAEEEG LLGSDHYAASLSPEENQKIRLFMDYDMMASPNFAYQIYNATNDESPEGSEELRDLYIN WYKSQGLNYTLIPFDGRSDYDGFIRAGIPAGGIATGAEGIKTKEEEEQFGGKAGDWYD PCYHQLCDDVSNLNLTAWEVNTKLIAHSVATYAASFEGFPKRVALKSSATGVYEEQTK YHGAKLFI PFICI_05843 MALPSAPVVTLAANASIQPPLSRRGHGPGVIVIDAGLPDSSVAK SLDPKPQKKWAEEGYAVARATFSQSSAGDGAWEAESVLEKAVDALVKLDTCDTKDKFA LVVYGTPSDYPAGFSDKLRAAYKSAASIVAAVTFSSEWDLSVKPELVHIAGKPGSPVT RSNTSTYDYPQVESEKFIVPGAEGFNYSAASVAHTRGLTFIKKHLGGPNFDLEKIWDE HTYYEFDDRSVEKTMATMVDEPYVNHIPTMTGGVGRERLTTFYRHHFIFSNPEDTALE LVSRTIGVDRIVDEFVFTLTHNAKVDWLLPGVPPTGKRLRLPFTSIVNIRGDRLYHEH IAWDQCTALIQAGLMPEYLTFPYQVDGKSPEPGKKFEYRVPAAGFDVPDKLQDHGAAE SNGMFSFEVRQVDA PFICI_05844 MGRNAAKRDSTAADASSASTTNRVKCDGQQPCHNCSSRDALCEY PGSNDNASTSRQYAMSFEARCQQLDLFCQRLEGLAGQLSQTVESLVRAKVGSETFMED ELVQAANALRSLPDAGEHMPLALDPSLSGTGPVVQQEIEDEAPQDNHEESDSEAFEDD GLDFSVDQNGRTEKFGSLVTDSYGKLRQIRFVGGATNSVLIEAIQSLSPPGQSAVSDS PGMLSVSRGTANESATQPLEVPLFVHGQRWPELPHLPKADQLSRPPQYIADLLVNLYF DQLHYTLPVLHKPHFLARYKNLNSSRSSEQPDKRFLSVFFAVCACAASLLPSDGKSSR FPGLDYYEKSLLLHYASHGEASLEGVQCLALLAMCSAGWNTLTQSWNYAGRAVRAAQD LGIHLSSLMSVPGEHLDPAAMIRQQLSRRIWWSVYTLDRVTSICLGRPMAAQDADCHC ELPLDISDDDLDEYCRNKQHSPVNPPVSTPMTGFLAFARLCRIGGKIQQLYSPSRIRE IAKPAKAKQRLRTIGSLDKSLNEWLISLPDDIRFSANQLDRGPNLTMCVIMFIVHAGS LLNLYRSLVGSLNQALPGLQAIDAVSHCVSAAKSCINAAELVRELVPPSHHLAFCVHY LTLSGLVLLRMPGSPHDSTLPDVRKCVSFLKDLEVTWNGAAKSRAIIEQLMHESIPEA RAPNGRTFENSEVIESFSWDQVPGAGLFGYDIPGTDVLNAWLL PFICI_05845 MSNQIASPVVNASGKTRLQESLERAKRGEGPSVGQWLEFPGYTL ARTIAPLGQDWVLIDCEHGNISDNDMYLQVGAISSSGCSPIVRIAGSEPWMMKRVLDA GAHGIMVPMCETKEQAEAIVKACKYPSNRWAQGVRGVGAMFASAAFNQTMGQYTLSAN DNVMICVQIESRKAFENVEEIANVDGIDMLFIGPNDLASSMGYAGLDHSKVPEVQDAT AQILKATLDAGKYAGHFALSADVAAQRYDQGFHFVNCGADIVAIQAWMCTEMSKLQSL VAKSKQSDTAEKGQDVRVANGYK PFICI_05846 MASKDMAQSVTSHAGGEVDTWDPKEEKALVRRIDLRIFPVMIVL FILNFIDRNNFANARLKGLEKDLNLTDVQYQTCISILLVGYVAMQIPSNMILSKLSRP SWYICACVALWGVISAATGAIQNATGAILCRFFLGCIEASLFPGSIYLLARWYTRKEM QLRVTILNGGNLAAQAFGGLIAAGILSDMEGKAGLRAWRWLFIIEGVITIACAVIAVF ILPEYPQTTSWLSEREKLIAQKRLALDAGVAETTENESALHGLKLAVMDPKVWLLGIT YHATIMALSFSYFFPTITASLGYDTTKTLLLTAPPWIWALLFSLPNAWHADKTGERFW HYTVPAVICIIGYIISMTTTTTAPRYFAMFMMTTGYACGFMMLAWISNTIVRPASKKA AAIAIVNACGNIGSIPGSYIWPSKYGPYYVKSFGAELAIFAFAVLCAFILRMYLKHLN KKLDATEEVPYEVTDASAARTADLEGKSPNAVVEDAKGFRYLY PFICI_05847 MTEITTNRACVWVGPRKLEVQERPIKPLGDNDVLVKVISTGICG SDCHNWESASVSKQLVLGHESSGVIEQVGPAVKDRVVGQRVAVEPGFACMECEFCLRG DPNVCADLKYCGLDPTDGTLCQFATVRASMTVPIPDNVSWEEAGAIQPLAIAVQLGRR AALNSHQTLAIFGCGPLGLLILAVAKAYGVRKVVMFDIEQTRVDFAVKYGADAGIVSP KRDEGVEALAFAQDYAKQIIKEHDVGNGFDVTVEASGAEACSQMAICMLKNGGTCIQA GLGKPLTSVPLFLLTARELNIKGTVRYTPGCFADAIDLLSRKKIDLKPLITSTYPLTK CAEAFEAQHARKDIKIVIMNQE PFICI_05848 MAAIPKVQFPSGTKVDQLAYGTGSAWMKEVEGEVHRPTVDAIKD AINVGYRHLDGAQYYKNETELGLAVRESGIPRSEFFLTTKVFGHTDVEGQLRASLEKL GTDYIDLYMLHEPFSARGSPAALQAVWRDMEACHDKGLARNIGVSNFLVPHIKAVLET AKTKPAVNQIELHPYLPRAELVDFCQSQGITVEAFAPLTPLTKASPGPIDNVVQRLAA KYSITESAVLLRWHVERGIVVITTSSKKERLQEYLVQIPGFQLSEAEVDEIGKAGEQK LFRQYLADFFGKDVWD PFICI_05849 MDLIPNCVVKFRTGDGDSNRLDATGEKGACSWPAISPDRLDRAP ARKKRKVDYGGADGEADGADKPYTNADRLALANRDVNRFPVFQPKDKDKVFRKAFSVP FVNKAAANYNPNRPPPTLGLRQGAVFVAKPLHDPAGDFAIVLYDPTVDEPKKPQEELE QEEAAKPKLEEPLFHKSLAEILGIKKKVQGDHPKVPVVIDPRLAKVLRPHQVEGVKFM YRCVTGLIDAKANGCIMADEMGLGKTLQCITLLWSLLKQSPEAGKTTIQKAIVACPSS LVRNWANELVKWLGPDAITPFAIDGKASKEELTRQLRQWAISSGRAVTRPVIIVSYET LRLNVEELKNTKIGLMLCDEGHRLKNGDSQTFSALNSLNVTRRVILSGTPIQNDLSEY FALISFANPDLLGTRLEFRKRFEIPILRGRDADATELEKQKGDERTSELLGIVNKFII RRTNDLLSKYLPVKYEHVVFCNLAPFQLDLYNYFIKSPDIQALLRGKGSQPLKAIGIL KKLVNHPDLLNLSEDLPGSEQYWPDDYVPKEARGRDRDIKPWYSGKMQVLDRMLARIR QDTNDKIVLISNYTSTLDLFERLCRNRAYGCLRLDGTMNVNKRQKLVDKFNDPNGEEF VFLLSSKAGGCGLNLIGANRLVLFDPDWNPASDQQALARVWRDGQKKDCFVYRFIGTG TIEEKIFQRQSHKQSLSSCVVDSAEDVERHFSLDSLRELFQYRADTKSDTHDTFKCKR CKPDGKQYIKAPAMLYGDTSTWNHFINSGLKPIQDHLLQQECGEQEVSAVFQYISH PFICI_05850 MSADFWAGYISGAIGILVGNPLDILKVRLQAKDAIGAQNASSYL RQFEGTSSLISGTAAPVLGYGALNALLFVSYNRSESAINNALNTQSNLWTTWVAGAIG GLATWVVSTPTELIKCRAQLSSPPASSWSIAKSVLRNEGIRGLYLGGVVTALRDSIGY GFYFWSYELSTQMMMSSKKRETSFSEDAAKVLLCGGLAGVATWASIFPLDVIKTRVQA QVLSSETRPLMDTRPNQAKRLGAVAVAKEAYREGGSRVFFRGLTICSVRAFFVNAIQW AVYEWVMYELGEGKSRVVDPDAQKMV PFICI_05851 MELGRSVVPPETEHTHTIIWLHGRGSTAQETAENLLLLRDSSKR SLSQVFPSARWVFPQAELLYCERDDQDWSQWFDVWNVLDFSDGEEMQAPGLRDSVRSI TKLVHKEALTVGGLNRVVLAGFSQGGSTAIHVLLNMPAPDNMEPPKPKRIKTEHFDVQ IRRRQRLAGVMGFSCRLPFPGGTLEETREVLCLDGEPSNEIYKNTPVFLGHCVDDPLV FVDYGRQLAEALGSFGMQGVSREYPEGGHRLNAPQGIDDIIVFLKAQGLKAVPA PFICI_05852 MRNPSPTRCLTGWCLAESNVYQPSRTPRPTAAGGFTCEKHWEPV RDVLSPTPITPGTLSKSKNAPDIIERAANLPSIDIAFSFKDLDPCEYENPDSVSQADI IADSGLRWLDIDRARIANQPTAQQPFHRRAVSSVESGMEHHQPHSRHFSNCQGNRADP SLEPSEVNNGKISAWLTGLITPSYKPEMQEAPTMPALQRLKTEKNRVEPGNLGVVDYL TAMASRRAPDSPSDGLSEGGVNIDPQVEDLALSNRLSDSGLEEKSLSLDSLDPPAIVD LKHQNQLEPGLKEWLSNVPAQYLSTRFGSGYDGPPSPTRDQARLESTSCNGSSAAKNV ADQRYHSKNYYSAAGTIDWEKSWFKHKPRRAHDVSEVTSPDYSVHKHAVDLFSMTGFD SDQSEGESPDSNTPNKDVSGLPNALTFKSCPELGTVEEVEGKTSKSILGAIHPLHRHG IRRAFSKPFRYLKRKISGAPTDSSIRSDFPAPPYGTERRILSRGSADIYPSSGQESPV FNTPVRAPSEAQARLKKDLEGADQIYTGPAAMSGGKIVDEGNGVFCPSVSAPFLLAKS TSHSTPARFGPRRRTTARSRLSEVTTPEDLATPEISLSPCSPEIDFNSADCAVMDWQD DDETGLAPHSPTASRDNVNSPHTLLSTPSSAQHYRSSPILVGEAHYLPRAGLALRRVI ESMLENESDTAPPSCSAEEALKSETNDTEPHQSAGSQSIDGVEGSHSEEGAASRSMSP PVLSGIPLDQRGADCTNASGGSDADTSSAIIAKRESCHPDTWNSSKGEPGDSDPFCPL SCNSEEPSPRSSL PFICI_05853 MFRPAIRQCTRPLATSLKPNCMASRAYASAGSVKFDWQDPLDSK SLLTEEELAISETAERYCQERMLPRVLQAYRDENYDAAILSEMGELGLLGANLDGYGC AGASTVASGLITRAVERVDSGYRSGMSVQSSLVMGGIHTFGTAEQKDKFLPGMAQGKL LGAFGLTEPNHGSDPGSMETTARPHPTKKGYLLLSGSKTWITNSPIADVLMIWAKLQE TGKIRGFLVERKDCPPGTLETPAIKNKNGLRASITGMIHLDDCPVPEAHMFPDVEGLT GPFTCLNSARYGIALGTMGALEDCIERTRTYAMERKQFKNNPIAKYQLVQKKLADAAT DAAYGTLAAIQVGRLKDEGKATPEMISMIKRQNCDRALWNARVLQEVFGGNAVSDEYG IGRHVANLFVTQTYEGQSDIHSLILGRAITGLQAFV PFICI_05854 MSELKVDFTGPLSKYLAKPETLDVLTTYLTKTSDPLWRFIFLQS RSSRSPLGCTREQLAMLLTHHQVMPSFLDLVMAFSPSSKPPTHAMFKHENYLEKDSPR FAVPGLGRSGRQIQCAFNLLSVEATDAPNEGNPWPLRQTALCHTFDVVSGQTLFIVLK ADTNILKRIHQEALNNPEMQPSLFTNVEKSFAATLLVQLIIVEWCAENWADYIEYFET KVRDKSIEGKMAPISAATTSMAIEMDLSRRSTTTSPAASRKGTFPRQTTFGRDSRPPT RMLTQIDTDTPETPRAVDESQPASPVMPRSPPRRRTSSLRQSVAGMFTRASSSQSKNE DIEMGHRNSHEDELVEYDLDEKLSFEEFQRMNRWGSELEQSIMVIGQNLGVLEQLQKH YREIIESHEYQQHIRASDLTSRIATFFKRIDGVSRDLVVHRDRLEAVARTLENDKAMY GAALQYESARVSKHFAVTARESADRMEDWTRQMHSIAIKTEHETVSMHVITIFTLIFL PGTFVATFFSSGAIQWDEDGTLGTDYIARPGGMKLFFITIVPLTVVIMIIWGLIYWVA RRHRKAKNGDMVLIEEKTQ PFICI_05855 MAENVDARPGDDFAQWVETTRARYIGQDLRENSLTYIPYSELTK YWSITKINAVLRSYDKPLPFDAPSIQSAYLRIFSALVWTGTTRRFLGLVLTDALDDHR WPLVDPPRNWHIHNRGALGDFENLQTEQWIFFPYHFEKTRLYKTQLESDCILPIESLE TIFKRGESLVHKIKINDDYNGLGSESKGGYLALKEYELKYAEERYQTEVDALTMLRQS PSENLITFYGSFRKDGHGFIILDFADGGDLKAFFQETPPPMTAEDTRVFWKSLIMSLQ GLDRIHQLMSVDSHMKSIIQGIHQDIKPANILLMKGSSGSPYDFQPKIADFGLFSHAR KTRSNSDEAKGPNKYGNQIYSAPEVSTNVRNKFKGISLITPGADIFSFGAVLSAAAAF VAGGTQLIQEYLVKRKAAHAKLRRFSKTDFEGCFHAGTEKFPVIEEMHSYIKTFCQDK RHDLITPQILDIVQQHMLLPKSSERDQAAVLIDRFNNILWSEPLTPTISLSIRRRSTW MTSSDNGETTPTSLKSIRSINTFFEGGKSWLGSRAAENSKVLDSTVKNLIDQITRNLR DRDQFFFIDDSTSMRPHERIVLEGFQALSSIAKRLDPNRVELAFASRPKDVYRARATR KLVKIVKTHRYEHEPTMMAKSLSELVEHQIIRKLPVKKMGFNINPIARKHVSVYIFTD GNWGDDPEGACHVEDAVKYLMDEVGRRRLTRQQVTLHFVRFGDSEAGGRHLEYLDRFG EKYDCDIVDVKHISSDVESLFVGPLTYHNDRRDETR PFICI_05856 MYEDSWYSFVPEVTQKKTPSISQSTGHKRRESLLQPLNGSNQND AVEPLANLYEEIDATEGPPEATLLRRAKSYSDFYHVVRAQLAKDAKKKRKKKRINYSL EALNIQKPEDAVGQRYEEPTESIDDQLLEASQQEYLVYQDQLALTDRHLDTLIEDANG ALQLLETLASSFRAVENQTSSFQAQCDDLLSEEKRLQKLADEVGTELHYYAYLDGVTR RLNAPGASRLVDHENFAEILTNTDACIRFMAKHPTYRDAESYLARYQSSLTKALHLVE SGFSNHLDRISGEISKQIASTQSEAARHALAYGRFEELVLEADGLISNVQRVIRSGFD QSGNPIAEHNFDYYANTAVNIFFSYSSIRDRDLKPMTQHDLETFRKETKEANVGMASR NFIKQCYERSYDEAGLFAKIFAIDPQFSMDTQSAYVAMKGQQKTLVNIANVAPIANTL QPNLQASDLQTICNLLGWITNEYLLLDYDEEISRYSQHCQELTARLLMEHLWPFADTA FENEITQSITRPAVSPETIKIGPVVNGVASSNAHPLAKKALELLVMYDQAMPKERSQK SSSVVFKIIHETILALQRIETRIKSTKSTDPDPDPDLFMIKNLLIVKNELVSLEIGDV RSQTTTNMQHFGQIWDALSPQNWMGLFRGILGGSLSLGLWSSATAANNSKPANINDGV QDASEKLDELLRQSIYAFTQRWGGLVNAAKSGKKGAKTSAQVETELNEKLLTAFGGQP EVVGKLKEAIQINAQAQKEVGGAKR PFICI_05857 MRQAPVLSRGVSRSPAFRRQTLRHASSGGGGRPLGGPGGSAGRF IAGAAVAFVGAGLIVTIYPAFVTEPAKPSHATIHYEKQRPTPQTIEDNRDIISSQHLQ VRKSWEHPGVYAWGSNTGRVAAPDSPETVVKTPRRIAYFDGQLLRDIKLDRSFGAAVT EKGDLVQWGAGFSEKDPKPVVTLKGKDLVKLAISRDRILALSNGGSIYTLPVSSTDQS SSSKEQPSSWLGLWSNSSTASYRKLDVPNLAWGERVTDISSGLDHALVLTNKGRLFSS ASSNTEFPSHGQLGIPGLTWATRPQGPYDQPHEIPQLKGFQISDIATGDFHSLALDKD GKVFVFGDNSSGQLGFEPEKEIPFVDGPIPLPFTTIYKGTNQRPRVTSVAAGGANSFF TVDATRIAGQPGKEEKMEVAPARNLGQVTADTWACGSGIYGSLGNGRWTHVSLGPTKI KPLSGLFEWDEKKNTVVPIRLARLSIGSTHASAVMDNVTSVDTSAKASTVNDTNWGAD IVWWGGNEHYQLGTGKRNNVNSPIYLRPLDGGAADAEKGRKGEEHRFQITPRTTTKIG EGGNARKVSVEQRVECGRYVTAVYSGA PFICI_05858 MTDSVAKSTPQEIVEEGSNDTTMVDAGKKPAGSTPPPVTYTNPR RTSPENSITLRHHRMARQASQRNLEKQQALARTTKQTIPIVSVTESPRRNSSGESHET GVSDPRQWYEQSNQNHPTTAGASMDVDPPFFQKESDDSNEEVNLVPSQSPAYRFMRDN SQGLLRPGIAHSSSADDYRSVIDDLTIENKRLKEELKRYRQMGPDSLRREKLFEVKVH GLPSRKKRELEAALRDFTTSLDGSSAGASPARRKDKSKGNKGLESVMSKHASSSSGSN SRPNQTDSAYASMSTGVGSSVPSLPSKLSSQKSDNTVERYLQDIPEGLLPNLSILLSE KEKKKLVVRRLEQIFTGKNLGHARHNSLSLVPAGTDDVDMSGLSGADDKSQQPPKGPT SAALPAEASREAHILPRCLQKSHSRHNVSPSNSAEDQTTSRDTGDASGSGGGSGQRDN GTSPPDAKAPDQRPTRPKDLDPDRPSVPAENMNYIRHLGLHAPESRKFSAQDVSVDAE GWVYLNLLCSMAQLHMFNVTPAFIRSAVAEKSKQFQLSPDGRKMRWRGGGEGTRFSSD SSGSNSKHGGSSPDTDGSNENDQRKRQKSRPAGGDEAMTSEPSKFGHQASGSSDEFHY KPMFAHHQTSSSDEQPSIDEESGSSTGPPEESHLGMNSRWNYSGVSRPSQRKRRRDGA IIYYTGAPFCTDLSGDVGNDSSYNTTSSEPSAAPELIRHGSGSSIPFKPLSNFTSHRS MMDLDFKHRDGASEDTDTTDEIQADFPWSNSKQSAKLTNLEASGLGGVSPEDHFVVVV ATSRPKRLKPTGVHRRPTFAKTSSEETTMSKDTTESMTGRLAALSTCSPTPAGVAQPR VNIVYLSGKVERLPSAPLPPPSFFFGANSSSFETTGSDCSDDDDDTAPPHKMPSYAYS ANGDLSSNDEEDEHIDDEGDDDESCTTSVESAKAVAPIQHVFGRKSSAKASDLSKMTT GSSVATAGGAISGYSSMGGNDV PFICI_05859 MGDFTQSFDSLSMNPYPDPYQQPEGSLDSGEFNQAPTRQHVQDT HYKHKSRSRYPEEQPEPSHYGHDRGKSSRSSKSDAKGLGYGSSRSYGRSSRSSRSDAK GKGRDPDMQPAIGSSSKHSGSDARDSGYDMPIADEDLPPGGKHASMGVEDMAQQFLPG SAQTTPTMSSATYAMPPMPPRAQNVGRLDDGYAPDLPQSLQDIADMYSRGITVGPSSS SSSAIPGSGPYAPAEEDDQVSELTSRPHYSQKHSRSHVLEEDSPYNENLSQYVVEPSR KFRFGTVFKIMWAEPMGNSRLSKHNKDPVGTGTSISEFGQRNINGTLLYEGIRRFIVV DGTENGARNGNSICVPIATYKRQGCTKHGIKAVNHGIIHTPNQTPMMLNGEPQLGVPP IRLLLNQGLQGIEKLAPESRINYSKHVTIEHNAVVHIIGQIHHDDLEILESGVDSCWE RRVRDRKKDKKQQRNKG PFICI_05860 MGTVQDHSDLVDLSSTTVLPAIVESPPSRTPSPSSSNTTIESRG RGSLVAGIDAARLRTALSTASTVTSQRRDAPISTTLRSSRGGESYDSYEESASQISDP STSDDIGSHASGEVLMEMKRHWETLSTQRLEIRRLLDDLRRARTHMRALAQAKDDADR KLELALRSDHFNTDRDTLEPYLIAIRTSKLKYQAAETTVDQLIDNVDDAIFEADIIEG RFYNRLSRRNLEADIPGPVNESQGKHQVPSRMSLRGISPDRSEDLHPSYERLREVYGD LQLAKEYCSNLGYKHTVVQSEDHDLLGPDELEFLDDFEDSLAKAKSDVQTWSQKFEEL RAECAAKNLIPKSSPFFEDGRDGDYHEPHVFVPDDISLDDCLVDESTLSHSQYSLLVT NPKHVLNKPLPLTSQGALEQALMLPLNTSGRNQAIQEAMHEHSIATLLHDAIPEDKTD YINRWLLQKLRISPMEVQVLSLTFRKILKILDYNRWQRDVLSFWPRDIDRQPVQGDIT ITTHIRHEFETLSNPSDKREESRSQARSQAASEPLLRPADIYDDWEYLNNLRDDGQSE GAL PFICI_05861 MADAAEDITAQGGAVWKDLFSGAVGGIAQVLIGQPFDIVKVRLQ TSTQYNGALDAATSIWKKEGPLAFYKGTLTPLLGIGACVSVQFGGFGFAKRYFEERNG GRDLSYAQYYYAGAFAGVANSVISGPIEHVRIRLQTQPHGAARLYSGPLDCVRKLSAH EGVMRGLYRGEAVTIIREAQAYGVWFLAFEYMMNAHAARNKMERKEIPPYMVAFYGGL AGEALWLGSYPFDVIKSKMQTDGFGSTQKYKSMRDCFAQTWKAEGMRGFWKGLGPTLL RAMPVSAGTFATVELTLRAIS PFICI_05862 MDSLTLSRAQSLEPDIRLEDYLDDKLQSTTDLDNLDSFLANIEV QRSQLQAQLDDATQELEEVRRSAEDRQGGLIRQIDEFQELQHSIDTRLQIIAASDAPD DAIRRLEGPMKQLQRVELAYQYVSLLQDVENMRLEARSHLPDSPKAALQPYSRLKQLS VRLRELQGPADEAAGHLVNHVERITENLWDEMKKTMSQEMETLLKKRNWPHDVDVTAE MDEEWLQCFEKLIDLQMPEIIYSDQAVSLLPIDVMVKPFVQWFRFQFMGDNPTSTPQA FATFCVPGFIQLIEKWEDFFRENLGHSLKPRFEGTTAADQSIYVDPACALVTSLLPVM RQKVEALVQHGLKNPQYLSSLMDQLMTFDETLRTRFDYDGGDSQNGWSGLASEILDKH FRDWLQAEKDFALERFRTIINSADARNIDYDYSGPGKMKPTYGAVRVTDLLKSVTASY QRVRRLPHKTRFLIDIQQEILDQYHARLVDSLEAYATLTSSVARTLQGVSKEQLAALE GTGGMETLCKVLGSADHIINTLKAWGNEHFFMLLWEELKTRVRQVDDQTNVVGGMSYD QVKDRTSSEIDSIANGSIFDETVKFYSKRRERAQAFLVDALAESHQSAFRPYLSKAQW SIVNVDSDPSQYSITAELDEPLRILKRNLTFLEPALSTTVYRKVWRDALERLQEMLWS GILLRHSFTTIGANQFVRDLQAICSLIDRHIPDGSIALTQLQEGALLLSLPLDRDGGL TLKLASDRIFTDNSEARKVLEELDIETLEPANARRILQCRVENSV PFICI_05863 MSLAFAWKTSAPQHSSDNFTCYQQSRVKMDKSEILAFAREYSSK NIDLYELLGIDSLKAADANEVKRAYRKQSIKYHPDKLGDDFDPDKWQLLERGRDVLMD KAAKDAYDAARSAALREQERRQAMNAKRKAAIEDLEARERGEVPKRLRKEEDTGMSAA ERQQLNQEGNRRLEMRRRQMAEAEERERKAQELANLAKSGQKEPINTPENPVSNLQQK ISPDDEDDPEIAAIQQRIREAQEKKAAKAARKAEKAARKTGDNAPVPSDTSAQAQEKP MSKPPATESQADEKKKVPKFSFTSPSTASAATAPKNDWATMKERLKAAQAERERRKAE TA PFICI_05864 MGVHNSSFWLGHFFPLLLLLVCGVLADNHRVVVYYQTTNANGSS GKHVSLLPLLYNKKDISVTHILLAAIHLNDTDGVHLNDFPPSDPLFDDVWTDIAILQS RGVVVTGMLGGAAPGSFTRLDGDEEQFERYYGPLADMIATYNLQGLDLDVEEHMSLDG VIRLIDRLRSDFGHDFVITLSPVASALQEGGSNLSGFSYIDLEARRGDDISFYNAQFY SGFANPSSTSDYEDIIDSGFAPDKVVMGLLTNSANGYGFVELNTTGSVIRELSAEYPD FGGVAGWEYFNSLPGNITDPEDWADWAAEQMAAGEDLDTSTVQFRTKRAMHDTWHVVR RWGRQIDRWLR PFICI_05865 MASPSEADGKAASSSLDDDILPAHTNVVVAETIPSNSAPASQAS DEVSASISNDSDVPLDEQEALRDLTSNVRDQDDLERDITFQANLAFIEAEDERDKKRI AKLEANIQKFEAQKKSQEERFRKAIGKPDLKNKIREEIARLDSEIALASNDIADFNAR IEQRNQSTGDASRLSQAGNKKLPNETHREFLIRTGKITPFANIGGPRPEEVQGQLANA LVDAEDEAIAEEMEEEATHGPRSHRNLRAPGFADAEDVNEAAILAQSEFSLRPRKTQK KRRVEPKGDSSDDYQPSSSRSTRPEADFVVDGDEEESDDDYDLTELPHKKPSKATKTS DEVKINPGRVDDGNEADYQSRLLSWCQRRSAARRQKQLARGEAVEADDDEPEWHKPSP DEPDHHLDNGLKLPGDIYPSLFDYQKTGVQWLAELWNQKAGGIVGDEMGLGKTVQVIS FVSAVHYSKRLDGPVIIVAPATVMTQWVNEFHRWWPAMRVSILHSSGSGMVNVRREDR LVEDDIAWDDVRYQQGKKSSPAARKIVKRVVEQGHVLVTTYAGMQTYADILLHVDWAY AVLDEGHKIRNPNSAITVACKMLKTPHRIILSGTPMQNNLLELWSLFDFVFPMRLGTL PDFRTEFEIPIRIGGYANASNLQILTAQKCAEILKDTISPYLMQRFKVDVAADLPEKS ERVLFCKLTKQQRNAYQLFLESDEMKSILSRTRQSLFGIDYLRKICNHPDLTDPLLRK RVSYDWGNPKKSGKMQVVKALLPMWKKNGHKTLLFSQGVQMLEILEQFIQKTGDIKYL RMDGKTPVKERQVLVDQFNNDPELDVFLLTTKVGGLGVNLTGANRVIIFDPDWNPSTD VQARERAWRLGQKREVVIYRLMSAGTIEEKIYHRQIFKQFLSNKVLRDPKQRAAFELN DLYDLFTLGDATDDQTQTGRLFQGSEVKVAGALSGSDGAMSSASSLNDNRKATYDGTL LTAALNAVDDLNNIEGVAGLEDYQTSADEKPSSDEARIMEGLFARSGVHSAVEHDEIV NGKRKPQANHAMLQQTAAADAAKAAASLRRAHDQAVRIPIGTATWTGEVGEGGRPSAP SNIRRGLGGPGSASILSGLRDRQGLNVPGTSSPGARSSSGASSAQGATPTPTTNIGLK DFERMITQFIRRHGGQVATKLLVDHFNPYCITRKQTTEFKTALDRVAKLEKKGGSMRS VWGLRTLPNLDVFMD PFICI_05866 MAPPKKTTAPKENISLGPNVREGELVFGVARVFASFNDTFVHVT DLSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVATRCKEVGITALHVKIRATGGN GTKTPGPGAQSALRALARSGMRIGRIEDVTPTPSDSTRRKGGRRGRRL PFICI_05867 MEAFTASRLLECSISLVKFIFESATAENVALHSVPTKDDILVPE TLLKKRKSQEKARAEKSAEADKKKKANKEKRAVIFKRAEKYVQEYRDAEREKVRLARV AKQEGAFHVPAEEKLIFVIRIKGINKIAPKPRKILQLLRLLQINNGVFVKVNKATMEM IKVVEPWVAYGYPNLKSIKELVYKRGYGKVNKQRIPLTDNAIIEENLGKYGIVCMEDL IHEIYSVGPNFKQASNFLWPFKLSNPTGGFRTRKFKHFIEGGDLGNREDKINALIRQM N PFICI_05868 MSTANSPGRLLRYTISIYRNKSSSSDVAENFSREYVKKIAPLHA KHGMEMYQIVYSPLAYRTALDSINRRDGRGFIVDDHDVTVEFYFRSFAELSRVTSDPE FQAAQAAEAPYVNLVHTVVSLGWVEKYVDGGRVVNIGDDGKSAYPSWAELGDISTAFP KEDVAGATKWSVEGPGGTGELKTQ PFICI_05869 MSVDLNPKVAVGSVPSGGVRNWISFSGGNWAAKWGSGTVLPGGQ DSQVVDPETYVVKMETMYLLKTDDEDPAL PFICI_05870 MEPATPLDIADRKLGDHESNDVATATPDPIVDEKKLVAKLDRHL IPLIMLLYTFSFLDRVNIGNARLYKLTEDLNLVGNQYQIAVSILFVTYLLFEVPSNLV LKRFTPSRWIAFITTAWGIVATLTGLVNSFGSLLACRLILGALEAGLFPGLNVYLTFF YTKRELALRVGYLFVSAAIAGSLGGLLAYGIGHMQGIQGLNGWRWIMIIEGIPTFVLG IATWFLLPNDPASAHFLTDDEKKVMIARSRRNYGQTESAQQFSRKDMMKAFKDWKVWL FCAGQFGMDTMLYGYSTFLPTIINGIGNWTTAEVQLLTIPCYFLGAITYMTVAYLSDH TQRRAMFCVIFGAVSVVGYGILLSNSAAGVHYFGCFLVATGLYVGVGIPLAWLPINTP RYGKRTTANGLQLTIGNSSGIMSPFIYLTAEGPRYIRGNAVSLSMVAMSTCVYAFMWF WFRRENAKRDAGHIDPAHEGLSEEELTELGDESPHFRYTY PFICI_05871 MPSFASDENAPIFSSNSGVIHLTDSHSAHYPVKQGTQLPSSSFP VIGRPEPNSYDEFDNSSSHSSDTSGPRSRVNGVSASNTTMTPLPNGGRSTNGSLHGVN SRPDSTSESINNNSAAADVKRAHNGYNGRTLAAAERSSSDSRPMMNGRTSTSSSSPTV HGHQSTQYFNMHDGLSKRPSIESSGRASLQQNFMDGAPSRPLSNGDRTPRVSNDYASG TYQNFAGALLTPSITTTPEPSQQNTNSPHRFSSPATVGTPSASASVLGPPTPQLKQRH TLEVPRVQQGRGSRDSAGDAYASGRFSPTVAGPTGRRASLTLGRRNTRSVHSEVPRDE VALDDDAMRWAEAYRQKRAKKKRKEDLDDDKVLVGTKVDENHANWTTAYNMLTGIRVS VSRTNAKLDRPLTDADFEAKQKSTFDITGNELVPSAKYDFKFKDYAPWVFRHLRALFG LDPADYLMSLTGKYILSELGSPGKSGSFFYFSRDYKYIIKTIHHAEHKFLRKILKEYY NHVADNPNTLLSQFYGLHRVKMPWSGKKIHFVVMNNLFPPHRDIHQTFDLKGSTVGRD YREEDLEKNPRATLKDLNWLRRKRHLEMGLHKKQLFLQQLERDVRLMQKLQIMDYSLL IGVHDLKKGNEENLRGKTLQVFNPGGADNADEVDPHQILLRTPSKLESARKARELREM IKAERPVPMGESSTPMPEELEEGYGRGGIFYNDDGGLRATHEDNTPGEEIYYLGVIDC LTHYGVIKKIEHFWKGLSSDRSQISALPPQEYGERFIKFMAGVTMSSEEAERDALDRE AAAQAAAATAEDGEAAHGRSSTGAGPTHPSYLPPAPPGMRSPQSPEPNPTVEKALKKA TKKEKLVAMEDQLPERVIRAIAAESGNNQGKGNSHAVLPVVEESAEAASVTSARSVRS RDGSASGTRPFTPSAMETPGSMRADGFTNLGPHGIGGRGPPTPPKSSYLVPRFDEPRK GRESEDSMGMGLRKVISRESLDKSLPPLPRAN PFICI_05872 MGIFDYFSSPDAKRKEEVRKGAVVPDRSERKRCWEARDGFYACL DKHDVIDSTKGEGKAKADKECVSEGKKFEEDCAAAWVKYFKQYRVADYQKKKTLERLH HESASKIAIEGPGDQPGSTRR PFICI_05873 MARRRKPPRSGAIAELPPLRIAGQIAALQAIYYAAALVLMLFMS LVAGTGFSLDLVFGWGSLRGDTTQGWLVGFVWLCCAGAVVIGLVALIARSKLIPDFAL TLHFIHLVVVYLYTGLLPRFAMWWITMGVSSAATVALGVYGCRWRELRPISFGGTARS NNEQSAAENGLADGGSAAGDEEMGFSRGRGRGRGRDGAGEYEMVGLKPEDR PFICI_05874 MRLSALSSPGAAATAVLALLSSADLVLARPSPEPKVPARMKWTN QRGKKVARTVLRNVLEGRTFTNSFAIAACSTSQANAISAPYDNVWVGLSDAEAVSVVA WLFGQESLNLTETEDAGEWDNTVILVELQIPNKTDVLAHIDGGAAAPERWAHVILDYR ATEDPYYQDLLVGPLPLNSETVTIKPLEYPLTRKTEGKVRNLEANSETIYSEWLYKIG AEVADITQDLWGAQATGQDNDTIDIWGIDPYWQDDGRVVRWDMFWNLPQDYFDTETLL PLGLYFKSDVTGRDPSQWELQGWLYNNIFYETTEEFRTAYWNGSIEKLGANVEGDWGR TDPINANMPYDSSYPPVSIAPAGSRYGVDIERKYVEWMDFSFYVGFSRDVGMTIYDIK YKGERLLYELGLQEAMAHYAGGDPVQSGTTYLDTYYGFGPYAFELIPGYDCPEYATFL NSTFYVDETTHTHLNSICLFEFTSDYPIQRHSSGEYVTATKETHFSIRSVSTVGNYDY MFTYSFKLDGTISAEVRASGYIQSAYFTTGSDFGFKIQENLSGSMHDHVLNFKADFDI LGTANSIQTMTNVPVSRVFPWSGGKARNTMQVVREFIDTEDQGRFNWAPNVAQQVLVV NKDEKNQWGELRGYHVLPMSGAMHLTVQNSSNLAEAGRWAEFDVQVTRQHDWEPRAAH PYNSQDVNDPPINFARFFDGESLDQEDIVLWLNLGMHHVPHTGDLPNTVFTTAHAGVQ FMPSNYFDIDQSRRTVNAVRVNYENGTATDVETFGQKDQSCSVDFTPVEYDLWGYKGD VVVRKFPYDPNNPYYETDAIV PFICI_05875 MPRRISIPFTSSNSMPETEKTGDRRPSTSKANRLSQFFSVMPRQ KEQPTAQQALMAMQNNSTRSITAPSLSLPSISLSTATAGEPNNEEQPTTLFQPPSSEE ITLKRRADAQFGPLNHATHRYVSKHHGEPLKAPVIDEPPYYFVLTTYISYLLLIALGH VRDFFGKRFSKNPMYKSVKPINGYAPLTDDFDSFYVRRLKLRIDDCFARPTTGVPGRY ITLMDRVSSDYNRSYKFTGSHTETLNMSSYNYLGFAQSEGPCADAVEECVRKYGLSFC SPRGDVGTSDLAVEVEKEVAKFVGKPAAMVFSMGFVTNASSFPALVSKGCLIISDELN HASIRVGARLSGAVVRSFKHNDMFELEKKLREAIAQGQPRTHRPWKKILVAVEGLYSM EGTMCDLPGILKLKETYKFYLFVDEAHSIGALGPNGRGVCDYFGIDPAKVDILMGTLT KSFGANGGYVAAEPSIIAKLRGVNAATQLGEAPAPSVLMQILASLKLITGELIPGQGE ERLQRIAFNSRYLRLGLKRLGLIVYGHDDSPIIPVPLYNPGKMSAFSHEMLKRKISVV IVGYPATPLISSRVRFCVSAAHNKDDMDRLLAACDEVGDILQLKFSTGIAGGQKLPPG ITAENEAEWRKENGLEESYDAPRWQLDDVIAAGVADTKMKLV PFICI_05876 MGSQIEPLELWRHPNPESTQMYKFLQHVKKKYRLDIDDYPGLYK WSVENVPAFWEDVWRFCGIKASKPYTEVLPPNAPMYPRPDFFAGSLLNFAENMLHPKG SNVSDDSPATITVTEVEGKEVTTTWAQLREAVRKCANSLRAAGLKPKDRVAGFVSNHH QAIIAMLAAASIGAVWTGISPDNGVSAVLDRLAQIEPKVLFADNGMVYNGKSWSSTAK TLEIVDGLNGKGLELVVVINNIAVELGLDEIKDKGFKAVDYEGFLDQGTDGGKLEFEQ LPPSHPLYILYSSGTTGLPKAIVHTALGTLIQHKKELFLHCSVDASSTMLYYTTTSWM MWHWSIAALSCGATLVLYTGSPFKPHGHLSIPKLLAKYKVTHFGTSASYLTTLESNDL ILEKEGIELPHLQAVYSTASPLPPSTFSYVYRAFPSRINLGSITGGTDIISLFGAPCP LLPVYAGEIQCAGLGMAIAVGDSVTGEPTPGEDGELICTEPFPCQPLTFWGPGGDDKY KASYFERFEARPLKGPIWHHGDFVRIDPRTGGLTMLGRSDGVLKPSGVRFGSAEIYNI LTKYFSGEVEDAVCIGRRRETDRDETVCLFVVMCEGRAFSEDLAERIKTMVRKELSPR HVPAVVEEAGGGIPRTSNGKKIEVAVKQILSGIKIKTNASVANPEALDWFQNWASQGT KRKLSQAAK PFICI_05877 MSSTENTEPKVEETTPAAAPAEATTATEEAKPSVTSSSVFSMFG GGAKKEKKDEEEDRGDNSGSAKAQRDAAAAAGEDEAPESEDVHFEPVVKLTEKVDVKT NEEAEEQKFKMRAKLFKFVRESSEWKERGTGDVRLLKHKENGKTRLVMRRDKTLKVCA NHYVVPDMKLSPNVGSDRSWVWNAAADVSEGEPEAVTLAIRFANSENANQFKDAFLQA QKDNEALFGASEAESAAPKEAEETKEASS PFICI_05878 MEQPGYPAPTDNISDTLPQQANTTPPGNHTHFPGPPIRAATTSS SVPSLRFPRPAGNRRLTNWVSSSHPDMMRPFNIPDNHPLDASITDGYDIIDADVEGHS DVADSPYEHPASEIGDDVQSLADTDTGTDVYTNDVDTDSSDDDIMDIEHAGDAADDSA AETDEEEDNEADMSMAEQSLEHPTELFTPDSAHISRRTSFSQHDNADMVRDRKMRVSG FFKHHGTDHAGPSDGNGFLAIPSARNLAHMAVDHLENNPLLRKRLLYSLLLMPLLITG AIIKEYMQGNVSAYTGTLTTVPVASVSSIATQPIPNVVATTAMTTPMTASTKSQPNAL QTTSTLKSVASVSPQSNLYSSPSLCSASVHGRNEILLRVPKEIKASWLAKRALMISVS RGTHDVSSDAARITTVDEGFLIKVPHEEAYGVLDVSIATTRKPKIKETFRVNFGTFII VDAFDAGKQFLKDFAHSVADTLNGTTTWVEETCSPAFDMMPASASITDSIVQGFQDMA NSALSLPGHFAEFVKSPLSFSESRVEQAQKELWRTAQDLQDEAALLILQAQLNSKLQW LRWSGQDAKYEQYLAAASPYYQKKQEEAAVASRARAELTKKEIRALHKQMARESDREA KPRFWRFNMEAV PFICI_05879 MSSANPTPAQEEFQELLNKNAGSDSPRVHPEDKDDYRRDNDSEE DEEDRYLNEQIDAAMRMPSLKGPSEGGNFKLPPAAFDSGRATGVKGVIADARAYEEAR QTRWRDKIRAARRSVFGVNNVKSGSNSQSEDSEGEFDADEESFLQQWRDARRQELEQE AKSGVRNRRTSPSLRMFGRMDTVDALGYLDAIEKVGRETVVVVFVYDHECQVSSLIHE ALRPLVTEHPYIHFVKIHYEDIEFDNAAVPTILAYRNQGDLFANMTGIIDMIPEDEDF DTDSLKKVFQKNGVL PFICI_05880 MDSQRPNDVSPEAMQARIQQARREAETLKDRIKRKKDELADTTL RAVSQQSHDSIPRNQLMKTKRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYT TNKVHAIPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLNQNRDGPTRVARELSGHA GYLSCCRFINDRSILTSSGDMTCMKWDIETGTKVMEFADHLGDVMSISLNPTNQNTFI SGACDAFAKLWDIRAGKAVQTFAGHESDINAIQFFPDGHSFVTGSDDATCRLFDIRAD RELNLYGSESILCGITSVATSVSGRLLFAGYDDFECKVWDITRGEKVGSLVGHENRVS CLGVSNDGISLCTGSWDSVLKIWAY PFICI_05881 MALQVDFQAIPKIELHAHLSGSISRQCLHEIWLKKREADATDLE DPLVVMPVGKHDYDLNTFFPLFSSYIYHLVNDRDSLEYSTKAVVREFADDGVVYLELR TTPRAMPGADLSKAGYVQAVLDAIAAAEEENANIRVRLILSIDRRNTAAEADEVVALA RQFASSGVVAVDLCGDPARGDVSLFTPAFQAARDAGLKITIHFAEAECSASDAELDTI MSWYPDRLGHVIHVPERVKRVIESRRGIGLELCLSCNVHAKMIIGSFEAHHFGEWWKV DGVVVVPCTDDVGVFGSPVSNEWRLIEEHFKLEKEELFKLARKGIEVIFGGEDDKERL RDIMW PFICI_05882 MAPKRKRQARLTFEPTGAQSSSQSQFSPANMRYAGSSQVTSSPS KTSSRPVAAKKKKKATQKTIQGTISNTRSTRQSSQASPPSSKPRVSFMPQSQRGGVVL LSDDSDDDDDDDDDDDDDVLHVPVGRRRGGGATKQQNLPYLESDDDGTDDNGDLDKVT RDTDDDDDDEPIQPSSSRARRLPALESESSSDSDLPAKKRKTIQVPSSPSSSSELEKT PGRRLIRRGQPSSSPTKRHKGHRTPKQKNMELLKRRRAGEKIDQLTSSESDTEEDKRG LYDTASDDSLQFLEDFPDDEVNEENEDDDESSGKAVSSRKPKRQSLVKKDEPGGGDDD SDLDDFVVEDDDTPIGAPDHMNMPYEFTAESRKPAKEQFPYVVEWLVHNKINPAFDRK DPIYTHAWQKLNDEVSGLATSKFISSAWKVEFYRSLKARPKMEAYETWRDAAAGVHCE ACGRSGHPATWKIHFQGQPYNKETLEEVESDSESDVSSRGSVDTQGNELLPTSKEWAV GSTCCSNAETAHTLMHWKHALKEWVEDRLEMDGHMKPAKLKEREKMKAKKRRKAANEI VDKWRADRTVDALYRDFRSVLQQARDKSTSGRGGSSWR PFICI_05883 MAYSRFGVADTTPASPPDATLTSDLEDTISALSWSPAANHLAAA SWDGKVRIFEVAPSGSANGVAMLKADGPLLSCDWSKDGKMVLAGGADKKPHLLDCQSG QQITVGSHDAPIRSVRFVDLPNTSANIIATGSWDKTIKFWDIRQESPAVTLQCHDRVY AMDSKAQMLVAGTADIKMHLVDLAMPFRFSRTLDSPLKYQTRSVTAFPDGKGWGTVSI EGRCGMNAVDEKDPTGIDFTFRCHRGSPDAKRVTPVYAVNDVQFHPVHHVSFSTVGSD GTYNFWDRVAHARLRAYPNGGGSVGGSITSSAFNKDGDMFAYAVGYDWNQGHSKNTPQ YPNKLMLHSVTEHDVTPKSKKK PFICI_05884 MHAVLGILTLILGWRSEASIIRNPWGPGSHSDSYQHVLDQQHSL EVPKWSTPAAALSKTHHHHRPRAGFVALGDSYSAGIGTGVDGAEDECRRGLHAYPALI AKDLSASQGGLNNTSFQFLSCTGATTNELLLASPESQINNLNGSLPVDFALMSVGGND LGFFEVMNACIFRFYNFYSGTCESALEHAQERLNGTEFEERLRIAILELLNRVKWEKK PWFFITVTGYARFFNDQTPECDEMSLGVWWRGPKLQRELRVRMNIMVQAVNEKIKATV AKINSQFAGIKVLFVDYDSEFEGHRFCEPGVVEPDYQRNDTYFFLVGGPDNARNDTSL SQKAQPDVLPLHSDLVDPRTCLEPATRSGDWGLLALCYMAMSKAEDSTLRHAHTEVVA ENSMWYVPTYYGKTFHPRTLGHEIIRDKIYNLWHELGL PFICI_05885 MTNYQVQGCTVLDAAGLAQNNMSAFWTDPTWILVWEKDRTLESI IQACARRMPNNLLSDRAHKRHIKAIDAETGTVVGYARFLLPDGLAGGWLEAQTPDVSE TDKKRFDELFASADWTFRRGTGNIDEPVHPIMQKYMSRKEYMELEYLAVQPAYRNRGI ATLLMQAGIAESERMGIDIFMLAYKAGLGVYKRLGFETLETLILDDSAYGGQGEYGCY FMERTVKRQ PFICI_05886 MDTINSIAKTAANAVWGPNTTDGKEPVSGHQGDVSKGEPYDKGN IEGAHSSVNTTGESTPNTTSAAAPTSAAGNTTGPSTTSVTEGSKDAEFAKTTGTAAES SEVPDNERTELKAKDTPSDTTTGQNDTRDPTNPQTNPKNNPTDVDDTAAGPTEGQKLD GPGPKPLDEVAKEHGGDAGNSDAALTSSEGNTEGKAATESDDPNDPHAPSKGEGTGEK YIKSTGMAADGGDFDAANAGAGREADRLMEEKGIHTSKDAPKGEVADDKHGSPESEEK KKKPGVVQKIKAKLSHH PFICI_05887 MNGIIRTAPPGTIYVRALYDYEADDRTSLSFHEGDIIQVITQLE SGWWDGVINGVRGWFPSNYCQIISATDEMPQAAQNGMMVAQDDDFEDQVRYEEEYDEE NESDQEDFNGLPLEGTDMGIKSAGAEFWIPQATRDGRLFYYNTMTGESSMELPLESPT SLNETGPMDRMNVNMPEKTRPPPEMMARGLTQDEDEDSEAASASELENDSLMMAPRGS ASRARRTYTNDGVGISPAPSMDSMNGASPATRVRGDTFINTNLPSATGFGQTPTLASA TSFTGASFSLPQAATIPRSFFDDGSAYPLTWTRLVTDMKKAIDRYREAITTNNRAEYV SRAEDISDHLRLLLAAGSGTTDNHSGQPSIISTNKALYPHFRDMMSKFSKLVISSHIA AADWPNAESVQKCMQEADGVLMGVFSFVEVAKQQRGEEIPRLFPGFVIGSTVGGSWQN NGLGPRDPITSNFLDDEQDGLVDPAAILDGRLLERLDEQKRMLVSSIRELEKYLTVTD KVITPYKHEVIGNNVCTAGGKVMETFKPWVQMIESIDLSSLGNNFQTPQLSDYMTYKQ SLYDNISDLILGCQAVAGPLGDEWAEVRGESLEGRLKYVEQCARALEQNSSHIGFSLQ LLSEQVMSVITQTSSIAREDIYQREQVRRGDTMPYNPVHARAESRTLGMGGRPPLVTS QSFTEGDAPTNNYRKGDLSKVRKILGDDPTPQGAIQAPEEMPPFLRLDLESELSWDLK TSPPAVKGGTLLALVEQLTRHDKLDSNFKDTFLLTYRSFTTARELFELLVQRFNIQPP EGLSSIDFDIWRDRKQKPIRFRVVNIMKSWFDNFWLEENNEESKHLIRDVYQFARETV KSTETPGSAPLMAVLDQRLSGKELSSKRMIQTLTNNIPAPIMPKNMKKLKFLDIDVTE FARQLTIIESKLYGKIKPSECLNKTWQKKIGEGEPEPAPNVKALILHSNQMTNWVAEM ILAQMDVKKRVVVIKHFVSVADKCRLLNNFSTLTSIISALGTAPIARLKRTWDQVPAR TTATLETMRRLMASTKNFGEYREALHAANPPCIPFFGVYLTDLTFIEDGIPSIIKKTN LINFAKRAKTAEVIRDIQQYQNAPYSLHSVPDLQDYILSNMQAAGDVHEMYDKSLQVE PREREDEKIVRGHALPSQEKLLSVSATGFGRIRLSMRQCIDPDRPRRYGLKQGDSLRI CRSLIAAQEGEDPGPLSIAHCVDEAVYKWLSI PFICI_05888 MPAANVAVAPATSVASTPTNRKSSVATGSQPERKYKCQFCARAF SRSEHRSRHERSHTKERPFKCMKCRSTFVRRDLLLRHDRTVHAKDGGVPLHSDGKRRA GPKAPRAVSGPSKSSIALDNATLEQLEASSEGNFDVETAAMLITDLQHKTAMRHSGLP FDAAPGMSGMAYAQNDSPMMDASASFSSQPMPDALPRGDVPWDAFLTEPNPKAHSVIS SGSFSDQQSLASVSIPNIQPLAMGQSLELITDIYKAANSKAPQVLNDDERNMILDNIR IHDSERAIPDGFRVPNLASLNRYLSTYFNLFHHHLPFLHPASFKPTEVSPALLLAVLS IGALYAFDQDQAYLMHIGSKVLVNQFLQNKENFSSRKCPLWTMQSSLLNMIFASWSGD AKGLEWACSIKSLLANMVAGNSYELKLRTDSRGGVQPTRAEWVEDEGCRRTYYAVYIF FGLLTMTYNHTPAISFNEFEELQLPSSEGLWNSKVTDEEAWQEQLKASPSVTFMEAHD NLFQGEALKYSAFATRVMINALFLEVWYHKRSPEALQDVVTEYKLRLALETWEKSLDL CEPEADTVPLSAPYKGHPLIFNARAMFRNARARLEVDLKTVQEALRYHDPYEVAATMS AARDRVRRSGEMLKVIEECYNCIETAIRQGVRWVARTSPTNWSVEHPLCGMDLMIILT LWLYRLEHDEEPASEEEGAMYMKIRALFENDSENPLTSQLSSVVANLWGAILSEVVVW GITRLMGESFHLHAQALIGYVDDVEVSSNVSTPSMISQGADEDSVY PFICI_05889 MKRRRKFAALWLILSFFASMPQTLADCECGYSIITSGGGDDDDN TQVFTNLLEADFIHIDYVGEGATRNDWATQTYNMSSQAARGSYGESFVATGVQNDLVK DANLWTNDDTDGDNVGLRLMVRSETVNGMIQGGQVATTAGDYFWGSYRASIKVTAVQG TCSAFFWYFNDTQEIDLEFLSKDFSASGSHYPVNLVLQSPRSRSAGYDASGTGTFINA SLPFDPTADFHEYRFDFLAGRVLFYADGDLLATMDDSTGAVPKSSGNLILSHWSNGNA EWSGGPPNQDAASIVRYVKAYYNASSPARRNAAAARCVDPTASAAVCAVPEGNATFFF MYQDNMTAGQAGADGNTDSGATAWVRRGNGSSVAFSIALLAFMWVCGLI PFICI_05890 MTNSTVQIALKNNTTSSNAFAYITGLDLNQNNVPLLIQADGQTV YNPVSPSATLQPLAVDCAISLGAPGSTTTVTIPRIAGGRIWFCLNGKLTFLVNPGPAL VEPSVTNPSDPNYNLFWGFCEFTFNEYQLFVNISYVDFVSLPIALNLLNESGAVQSVT GLASGGLDAVCTKLIAQDNSDHAGWSQLIVKAPGGSNLRALSPNSGIVMNNSLFNGYY QSYVDSVWQKYSSETLTVNTQAQWGNVTGKVVSGNLTFDGVGSFAQPSSADIFSCSTG PFGNYQDNVAEMGAIGARLAAAFNRSTLLINSQQPEGEQVSNYYQNAITNHYSRICHE TNTDLRGYAFPYDDVGPSSGGDQSGSVFDGAPSLLTVTLGGVSSTASTSNSAAAATNE QQKVVQKEQPQAPPAPTPSAGTRERRRSAFDGFRKWQSLLKQKFANAK PFICI_05891 MAKKRKASSNTTEPKGPREYDPKDARLGPVTTYEDVADDQEKYW MEQDAIMFNDGPKSKKQRRAEEEEEFLEDSEEEVLAYDQDSDDDDDDDDELEELARNA KKFSKKAKAADSESDGGDREEEDPGWWGSSKKEYYDADQIQTEADALEEEQEARRLQK KRLAKMTDEDFIFNEDEWLAEKPEEKEDAEVVTEVLKDVEIPEDMSAEERLRILQSRY PELEYLAEELLELQPLLVTLQKEADGQTRKSVATVKYRVLGCYVATLAMYFATLTSPA RDSNGSVKALDPAELRDHEVMGTLLQCREAWQRVKDLKAPKPAEITAAARQPADEDSS SVDDITLASPKASNDVTHTNKEKRKAKQAQRKAQEIEDSLADLSTLVSKAKKSKKTKT EAIPDDNSDFGEEETMDSKAAAEKAARKKSLRFYTSQIVQKANKRAGAGRDAGGDMDI PYRERLRDRQARLNAAAEKRGQKDSKHGADLGDDSDDENQKAAAIRDDEDEYYDMIAA RSNKKKSEKTARAEALAEASKADRVVEQEVIGEDGKRKITYAIEKNKGLAPKRKKEVR NSRVKKRMKYAEKQKKLKSMKSTYSGGEGRGGYKGEQTGIKPGLIKSVKLSK PFICI_05892 MPSQGPSSVPKFGSFKRKLERAPSPEQKQSHRKIQQTEDTSAQS YQRRSRNRQNNASSREAFQSSTPESPEDNNISGSRSDISHRPAPSSRGAKDELFAIDK RGDPLIRRYGCNDRYAIPSYRRFGRGRLLGSDAFFRTEPHGNREVFLLRSYREGGSEL SRDRMSVLTKSARGTGDLVRVRQDKSASFNGTEDFLPLVPIKKQKRGRSGSDISLAED QQPSYRSIHGMSKKHQDSESDETYDSDSSANYDLSECLDPIKKKGVQLTARIKDDPSD VSSWIELVEHQDVLRDLHSSNDRNPTQAEIKSYADIKLSMLEKAMRHCQSSDRVTELR LRIMLEGEKIWDNKTASQRWQELMTTHGNDFQVWTAFMAFQQSNLPEFSYQSIKQSYV QRLSWIKSELARENLDPGRQVLYSQLVIVFSRALQFIADSGYAELATAVWQAMLELHF QRPLNLVDGPPDAALSSFRNFWESEVPRIGEQDAQGWASYESNQESQEPPEPRLYDNF TPPHTRDPYKAWAAVEKQKAEGAKAPARTLDDGIDDDPYRVVMFSDFEDLLFISPRAS QPLIQQQILDAFLVFCQMPPVFGSSSAIRSILVDQFMNGGQKAYITDQKPTRQQESVS SDMKRPDFASQMQSHVKTVEVAFPLSDWFRLMQPAEEVLLPEQYSLVSNVLKQLVVSF KNAGLATYYLAFDHINNPGSRKKTAKSLLKDHSSHVDLYLGFARSEYSKSNKDAARSI LSAALGLAGLTMKDRVRLCVSQTWMELENGQLTKALAQLCLAAGGQAPEDPVSPARVL QTREFLTRNRDQMLSSRAVDDAEAYTEALSLLEYVTGMSGKESQSGVQGDVWLAVANI NTFSAQLTSRGLAGSQTQETLFQFAAQLLYYHASHGPYRPGFFRECVEGYTLAFPRNT IFLSLYAWREDRLGIEDRVRSILDETVLSKANDCASSRAFAIRYEIATGNAHSACAAF ERAVESNTCKNNTGIWISYIRYCHGKRELRLKAKSVFYRAIQRCPWSKDVFMEAFVTL ARDMDTSELKSVYSTLCDKGLRVHVELDVFVENWKSTLKQEERQR PFICI_05893 MAKNSGGDTDADPYSDMAASQQSVASQLSVPASPTYHRRRSNMR SRRQSMTEQVPDERTALLKPSRSRIRLHSPNGSATPRSPRISRHHSYHGGAAGSRHHS RAGSFSQRLLHALGDRQDSLVESKGSIFADERVWYDQFTSTDWVHDSIADAYRVKALR SRKGFWGKVNVLFDGAQGWILSALVGFLVAVLAYCVNVAETTIFDYKDGYCSRAWYIR EKLCCPHGPCTDWRNWSEVLGGHPFGDKWTEFGVYLIAVVAFSVLACLLTLTTKTVVP SAYRMTTLDENLAAELSAEMDDNENEDDSRNASPRAKSIEPTAPPMVYYSAAGSGVAE VRVILSGFVLHGFLGFKTLVIKSVGLILSVASGLSLGKEGPYVHVATCIGNICCRLFA KYDGNDGKRREVLSAAAAAGVVVAFGAPLGGVLFGLEEVAYFFPAKTLFRTFFCCITA ALSLKFLNPYGTHKIVMFEVRYKVDWQYFELASFILVGILGGAAGALFIKASRKWAVT FRKIPVIKRYPMVEVVLVALVTALIGYWNPLTKLPVAKLLLNLAAPCDDESSDSLGLC PDEISQIPNIMRLLLAAFLIKGFLTIITFGIKVPAGIYVPSMVVGGLMGRIVGHAVQW LVLRFPGSSLWDSCAAYAQATCVQPGVYALIAAGSTMCGVTRLSVTLAVILFELTGSL DYVLPFSLAILVAKWTADAIEPLSIYDLLTEMNSYPFLNNKHKPIFTSDLADILPRVR RERIIDITNSPLVPAPSLRTKLELLHRAGELDGGLPILRDGVLVGLIPAPDLEYALDN LPDEGSALCLMAQVPSIDDDEDDERDPTDFTPYIDPAPVSLDIRSPMDLVYECFVKLG LRYICVLKDGRYAGMTHKKQFVKYMRGLEEKEHAS PFICI_05894 MVQTRRERKAAQIPLSQPDRSGPSGKTLLELAQERKLFEQADLR QRKLDGKPLAPEVQQDGEDADDEDENDNDGEETAGLSPTAERVLDVLLWSISLSMLHF TLDVLVQHQYAVQLSWVQIISRTATAIFGKKTPNP PFICI_05895 MHLFALSSVGVLIVVLPLLYVYTCSIAQSQFPTVRNKRICLLIA HPDDEAMFFSPTVLALTRPETGNHVKILCLSTGNADGLGETRKKELVKSAMLLGVRQE DDVFVIDSPDFPDSMTTHWDHQKISTLLSRAFAPYLLRPNSPSAANPAASIDVLVTFD AQGISSHPNHISLYNGAKAFLAALTTGKPGYSSPVDLYTLTTVNVLRKYISFLDMFAT MLSALLSGGEARKKNAKGNPGLLINMSSLYGGRESAATARQAMTEAHKSQMVWFRWGW ISLSRYMVINTLTLEQLKA PFICI_05896 MLAAISTSTGPAGFVTPPGEMPDGYTPEQPKPSDQPQNPAPEFH KLLQDAMSAMQMRNSRSLLVALEGLTQMPTQDLEEAIATLPRTTISELLWSLDPHQIS RDHDPTYGYFIGPGMWQYLNLGAVVDEWGSRKLYVKLLLQMTQLLKVLVDAGHIPNVN DYVPLLRAAGLASDMVVAKLLWEQMQTHNIAPWRHYDIFHEFIKARFLVDPAYYGFDK KRLMMTPRNLHRRGVYLQASNSRLDRLRKNNRRQMFKFGLNRNVAHGEDLTRRLRKPM PLTRVFYHLKRKGIFTTEQLLCTFIIAFARSGSLRFIQYRILEDYFGIVITRDADENR IRVERMSSERFTFNNPLRPQRPFIRPTSRLLDAVVYAFCSNGQFATAWQLVRYISQTY KLSITQQVWSNLLEWCYILITPPTSTAWKMAGFQDQIPRFGAMEFIWHAMKSSKYGTL GAQPEFKDYDLYIMNRLSQGKVSHALTLMHEAQELYEAQCAAYDAAAFDYAAVMHVGV DCTRERRKFQRQRFRKSYMRQRMRIWGRMIQRCFQPDELNDPIATQRIPSLIEAWGGI LSNPVKYRTASGMVSLLDPAEPVEQTIFVRNHTTQIPMRRQGEWNLKTLQQRQVRLLS RYAVGDLLSTRLHPLEVWKGVAPQGRPSQSRRLDDHRAWEAHQRQAAKSEAGEVSALN AEEFPAPVEDIWDEDD PFICI_05897 MADVEEGVGASPAPEPLDLVRLLLDEVVFVKLRGDRELKGRLHA YDSHMNLVLGDVLETIYVVDEEDDDEEIKTITKKSEMLFVRGTHSGDSVVLVSPHNSS PFICI_05898 MSGEKIDTEKQASKVADADAALDYLNHEHTGAMTEVDEKKLLRK IDWWIVPLRACYNLQYLDKTLINYANVMGLQEDTSITGDQFSLLALVFYVTYLAFEFP TGFLMQRLPTAKYLGANVILWGLMVALTAAAKNWAALVTLRVLLGCFESAVAPALILI TSMWYKRSEQPARVGFWYVGTGTGTIIGALTSFGFQHYESARFTSWQIMFLVFGLITI TVGTLVMIFMPDSPMTAKLTHEEKIWAIERVRENQTGIENKHFKLYQVLECFKDPQTW LLSLITISSSVPNGAVSSYQATIIKGFGYTSKETALLSIPSGAVACLSVISSGIAASK YNMRGPIIIVLLLIGGILGGCLLAFSAHDSKGSLLAGNYLMNVIGSALPLLYSYSSAN YSGHTKKVTMNALLLMSFCLGNIIGPLTFRDVDKPNYLPAKITVVVTSAVAVVLTAIL MAYYSWENKRRDRLAQEGHKENIEFLDLTDRENKEFRYRL PFICI_05899 MADATEATNANAARDSVDGKASLETNRKDVPPASEVAEHAPKEQ AADPVPQVVDDNKDTAAKSAANPEPTLINDPIDAAARESGGSEAATDAGIEANTNVNA LEDADTNAEPEADVKMNVGANVDANKTVDADVDAQAEDVDADADADADADADADADAD ADADADADADADADVDADADVDVDVDVDVDADGDVDMDADGDVDMDTGADEDGNEEGG RRGRRGLSSDMFTIIENTANYLSSIKDDEGYHIAQAFQRVPNRRLIPDYYEVIDEAVA FSTIRTKKLKKQYTAFSEFVRDVAKICHNAQVYNRPSSHFFQDAGRLREIFKEELQKL VDEEIITSEEAVLPDLGPLPEAEDSPPPEEEDEGEDEDDEDDDDDDSDDDSDGDDDDG QRGRGRRTHRSSDRDYHQQNRSRRPAKVFTPLEARIQSFLKGLRQFKHEDGELLVLHF ERLPDKQTAPDYYATIKNPIALDLIKRKAGQKKYQTMDQLLQDVDLMCQNAMEYNEEG SAVSMAAADLMKQAHGLAEQENAKPDDQFEDEEGRRPVAEIQHKGEVWKIGDWVHITN PNDLTKPIVAQIYRTYIDKSGKPCIHTCWYYRPEQTVHRFEKHFYKNEVVKTGQYRDH GIDEVVDRCFVMFITRFNKGRPRGLPKDKEVYVCESRYNEEKHKLNKIKTWTSCLPEE VRDKDYEMDLYDAPQPLKKLPSPIKHLLRDDAKPSDPLPKPSWGAANAPPLVGAVHCR QREANESPPPEPTPPPGSFHAARRASMMQVHRPMDSQGDVAMGNVQQYTPVPVPPTPT PNGNYAPQFPAVRHSSTPIPVPQYPGHQMHQAPPPPMPQTPHYTAHQPPPPPPQTQTP GFNGFAPPYNAATPVHHHHQPQPQPHHPPPMNSPMIQYDPNQRLAPSPARSAVPPPSV PGMHGQPNTYNPPRPVEVYTMEDAIDARIPPEIREQFQRDEQGRVLFFTQPPLKRVHS GLSNESAALGHSLRYLADRERGIEERRAKRRARDEQRKEEESKRQRENEKEAQVQRAN AIDAASNTLINWMSIMNKENDLLKAQYDGWSVKDAEIDKFASK PFICI_05900 MVTGHIPQFVPSIETSPSIFDDTRSSTSEATSPDHSLGSGETSL DDSTSAATIQDKHLPVIKRRAFRGNMPASNDIQPRLGFGSLDINSIVDYGTRPKTPDA MVSPISTYTRMRLATPPHTPEQKQLGLSAGLDHAVALAELAISATARSPVSAEHTEIP RANPKSLTTYIAGTLRSSPRWKSTASGVENSRKDAVSRETSPSVPSHEECHEGRASET TKPADEDYVPFFGAAQASLCQPALVATGLVALGASEMCTDSKDPHTSVSYRITNEDGS TDRRRTVSQASPMGQNDLFGAKRSTQKPRAVTNIEKNHYLEALRAAVQPKSDMSSNND SRVHGPTEIRPVTTNVQTSGTRTAITTPSMSGRGLQTIRGQGMDSWLSTEENVNVPPT PKGLSQARGDVFLTTLNDICTSSLSATTKAHVVPRDRSAYATAVVTTKNIARHPLGEI DIDEDDEVGGCPVLSSTLLPPVQSPDAFKTPFSRKIPSLAEQQIQDMFRAQVQQAATF GAHFQPMCHLTKEQKFDNLLTKMQGKHLFDHRHADETARDVHCFVDMSNIFIGFQDTA KTSQGLPSSARVTFSPFSFEHLAFVLERGRNTVKRRLAGSVRQAHQMNKLPTHIADAQ AYGYDCKILHQVVKLDLTQPLSGSPHTSGDESRTGTLCPRTKLGEQGVDEALHLSMQD SILDAHGKPGIMVLGTGDAKPAEYSDGFAHYAIKALKHGWQVEVVSWRKCLSGEWKKS PFKDKYAEQFRIIILDDFFNEIHATWSSNINTRPSAVLARA PFICI_05901 MRYSTGEVEAPSKQYDNERGGHASPTVDIDRVTNDTLGFSKVFV VGLPERTDKRDAIALTSSLTGFHVEWVDGVRGETVVDKALPFGVDRKELWENNLGSWR GHMNAVRR PFICI_05902 MLDENKGKPLDDAGLVYMQRKFMIQNDSTVPPQNRVTGLIDFKQ YPEHTRWVHITGAPICTFAYALSQRGARKVLFDLSVDHLVGPFDNSLAALCRRAVSTV GVAKDASTARDRGLDTKCISVTPPLFFHHKAKGRLAGDSDIQAIFNDGVTRQKGFTEN IVWSARNNIKNMIMGTPMENQFVEGANG PFICI_05903 MRTFLSSFAVLSLLQLSAAELERRTSQQRHVHRRAFIPKQDRLT VTDASVASPTEQTEIVVYLDQFGNPVHTATETVVHVPSATSSIVGVSSVSVSLGSPAV TTTVPAAGVAEGAAAKNPPTTLVQSAASVPAAPASYPVSSSSAVPVPASGGSGSTLHG VTYSPYTGTGACKTASQVDADFAVFSSDHGVVRLYGVDCDQVASAYAAAKKYGNKLFL GIFDIDEVDSAVATMAAGVNNDWSIVDTVSVGNELVNNGAKSPAQVIAAVKQARSALQ GSGYQGPVVTVDTFVAAINHPELCDESDYCAVNVHPFFDPNTGADQAGAFVTTQLERI RSKMADSGKRIVVTETGWPWKGEANGAAVPGTNTQIQALSSIRGSFTSNPGDCIFFTA FNDLWKKAAPATFMAEQFWGMGGRYSASDK PFICI_05904 MDFHDAQARLVASLHDVSEAFQKIPGSAVLIRYIQSSYQNDPIR SAIELVLVIFFIRYLLSPSYPTHGPNYVKLKEEEIDELVNDWEPEPLVAAQSPFEEIE AEKLPVIVGPTGPKSKLSNGKTVTNLAAYNFYNFNANEQIKDKAIQTLRTYGVGPCGP PQFYGTQDVHMKTEADIAAYLGTEGCIVYSQAFSTISSVIPSFCKRGDVILADKQVNY SIRKGLEISRSQVKWFNHNDMEDLERIMKQVVRDQSKKKLTRRFIVTEGLFELVGDST NLPKLVELKEKYKFRIILDETWSFGVLGRTGRGLTEAQNVDSSQIDMIVGSLAGPLCA GGGFCAGGRDAVEHQRITAASYTFSAALPAMLAVTASETLNVLQSNPEILTQCRENIK AMRAQLDPRSDWVNCTSSPENPIMLLVLKPDVIRSRGLSYTDQERILQDCVDETLNNG ILITRLKSLPSVKAIGTPKDETWAISPALKVCITSGLSKKEIEKAGVTIRHAITKVMT RKTNRQSSLVA PFICI_05905 MSGYPGGGGHQDYDDGYGHQGGHNTDSYYQDEQHGQYYDHDGYD NNNNNNNNANHDGYYDQSGYYNADSQNPYHQDGGYYDNNDQYQDDYYNQDGQYHDQGY QQGRGHGEDESETFSDFTMRSDMARAADMDYYGRGDERYDSYNGGDRGFRPPSSQISY GGNRSSGASTPNYGMDYGNVLPAGQRSKEPYPAWTSDAQIPLSKEEIEDIFLDLTGKF GFQRDSMRNMYDHLMTLLDSRASRMTPNQALLSLHADYIGGDNANYRKWYFAAHLDLD DAVGFANIKGKGRKKSKKSKAPKDGADEQQALEDLEGDDSLEAAEYRWKTRMNRMSQH DRVRQIALFLLCWGEANQVRFMAECLCFLFKCADDYLNSPACQNMVEQVEEGTFLNNV ITPLYQYCRDQGYEISNGVYVRRERDHNQIIGYDDCNQLFWYPEGIERIVLEDKSKLV DVPPQERYLKLKDVNWKKCFFKTYKETRSWFHMIVNFNRIWVIHLTMFWFFTAHNAPS LILGSKYEQQVNNQPTAAAQWSIVGAGGGIASLIQILATLAEWAYVPRKWAGAQHLSK RLVFLIVIFLINVGPSVYVFGIDGAQDNKIGLILGIVQFFFSIASFLFFSIMPLGGLF GSYLAKKNSRQYVASQTFTASWPRLRGNDIAMSYGMWAVVFGAKFGESYVYLTLSLRD PMRYLSIMDVSSCLGDAIIGDVLCKYQPTITLALVIFTDMLFFFLDTYLWYVILNTVG SIARSFYLGSSIWTPWRNIFSRLPKRIYSKILATTDMEIKYKPKVLISQIWNAIVISM YREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDHSFKTEFFPSYSEAERRI SFFAQSLSTPIPEPLPVDNMPTFTVMIPHYSEKILLSLREIIREDEPYSRVTMLEYLK QLHPHEWDCFVKDTKILADETSQFNGEDEKNEKDTAKSKIDDLPFYCIGFKSSAPEYT LRTRIWASLRSQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNSDKLERELERMA RRKFKLCVSMQRYSKFKKEEMENAEFLLRAYPDLQIAYLDEEPPLAEGDEPRIYSALI DGHSEIMENGSRRPKFRIQLSGNPVLGDGKSDNQNHAIIFYRGEYIQLIDANQDNYLE ECLKIRSVLAEFEEMKTDNVSPYTPGVKNQAFAPVAILGAREYIFSENIGILGDIAAG KEQTFGTLFARTLAQIGGKLHYGHPDFLNGIFMTTRGGVSKAQKGLHLNEDIYAGMNA LLRGGRIKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLGTQLPLDRF LSFYYAHPGFHLNNIFIMLSVQVFMITLLSIGALRHETIACDYNTDVPITDPLYPTGC ANTDALINWVYRCVLSILFVFFLSYIPLCVQELTERGLFRMATRLAKQFCSFSPFFEV FVCQIYANSVQEDLSFGGARYIGTGRGFATARIPFGVLYSRFAGPSIYFGSRCFMMLL FATMTIWQSVLTYFYITVPALMVSPFLYNPHQFAWNDFFIDYRDFLRWLSRGNSQSHA ASWIAYCRLSRTRITGYKRKVLGDPSSKLSADVPRAGFTNVIFGEIIAPLLYVAVTLI PYLFINAQTGVLANDKNASATNSLIRVAIVAFAPIAINAGTLAAMFGMACCMGPVLSM CCKKFGSVLAAIAHAIAVIMLIVFFEVMFFLEGFDFTKTLIGMIAVMALQRFILKLII SLALTREFKTDQSNIAFWTGKWYSMGWHSVSQPAREFLCKITELSLFAADFALGHILL FIMLPFILIPQMDKLHSMMLFWLRPSRQIRPPIYSLKQSKLRRRRVIRFAILYFSMVV LFVVLIAAPIIAGSYIPSSLTSSIPMELLQPTGQDNDNTLNETETGTGAQSYHGIAAT GTASSTKSSNKFRFV PFICI_05906 MAWGELMSSDDEFPDLQAVAKRVRQPKLPAAHQGPAAARKEAMP AENSKPTIRRLVKPSLSASLTNGTPLRRRKLGDSETLDNPLFRKWSEDLTEPKTRTSR VSSRETRPKTLGTCRAEHVDSDKSSEESDDDVLVRRRQPTRTRKPQRESADSLIKTEA VLFVTQLDDEGRSVEETLEISAIISEGGEQSSDDEESEFVTALSEGLESNSDSDSEYQ SPGGSDVSSEASRPSSPSTRVLATTVRLPPPQDRPKRVMPPTKAAREKDLEDTDDDQK PARPRTPPKRNSRPTYATAEDIENHFQKLRLYTNELDDSTETKPGTQLEPVTPRKTLQ ASPTKAPKIPPSPWKAEHKEFWDVGIQNEWIDRHSPPKRSPQKLNLTAGTDRKGTLKQ KYGTSPEKKTAKKVFEQVREKMAHDFLHELDECVTSGQLSQLTAATGGLQTKWSNSLQ TTAGRAHWKCKEVTTKTQQPDGEVKSTKERQHEGWIELAAKVLNNEDDLMNTVAHEFC HLAVFMLNGKPKFAHGAEFKAWGQKCMDTFHARGIVVTTKHNYEIDFKFIWRCADCGC EVKRHSKSVNPEKQRCGRCRGILEQVKPVPRANGKGRTAYQEFVSREMKDLKAGGKAL PFKEMMAIVSARWKAQQEQTIVGPSDKELQALEQELEGLLVTDLTSD PFICI_05907 MPSYKSTPLYGGALVCDLPANFADVSQIREVPDHQEVWIDKDGF TSIVFDINERIGEKGSSPEIDGRALTTHLEEVVGDDIDRVKVWNTTPTLFSRLDEDIP AYTLIATMAPRSSPETERRSSPDFTALIMTLVRLEREMTDILITINVPHIKGEYDEED VDLELGKQGKLIGDAVDYAAKIWETFKVKDWGLFAEI PFICI_05908 MSNTGSSNAASAEFTSFYLQQATKEFAEDLDKVRSADDFKGDAL QLLIQALQQGTALFPSADQRRVADAGKAAQLEDEDIAKDVSATTTTTPTSSSDATASD KEE PFICI_05909 MSARPNEAASPSVKIKSPEAGTPLFGCEHVQILLSNSPDVMASS IQYYKMLLRVIYDSTAVVPQTSKIPEGIITTSLTSNYLCLQCSAIVPEEERTKHASKK GHRFYVDSRTGSFYCHLCEDFVWDPTLEELRVKKLGTGTFSGRKRKHEELFSDPVKDD PRYIPNNTTLASCKADGLRGIYNAGATCYQNVVLQSFLHNPILRNFYLSDGHHEGDCE VSHCLSCSMDAMFQEFYAMENTNGYTAANILSGFWISEKKAFENLVTTKEQDAHEFFQ FLAEELHERNGDGKRPETGSEHSCNCIIHQTFYGKLQRQTTCQSCGGVTDAVESFLDL SLGLDNLAQKRSKKNKNAPVSLTLQECLDEEYIKSDKCDYRCRNCDSMQQARRDNSIK LLPNTLSIQLKRFEYKQGIRETKASKIETPVQFPLTLNMTPYTSRGRSAAGQESQELA RSCTYDLLCVVVHVGEIDTGHYVSYCRVGDQWFAFNDHRVELATKSEVLNAKAYLLFY IIRSLS PFICI_05910 MAAQLVSLPEVERLSPAVLRILGGNPGKFSLQGTNTYLLGTGPR RLLIDTGEGKPSWIATLKRILAEENASIESALLTHWHHDHIDGVPDLLRISPKTTVYK RDPHTGWLDIKDGQVFEVQGAALKAVYTPGHAIDHMVFVLKEENALFTGDNVLGQGTA VFEDLAAYLQSLEQMGKLVSGRGYPGHGPVLPDVTAKISEYISHRYEREKQVLLTLDA LKETGDTSPSVAAAQSDAWSPIELVKVIYAAYPENLHGPAVGGVVQILQKLQKEGKVS LDNGDRWRLKDKSSL PFICI_05911 MRSAARSSWLLLFAAAMVLGALPAVLAHGDENAGAGMDMDMAGE MDMSAQDPKPDPESYAPTYFTHPEHRGLIYAHVALMAISWVVFLPIGVMFSLARSRYT LPVQFVFLATNALALVFGISYNAQTPDLYPNNAHHKIGWIATWVVSAQVLIGLVGRVA GAMRQAGSESQQRQGPGTEERQAFIPVSTENMAEHQRISDAIHMHKYRQSYDSGQGTE PNTESLRSDSLSNSPGHQSPSSMDDHRMDYIHGNDDEDDDYLALKPAELNLSKYQSSS LLARAAGKISTRSWKILHVAYSAVDRTILILGYIALTTGIVTWARFFEGHAIFTGLAH WIKGSVFFWLGLLTLGRWSGSFGDLGWAWNVRPKQSSQKWRPSAEFVEGFLIFFYGST NIFLEHLGNASVGNTEFSPQDLEHVSITVLFIGGGLLAMLIESTNIRELLNTTVSEAA LLHADGAYSDEEREALRVPEQYEFSINPIPALVILLLGIMMSSHTQQTMISSMVHKQW GNMLTGASFARGFTYVLMYLRPPRSVMPSRPPTELLAAFGLIAGGIIFCASSGDTIDG MIHYDLDAMFFYTVTMGFVGLLMAWVVLLLALKGWALRRESSRGRQGAFSTSARF PFICI_05912 MASFPTAVRSTRRLAINLTQRRSIADVVVTRTGKPILRSQGGRH SLGGHTATVFGATGQLGRYIVNRLARQGCQVVIPYREEMAKRHLKVTGDLGRVHFLEY DLYNTESIEASVRHSDVVYNLIGRTYPTKNYSLEDVHVQGTERIVEAVAKYDVDRYIH VSSYNADPNSPSEFFATKGRGEQVARSIFPETTIVRPAPIFGFEDNLLIKLATPANLF TSNNMQERYSPVHSIDVGKALELMLYDDSTAGQTFELYGPTEYSTEEIAELVDREIFK KRRHINVPKAILKPVAALLNKVLWWPILNAEDIEREFIDQQIDEAAKTFKDLDITPGD IKDFTYHYLQGYRSSSFYDLPPATEKERREDRKYIHVTDEL PFICI_05913 MNVDTISDFLADQRDEAPEELQGLIIQFENLWERKLWHQLTDSL VQFFENPASQKQRLQFYKVFISKFADKINQLKLVDLGLKAASACRDDEERLSFLQDLA KKVDNENSQDAFVYATIAVGMMKLRVEDEEGARQDLDKAEKILDTFDSVEAKVHAAFY RTNATYYSSVKDYASYYRNALLYLACIDLKELSEGERKSIAFELGISALVSESIYNFG ELLQHPILAALAGDAEWLRQLLLAFNRGDLVAYDKLSGHISNNELLADNEDEIREKIY LTALTEAVFRRPPHQRAMTFDAIAADTRVRSNQIEHLVMKALSLGLVRGTIDEVDKLV NFTWVQPRVLDMTQIASMGARLGEWGENVNKLGNWIEATGQDIWAP PFICI_05914 MSCVIAGALFARRDPRLLKIPPYLSLLCIVVGVAWLFMLPLNEY SRRTYISENALLPGQVHTYFGGSDQNVFRAYRHEVSALENSTNAEVNDKLESIFRGIG LKVGRQNYTYHSSGNTYSGENVYAILQAPRGDATEAIVLVAAWRNVKGEFNRNGLALA LALTRYFKRWSLWSKDIILVVPPDSRSGTQAWADAYHDGHDSSKVASLPIKSGALQGA VAIDYPQEGRFEGVHIVYDGVNGQLPNLDLINSVVNIASGQMGMGSTIQEMWRHSDKY PDRLKTMLRGMLNQGLGHAAGPHSSFIPYHVDAITIQPIGNGWHDEMGLGRLIEGTFR SLNNLLEHLHQSFFFYLLMHKERFVSIGTYLPSAMLIAANFTIMAINLWIRSGHEDEL VTTADKQEAGTPSTTAAERDLVLPLGFVAAVHFLGFVPLFIFSHAPATFLEPIHHGFT IFTVAAPFVASHVLASQFTLRKQHYQLLSSFSLLCLGMFLSALATLNFSLAFLVGLLS TPLSFAQPTQSLVMRYGYSAILTALSPGVVVTSASLLSALGLDVILQEAAFGWDVWGM YTPLVIWCVWWPAWIVGTFVVLGRPVDEKLKSA PFICI_05915 MPNIHGFSTLRARSYVLRLPLFTRAMIFIIVALQFVGLQTVWDL RQWGSLIPDQISFGTAYRINTFPLIHINFFHMLLNVLTLMPLLERFENEHGTLTSLAM FFGPLTTIPAIMYVVIERAILRSNTAVMGSSFWVFLLLSIEAIRTYKTNPFFVIGPYH IPTWTTPLVIELVTAALIPSSSLLGHLCGIAVGYLFGLGYLKVLAPPEKALRWVESRL NLLGRLPHYVSVDQKTYGRFGVLPTSNSGSSTLPGLIGSTQRLGP PFICI_05916 MLATRQVLGNATRSRVMASMGLRRSMATVTDSPLDKKVKQNNWE EGTFVNYKKMSENLAIVRSRLNRPLTYAEKILYSHLDDPHGQDIERGVSYLKLRPDRV ACQDATAQMAILQFMSAGMPSVANPTTVHCDHLIEAQVGGEKDLTRAIDINKEVYDFL STACAKYNIGFWRPGSGIIHQILLENYCFPGGLLIGTDSHTVNGGGLGMAAIGVGGAD AVDVMASIPWELKAPKYIGVRLTGQLSGWTAPKDIINKLAGILTVKGGTGSIVEYFGP GTNTLSATGMGTICNMGAEIGATTSVFPFNDRMYDYLAATKRKDVGDFARIYAKELKE DEGAEYDQLIEINLSELEPHINGPFTPDLATPISKFSEAVKANGWPEELKVGLIGSCT NSSYEDMSRAASIARDALDHGLKSKAAFTVTPGSEQIRATIARDGQLQTFEEFGGMVL ANACGPCIGQWDRQDVKKGTANSIISSYNRNFTGRNDGNPATHSFVASPDMVVALSIA GSLSFNPLTDKLKDKDGNEFMLAEPTGAGLPDRGYDPGNDTYQAPPADRSTVQVQVSP TSDRLQKLSPFQPWDGKDALDMPILIKAQGKTTTDHISMAGPWLKYRGHLDNISNNML IGAINEANGEANKVKNVTTGEWDAVPATARDYKAKGIKWVVVGDWNYGEGSSREHAAL EPRHLGGLAIITKSFARIHETNLKKQGMLPLTFADPADYDKIKPDDKVDILCTELAVG KPITMRVHPKNGETFDIKLNQTFNEAQIEWFKNGSALNTMAKMSKN PFICI_05917 MGVGNKRTLTKTRRKTRDLDQIKADLLSPKHLSQWKDTKPSEDL PGLGKWYCTECAKWFEGEASLVLHRKGKPHRRRVKQLKEEPYTQKEAEAAIGLTTDNV GPVQTGHSNGNEVVMTT PFICI_05918 MADGQNSAKRSNSRTRSVSRPTTPLRPSSRSSFRESAQRSVGGG EPFPLNTFEPAFAELSDAVADLEANMMHFQLMHESLARFSESFASFLYGLNMNAFCVD FPEGPIGESFRRAKINEEHPEPAGRSRSTERGEPDSETTFMTTDTSFVENPPPTSSKM TPKKFTTSSETRQSRLPSGSRGGIQRRTGAGRGRPSGLVRPRARGLR PFICI_05919 MRAFSKRTTIAYVPSFKVKPSTSLLSVRSLASARPPRSTALRHV PVVRLSIPRLARCHSTGKRLAQSTASKALQSSSSPLFYIIPLIAGAIVLYSAGIHWTG PAEADLPTSEKTPRDLAVDGLVFEAMSIPPGRLGNLTPEQEEKLFELWKLIFQVCGVE DDGISEAAADGASTSLQAQTSTASSEKPKKDKKRLGLFKKKDKKDKENGAEKASGSLD TIKDHDPDDKYGQNKMFQETLANRSPESIRASLWTMVKMDHPDQLVLRFLRARKWDVQ KALIMLVSTMKWRQDAKVDEDIMLHGEEHFFLQEQSGATEKDKKFAKDFLDQMRMGKS FSHGIDKDGRPATVVRTRLHRAGEQSEESLERYTIYLIETNRHLLSAPVDTGTVIFDM TGFSMANMDYTPVKFMIQCFEANYPESLGVVLVHKAPWVFQGFWKIIKGWLDPVVASK VHFTNNLKDMSEFITPSRIPKELDGEDSWEYHYVEPVIGENQKLKDTETRDKMLEERE VFYDEYEQATLHWLREKDAAKRTAVQAERNEIARKLKDGYWALDPYVRSRSLYDRVGI LHEGGKLDYYAWNKAPSTGAVATSVETAADDVD PFICI_05920 MASNAACIFCKIIKGEMQCFKLFETDKTLAFLDIQPLSRGHALV IPKYHGAKLSDIPDDHLSEILPVVKKLAAASGATDYNLLQNNGRIAHQVVDHVHFHMI PKPNESEGLGVGWPAQAIDTDKLQALLEELKPKM PFICI_05921 MAPHEGLVHQKEYDWRDSNVELIGSDLDHQVKYKSAASEPAWND GQVGQSAGLHVWRIEDFQVVPWPQDKYGQFHEGDSYIVLHSYKVGDKDGEEKLGHDVF FWLGSKTTQDEAGTAAYKTVELDEFLHGAATQHRELQHSPSDDFVALFPRISILSGGV RSGFTHVELDEQPKETLLLLRVFKHPSARRADAVVVHEVEPTWKSLDENDVFILEKDD KIWVWQGKNCSPMEKMGAARVVHDMTIAKHIDVEVVSQTESRSRAIVALLGGGDDDAI TSLNAPRPIRSASRPEHPRPRRLFRLSDASGQLSFDMVKDGEATRKSDLDTNDVFLLD TGSDIWVWQGSGASKAEKASWIKVAQHYIRRFADSGDDIEAQASPVSKVVEGYESPGF LKALEAY PFICI_05922 MSLKIRYRAPSGPGSIDLAGDATVSQLFDAVKQATGTANIAIKY GWPPRALELDQAHVSIASLNLHRESLTIVPVDAAAPTEAPLAAQQVAPTPSIPAGASF EQKDVKDGPVTVKMTQTDTYLVLRVMPDDNSCMFTAIAGALRGANSGLDGSSPEKLRR IVADHILAKPDIFTKVVLEKEPRAYCDNILRPDVWGGAIELGIISEVFSIEICVVNVK EGTIIRYGEDQYDLRCVLVWSNIHYDRVAEIFTEDQVDDSFDVTTWNCRESDHILQSA KVLCRKLKDEYHYFTDTSDFVVRCNQCGWIGQGERAIVTHSANTGHVAIEEIPDTT PFICI_05923 MGKSGIRTAGKVSLDKPADQQENLHNRWHPDIPSVGAIKDGETV KIECVDWTGGQIGNNDSADDVLNVDLTKIHYLTGPFDVDGAQPGDVLLVEIMDVQPFQ DQPWGFTGVFDRRNGGGFLDELYPSAAKAIWDFEGIYCTSRHIPHVKFAGLIHPGILG CAPSAEVLATWNKREGELIAANKLDRDVAQPPNPTNVHAGAADATIKEKVGLEGARTI PGRPEHGGNCDIKNLSRGSKVYLPVHVAGAKFSVGDLHFSQGDGEISFCGAIEMAGVI TINFKVIKNGMADLGLKSPIYIPGPVEPQFGPGRYIYFEGFSVDQHGKQHYMDVTVAY RQTILRCIEYLKRFGYSDYQIYLLMSCAPIQGHIAGIVDIPNACTTLGLPIDIFDFDI LPSGPAKALDMGTCAFANGEKEGKVTAGGKDGEYSFGGGLTYKATVVDKVKNAVSGS PFICI_05924 MEKPKLSQEEKQKLKKAFDLSHFDINAVLRGIQLTLVGAHRALQ NPEIFTNDHYRQAALAVAAGVVIRVLVAVPILSVKLGIRILALFFDLDQASWDDSLVD TLQLLANHVLQAPLFLMTLMRYITPTLDNMFMDSLRWVDQTYVQKHKHDNPDSLRDMY YPNLRQYKIKDGSTHTTSTAEASTMFAVRFARKAAISLAVFALSYVPYVGRLVLPAAS FYTFNQAVGLGPAAAIFGTGIFFPRRYLVIFLQTYFGSRSLMRELLEPYFARIHMSKE QKKNWFRSREGLLFGFGIGFYVLVRVPLLGVLIYGIAEASTAYLITKVTDPPPPPADS KDFAASQQVWRNKHEFLSLSLFDLDALHIEHDAPSAASDKFSTSVAAQQTSSPAPPPP YQGPSEHKKEL PFICI_05925 MGPHPSSSSSAHGRLLSPRRVATEPLPLQPPRRSMSVSSASSSR SLAASASSASAAAAVAAPRNSDESIETLFDHPSVKIIAFTSSQRASSFGASDFLAEPK PGSLPASSKLERTLAVGPFRIYRAPGSVAFLSCGSALQPILPKSQCWCIDEINSRFVL QIRRPQYWRIELPLSDPEDFERASALRDVFDNILLFEKTECPFVRSFTVELPERPQTP VKKKPWTPVGKHLVPSVFLSDVSSPSSPAVKIANEKLRRRTLDISSQTHMEARDQAVR NTERALPNSTPRGLADGPHVNVREMAAIAEANQPGSTPDTHLEAATSSSHGKTNETRQ GALTASIPASADHHARKELEPTIRDAQGSPRFPISAPITQATTKGSSIVPKTQESAPQ PGEDSKELHSIDETKPSEARDDIHTSFEAAGSVGAVNLKKKRMSRILAGRSVTLPPRL TVVTSTPPRPSQAAATEEAPTVSLPARASSEGAPEEQSPNGSVDSFHSVQSWHSPISP PSLSPISSSPSPPLFPYPHDNIRIPHRGSRGTSSAEFTPATDATFVPAPMSVETHAQD NHDSAPALGTGYDEGVSRGLLQNPAETMARSSATPQRPNSIHHRPKTADPSVGRRAFP SMPASANILSPTVRYRPEGPLAVVRRLPSVILQKTVEILLSPPSHLVNLMLQVAAKIA AGEWRGLVLGMGEGGESIPVHWDYSDGELSGWEDDEDYTFSISRLPTSRRSTDVAPDD VSNSMSDKKATRAGNSSRSWEVD PFICI_05926 MDQRQQQQQRRSNVPSERNTISRPQMFMHLEFGLSWSQRHAPQK HTITYTSRNLRDSRSRSSFPRQLPPPNANFLGPDASTYQPRPRASPDRSVHHYQQHQQ QQQLQHHQQQRRRNYQQEQQEQEQEQRLQQQQQQQQQHIREHHRRQSRGYDPSIQFAH RPREVDDACGYAQDHERRRSRSESRGLSHDRGHSAPPPFHDSPWDDAPGLSASLFPPA QPAAAVATAASGNDQEALESVSKPLPATPSHFRLGEDGLPWSADAWPADTEQHGSQEQ SSYTNQPPTVGIASGSRDDPQRVKELETLSAAMVTVDNGFENQWWYQGPRETTAWWPR DQEEPSRLSLADDLLFSATTEPADRGFGDDWYGPLSYNEESPLFDGIVSPVSTASPAR PLQRSMTTRSEELFIMSDSR PFICI_05927 MDNFPGFQLSRDELQRRHVQQRNQRRATNSGMNQPPQLAHSISV DQDGPIVGADSLDDIIRNNHHELHRRRSLPQQPYPGAISPSDADRRLSMMEFNNGPVP EYQFGVMGSPMVSMPGTFPNMNHNMTMPGTSGNFSPQGMLAPDFSGATSDMLGNMIAL QNMNMGQLVDPTTMNMFSTGIPSAYSQSSIDPMSSGLSMDMGGGSSNETGQSGPVAKR ANTGGMSVDGSDALLLNTTQSYMLTPQQDQSQPVSSLDSPSGNFPTNISPHSQARLSS LPSTAAATPSYSNYQSPLPAMSGAAGTVDIPQSQFQPAPDAVGSPPPQPLEQKTIYSK SGFDMLKALWLVATRKTPQVNLGAVDMSCAFVVCDVTMNDCPIIYVSDNFQNLTGYSR HEIIGQNCRFLQAPDSKVEAGTKREFVDNGAVYNLKRKIAEGKEVQQSLINYRKGGKP FLNLLTMIPIPWDTSEIRYIVGFQIDLVECPDAISSAQEAGSMQVSYKHSDIGQYIWT PPASSQWEPETGQTLGVDDVSTLLQQFNPKGAASDWHRQSWDKMLLENADDVVHVLSL KGLFLYLSPSCKRLLEYDSTELVGSSISTICHPSDIVPVTRELKDTTTGNTVNIVFRI RRKQSGYTWFESHGSLFVEAGKGRKCIILIGRKRPVFALQRRDLEAHGGIGDSELWTK LSTSGMFLFVSSNVRSLLDLQPDHLVGTSIQELMRRESRPEFGRTIEKARRGRTVTCK HEVQNRRGQVLQAHTTLYPGDASEGQKPTFLLAQTKLLKASSRSSGQNTGPLSKPGSG SALNDPNSSINQPTAAITSPQPGGGLVSAAGGGDVPPGTQDVALASDDNIFDELKTTR CTSWQYELRQMEKVNRVLAEELHGLLSNKKKRKRRKGVGNVVRDCANCHTRNTPEWRR GPSGQRDLCNSCGLRWAKQTGRVSPRNSSRGGNDAASKKSASPVHASPLTREVSAGEM SEQPSETDSAGTANRSLSSGAGPTTSAGTTPTAAKGPSKPPLSQPLLEGGADGGQMAS IREEREASA PFICI_05928 MSLLPPAVHAELNQLLQALQSPDNNIRSQAEDHLANNWTATRPE QLLMGLAEQLAASPDASLRSFAAVIFRRISSKSRKNDKGDTVEIFISLNPDQASAVRQ KLLESLANETDRTVRNKISDAVADIARQYSENNDTWPEILGVLFNLSVAPDAGKRETA YRVFTTTPGIIEKQHEGAVLEAFSRGFKDEAVGVRLAAMEAFASFFRNLTKKAQPKYF GLLPDVLNILPPIKDTHDSDDLSKALIALIDLAETCPKMFKPLFHNLVMFSVGVIQDK DLSDICRQNALELMATFADYAPSMCRKDPSYTNDMITQCLSLMTDLGEDDDDAAEWLA SEDPDSEESDFNHVAGEQCMDRLANKLGGETILAPTFNWLPRMMTSMAWKDRHAALMA ISAISEGCRDLMVNELSQVLNLVVPALKDAHPRVRWAGCQALGQMSTDFAPTMQKEHY DTVLKAIIPVLTSPEPRVKAHAAAALVNFCEEAEKNILVPYLDELLSYLFQLLQNDKL FVQEQALSTIATIADAAEAAFSKYYDTLMPLLMGVLQRENDKEFRLLRAKAMECATLI ALAVGKERLGNDAMNLVQLLASIQASITDPDDPQSQYLMHCWGRMCRVMGSDFLPFLP NVMPPLVELASAKADIQLLDDDEQVEQIQQEDGWELVPLKGKMIGIKTSTMDDKHMAI ELLVVYAQTLEQAFAPYVKDTMERIALPGLAFFFHDPVRFISAKLVPQLLSSYKKAFG PTSNELRELWLGTVDKLLEVLTAEPAIDALAEMYQCFYESVEVVGKDCMSQQHMEKFI DGVLSAIEDYKDRVAQREQDREGTTAEDAEDEAEELLLAIEDDQTLLSDMNKAFHAIF KNHGAAFLRSWERLVGTYESFLNSSDPTQRQWGLCIMDDVLEYCGPESIHYVNYIQKP LIDGCKDQSAAIRQAAAYGIGVAAHRGGAPWSQFLNGSIPYLFQVTQVPDARGDDAVY ATENACAAIAKILHFNNASVQDPQNVIAQWVNTLPVTNDEEAAPFAYGYLATLIDQQH PAVVSQADKVFVYVAQALEADMLGTGEVAQKVVQGTKALMQSSGVNAQSLLQQFSPEA QQTILRYFS PFICI_05929 MDESTGSAGSAIDTSKDAPVPSPAPPSRSNSSSSLHRIPSHRQS FAENLRGMPPSPRSQRHPSFTQAAIQDLLNHPPTTRPQNPRFAGRDWRHVHIGELISR DDVKWVDMDTSVEDASMVCLMSLMRCNDFGGMMHSLTATLQVLLKSASNNVVLIREHG SDGTVVSTFDHNDLNAYLLVVVGLAHPTDDLVALYDSIAKKAQANESIPLRDIQPICR KEALVILPANEDLAKAIEVFGSGIHRVLVTNAGGDVVGVLSQLRLVEFFWNEGVNFRV IDDLYPRLMRDLGIGSQQVIAVSAESPLADALSLMSEEGLSSLAVIDSNRNVVGNIST VDVRLLTNAASLPLLQNTCMHFISVILNERGVEKGKDSFPVFHVNPHSSLAHTVGGDK KPSHVGSRVCFTFTISASNAVAWANSISSQLHVKLPVPIGYRCTFSNSASIEYGGSTS FGPLNGRCNVDGYS PFICI_05930 MVVRSEDDSSDTDTCEPPSPKDTEVEAVDSLFKTLWDNVENHRK AGIIRRSYSRKTEALLERQKGKWQEFCLALKVDPHQQLHKADASVFKAFLEWRVEKGR ILKVSAIQNYWKALSSIYAATTQNWLGESILADVGGFIHEVLKPRYNLSEEQKAKAGL YVEDLDILLSHHWLSDTEVFPHERLRLQLAILLTIAGATSSRPTALLSLRYRDLEFAV FPPTKGLGRSQLTLTVRLTNTKRRGYKQHAVKFGFHEQENLIHCPVLGFLALALADGA FHTPTRLEKIYSLQVPDHQDRVTLYFKPEIADRYVFQRMDGVEGPLEYSQARYALQRL GNSCGYLDKLMFYDLRRASGKMLNEAYTPEERNQIMGHTGGTSAVYRNYYMPEFVDKD VQSVYFGVTPRDNVIRAIGRIRRNALAPTRLTQDQQDEVKRDAKLNEAYASRDEAKRL VKLRCGTVASARQRQGELDDDLRLLLQKYESAAKKALNLRTSLKGQTLRQSMRDFHTL ADIDLVTGSAQSTQQEASSSQPQYELQERAGIAEALRDSMQQYEGRELDRIRIRLVEN MANLCHMRETSTRHRGTKRAVHCPESDSDSEQDVKRRKVSSGASSSLQSVFRVKAKPV NLSCPFCQLDPSLGPVRKNHQYARIDSLGTHIFKQHFNEAGLYRGSEGGGGPEFCCPY SDCMELLLGAADFAGHAHVVHGLASFQKSTLPQAATAGSVIQALNQDFHLYTA PFICI_05931 MDTAGRTPIACHALDKERKPLAGLRVMLECFGENFSIYEAYTSF DGSIDTWYPVTELELNPLIPVAVTSHGLSTCRVSFATADFLGEDGGAWPSVYVDVALK ADHQHSVTLLYAAAGYAVEVAAHAIQFSPAPESDELLDQLIVADPPQGLPSPGSLFPP FAEQELSIDPSIIEAQPRRKRGRPRKHPVKVADPDAPKRKRGRPRKIVPMHTMKKESE DLQDGCDF PFICI_05932 MASSTAPQRQIEDHVKETEKELMDDISETLRDKTPDRCFQAIAA FAKERAKHVKKYKSPSLGDPSAKEVADICRFIIEPKKVQLKEIQETIDAIPLHEALQT TYDLATALLSMISFISNRYGEDLGENYFVFSKVIYPQLRAGVESFHKYQQSDHRIEK PFICI_05933 MSSSNQTPVKVEEQRPDSREQRISHLRRIILHRAADEPDSRFDN VTSYWIERAKIVNKYGDDSDGGPSKEQAKEICNLLIEPTRDQAGKLSQDVQPDKDEVM TMLGDITGGLMALIGWLVRENGPDERDLVFAKVLKPELLKLAGIFGDERKWEKREASR SVTIE PFICI_05934 MSAFDELAVPQLRSIAQILDLKPGKKAKKPAILGSINKHLGTGA DPKYEEWTCNAALAVAKARGLTVAEQDKNNIEAIAAVMRAADDLQPQTRSKSPIRLDG SSGSSGSSSPATVIHQPHSGSPPSLASEAEAGAGSSSAGAEPAKRGRGNSPSQVGGVD NASDSAQNPNKKPEITAGERPVESDANMSEADAADPAAVQAKTLHDKATDHADKAIKN ALKSKSVTNPQESEAALTEAQKEAELAKASAQALNSQKTADQDQKEHANASAAIAAGV TANANAEHQRQPSTEAASEQAGPSQIPAPVEIPGNKGASGVGPLVVARKGGDKEFLSS VKPTNLSRSGQKFLQEAEAFLRAASTGESTVISNFRDTLSPSKPRDASAPSAETTPDK DSEPAKTSEIYVHPPNETKWKASEFSWEDHFESITIVPAPDLNKPNPNRPRLGFTDVG PFTNDPERWVNFADPWTGQRYDGFVLNISKKQQLMVEYGGNSNARQSRGVMLPAPSYR QQRTQFEKWLKKGTVEIQHGHEEDLAGLKFRDLDIDRCIVFEFLTPSEYDLRDADVRV LIRTTLQSEESHNQFYTRSQLERTLGSAMKQWIQENRPTPQHEHAADNKMERKANRGS KRSGKQDHDMSQRLLRLEDNMDRLVKLLMDTHA PFICI_05935 MPMERALNLDGVAVMTEQFLHYLATDVAGNSCGCIRAKLQHIYV SSLEESIHLDNPYQLATKLDRIFDELVLHLGWPINRQPRRDIACGNAWFYRPDHHKRI FSVARQAWETALSKKGSRCGAFCRPGGCPDWVVLCAYQDALRIHIQQWLDSLSPELDS SGHPDSLYFVYPASILSDIWALEFLAIIFKDPKLESLVQSLRTAVEDWFCGGEQSVWN AAIPENWHMQCTYWRGHDHGLDCQL PFICI_05936 MPSTLGFRLTFEVPHWYAIVSGTIIILLLFSRSRRRLSLRFAPW INRHVFMPLSLWHLTRLQVAQASAYLVLNVLTLSVFIRSAAEVGQRAAVLCTFNMIPL FTTGRVLATILNISIWTYDLWHNAIGLVVVVQGLIHGGISISTRSVQLRSYTVTGWVV SLTILLLLFTSVPIVRRRLKGLFGLLHGMLATASLATLAIHVLPLGLGTSTVQIFVAG ALLLVGMCWRLLLLLRRGRATKIETIGASQDMSCVKVTLRDAIRPYPGMYFHLYLSGT PLRNRLAGTPTPAFFWSEGTAAKDIHFLVRDPSTKPLSMRLEGPYGNNLHLEEYDSVL LFAESTGVSSIMPYALHLLHRRRHDQREKERLQGPLRGGSQTNLARTIGKLSDVIFYG DKTRRVEFILALRTNDDIYWCREQLSELMNLGSSQQRLICGRIFTFQGDDLGMSIPHS LQDRWKIHTIGRMQLEQSFKVYLDACAFSPGSKVTVVSGSPAFANTVRQLVLNSSYTR MDFKETDFSPDVAHHSVRSVNLARGAQDPRRTSRVRLRNTPRIALSDIKVVHTKPLDG QTAHIYNA PFICI_05937 MHPLPYHGSSSNFYQAEPEVVLKAPMRIRVQNENRKALEEQNSK AIDVERRILEVLGKHPRIVPYLRFDQSGIHLAKAKLGDLQNYIDSYHTELHPLQRYKI CEQVTEAVVHVHERGVIHSDLRLENVLVEQVNESSVSVWLCDFGGSTCDQLGLDGGHL PDTPFFDPRLRWLSTQATDIFSLGSISILLRWDTGHL PFICI_05938 MLPTYAKIHTKGPGATTTQTYASIVRNKITKQEVAQKRLVLSKV RAVVKDEPREKHSEDPDEEDPDEEDPDEEDPDEEDPDEEYDEEEYDEEEYDEEEYDEE EYDEVEYDEEEYDEEEYDEEEYDEEEYDEEEYDEEEYNEEEHDQEGNWKHGRYPRAPM IDWAKTWVSKKELELFDDAAGYFFDYVEKNLPDGFEKFKCQVKYQFIHSKDTEIRSYG FGIVRLILDEFVKVVEDTAVSTSKEKLEKLCGLVKYLVLEAKFIKVKHVDRAVKDMYS AERIPIRDYEIEEKLTVFENWHSFTVLLRKERLMERGIPACHAEDLYSQNPPQDQNSP QDQAVFVALCNWYIFAGEIFRDRPEGEQEAIYSRIQCDTSNYAKEGPQELVTLGQEML KCMENLQPTLRSASQEKEHSL PFICI_05939 MDHLVLFRKGGLGITGNDVSTYEVVDSKIHTIEFTSDGLGTEVF FPIEVWKVKPSSDDRLSRKCSDGRGNVKEVELPPYCPVKPESLHKQWIDFLEQHAENV LGQKDEFRSLVDVGRNNVDERFASVIHLLFICMWKTGSGYMKPNNSLDVEPLPEEWKT IPGQEPIPRLIIHCTDQVADGLGTGYQDDTIKLYRELTSCLDAEKAHMAYLILAALAR VGNKICADFVRRKSQGAPGTETAKLDEDVKRSLGVLLEWLREGIRRNGITYEVVIGIS EETKASRMDHAPMRGSFDLPGLADICAGNLE PFICI_05940 MLASIQGNKEEKRNIIQAWVFDRRSTAKLLENLCYLLRQLNYKS DVDTDRLAEVISSVAALIQKSPSHDKTRLACAHMLASSHIFSQDDSEGDSEGDSEGDS EGDSEGDSEGDSEGDSEGDSEGDSEGDSEGDSEGDSEDELMLPLEVFKGLGLGKATMW MAINDFHYSRRKNDKKDLATWVSQQQAQNQWQKADQDMCDFMVGKELGWFQTLRTILL VRAAFVSTVAYKLGCEVNHVTSKYLA PFICI_05941 MEPDAAPKALRLNGADLYEIQDLPEAIKKRPPSVSLEKPSLFQF LVSSSGHHGAAEVCVTPSKKCQRVYDSCIRPIQWTFHDVKIRRLPPQDMIKLEMFRNI QDDRSLVLAHEDAKAAISIALRLFGQAAENLQARDLLLTAARHENKEMMEYLWDKSSE SWEAWLLYANCRRVLQPTAQRRKNFDREDSRKLEEKKRHQQNQAANSVSTLNSTVNNL CQVATSLTPGCFCGLGIYSWFLNERLRGCGRKNEADRRLFSQYMTAVLRAASLPYPSY MAHIPAVVALLCNESCETKFPYQDVCKLLGLDNLAGLTVSSIQVNQPLEQRMAQLLTS TCPSHGQKGVYLPDLASLDTELQDLSKLQFIGSLKRRMDIEGTNAYQIQLPLLDDTIR RIVDLTRAHVGAELREAIKWRSRIVAHSGYLYPGPETWKTVVVPLIIPRPNLDLHMRY MRGKEQQELLSTHWRQPSIIDGDISFGVNGSTIVSILISYYRKAQFEWLDAGKQGAQN SEWSVITPGFWQGLMKGQ PFICI_05942 MIQHPESVASHSFGVAWLSMLLAPSDLNRSRCLLIGICHDLAES VIGDIPTYAGVAKDQKHKLEANAFKYLCSLLSEDLAREVFDAWDDYEHGKTAEGRFVK EMDKLECILQAQEYDSSSEMPDRFEEFKGLSSKISSAEGKLVLDMLQRRTCENERKKH HNVLFVTGDQSIVAASCDRLRDEFGMQHLSLHEILRTRSECHSDALSSYIWETLEEQM DVPAQLSLKLLEETLDNQADDRWVLVEGFSEQLLLSHKQQPRKPYYFLHIVGTTQCGR WEGQMNDAGDRSVIRDTRHFRQIQHGDTQQETWDSVKSTVQTLMGVSHSA PFICI_05943 MSVNNGPEQPWSVAGALQQLGITPEEKANDFLPFWHILERLKTT KRTGWKRHGIKDGESIADHSWRMAMIAMFAAPANLDKLKCIQMCLVHDIAESVVGDIT PADNISKEEKQGRERETVDWMAQALLCKAGDGRQGEELRGIWEEFEQGDTAESRFVQD IDKIELLLQMVEYEGRSAQDLSQFAYVAQKLSDNMKPLGEKILDERQDVLPAPEEPGM KEMQDRYYS PFICI_05944 MASLLHSDNHSSIFDVSNIDIASHDDQNNNHGQDSLHHSGDQFD DHSEDDSSIFDASNIDSNVYHDESDTDLDSIASHDDQNNNHGNNAIIDEANNDPDTDL DSIASHDVQRPLTSSRLPPPPPPPPPPSPAASVRGDCDLKPPQLTAN PFICI_05945 MYEQGETYDLIFMRETLEVKTEVAAAAIIQKAARLLKPGGYFEV QTFVRYFERCKVGNASDLVGDVFERAKELGQAIISTTPWKSLMEDAGLETQQCILSSS TFTDDFAAFLPEYLDGHLPDLAPMAAEALKCPGMENSIRL PFICI_05946 MARLQTRKRKRADEPLPEDVSSEKARLNFPPDFYDTLSKLWLTD RALREHDQRTDARLFPKSGSPQSSIVSFNQATARGDLKLARFARTGGPDLSDLRGCQP LSDEMASRSSSISSKRTQSTRPTTVSSTSRRSSAYDANFEQHLIDHDIYPPFYCLPNG QRTPKPTNIEEIRQALKTPRASLSPSVVPESAFEDFQIKNTTKSEGTIMRVVVPILAG DVDIPNEGHLPFLNLASITGNTTANPIPDFFDGAQPGKVEKVVREELGTIIIPTKHAN APVAPNFFLEAKGPGGTGDVAQRQAVLNGAHGARIMHALQNYLVPEPGFDGNAYAFTS TLVDGTLKLYAHHILAPNPLQERPQYYTTQIKAYATTGDDEVWREGSAAFRNIRILAQ KYRDKFIEAANAKARSQVIGTTDAGFIEVIPSQRDYSSSPADFFECRLFADPDESGSQ TEETETQETNLGLGILKHSFEDDPDGNDDAGDSQSFATSFASNIPLPSVQTPPSRPAK FTRSPPSPSASRQYKRRGRE PFICI_05947 MSVSANVTVKNIRIKRVVALSDHQDEHVTVDVPQVDPFFAFNAI YVPPATALFRLSILVNKATVYLQLSPNRIESLKKTTCDHRKKDTGICFDVISKHLGGH LSFTRLEFQLLRHADIIVPKEFSLDHCDAEARCTFAAIVSLATATNFSLYMPRDILPN ADYKGFSGAVQQRSKSTEDQDSELKRLADIRKLYNGRGGVLFDPDQYRPLTHVPEVVT VVPTPDDIKTDGSSRSVCEQSTASDSDTTIATIAIGTPPEYQANDIPPPSYCMSLATH LSCQNLTPTGASAEGPRHASPHPNPENDRKHDLSSDESNIDSHSLFKRRRLQASTVDT LSHSHFAATHSTRALEIQVRKQQEEIEHLKAEMATLQRRTDALQKQCNDMERRSDGLE DLESRQESVEKTLESVYANIDDEVEQGCLVVKDELRQEFEGMMNDHLDLWTEENMGEM VEGYMGKCMEQYMTKYVEEHVAGFMADLRRKLRSVLND PFICI_05948 MCAIERQKDYPETIFDFLREQEPSDWNIVPDWNIVPDDDTLDFS FQPPTYGDYHWSLDEPSSDFFDTQIAYSRSSTEATDISEIAEVAEVADISEIAEATEV TEVAEVADISEIADISEIAEVAEVAEVAEVAEAAEATEVTEVTEATEVTEVTEVAEAT EVTEVTEVAEATEVTEIVEAADFACSSDREQSRKSIKRRSESCSAPRKRRNTGTRFYH GRDSWVRVQKVRVWKRAHGTLEYTWDRREKTWFTADGAEACSEDLLQEHLPSLVIQAD GMGIFLDLSWDRRSWEADGEGWFTVTDPITEEVAHIPTEKVISLIEDPGSSVAVDWER I PFICI_05949 MAPKRSPHLPRPPLPPIPVLEQQFHLRPPSSSPFPTHLPSLLFR EPGPPWNSFRDFVLDSLHHQGCNPVNPADVSSITQQLTSILSKIGQHYRTYQVVPSWD TLSGLIAGQKTPSYFPSRNSSSLVEPCLFTAEFCREETPPNGEEETPPHGEGEPPPHE ETDHDNPNNLTPLSEELGNDIDGLNNIYDLYNFDAVHNVSPVHISTLTEDGYDFCETK ELDMSNLGPLHCNCEGVPLVHDCPLTAVFDAISPRLFTFMEDM PFICI_05950 MNVYSLEKELPELPLEPPPRNPMRLAKQLHSPTLHNATPSIASS QSSYSDDPANYSDERVERLEKEKRDLRSEVNRLQRQIRRHEVDIVTRTALLRRQNSQL NKQIERQNQFMTNLVNTVFTVFSDYKQAVGSLKQFQEDEIREEPSGDEIRVYNEMDGN WI PFICI_05951 MSDFFDQDMSSMPLLSDAQGYVNPYQHPSQMSPLAGVTGTFAPT LHGPMPAQTNQALFMSPTLYQPTRASRQTGCSCLGGIEARYAQQLCLSKLESIQKDVA PVSEIRTDVTSMRREVQGAFNRLEKLEAKIDALGQAIEQIQLSLAGFAGRFEDLQNGL RAFSADCHAWFARLGKEADEILGEQGRSGAASAPPGGGTPSFDFMMGQHVTDMNDQIN SFNFG PFICI_05952 MLSPRSALLAFLLPVTAFRDFPTICAWDPPIEIIAGDNLSARVA NPQARRCEASLSFDDPAFLPIRYTFDVLDCDGLQLAEFTVPPTAPNGDAFVLWSA PFICI_05953 MNGTGTLDVYEGRGIVGCITERRQSTTMLITSTSAGVPVTLTLP TVTTITETSFALTINVSSTQPAGVNQAPAASGMGPDMATITNTAAVPDTAMVPDTVTV PATATAADAAAAPDIVLPPDTAAATTAVTTAPITTVPVTTAASNTALTSTATSNGTGQ VTDTTHWTTTSRRSNVVATLSNDPSSDSLTTNTSVAPAVLATGNGGVQGTELTNPGGG VATSTKSDLKSSATVLAATDGTGGTQFLSNADGFQIGTTSSQAPNTKPPTMAAAITTL TIMVTSIMKEACTTQTSA PFICI_05954 MSSPMPQTPQMASHNPFHSLGDLAAKLASPLSIKTDARVVQPGV LPPTPIASPLPSMFDPRFQMPPAVFHYTGNIPESPIKDAVERYRLNAVFWQRSFMAMR SETSRTVRELQLRIEALEHRIFDTEITVMLGTGQPTVPNRVRSPITPIAMKNPAATPR PAV PFICI_05955 MLREEPPGQSFHEYRQFMAQEAARSASEDSRILAAIFHLLPNLK QIILRPPPHGTTARRPRYAAIVSRLGIAPRPRRADQLINRVCDAASQFPRIRSIEIVS KVLASSLADLQRLQGLTELIIRSLVMTPDHHDEDSPGNEAWDFLEAIPKLLHLNVSLE PTNTHHLPLGSASLPSLRTLHLGGVHLGEEQLVNLAAGSMLRSLELCDTTLVSGDWVS CFSRIRDLARGLRVNVEGTLTDHIHACAVRGEFLELLRRFMSDDGMEWPLGHEQHPRR VGFVLAHKNRLGSP PFICI_05956 MGVLARCQLARLITLASAAYQLAAAIQGFIWPKIFWDFLTPSLD FAIKPFPLLQIANLPTDEERYGAQ PFICI_05957 MKRSRSPDDSSTSGSKAVENPPKRRKIDPVMAKAAIESTREHMC QAISESESMVLNFVKNEQEVLGREKTELLEELKDALKDLGMEEEFKDEMTQLRGYVMT LNVTVHCGP PFICI_05958 MEVQMHAHRQFLRSMPELGNGLRQRMQKHPEDEVAPLLDLEEAK TERQTIKPPLDCDYGGSQAKELGKLITAKKRLEF PFICI_05959 MGTCKNDYSKLLAGFVAVPISLLDFDARGHCPRQVDRRIQSRLR DVFEVNFEPGISANHVDGILNERELSNILSEVGVSAQELKQTLHSGIYPRVERTRIWC PHGRHRIETAIDVFGAESCWIVQLYCIPNSFTSEARRAIVQGLSERYSPQFAHSDGEV FCNARRHHASERQVQSWSVRLTPCKRISLRMLLGEKSRMKMYRQIGGATAPATVLEAF DKLMVFPGLWEGLQLGNIHKHLALRCNEQIVAYLDHIYRVWDRIAGGDQSARRYVDID TVRHLQSLAPEASTVDRATICDLMDQRQIFAEAYDPALREEIKQRLLGLRTVIPTIRS FHENMKLLTILVKILRQYLIPHKPKSQLIAGLAEDWQPPQTSLVEVHEGIFHTTTSPP SFSLAWQQMVLMALRNFPSLCNESPKQDGKDCMRANVQPSSVNALYQSAKLLGFSNRI IDEHVHSSLHEQDGPAASAVNDGPMDVEVKIAKRRLGRPHSRIFRQIQRIAFFPQLHA ATPTRPTVAYIHKDLLSAFIRLACFEPSHEPRVISIWGRSRDGAAQPALQQPTSPHIE VEAVQVIDLGQHRGTQTDGVYQMHTAAEEAAGSPEIDVRLDAAARSVTELDGQGVEVM NSWQPSFDSPTTSQQALTPAARSDDDRSLLQTPTSRTRPNTSGLSRFGSSLTGGPILA DATSWSVGTQSDASRSLLHTPLHEATPLPRYSSRSLLSTPRPSLSGTVPPDDIPLIGD QPRSLLQTPERFSVARSSLTSDLDHMQGREEVGGIVVSEHPSISEPTSPLLRPRSVES IATLMEDDEMRERENHPGNTTRECT PFICI_05960 MDKPSLQPLTRKNLVNHDNAWAADAYKVPCARADTARRQLHQLL WTLGRIGPRGQHILKQLDIYIAGPYSVQCRESADVEPDPNDVQYWEDKLQSYRDQYTP IILLEEAKGRVYSEMMVLRQLGREGARLLALDELYIAGPYEVRCAAERCSEMPLDDVR YWDEKWHHFHTGVEALKSACPRPLSPAALTIDGSLTEAFTSDDDATTEKTVVRRDNKV EAWVHTLQDDAGARPRNGTSHAHQSWTNSSHLLQHQPGNEPANVEPPRPRKRKHQAPE GEDSKSSRELRRSKRLKAHEKLPKTSRQELEDATMSTLGDHGLRRSARLALKPRKRYT G PFICI_05961 MANERQFNTLFTIHACQAAFIHDPANAQFRVSEDVYDVRESPSP GADSRECTPLSPTLQESHLCITTDFLPRDPQAGFVFGSNPDSCDIILARRSDQGISKR QFAIFFIWEHRAIILKNLAGRGTKLTVRSLDPPCTERVKSQRHLPEGFEHTVSLPWCE LKITVPRHENDRERFSAHWLAFQEASTGHVPGLDLAELSSIAPTSVCSSSTCTYQVGA TLGEGAATFLAADEGKKVYAVKAFSRPSTDCGVVKLLRVASNHKYLVRIHDVLYDTPY SLVMDLVWGCNLEESTKAKPINAPDAQLCLKQLLLAAETLHSAGLVHRDIRPTNIMVA CREPIHIKLAGFGRAAYSSARRSRGGYTPYSLPKSEEASSAGIGGVDIWAIGIIGLEC LGGYCPGTVVRNYVARLAKRGTSAFESFVCTLLLKMPSATDCLSHEFFAIQVGRAFPI RGQFWSKEEPIPIRQRPSGRISVPKPPRKQIIAPATLRLDGQTALEVQHTLVPSDSVN IDHAVGSEVANPRLSKRCRADSSFERPPVQTQQWCDGSGRRINGSAKRLREHDSGKAS ADGACKRPCVEKAPDQPVEAALFKSGGMWTLSIGPSRMPMSISDYYVECLAICNFAAL DNDSKALKLQTLDNVRRRRMMGEEEYWVPLQQAVGFCKDLGVLESLWPLFMLAPHDVQ RGACLLVEPRSGD PFICI_05962 MSSFVDKYPVIRLLLQRHRQHDIEYTAAAAFQSTFHALFPVIDG YAVNCEQSVDQGRYRVDLFVQGTDPTHHALYPIMVGEGKGGGASAKEVEDQVLKRSKE AIEFYEVDGIYAFTFLKESFRVWRVDKPDLVLNPLDDMGARNDRKHYIYLDSKQGECI VHLTDVMKYEEVTEDDYLPDYLPAGSTAKPVMQAGNATGYQIPAIPGPSTQSTSMGDD DRPRPHDPKGKGREASKWYEVRVVKEQHLTRPNEYIFQDSKKQRRSTTKKDWALDATG PSPIWVYQGGRMNYFTHQDVSNL PFICI_05963 MIIHRLAALAFSEQGIFKRMCDERGVVWQSKAVKEQNTVRIRRP KFQWPPEADRRDAPPNSAEIPNSFVYPLGVCGRLEHCLGGHVAKCIFRGNGQATESVR ARCSRVGDDAIVFLDLTSEESWLSEMFPGHGSGARVSKFEEIFSPIIVNNVHRSEQRK WERAIGHCDFTDCVQLAYTLKIRISSAGTEILNDLFQLDGD PFICI_05964 MSKHPYQSLNIDERVKRVLASTPLIDGHNDLPQQPRACFHGKIH NNEKFDLNKGFERGMTDIPRLREGAVGGQFWSVCVPCLRSAENFSTPEYSDMARDAIE QIDLTLRLVESYPETFQLVNEPDEVASVYASGKIACSIGIEGLHMAGNSIGIIRAFYR LGVRYCTLTHVCNNAFADSSTSKVGPVHGGLSQLGRSAIVEMNRLGMIVDISHVSEDC ARQVLQLTRAPVMFSHSNAKAVFDCARNVPDDILDMVPKNGGIVMVTFVPEHVTTRRR DATLDMVLDHLFYIANRIGWDHVGLGSDFDGIASVIKDLEDVKCYPRLLQAILDRGAT EEQLAKVAGQNILRVWKGVAAIRDRMKLENVLPVEDVWADRTWWRYDGYYQMPDPDPE DKLGLDWYGVPPPDEGLYLEDKETAK PFICI_05965 MHLDGWSSRSELPLPYSTSINLGVHHTPVAGTTSAMQSPPPSSR ERPYRSHLRPACHPCRRRKSRCKLEAQSSSCLMCRVHGTDCVFPGETALRAGQSLQAP LPKSPARRRPTSSPKSTFTARSPFVAPENSTPEVDSSQWVDKRSDENDCQPTPLSVDD AEQDNPHIVGPANTSDSQVLADYLSVISTSNGGIRMVRPFPTSRSTPVLFANVKKRPL GMDDSSNPSREKLKIIEKLLEPNVDQLIDLYFHRINLCLPLLDRHSFKLQNETAKDKI SPALLASLYAHTLTYWRFAPERCEQRVPDGRFIWNLANEALYSELHLSPGISTVTAIL LNIGGRPTTSLIGNGVQLGAAVSLAYSLGLNRDPLPWDIPNAEKLLRMKIWWSLMIHD KWSSLAHGTPFHIQDEQYDVPFPSRQLFETQRSEPSERQAEDVFIALFGLTRVLSHCL QHLYCIVRNNDQISKLEFKLNYWVEKLETSIRRIITRGNFLGLPGAANLRLSYISVQL LIKRLELEHVRETYGPDSDMLANRYMQVRRTAEEIVLFVQELGDKQLSDFWLPMSAFM FSSTTTFLLRCALETETSQAELATSTSLRLAWDLITALRNHRDRFGWDLGDICLNQHE EIIEKLIAPSATQAIEDVPFSALSEMALPEVPFMDQLFPNLWDTF PFICI_05966 MLTSTLSLFAAAVASVSAAAIRRDNGISVTPHDKYSSSIGVLGC KVNTNRIAYWPSFPSCDSICVKVSANGRSVNLLKIDQSGGAHDISYDAWNYLNTGESA SSDPSAGGGIAATYEDVDMSECADLITESEGRLAFSAANSMNFVTSCPADSWIGQHSV LYNIANSACTYGYDEACSMPDFAVTNQPSCPHTLGSQEPLTTCPVYNIDYMTGTQSLA L PFICI_05967 MAPGIINDPPSEELATQSSKAEFPPTLYRNVDEASLREKTERHL LYYGTSFNKDVICGAKGLYIYTASGHKILDWTSGQMSCLLGHGHPEIVKTIADHAANL DHLFSGMVSPPVISLGERLCNLLPHGLGKAFFLSTGGESNEAAIKMAKVFTGKFEIVG LGASWHGVTAQALGTQYHFGRKGQGPLMPGMHMLPQPNAYRSVFRRTDGSYDWEAELE YGWRMIDMASCGSLAACIVEPIQSSAGMHVLPTGYLKALKKHCEQRGMLLIVDEAQTG VGRCGDLMAIIHDDVVPDILTLSKTLGNGLPLSAVVTSAEIERVCMERDYCFYTTHVN DPLPAAVGDKVLEIVVRDNLVSHSKDLGNVLYAGLKKLESRYGCIGDIRGRGLMAGIE IVADRSTKQPDLDVAKRIGNKAYELGVWANLSSHPSFGGTFRIAPPITITREQLEFGL EVLEKAFAATPGSQPLY PFICI_05968 MSLNNPSSGPSGPGSVAARNQGLKRSVQAAFDDPVDRGQERYQS KVRVMDKYKVVGFISSGTYGRVYKALGRQGQPGEFAIKKFKPDKEGEQIQYTGISQSA IREMALCSELNNANVIRLVEIILEDKCIFMVFEYAEHDLLQIIHHHTQNPRHPIPPAT VKSIMFQLLNGCQYLHSNWVLHRDLKPANIMVTSAGQVKIGDLGLARLFWKPLHSLFS GDKVVVTIWYRAPELLLGSRHYTPAIDMWAVGCIFAELLSLRPIFKGEEAKMDSKKTV PFQRNQMQKIVDIMGLPTKERWPFLTSMPEYSALSTLQPPMLHHHSHHQNRNTCHLEK WYYSTIGTHSSTSSPNSNAALSSLGAEGYKLLAGLLEYDPEKRLTAQQALTHPFFSTG DKVTNSCFEGIKASYPMRRVSQDDNDIRTSSLPGTKRSGLPDDTLIRPVKRVKEG PFICI_05969 MSEAPIVLDGGTGFLKVGYAAQNFPEFQYPSIVGRPILRTEEKG SDNDVVIKDIMCGDEAAAARTMLQISYPMENGIVKKWDDMQHLWDYTFFEKMKVDPTG RKILLTEPPMNPLKNREQMCEVMFDRYGFGGVYVAIQAVLALYAQGLSSGVVVDSGDG VTHIVPVYESVVLNHLTRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKL CYVSYDLELDKRLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDCEQPGM AEFLFNTIQAADVDVRSSLFKAIVLSGGSSMYPGLPSRLEKELKQLWLTRVLGGNPER LNKFKVRIEDPPRRRHMVFLGGAVLANIMADKESMWITKQEWEEQGTRVLEKLGPR PFICI_05970 MAKILVLNPNSSRSMTDGVKKVVASAELPQGTDIHYYTGPSSAP ASINDGDDIDASVQAVKADAWPYIKEQGFDGIVVACFSVHPLVVELARENILATGIFE ASILTALPLLLPDEKWGIVTTGKFWEAHLLHGVQHFLGASVSDSNDKFAGVESTGLIA SDFHHGVSPEVINQKIREATKRLLRKGKVTCVAMGCAGMAGLEDQIRTAAMEECGEDF AYKTFKVIDGVAAGVMQVEQLIRQQWLLKRGS PFICI_05971 MSSGLRQFAHDVSPYLVILIAVSALGPLQFGFHLGELNAPQDVI TCRRKSISARFVSRSVDVASMPDCIYMDNVQFAVVSSAFTVGGLFGALGAGPISSAKG RLLAMRLTSLFFVIGSVIEAVAASVPIMALGRVFSGAGAGAATVIVPIYISEIAPPKE RGFFGFMTQISINVGILLAQTLGFFLSHDSAWRWILGTGAIIGGAHSIGLVAVPESPA WLASNASVSHAKRVLQRIRGNDYDIEEEVASWGVTNPEEQGLLQDAENPEVAKSGGEH YGFIQVVRDPETRPAIIAVVGTMFAQQLCGINSIIMYSVSLLADLLPINSALLTIIIS AMNLVVTIACAPLPDKLGRKGCLLVSTAGQGTSALCLALSILFGLKVLSAVAVLAFVA FFAVGLGPVPFMLASELVGQKAVGATQSWGLGASYIATFLVAQFFPIINVALNERFGG AGWVYFVFTALAICSGLFISTRVPETRGKKDADEVWGRTRRLD PFICI_05972 MSSDKKEKRSKRDKSDHKSKKRNRDLEAQPEEEHRHKRSKSEAP GADDQETPAKANVEQTATEDAPVQSGKARRSEKRKKKRQSQGAEDGETAAGDVSMTDA MDQDNVPAADAVQDEKKHKKEKRRKNKEAEATEETQDATNSTSHQPFGKLPEQPYPFF TQKVSQYLPLYPLGMIEPVEGYAEQHLKPLMNHYVPTFQGVLLGYHDVQVGEAPGKGS LTEDSDDTQEALLESIDEYAVSFGWLTAKLDLFKPTRGAWMEGTVNMQTEGHLGVVCW NMFNASIEAGRLPKGWRWVSLLDGKQGSEEFTPEDEDGQLHTTGYWVDKEGQRVRDKI RFQIKNFEVGVSGDYGYLSIEGTMLDPEAEQQKVADELEKLRRWKLKNGASRKEHKKL PDFSMTKFGMDEEQEDETQRAEVWKGSRPASETAE PFICI_05973 MATTIPSRLLIIGATGVIGKYITNAILSSKSRINSLSQISILTS PATASNPAKEALLSSWKDQGLTVITGDITSVDDIRSAYKDVDTVVSCLGRGGLLEQIE LLRLAEESSSVEWFFPSEYGTDIEFDETSPVEKPHQNKLKVRAFIRDNIKKLKVTYVV TGPYADMFLEFKAGFPAAGGFDVKKKEAVLVGSGEDKIGFTTMPDVGKFVVAALSNPT ASQNKALKVQSFVTTAKDILAEFEGQTGQKFDVKYTSKEELRQAEQKLWSEGNPSAAT FTLRRIWADGKTLYNKTDNESLGVKVDDLEPLSVVVARAVSGEGY PFICI_05974 MGGQFSKMMGKIFGSKEMRLLMLGLDAAGKTTILYKLKLGQDVT TIPTVGFNVETVTYKNVKFNVWDVGGQDKIRPLWRHYFSGTQGLIFVIDSSDRARIEE AKQELHRIINDREMKDSLLLVFANKQDIRDAMKPQEVTDALQLNKLKDKVWYVVPSCA TTGEGLLEGLAWLSNNVKAPPAPAKK PFICI_05975 MAIAMGWHKPKNVAGSSAPAIMVGLFVASGGLLFGYDTGAINGI LAMDAFLKQFTTGFVDDSGSPAFIPAQTAIIVAILSAGTALGALLGAPSADYIGRRWS LLVSVGVFCFGVIFQVCADAIPMLLVGRFFAGVGVGAVSLLVPLYQSEMAPKWIRGTL VCAYQLSITLGLLIASAVNIAVKDMTGAAAYRIPIGLQFAPACVLALGIVLLPETPRY LIKKGRKDDAALSLSRLRRLDITHPALVDELHEIEANHQYELSLGPPSWNDFLIGAPH LGRRTLTGCALQMLQQLSGINFIMYYGTTFFHGAKVDSPFLISIITNVINVFSTLPGL FVVESWGRRKLLMTGAAGMSICQLVIAITASVVNNAETRAYSTVLIVFVCLFIFFYAA SWGPVCWVVTAEIYPLKIRAKAMSFSTASNWLLNFGIAYGTPFMYSTGAGAADMGSKV FYVWGAFCILSWIFVYCMVYETSKISLEQIDEMYERVDHAWNSHKFEPSWSFQQLRDE GFADSGIPPAHHELQPSVTGSSATDSIETIHTDPGTGDNRTEEDKMIQQMGLSNVEFS Y PFICI_05976 MPRAGSSSNGYSLPTSTSPRDYYGSSSSNPSVTDNALAVLHELY RRIRLYWNARGRALAWSITMKVAAVLRVNLAARRLLSFPHLLVALWILVMLWGERWTF TSQAQSCDWDHWEDWPSDATPHRLIFVADPQLIDPHSYPGRPWPLNPLTYTITDNYMR RSYKAVQQELRPDTVMFLGDLFDGGREWYTAHGGFEEPEWMKGERPADEAKHAKKWNN KYGEDFWLQEYFRFGDLFYGNWELGGILPGPWQRGRKIIASLPGNHDLGFGPGIKPTV RRRFTAYFGETNRVDVIGNHTFVSVDSVSLAAGTHPKKNDYLNAMKEIYEPVYEFLDQ VQAEKRRAAAREVKFWNGQMENLNYQHKVEEIGDTTLKNVPSLDAGGKGPDFPTVLLS HVPLWRDPGTPCGPMRERWPPTKPPKGQTTPVNPDHRNALHSVGHGYQYQNALSQEDS VRLVQSVGNVVQAFSGDDHDYCDIVHGNLPGSVPEITVKSFSMAMGVKIPGFQMVSLY NPIDENGESLLAEGIPTVQTHLCLLPKQLSTFTTYIALAITTLFVLAVRAFLVPILNL QAFAQVGTQADTAALPAHNKEKVETDVEHGHGLPSSGHSTSKFLSARTRERGASITSN GSARGISPRPKGGKWGWGEAPRGPRIEIRRDTYDSNTSRGFQWQPSRRADWRTALQSR TQFVVREFWTTSWRVAWMAIGFYAYLTYQG PFICI_05977 MENHGVSSFDDGIDPISSPFDSVDSATTPGTEYSPPVSPIAKKI ALQDSRKTAREKLAELSLEEKVSLLTAADFWRTKSIPNKGIPAIKTSDGPNGARGGIF VGGTKAALFPCGISLAATWNKRLLYEVGQHLAEETKARSANVLLAPTVCMHRHPLGGR NFESFSEDPLLTGKLASQYIQGLQSKGVSAAIKHFVANEQETFRMTIDSVMMDRPLRE IYLRPFEIAVREANPWAVMSSYNLVNGVHADENVYTLKNILRGEWGYDGAVISDWGGT NSTVEALRAGCDIEFPYSTKWRFDKVLKALGDGSLSRETIDQAAENVLTLVERTKSGD MSEEQPEREENREETRSLIRRAGTEGLTLLKNEGSLLPISNTKTTKIAVIGPNANRAI AGGGGSASLNPYYNTLPLDSIRAVARGQVSYAQGCHIYKWLPVASAFCTDKSGRPGVT LDWFRGDQFEGEPVVQQRRTNTDLFLWDSAPLVETGPKWSATATTYINPTETGKHTIS YMSVGPGRLFVDGKLALDLWNWTEEGEAMFDGSIDYMVDVEMQVGKPVELRVEMTNEL RPVSKQKTFHMTHRYGGCRIGFKQADAIDYLQEAVDAAAEADVAVVIVGLDAEWESEG YDRKTMDLPSDGSQDRLVEAVLQANPCTIVINQSGSPVTMPWADKVPTILQAWYQGQE AGNALADVLFGVQNPSGKLPCTFPRRIEDTPAYHNWPGENLRVLYGEGLYIGYKHYER SHILPLFSFGHGLSYTSYEYGRVSLSKRILMDNDPIHLIMAVTNVGAVTGAETVQIYV RDEKSKLPRPDKELVAFEKVFLEPGETKHITVSLDKYAVGYYDDSLRSWIAEEGTFKV LIGASSSDIRQTVSFDVQESFVWVK PFICI_05978 MGLSKSARISIMLAIDTVFFLVELIAGLVVHSLALTADAFHMLN DIISLAVGLWAVRISKRQSTDKFSYGWVRAEILGGFFNAVFLIALCISIILESITRFI DPPEITNPQIILIVGCCGLASNLVGFVVLGHGGHDHGHGSEHSHDGEHAHDHAHDHNE AALAEEGRVDTNGRNLAADDGPVTDIFPEVAIARASAAGSSPDTVRRIHFDNADFGRR SESEHVGRSQSRSSGSKSHERRRAGSLKHSRLQSIDDHSFHPASFRQNIIDHSRTNIG DSDDSSMDGDGVANEQTPLLNSNGTAIKDNGHGHHDHKHPSIGRSRRDSGVHKEHNHS KPKKPSSGGHGGHGHNHADMGMNAMILHVLGDALGNVGVIITALIIWLTDWSWKYYAD PAVSLLITAIILHTALPLTTASAKVLLQATPDEIDIQDIKEDIQTLEGVTSCHHVHVW RLSDTKIVASMHVQVAFSASQDGGVKYMTLMKQARKCLHAYGIHSATIQPEFCLDEAC NHDEQAAARDGNTAANCPKAATGQCLLGCTDDCVDEGCCSAPASQNGAGSIRSAHSDH SHH PFICI_05979 MEVSSPPKRMTRARAAAHAGSTATTKTTKAAPTKPTTTRTAASM KRKARADEDDEDHEESQQQAEAPAAAEAGPKPVRARGRPRKVVEPEPEKEPESKPATS TQATGGHTAARATRGRPKKTIEEPSKPEPVKTTRTRTRKAQTDEDKTPAASAPVKKTT TRGRAAPAATKAAGTICTEPTTNPTPGLKSNISRPASRIATSTKKSVTFQEPEKENMV PPLANKAKSKTDTEPATGMRAKPVRKAAAATRATRTSARTATSADKKEKSAPLSPKKD GQNRPLSRASNSDDELAGYDEPVKPLMKSPVKPPVHRNADMAMTAAPSTEEGREWSDD DDELAGTSVFASPAKRLPSTPFKDTMKSPAKRSEGVPQLLFSGQAVDTETAQSPFKPS ALQSPAKRPQVPIPGLQAPSAESSSQPKSPFKASLLGSPAKRPMSPIKFAEPVSRTVN IDALSSPAPKPLMVDGPAATLPMDEDQIEEANASSELPSADGDIDMSEDDEEINLESP VQLEFPGRLSAVLPRHADPALQDKSSPLKALSAAQLEPFPIAPEESSVAAGQAAHVAA EEVAMEDAEEDNMEVDNAVNEDALVETPAQDDVDSPNDTSDTETNILPESEDGNLDDE PVTGDQTATESQDDNDARFSAVPATPTPGSSKASRSGLPSSAIKNAGRAIRSVTRSSK LSFTPLAKQLGEWRSGSPLKTSTLAASPSDSEGYSLVEDQASTPGQSPAKGTFFEDEM RVRAEMEAGMGSEAEAEMMAAIEADLAASFEEPEEPDFDEIPVTSEDVQLAAEANEMS LLEPEEVEQVIEAQAHDDTISEASQEYGDENALPIDPALLGSNGRQAPVTPVRPSAMR TFNTTTKVPLKPADDSTPKSMKRRSASASRVPAKRPTGPTRNATVISYSPTKESAEED LLDEEHDEQPPVTPSKSDIWSSIGTPARTPRHDLNPTLLRGAVVFVDVHTSEGADAST VFIDLLTQMGARCVKSWPWNPANPSEAESSTSKIGITHVVYKDGGKRTMEKVRESGGV VQCVGVSWVLDCERENEWLDEAPYYIDTALVPRGGARRRKSMEPKAIANLNGTLVTPV KQAPAPRECQTVPNNRMGRRDSTVWMHSPSNPNDEDEEMEDVGHDDWDGSMLTPVPKT PAPEALHRYVSELDVTPETPGADWSGADSPEKNEMLMRTAPPKQTTFADLGQGILGQN KDQSVLMRLMAARRKSMQFAPKIASPLSKAWN PFICI_05980 MQLSLPSSLLSLIGLVLAVPDSHSALDLALANRRRQLVHRASPD SPSGGYAPANVDCPSARPTIRSAHNLSTSESDWLDKRRQNVLDPLSAFLSASNITDFD AESYISSNKDNLTAIPNVAIAVSGGGYRALMNGAGFIAAADSRVNGSSEASGIGGLLQ ASTYLAGLSGGGWLVGSIYANNFSTVVELRDGSPGSSVWAFDRSIFQGPEESGISILN TASYWDDIANQVDAKRDIGYDASITDYWGRALSYQLINATDGGPAYTFSSIADSQDFK DANQPMPILVADGRVPGVKVITLNATVYEMNPWEIGTFDPTVFAFAPIKYVGSNFTNG SVPDDGHCVEGFDQYGYVMGTSSSLFNQFILQNLTSSGIPDFLVSTIQDILDWLGEED DDIADWAPNPFYGYDATGNNYNVDQESLTLVDGGEDLQNIPLHPLIQPERAVDVIFAV DSSADTTYNWPNGTALRASYDRSKGSIANGTEFPAVPDAETFINLGLNRKPTFFGCDV NNFTSPDNAPPLVVYIANTPYTAWSNVSTYDPSYADDERNNIIQNGYNVGTMGNGTLG DSGNWRTCIGCAIMSRTWAKAGADVPSVCQDCFTEYCWDGTVNSTAVGSFEPEPFLTL SAESAAVSFVKQGPAGLMSCIMGITLLLLAF PFICI_05981 MHPAPSLAELLKSDDPRTQSQQQQQPVRQLDQQAQHDQIGFAQT SGIHSPARVKSPLPVRKDTGSSISTVASDVTVTSNATSDSSSTAYSVESSQSIFSVKD GAEISGNRRTSRRRTGPLSAAQREKAALIRKLGACTDCRRRRVACHPNHHNMTWEDAM RKYQAAHSPLQELAPLVGRPLSPASGLVRQSYAHDPQDMDIDSTPTPPMSQTLPGRPP LSESRIRTPLPSGPRLDASVPMPPMAAAPPAITTLPNIDFIKSELDTAASRHLSGPYT GRYNAVEALLICWQEDDESRDVLGAVEDLQKVFHEYSFATRIIQIPFSSSGLCKNSQR WLSREINDFAEDRDTRDTLKIVYYNGWTSVEDGEMVLASPLDREGSSIIRWSGIQELL EGARSDTLIVFDAVYHVSSKMTRRKGALEVLAASALEEDYGLLGRNTFTQAFIQQLRA RLSQHVLSALSVAELHVRLLAMYTKTAQERQSPGHALKTSIPLHLHVSGDSRLPSITL SPLQGRQPRTPNFNPDAHGGYHLNLSIRLSEENIDTECWGEWLRMMPDGVKHVVVSGP HSTYR PFICI_05982 MPLPSLAALSANTNDAVSGSDNPVVAAPDVDAMKRGPEDPYKRT EQSDICDAFGKVSGSEILSYNAFIKIGKQGMFAATPRALKTSVDALADEIIDEVPTCA GKAKSDTSDLDDTLEKALNAYA PFICI_05983 MAGTVHVLDDYYLAITLLITIGYQLAFFAVAFTFKFDKLTDFAG GTNFVVLAIITLAFSDHHHARQIVASLFIMVWGLRLSGFLLFRILKTGKDDRFDDKRD KFFPFLGFWVFQMIWVWTVSLPVTVLNSPNIQQYPQASFGTGRDIAGVILFTIGFVME SMSDVQKFIFRQRNNKSAICDKGFFSWSRHPNYFGEIIIQFAIYMIAVSSAADGYVRG QAYKALYATILGPFFLTILLMFVSGLPLSERPGAKKRYENGSNWEGYQRYLKRTSILI PLPPQLYERLPVIIKRTILLEFPMYVFDPAKHSSGAQGHRSAEEGNGQTVPSDIRQSG EHLTSN PFICI_05984 MEARCQCGSVSFKTPLAKPLALYVFLLPFLLIIMTSSLSLRRRS CRVTRKQATRCLYALMRNSSTTFFNIIVVLLQVDTRYTGRFFLIDRSNAVGCSTGRLT YLFCKATFAVGADRGFYTRRRNLSSILIVQLSQGKNVVSVKGGCLDGLDWNNAIHIWT KSAMVPIPEGSECYSEEPTDSEYSGPQETLDQPVDVPGSALMGSGGLLPEPRPTEEIS KLNDTKKGLCELSSSFTHIGH PFICI_05985 MIELQPTGEDFFHNGNTVPWAQNNIDTPTDNKPTTGLSLAMQMG EIMYNYADLPRTESVAESCISEDSNADIKPFPLMELPFEIRIQIYRWIHLGHPIKQSQ LTPWYPMPVYNHYFLKVVQPGSSPPVSGADPRILHDTTTAKRPVEKEAGEVTLLSPYR PLAGLPSALLRANKQVYHETRELALTENEFIFVNWFSSGLWAARSFGKGLQPWQRASV RRVRLELLSRDLTGTYADEWRDLCELWSTGLRGLRLKILCGGGAFGSWVADGLPRRGS PTVRVRDDNDKILHWVEHGLARLKELRHLEVEIAVAEWDDTQRVRFCHDLEDALYEVR SRSSWAPVRRVGVVCVERCGKSQHGN PFICI_05986 MASLNISQEELKALEQTRQRLQQVSDSIGTLKNDVFSSNPLPNL ASLQNSADILQATMRSLLTNMSNNDELFSRLAVHPSTNFPGRTQENILLQLLRKKPEP DVAASLDEGRKAYSELDESLKGEIKLEHRNNDEDDDDEDMSPMNQRWASLDAWVRKRA EKFIVDEFPEDFTAEELDLGIENVRTGLRRKFEYEEDDDDEDEDDEETKAVAPPKLHA EDDDVVMLDDLPPPPPPTVPSLQSQASQSGVATEHVDGLKLENMLKVATLGQLSR PFICI_05987 MAPDLNSMPSSPHIPVNSTRGQAAPAPVAVGDSDQPNVLFIMAD QLAAPLLKMYNPDSQIKTPNLDALAAKSVQFDSAYCPSPLCAPSRMSLITGLLPMKIG AYDNAAQISSDWPTYAHYLRVKGYHTALAGKMHFIGDQLHGYETRLTADIYPGDYGWA VNWDDSERRLEWYHNASSILQAGTCVRSNQLDYDEEVMYKSTQFLYDQVREGPNKRPF CLTVSLTHPHDPYTIEEKYWDLYEGVDIDLPKVSIPREEQDAHSKRLLKVCDLWDENF SDEQVKRARRAYYGAVSYVDDCIGRLLATLKQCRLDKNTIIVFSGDHGDMLGERGLWY KMNYFEGSARVPLLISDPSRFEPRRVKQNVSTLDIPATLCDLVGTKPFPGLPMDGQSM LPHLEGREGHDTVIAEYTGEGTISPLMMIRRGPWKYITCPTDAPQLYNLEQDPLELVN LAKLADRENLTAEEEEAKAKFLKFEAEAKARWNFEQITEEVLLSQRKRRYVWDALKIG RFDSWDFDPAKHEDGTAKYIRSFLPLDDLERKARYPVVDKYGRETGNILLADQAGSHG Q PFICI_05988 MDALKSAIAPLKPITNALPQPIHDLGTSIIGSTCYKTLILDLDP TDSECVKLAISKGLGIGIIAASSIVKVPQIIKLVNSRSASGISFLSYLLETSSYLISL AYNVRNGFPFSTFGETALIMGQNVVITILVLNYSGKQSTAALFVAALAAAAFSLFAEN LIDMKTLGLLQAGAGVLGVGSKVPQILAIWQQGGTGQLSAFTVFNYLAGSLSRIFTTL QEVDDKLILYGFIAGFALNLVLALQMVYYWNAPSAKARGKMKEAPIAAAPTSSGTSTA TPKKGPTTRRRG PFICI_05989 MILKIFRRHTSPSFIITAIVLLCLFLTFFHRHGPERAYTGLNEH PVEIQHDTILEGALPSAVPKHGDVEISPTLAESENSEAKIPSISVDIISEEAKALPAG DSSSAQIKRTTTLVTGHTKDEDVSWLRESFRGPEYLLKIYSVDGSNSKDLHTPMNKGR ETMPFLTYIIDNYNSLSDINIFLHPHRYAWHSRDTLIPDTVELIHRLNTDRVARLGYI NLRCRWSPGCPVHMDTGSLVMGNDIPEQTIFGPTFRDIFGADAEMPALMGGTCCSQFA VMRETVQSVPLERWRYMREWIRTTDLDDGMVGRVFESLWQYIFLGEAILCPREHLCYC DTYGLCFGGATGFDQNEWLLGRRKEMQGKVKWLDEAIKEAEKMSGKPSEGGAAETKSE RKERITMMQEEKSKALLEVERLSAICEANVQRAFENGNDPIKRAKEMRSE PFICI_05990 MASTTVRYQMDKEQRQQLPCWPDNGGLGDATTTENSFDEYVTFD PNDFLGDPQSPSAILEAPDDGVPNSSPNDQDIFPVLSSSSFAEDAACFDFPSSIPEQS LLLPAFLTGAEPVLGGAESISDSELLRLEGISIKSPREEIAEPVSPSLLLQPSPNKKS RFVESVYATFRRATGSSGPSRTHTAALDMFNADTIPQPHLPHGSYEYTTDPLDLKSEP IDNSGLPLSPPLTGKIPYSGSSFAANGAFVTGNIEDPFCDKIMAPHMGNDVSTPLSTP ALKDDFFFPTTVSNLDPRSTKVCRLKQRNASSAEWPLDGIISDDSPRQWSATASSSYI PDNNALHSPSWWDINEPSTPSSQAPMPLLNNSGFHDGTASFEHNQSMHHQSELPYEYT PELSGLMIHMPQPRLPQAAVLSANIPEQLMTPTHHASAVQNSSHGHHSQHRGRYADHR HHLHRRPQPRAPSSGARHLHHGASMTSPRKPSLHRSGSRAMLVHREESVSPSPGSSGQ QHHRPRRVASSSSLSVRKQRSWSRAPRTPNPSGRSHSSSFGGNSGSPGGGAGGGGGGV DFVNFTPSDKNLLMTGVAPSGSSKTKARREKEAADRRRRISEAAVKAVQAAGGDVDTL VMDDFVF PFICI_05991 MFDNFTFHQVEEVHRTRHHVDDANKSEPHLSPNNDYDPVDWFSP QATPATTKYRPTEEDSSSQHGSSNSDSGSGSTGIDHIVRQMSRQTLLPYVRSNGQVRA VSHMEDVSTEWPSLDDDPISSFAMPFRRTQLQVPRAALRNMYRATPQTPDAPAQETTS PAEHAAAEVASDASRSPAHHQFLPQPETPAEPTSSNPRAIREALVESMIAHGVQCNVQ SNPLPAASTDPGVLVGGKVQAKADQPMLDAGAVQGLSDSLEVGDPLADNEELAFMCKL ASLRQAGKPSGIRRANGLNYRSSAEAAAHLSKRGLVRNKLKMRRRDKSKPTDPIDPKQ MLTPPPEEDTAMPIVG PFICI_05992 MGSNAPPSLRVPLSIGIKVCVDCKDIKATCKPLPQVAVQSLIAA LATADAVDDKDEQSVHVFTTAITNCRRKLKAEAVTMKEASTAPAATTAPVAETVPLAK LIPSAMSSPDYASEFLEIGRRIADALEAIHHVKKARWDSEQQEEEDAEYIPSDSE PFICI_05993 MATLSDAFTALNAAIDNTPLIDNHCHNLLRPNHISKVPLLSIAS EAQGNEALEASKTSLAHIRATKHLAQVLSCEQNWDAVEAAVRVQHSQDPAAWHRRCYQ GFETLLLDDGFGGDELIHPYSWHDTFVTSKCKRIVRIETLASSLFTQALSSRGAKSAA LILEEVVKAFADEISRCIADPDVVGFKSIICYRGGLDIPRDATGVQEKSTLAFQSMVE LFDKSIDPFKRPEQLPFNQSLVHLTARLISQSESKHKKAIQFHTGLGDNDIILTKSSP AHLQPFISQYPTVPVVLLHSSYPWTREAGYLATMYPNVYADIGEVFPFLNKDGQEGIL RQILELTPWSKVLMSTDGHSHPEMYLITLREIKSVMKTVIGGQLAKGQLNTNQAVQLV QDVLYNNSNKLYDLGLSPKAVPTTLPTTMSQDEDDVAAARVQREADIRHLSSLNCRWL RVCWHDYTSSSKMRLVPMRRVLDNLRRGEDVHFTLTSATFGILQTDVLIPSVSSSGVY AVRVDYTKAVLGPAPGHASVFCEFMNEDGSDLNLCPKALLRKTIENARGHGLEFKMGF ELEFVIMERNPDSNSQEKYLTLRNDGHSWSMARAIADAGRPGSFNSALDEILDNLTDA GIDIEQIHPENAPGQYEIILPPKPPMEACEVLLTTRLIVEAAAARHGFRMTLHPKPFP FNCGTASHMHMSITSLGGDSKEVYEPFYAGIIKHFTALIALMYSNIASYERMVDSAWA GGRWVTWGTENKEAPLRKCKDSHWEIKTIDGITNPYIAMAGILAAGTHGVVTKEKLVA KDFLGDPAKLSDEERRELGITKSFPKDLRAALKVLETDTELTDLLNKDFVKRYIDMKT AELEMLEPMEATERRQWLIARY PFICI_05994 MSSSDSERSQSPDPATTKPADSKKRKTQVEEIEVDLSLPEPPSK KAKRLLKKGKPLPVKPNSDDEGDNGDGLDLPSAKTKDGKDKKSKRSEYGVWIGNLPFH LTRADLFKWLVDSSGGVIQEENITRVNLPTSKNARAREGEQSKPQNRGFAYVDFDNEA PAIAAMSLTETQLEGRNVLIKNSTNFDGRPQKEAAEAAAASTQAAKKTEGSRKIYVGN LPFQATEDDIWAHFEKCGTIDWVKVATFEDSGKCKGYGWVKFKEIESAESAVKGFVRI KEEIETEEDFREGKEGGEEGEPAEEKKFKMRKWWVNRIRGRDLKIEFAEDDQVRYKKR FGKDKPARQQNGDDNAQERAPSSKPAVAPKKTTFDD PFICI_05995 MEEQVSRLVDKVWQGYQNMPKNQRFMVAIGGIPGSGKTNLSQRV TERLNERQQQEHPGSTPVAAFCPMDGYHWPRSVLDTFDPAMNAHARRGAEFTFDGQSF LSLVKLLRAPLDSEEGRKPIFAPSFDHAAKDPVDDDIEIRPHHRIVVFEGNYVCLDRE PWRAAANLMDLRWFVQVERDVAKKRLAARHLASTIVDSLEAGVARAEENDLPNGDEIN ANKVPNIDETIVSKEDQGWAPVN PFICI_05996 MADFEAGLFSISISDGDDSAPEAAEPSKSTAKVPGSARIAQSED EFQAVKQGYRVKVENGELWKDITLPLGDKVTKPEVQALLHAVEELYFFKRYDEGVVFV RRLLDGEEGSSGGLEADTVKLLRYYQSKCEQRASASG PFICI_05997 MKVFSNSVTFNYSWEEVSTANWQKYGPWNDKSAHVIAVDTISRN VDATTGILRTERLITCKQSAPEWIKSILGGMDTSQVFEISYVNPAEKTVTMVSQNITM SNLVNVQETVVYKPLNAHQTQFVQDARITALCGGWQRIKNSIEDTLVTRFRENAVKGK EGFECVLAMSRLAFAEERAKQAL PFICI_05998 MPGGPLRLLGLRLPVLLTLPVILIVLFLFYNYQDTDASQRSLQS SQRLSEQPPKAAVISNGAEDARCQAFPDPGNIQIVIKTGATEAYEKLLPQLMTTLGCS RRVEIFSDLEEQIGPYHLHDALKNFDAEMKQNHPDFEIYRTQQEYQLSGQDVEELGKK HKIVWNLDKYKFMHMVQDTWNMHPKLDWYLFIESDTYVFWGNLNLWLRQLNPADRLYL GSEAQIGTQWFAHGGSGFVLSGSLMKHFAGDDAGMATRNDKLLPDACCGDLILGRAIE KYTGVQVQNNWPWINGETPWSIPYGPDRWCKPVITFHHVQPRQRSLIWNFEQQRNNSE APLLFQEISELAFPPGNLVAQREDWDNLSGALIENPGGQPHTLQSCLAACNYRDSCWQ YRYSDGECHITIKGFRLGAKKPPTAGRRWISGWKTDKIEAFRRDHTCKEPEWRDSEYA VRDSIF PFICI_05999 MQFTRSLLSLLAAGASVRALPNDKRQETPRIYAKFFDDNACQGT WVEDTVWLQEPAGTCIEVNIPFAFNSTLIADNLATRTLRVYSASGCDENASNYYDVAP TIEQCYAQAVKSVKFL PFICI_06000 MAAKVPTEELNKLSVADADGAANGKPDAKAAANGNLAENSDAES DDDAEDNAPAADGEGAAKKKKKKRKPKKKKKAPTSQTDPPSVLMSQLFPNNTYPKGEE VEYVNENRYRTTNEEKRHLDNLNSDFLADYRHAAETHRQVRQWAQKNIKPGQTLTEIA NGIEDSVRRLVGHDGLTEGDAIVAGMGFPTGLNLDHIAAHYSPNFGNKTVLQQSNVMK VDIGVHVNGKIVDSAFTIAFDPMYDNLLAAVKDATNTGVKEAGIDVRLGELGGYIQET MESYECEINGTTYPIKSIRNLSGHTILPYSIHGTKSVPIVKSNDTTKMEEGDVFAIET FGSTGTGKVWDEGEVSHYALNPDASTAGLRVASAKPLLNVIKKNFGTLPWCRRYLDRI GQEKYLLGLSHLVKTGVVEDYPPLVDKKGSYTAQFEHTILLRPNVKEVISRGDDY PFICI_06001 MASLVGLLHRNWQLANPPIVRKNDNPLRFGILGAADIAPLAIII PAKTHPDVIIQAVAARDRERATAYAKKYGIPEVKTSYQDILDDPNIDCVYIPLPCGLH YEWSLKALKAGKHVLVEKPCFNNTTECESIYHHDAISPSQGPAAPVLLEAVHYLFHPA WTKFMDFVSPQDVVKAEAYLGAPRGMFKNDNIRFRYELAGGALMDLGCYTSSALVRIF GGLAESCEEAIVDRSPYDSRCDRGFRVKYHFPGEAVGDMNGDLQAPLLGKFWPSVEVQ HRPVLIPAADAGVDVSEGHEVARTRRVKFINFVAPHLYHFIQVDDEFAIRKTGDVNSV VRSWKKTRTLKFYNFREGGIDQDGEVYWSTYRYQLEQFVNKTRGRQPSHWVNGEESTD TLRMVDMAYTTANLPLRPTSEYR PFICI_06002 MAQSHNQGEASHGAHQATREKHMRKQWELLLPDERKLGFRHFQL QEAERYIYHSISQSLHQWPDHGILYYPYRQPDLPPSYDAEITVAAAGPLEVARFIPPD GRIQLPFDFHWQIQKDQFECRAEIGSDLQTLRHMAQSKVQSLNAFFGEDFRIFCRMIV WDINPSTPALHLEYDIGGLGSGVGDDQGYEVFRNSKGSSLDWRAKVEPLITRFALLCQ TKPRQGGAPWQRIILCQGLPFASQETGEDRHFPFLVFDREAYNDPVRRATNLHLSNGR IGGSKTTNPYADPNAPFHTTFFENLPEYNIKRILKASKEKKLRIGYLHSKPPRDDNSG ESDHDGHAFRKSSFTIVATAERIQQESDHDTPLHQKRNLHWTMLVLSPSDFLPPSYEA VLGIGSEREVAHMSRQTAELSFIVYALNEIERRWRKLNDHIASLLVEDFMDPEAYTKL LFDDETFSRSRLYFWILGCLNEFQTTIEDNIQQSRLFRKGRIQPVLQALSSSPTQDAM RLQELDKKVDELEQSLGDLRTQFQSKILTVQGLREGLFNASALMESRSSTRLGQNVQL LTYVSIFYLPLGFCTALWAIPNISESATKVPFVITASLVGFVTYAIVFNLGNIKEALG KVYSGYRMRLLQQMENDKDLSWQEFRKQFKQFPPNPQQTRPSEWWILRYQLHRLPFGR SRAKAAKSGDAS PFICI_06003 MLAKVSLLALVSAALAAPQSVKRADIDHDAVVGFDETVPDTTIG ELMLKWKPTLYVVDGCVPFPAVDEDGNTSAGLAPSGDPSGDCDSSTGQIYARAESYND AFAIMYSWYWPKDEPSWLESLVGVGHRYDWEDIVVWLSDDTSDATLLGVAASYHGDYV TSTDPDLSGDSPLIKYYTAYAILDHSLGFTDTVGGTQPLIAWDSLPTVAQEALADKDW GDANVPFIDANFESNLAEAEL PFICI_06004 MSPSKDFELAIIGGGIAGLTLAIALHRREIPFTLYESAAHFGEI GAGVSFSPNAVQAMKSIHPGVYEAFQRVCTGNGWESKRDVWFDYIDGTEGHDGEVAFT IRTSLGQNGVHRAHYLDELVKLLPADKAHFGKRLQQIEEGEDGKLVMSFKDGSKATAD AVIGCDGIKSRVRQIIVGEDHPSAHPSYTHKYAYRGLVPMEKAIEAIGEERAQNSYMF MGPNGHVLTFPVAGGKQLNIVAFRTTEDEWPDFERLTRRAKQEDALRDFKGYGHNVTS LLKLTNKELDVWAIFDTGANPVPHFYKGRICVTGDAAHATSPHHGAGAGFCVEDSLVM AELLADHSVQDRSQLEAVFAAFDEVRRERSHWLVQSSRFIGDCYEWRAEGVGRDFKKI EHEINERVSKIGNVDVAEFVATAKKDLLARIAN PFICI_06005 MSGLFGSSATPAATTSNTQGDLSKDVEVSQPPEDTVTDLAFSSA ADLLAVPSWDKKVRIYEINAQGQSQGKHMYEHEGPVFSVDWSKDGTKLVSAGADKKAM LCDVATAQQTQIAAHDQPIKCARFFESPQSNSSMVVTGSWDKTIKYWDLRSATPVGTV TAQERVYTMDVRNNLLVVGTADRYINVINLSDPLKFYKTLQSPLKWQTRVVSCFTDAS GFAIGSIEGRCAIQYVEEKDATSNFSFKCHRDPPQGNVTNVYSVNDISFHPQHGTFST AGSDGTFHFWDKDAKHRLKGYPSVGGSIVATTFNANGNIFAYAVGYDWSKGHQGNQQN YPNKIMLHPIQGEECKPRPNPKKR PFICI_06006 MESAAPYFTNNIFYNGFSSSRETGKRSRPGTSNGNSERLNTSTT PAPKERKSSFGRRRSSSLTSPSKGKRRPSSSAAANGGQFVSDDTKPPPIPIPTLSAYT KLVKENEVAALSPTSPDSFSRMLSRNTPSSQSGFGNMAGVAPPSFPPHQGSVSGPSLP QESNIIYSHLQEIANKRISTLDYLRKAHDGRVYWFNTMLFDKPDLARMPYFDPRRLAR RAANYLLLGLSLPTVIDLNSSTPLEFLRSLHILLTEFESFQQIHSETGTNASSLSRAR LPQMFRRGPAGKGRRTSAAGDMSFYSEINGSGHSGGATQTPNSVISFGLGSEGNDLLP GEEYTHLLTPSLPFDPDFFETFATLCDMLIDCYSKLMSLLPSPRECTPTIAELFTKVD GKVRKIIIQGVVKEFEDTSRATLKQEVSNVGKVVLGGLM PFICI_06007 MPREIITIQAGQCGNSIGSQFWQQLCQEHGINQDGNLEDFATEG GDRKDVFYYQSDDTRYIPRAVLIDLEPRVLNSIQTGPYKNIYNPENFFIGKSGIGAGN NWGDGYQTGESVHEEIMEMIDREADGSDSLEGFMLLHSIAGGTGSGLGSFLLERMNDR FPKKIIQTYSVFPDTTNSGDVVVHPYNSLLSMRRLTQNADSVVVLDNGALSSIAADRL HVQEPSFQQTNQLVSTVMSASTTTLRYPGYMHNDLVSILASLIPTPRCHFLMTAYTPF TGDQVEQAKTVRKTTVLDVMRRLLQPKNRMVSTVPGKKSCYISILNVIQGEVDPTDVH KSLLRIRERRLATFIPWGPASIQVALTKRSPYVPMSHRVSGLMLANHTSIATLFKRIV RQYDGMRKRNAFMEGYKKTAPFSESLAEFDEARQVVTDLISEYEAAEDANYLNPDEPA TSAESDKRLG PFICI_06008 MTQSKADKIAEVQANLPKPEDPPVKSDWNSADPSTVNVGSGRTE TDISTGAGSISGLREPASSGDVDLSKVGRQGKDNLSGPPKDAAA PFICI_06009 MSPTGSEIDYFSDSESEPQWTESQSETEDEEPSLRSHGPGSVDY YGASDDAPVTGSLPAKDAKRGQSTKDQKTLSRKTQRHSSWRKVDASHRRMKGTRQRRP KYGWGWDIVGRFLLGHRSKSKYHPKTKPSTRSKHYGKANDTQKAHVKKRVKVVDTHIN GPGTPKRPSVSSSSSSVPSLALAVTASPVPSSTVSSSSVQPPPQPSSSTQPSSSSQPS SSSEPSSSSEPSSSSEPSSSPRPPSSPQPLSTPSPPLAHSSSPQPLALISLAPLPSST TSSSSMPLIPVQPSSPVHPSSPVHPSSPVQPSSPVQPSSPVQPSSPVQPSSPVQPSSP QKPTTLRPARPPPNLPLSATSSSARSNPVHSSTTRTSISRPLPSPSQISIASLFYSSS NVNPSSDVLPSPVLPLLSPALPSSVNPISSPALSSSTESSSSSEESSSSEEESSSSEE SSSSEDSSSSEESSSSEESSSDESSATVASMVAFVRARKRRQEDNEEHAHPKRARSEA SRKRRPDPDDFELWQPRRKRSRRDSRKRKRGSDSDEPETDRQKRAHRADSRKRKLDTD QDESETDGRKRFRRDDSRKRKRATDSDEIDTDRQKRTRSDSQKRKRPSDRDESESDRS RNKRTRRPRLV PFICI_06010 MGFTTGFTGGVTLTLSLAYLTILAHQRNRERQSAILRANNYVVS GITDPLPPVYPPTRTELAALDRANFVDTAKDKWNAEVEREVRWIQNTDWNEVREGVET AVGRLWSRALGNAIEETEKGERKAAELKDDASREARAAADRSKAAASDKAGSVADAAK NAYADAKARGLEAADKAQKEAEQAKGSIFNAVGRGIEKGKEALGLANQKIIGAEQAIG GKIDEKLSPVERTLQQRYQKPSGLDQTVEEALAERYVPIDQKATAELRGI PFICI_06011 MAGGLVKYRHLSRNSAHRQALLRNLVTSLVKHESIRTTWAKAKE TQRLADKLITLAKRNNETARRKAHGILFTPDELMPKLFRTLRERYAERPGGYTRVLRT EPKSTYDQAPTAILEFVDGPKDMRFHMTAATYARNQVLGLKFNKITTLNRKKATQFRK DGKEDFDKMVAQMKGLGFGQTAEEKRSRHEARMESIKENAKNAKAAKSRPQVETETPS AEEVTVQGKGEKKNVNVLR PFICI_06012 MAPKGKSFGGVKRRAPGGKGGKPVKKRTYGPKFHDARLAEIDES ESEVSEDAPLSDEDSELEDDEDESEDEDKGASSKPYLTLMQSLAKERGPQTKRRKLDS SSKMEPADQQDSDDEVDATAAEDLDLVEEPEEDPADELPDDDADSDNEVPDDNDPFES HFAHPDDAEVSKKLKSIQAKELSTSQVELNKLRLTRVLPGKDAGKTTRPLSTLSTTTD LKLKQRVGEAASKRPTLDKLEQVLYPITFGYSDLLFCGRTPKNAESIRQMASLHAINH VYKTRDRVIKNNAKLAKEGEDTEFEPRDQGFTRPKVLMLLPTRQSAVKMVNTICRICE PDQQEHRKRFDESYQDNDGKFTSDRPEDFRDLFEGNDDDMFRLGIKFTRKTIKYFSQF YNSDILLASPLGLRMAIGSDENKDKKKKLDYDYLSSIEVVIVDQADALLMQNWEHIEF IFEHLNLQPKEAHGCDFSRVREWYLEKQAANYRQTLIFSAFNTPELSELHRSCENWAG RVRVQPEYQGTIQELGVKAKQTFSRFETSSIANEPDARFEYFTSAIVPNLVKRIKDSH GTLIFVPSYLDFVRVRNYFATNDAVANLTFGTISEYADIPEASRARSHFLNGRHKVLL YTERAHHFRRYMLNGVRRVIFYGLPDNPIFYREIAGGYLAKSEQDAKLEPGAGTIRVV FSKYDLLKLERVVGSKRVGKMIRDRGDTFDFV PFICI_06013 MAVDTETFLHLSRPLAPTVNLQSSIAPLSVNIQPQAILSILDHA VRRDPPATPTTSSRVIGALIGSRNEDGSEVSVSSTFAIPHTENEDQVEVDVEYQKNML NLHLKASPRESLLGWYTTSHELNSFSALIQNFFASPETGTYPHPAVHLTVSTDPGADV QLKSYISAPVAVNAERAAESCFFIQVPHKVTYNDAERSALELISSAKDNESRTASLPS DVEGLARSLESSVDLLERVSGWISEILDEEREPNNALGQYLMSALSLAPKVDAASIEH DFNNHIQDVLMVSYLANSIRTQIDLSQRLATAIISDEKVDKEDGKEKGERGDKRSRGG RGGRGGGRGGREQREPREPREPAE PFICI_06014 MSLLPSYNLTAPRRITASNLDIPSQHAGDDTVEPGVEVRVDSLA PQPLFDGFFVRAVVGTEKQVPTSNDGHGEIPLDEVPGIGIVLPGGVHMYYLDIAPNYE GALHRTTSTDYLVVISGKLSLMTPESKSYQIKDGKATCGSPVETVANPGDIIYQRGPV HALSNHTNEWVRVLAVVLGSEPNKALVEGTGHHRELHDQWLA PFICI_06015 MYALFVLYITSRDLVRLSILLFYQRIFGRDPLAKPILFGCTPIS YFWTSWDGEHEGHCISTNGIFWAGALVVIAIDIWVMLIPLPFIMKLKFSLRKKLLSGV MFTFGIFVVIVSLYRLKTITRFTLSRNPTADFVDVGIWSGLELYVGIICACLPNFHSL LKPVYARIGSFFASKTKGPQSASSGSRNSHERKYVLPIAETGPKSTTVEIATFEGKT PFICI_06016 MSDLAALAAALPKCATACLVTAIYESTCAITNSTCVCHDEELNN KATACITESCTVREGLFTKNLTSTSCGIAPHVDHSYITPGIVFITLSAISLGLRIAAR IQAKLPVWWDDFIITLSFVR PFICI_06017 MRLLNFCFPLLASVSIASPVLETNLALAAASRHLTTPAVADQSD LDNFKLYAKYAGASYCNIGNLGAPTFCKGNLCLDNTTTLARFTGATTDIAAYLSVNSY RKELVVGVRGSYNIRNWLADLRFLQASCPYGAMCRVHRGFWQAFQDITDDSFYEALRE SIHNYPDYNVTVTGHSLGGAVALLLGSYIREEFESVNVDIYTYGAPRVGNVVFMNYLR NQPGKEYRITHFDDQVPRLPPASYLGYAHSTPEYWLRDGPANRLIYTPEEVIECTGYV NMDCSGSGYWPGVISHLYYFVKMTECGDGHSHGHMDLGDLPRFAETTWNKTGYDKDLL ERLEMFAQMDQQYAEVVAEVSNPTS PFICI_06018 MSSQNVLSHVSEPIAIAPEKHHPRESHVVEHGAPEQETLVDFDG EDDSSNPKNWSRKRKWTLVIIVSLLDFAVNLGVIISAPLGPQILAEFKEEGTSYLTLI ITIWELGEILGPLIVAPLSELYGRFYIYHAGTILFILFSAACALSTNIHMLVAFRFLN GMAVVSTALNPSTVGDLFPVEQRGKAMAIVGLAPMLGPVVGPILGGYVGQALGWRWCF WVMTILCGLIELCFLLSLRETYVVQILKSKAIRLRKATHNPNWRSRYETNYSALKVVR ESCLRPLVLLFTSPALFLISLYLGIVYGYIYLVATTLTSVFESVYDFSSSQAGLSFLG LGIGCVVGVFLCSATLDIWVRRQSKSGQVQPEQRLPPLIVGGLILPMALFFYGWTTEF HVHYMAPIMATGMIGVGLVSTTIPVRSYLVDAFGLHSASAIAGSAAFRNLCGTLIPLS GPPLYRRLGLGWGNSVLGFIALATIPVPIFLMKFGHRLRRNSEQKYT PFICI_06019 MKHSANFVAMAAALARVADAHYIFSKLVLNGVASDDWQYIRQTT RTENYMPTKFTNTYDNLTPSDSDFRCNLGSFSNAANTEVADVAAGDTIAMKLFYDGSI AHPGPGQVYMSKAPTGNVQEYEGDGDWFKIYEVTLCDESGDLTKDAWCAYGMSQFEFQ IPADTPAGEYLVRAEHVGLHGAQNDEAEFFYSCAQVKVSGSGSGTPSTTYQIPGLYTE SMELFNGLNLWVDDASQIEADIALTPIGDDVFGSSSSTSSTSAVAASSDTTSSVAVSS SAVASSGCKSSKH PFICI_06020 MRFSLPLLALVASSGMASPNSIISRDVTTTEAVTDAITFAAAED DCDLLKCAAVIASAACIGASIALGPGGIPSLLGCTAGGASAICPCANCVDALGDFLKN NSICPS PFICI_06021 MTRYALERCTVADSDAISRNNMSAFWQDVNWVLSWRHTTLEKHI IESAKRYPRNLLKDRDNTRHQKAIDPETGRLVGYARWLIPAAHAVTDIGTPVWPEAVV PAVSPDEEAEFERIAESADWKPDVTSDPLDHEMVEIRKELMTGRDYMLLDYLAVHPEN QRKGIASLLVESGIRQAKKLGLDIFLVARPAGMPVYQRAGFQIIRELVQDDSIYGGQG EWRTYYMIYETRPRSETRAGV PFICI_06022 MSGLMGDIKNAASDKLGKDSQPGNSVERTADQDVNQEVNQFASD HGVPQSADNTIDQAVDKKVNEEIPGGN PFICI_06023 MATTPAASSAAPAKLSFAKVAASGAKDNRAARVAVPVMEHRRAP STNASTAGKNGGSTSAKVASPAATAKSPTTIPENNSKSTQTQDAVTSQLKDLSLSSSG PSTPSLVVNGSSSSVGASQHKNDSATHLSDDASHKADSISETGAKAPSLDGKSIASGT TFALDEKESLRPDDSASVKAAAEDDESFSFRGSQMANSRMGSDVARIHRLRIGDMPER RIIQLLPESQEMGIATPQSVDSGMPPPTDSSQALGTVASTPDAFSTMYSQNPDDKLLE AMSSPKDRIFLLRLEQDLINFVQSSEKPFMDLPPSNSFCRMLTHKLADYYHMTHSFEA VQGAVRIYRTPFCRVPPSLASISGSKETENNTSSAAPPVLLPKKIMRRGEEGGSGPAS TSASKATSEVGSDGKEKDKSNTKEKLRTREQREEAYNKARQRIFGTSEKTGETTPETD DANGTSRASSVSGKDKSNLGKRGKTGKQRRDDSDSFDSRSQYTPYYNTHHYIGPQGAG SWQGPYGPTANGPYGGPVQLPYHGAMQQQFPQGGQGYPQMMQPAQGNGYAQYGPMTTV STPSDPLCAKLTASSQYPPQPHQPVQPRYSHAGGPMQPYGSPVQNAQPQQPWTQPAYN PAPQPPPGQSPYQPQPSRPVQTPSQQGIPYAFGQLPVNVNPNDPKSQHPIPGSYNRHA FNPKTQSFVPATGMGPGHSSGPMPHMMGPHGSPQIGTQHPSYPAYTPNGPPQPYMGAP VGYGMSRQSSTNSLYHPQGTPPQHHLAQNPGAHLSQMPHQMTHPLPNKGPQPMSNNHP HFTSLPNYGNPATLPQKPPTGI PFICI_06024 MSSTNASLGAAADDRKARLAKLKNLKRKQPGDEVAPPESTRSPS PPAEAASALVEATTPSELDVTRLHLSGRNYDAETRGPKLGFEQAPTLNMEGQTLEKQA AEVEAEVKRKAVEEAAEADKGIDLFKLQPKKPNWDLKRDLDKKLEVLNVRTDNAIARL VRERIANSRKAEKEKAVTNSNGEGEAVGLDGVALVEAMRVREREDEEEERREKEAEEL A PFICI_06025 MRLPYTCRPCLARFRLFTLQNTRSQAALHTAIVRDPDVIFPKRL DNDGSSTADGRQIESNQEAAHNKDKLLRKTDERVLNSPTVLRTRLLKTDYDHKASQRY LSAVFGLPAPAARESASQLRRLLLARPHENPERLYAEYQAWKLEFSKMLRKSAVTSND TATSHLAIWAVLADGSTPSVATMKASWAGLDPERRQDLWPKMIQSLMDTDSNLLSTFL QATYDPAWSPFYVVEDSIRLLVLRPKIADTHHDGLVDLALFLMKSDPTGFIEINQQAI GSIVARTHQVDKVEELYHYMRSHGKDLSAPTSLHFASKFSKSNQHKGLAADILCSITK SGSLDINSAAGSSVCTSLLHLEKDSEIPQEAAAPDELFRSLLETGLDPNLLNITALMR NFCVRGHPDTAWTVFNLLLEYGIEPDQHVYATLLHGAKQDLNIDLIRRIMASIHSHNV WNATMLNDFLDILLRDGESIPERRRRQKKDMSSFRPMLQVYAKFFQLEPLQKLCSFPL EDYLVWEGPAPGKSTPIRDIASALLPLPEGSLLEPDCLTLSLMLAAALRGCPRFYPPK LRNGVASLKEQMNHFNKLVECKDSTALAILQEQGTWIYDAFLRAILQFQQCLHPAVQL VQTMMERSAIEQTRYGKNRRHPRPSVHTWTVLINGFKNHRQPGIAASMVRVMLKQGEV RPNIVTWNTLISAFARLNDADGAVRTIRYLEYSGLKPDQDTINAIGSMSSRARKQALK LMDAPRDELVSPDDDLVQLLASSSGHTSANYSDPIVKDALSLSKRRVQQAPRSRVRED ASPTSPDVQTLHKDEFPGPLDASTEPYGAPSVYDE PFICI_06026 MDGQQYHQSVFRAQPTDGTQRKIQKRNRPPVSCLLCRTRKVKCD RQQPCERCVKSGEAAFCEYAPRAARKPRSEGRPSSDNRPKHEAMSRPALQVRLQKLEE MVSGMVAHNTQLRENPLDTPSSTDQRTDAESRSDLSTPPSIIGANHTGILTTQSEHTF VGPTHWSSILESISDIRSLIEAETDETLSPSPPASHSHEIDVMFGPQPGITIEQAVAR LPPKNKMDQLVLMYFRHRFTAAPYIHTSKFQREYDGFWTDPSSVSLLWISVLSSILWS AASLSRMKGEDLSKDCEAVHEDNLAKLAVECLVAGDYLAAKPYSIEALLLHAFTDLQK NRECPSQLWAKFGLLVRLAQRMGYHRDPKYLPNISPFEGELRRRAWFFIEVFDCIFSF QLGMPPSIREDECDTESPGNLYDLDFDENCIMLPPPRPPTEPTSTLYLCIKSKLCRKL RRVIKISMSITPTPHDEVMRLHDEIERYHDYIPQPLKIRPIRSYSFTDHTHDIVHRLT LEMMYLKSLCVLHRKYLNSEKDDHRYDISRRTSVNAAIRILDLHAEYEEECRPGGRLY EDRYMLASLSLHDFLIASMIICLDLSENAPSSQEDRARKLRALGTSYKIWSENKTVSR EAAHATRVVGAILRKVSMQEPPRTPLPPPQPEVRGGSLHVSSLLNNDNQLPPLSALAP TDQSQLANVMDLSLDFLDAVPLDNVLSDIGQYDWTMIDQYLLDKQE PFICI_06027 MASSRSLIVNSISSPLDLKIENRDVPKAKPGTAVVQVLNAVIGP QTGFALAHPMPNFQFPVPGIYGHSCIGRIVSVGVDSTSLKEGQLVLVDSHVTSRDDPN VEMLIGLMDGGTEKSKKLANDAWRDGCWTTHAVVPLENATPLDEETLVKQHGYELDEL NYLARFAVAYGGVSALDIKAGETVIVGPATGGFGGAAVEVAAARGARVIAFGRNKDAL AKIKSLVARVETVVLTGDLDKDTAAFSAFGPADAYIDFTPFQAQDAPHIGAGIKALRR RGRMVLMGGVSTISLPYWFIMLNSIEIKGRWMYSRKEIREVVKMVETGVLKLGKTAGH EVAGKFSLEDWKNAFEAAGKATSWGQSVVFTP PFICI_06028 MSTFTALNGNDSQTIDKRSGSPVSRPAESEERGATATNPERRTS AEATSNPRQHWTGSGTERTTYQANDYALYEGNHKRKRSLSTEPRREGPGSPDERHPSS HHPDSREAFGAHLRDRDHRAYGKDSRDESSSWYSQSQSQRDGRSAYESQSQAVSISTQ AEDQSGEGYRGSGGAEPQDYSPTSPDDGDDSAYYGGPYDADHRRAGAVQSDPKKRKRN FSNRTKTGCLTCRKRKKKCDELKPECNNCIRGGFICQGYPNQRGYQKMETKSTAVPLE SKDPSYVPPGAYGMPQSQSTYVNQLQPPAKRDAPPPFRGPSLRIDPPQGRVLHSEDEQ TASTIPTASVFSPDNKLSAVSSYTAPAANAFPTPISAISSATGFGESRGMQKEYQRIP PLHDTSRTEPETPHTSNTLPQINMLHPARTSSPARQPLPATSSVQATAQLALSHNHFV AANRPPTQKELMLRGESYKPFDKELVLERERCSAACWRFNNSTNPNNGVSATERARLF REILMPKDPVHISPAQTSPVTNHGRVGAECVVEAPFTCDYGYNISIGDNVFIGRNCTV LDARSVTIGKNVYIGPNVSLFTATLSTDPGQREGSKSLQYGKPIVIEDDAWIGGGVII LPGRRIGKGSTVAAGSVVTQDVPNFVISSGNPNKTKRGVTSGTL PFICI_06029 MPKSQAPIPVVDFGAFSTSDPAARSRIAAELTSACRHVGFVYVR NHGIPAALLEEAFSWSQRLFDLPREQKMLAPHPPGPDVHRGYSWPGLEKVSQYIHAAG DGNDAEAQRVGKELRKVEDCKESYEIGSETFARQPNVWLPENVLPGFRTFMTSFYWRC FETGQQLLRALAIGLGIDDEDFFLKFHSGENAQLRLLHYPPIETERLESNAVARMPAH SDWGTITMLFQDECGGLQVEDPNHPGHFVDVIPLEGTLVMNVGDLLMRWSNDYLRSTL HRVTVPRKTASGIEDGKSHAMMRARYSIPYFVSPDSAAVIECLPQCAGEPHPPRYEPI VQDDYQRMRAKTQYAS PFICI_06030 MMAADATSTTEVEDLLGKASDTIGSLLKLVSLIRQITTQDRFAH APEHEFEHLLDSSDINHVKERFPKLDKPESQWLLRKLGRAIAARRQILQHYQEHKQQT GVVHPKTTHGPSEMVAPEVAIEDVVAASGKLLYTPIMTSSLNDAFSLPLLKDIKQIHQ DEDALSAPFVLLSSRSSPNGPGTAMPTMI PFICI_06031 MSRFISALAVALAATSSLAAEIPTNGLKEIPALGLGTWLSDRDK VDHAVEYALDNGYNHIDAAWIYRNEDQTGRGIAASELSRKDIWITSKLWNSFHRPSEV EAAIKTSISRLGVDYLDLYLIHWPVAFVPDEGTKLDKKTSIIDTWRALEDLVRANLTR HIGISNFAKSDVEEVLAVCEICPYAHEFETHPYLQQQDFIDWHAEVGVKVIAYSPLAN TNPIYRRDAPPLLEDPYWKELAARKNATVAQAAIAWGFQRGTVVIPKSSHEKYIDENR DAAGIRFSKDEMADIAKHDRKIRMSNPSKNWGVQLFADLDDHLELEDETVGEL PFICI_06032 MQSFFVLSLLPLLALAAPSPAELGLEKRSITCLKVGATATATWT NSAGKTCKWTGVVGSNFGTNSVNSGDYSCNGRCGAGCTGAALGNAYTQDCFSHDICSW FNNASGGSSDANCGAAYDAAVDDTVGGVLAGCGQTNPSNSAAAPTTSPSCS PFICI_06033 MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCGR RFTIKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPGTKASNVIHVVDFGMAK QYRDPKSKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTPIKDLCEGFPEEFNKYLTYVRNLGFEDTPDYDYLR ELFTQSLKNTGEVEDGEYDWMKISKDSSKGWDSMHKSGAYHNPNARPGPSQMELHGTT RNGASTPHLTRDRLNAAQPPPPSPAKAMDKRRPNAPGALQAPRGSAVGGLRDMATPTG STQAQFQNSNQNLPPRMATQTSNAGAQTAGGSRPTEPQPTGFQKFMKVLCCG PFICI_06034 MRAILPLLSLGTVAQALYFFIDGTTPKCFFEDLPKDTLVVGHYD AQEFDENTQTWSKHDGISIYISVDEVFDNDHRVVSQRGSSAGRFTFSAADAGEHRICF TPSSSSGRQGWLSALHPNGGIKLSLDLAIGETSEIESTDKGKMEDISQRVRDLNARLQ DIRREQVFQREREAEFRDQSETTNARVVRWMLIQVVVLGATCAWQLSHLRSFFIKQKL T PFICI_06035 MASHDVRDVLNLPADGAGPRPTKKQRTTAPRPNLKGLAREVQNL GGDNPIAIVPQISSFKKRRFGSRKPAVKWEMRSFKNSARDDPTLILRHWRRKDETSRP QPEQANGQNGEDKMELDQKDEEFEDSSFAKFNVKVHIPEYSDDQYNSSLVSETWTKEE TDYLLETVRDFDLRWELIWDRYEYEPKVAEPTNGDEPSSTSTAMVQARKPRTMEELKA RYYEVAAKMMAVTKPVQYMNADEFALHKIMTNFDSTAETRRKKFAENQLSRSKEEARE EESLLIEVKRIMARQEKFNSERRELYNRLDYPQTETTQDLSAFKTSNGLQTLLQNLMS VDKSKKRKSLMGTDGQTTPASAGPSAHPGSTPVADPRRESVAASTTSGHRDSVSAAEG RPEKPTKKGAAAQPQERRKLTEQEEQIYGVTTTADRLSSGPTFRYERINKILTTKSNA QHQRITNTLAELDVPARLNMPTKDVVEQMERLLANVQTLLDLRKVSDKLDGELKLEQA KKAERDKLNGVTAEPKAAEESSNAPASNAKEDPKADAAAKTGDAAAGTTSSTDEAAKP DQPALNGDAQGQVDSTTNKENEDKSAEIKQEATEDKTNRPSSSGGHKRSASEISAASD QSAKRQKK PFICI_06036 MNTNEALSIYQQFHSQGPEGFKTAAQLLADYITTATDTGNAIYF AQEDVLTFSYKSPEAMDNALRIYDQMADLLPPDFKNHYGTGARAAQRGLAMYFEQQIR SINPFVSPEDVGEAVVERDWDFDGPEYSNVTFIRAEVTGQLEKVIQKIVKLRDERSET VIKWAVEGRAHALGVAKAGRGHQAHLPMHFSGLLNPAGMGHGYMSPWSKADFIAGCVG LRGSAKTYLEECSEENRQELLKSWIDGLTEFLLEGDQSADDKHRKFRDGDFHIKYHAA ENWKEAGVKQPWSWVSPVATAQACLLVVIEADKFVGCDIIDILAMDTAQSRDLATKYY SDAKFRAGYEQRPRVLDDGAGRENPRVEAS PFICI_06037 MKANTIYSLALAFAITPALSAVIGNNVTPRDNLPKRLINDHHEF PDKVKCPKTDAGDEFEFKQDQMEKTADEWKDIINDKQRIGGEKQGGYPARYALGSPNG NANAQAFWANTQKMQFSDDCLKGWMWEVPLLDSGNVWSTDGNNGDAGPYRLYFLAKDG ELTYCGSAIHASNDPTKGSEFERCEADD PFICI_06038 MFAFQILSDLHLERPEDFEHLTITPKAPNLALLGNIGRLVQMAR YYFNFLTTQLLQFKTVFLVLGNYEVHGSKWSTVKDAIDTYRLLVERKRECGQELGQFV LLDRKAVHMNAGEDRLTVLGCTLFSKTPHDGDCFLDFDMTTDWDVTKHNE PFICI_06039 MAQVGGYIDPKFQGVRDAFEKHIKSAEENGASLSVNLDGQDVVS IYGGYADAARTQPWKENTIVNMFSCTKIVSALAMLKLVDQGRVSVNDKVAKYWPEFAA NGKENIEIRHILSHSSGVAGWETPLTMEGLVDLKQRTEELAKQAPFWEPGTMSAYHCW VYGHLIGEVVRRVTGSSLTEFVAKELAEPFGADIQIGCKEEDWGRTAELTPPPALNVG PMPPDAMPVKILNPYPDALFANSPAWRRAEIGAANGHGNAQGCARLFSNVTLAGNGGK LLSKSTVDTIFQEQTRGADGFNGLNIRWGIGLGLRGDGETSLDEFLPPGRVCFWGGWG GSLCVMDLDRKITICYVMNKMRLEAPVTPLVKEYIKAAYKSIGVEW PFICI_06040 MSTARSIASSSGSSSMRTAERKLNAPPKFVTEAQQTLLYFRAVD ADDPESILGDIYAKQLINKIPLDIVDTMGNDLRYVKFWCLRAKKIDWWVTNFITENPV CTVVNLSCGLDTRNQRLQPPASVRWFDVDHPEVMEFRRRVISYPSGDYRTTAVDISDD DTSWIYAFPKDRPTLVVAESNMFYLKPEIAKGIFYTIADHFTQGQIAFDVLGSMCATL INMKMAKAQKNTGMKILWPVDDPRSVVKIHPKLRVVDEMRYSDDMPPWFGEFKTKLLK LLPAYRNLGRVILLSVSDENPDMQERPSTAYLGDSSSSYTTSSGRGFSSMSLSRSSTF TNMTEPH PFICI_06041 MSNQYYPPPPGASASTASQKSYPPPPTSPPANQSKFYPPPPGTP GFAPPQGQSYPTPPQQPSYQSPSPHQQQQQRNYPPPPGQQSPPPNQTGTPVNYPQPPS AAQHANLPYRDAGAATPPSRPPGYDQASPGAPEGYPQEKGGHSGQGSVDLSRHPSMLS QLQAQQQQHPSPGESLGAVAGPSAGGHMQGAYSATVDDVGTFNGGSYRISHRDCNTII TIQLAMGCPLDAKPGVLIAMSPTITLKGEYKFSMKKLVAGGEVGHSTFIGPGELLLAP SMLGDITTIRLTGSESWSVSKDAYVCSTQGVTRDYKRQGLGKAMFSGEGLWVHKIAGV GLMWITSFGAIIRKDLADGEKYIVDNGHLVAWNVKYIMERVASGGIMAGFASGEGLVC KFTGPGTVFLQTRNPRAFSAYMTGNAAPP PFICI_06042 MATPMRHAPSQQGRTPSQMPGAAPTPPVSTPFSNSLAAFSPSQG SKTSPQAFKKSPATSHHLKNASDGPGAVNFDSPSATAALGALGIHDLGLDNISVGGMG MGRSDEDDRKRRMNTIVAMVKAVGTGRVSNEGLERLARSIGLECLWEDNIAGGPKSKT LFIAGTGLTLEIVITNHIVENVHLTFPECAPGVQEHVGRAADVLLRDLKLQPGEWALT KTLEKFSPNLERIAAPDRLSVLPTLNCYDAIDGIYQSLLKIFNWDVAKLKEDPKLQGR SDDYIKVAALCSRHGCPQMHVRDRIGLSLDYWKEWRRFPTTTIETTEKEGPKTWSIMI ECAPKNNLAFMPIRVSHQWISDSIEKDATAEDMVLSNGGPILDWQEPENILLPAGAGE KPEGSIGPEPRLPDVIFMAVFDPPLIVSSGVAAQIYNIAGLQQPGVSSSTFDALVFPI PQGVAYDPTESRIITHTQPIMLSGTSKSGHKIRLHRNTLNTDRAVYGELLTRVPFQHP RQLLEMLPLLRQYAFLSSLLNNSFKTNEHPDVKPEDIVSKETTTANDEFTAFMKGSDK STDADPFPIDIALTAHPVPRLRVVFPFKGRTANITLEIQLGGKVHVISDNIFSSDVDG IQKGDDPSSWNGPGNRLSAEQYGEMLEMTDHIGKWVERIKYKFNDQ PFICI_06043 MSSGASSRRSESFRPRPNSLSKKNELESHRELSETTNRPRVFTA KFKKDNLASQYTNMAAYLPSPYHQSDQFSKDGPRKALLSSDWRQRPSGALHQVNNVEN YDFEPQPYQVEKIDSNMHGKHLQDQDSTSAKENSPKSASELEIISQGPSKTSHDNSKR NDRDRGDGKDPRKSSNKPRDRGSPGLVLGPKYLTKLERLYGPTSHGDTNTLSSAISRA HHTDATELFSGTHNNFGLALVSKADFSHCPYPPSGLTMKPMIQLQQVASLSGLRSIPW SNLDRRRYNEYMANQVLLANTTRQRTASIDSRLKTGQSNDPCAESWKGESAEDKRFKN MLAKLKAGKAQRMEDSQASHVTHGPEHASCRSSSSTGKSSRKDSSSASNNLNPRAPSF FSMPQNQKPATYHGRSHTTNPRPELSALFDGPSSESTTDVLFASPRRRTSSLLGSNHV SDSLLSLAEKIKRAAQDSQQIEQLTGAPPTDAQVQAIMRRLGIKSLNSHIKPDVQLTS PADFESGDQAIPLDRQPSLIQGWSQRAGVGFHPSSVSSTTTTGGSQMSSLPNFGLSHS QAINLLPPLPVDCPVVNGRVAGHIPGSLPPQIMPQNNGSYQQSRPSMKEIMEGIETEV RANPFAGCPEASKLLGPSFIHLPGLPPTVIGPKPVMKPKGPPRPHDPL PFICI_06044 MADPFVESLMAWKPFRFFVGPDKKEFTMHADLVANMSKPLNALV NGAMKEAREGEAYLPEVDEATFALFLRYAYKGDYEVAGLELSDRAETCLDCEQDLIRC NCDYCNSCCRMLCYCGIKETQSLFRDRLYGHALCDQEITTLKKFADGAFLTYQMLEFR TNNSDETFSEVLLAHARLYAMADYYDLRSLATLSKNKIHQTLALLGLQDDFWSGESTC VNAVCNLVDYVCRNTVDKKGSPDLLRALLYNYCAMNIRFLSEKERFLRLLQERGDFSA GIICEIAKRKVSCSCTD PFICI_06045 MVGPNEKEFMIHSSLLASLSKPLDSLINGPLKAHNGVTHLPETD EATFDRFCQFAYRGDYEVAELNFTIPVTCRDPEHKVCNSCKKNTKRSNKGSRQSYGSD GYCNVCDCHRVICVCRKGALLNHGTDEPKVQALWDAFIQESGISVPMLQSLEIGELAG RCWSDVLLAHARVYVLADYNMIDALAELAKKKLHQALILFELKEDNVDDITSLVDYVC ENTVDKAEGPDRLRALLYRYCAFNIQQLSPNEKFRALLDQRGDLGSAIIQQLLERIS PFICI_06046 MSQFAQGESPLGEFHYFPLLPKELRDMVWNFAAQSQLSAPSMHF FQLQTVLEENRTLEGRDNVEKCILTVPKHSGFADGLGFRHNPSSYLNDLAVWDACCES RHLLEAGRARAANNDKSDGRIMTVRNKLPDEDLPWKKGLSGWQTPGQTCHCAYGLHQP SEIDNDGDDNGSSSTHRNLLINLEQDVLCLTLDLPNLKAFMRDGNELLEIPELRGLKD GYPVRKIALQYHPDWIGGRDGIEPQQEDGLRDVLDVLSQYPYFPLLECLYLIDYRITP RDGTRALSSSTVESQPETKVFEARGMSFHEVAKDDQDWCLPDDRPFTLLAAMAETNAM GRGIWTEPVEEAQGEEESQEFRKIRDWAGKWGLKWEQKCQFKVLACLPA PFICI_06047 MASATRSPSPTSDASPPGSPQLSPRSKLRAELAALDESSDEDTA PIDRKALFKSTKDIITKADEPVQKDITNAKQSADDDDEDDDDDDDDEIVRPRGRLAAR MQAGNSKIQTSAPAPAPVPETERIQSQQDNIVDANSEDEETDAVQRRRRIRSARESTP EVRASREASPASPGLFVTPNKSQHSEAGSDSEDGLTSNLAQNARFQALVARKREERLA REAEEKKKREERIAQRVEVSEEDDDDVSDISDDDGGRKLTQEVSSRPAVRKASRKALE EMNRETQRLSRSMQLAHEAKTKKKISKATLFERFNFKLPAASTHTELAPAASSSRPGS PASVRHTDTEAAEKDTPPSSPPVVLKETTTNNHTPELSGAPEQQMVVLEKEDESELPS LKDVVVEAKKLDKGKGIATAADLESPKKKTPVQLKRQVRVKFLTVQTNQVIIDEDDEL QIAKPKKQSKIDSLFSRVPAQQNKEARSVQVFRQLAHLSSPPQDARKRTQKPSMTVGE LQLTLQQRARAQAKSERDRRMEMLKAKGIHVQTEEEREKEREQVEDIVARARLEAEEI MAREREDAKKAKKERKANGEGDHLDWDDSDDDSFAGSEAGELQPIEDGEIDFSGSGED EDEDEDEEVDDEEEPAGDSIFDEAAEEADESGDDDTTAEAAVLDDDDETDNVRPTASR SRRGRKNVQVLSDDEEELSIQATPKPRKVLFKSPTGQTSESPNAPTSVLRSATKTFIP GLPVPAAGPAGLGLTQIFAGTMDDSQAGSMPLSAGSPIEFRPTFENFPDSQFSATAGE SQPAEGRIVDSQVETQGVESQTQGVQLHFEQSQMHGFDSLMQQDGTQASDMLELTQDG GFGDYTPLKNRFVDPPQGTVDTVILDGTPKASVDAEAVIMDSPLVQRRGKLRRRVEVS LSESTLPPTEVPMPPSAGTPSQALTADIPSPSKDESSSAFRLMAKAARRRKRAQEKFN KKKSKAREMVHGEAEESEDEYAGLGGADGEDSSDEDEDDLAELRKQMIDDESKGLTEE EEGKLAAFYADRERAADSAQVDKLFKDITTGMLRKRRRGGGGGNGDFDLSDSDDGGEA RRRMKRKQFAKMQKALFADERIGKIAENPRNAAFMKSIEDWGSDEDMGFDEPYFEPEE ESQNTNETGAKQAVGESIPDSQPSATAAASANTGRKRTRNEPSDPGMRPPPNLRRVRD GIKPASIADVRRSLSSLLGEPNMSSDSIIPATDPNGSDSEGEQEEAPQKSGRGNKENR RPGSVAVVDRISLKRSGSSNLSTSSKLAFAAPASSSGSGAFKVPALLRRATTNSLMSQ TSNSSTSSTGVTTGKGATGLGGMASSAFSEEGKLKKTAGKRSGVNFFARENERREKLK ESERRREEKKWKGAKGRSEAVGGLFGGGQFE PFICI_06048 MPDIDPAALSRPSISISTPVLKNITVSTPAKPKTSQIIPPRIDL EPLYAALKSAIGSEQWTVYKESTTQFILGRLNQTEYSDRIDPIIASPNGEKEHLHNQL LAAIYGNLTREMPDVGLAPWVSANDKPTIGVGAKPVTGDAAERRLKGEVMQLPTRDRR RIKELVHNDFDPYESMTNMFSEHHRGKPTRAPETLPSATGGLNKMNWDLEIKKRFQQP LAVESGEFPDVSMVEGRMLPFCYEHGLVNGHTPEAAQLLTVATETFVKEMLTAIFTRT RSNGAGDSGSAGFGAGAGWIQTKKYQRQLRREEAALMRGELSKDKSGLLPIEAKAASE RPPLNMADLRLALEMGDCGIASIPIVTASVFYNYREGELEHWDDYSYVNDQKPQDMDE GKTAEPDTKLLTNGDVHADPMDIDDQWTWEGTDDATIEQLDSVLDSCLTSGF PFICI_06049 MRIYRAPFLHFGVPLFTIELTIYADQILPPPPYAQVPQLRRRRC TFSTYHQEFKPLAANSSAVILRRHRPTIQYNNLSLRPGPHPGESSAHRHLGLSDAFSS FLDRNYDRIASGHTIHGFMTNNCTARGIYTPLNCTPPSDDSSPGDSLTHRDIT PFICI_06050 MSAASSQDKEKQARKISYGVDRLIAYVAPPPKDIPKEQYQSWAD SHAGNIHTFLQDNASPTVASDVNHASDLIKRKLIATSPAQALRFSNLYSRLLALPVLN QKWAILSLLNNLADSPNQDEDDPVAYQEYIQEEREARQEAKLEKRRQSRAEASRHPAE LEAVRSPREAALKITTDTDTFPTAGGLKRVVERARGLRDQPQEEYESNQDNLISASGS RPASSMGEHKRKATMDRMPQSPSKPRDVVIKSSQLSDNYSSIDPTEPVLLRDLPYTLQ GLSSTSLPFGKDYTLKLPRTLPTPIVSILNTLAEPSLLYRTLEDFVKTPARGLLNQSL RAAISNELRAYLTLIATLEGQIRLALATIDDNAPRSGIGKAGVTLKRCVVWTREATMG LRLMSLIAEESKSKKGGQLISLIHSFSSLHGDPVVAAFAERLLTPVTRPFYDVLRHWI YDGELSDPFQEFFVREQSPEQMPKGKSGSTNVWEDKYVVQESMIPSIMSADFAEKIFL IGKSLNFIRHSCGDAHWVESYSKESSKELRYGDTETLESWIDDAYKTTMRRLLHLMTQ KFHLFDHLRALKSYILLGQGDFIALLMESLSGNLDRPAGAQYRHTLTAQLEHAIRGSN AQYDSPEVLKRLDARMLQLTHGDIGWDCFTLEYKIDAPCDVVVSDWGARQYLKVFNFL WRVKRVEFALSSSWRKVTTGSRGVLQTRHRTVQQTWKTTRGILAEMVHFVGQLQYYIL FEVIESSWTELQRRLTKEDCTLDDIIHAHTKYLESITHKGLLGVRRRRFGGGEKDEDE NSYMIQLSELLRTMLAYRDSVDGLYSWSVSDFTQRQEADFQNNAVSSHPNVTTRPSRA NPHPSEEDDEQAVPGGDGSEFPALQERLKHLGDRFRNQLQILLGDLAYQSDVDLRFLG VAMNFNDVYQPIRKKAKGISVSTTRTAAGAVGGGGGQAGLMDSSKISK PFICI_06051 MSFGNSGGGTLTLPSPTHPNHIDVQAAVARSLRRSISRSPSKFH LARTSSQSSDASMSSIPNSPSPASPSLRRMASAQFGAFSSQNHAPTTQSVLAQPPLAT PFRPSVKLSLRSAKSSAKLTSSGSSTSSKSSTRSRTSPRSPTKRALNPSSPCAGNSTP SSSDDSPPSGQENISCFRARSPGSRRRSFERTKSRHSMHLDMSGASLQAIARSTDSNL PSASASPLKRSDATMDLDQATFGSPKAKRRSYGPASFGADLNFLEPGHVSPGFDIHDE TSREYDWTPPSSKESDESFASPPFASLMLPRRTTSLRQSTLQQRQVKKERTSWGRRSG AQHLSQLSNEAATPVRTRPRVASDQFQPLARESIFSAPSPVPPTSAQAVNPIQNQPHP LSRTMTASSSNSSIPDESPTHFPVIAQRPRAPMNWSKSLPIGALRPNPDDETPMVGSI STPAYQHAKPFMGAFASTGLVSKMRNPELEPLVSRGAPVPDTPCKGRVSPFHTFPPFL PSNVKSRSRNARSTLGAFGGPSTPFASNDNRSPAPNSFGSQNGRPNLFTSFGTRHGRS NSTLSLYSDDGRSPIDLNGDTPMATAEDVPPTPTRPAQFLTQISDGLDLMINDSPTAN RHITANVSANLDSSWHQDSAASRKSRLQAPRDNGKLEHASSTQGVSNSTVPMIRGPAV SFTVPLSSFCKNRARRGEMPTPAPLMMRTVSSTGISASSKKVSLTETTVCTASPLDRL EFAESTTPRTPHDSEAVAEASRLSKSTPTAGFLFPSSSGKKSQFPPATPTARQSGSLL FPDLSAITPTHGNGARHIDASLTSRFANVEFIGEGEFSEVFKVAEKVKSAAVPAHGLF STPTHRSPTSPLSEKVYAVKKLKLPLKGTNDRAMRMREVSALEALRGCEHVLQLTNSW EEVNNLYIQTEYCEEGSLDVFLAQVGIKGKLDDFRIWKIMLELSQGLQHIHDAGFVHL DLKPSNIFIDFEGTLKIGDFGMASALPAVKGPDFEGDREYLAPEVLRGEIDKPADVFS LGLIMVEVAANVKLPDNGATWVALRVGDFTDIGILTQADNSVVRDATGIPIEDTERSV SDTPEGKPPSSIITPRTGLRQSGDIFGLTRKSELMQPPPFMQNPDDDNSLDQVVRWML HPDPQQRPTASAILKLNALEWVASRCRAGATVFEGNWGPADELSDQSSPDTEMTDV PFICI_06052 MPSKATQASLSDVRGPDSKTLRDSTDFPLDSLGTPADIERDAGI DDGKNPDKLDWDGPDDPENPQNWPAYKRHMQVILVALITLVNNLAMTMFAPGSAELMA EFHSTSETIGSLTVSIYVLGFVVGSSVLAPLSEMYGRFPIYSTSVFLYAAFTIGCAFA TNIGSFIAFRLLAGCAGSCTLVLCGGTLADVIAKENQGRWMSLFVLGPLAGPVVGPIA GGFVVQSIGWRWIFRIILIAYGIIMVLCIIFLRETYGPVILRRRAVRRGLDIKSSQSG TSLSKFANDILRPARLLIFSPIVLLLSLYAAFAFGLQFILFTTFTDVFMNQYHWSVGI SGLAYIGLGIGMFGAVIVHTVFAERIVRTRAAKNGTSKPEDRLPLMAYMAPALPIGMF WYGWSVDKNLHWIVPELATAVVGVGIVFIMMPQMVYLVQVFGAEAGASALAANTFLRY IAGAFLPLAGPPMYERLGLGWGNSLLGFLGLAFIPLPWILSIYGERFRLSGKGRM PFICI_06053 MSSVDPAEHQWNCLNCKRRKVRCDRHYPCANCVKGLRECVFPTS GRVFRRPEPQRDLARPKAKQAELMDRIRRLEKVVVSLNTELESRPAPSSEGTPAPSSS QGMTGDSANSEADGFAASPLHLDSRPRDDSISSFSPPSGLGLTPPQSQQQQATPSDEK GSIYVGDHFWAALRREIRIIRETFDELAPEDTDNDSTYESNLLPPAKSTFAVNPCFVF GNSKGDGAESNMENLDKLRPLPAEMFFIWQTFVDLVSPFVHILHVPTVGKAIHGCRGR IDALDSSMQPLMFAISMAAVNSLTVEEVRDAFNRDKGDFLAQLRLGTEKALGQADLLN TTSLPVVQAFILYLFTLRRCESARYIWCLVGLLVRIAVSIGLHRDGSHFPNLSPFEVE IRRRIWWHICCTEVRLGDGQVPEMGISERNFDTLDPTNVDDADIEPGMKNPPVPRQGF TDTTITLIACEKWRLTRTMQSVTSKLSSGQGQGDSEANIQEKLEKLHSFKDRISAERW HWQLDQPIQLALSMLSKVHCNSWELMILHHKRQSSFQEDRPDEKSFTLALAIIEDFFE FQTNEATQRWAWLVQGNVYWQPLAIVLARICSCPWDSTSEHAWSLVTRSLEIVPDIVH TDPLWRTLQQLVTRANRHRMQQLESQTQSHQQSVAEPQMEQDKMQIAESTRSAYEEQQ ATVEESANTAGPSVALSQLDGLSLQGLPATSTDAMAHKGLPGQDLPLWFSNGDLDQSY GDVGQMDVDNFVQSMDWGEWSEAFPI PFICI_06054 MYALFLTIALWFTLAIAQEPYPEEYNQGAGRYHYRPGAGNRFTR PHYPFLDKPPPGIYQPNFNTVTVTTTVTLTITSASTSTSTSDSTSTSSSTSTSTTPAS TNLVINGGFEALGGSLPPWIASTTGNTTTYQEFAGLTQPGSNSANAARAYVTNTGLSQ SAGAQIAQPIALVTGSTYTVSYEYSILDVQPDGNGEYLCRFISYYGGTVLQNTVVSSP SGFQTYTTSFVADGLANSLTIGITCPAGLGNVAIDNVSVVAAT PFICI_06055 MSVDKTVVLITGASSGIGLETVIALAKASPNYHVLLGSRSVEKG QKVVDEIVVEHPSIKDQIELIQIDVTNRNSIEAAKDQVETKFGKLDVLVNNAGIIVYR PDVDTLTSLREHFETNTFGTAICTEVFEPLLKKSSDPRLIHVSSDQGSITGRLDKSAK NYKIRGDTYRMSKAALNMLAACHKANYEDWCKVCSFNPGLCVTNLTGEAGRKMRIQYG ARDAKDAAYALVDTIMGKRDDDIAKFGKESGIIDLDGGILPW PFICI_06056 MVAYIFFNEKLVNYAEKYRGTQAVIMHELEAYADVTLEGDGAST WTIVLYSLSTASLFIMDDSEAIDVKANSCVAPDWKSMRFARTLFAGAKYRSYTKMIPA GLTPTPHRFLIPKRTASQRAATPKPLASGSQQFHATPRFSLHSTPREPPALQPSSTPA RPATFARQRQTEDINDVIDSSPPDVQPSKIFRDSIEVESIPSSSFAAAAASDHGFHVE ESDPEHGAPFPKRRRLSVSSVVEDEDEPTLPWTDPLDEIRAAQSDDEHLDNPDDFEST ANSDAPESPGVNVQQPTFQRAPRFKPVERPEGTTHDPLPDAFSPRRRGTKDVPGGLAA QVRDWLMDIESNTGSKRDGDFVARISVEEFRHGQSMVLVKGHIVPDDSSTTQHRATVP SLQVMLAGEGRLLDLARRNEVIVGALVAIAKPVWEINLGPEGRWAVACDWVVL PFICI_06057 MSNSTAPPEFTVFDLPPDSRGSVLVNVNLALIVISSTLLFTRLY VRGFMVKALGLDDLLATIAYLILTTQSTLEILAVGVGSGTHMDDVPPERIPTFFSYLV TLQLLFFWATCTVRLSIAAFYPRLSQDRNYLRCIYLVAFVIIAITLTAFFFELFECKH IPDLWDITAPGRQCLDKSKEAPMMWSHSAVGIIIDISLVILPIWVIYAKMKFSAKTVQ VILVFCIGIFAIITGIVRLIINVKTDFTTDTTFKMARVAPWTDIEGHLGLWTACFPAL QPLIRLASYKLGLRSTLSSTAKRSRTAGASGGAQKWLSSGSHHRSALRSHGYMSFSSG DDFKVEDTRSAVVVGGGTGTGINGVSATDLELRDLEAARILDRDGGEHTDPSRNVIFK RTDVKVQIVDANDHPDS PFICI_06058 MKFSNYLTGFVSLASFAAALPSSVSAPTDLFRRSAIEIRNALEA AQAKAVTLGDLFEAATDASAIPGIETAGADLVASINSAEEIAAASNELTLIEALGFAG TVTSLEGTIDDLVSVVEEKKPLVEEIDYVDELLAYLTELQAATNAFGVTILTKVPDAA KSIAQGYIDDLNETLAEVVAYYTS PFICI_06059 MQFAVSAVSAILLVLAQIDSAVAACRNPLVRREWRTLSNSEKQS YISAVKCLQKAPAQTQSTYAGVRSRYDDFMAEHINRTDFIHFVGFFQPWHRMFVAQYE SELRSVCGYTGAQPYWNWSLDAFSNDTFLAAPVFDPVNGFGGNGPYIDSSNDSSVRLH IPGKTGGGCILDGPFKNMTVNMGPGYNTSYSPHCLTRDLAPSLAVQKLNITAQLYPLL APTFAEFDVRVQGGIEVEALTYHGGGHLSVGGDLGEMGNVYSSPGDPLFYLHHANMDR IWYTWQKLDWQKRKSEIAGPDTQFAYPFNFFGDIEYNNVTLDYEMDFSPLGPNRKVSE AMDPQAGPLCYTYW PFICI_06060 MATFNTQLNVLERETLALLSRRGLALLDASRVLLQVDAVCEIAQ ECDVDGRIMARCERLQSFCTDVANAGRDRELSREAGLYYARGVEARLCKTIGRKGSEE QVLIGDAHQAQLARQERKSKVRFAEPVVVSSRDANASPTAAELRPVLHL PFICI_06061 MRSHILSGAFLPMATLAANSSSTPCKCFPGDACWPSQTQWDLLN TTVSGRLVATTPLGSPCHDPTYDAETCASLQDQWLYSGIHMSSSSSVMAPLFANQSCD PFTAEYVPCTLGNYVRYAVNATGPEDIIAAVEFAKEKNIRLVIRNTGHDYLGRSTGAG SLGVWTHYLKSIESLDWTDDGSYTGKALKVGAGVQGFEALAAAQQLGLVMVTGECPTV GLAGGYTQGGGHSALSTVFGLAADNTLSFDVVTAAGELVTASRTQNTDLYWALSGGGG GNYGVVVSLTVQAHPDTTISGVSLVISADANQTTDQIFDAVDAYHESVIDIVDSGVMS IYYFGTGFLQLPAVTAYNKTKDELEQIISPFVSRVESLGLAYTINYTEFSSYYDHYDH YWGPLPLGNIQVGTSLYGGRLILRDQIGGISQASRDLAAEGTTFIGVSTNVSRFGGDN AVLPQWRETIVHATLALPWNNSAPWSDDLAQQAHVTDVVVPIIETVTPGSGAYMNEAD WAQPDFQEVFFGSNYETLLQIKDKWDPSDLFYATAAVGSDAYTVTSDGRLCSVSQS PFICI_06062 MSISFDDLPGEVHELIFRSLDPVTLISFGQTNRQFRAVIKATKE LLAERLLVLECQEEFGGPVFHFDGRDNSQNPAWDHEQIDQIRWACTHCLKLLHHRNFD NHSLLRLCFRKPLPDWPAAQPVTSWEPMGKVASRAVLKSRVAAYRDSLHWGRTEFANG DNERYVCGYRRRHRRCNECRYQIGDLRSHIVIPPDGIGLEPYLAGANLGTATVPIVKS RRLFFNSSIHRYFPDLFTDLPCYSTLADKAPTFPIHRQDARDRAFTHYMVRCPGCATW KEFAAFRGGSHWPKWWPAHQHRWGTSWENWDGRNVDRSFIEGLRCHSCYAAVHGRQAL MTVLIEWYTYFLLGELHFLLPKFAMGWSCLYRATMDGPDVHTWYHVPARYKFDVLTEV MAGIPWEDPDDKREGIENVMLADRETLRTMNEKHKILKKHWGTWFVPNPQEHTLPDEF NDWIISWVDGYDSLEAKLLWLRGCREELLKRPSAILEWALNDKTAGDGLDIDAKAMWK NMAKTLSEMWGQPEIALL PFICI_06063 MHRTHHTTTTTTTRRGMFGRKHVVHHQKRKPTLGDKISGAMLKL KGSLTHRPGEKAAGTRRMRGTDGRGSHRSGRRRTGRYWSGEGNQPPLLKYTATKPA PFICI_06064 MDQEANLRKLANTEVVREILPTEYLPVLTNPPFVWIEGTFNSRD LGDIPRSPLRNNFVYRSGGLARLTEQGKEAVTALGIKRIFDLRSPEERGHAPDPDIEG VENTWIQSLRPDSAPDLTKFISGKGEAGYEDMYLEVIDVYQPAWRAVLEHVRDRPKDA LLVHCTAGRDRTGVISGLLLTLAGASPDVVSLDYMLSRIGTEPVRETLLQFALAGAKA ESVEQPGFQNLCELREESWNAFVKGVERNFGGFDKFVTGPLGFTEGDLAKIKANLIKA PFICI_06065 MELLRSQLGGDNSLWNFASATTTGLAGVVKLRNGLADYLFSETH CYESIRTHSLSEPLANCFTVSPSGIFTKVYHDPNVANGASKSHQGHVIPGLWDGHGHL LQYGEFLNSVDLFGSASFEDIIVRVDNYLDEHPDAGNADNWLRGTGWDQAAFGRMPTA DDLSVDERLKGLYIMLDRVDVHCIWVSQPVLDLLPDDLPDVPGGEIIRKPGLGVFCDN AMDMIMALWPKPDNKKKQKFLHSAMSKLNEVGLVGMADAGVSPSNAALFKELAGTKDW TLRVYAMLECDERNTFCPEHAKKHSREDGFLSIQSVKLFADGALGSWGSAMIEPYSDR PGTSGSLLINGTELARITKLWAEAGFQVNIHAIGDLANRYAIDAMTGALESICPDESL ASCQAQKRFRIEHSQIIHPDDQARIHAIGIIPSIQPTHATSDMAYAEKRLGKERTGSG AYRMRSLLDIPPILGSDFPVEPPDPFQGIYAAMTRRSPHTGRGTEDQPDGWYPEETLS LDQALRGFTEAPAYGAFLKGKAGVIQEGAFADWVVLDMPLEETDVEDLRAYRVRETWI AGKRVFRREEDESEVLNIADEL PFICI_06066 MNRHFFGPSKGLPLDCLSRRPEVYMRSGMPSWTQDWTAKIVDDE LFLRVIHVSYRKDDQMPRNTRPWRERQYFCAHNRAPKMRRTYKGPALLPWVEIRRIAG GPNGSEDSYRTVYFSSPGVLHSCTKCLTDFCISVEQITERKPAKNVVTAADASTTTTT ASSSGAAQVNHGTGVSKKPEFPMPGTWRITVTAYHQMGSCRTPNDPKWQALVLTERDK YYRDHQAYPPGCVRRKWEQAN PFICI_06067 MRFLCLPGAYASAETFQVQLGPCVAEVEEAGNAEFVWTQGTYSA TPPDGFKDFFGRGPHYRFFDYDGHQAFDILEKIRDFPEGANAEETMRQLMGDGASHTA KSVRNALQVLIDTIDADPQIEGILGYSEGATTAASLILEERRRLQETGRPRRIKCAVF FAGWPPLSLQDGKVQVLLADQSESVIDVPTLHVIGCNDPYILGAMALYNMCDEDSAEI FDHGKGHTVPRDRRTVHELGDAFRRVVSREYSWQSNLIEVKGLDIN PFICI_06068 MTSSPSNPATINGQAREQPQLMPIAIIGMSCRLSGDVSTLDDFW QMVSRMRCGWSEIPPERFSKDAYHHPNPAKKGAFNTTGGYFLNQDPALFDAPFFNITQ AEAESMDPQQRLLLECAYEAIENAGVPKEQIVGQRVGVFVGGAASDYRLGTLRDLEQT PMFDATGNHQSVMSGRISHYFDLRGPSFTVDTACSSSLYALHQAVQSIRSGESEQAIV AACHLNLQPGDWVSMSLSRLFSDEGKTYAFDNRAKSGFARGEGAGALILKPLDQALKD NDAIRSVIVNTGANQDGRTVGITSPSGAAQEQLMREVYDRAGIKPEDAGFVEAHGTGT KVGDPIEATAIHNVFGQGRTSRQPLYLGSVKSNFGHLENASGVISVIKAAMMLQKGFI LPNTNFACPNENIPLVQWNIKVPVAQRPWPSNKKYISVNNFGFGGSNAHCILAAPPIV EKPRQDVDSQKAEAQQHQRVFVLSSSDEASAKKTMEQLTIFLEQHPEVFQKGLMRNLA YTLCQRRSHPPWKVAVTAKSASELAEALASSDTKPARTSQVPKIAFVYTGQGAQWHAM GRELLGRYHIFSNVIQEADDCLKRLGADFSLLEELSRDKETSLVGRAHISQPICSAVQ LGLTELLKSWGVTPLAVTGHSSGEIGAAYAAGALSLDSAMAIAYFRGQAVVKMKQTYP DLKGSMMAVGAGPDELRPLVEQLRSGEAVVACENSPSSVTISGDEAAIDELAIEMEKR QLFNRKLRVDVAYHSPHMKLVADDYKRFIEDIVPQTGSPVQFYSSLHGHKIELSTLDA SYWVDNLTRPVRFSTSLTELCLNSAPDVIVEIGPHAALEGPVKQTLKQLGQQVKKTTY LSALVRNKNAITTVLELAAKLYSKGQALQFTQINLEEEEGDRPQLVSDLIPYPWSRQR YWSESRLSLQHRQKPFPRHDLLGSMADFSNDLAPTWRNVLRTDDIPWLKDHKMQSLVT FPFAGFVSMAVEAAAQRAKLRGVEFERFSLREIQVKKPLLMEDESAYEVVLSMSPFPE GTRSYSDDWDEFRIWSWEQGKGWMEHCRGSIAANKGGKANLISSAHTGIASRRFQVAD EACHEHVDVSAFYDELTAQGAIYGPTFRKLGSIRAGSGYSVAKFDVADTTATMPLEHQ TPYHVHPTLLDQVFQLSFPILGAGRCGMTTLYMPSAIQELHITTDTPAAVGTEIYAIA QGAPDLEAPRAVDFVVDALLRPDDDQAIISLVGLQMTPVKSESAISDKACKLCFKMQW DPVDETEGDSSPSTSPTSFEGSEGGFDSTGRSSLVASMDEQSLNVTSQVLKSCPQPLL VCNDSKDTLERSKDDANKAEQAINSLWASKSTRIVSCQAECNFATLLSETFCSKTGQD ALICSLDTLTDMENTHIIMCELEKPILSDLNQESFARIQKLLVSCAGVLWITMGAYLN ATNPTGNMAVGLTRTIRSETAAKIATLDLDPESELPIYAQAELVLETFDRVFHDDTVA DMEYAEQDGRLVVPRIMEDVEMNDFVHRELHSSSPYLQSFTHETRRLKMTMGTTGALD TLFFQDEEVYSLGKHEIEIRVEATGMNFKDVVIAMGQLPSPYIGIECAGTVSRIGSAV ERLAVGDRVCAMSEGAYSTFARCPATSAAKIPADMSFAAASSIPVVYCTAYYGLVELG RLCPGERVLIHAAAGGVGQAAMQLARMLGAEIYATVGSPEKKKFIMDNYGIPSDHIFS SRDTAFGAAIREATRGAGIDVVLNSLAGDFLRETWDCIAHFGRFIEIGKRDITSNTRL EMSKFKYNATFSSVDLTVLAKERPQQMGEIFTKVMDLFDSGVVHPIFPVTVFGISEVE KAFRLLQSGKTTGKLVVVPRPGDQVKATHLKNSSTSLFRGSATYVIIGGTGGLGRSMT RWMVGKGARNIVLLSRTGAVQSHVASLVEEMEQLSAKIAVKACDVACMDSVTEVVSSC TQELPPVAGVIHAGMVLRDVLFEKMTFEDYQTVIRSKVAGAWNMHNALAGKSLDFFIV ISSAAGIVGNRGQAAYAAANTFLDAFVRYRTRLGLAATALDLTAVEDVGYLADNAERK DDVLRNLGGQSMNEAEVLALVMAAVQGRMRGSCGNHCMTGLDLGEDSTAQSLPYFAAD AKFEHLRQDLPFAQASADSAAQVPIGVALSRAQSHEDALHIVGAGLASKLATILMVPA EDLDPETPITKYGLDSLNAIELRNWITKSLEANLQVLELLTGGSLTNLSSIIIKKRVA TKDGVIGSGHK PFICI_06069 MPAFVSTTLQVAIIMPSNSTIDLQHRKACQQCTKAKRKCDKQIP TCRRCIRKRAHCQYPTTRRYERKVKSPRQLRGASNDTAGPQLPVPIGEGATVERCQAE PAVVGSFTGCDDSDMAHHSLELLSPIPVLPVANPAPMSNLDVRSWFCGPDAWSMNHHI PATSSNLSLPSSAVNALALKQWVESIRGSLRYWIEFGHNIFIHKHLYLHIGLPSSLQD AWMALTAYHSKTKENEEITLTMVGDRAQNYILQQSLMDNITSTASTGDVWSHLVRVQT LFIYQFIRLFDGDIRQRALAEKQIPTLDAWSEQLWQQAQFDAELMTAQSIIGSGSIQD DGHDDSEEIAWNEWILSESVRRIRLLVNFMHGVYLTLRDGWVECNGAPKFTARKGLWD AVSSADWTVLLRNKGPLFVSLNQIDQLTIMAKADEVETFSFDLLSASVNHGKLDTWIR TL PFICI_06070 MSSQKIYLVTGANRGIGKGLVAAYISEPETVVIAAVRDPNHKTS QSLVLLPKATGSSLIIVKMDMQSSASISEAIDTLKTRHGIKNIDVVVSNAGIGEVTGR LVETPVSVIQRFIDVNTFGPLNLFKTCLPMLLESQSPKFCIISSLAGSNNSLNTMLPT APYNASKLMVTHFARWFAVEVPEVTTWSVHPGLVDTDMGITGVDAITKMQPEIGASLK CISTEESVAGLKTVIEGATKENTSGHFLNYDGAELPW PFICI_06071 MPDQQKYLNKLNGDHVLIIGGSSGIGHAIAEACLKHGCIVTISA SSALSAKNAHKRLKESYPSAANRITSLACNLGSTSDIEANIAKLFQHVSKSGLLDHII FTAGDQLAQMPIHDVTLSRIQHAGFGESSITLTSGVGSYKMGPEGAVAGAYAAGLHGM ARALAVDLQPVRVNVVAPGPTDTPLWRMPEQQKTALIEMLRTKLATGEIGSTENVAET YLGVLKDWNCTGAVLNTNGGYLLL PFICI_06072 MAPRSRPSAVDVDTSMSDVPEPVTQGDEMQVDETPDYSDSDTNP NTTASSVAGEPVDGRRKRSEANLLRRSIFGKKHDALGESKEDDSIRRFRYLLGLTDLF RHFIETNPNPRIREIMAEIDRQNDEAEKSKKKGSRQGGAATGRVRRTEAEEDAELLKD ERHGGSAETVFRESPSFIHGTMRDYQVAGLNWMISLHENGISGILADEMGLGKTLQTI SFLGYLRHIMDIKGPHLVAVPKSTLDNWKREFEKWTPEVNVLVLQGAKDERHALINER LVDEKFDVCITSYEMILREKSHLKKFAWEYIIIDEAHRIKNEESSLSQVIRMFNSRNR LLITGTPLQNNLHELWALLNFLLPDVFGDADAFDQWFSGQDQDQDTVVQQLHRVLRPF LLRRVKADVEKSLLPKKEVNLYLGMSDMQVMWYKKILEKDIDAVNGAGGKRESKTRLL NIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLVFNSGKMAIMDKLLARLQKQGSRVLIF SQMSRLLDILEDYCVFREFKYCRIDGGTAHEDRIAAIDEYNKPGSEKFVFLLTTRAGG LGINLTSADIVILYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFVTENAIEEKVLERA AQKLRLDQLVIQQGRAHPAAKGPAGKDELLGMIQHGAQNLFQTKGATGLAAKGSQLDD DDIDAILAKGENRTKELNTKYEKLGLDDLQKFTSESAYEWNGENFGTKKKGEVLSWIN PAKRERKEQSYSVDKFYREAIYGTSSKAEQKPKAPRAPKQVPVNDYQFYPARLRELQD RETAYYRKEIGYKVPLGDGDDDDLEERERQREEEQKEIDEATPLNEEEQEEKAKLSLQ GFSDWNRRDYQQFINGSAKYGKNNWEKIADEVDSKTPAEIKAYAKVFWQRYTEIAEHQ KSLSVIEAGEERRSKTEHQRKMLRKKMQQYRVPLQQMKINYSVSTTNKKVYNEEEDRF LLVLLDKFGLDTENLYERMRDEIHDSPLFRFDWFFLSRTPVELSRRCTTLLTTIVKEF EDVHPMKNGVNGKSKREVEDDEEDEDSVLSNQPSKKKSKAAKNKALDTVKGSKTNASS RASSVASSNSAPAAKAKAKGKKK PFICI_06073 MDGIRGGSPTKNSGGNESPEQLLDVFRAAALSVTKLYKTSAAAQ TKARNDGYQDCLEDLLIFLDKRNIGVGDGEGWQIRRWATERFDREGISQSIESEDEVD KNEPASSPELHRDSHTPLPSTQHDAEIRRDSAPPAAAPVPTFTLAPVPSQPADVTSAP APLESITVPTQDNFTFQSSVAYPQDTDLSMANLDLSDSRTNNTNNSSLHHGSARLSRA RNNKNGLQRSRNVGAIGKGAGSKRKLNIAEIFDVGSIGGKDIFGGGNGGGKRSRHN PFICI_06074 MQRTALAFHRNAHLHKLQVAVTAVKTLASIDEVDRALFKLPNGE DGSNHHVVVTDSTIFHPQGGGQPSDVGSIEATEGARGPSFDVQMVRTSASPSSPGAVL HFGRFAAADPLSIFQAGDAVEQRINVEKRLLYSRYHTAGHVLGSAVRHLLEKEVAGFD ETKASHFPDSAACEFMGTIEGKWKDAIQKRVDEYVERDMPVEIEWWDEEDFRSHGLER LIPDRAAMGMTDDEKFRVVNIVGAEVYPCGGTHVDSTKLCGKTNVKKIGRSKGNSRVS YVLP PFICI_06075 MERPTKRPRWEPPTTNDQAGPTTQASSSRQEHPHEFTHFGGTGL QNHSGSINVRGNLNIGSPAVLGGSSSHQQSILESLRFDQMDSRHASIKKAFRNTCKWF LQTPVYKEWENKDPSQDENHFLWIKGKPGAGKSTLMKFLYQQMQSRSKKSNDVLISFF FNARGHALEKSTVGLYRSLLVQLLEKQPSLLDKLDTPHQYGNWDVDSLKTLFEQAIQG MGQTSVVCLVDALDECEEDEIREMVGFFTDLVCTENQLSICFASRHYPYITVETGLSI ILEKQNEHQDDIAAYLGTALRIGHDQLAEKIRLDLQEKASGVFMWVVLVVDILNKEFD AGRRHTLREKVKQLPANLHELFRDILTRDSNHQDALLLCIQWVLFAKQPLTPKELYFA ILSGYEPQYLVDCHSDSISDEDIYKYILNNSKGVVENTKAKIPRVQFIHESVRDFLLK EGGLGQIFPALKVNTHGQSHEALKHCCLTYMNVGTRIETKVEDPTSQTTKQALPFLEY AIAGILHHAEQAENSGVSQSEFLAEFPREEWIVQHNLLQMHKTRRYTPQASLLYILAE SDASGLIRAHRSWQSFFKVEDERYGLPILAAMATKSTSAVQTMLKIHGNQSSKFSWAY FQSITPSPLGDFFCSSTRDFNFNKRRETTAELLTHGCEGLLLFLLLADQCDLGSRSST GRTLLELAIDKGYNIVLKELHQRKDTISTRNKVRQAWLKAKDVAVVKFLLTLGADTSV QNELGETLLFRSIQTKSKRGNEVAKLLIESGADCSIATDQGETPLHWISKNFHSRDME ASIVDLLAESGGTNGLSITDNEGRTPLHHACRNSNSTEVVAMSLIERGADVSATDNKG RTPLHYIAINSSFDSTWLENVGLLIKHGADVSTTDIEGRTPIHYACSNARYYSDIIWE LVTLLVQHGADAWITDQKGRTPLDYANEINNIEE PFICI_06076 MANQRQDAKTTNDYTVAIVCAIEFEMSAVRYMLDEEHARLPSSH GDSNNYILGKLCGHHVVLACLPGNQGKSAAAIVATNLDRTFPSIKWRFLAGIGGGVPS DRNDIRLGDVVVSMPEGEYGGVVQYDLGKDIDTGFKLKGFLSAPPSRLRSAVNNMRSD HRLDDNKIEEFVTAMLQRGRRLNVYRRPAGDTDVLFDADYAHPSDQPSCSSCDRTKVV ARPPREWDGPEIHYGLIASGDRVMRSAVKRNLHVRNIGDILCFEMEAAGLSTEFPYIV IRGISDYADSHKNDAWQHYAAAAAAACTKEFLTYLDPEAPFKEAQTASGSPNDWNSHN GQNGQSIHSTFTGTGVQHTGTGNFSVGGNMRINGGQGR PFICI_06077 MNGYAASGISLSHEEKISLIEEKSISDVESESGRADLEKRFSTQ QWFKRAGVHTRGPRLSSWAAILLRVGIFLLPSFVQHRFTHDRPRSDRVGPTAYLDGLR GLAALFVFFCHFFYTAFVIADGYGKDGGNYLFWKLPFIRLLFSGPSMVCLFFVISGYA LSLKAIKQIRSRSYDGFATTMSSFVFRRAFRLFLPTAISTFMVVILLQLGAYEVTRDF AGDTNYVRGVIETHPLQAYTFNWQLWHWAWEMFDFIHVWGWEPFGGSTMYDVHLWTIP VEYRCSMMLFLVLFGLARVRTGVRFACLIGLSWFCLRNNRWEMILFLAGMGIAEMDVI RGAHNTPSQTQPPTSPILPFDEKSTFRPRDSKGLFWIVLSIPAMYLMSEPDLGTEGVP GWQFLGSLIPEYFVDKYRFWQIWGSILFVICVARSPAWQRVFNTPFVQYFGRISYAIY LMHGPVMHTAGFMIEKWAWSITGTEGTAYTHGFWLAAMFNIPLVIWAADLFWRAVDAP TVKFSRWIESKCLVKE PFICI_06078 MTEGIANTLPWAEPAWHGALESPYYKDSHRKLQAYARKYIDENV LPHALEWEAQGDCPESAKLNYIKSGLALTDVPKQYKPPQLQTLAGIPVDKLDAFHLLI LTDEGSRIHGAVGTALAGANVIGVPPIINHGTEEQKQRWLPGLFTRQTNFCLGITEPS GGSDVGNIKTTAQKTADGRHYVVNGTKKWITGAQWATHMTTAVRTGGKGAGGISLLVV PLDAPGVAIEKIYNSGQNAGGASWVRLTDVRVPAKNLLGRENAGFKYIMTNFNRERFV MSVGCNRHARTCLSTALAYAHERETFGTPLASHQIIRHKIITLAREVESHWAWLEQIA YHVQIHGWQSDSIAGPIALSKVSGGRILELAAREAQQIMGGVAYQRGGPGGGGVVEQI TRDLRMMVVGGGSEEIIGDLAFRQEMKQAKKKGSKL PFICI_06079 MEYESQVKWHPLQSPSGEHIYEQARDSRIDQSQSNYASAYSSKS HADNHVQSYEMSMSAQSTFAVSTATPPSQEGQRSWWDLRRTGAEKAASNFKKQFSGKR GWNGHSYYRPKQNEPESQSHAILSELDYGGSMRKDDEGKFVYRNQFGQKSSKYSDVAV YLSPTNYVPKRLIVQATTHVLDNNLDTGDWRRGKMNGDVPKALKISEWALGPLAKEGW WRKIDGMIRVLIVCVPLQLCLTFQSSNSWEDADTIDSYTDFPGYHWQWPKHAINPLDQ KPTPHKVLVGPELPSSRRRLIRPRQLVVLQQDGSWKVEASAPVNLRYVFISFADKAFS SESGRLLIQRMAAQAALEAGCSAYWLDFLCRAEHAGDLLDSDVYRMCDVIRGSSRVVI MLPDDRVESKKNWGARMWTLPEALLAPENRCYFAHPYGDGQITESLTLVEMTAEIWED PIDSEEDGGPTRLLAEHYTNLLTLSRLELFSTALDALWRRAEVNYQFFTPNDVTYAIM GLLHYRIRRDDTDTEFQSLAQLSLGNDSDNIVERMVSLYPDPTTGPLNPFRSLARADL FHTHLWDIEPTCQVVGVAHENNTVLLDSCRAMHIRWKGFPRPVVTRDYGLSRLFAIMF ITAGTWWFVQGISLAIYYAPLYSGNVDKDLKRVMEWMIAGFLFVSIMLSAFGPFSVRR LYGGQVLQSTSNLVGFEGVMPIAKLEKLVFGNDNSRLSYEASSTPFAEYCRHPSLRVG FEPDWIRDERPDLAEVNLPKGHRLFTLVDTGELSVSIFSAERPPTVALLCGREGGMLR SVLCSWQFENDCLYKEAVVRMPSNVWDSASPKGWLKICLNTLNQARENERVENIRRSE TKH PFICI_06080 MQYTGLLALATVAAAQSTINFGPYWWLRPDLEYSTEVPTAWIRS ANTTLVLGAIPSPQVDRLAIWPGMASSDGDLIQAIAVSFSDPSSQCGGTTGQWCTFAS TLESQQESGTMVAASEGTKINMYYEYNDDTQMYDQTVTVNGQVISTLSTSSGKAQNWQ TAVECQEEACSSTVPAHKYLDTTIVLDSADTSFGDTLITNEVFADDFTTSDGGITWTV ATINVNEYDFSTSSGTSGSSNSTSSAISSKSAIPSGSASDSVSGYGSSRTESSSGSKH SGTTSGHGSHSSGSPSGPGFSPSGSASSHGSHSSGSASSHGSSPSGSSSGHGSKPSGS PSGHGSKPSGSTSASGPFQSGSASSSGSGSDSGLYPSGSDSSSKTGSTSASGTDSGAG SVVSGIASDLGSGSASSTDSGFGSIISGIASGLGSGSGSGSSTDSGFGSIASGIASGL GSGTGSTSGSGSSSTDSGFGSVVSGIASGLGSGSGSASGSGSSTDSGFGSIASGIASS LGSGTTSGSGSSTDSGLGSVVSGIASSLGSGTTSGTGSSTDSGLGSIVSGIASSIGSG SGYDQSSGATSDGASSKNSRGVYMGGPRRRI PFICI_06081 MDYLSFLPREPIPYAAVLLVSCFVYFIVYPIVLYYRDVKGFRRF PNFSPLAGITNIPFMVLAHSGARSAYLSKLHKKEPILRTGPNTLSFGSVQAIKDIYGH GTHCTKDESYVLTASSHYHLADVVNKSEHARKRKVLSSAYALKNLESWEYKVADKVER MIKQFDKRCTAPLPAGRHPDPEDLNVDFRMWTNFFSLDAIADIGVSERLNLIDMGDDE VEAQNKDGTLFKARIRDSLYPIARKQSLLLWSYDYYKVIDKISNIIPYYRNMSKANHG WDGIVLRRGQKRLDRYRAGEKLDDFFQAMMEDKNGCPNSLEWGEIVAEINIMMNAGSV TTAIALTNVLYQLLRNPKIMAKLQEELDSVLDPDEVVAPYDKVKHLPYLKACLDESLR LFPPTPQGLPRKTPPEGWSIMGHFIPGNTTVSISAFVAHRDESVYRDAESYIPERWLG EEGKNLQPSFITFSAGARGCIGRNISYLEQAVLIASVVHRYNFALGRGFELKREETMN HILGDMPVKVWRREPATA PFICI_06082 MLKMIRSLEAGELSFSKTQKWCSTAQRKIRFIDETYDISSLYDE RSSPEDAQSSRNVGESPNSTEEPATLVSPTNGFQAIERDNRTLPPPINEVSLNVPVLP EPLPGFHYDTPSSTGPQVVPGLQEMIEFGYYSPPDLRIPQSSYLHPFQTADRQATGAK INPLLIGQPPALQWCDKQDVALVRHFVDVIAPIFDHGGQRKSFATTMPQLATVHQPLL RAISSIAAISLNILGIPHAVDPRQLRSDSYSDLGVIASEAVEAMDDQQFYTVSFLKIF DNLDRSVDPGRSLNDPFGGMQGQQAMYLGQITLAEQLRQDMSWASLRVQLYFAVINQE PCSVLLTLGTNEYIFEHEDNDSQWARKMVLHLHNVVSYCFGDDKDGATYNELVSYAQE WAKLKPISFDPIFTGDADGDDVFPAIFLLNDAVAVGWQMYHLSRILMVAHDHNRPMLG PSGALVRRSIDKSLRKDAEIVCGIANSIGGVNPAYLAACMAISLTGHLFTKNSEQKAL LDILVQTEKQFGWPTSTIQSHVRETWEC PFICI_06083 MRRQSSTVISARTLPCLLSHGSPQAGGVEDERSPSGSPKAVRRQ APTPESPFSSGSTHSALGGLIKTKEEARFFIYYVRHLSPWVDICDTRSHFATEVPRRA LHEPLLAYALIACASRQLSFISSIGNFDPALYYSRALSLLISKLSGPVEDLDENILAA LILLRTYEEITGRLGSMSVRDAMTVEQDPSDDTATHLSGVTQLLNSVSNYMGKGGLGE AASWIVLRQDMYFSMTRSHPLRTRLECYKASSCFTDDSPESIVNRIVLICAKIQAHAF GPAGRATVQQWDQLRAEAEAWYESRPWDFRPMWVEDGDVFPKAWLSQSVQVMGYQQYY LSLMLLAIFDPRLWEPGFESFRQRRTTEEIVHENIRLIMGLAISNPSGMTNKFIASHA LQACGTYLTDPEEQKEALKFLDEVETQCGWRTKPVVDKLLAAWSMR PFICI_06084 MESLGAGFQWLPPGSSNVLDMVKPATYGDAAALAVMAAASAAYT LRKYTWDRPDPYDYIWYERPQANDAKGGAARTTRNIAERLDELNRQVVIFWGSQSGTS EGIANRLARELHQHFRLEALAADLSDFDPETIAQIPKTKLALFVLSTYGEGDPSDNTT SFWDWANKIGESSLPSLQYAVFGLGNSDYKYYNRVAEVIDKALKKAGAEQLLPLGRAD DAHGTTEEDFLAWRDDLMEFLGKHLGLESQDLKYEPVYNVVEDESLEPQDLHIGEPVE PRDNNGKTAAANSPIRPMKIKASRELFSNSNRNCLHLDLDITEHPQISYKTGDHLAVW PMNPEEEVERLIRVLGLSARRNVPISITSLDAGSKVRVPTPTTVETLLRNYLEICAPI SRNTTRSLAEFIPEGAAKSFLLQVSKDRETFAKFIAMTHVNFGRLLQVALSQADGASP SIPVSFLLEVLPRMQPRFYSISSSSVVSPRAPSVTVLVSNSEVPEDPSAAPIPGLASN YLLALTNSSTAAQHPAGLTYQLSGPGDALQNTSLFAHIVRSKFKLPMQASCPIIMVAA GTGLAPFRGFLAERARLQAMGKPVGNMMLFYGCRRAGEDNIYEDELDEFEQSLNGKLR VVRAFSRPAAEDGAAGTTRAYVQDKVRELGGEVKRLLAEDASVYVCGRVSMAREVEKT VSGMWCAEKGMDEAATKDWSNRMKRNRKWQEDVWG PFICI_06085 MDSHRIGAPPRRTPSPGHPLQHGYQLDDVPYGHPAPSHSNLDIP TGPGRYTPSDNLPLNAAHSMTDLSHYGGGTPQPHYGGSEYGVNPEQHHDAYFNQSYEP NPTGMTPYDEHQGYQLDDSRPMLQQNDSYSHAQAQDPYRDDPRAQQQNNGGGIKRWKT VKQVLLYRGNLVLDCPIPSRLLNQLPHGERDEFTHMRYTAATCDPKDFYDENFTLRQK LFSKPRHTELFIVVTMYNEDDILFARTMVGVLKNVEFMCSRKESKTWGPDAWKKIVVC VVSDGRGKINPRTRALLAGMGVYQDGIAKQQVNGKDVTAHIYEYTTQVGMTIKNDVVQ LIPKKQPVQMLFCLKEKNQKKINSHRWFFQAFGRVLDPNICVLIDAGTRPGGNSIYHL WKAFDLEPMCAGACGEIKAMLGTGGKHLLNPLVATQNFEYKMSNILDKPLESAFGFIS VLPGAFSAYRYVALQNDKNGQGPLEKYFKGETLHGAGAGVFTANMYLAEDRILCFELV TKRNCHWILQYVKSATGETDVPDTVTELILQRRRWLNGSFFAAIYAIAHFYEFFRSDH SFFRKIMFFVEFIFQTVNMIFAWFAIGNFFLVFKILTTSLGGDDLLGNVGVILGVVFE WFYGISLMACFVLSLGNRPAGSGKLYTAMVLFWSVIMIYLTFAAVFIAVKAVQAQVAT GFNISDLFQNKVFSSLIVSTLSTYGLWLIASLMMFDPWHMITSFLQYLLLTPTYTNVL NVYAFCNTHDISWGTKGDDKAESLPSVDTKDGKGKTDLPDDGDLNAAYERELAVFSKK HVEPKKDPTEAQIAEAQMDYYRWIRTLVVLIWMISNFGLCALVLSTAGLEELSTSADE DTDARASVYMTVVLWSVAVLSAFKFIGALWFLIVRMFRGV PFICI_06086 MPISQASPRAKGIGSAFLSEVDDSEDDSEDDSEDDSEDDSEDDS EDDSKDDSKDDMLSDSFLLHD PFICI_06087 MLAVEEFPVLPIVKAHFIFNCVLTSLAICVVGLRVYTRLAYKTG LGWDDALILISAQPQPQGVGMLIIQGLWLPMGIGYPLTEVAVNIGVILRLLVAYELIY ATSISSIKLSVLCFYLRMFVNPRLKKVTKYVIAFVLLWSVGNILQVFLICRPFAATYD PTVPGTCGDQVGSFIAIGAFNIITDVLIFFLPIHTIWTLQMKTRAKIGVIAIFLIGIL TSIVGICRIVSLLNVDLTNNLTGTMIYADFLSTIEPNLAILCVSLPVLGPLLSCLRSR NRRTGYESNQRTGDRGESGTMSFGRQNKQHDSFIKLRDMGGETSSNCSAQHQAGN PFICI_06088 MSELHEQFDYVVVGGGTAGLVIASRLSEDPEVSVLVLEAGPDNS SDPFVLTPGLVAAQYGQEKYDWNFSSEPQPNLNNRRINQARGRQLGGSSALNFNMLLY PSQANIDAWEKLGNAGWSYNDLLPYFKKFSTTHVPPASALENSDMERYHDNSLNGNGP LHVSYGEGFTKAFNGAWMDTFSTLGLQNKADPRTGKALGAFQNPSTIDPSTKTRSFAA TAYLTPDVRQRSNLTIRCSTVVDKILLEQRGDTVAATGVAISVDGKTQHIGARSEVIL AAGALQSPQILELSGIGDASLLKKHGIPVVIDSPNVGENLQDHAIVVQSFEVADGVPS GDVLRDPAVLNALIQLYSTSGGEGPLGQSTISVAYSPWSNGAGPLSAESKKALLDAHL PASDPRTTDSALAVRAIIENPSEPAVEYLLFPSQVTINQEPVNMAEIITPSRPENYIT VMTMLNHPFSRGSVHITSADVHTKPSWDPKYMSHPLDMQVLAQNVEFVERIVSTEPFS AILKQGGKRAPETVATDFEKAKEIVRSNQISVFHVSGSCSMLPREQGGVVDGRLRVYG TSNLRIVDASVFPLEPLGNIQATVYAVAEKAADFIKEDRKSATV PFICI_06089 MAAKAISLRDRQIASLKKILNLNDPVDQKDDDDLHANGASGAAA SLVTSEGEPIWKVLVFDDLGRDVISSVLRVSDLRALGITMHMHLSGSRHPIPDVPVIY LLEPSAKNLQSITNDLQKGLYSPAYINFTSSIPRPLLEDFATQTATAGTSEHIAQLYD QYLNFIVAEPDLFSLGMQKENTYWALNSAKTNDEELDHVVDKIVSGLFSVVVTMGVIP IIRCPKGAAAEMIAAKLDRKLRDHVLNSKDNLFSASARPASSASTPTSRPVLVILDRN VDLNPMLSHSWTYQSLVHDVLNMKLNRITIETPVNEQDPSKGKTKKAYDLTATDFFWE KNAPLPFPQVAEDIDAELTRYKDEAAEITKKTGASSIEDLQNDTSASAHHLKAAITLL PELRERKAILDMHMNILAALLTGIKNRQLDTYFQMEEEVMKQTKAQVLEAIKDEARGQ EPLDKLRLFIIWFLSTEQEVSRAEWTQFEEALQAAGAETTSLPYIRQVRATTKMTQLT TINNPAQPSAQAGSDLFGRFSNLSSRLTDRVKDAGVTLPGGLNLDSLSGALKNLIPVN RDLTVTKIVESVMDPQTASSSALAKTEGYMFFDPRSANARGTMPTPSAVRAGTGSTPG GLPGTQGPGMGASFGQRRQGYTDAIVFVVGGGSMDEYGNLQEWITRTGGERAKKRVIY GATEIMNATEFVDGPLRRLGAEVGS PFICI_06090 MAQGGHSWPGGQFHPNGMEHSGAIDPNAGNLTYGSGLGSDTEML YMDDWNTMNQNPANFGGQPHAAPTGQQFYHAPQSYYQNTNAFSDSNRQIDQRTSSPAL AYGSSLYHEPAYQRPAPQQQEQPQHPPAQQQSYQQFNTDLGQRNASASTNNFPDGSWQ PQTNHGGQNQYAQQALAYENPQNMYQQHLQQPSHAHTPTPPPAQRGTGQYPLSHGGPV NSRPNVVTPPASNIQAHAPPQHLHPGPSEYHFQPNQGQASQVTYQNAPVHSGPMAPAY ASQVQFAQPPQSNGHASNAPATSQSLGQQQVYAAQHSAGVSKAPVTRTISPMSSGQHV SAPSPVPYISNISAPPAQSHMTIQQQSTHNPATFTVIPSRPPFDPLSHGGFSQLDGES NLFLSEAPVEIEWTDFVPDDSFSFSAHFNANDGPLMPNRQKRLPCEIRRDWKWLRKQE KSAQNDAQRRAILLEKDRLDREMVAVSGERIEPTTKVGVKKIGSKSGSRPTSAPKTGS DSSDDSSDYDSDSEFEESEEDQAARKIKASGRPSDPVKAIEYDVVMAVWHAPEEEAQP NSTANSIQAFGAHIEKLWTKIKDLKKEVKAAKEKKSKKLESLEVEVGKQMKLMLTAIE AVTKFAEPSVLENMGGNSKLAVILWNAFRNSLSAKDFNGPLPKAILSLMSHFTTMERS LVLGVLKVPEYHKKHQKDFDKTCLGFLDQIQSKAKGTAADTEKKAKELSTSETKEPLS APKKNPVFMAKDLVSASKKVVSSESKKVQPTGPAVLDARKSSNVVTKSVGSSPSKRPR DEEADSRAAKKVAVDGTSGTSVTKPSSTTTTKTTTVVQPRAKSTGSLLPGRTARPAVK PATKKVESQQSSSSLSTISGLLAEIAKPKSPPRQKEEPTKAPETEEERKRRLRKESRR GLRVMWKPDHELEQVRIFQHDAAEDEGRASNMVRDARDNRSEGQALKRALSHVEDQDG DAEKEDDEEGANEGNPKETNLRPWLDPPAVDFMHIDQVNPGQRDKTFVTRGGATTFHT EEQQAMEKYEQTQFMEIYTSVSDIPETPKSPTRKEVEKPSVLPHVSQLPADTPNLQEL HLRWTEIAQFGAEQATQRMLQRLRNKSTPERAAKFDRLLADLRNSSMMSQDRNQPYAF APSASQQAYSSKLAAMTPAERDAEVLRLLKSDAVLNWVDKHPVDPNNLQTAQRHDYGD AKVQADVDAVEAVVTTFLGKPYPVTEPPEHLRSNAAYVKEWQTGYDKDMADRSSHDAT ARAKKLAEEFARVSAASVPQTAPVPATTQATQDPNAAAWAAYFTQMAPAQQQQAQSAQ GQQLTYDQYAAILQQTQALQAQQGGPGAQAPGLQYPQQPQPAQQDPNGHIGALLAALG GQANQSQPAVAAQAAQQDPNAAAWAAYYASMGQAQQPPAAAAAAAQPQQQQQQHQPQQ QHAYQHRDRDRDRSNRNYNGADAMLDYGPSEADSRDKAHRGGRKENSKDTFRGGKDYD RKGINRSLIGTKPCTFWAQGKCAKGDQCTFRHDPNDLK PFICI_06091 MPQSTWASIARGPHATPPSPTVLLGQQSTQHHDLHMRAPCQSGD PNGRPIHPPQSSMDQQMALPRQRKDAHRPRTGPPRARPEQNGSKDANTYEEEEEDCYV LTLLTDGQHNAEMSALRRQWFPAKLRKVDAHVTLFHALPASRLEQVRADIGAVAARTA RFPVRAQPRGVFRMGKGVGVEMDRESLARVRALREGLRVRWNNEEREVDQDGTDHGEG GWLSEQDARRGWKGHYTVMNKENDRARMEACYKELSHDWKGSKGVVGGLTLWKYDKGW WKKSQDFHFKD PFICI_06092 MMADVHEVQPLVRDVAPRGQRGSYEGELGSTRDEDSRTALHWAV IRGHQQTLFELMPVCDAIVNAKDRFNRTALFYAVGGQEFSNLMVEMLLLGGAQVNYID VDRKTPLLMALERKDRLLTGRLAEFDSITMHMIIAECHPSNDKSEGMINILDFLLTHG GKDLKSTKNRKGQSILHASATTGNMAVVDVFMEHGRINPLEVVLEMDKEGDTPLISAA RGGWFEMAKFLVQDCDFNKDIVDANGMTALHWAAHQGHTAIVSLLVDAGADIMRQTET GFTSLFLACNNRRDETGVLLLEKTGIPKALTLKDAFGRGLTRVAALNNCSNILTKLIE YAKEEGNDARVLWEHSEGCSHAFAAIGSDCRESAMTLLKAGAAVTGSNGNRDTALHCA VSHGDADLVKWLLENRSESRLWMVAKNKENQTPAGVAATRLEGGVLADILADLLHYEE PARVRDLDTTGWIGIHWATFYARLDLIKLLVRKTADGTNNSVLAADTSGRTAADLARR LHPKQMKLLQWLKPVDTLGDPSDVPLLREPKVSDETKDICGRVPAFLMDVYGRRGTLV ILLYVSDILCYGPDPIMSVEAEKRGMDRRLRSRWIHLPLNNLTVIENMAQGTLTHGIK IIPCSPSQDLIHRIYFDIADENMDNLETAGNEFEPTSPRGLAHNTETSCRDLDNLTNF SAISPDNIPSSLEDDAMIVSGTQTSTKLFFPDAQNNQVESEEYPTTLPSNNLHAKGKP ANTPRRIPPEWRSLKKVIDHATIQHSKLSRFIDEKMSSEPRVGYLRPIAPFIDVRYR PFICI_06093 MPYLAFTRESKQKQWPQDTRNESTTSLEEVIGAQYTTIPPALQR LFDYYHHDDQRRGALQVPQTLDQFHYQSLLVSDRKTKDQVLYRHQQRGEDPDADPFIC LVDQLWLHVVDDATIVTSTSQHLDDDILDLTTATTELYKSDNYKSVRKDSVYGLIPLI LATCIRKSMEKELGGKKERVLDMFAAAVTNTSKRQVALFNDFRKNISNSSQSHDTGDT DVTRVLTEEIDLLQEVNDVLIELNIIKTVLGHQKDILESYSNFVDAEIDRGNGFYNDS KGAKVIIDTVVTCKALSRIDVYIGEVEKMVFVAKETQNNLHTHLDLRQRDANLNEAVW ARKAAQETTQQSRTIMVFTVVTIFFLPITFLSSLFALDITVFPHDDEGDLKYGPGWAF SWLFGMTIAVSLPLVLLAFYVNEVALLWKKWMARWQKDQNRGVGVNERVGFFPITRIM NSRLRFRTKGSRKMDRAEGTGAKEVV PFICI_06094 MARLQRVLIANLGEIAVRCIRACQALSLTSVALFTKADSHAIHV RLADVGILLEDECSDAYTDIKAILKICAEQCIDAAIPGYGFLSENVEFARRVNGAGML FVGPDADAINAIGLKHTARELAIAANVPVIKGSGLLKDAHEALQEASNLAFLIIIKAS GGGGGVGQQICYSETDVASAFGDHKYQ PFICI_06095 MTHPFVASSNVLHRTFSHKPEKVVGSSGIKLIFESGRHVYDASA GPAVSVLGHTRSDVTEALVHQMNECAYIYSGARFTSTAVEDLASFILRDRPGGLSKAI FVNSGSEATDAAIKLATQYWHEVGQPRRRFVIARKQSYHGNTIGALCVSGHDSRRSLY HDWLSSNVKFIDPCFSYRFKKSHETDEDYAKRLVLQFESLVLELGPENVSSFIAETVS GTTLGCATAVQGYFKGIREVCDRYGVLLILDEIMCGMGKTGTMHAWEQEDMRGPDIQT IGKALGGGFIPLSGVLLHHKIFDALSNGSKGLAHGHTFQAHPVACAAALAVQKAIENE KLLENVVAMGAVLEGLLKEHITPLNVVGDVRGRGLFWSVEFMLDGHERVPLPIESNFG ARVVDRALNLGLNILGNLGQTGSVYVDHVILSPAYVVTEEELRQIVSLLRTAIMETTE EAFKWLNRGVIDALV PFICI_06096 MAFESTTLMYTLTAWASIHLSQIDTRFQEVALQHRGAALRLLKT ELEETTISREIWLAVTMALCSMEIISDGVQNWYEHLVGGATALRFSLDRSAPHSSDIT ELATIEGKWLMKNFAYHDILTSVTMDRPPILAGDYWVDQDDQPADPYFGLAGQIVFLV GQTSHLNADLAAAKSEDPLFSYHLPGRAVGAKFSDRARAIENELLDWKYPSQYCGSSL AQLAETYRDAALLHLYRTLRRHLAAYATVLNQKIRRHVESICAKVRDMPTGCLPECTL LFPLFMAGGEAEELAHIAVIREKMRVINENRRFRNIEVCLQILDELWRLRIAGAGNES GNRVDWLDVIQLKGYKLAIT PFICI_06097 MRFYPIANGGYMSRKKKCDRCYPVCGHCTRLNLICERESPRSVR QASQQRTAAPTAASALTAPSSCIDATPLLTGLAFDGDLSRSDPSSSRRAMLRYYTTSF AAMLSTNYENNCFLSGLCALD PFICI_06098 MAINHNNGTVTLTPFAPAAQDKSKGIAVRDNTAVAYRGPPLPSV PDDIVVPGIFDLNCDERLWIPQAPDVWFRPLVFNVSQGYFVNILRVRKSGVLSRHRHA GPVHAIVLKGRWHYLEHPWWATEGGFAFEPPGDIHTLEVPDDVEEMVTLFHVTGAYIY VDPDGNPTGVEDVFSKLDNARRHYEAVGLGATFADQFIR PFICI_06099 MLKTEGMQVYHVKPLGELYFQVQREPKVLEALLRAVRVFDVPIY GLQTAGMSEARYRLGVPLLPEIYPDIDYDVQGGLNLIGEYAPVTPDLVNRRIRDFTLR GEVVSSIGSAVSPGFERQSFTICIHSDLPSALGNAAAAREALEQISQAKNGSIEHI PFICI_06100 MAEIASSFENVNIRSFPKKELDPVSRMESLQPGFTERSLAPNEE THRGFKPRHAQMIAIGGAIGTSLFLGSGMVLRVGGPGFLLLSYALNCLIVYGIMTAIA EVATYSPVPGATMSYFADRYVSRSFGVALGYLYWYSLGILVPYELTATTLLMSYWNPT VSPALWMSIILLGIIIVNFLPVSYYGEVEFWSAGLKVLLIIGLICFSFILCVGGGPSH DRLGFRYWVTPGATKTYILEGGVGRLIACLQCFVLASFAFVLAPEQLIVTVGEMQSAE VNLPKASRRYFWRLLLLFIPTVVGIGVVCPSNAEDLDSSGASSSPFVIAIRIAGIPAL DSIVNALVLSSAITAANAFLYSSSRNLYSLAVARNAPSIFRRCNKHGLPYISVATSAL FGGLAYMSLSSTSLTVFNWLINLTNTSGYISWIGCSIIYFRFRKSCDYKEITPPYRSR LQPWAVYFSLFASLLLLFLNGFTVFFPSQWSYESFLTSYIGIPAFLAIYICHRCSTWN DPWLKAINDIEM PFICI_06101 MASLLIYGATGYTGRLIVEYAKSIQLPFLIAGRNKEKAEAYANT ANLACRVFDLDTPHDVDQGLKNMTVLLNCAGPFLYTAKPLIEACLRNGVHYLDTAAEL DSYRLAQELDEEAVRRNVMMLPGCGGSVTMLGFLARHALGHVHGPQSIDIALHASGPM SKGSAISAKENMSTECLERASGILQQVDEATTAIFDFADGRGAVISHQFTLADVITLW KLTSVKNIRTFINVSGDPSPIPDLESLPDGPTHAQRQSNPYSAAILVTGDDETVIRAV LHTVNGYTFTALASVEAARRVLADEMKPGFQTSAGVFQSDFLECIPETRIEFQK PFICI_06102 MSNATCVFILVCLIVVVQGQNCSIPPLSLAIQNTTFSDGIAVNR GVQTLLGGQLLGLRLSLSQNNTRVRNARDCSVIPANFSACQGASGGVFNVANNSFTQV PLSKWNVSVVDRHPQDATIIYGYSSSEFPDSAATIDELPFEVWADANAANKSELALGP SSSFFQRLVEASWAPTRNFGIYHGSRSQNQARDGELVIGGIDVARFDASTPPQEFPIA AYGASTDCPLQVMLSDVVLTNVNGNFSLFKDPAARVPACIDTIQNAFTFTKAMYAEWA QLTQHIDYDGSNYTAQTYPADREPLIGHLTVTLSNGYTSVIPHYELVSQQRGSDAQGK YSVTNASRIMAAVQTGQGDLGVDVPLLGGTFLSQNYLRVDYDQNKFWLSKAIIDDSVS PSIETTCEQTSVVAGNSGQGASVSSASNDIGLKVGLPVAFVVVATGLFGFWLFKRRSN SIITGQTDTPKPFRKGFIFAAKSKSGSRRDTVDDQPISPDFRPREVSEVETIEKPMQL TTNTAEVDRFERWTAEPAELASPTGLGK PFICI_06103 MTKRANAKRPNIIFILADDHASKAISCYGAGINHTPNIDRLAHE GMKFNHCYVTNSICTPSRATILTGMHNHVNGVLTLDDKINTHLPNVAKALRTGGYTTA MIGKWHLGEGSDHEPSGFDEWSILPGQGDYWDPQFIDRDGKRRESGYATDIITDKSLQ WIDSVRAQEKDQPFFLMCHHKAPHRSWEFHPKHKDLYKEPIRVPETFDDDYKNRAKAA KLAKMRVAEDMTYFDLGLAQPEGGDEVGERFFPGSSSIDRKIPSPNDVSGIRLIDKQD GTVFTFATPEELAVFKFQRYMQRYLRTIQSIDDNVGRMLYYLDEHGLAENTMVIYSSD QGFFLGEHGWFDKRFMYEESFQMPFLIRYPPEIAAGSISDDIISNVDFAPTWLDYAGL RIPSYMQGVSFRAILQARGQAPPGWQQVAYHRYWMNNDIIHNALAHYGVRNQRYKLIY WYNEALGAAGARPGTDFKEKEWELFDCEKDPLELFNVYHSPEYSHVVAGMTALLEAKM EEIGDEPRHPLSITKGAYGHR PFICI_06104 MFSFEEYLSPATTTVLSAESHWISSTVFALLGATAALHIPAPTA TPFLIDDGAKDMIIIARSETAIQEAVPQSTTLKDSVSASKGSTSNNVKTENAISTTKN GAAAESTNTATLTNAPTSSQSNKGKPPATSIASSVSNSHESGGGKGQQLETSISRSIA GPISPTVSGSISSPTGHWIPVSIPTALASGAGLYEKHSKIYDDGYRQGYHDGCYDHAY ATHPATLGGEYYTGYKKGRDSGIRDCRPLNGNDQDGSGWSGDVLLPSLGSEITLALWL LVMPCVLAFMLHML PFICI_06105 MSVPQGRKRARLACDTCRDLKRKCDGSEPCGTCVRFEYDCSYSE PAGRKSRRITRMPTTSPSAAGSVSANLTSPLIETGRPAVSNLHTRLRSLEANSGAAFL RRLALRIDANNAPRMHAFAWNAFLGTRSIVHTPVARPILEMLSEVDMRELANTYFEKV DPIYGFFDRQDVDTRIRRRWATLTAAPAEDAVLCGIAALGYLFSHITTGALELDLAES ARAILERTMFDPPSSTSVTAWTLRVTYLRMTSTPHTTWMASCILMHMVEAAGLQYERA QESILLPHRENINPEIRKRLVAVAQHLNIWVSFDMGRSRVTVVNVTTESLIARPGDST VELLELLPYSLMLDPERCSNVVELESAMSIVLERTHHTPPSILAQCNLMLCLCRRLQS MNVAFTGATLESILSLSAQGVWAASAVLDSRSPWHHMVNVPFQVVCVLLAIDTLASTS QLRGALQCLNRVAAVYNTDATQEALRTASLLVSIHQKWKERFASNLNDALNAIPVTTG PLLNNNVIPPPEDTSWLDDLLGDLSTTQQFDLDRLLDPRPFWQLDGNTI PFICI_06106 MQENQVPSTSPPARDDCLVEDGGTSPGVSTEKDGYAISHQSGEP PDGGCIAWTQVLLMHIVFFNTWGVANGYGIFQYYYTIVLGQSESTVSWVGSCQVFFLF SIGAITGRLSDAGHFKPVFAIGVFLQLLGIFMTSLATKFWHIVLGQAVCLGIGNGFTF VPALSITSQYFKRNRTVAVGLSASGAAVGGLVYPVMINQLLFYDNFGFPWTLRAMGFI MLVTYLPCLVLFKPRLPPRKTGSWIDTSAFKEAPFMFFVASKFLNFWGLYFAFFFLGT FARNQIGIAKPINLLLVLNGVGIIGRIMPGLIADRCTGLFNLIIPLSLSAGILVYSWA AIHTEAGLYAFAVIYGFVAAALQALFPAGATTMTPDPSKTGVRTGMVMSIVSLANLTG PAICGALIQRGGGSYLGAQIFAGSSIVAGMIMAVAARIAKSGWTFKAKV PFICI_06107 MSTDAPQNHFKLRVLIVGAGPCGLATAISVTLAGHSATVFEATD GPQPFGAGILSSPNGTRLLSRWGLNKILGSMRITPKILRVLDLNGDLIAEKKQFDTDV MRTCGSPLCTYHRADLQAGLLRRALEMGVEVHFSSKVSNVNIHEHSVKLQKGDSHCGD LVVIADGTWSTLRSKVLGRIIQPRMTDNVAYRVTIDLTKIDGQEVSEPMKSAQLHIWR GTDAHVTGYHVRDGSLFTLSIVMADDFTSETSSMHAIIEELKTRLCESDKILAALLKA VQRVNKWRLVEVSGLSCLDLPKGFVLAGDTYHTLRPSLSQGFNLGLEDAATLGSLLGH VKAVEQIPGAIAMYERLRSRRVQQVLHATQRYESGGLSTEIEPRTPKTPENSNVSAMN EDIGYVAAQNDIWAYDAYEAAETAYYDDPY PFICI_06108 MAITNEVPRTVQWNGKNVPVYPMETISFERLLSQEPAELERVVR CCETEGFFYLDLSGIDGRRYLEDQDKTLDLMHRFFESPLEAKNQFGLISPHLGYEPVG SRTGVFADTKDGYEMIKVSRDEIQRSNPHLPDVMKNHPDIKILENGIAGCNVVTKTIL SALSTGLGLSGAARFENSHRNDRPSTTTMAMMHYIPSDPTVAQKIGHQKHTDISSLTL LYSSQWGLQIRPPRAREFGFVEPKQGCAIVNVGDSLRFASGHKMQSCIHRVVPFDPTE HRYSIAYFLRAEDDTMFTDSEGRYITAGQWHDEKFFAFTNPPELQAQAPPSMLLGGMT EEEDEAVPQVLNGSEHIAIQA PFICI_06109 MSTAHQELLQPPPSFANGQDKKHHVATTLNYWDDPGDGSRPTPI VIGKGRITNERPHQTHDFVITDVSGEEDQYTLDRHGFRYHSHESVEKEFLDDQKILEV YYPECIRLLREVTGAHRVHVFNHKVRRGPTHWHHLGLKNLANRGPVTKTHVDQSYEGA ELRLRWELPDEADELVHKRYQIINIWRPIKTIRKDPVAVADSQSVPDEDLVAAEMTED GYRGEQWVVRHNPAHQWYFKHQLRPEEVLLIKCFDSNKQVARRALHSAFEDPAYKDEE SRQSIEVRCIVLYD PFICI_06110 MRPQRWYTWLGLLGLAAAAEVDWASLHCPDDDLTTLPTPTYGSE GAVFMACTELIIDASAQTIYDTLIDFKNYHVWNSFVVDVDVPPEVQETPDDVYVGMPM TFTSSGLIPLINTTSDERITVLQDDSSLGYLMNTWRFDPAFNLSFAPAEHPNILTDAG DGKTRYLSYETYYAGLGTPLVLTLKPQLQSSFDQQGLDLKAYVESL PFICI_06111 MTIADLSGRLPAGVTAHLTTQNALLLLGLWLGYRIALALWNISP LHPLSRIPGPKLAAATYLPEFYYDAIRFGRYTQQIKKMHDEYGPIVRISPHEVHCADS AFVDEIYAVGGRKRDKPRHQVSGSAMEFSGFATYDHDLHRLRRGPLAKFFSRTQIAKL EPSIQDLVQRLCDKLLLESGRGKPVDVTMAYSCFTSDAIAEYAFGESFGFLAQETWEP NYRASLYAFLQTVYIFRFFPFLKHATLAASWLTKYMSEDMALLIKTLHIDIPAQVKST KEDYKAHTIHDRPTVFGSLLDSDMPEHEKSIVRLSDEASAVLGAGTETTSWTVSVITY HVLTKPKILARLTEELNSVVKDPKHLPTWTDLEKLPYLGAAIQEGLRLSYGVSARTSR VPTEEDLLYRGQWTPKGNGKPVDVEYVIPKGYAIGMSSSISHHDESVWPDSYAFLPER WLDENMERNKELEKQMLSFSRGSRACIGMNLAFCELHLVVAALTLRVWPKMRLFETTE RDVRYDHDMFVPLPYDGSKGVRVTVD PFICI_06112 MATIPDIRRMSDDESSGPESSHSDADMVEEDGFENSVGHDRTQA TFAHIESTRTMTAEETEKWKRSGHKPGNYICPECGKGLSRIDSLTRHRRSRHRVGRQY FCRLPACRRQTWGFGRFDNYRRHMETSHGVIIEPNDMEERRLAAREPSTSQPLAQPNI VTEPSQAAQGEKRGSYLCIPLPSVSTSQDERLKVNIPQTVPKLSADFGEHVSPPPTAA LFGSLRPVIEDLQSLDKDELIRRLRAKTKECEELQQRNRIVMAERDEYLEALKISEEL RGVEPSTG PFICI_06113 MVLTHTTNHNYSHPFPTVTLAFFLRYYSPQLNPFSSHVLSTDTI SSHIDPESGRLYTTRLHLKKSRMPSAVVKLLPTSITGGGGDKSSFVLEESVVDIKEGW MTTQSRNLNFTGVLSVTEQQRYSTTPPEQQGAGLSTVSRPLSPSTYVTTTFSYRSTLG GRKAAQDSEDSSPLRFGGWISGWGAKRIQGSIESIASNKTDDQLVKSRDGMKLVLERL RSNGVMATLRELRRSQGKTTFDV PFICI_06114 MEIPNDLEEFDRGQLPLSLGFEEFLGAIDTFPEEMPFPSVVVAM LESLRSAERIREDREKQEGQRRNQSDTLSCSVSIKSDDSNEKRKLHSLTDVPHPEEFA IHKINPRQFLADFAHLFDTTKSEVLAGGLVVIAKTPQASVVYADNVYNSSQPEKDMVL WTDASWNFKNPRQLACSAIAFKQVPHRDLWCDEIAVLRGPRNAPFELFGIHQALKAAL RRYPQTANQEVVQVFTDCQRAMNEILSPDKDQGADTQALLEAINCLAKRLVERGCRIE LHWVKAHDSVIGNERVDALASSARRIFRQNHKYRWIPTYLEFEELSLKAIFPKQGTVT DRKWQEMIEEFSSSYVFSGRRLIDQV PFICI_06115 MIHPGSQTSTNVFHNAAEVHTPVARAAKVISTGELSYSTTPMTS RVASQVLNPTTTGCAMAGLGYLQLSNDYGVVSDSMWTALTTVRNGLDTPEAVSTPHPG GFLGYFIMENATQFTSETEFLNLQVVTEPKGDRSMWVHPAFDSLRSRQTFIWAVRIGP RNWRNIADDRNAHWCLVVGEIEARPATVVSRGTTFPYTNRREDFVDLYYDRSIRSIQI FNPLIDDDLNRERTHRLLAREITVMLTRAGIAVHTEQFRFGQAEYGQVTEPWQTGFQC FGIAQEYMRRLNVRANLGLEDTSEEAEQMMRSTYVGLPRISVLRESMIAACATRAVIQ SDYKARIAVELPGQGIEADTVAPMAGGGFGEHPQRIDDPDNETPTANIFISVNKGPLP GPLTAWQPTTS PFICI_06116 MRWSSIASSLLPLAALAESRSWQHVGKQAPRAALKTDDNFVSHY LANRQTTGSKFLNDNTTSFAVNGTSLPDVDFDIGESYAGLLSITDDPEAAEKLYFWFF PSENEKADKEILLWLNGGPGCSSLEGLIQEHGPFIWQYGTFKPVPNPWSWHRLTNIVY IEQPIGTGFSQGNVTASNEEDVAAQFLGFWKNFIELFSMQGYKVYITGESYAGMYCPY IGSAMLDANDTTYYDLGGLMIYDPVLMDDIVQTSHTVVPFVDYHTNLMPFNDSFNDYL HEQHDSCGFANFSATYLQYPPPGPQPSTSDLSDECANLWYYVYNEVFSVNPCFDVYQV ATTCPLLWDVLGFPGSLFYIPEGADVYFNRTDVQQAINAPIQEWEECSSGNVFTGRGD TSDPSGYKVLPNVIDKTQNVIIGHGILDMILLANGTLLSIQNMTFGGKLGFEKTPVEP FYIPYHALSTADTIGYEEDPTLLATMASAGVAGVAHTERGLTYVSVDISGHMIPQYAP SAAFRQLEFLLGRISNLSDTTPFETEPTAPQSTGPLGNGTGPYTYYDGSETVDSAAES SSSVQARSVAGSLRFERWGLVGIIAGMVYLL PFICI_06117 MRTTQWVCQRCAFALRSSAAGRGQLPRPVQLLSRRYATNDATTA TTLPPALLQRARNIAAEHKDLQTTLAEDFDAKAAKRLGDLSRVANALAEWDTARSSLE ELNSLISSPDSDKELQALARDELETTHASLANMTNALTASLTPRDPYADMPCLLEIRP GPGGQEGRYFADALFKMYRAYCARVGIRTNIVKYETVDGGETQGGAGSETPLSEAVIE ILDTGAYDRFRGEAGMHRVQRVPATETKGRTHTSAVAVWVLPSFPEGGSEAEADFNDP TSDFYIDPKEVRAEKMRAGGAGGQHVNKTESAIRLTHVPTGTVVSIQDSRSQHQNRDK AWQLLRSRVASQRREEREEKAATLRNSVLSKEKITRGDKIRTYNYQQDRCTDHRAGFD VHNLPDVLAGGEVLQKVMDSTKEYLVARDIRALIAEEELIAKEEEAAANGKQNKK PFICI_06118 MSNTTAPLRIAVLLFPALTALDVFGPLNALNLLSIQHPMTLSLL STDLKPVSIDRTIIDGVYQGTSTWLSQYFSEFVLPTNTFDDDLDLDVIMVPGGAGTRN LNATQPHVDWLKARVDDPDLDYMMTVCTVDGAEDVNWIAKARWVVDGNLWTSSGVSAG TDMTLAWIEHVYGRNESERIRIMMEWNALNQTDDPFAELYGLV PFICI_06119 MAPSKRLTVFITGCSPGGMGAALASAFHAAGHHVFATARDPSKL SDLASQGIEILTLDVTSASSIESAVEAVERSISTKSSSDNDSVPAGLDILINNAGGHY TAPISDASLASAKALFDLNVWAQLAVTQALLPLLMRSRSSAPSAAKDDLAVPMIVNHT SVGSVAALPFQGVYSASKAAFARLSDAMRLEFAALGIRVVELKTAMVMTNFIKNSQNN NVEGKSQQLPRGSLFEPARAVVEDVMSQDQFDGRGITAEQWAAGVVSDLMQRNPPAII WRGQDAIWGRFMSLMPTWMVDGLLRKMMKLDVVEQIMKESSKD PFICI_06120 MGPSEIASYHTTNNPFDTSRHAHRTIDEDVALNRLEEELGNIQD AAKVLRDQLIGIEENIARFCEKEIDTAAELIISFEAEKARKLKNIKALNSRSGSQYSM VELSRTRPKPFGHILEKVLQGRRVGYYDTTDTWEDARDADMSHEYEWMRDSGPRAEEL QVIELSEDEPDDHAPQPRANAIRNCDSWLDISAGARHSETRDYLHHIKQQRRRGIRQS NVGPEFRQPRIRSSDVYIEEFIFTLREDPRNSYVLRCPNATEIRATCNGMVTEFLDGG IFTKKPFGRGRAFQHFLEYHSDIFDLDHYPSNESIFYKCARRVCWDTVPKDRLNKDGE GPECGLFDYRPSGKVLELAEDIWEEIKLDLDHDDISASGDEGPEDHETNEGTLQSVPE TRNDEDRVIENGTRTVIRYQIIQDTPLRTPQSAHIHRPIPSASLSNSRKHP PFICI_06121 MAVPNPIAFRPKQVTFWTALAYLALLIPLIIVHETVPAAPSPEK LQDGLNLVEAWSDLATLSRAYHPYNSRENDVVRKWLLERISAIKSETGAHSDNLVIFD DKVNNITMAGDERAPKPLQGPDFHPKTIGTYFEANNIAVYIRGKQDPAGSWWESDSKE KPIGKGGVLVNAHFDSVSTGFGATDDGMAVVSILQLIKYFSLEHNQPERGIVALLNNN EEDWLWGARAFGYHPLMPFCHVFLNLEGAAAGGKANLFRTTDAEVTRAYQGGTNPFGS VVFSDAWQLGVIRSGTDYSVFHDIYGMRGLDLAFYRPRARYHTNQDDTRHTSVHSLWH MLSHAVHTTSRLSGDTGETFLGERPDQDQSKVSNGSPSDGVWFDLFGKAFIMVGLNDL FAWSVSLLVVTPLALILLSVILARCDKFYFFSSKKSAQEDEGVSVSVALGGLKGIVRF PFALLVAGALVVGSAYLLKKINPFVIYSHEYTVWAMMLSLFYFTFWVIVAAANFSRPS ALHRGYALLWLFLMTWAFLVAVTVYEHQRHIAAGYPFVFLQSAVFLATLLSLLELSGL PKKSAFAQKVYANHSSRESLGTEPDNQAESQSEPGAAQDGDEQGDEATEDSPLIGGSS NKNRGSTFGTVYRRPVSSSENQSSAGDGTSSEPFGHEQPWSGKLPSWLWFFQFLLIGP FFFTVFGQLGLALVASVKETGADGGSTLIPYLLVAITSILLVLPITPFAHRITHHIPL LLLVVFAATLTYNLIVFPFSASSRYKIYFSQDVNLDTGESFVHLMGVEEYVRQAMAVI PSAMNSEVSCEAKASDRPDMGYCTYNGSTVLPQVGPRHQNLTDWISFNATRDSHKLHF EIDGVETRVCGVRFPEPVSKFQVKGGNPVDSRFGAIPKSGLDSIMLYRRDWDKPWAVE VEWPAGIDLDKKIDVEVFCKWNDANKQGAIPALDQALQYTPDWVAITKLNDGLVYGNK SYTV PFICI_06122 MDPLTTLPTEIVLRILEFASPDSLAKLTRLNQAWHGFIDADYAD VIYAAKVEDDMSTGAAVRGGAEPADAFRAATSFAKYGHETASWKEACRRRMQLARNWN AYRPTTTESIIHVDAPEHFVWRFKPDFARRLVISTSQAGGVFVTDMDTGAALWSLQGD GEVRGYAHLEYEPDTGTAVWDRFGNTLEVWRTDLAGLPRGQFRQVELLHHDAETRGFQ LSYCTLCVVSTDGHGFVYNVPPGEEKPTLRTRLDIPHGAVGHLDQNERAVMYSMGAEG YHFYDKTTGESLGQLRPHQVDPFKMYHVNHPTSPRSDFAMVRREILRLVPSDDQDHAP FPPRTPQQDRLTPCRIIPGALRSGQPIPAAVHTPPISDDEWGAGMLDGNTMVGVSRGG RVVVCSDWERALRSDADLAAVTSIIECEPSNGAEFDLGGWLSISETAGGKRVLFEIRN KIYILTLDANAAITTEAPVLVATTSLPDLGVPVSFMGVYDDCIMSTFTMVRPTMEDSA GVDEHGEIIPTLRVTPTKIIRVLSFAPES PFICI_06123 MPQWEPVPLTDIPGGGSQWIEEPGTGRVARGSNRSWLQKLAIFS KHRAKTSKDRFIDYWKGWRTGIAFCITVSSAVLALNLVLATIAQLNKTRNLAGIVVED GLSTFHEGSCQTTKTISLVTHLIINILSTLLLGCSNYCMQILASPTRDEIDAAHSKRQ WLRIGVPNFQNLAHVDWRRSVLCVLLAVTSLPLHLLWNSAIVQTVSSNDFYVGGVTSN FDSGINATVDGPLFIEGWYTGDHQNFSDVWLKAMSGPNVTRLTASDCITEYGTPMLQK YSNVALVFNLENKTNTLLFAGIHTTGADEHGDNGTIGVGDTWVCGFPSPFSTVCEISS LAENNGSYWTPLSAASGWRTLESNQYLADIASSNTSVTGCLAETVDRPCRIGTTPAIL YVVAAANAIKVFCFLCTWVLTSHQPRRAGEERIVTNGDLIASYLRQPDTRFAGRCLAS ARLVRKGGRQQGHDMTEAGFWDFGTEMPLQWLGGQSQRQNKTVGESSPSRLPSLPFFR RKQSHRGKRAVEKAPRWHTGPSGVTWLTYMLPSGLSIIALIALFFAFGLDGYLFLDFG QASTQATISVGKGSGPGESLGVVRSTLIANVPQVAVTYVYVACNSVLTSMLAHHEISS YAVRDRGLRVSFPRRHTAQRGTYFLQLPWKFAIPLMTISTVLHWCLSQSLFVLRVSVY KPDGLEDLDNLISTVGYSSGPILASLGILALFLLGVCALGWFKRYEGANYMPLVANCS ASLAAATCPPLRQAGSPDIETSHERQAGKSNDPGLYSNMRYNVETAYDSQFSIGHDSN SRAERCLAEEKLFWGEFSVHDGKATDDEVSHAGFSAGEVGRIQIGHLYA PFICI_06124 MSATFLSPPFNYQSRIDSAWLRLLQPIEISQKRLSFRIIQLRRT AVPDYTAVSYTWGDQSPSEVIYLNGQKFNVRPNLWSCLYYLGRAQQTSPVGYLWVDAI CINQSDDVEKTAQVRVMDQTYRDAAFVSIWLGLVSLPDHVTVENINNAPIKTLDTDLF DWQDSMIDVSNRSYWSRVWVIQEFLLARHIRLHCSNWSIDGDEFSDILCREAGIDHLS DDPLPKASRQARSNIDAHGALPLILGRHVDKHPDFLQPLHRLITEHRKSMCGDPRDKL SKDHVLIITLAHLTQFGPLVSSLRDQETITPRSDDLFEGLGVGSLAQRKRLLHRSSKL DYVGQFSSEQLSRILESQDELEPYEASVEPDEEAGIDAPWAGEARLRAMEAWSNTVTG TITLVIIGLVLGYFNYVKEWK PFICI_06125 MSLAQHVTAFEGVDRESPFLDDDDCDVRSEPRWRRLSFDAFAPT DPLAFVEVVDPVPHIAAYKISPARRIVQVAFAVLICCLASGIVFGYASLKPVLIAEGV YRELCSSTNATEDDQDPFEVPCTEQDLRLNLFFVAASITTNVSSLFAGASLDRFGRRV CYIASSLFIIVGCLLMGYAFAIPEFDGYLVGNIFLALGGTFLFVPSFQLANAFPKHSG LVVAVITGAFDASAAVFLFYRLAWEATSHRFEPSQFFFAYIGVAVIMLIGEFALMPKG EYHTTPELEHKIEKAQDTMRDMHDSDQELSDGELERVRSHRADTRQAKLDRLEDLIGD ADQREERAQIQEERHVVSGVWGVLHGLPAHKQMLTPWFILILLLTVLQMLRMNYFIAT IRAQYRYMLSSEEYAVAINDFFDIALPVGGIAATPFIGMLLNNMSVATVLAVLTGYIA LIGVLNCLPFVWAGYATVISFVLFRPLYYSAVSDYATKVFGFATFGRVYGMITCLSGL VNFSQSGLDALTHGPLNGDPTPINAVMGVLGTIIGIAITSYVYIKGRDFEVEVHHEDA PALERMPLIRENSAEYGTQG PFICI_06126 MPGAEASQGGKPASHGSPFKRRTNSERSAYQDHDENDGDIPSEQ RPLLGPRRSSTLRNDSSSVTSNGDAEEEMLRQKQSEPPVSWRSIPNKGQLAMIVFARL AEPLAARSLTSYLFYQLRWFDPALPDSEIASRAGLLTAAFSAAQCVTAMLWGHAADSP LFGRKRVLLIGLLGTCISALGMGFATSYATAVFFRCVQGALNGNIGVLRTMVSEIIVD KRYQSRAFLLLPMCFNVGVIIGPLIGGFLADPITSLPDVFGPGSLLGGKDGVAWMRQY PYALPNLVCATLLIIATIGVILGLDETHPLLRYKPDPGRRLGSLLYRTMFSKGSSKNT SDHEYEPLATNNDQNRPESEALVIASVDEEEEDVEQAPPPRPKPAVEEKAPLRRLWSR NVIVTLLQHHVQTLHVSSFNTLLFTMLPTSRSSNENAHYPFRFTGGLGMSSRDLGFAN TIIGCVGIPLQILLFPYLSGKLGIKASYRLFLAFSALAYFALPYLVLLPDKASILWPF LSLDLVSYVFSRVFVGPATIMLVNECAPHPTLLGTVHGVAQSTSSLARMLGPTLAGLL LGWGLQNNCVGLPFWVITILAALNWGLILWVRDVHIPK PFICI_06127 MVMSVPAVGLSVLNGPEDAVIDIVFVHGLQGHPQNTWTWKPKDT SNKPESSRRHPKVDKKGRRSILRSVFSRSGRASSSRPNSPSTDGDTDEHGPDEDPAVY WPRDLLAADFPTARVMTFGYNTIVTEGYRAANQASLFAHARDLLYALEAKRRSAPDRP LVFIAHSLGGILTKEVLRRSEVDLDIKIKRVFESTIGVFFMGTPHRGSQEWASFGEGM AKLLSFLGGVDVNLQIVHSLLPTGPELDLCRESFAAQWAKRGDSLTVRTFQESKGLTG VTWGGMNKLIVPPDSSSLDHPSQRSRTINADHRMMCRFCGPDDPGYTMVKEDIAELVQ QAEKRTSKLIVPINHVGWSSPSLTAIQSDIGQPVSDSYDEEIFKKCLDSLWFTEMEYR RSQIDSPHPETSKWLFAEEKYMTWFEHLKCEEHQGLLWIKGKPGAGKSTLMKKAFNDA SAQEPLTGGIYASFFFHSRGNVELQKTSLGLFRSLWHQLLRSDEVFRSKFMARFLAKP TPPDNQAQSIWTLEELKDLFREALTKHVRPVMLFIDGLDECVETEIRDLVNFFRKLTT TATSNGARLSVCLSSRHYPHITVSNCPEITVEDENQDDISLYVHAQLFPEEQKGMYAE IREQIVRRAGGIFLWTVLVVRILLEDFDSGMGANPASLQERLDTVPSRLEELFLDLFK HTKGNDLKTTVFLIQVVLFASSPLKDGEMAQCLSFGLNTYHSLQEWKSSSTYIADWKA FEKRVRFLSRGLVEITSDHTVQFIHQSVRDFFLGQRGIKLLDKDLEADPAGKSHLAII MSFLNLMRTKELSCLDSITDAQLWYMRGFTMNWFITTDKGRADSEHIGDLFPHQILFL WNDFDGCRLAWYVGRNIMKQLTAADKVEAPLKPALDLLLHSKDAIWSRVKTAISITAA EKGKLPNPPRISPVLDAFVDANMVKFVKVLLSLGAEVNEQNTDTKPLLITAAKRWEPE DLEVLLANGAKSDVRDSSGRTILHVFAERDHEDGVLKALSLGIDINALNRMRRTALHS TVLNGFTRLSEILLDRKANIDAKDGDMRTPLCLAVMRDDAQHVALLIKHGADLGARDL NGETVWDAAKSRPRSLITVERLEELSGKPAEFTRSHDDVDTEPMSNIRDSLEF PFICI_06128 MATKENSKTTPRDIIDVETIINDIRNQHQQCTETNAMEDLELLI TMMWQAIEAIPKDHPDYAKILSGLATQLGSRYTLTKATKNLEEAIVILRRAVEVTSRK PSQDFTGHIEPLHNLGTFLTYRFMGTGSASDLEEGINLARQAVHEATQLNYPRLITLL NSLSIRLQRRYTLTGNILDLDESITTARKAIEESSQKDPNHAAYLNTLGMILRSRYTR TGAREDLEESIILARQVIKETPRNNPDLPGWLNNLGVGLKYRYTLIGAIADLEEAIIA AREAVQATPRNHVDLGRHLNSLGVFLRDRYGVKRGMADLDEYIKVMQQAVEVTPQDHI DSSQWLNNLGLAFFDRYRTTEAIADLEQSITTASQAVEATPQDHPNFSRWLYNLGNRY GDRYARTGEISDLNDSITTIQQAANAIPQEHPYIADVLTGLGDRLRERYAKTEMMADL EASVQHFETALNNPTSLMVPRLRASHNLLSMSSVLQNKTHALRHARTAIYLLPQLAPR SLKSTDKHQALMQAAGLASNAAAIALHAGQPPSIAIEWLEIGRGVLAGSLQDMRSDIS MLMQKHPALGTSFQRLRDFLDAPAQQSMSTSASPPLERADFTVQSSTDERIQTQKQLE ELVNEIRRQPGFDRFLLPPSETDILKVGADGPVVVINVSTHRCDALIIHEHQMNCLEL PHVTEEEIIEQRRHVSKSSLLMLEWLWDGIVSPVLESLGFTQTPSDGNWPRIWWIPTG PLVGFPLHAAGYHLDKASRTALDRVISSYAISIKAIMHTRGQSRSRVECLSTQRLVLV AMQDTPGLDQGWLQHAEEEVAGVRKIGSSMQLLISEPTKSKKEVLSAMEGCEIFHFAG HGDTNQSWPLQSHLLLDDWKQDPLTIESLLETNLQRRAPYLAYLSACGSGRVLNDASF DEGIHLISAFQLAGFRHVIGTLWNVDDRLCGDMARLVYEALKDGRLRDESPSRGLHFA TKQLRDKWIKDFRTAMRSSMQELATSRDVEAVDESEIEGLPWVPYVHYGV PFICI_06129 MDDQFTKPAHHAILIGIDAYQQNPLKGAVQDVLEIQAHLTETLD SVDIIMLTAPKTIGVKSSVPVERLQLWPTRQNIYSAFEVVTKSAEAGDFVYIHFSGHG TREEPNGRSYNQATGDLALVVLTGNEEDPETYLWGRSLAFSVNAMVNKGLVVTLVLDC CFSASVYRPPGSERGGVNNRFKLYDKAIASRFPPPGRIFDGRNSTSDIRDASMLSNWL INPDKYAILVASGPHEKAGEMPFEGGKIHGILSYLLLRILKEHDSLNSNHKLVYDCLR AEFRSRQLRQYPVLYGSKDQGFFGPPSSGHLTATVLLSRKANGTLEIPVGRAHGVHDN DRFALELLGSAEPRSQTDMVIGTVAHAGTFSSELKPLTTLPVHGQQRWRATTLSQHDL GGYPIQLSSSLPDRDKWLIALANRSLHVHSHDDQRAYCFEVALNFNQEYEIRNEAGQK IMNQPLKRRSQTNLDEISDVLKHLALFRLTKDLGCDESTSTFRNTFSAHLIISGTSFD KDCPITMEDGATANLVIRNLGDDAIYVYMYNLGPFWQVENICRATYTVVTPKESGSRR GGIWEKKIVMKMPDRMKEVGHRSCEDIVKVFVSSHPTSFDFLELPKLGERTTSEQSRT SNRACISATERWTAINFPICIVLKEDVEIC PFICI_06130 MQQNRYCLPQWNRSQPSGATRAPVKKIEDYRAGYPRFTALLSAH QPYLICRPFTQLRARLLLLKQDRLAVLEQTLEQIDQDEVSPLFLGKSRCDRNTDRIAL LAEIDSCLADYDRFVERTNRTLSFSPAEPRDVESLQNWLNGTGCVAREERAYLSQREL ISVAPTRDNARVQFEAWIEDKLIRFYRGFRKSHLHDISNDPNVYIYSGPVVQRAARAL LLSLMALLLLLPVVICNATNIISARIIVMMVSTICYLVAISELTQPKTMELILAGATY ATVLTVFVSGTSEL PFICI_06131 MSASTTKDTYTCTVTVDRGTAPAEGAKAHHVYDDSGRLVRFKNP CPSFGNWNEVSLWGSAVIYFGNRLRGRLPVPDTSIAKIPTVEAQFLQSRTAKPNALRA TWIGHATYFVEFPSGLRALFDPVFEERHNFIAPKRFTAPACTPRDFPALDAVFLSHNH PDHLSYPTVKELVKAYPNVHFFVGLGESPKLRELGVLTVTEMDWWDDAVVTLERPAEK DEEPKRIAARVSCLPSQHGTMRTPSDKDHTLWASWAVTSGGKSLWFAGDTGYRCVPDG MEEFGPGFDDLPKNPHFAQIGELRGPFDLGLLPIGAYHPRMMYSPVHASPYDAVEIFQ DTKCKKALAMHWGTWALTSEPVNEPPEKLKEALKMKGIAQTGVFDACAVGESREF PFICI_06132 MGGPEKQFSWTQFFPPKPHFTDQNIPNDLQGKVYVVTGANSGMG EALAQVLYARGAKVYAACRSEKKGNEAIAKIKKAVPGSRGDLVLLLLDLADLSNVQAA AKRFLSSESKLHVLFNNAGVMTGPNTSPTETAQGHELALGVNCVATFLFTKLLTPALV AAAKDEPVGSSAVRVVWLSSFGLEAFAPEGRGIDLNNLDYHIPREPIDRYGISKAGTW LLAVEYARRHKADGIVSVAINPGNLKTELARDQGLAMKLIAGTLTYPVMNGVYTQLYA GFSSEVTNEKVDWTKEWIIPWGRVAPLRADLLPATLPVSEGGNGNAQQFWEWSEQQVK DFL PFICI_06133 MADAIENRGPQLAVVDYTFAILAFVTILLRCGVRLFIVRSFGLD DWLMSLAAVTFMLYCSFSLTGVSYGTGRHEADLPATNVSHGRMFWWLCYLMYCTTMIL SKISIGYLLLRVAVKKIHAWIIYGAMVITGITCTIFFFVVIFQCSPVSFFWNKHQDNG TCINIEVIIGLAYLYSACSVITDFTFALLPAWIIMGLQLHRRTKFALIPLMAMGCVAS AAVVVRFAYLPRFRDPDFLWATLDIAIWSTVEQGLAIAAGSLATLRPLLRLLGWKLGL TTRPSHMHSSYGKMGGSRSAHLSGHMSSRRRGSQGAADVLTMNSLKEGTRPAKSSSGN NGPTSYEVRCEARNARSRNDLESLSNVITHGKTYEVSSEYISPASPDDVKIWIKPSPT GSRDVTWPEKERSHSTESTERLRTKRSSDSIFGLSTSPV PFICI_06134 MASWYITVRLLFLVFVAIWSVSATIPQYTQEQINSGDALKDLSK LAYENAMSRLESNGTSGCNKDNVKVYKEWRNIPSDERIAYTKAVQCLVDKPGLMSIFE GSKTAFDDFTVLHILLTPYVHVSASFLLFHRYFLFTYAEKLAECGYTGSIPYWEWGLD CDDVDASPLFDGSATSLGSNGEKIANRTAPTIPGFDLSGMLFGTGGGCVHSGPFKNLT VNLGLITDPDPTRYAPRCLKRDLNPFICKNFASLRNTTSVILDSPNIELFQAIMQGDT RYAQARNVFFGVHGGGHFIIGGDPGGDFYFSPGEPAFYLHHGQLDRLYFIWQNLDWEN RQNVGGTRSWAGIPLAQNATLDDTLPFPPLNKKRSLGELISTTAGPFCYVYE PFICI_06135 MTASVTWEDPQLAEFHSALCKSRRIIAVLGAGLSVASGLPTYRG AGSTGLWRNHDATQIATPAAFRHDPGLVWQYTSHMRRLALAAAPNDAHYALAELARRV PSFVTLSQNIDNLSPRAGHPADQLKLLHGNLFNLRCADEKCGYVERGNFQDPITPALA PLLDDQKSSVMGQGHGTKRPKATAWLLEGIARKNRQIMGAGYQEAADSRADQAALKSG HGNESIESRMAAVPEPSNLSPADLPQCPRCATSLLRPNIVWFGEALPADLMAEVDAMF HNAEPIDLCLVIGTSGSVWPAAGYADLARKKGARIATVNIDISDIKNVRPGVDWVFAG DAAAIVPRLFEPLIQKSGNGAP PFICI_06136 MTYLERYRSGHINRWVQRLLRLLQFLTAIISLGFFSRRLNRLLH LTRGAYNRGEGAVEGILAAAVVYSILAMAMACCLRHGGPKIIRWLFVLMDILFIGAFI AVAVLTRRHGPAGAYGGECRTDGFLANAVRSDFRRRHGCNLPWGTFVLAIISAILYAL SALFHEVKDRRNREPSYETAPGKGARGSHHSTSTYNTYPEQQQVVNPDGSYPVQAPVN PGHNVAGHHV PFICI_06137 MLQAVSSVHDVQHVRSTQSDIANLTASFHDSKVHLLLAASGSVA TIKLPLIIQALSHHPNLSIRVLLTPSARRFLAGQSAEQPTVSSLYDHSCVDAVYFDED EWKEPWKRGNSILHIELRRWADMLVIAPLSANTMAKIVWGMADGIITSVVRAWDARGE LDSDVGRDLPVGAGAAAAATTANGAASRSQQTGRHGKIKRIIVAPAMNTAMWRHPVTE SQIKVLSEDWGVGKQGKGAGWFEVLPPQQKTLACGDIGDGAMMEWSEIVKVIEDRLGL KQT PFICI_06138 MWSRSLISSGFRQAARPVTRQTIARPAPFLTTFQNRWLSAQTKE AIEKAVGSAPVVLFMKGTPELPQCGFSRASIQILGLQGVDPEKFAAFNVLEDAELRSG IKEFSDWPTIPQLYIDKEFVGGCDILVSMHQDGSLAKMLEEKNVLAAAEGAEGESKE PFICI_06139 MPLTTPSVFPPQSDEFMKFPSRRSVVHNTKGIVSCTQPLAAKCG IEVLKAGGNCADAAVAVAAGLNMTEPGSTGIGGDMFCLFYDAKTQKISAMNGSGRSGS KCTLETIRSSLGIQDGQDGKIPMTSVQSVTIPGAAAGWCDTVERFGSGKVTMEQILAP AVELGEKGFPVSEQIGHYWNAAEQTIRDASPNFSEMLKNDPAAPDGVRAPKAGEIMKN PTLAKTFRTLASEGKKGFYTGRIAEEFVKVVKDLGGHLELEDMAHHLEMGSEPVEPIS LKFRGQGVAETLKKSIPGGNTSDLDLGVELWEHPPNGQGIVALMALGIIQELELAGKI PAFKPEEFNTAPYLHAIIEALRISFADASWWVTDPNVEKVPTASMISKEYLAERAKLF SPDQACPVVHHGSPALQSSDTVYFAVSDAEGNAISFINSNYGGFGTCIIPKGCGFTLQ NRGANFSLQADHPNVLAPRKRPYHTIIPAAVTNLHDGSLHSVYGVMGGFMQPQGHVQV LLGQIVGGLNPQQALDAPRICIGAGMPEHGKVFDPTVSVEEGMPEETIEGLKKLGHTV KVVTGQGRGLFGRGQIIRWSLDAVENEGIWSAGSDPRGDGAAYPQ PFICI_06140 MLFLLSLLAPLVASQELMRFGCSQLTIDQIDPLVEPGNIPSAHM HQVVGGNSFNASMSPSSLDPPTDATCTSCTYSEDFSNYWTANVYFKAKNGTFKLVPQV VNLGLGTKAGMTIYYIRGYQASAKVTAFPKGFRMLVGDPMNRDASKVPKGLCWRCESN MQQNPFGGAPCTGSDTPGFPKAACGGGWRMTVTFPSCWDGKNTDSPDHRSHVAYPASG TFESGGACPSTHPVKIPQVMYEAIFDTRQFNNKADWPTDGSQPFYLSMGDNTGYGIHG DYLFGWKGDALQKAMDTKCANDNCAALKRQTNDQAIACTKAQASKEDIGASQWLKTLP GATM PFICI_06141 MKDNDSISNSNKEPTAATEHHEKSPNGPEATLFEDVSYGSSGVH GLLGSPYVTGAAALASLGGFSFGYDQGVISIINVMPQFHATIPQTATPFGTGLMTGML LLGAFVGCLFMPYLADKLSRKRALTLVVVIFNIGAIMQTAANSYGVLVAGRAIGGIGV GTLAMGAPLYISEISPPNLRGTLLVLESISIVSGAVIAFWITYGTRFIDSDVSFRLPF GLQMVCATFLGVGILFFPYSPRWLVLVGRREEALQSLSKLRGLPQDESRVQAEYRSII TEVEFQTMVQEKRHPGKKGAALEFVQWIDLFKGCTLRRSLVGVGVCFLQQFMGVNAFI YYAPTLFRSIGQSDEMSLVLSGIFNILQLVTVLVCFFVIDRVGRRPLAIIGGVGTCVS YVIIAILSGLYSHDWAAHAGAGWACVAFAFAFILFFGLSYSPLAWLLPAEVFSTANRS KGVALATCTNWLSNFIVGTATPPMIAAIGYGTYIFYAVFCLLAALWAVFLLPETKGKT LEQMDEIFGDTANHEEEELMHIAISHVASTVNPAQHV PFICI_06142 MADSHATFTFRHGIAAAQLVIFSLSLFSAIFFRYRHRNGWFCIG VFSIFRIVGARCMLGTLTNDATSVWAGVFVCESLGMVLLVFLLLELLQRANKEVETIN PRWFWYPQIITWADIGLAVGGFVSASHHSSLAPTPYTQASFGLYTSMYLLVVGMTWFL WRNRASYPIDEKRAILCVVVCLTLLAIRTAYALIYQITGDKTWNAVEGRPTPYLLMTM LPELGIIYVAIWTICQISPPPQEKRGRTRSEELYSFVHGDSGDRLRAHDEENNKPQSA ETIHM PFICI_06143 MVSSAAYARPISKIAKPVLLPASIAAIAYAAYNGRINGVVDLAK RFATGPGSKSRIFALLMVLVNWKSLPLAWTVRIFNTMISHIFIRPLHEHGPEVLFHPV ITETHVTLLEVDYNIHKSNSTYFADLDVSRSHLASHLFARGIRLLGNNAESKLVMDPS DPTRPARGRFGVSLGAVHCSFKKELKPYQKYEMWTRVLSWDRKWLYLVTHFVEAGAVK PKSWDASNFGLTRSEDGKPEEWQKKIHATAVSKYVWKMGRLTVHPAVVIESSGLLPAR PEGWVSTESGMVTPNEPVTGNTSEEAKEHDAETGWDWRRIETERLKGLEFANHFAALD GLAGQFDGGENGALGKFSLG PFICI_06144 MQFKTVAISLFVAVAAASDDITSLVTQVPDCALTCLITGASDIG CTVTDYTCQCSKAAELQASAGPCIDAACSTADQETALTISQEICEAVGITADTTSVGS SSNSTATMTSGTSTATGTSSSSTAAVTAGAGRLEFGALAGAAALFAFAL PFICI_06145 MSRKAPKGEWIETDTGNKVNRKATLVGTQHIMLGGKTVIMPEAM IRGDLSRTVPSTNPSQPGSNTAVFIGRYCFLSKGCCLRPPGRIYKGAFTYMPLRLGDH VFIGEGTVVQAATIGSHVNIGKGAVVGEFAIVKDYVQILDGTIVPANMVIPSFSIVAG QPARIIGEVPEGGHDAFELRDLYKSVKTTAPATAV PFICI_06146 MAENTKLFTPLQVGSTQLEHRVVMAPLTRFRATREHVPTDVMAQ YYAQRAVVPGTLILSEATFITAKAGGYSHIPGLWSEEQLAAWKKVTDGVHAKGSKMYV QLWHLGRAAWPDPVASGGAVRDEDDFDFEHDFVSSSDVVMADGLPAPRPLTEEEIWST IGDYATAARNAVEKAGFDGVEIHAAHGYLIDQFTQDTANRREDAWGGSIEKRSRFAIE VSKAVIKAVGADKVGIRLSPWSTWQGMRMADPIPQFSYLIKQLRQLDLSYLHIVEPRV HGVMDLDPKNESLDFALESWGREKALFVAGGFTTELAKQAVEEKYRDHPVAVVFGRRF ISTPDLVYRVKKGLPFNDYDRMTFYINQRIGHKVEPGYIDYPYSEEYIKEFGKPDVAL PFICI_06147 MERLHPSDIWQHVLRGARSDEVNGWRILVAGDPTSTNCVAVALI HSETRDQSSERHIPYLTFFHEGIEALPCRCADINRYIYNGVSSNGSHRSRIKLLQARE QALQKADNQALTAARRLKDAELPGTNIIWDNYQSIWYDVLRKLLRPDQWLATVSPSLI LDPTYWAHDIFVDLVLSTSGALTPIPQWQWSLRKAYDGFDDFGVAEFAGAYCGPTSTS QPALQVNMEVSSQADDEEGCTTSSPDPSMRQSRTQKWDNTKRMDAYLALNRLDYIASA VRNECEKHRDRRRELVR PFICI_06148 MPNKTTVLGKPNSAEVQPDGTATNVTTKGEFVQSSDPTAHPDAS LGQKLKGDVQGALHTATGSLQSAAGAVTGNQKLKQEGLHKMQEEDQRIGAKHGIMPVG SGLREKASGVPSTVEQTQTRPAE PFICI_06149 MSEKTSVLFVCLGNICRSTMAEGVFRSLVKESPYNEMIGNIDSC GTGAYHAGDDPDDRTMSTLEKHGITDYVHAARKVRSSDFENFDYIFAMDRSNLSDLLR SKKPAGAKAKVMLFGEYSGSGKAEVVQDPYYGGQQGFETAYTQCLRFSKNFLSELLTN TDA PFICI_06150 MTSYPPRAPENGLKFTSITHSCPYPYIEETAHVGHKVLITGGTR GIGRCIAVAFAKAGAAAIAVADISEDFDKLAHDMIQAAQGNGFDPPQIVLHKLDVTNE ESVRTCAGLIREEFGGKLDVLVNNAGFMTPAMSLPESDAETWWKTIEVNLKGPYLMSK YFVPLISAAVGGPQTILNINSVAAHNLRHMASAYGTSKFAVLKLTEFLLVEAAERGLV AYSVHPGAVLTELAEKGMPADTLAGLTDNPELAASTVVWLSGERREWLSGRYLSATWD MEEVVARREEIIKDDKLKVRLVV PFICI_06151 MSQDTTAEHDLVTTAAAAAAASAARQIGRCGATTGPSAEDWEAK RAVITDLYKKMKLTELDIFMRREHNFHASCPRGPFHNPIVVRLRPTDVSLRLSKRMYN QRFKQWGCTKYIKFSEKEDLLKECGGSVRELTARYRAGKIMKPQYEKTLRYIRSKQAV EPPTPYIMEMKTPDNSTDLILRTLRDYHHALADPASKMHIPSDDRALNLNSSKESDDL WFGILVGVKTLLRSTGDSPKAPSSNHISATWDLNQTFAMLRRVGFLTAPAMLERPLDF VYEVLIEMTALQGKNWPELRHAILRLFNQEASRIFGPSHPVAVICREMLQDTDQSGVT FKSLGCIRDLVVQLWSPDHTMSFKAQMAVQKALLKVRDLGPAIQIGTQLFVSSRQKWG DTSQQARMAAQRLGQLYTVMNEMAMAKGEPDWAAVESALGWYNDVIRLSPMAPGRDAR RTGFIEDETTLSTMGDMTYINNRIGKDAEALAWYQKAAEMSRRICNPGSTIMRACISM LINKQKEMKRFDQAAAWDAILSQMEATSSNESVNS PFICI_06152 MSQRNRGQRTANRNNEVGEEYGLWRNVEGSLNSIVGAINESSQN VDDIVTQDKLMAEKRNAGDFDKRDANGTRPELQADLSNIDSLLRSGVKINEKTAAQIK QAIENLKLLSAIQKAKEDQEPSFSRSATQRAKESAAASSSVYDFDGSGDSSVPSPNPS VARRMGGSNASKGDRGSVPPSVDRSTPVAKAGSVEPQNSAANNARSKVTFAKQEEVAF KPKPQNNEPSSDWILGRVQDVRGEGKSRRYKVLDVDMDESGKQKEFRSSASSMIGIPK EGVSLPPLDSGKVVLALYPQTTTFYKATVIGMDPDGQVSLKFDGEEKDSVRQHVARRF VVEYRP PFICI_06153 MVQVPLFRLQCGVNSYDWGKIGSDSAAARFAAATPADGFSVQSD KPYAELWMGTHPSNPSRDVDTGRSLLDLIDVNEGLLSSTIKARYGAKLPFLFKVLSIN KALSIQAHPNKKLAEQLHAKDPKNYPDDNHKPEMTIAVTAFEGLCGFRPLGEIAHFLA AVPALRELVGEDDAKSFIATVRGQEGDDSSESTTQNKRALQSAFAALMKSSPEAIADA SKKLVADAEAKGAEFADGGVEASSGATLSELVIRLNKQFPDDIGLFVLFFLNYVQMQP GEAMFLKADDIHAYVSGDIIECMAASDNVVRAGFTPKFKDVQTLVDLLTYDYAPIEEQ KMEPKDYPYAVLNRTAYSSGSESTLYDPPIDEFSVVRTVLKSSGSKATFDPIEGPSIV ICTNGKGKISVGPTVKEIKEGWVYFVGATAELVLESENGDEDFITFKAFCEIEDHSNG EKL PFICI_06154 MRIPASVEPTPIPAAMLVLSPPLSSAISTSEDSVPASLSVVRLP VFIDVVASPADASAGNKWLAGDVILGAGLTVDDALDCVCDAMLRVSLGSVKRPVLGAI TTGGEVISVMDSHMDIFFSLAWTLGSKPNQLGLQ PFICI_06155 MPGKSIPPPNESVTYSSGAHDGLPDVRLLHYNDVYHVDSSSAEP VGGAARFMTLVKHYRDDAKWEGQPNLVTLFSGDAYNPSLESSITKGSHMVPLLNNIGT DAAALGNHDLDFGVRQFKHLASKCKFPWLIANVLDPALGESVPIGNAKKTHMITSSNG IKIGLIGLGEREWLATINSLPPDLIYKSASETAKELVPKLREEGADIVIAITHMREPN DNKLAEQTDGIIDIILGGHDHYYNHSLIKGTHVLRSGTDFKQLSYIEARRAKDDPKKW DFDIIRRDVTSEIPQHQDTVKLVDELTASLKAKLQKPIGFTAAPLDARFKTVRLKESN IANWVCDIMRHHYSGDCCIMAAGTIRGDQIYPPGPVLLKDIMNCFPFEDPVVVIKVSG QAIWDALENGVSQYPALEGRFPQVSNIKYTFDGSKPTGSRILEATIGGDAIDMQKKYV LVTRGYMARGKDGFESLLIEAEGGQAEEIVSEENGILISMMLRQYFISLRVMGQWKNW GNAISKHWSEVSTKVGTSHPCYKPTSAATPSTPSAPKGHDWESWSPKNIRSRRASVIP REEDSDSDDDGDVAAEKDVEKVDKELQTMRRVFGKWARLAGVECKAGDELNEAEFEVD WTQAIAPRLEGRITQVGV PFICI_06156 MPSNKQRPPFRAEHLGSLLRPKDLTEKRIKLDDAKALEILQDEE LHAIEDRSIREIVKLQLDLGFHAINDGEYRRHQFWGTFFPNLEGFEEISPDWDMFRLY VPDIAAFTEAGHKPGESIVCTGKIKHVGSSYIKDWEFLKALVPADRVKELKITLAAPE WYHLRYKQGKTYPKEVYANDAEYFADVAAAYRAELKVLYDAGCRNVTIDDPNLAYFCS EKMLAGFKEDGEDSDALLDSYIKLYNDCLASRPHDLHVGIHLCRGNFAYSKHFSEGGY DRIATKLFNDIDVDTYFLEYDTARAGGFEPLKELPPHKNAVLGVITSKFPELEDIGAL KERVYQAADIIASGAGQSRDDALKRIGASPQCGFASHHLGNSVTHDDMVAKLKLVREL ANAIWPGEP PFICI_06157 MSSMATTHIQDLPTEILFGIFAYLSRSAPSERSLHEQPDAALLR ADPANGPFPAHLKSISCVCKRWRAIILPVLFRNVIWRPQISSFESIDLRPHDMLRFLK DQDLAYNVLTFTLMVDFATEEVEESELHRKIWPGDLAKLWTRLFSVIDPLRFTIMAPP ATLAAFMNRMLFLTDAWSFDIPYHIFSLAWSSRQAKKATVKSPPSPSLEPQSTPGPSQ TSTTTSHNGDDGLSERRHVDLKASLGHGTISLPDGKHKLVVPPTSTLFTIRPWTSVLL NEGSSIRAYHTYEYFLRQPPSMLSALLGTGEYPNNVALLPPTIVDFNYIAVFPLASHI QNLFANLPKIERLFVQLTPRPSNQILQDKKAMRNIDMADLWMERNTAYSHLFAELTQV DPQGNWGTLKVFESGDAADRESWNMAVEFLKQSEITNWVVERDGSLVKVGEDGDDKPA ARSAGSVVHHLLGDESLSVPLLSVPPPSPPPCLTLDFCRHVSLVYSSGT PFICI_06158 MVKTAAAPAPTGPGIYSATYSGIPVYEFQFGQDLKEHVMRRRQD DWINATHILKAAGYDKPARTRILEREVQKDEHEKIQGGYGKYQGTWIPLEAGAQLAQK NNVWERLQPIFEFTPGNQSPPPAPRHASKPKAPRKPAVPKWAPSAPSQPPQQPPQMLQ QHHEYETASQMNEEDTPDNLTVASASYMAEDDRPDMSHYSTGHRKRKREDQLQDMTEQ QHALYGDELLDYFLLSRQDGPAARPEPPPNFQADYFIDTDRNTSLHWASAMGDTEVMK QLKRFGASLNCQNIRGETPLMRAVCFTNSYEKQSFPAVLKELFDTIDARDESNCTVLH HAVTMRTGRVLSHTCSRYYLDNILNRLQETQDPNYVQALIDAQDNRGNTALHLAAQSN SRKCIRALLGRGASTDIPNGEGVRAEELIQQLNATKGPKERAPQRSSSPFAPESQRHV AFRDALTESVNKLAITYHSGAANAVQNKITPLVLEKFQDLARSYDEEWKAKNDAEVEA RRILGKTQNDLAVVGQDIAALKARLEPQENAAKAVGDATMAQHQVLDLLAAQTRHLVN ANVQQQLSLLNGNATSPDDDDPETRLQLANQLREALAEQRKVEKEYVDALGLSGTGEN IDKYRQLLKKCLDRADADNLDANLDDLIDMMEEEHSPTETPGVAVVPESDRLLM PFICI_06159 MEKIRGASQAGLSIYLTQQSSQPHIQQQNNKIKSRPTRPSKTFM TESKLTKKLHEGIRNGDHGVVIKALSSAADPNGTIKGSRISPIHSALSQTEAVLGCED EIASRDLVMIVMALVLAGADLHAVDEEGHTPLIRVVKGEMGDGLVALMLESGAQVNTA DKEGNTALHYAAMQNNLIETGNVETIKILLANGADLKAQNRRGRTPLYESVMWEHIDQ TIQLIDYGSDLDISDSHGWTPLYAAVFQGHTRLTKLICERGAFVDEKDKTGQTPLHYA ISQGRCAIVQVLVEAGADVNLIAKGETPLCRAAAKTSSAVIVFLLQHGADVSVPSPGY RGALPIHIAAIGKDLEVLAALISAGSSVNARDGAGRTPLAWAKESGRNNVVEFLTGKG ADA PFICI_06160 MPLLPTSTGQPRVILGLMTFGPSEKDGARITDLSVYNSVLDKFQ SRGYNEVDTARVYISGQQEAFTREAKWKERGLKLATKVKYPSADGDHVEAKVIESVEK SLQELGTDCIDILYIHAADRATPFEQTLGALDKLHKAGKFVQLGLSNYTAFEVAEAVM IARQHGWVRPTIYQAMYNMITRGIDAELVPACRRYGLDIVVYNPIAGGLFSGKIKSKD IDPSQLEGRFSDKHPTGQNYRSRYFKDSTFQSLRTIEDAIASHEGLTLIETALRWTVH HSALNVKDGNDGIIIGISSLEQLDGNLDNLEKGPLPQDVVDAIDKAWLISKAEAPNYW HKDLVYKYDTVEALFSPKK PFICI_06161 MPSNDVRLSKVSPATSLHPPFSRADKPEPSPASSSFSCESDDIE DYIGSGANTVGGRTPVVDKRLSFAYEGRPSNVSGPRIRTPSADAYGSDDDEAMDNKAF RSGQPLLSQTDDERGRARYNHSRTPSPLPSAHQRPTFSRRSTMRSRSPDTQAKMAAKK KYVYAAIFLVVSLVSFCIQTELAAYVQQELGWNKAYCMLYMTHGSWSLLWPVQLLVLR VQKWNMPWQAFWRRHVYLLRSTTHMIRDQNLDVSRHAMNTSPWPYLIKTTAFITSALT IAGLSWYLAVDMTSPSDLTAIYNCSAFFAYAFSVPLLKEKLRLDKSFAVVIAIIGVMV VAYGDSKEDGGEDQAENHTAGTRFLGNLIIGFGSVLYGLYEVLYKRWACPPEGVSAGR GMIFANAFGSCIGMFTLTVLWIPLPILHMLGWETFELPTGQTAWYLWVSVVMNATFAG SFLVLISLTSPVLSSVAALLTIFIVAIVDWLRTGKSMSFAAVVGCAMIVVAFVMLSWS TYRVMVEDAERKAHEGDAETEWDSESDKDDDRID PFICI_06162 MPAVHHPLYSRSNVSSHFASGGLLRYFCSVGVITTVLAFIFALH LIIKYKKLCRSRKHIVGLIGQKEAFAHYLGEGNWDKSRGVSNPMMAAADMSAEQHTSY TEIQPDESEIKPNGHEVIATWNMGDTAEVSRDGHVDTPLFLRNSLLVRPPPSPPLTRP ERSSEMDIFQDRRMSSALSTAGDLENDSLYHASPQNVLAASSSSSSAQATQDNAVIIP RRRSYTKVVPIGPPQPVSWLEEDGTVVAFSPSSFPSSNPALPLAPHDSFHNHGIEVKG EILSALDDSGAGWRRHTRVYGGGVCLACLASSDREGGFYGDRVRPEERR PFICI_06163 MAPEIMAVAHPDELSTATRTTPGTELLYHNDKDHAITTVEGYPI QYIERDDGCIILVPQPSPNDPNDPLRWPAWLKWSTFLNALSYSFMGGVTGPIMAAMMI PLSERFGQTLQRMSYANGATLVCQGVGNTLWMPLAIKFGRRPVYLASNTLMGIACIWL GVATNASYTPFIIGRAFLGLFEAPIESIVPSTVTDIFFLHNRGARISMYGLSVLGGNE LGPLFSAIIFQSLGSAWAFYIVAIFIFANSITMFFFMPESRYLGARPTVSLAPSGPTP AAQARDKGGVEMVEDVRETRPHAKTLVAAQKTSLGEVVPKQSFGRNLALWEAPDKNAG LAKDFLRPFILLTYPTVLWTCLIYGASLGWNVILGATVAQLFEPQYGFDSQAQGLVFL APFVGSLVGTWLCGPMADSIANYYTRLNDGVREPEMRLPTCAIAAALTTAGALVASLT YHYDTHWIGPIFGIGILGAGAQMGATLSMSYASDCHTDLIVELMVAVASLKSLIAWIW TWVINDWIAAQGMLVAFMTVAAINIVLYLTTILLYIYGKRLRTWIHEHDMLAKAGLR PFICI_06164 MTVINDAPSRFVIRPLDVSHIEWVSAIFAHSHLFHNKAWRGWLP EKNLTALAYEVLGTSDYLMRHQLESGYSLGMFDTEYQFKNPASAPNGGKLWWDPQDPH ADGQRLLEQMDFPLVSIALAYDSIHPLDPAGMAPLLVSLPPTKDIFGILAALDPRDPA TWQATGPGQVLFRNATNTRADYEGLGLMAKMAREMMFRSAEQGFRAIQIECMNNPVTH VWSHPPPPFKGEVISEFQTKTYEEKDENGKMVAKLGLADQRMTKCYVTRR PFICI_06165 MHAGDFAQMSHNGFAMQPLGGMPAGGLASRRGGQNIKPLSFDSL KAANESNDTGVPTPRTSRSHLLAGLRTAPKSATTSTFPSSATAASTAQHLPNRNGANS GMYNAQENVYAGPKTSLPRLATQQQQQQQQQTYNPMMMGLGQHYTADQILAPPQLQFD EQDQEQMDPSFYAQLVAANMHLAQQQQRLQQQLLNIQAAAQQFQALNLSGQASFQQQV ALQTLYQQQQQLQSLQQSLASMQQPQQNVYTYYDPATGKQAYYVDQSQAQYSNAYLDQ PATPQNMTFQQATGNTPRVEVSPPPMENERPFFRSNSPPKKLEMTAEPTPLPPPSANA FRRGHKKASSIANQKGLSLSTEDANKAAGPKTAAFPISPLISGYGPGQARAGEHPVRQ PRGPPSIDELRAKPTAKHEGSKNFAVRTRRSAVHNLVRAGLERRKGTSSSSGSMSPVS ETAEEAGTPLTDNESDSGRSGSGSLSGDAEPSLASSRTSTSGSWGAIGSDRPSSRSKS RKSVESLNSASDSEAGSFASVFKHGTQRKPEAADGQRKAPMLVLTSAEKRKGSIAA PFICI_06166 MKFFVLTLALAAIISAAPLEAPGAPLPPAPAPGPNGPSPFPGEP PAPGPVPPSGPPPPPGPGGPPPPPGPGKPPSKRDDDGPKPGPEPPKPSDPSPPPPPKP SGPKGPEPPKPSDPAPPPREFLPNPNLQQLLNLPQSRKRKDYSTKGNGLGGGEAILEY MFGAAVSIAPFHIAST PFICI_06167 MDMDAWNWASESDWWAHYVLTGDHKSATATQPPLLLVNHEARFE STKAYKQLAIDKAVLKRCLSNETVVDHSLERMRNLKKTPRLNVDNDILEWAHVKRWSR NNPMRCSALFLAASMSVQHVVVEYDHYLHTSLLSLALSVMDVESPLKSLTIKVFDASV QKQITYRISAVPDHVPVIRDQQQLPSILRRRHVGMLPCYRWPSDATENLKPTSLDRSA VMSFAQFFEPGTKLDTNFAIFRVASDDDQPNCEGLVPSPEVVRSWRWVDMVDEQDRTS FNISLQSDVALFMHRISLFEQWDHNDPRRHRIDVIPFHGICNSQHGLP PFICI_06168 MPSDIIWPDKYLPGTTDNYVSNEIFVKGITSQQVWAKLADITKW ETYYENVGQITPPKSGVFLEKDDLFSFSTFGFPPLQSQVLESVAPTATTPGRLAWRAW QEGDEDKFLDVYHAWIVEDMPWGVVRILTQESQIGKPAAQLSTTKPNPMLLGHQDWLD GLAKAAKESS PFICI_06169 MKSSLSTTLSSFALASLASALAPGLELAYSLELPTQGIFISETG RKFLSQRYSTSLPPITQELLADNTTVLYPNAEWNSYNSSDPNSDPATTFVSIDGARIG PDGRYWLVDGGSSGVNKSTKLVGVNLTNDEVDKIYYLDDIKASNSGIDDVRFGPSGDV AYLSDTAGALLVLNLTTGAGVRVLADDDSAAAWFPMMYNGTLVPGYGAAGSTLSVGLD QIEVSPDGIYLYYQPCNGGLYRVETAYVDATLTNATLAASLGDYATPFALTPSTGGST IDGDGNIYVSDTNLLAIWKVTPEGRTTILVQDPALVWTDLMWVTADKKLWLPASQMRP GSDGLMAEGPNNIFTYPIDAGPSLIDHA PFICI_06170 MADKYFGTPGVDPSPLGQPLEFPISKRVAINRFLNGAMSEGLAT YHETDLSARGIPGKDLAQLYRKWGEGKWGQLLTGNVMIDPGHLEAAGNMIVPVDAPFE GERFEGFKAIATAGKAHGSLIIPQVGHPGRQVPDSIQKYPISASDLQLVTPTMGKTYA KPRAATKEDIEGVIKAFTHAAVYLEKAGFDGIQIHAAHGYLLAQFLSPTTNKRTDQYG GSLENRMRLILEIAASIKAQVSPSFVLGIKVNSVEFQEEGFTPEEAILLCQALEKAGF DYVETSGGTYESTGFRHKKESTRKREAYFIEFSEQISKAVSSLKVYTTGGFKTVDGMV KALEGVDGVGIGRAACQEPDLPVLILSGKVPGVPKFAVEEDNLFVRLFGAKLQIQQMA NGQKPDDLNDPEMLKKIMASLGMPTAAL PFICI_06171 MDRRKQETEQWHEETADLPSFYSPRSRPVGDQETAAPNTTQLQR DGLSLAALSSLNDQPRPSTAASTHSDDQAPPPPSLLSPQFTPPATPGTATPSTEQPRS VPVDSSLPSGGAGVKRPKLLQTLPEVQCIVRARIPTVTGTEMFLHLYTNNVDNKEHLA IVFGNNIRSKSLDAPREGETEMDRMVRGAYTGRLFPGRTSSGMAGPSTAAPQPETPNG PPLVRIHSECYTGETAWSARCDCGEQLDEAARLMALPSQTRNGGIIIYLRQEGRGIGL GEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLATAMLLDLGQEEVRLLTNNPDKIR AVEGPNREVRVKERVAMVPLSWKGKGGFRSQEVEGYLKTKIEKMGHMLDMGGVPPTS PFICI_06172 MASHLRLRLVVRRNGLPETNIIWPVPLENKPTIAKLLEDVNQIL PLESADWGLEDYAVELKGSDGVYFECLHFQPVQSVLKEDDQVFIRPLFTDDIRRRRVS GRHQISNDGRHLIDGLAFGRPLLGAPRGRPVFDIPPRKRRRVGPTEQDDLDEDDEDDA DYGEPQEEQEPMLLLTNGEDQIPSRRKSVRIAADFDNLDSDNDLGNENDEEIEDEQLE DDVSMEHTSEEDEDDDMEYEQDEAEDDLEKELEDLQSSAEEDHVQNDEEIPVSKSSAN NETRQTSRRASRATAKENDTTSAEPTGQRVAGEKPKDEVGALLTAFPTAPVQVCREVL AAEVGDLRKSYLTLSQAFAPKLPESELLKRWRNRDDHSAEESNEGSVEEDGVADGDVG GDEDLEEDEDDDEDDDDEVTPFIRRFDRQGLPPGSISSGNALKAMAAISKSFETDKSD SNSKSTSQTLTSGRLSFEEPGKAKSAEDEEETSSSGTSSSSEEGEVNDESSSDDASSS DEQSGGDGDAAKGKGPEASDTSSSDDDSDSDSDSAPEERSAKTGAITRGQRSLGNALG PTSPVASSSSSEDSDADDETSSDDSSEDDSSDEDTSSESDSEETEMSEEKAGPNSKSA PAIPSGAGSQEQPSSEPAVYTGVPGAGKISTKKRNARRRVAAKHKAAQSQSAESPILG TTTDSNVSVSVVPDSSTKTTEKSKAEIEKELFEAKRKALLEALASGGVEVGPSGESTL DSSQVSQKRKRDEATPAKLDQTSQQPSSTVTGGNTVNAEEESPSSTQKRRRLDLGAGR RMLFGALGLRNPKSKDDEEKLRDKLMKDVKPLQNARLVADGLETKKPEAEKPKESADV DENAWREKITYRAVECCQEGVELSEPPFPFVQRWDPQQQNAWFQKNNKRGGKGKKAER NQSHFYQQDDSRSRSHDESHDWVENYQDTTFNSAQQLDDVPMELNYDDVEEPDVSKNV DETTRLTDIDDLPSLPKNLDDLLPLRPGEAQNGMVITWKQFILSKATNWQPQVLSLTG VICRIDDDATGLEVMLAKRDRELEQTEKQYDDLTGQRVYDKFDAPDTEDEAEDEETRR MNEGYRTLSFSAIMEPRVLQPAIPLEEASQEPTIPSIEDEPTEPVVPKEISTHAEVTD ETTSQPEEVTADVDCTTDLKDTTFEGFDDTVPPEEESCSDASENKVKTPVAAVTNGLD QESEALVEGTAQDPSLQDSEKARSPAEKSVSDLSQVSSPSRQLHDETTSMLYGLSAGD EQSLGPSCDIPSTPSASAPNVDMMVSDPVHDQDETSIQIHETDVIMGTPRFTYPRPEA PPSSTSSVHSGRQLDLSMDLGVDQPLSFRAMTDDSAEMNDVDAPAATGDSQANSNHDE ETTPVPPSPQKGKDFDTPKKSTPRMKESPSNKDVADSNASTPCSLASLGTVWCTAVSS RQTQSPSKSQLLSILRSQKSQRASERDLEYEAAMRKLDGFSDDDDEDETSQSVSKISD SFASKSSKLPVTSSSFSAAVNNDWNMGNDPESDRLSPLPTHARTDVAISPPPTSRRRA PVQEYPIPSSSSPPPRIKQRVSPPPVGARRKSERVSSSRFSLPPGTQVLEISSDSDEP KFTEHYADDDKDDTYSPANDLAKDDFSPASLPRGSGWVKKTRLPKSSSAPVASQKKAA KVSRAASASQSSYGSQLKRMESAAAAMKRLNKGRKSSAKF PFICI_06173 MAGSSFESLPKATREEMREAKLPIAYRDSCANLLIPLNRCRVDT YYLPWKCGDERHSYEKCQYDEFKKRVAKMNELREAKEGARSN PFICI_06174 MRNAALIFPLLGAVWHQSVLGLFPRMPSIEHLSLEDHQFRRLVD RSDPLSGNGTFEQLINHNDTSVGTFQQSYWYNATFWKGPGSPIILVTPGENAASTYGL FLTDGTLPGMYAKAVGGAVVLIEHRYWGQSSPYQNLTVANLQYLTIDQAIADFVNFAK NVKLPFDTSGATNAPQAPWVWVGGSYAGALAAWIEKLAPGVFWAYHASSAPVQAIYDF WTYFYPIQMGMPRNCSHDYAAIVEHVDDIFLHGSTQEKQELKQMFAVQDLNHDDDAAG AITAPITLWQSITPRTGYSQFYQMCDAIEGAVPGRSRNYSDAGVGLQQALPNFANWYT SKYLPDHCADYGYSDWSGSMNVQCFNSHNTSFQVYHDLSPNHPLGRQWIWMTCNEPFF WSPTGAPPGQPSLFTRLATAENMERQCQEWFPTDQGKHMASVQGRTEVQVNDHTGGWT NTATTRILYSNGEFDPWRSASVSSIFRPGGPLSSSDSAPVIVINGSRHCNDLFDWNVV GISNPAIEAAQQAEISQISDWVADFYSAKSSSSRRE PFICI_06175 MQKFAYPPLPSNGKIYIRLVRLYPGTFDEDVCITMRHEIFADKT ADLSNSALGRRSDRPIYEAISYAWDKGDLRPAHISVRYAKECRDESPNAVVSDLTTAA PVDGWLPLGPNALSALRHFRFTDRPRDLWIDSMCIDQGDSIDKGRQVAMMGEIYARAK AVLVWLGEAAQDSDLAMTCMEDVGTQVRFEKSSRSILATPDCRDNSLLDRSIPVPFSV DEMRAVYHLLNRRWFERLWVRQEITLADQKTATIACGCKKMLWKTFYNVWGLLQRKAW PQQFELSNKLTFRLSNLRGFLYQSRYINLPNIRFNLGLAGCNDPRDRIYSVRALLPRN IQEHIKPDYTLPVPAIYRAATIAYMHEMKDVDFLSQCRLPNRLPCCPSWVPDWTDIRP ILEEPWPLRFQIASGFLSTQYTEPKSDSLDVHGVFVAKVAAVTKPNIDGYFDVNWARI REVLRQEPRSLDDPCPGSSMTIGDAYTRVLCSNRFAENCFDPSTLHWPRYEVAKRRLS ELHRATKSNNQDVSEALVYNRAKDATLLNRITSECLGRQLLWTEDDLLGLGSLDAQPG DHIWAVLGSRELLVLRPKSSEMKVSSLQDKTPIYQAGGGCTLFGHFEGEAVLGPVPNN IKIMKHRAGAMIFENTATGDQTLLDPRLNSLGVDLTALSAKLEKQQQENALDEPHPWL EITDIDYLRARLKHMGPKLQDLHIV PFICI_06176 MSHSSPTAVVGCLLDVSASMRQALETGSCSNDLAIERLRAGLRA ILKLVEAEQRQDPNALVFVGVFGLDTQAGCPPVADLCGPVDALLRNTGDCKSGHDLLI ARANKERRSYIAKYIREKLTDDEARIVDMHLERHPDGVSDFVNAIPPEETVNNKRTRS RWIGAAVGMTAGCAFTAAFPPAALSTGAISGAISGAISSAISSGAGVGGGVLGNKVAD QVADKAIDNAAEKSEAMQLARRICAEWLQDFTQFEARPVGDVVRLLKQVQRRSEVDEG REKETTGHSTLLDTLRRYMYGLTPMQEALRQSLVAFHQHVGTEKRVLVLVSDGISTDG DPLPLARNLQHAKVSIATICLTPDQIVSRRRLYYQPAEEWDSGQHILFDMATRVAGVT HPIPVLTSVGWEVPSAGEVALYATVSSSAALDEFCSLLLSARFGSADAILDVIGRITH DSYISDEHVLRCKNPSDQGDAFTCYAHATAAVVHMALLRIVGREDDYPSIEEIRKRIE DEFPSQPGGQNTEQVLTAATNWYRPLRFRLVDEDGARQAVLRRRPVLTTFHLSESGWD VFTKHFEERLDPLEEQLPTLRCDQMTAYRSQGNGGGHAVVLTSCRPDSLTFLNSWGDE WGNHGSFAVEDHTVLELDGPSGRYPVRFYDVYWLESDLSPTEHQAYNWKVENELRCYA SQYPSILQIQARCPHCHTNAALADFTGHIGQVQCGHCARSFKLESGHLMKALYAPEDI GAA PFICI_06177 MAKKSKKVSVVSSFDALPNVGSREVDPRPSCSPYTSTYIKVFFG ANANAMKVPNELLQKCSNLPVGNIVLANSLHLTHIPEEVGHVLVHHLFTETFQCLAPK GSTHQEQKLDELMTCIHVYAVAWDYKLNSLKEQARSEIERLGESLPITPFLAALNKVY PHPSKDDIWLGGYLKDRMRALFAGHEVKKSTEPTSAGPKSSIAELVFHSALCLREEAL ELINGSNEHEPLSCGHVPEPDPKAELSSYSSEHEPEFACQDILPELIPVESRGYEAMP KPEPTCDNATPNAPQYGALSALAYDDEHVCCESKPDPDPVEESASTLPIMNDADPAEV LITSGEIPREYSVLEPLCQDETVFVPGSETDSSSPVFTPPSSTASDHSSNSAKIQRSE VEVPHQEETGPAAKKLSRLLSRKDKKSKKRRLREAQALQEPELNDVCESMSQHLKLGG EWKDCEFCRKLVDGLAAEL PFICI_06178 MAGLTTLLSCCRPDGAVSTRNETFSAYTVICDKPRPTKPPRISD SNAAQRDIINNAIEILRDAEKYGTELEAQLNDLVVSTSWTEWIAENILAGIKAALRDG REKMGQAMTIAYDEAAKAADELFEFAGDHPVLTAGLITIIAVGILVILAPMIVEALGF AELGPVEGSFAALWESTYSGFIPKGSLFSFLQRLGMVWGRG PFICI_06179 MDENLDAKAIQELEEVLHTKIYPGTEIMKDVGTHHFVKSAHGSA NVLVPQPSDDEHDPLNWTPRWKAITMFCATLFSVALNLGPLANAPMFEEYIIEWNCSL ADAIQFTGKYDRVAILVLGFSNFIWVPLSVCYGRRPVLIVSTLICAISSIWRARATSY NSFMGASVLNGLAAGPCETIQPQIITDIIFLHDRGKYQTLYFSMYFASLMVGPIISGA MASNVGWRNFWWLNTGLLLACFVLNICLFPETRYPRPTNLEQANITTAADQGVLTTKE AKETNPSTDPADLTPAVTHQDPWLGRGKPGKAQFKLFQKYHGSILQELWVPWYLHIFP IVEFASFVVSFTASMYLVVNLSQTQAFAAPPYNYSPQTIGLFNLAVLVGAIIGLLTNG PWSDWVAAYLTRRNGGVREPEMRLPAMIPYIVVMIIGGVVTSVGYDYHWPWQVIVIVG WSCLGMQVAALPAIASTYAIDSYKPVTGSLFVAITVNKNVWGYGVSKFLTPWAEQSGF RPPILVNMALTIIFCATSIFFWIWGKKLRGLTKDSFVHKL PFICI_06180 MRFTKSSSLALLWSLGHAQDCPTGSGVGMIVARASTEAPGTGII GAVADDVAAQVPGSTITAVDYPATLQDYQSSEGKGVAAMTQLVQNFTTSCPGAKMVLM GYSQGAQVTMDVLCGTSETGFATTSAVASDNVAAVVLMGDPSNTPNQTFNAGTAQNAG RFPRQDIMSCGAVTDRIASFCNTGDLFCDSGNSLQVHLSYVQTNGPDATSFVLSKVQG TT PFICI_06181 MVTPTIYNTSEEGRRYSRACPPYPLYPDRRFQLQSHHDIRASTM TEREHDESKEPRKRIAVACGRCRKRKIRCSGDPGNGAPCSNCKNAGHEPCLFLRVSST ETPLRDGPSDFGYTMDAARAYQARGSASSHNAISQYATDMTTGDMMAYRSSAYPYNGS SSSSSGGKSYYSSVSGWGAGGFGEDSVDYGLNYSYPHMSSDPVHMVSGCRYGSSTKAP PVYVDSEASSYTYGNLVHRPATGHELPTLSLSGMATTLTTATTSPDRVPTIIKRNNFS STSTYRRNGIPGHYGSTGSKNSSVHSSDVGYNGLGSGFDTPVTYGSTSSNPANMSGRS GHADTSFAPSSAHHGLAAATAAAGDSIYGSDHSSYRTLHDSDSYIYSDRLDGSRRDSH SSAGGASAGSVLSNGQVYVPDTQSHASASAAAHGYSVSAAAAASSANGAPPASVAGTG RTSAALQTAGHRRSGGNLRSA PFICI_06182 MGRGRPAGTHTKQLTEPERERVRTLFFEGCRTQRDIEKITGFHR SQIKRAIRDGSAVKQRSGAPPKMTPEQEQQLIAFVTSGKRFRFMSWLELALTFLGGIF GVYTVRSTLRRHGFKRYVARKKPPLSDEAKRRRKAWAEQHQHWTIEQWSQILWTDETW ITGGRHRKAYVTRRADEAHHEDCILEKRQRKQGWMFWGCFSGATGKGPCLFWEKDWGT ITSETYRAHTVPLIDGWIRLCRRDSRRNLVLIQDGAKAHSCYDTREDLQDRGVFIIDW PPYSPDLNPIEAVWNKMKDYIEENYWYDENPSYDRLRRYVREAWDSITEDYLRELLAT MPQRCQDVIAAEGGATHW PFICI_06183 MADHHAANIIESSDDKSSGASLDGSKGQPEKFMAPTHASGDQHV GEISEIEAQGVAHFNRLGWRRLTVVLIVEAIALGSLSIPGAFATLGMVAGVIMSVGLG IVAIYTSYVVGQVKIKFPEVKHYADAGRLIAGRFGYELVGAMFALQLIFLVGSHTLTG TIAFQNITDNGACSIVFGVVSAIILLLLAVPPSFAEVAILGYIDFVSIIVAILITIIA TGVQSSHAEGGLAAVNWSAWPKEGLTFSEAFVAVTNIIFAYSFAVCQFSFMDEMHTPK DYVKSIWTLGLIEIFIYTVTGALIYAFVGADVESPALLSAGSLMSKVAFGLALPVIFI SGSINTTCVARYIHGRMFKNSYIRFINTKMGWITWLGLITVVTIIAWIIAEAIPFFDD LLSISSALFVSGFTFYLPAILWFQHIREGSVFARENLLKTFANAACFLIGIVTLVGGT YSAIVDIREQYAAGTVRGAFTCSPL PFICI_06184 MLPQSLLVAISALAITSAGPVRKRCDASSEIASTTSVAAATSTA TADDETTSPASPTLPVTGGTSELPAANGTLIAVAVGHGIQNYTCSAAGVTATSIGALA VLYDITDIYSSLSADEQTQLPVNVLRTTDLPLNLAETTDPSNPYAADTADPFPADDAD LTVEGVDGPLSVLGRHYFDAALTPTFDLYNADGGDGLLFKGGKLSGVKAPASADPGLL NTGAVDWLQLGDKGASIGLTEVYRVVTAGGGPLTCDEAGQVFSVPYASQYWFYDS PFICI_06185 MADLQGRKVFKVFNQDFIVDERYTVTKELGQGAYGIVCAAVNNQ TNEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPDNFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSVDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHILGTPNEETLSRIGSSRAQEYVRNLPYMAKKPF QQLFPNANPDALDLLDRMLAFDPSSRISVEEALQHPYLKIWHDETDEPDCPTTFNFDF EVVDDVGDMRKMILDEVYRFRQHVRTAPSGAGQPGAGQQPGAGQVPLPSGQQQWTSED PRPQEYQGQAGGLEAELAGGLDRR PFICI_06186 MTLHAMDLDKNCDGDFAMQRYLLLQGQHEELTQHMYDLCPAYSC ASSTVMTSPSLSPTRSATLSPLSSKHTSPSRNHRRSSGANRPGSRMASSLLEPVLDEE IMGEMKADEQKLCNVNEGIKRALTELLNSDAVRNDRAMRTWVQTRLMDTERELRTGRR RRSNGSTD PFICI_06187 MSVLLETSLGDIVIDLLIDYAPKECENFLKLCKVKYYNFSPVHS VQPNFSFQTGDPLGPTSKDSDGGTSIWGLLSGSSSKATYPATFHPKLKHLERGTVSMA TAPVPSDPDTRLAGSQFIVTLGDNTDYLDSRASIFGKVVEGFDVLEKINGAITDKEGH PLVDIRIKHTVVLDDPYPDPAGLREPSASPPPSKAQLATVRIADDADLDEQVDEEAAT KKRREAEARAQALTLEMMGDLPFAEVKPPENVLFVCKLNPVTRDEDLELIFSRFGKIL SCEVIRDKKTGDSLQYAFIEYEEKGAAEAAYFKMQGVLIDDRRIHVDFSQSVSKLSDV WRSSENAKRSRNAGSGGGWGGVEGLEKWRKYRVDRGENEDRNGKYGMVYNEEEMRRRH ASQAAASGSQDDGDRESRQQRDNRSGGGRGGERRDWDKKPSRRSRSRSPRRDRYSDRD RSGQDGRRDRPRFDDRDRRNRDRDRDRGYGDRRR PFICI_06188 MTSAAANVLNGDFASHESVVRFCRQYLQLENELDFPEPKLLREE HVQSAIFERLFADAATSYPPPPRYELRVLKDLMSKIEDSIEDWEQHGVSDDLMGRLAE SLASPVPSELDAAQQKSYVTYSLSLLKEESATTTATTPQITLLETRDLISAAGTTGLR TWEAALHLGQYLCEHPSLIQGKGVLELGAGTGYISILCAKYLSPRQVIASDGSDDVVN NLPENFFVNGLQKQQQPEDTSTEQNGPLITAMDLKWGHALLGTEEAAWNGGRPIDVVL GADVTYDERVIPSLVSVIEDLFQLYPSVKVIIAATERNRKTYDAFLRVCEQRRFGVHQ VDYALPPRASQNGPFYNDQVPIRICEIISS PFICI_06189 MAPSVIVVGGGLSGLSAAHTIYLAGGNVVVLDKQGFFGGNSTKA TSGINGALTRTQVDHKIQDSVKQFYDDTLKSARDKARPDLIKVLTYKSAAAVEWLQDV FNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEDLAETEPERVQIIKKAR VTGLNKEGNKVTGVTYEYNGESQTVEGPVVLATGGYAADFGDGSLLKEHRPDTFGLAT TNGSHATGDGQKMVMAIGGNGIDMDKVQVHPTGLVDPKDPGSKWKFLAAEALRGEGGL LLNADGDRFCDELGHRDYVSGMMWKEKDKGKFPIRLILNSKASNTLDFHTRHYSGRGL MKKMTGQELAKEIGCKPEHLQKTFQTYNAIADGKQKDPWGKKFFHNLPVDINDDFHVA VMEPVLHFTMGGIEINDKAQVLNKEQKPFEGLFACGELAGGVHGANRLGGSSLLGCVV YGRVAGDTASNYLFQQALSGSVGGAAARLGQISLHIDPSQPGKVSVEWGGAASGTSAT VGTTSAAGPTPKADAGKSSANASKPQSPKKFEVPEKEFTMEEVAKHNKKEDLWVVVKG VVMDLSNWLEEHPGGPQAIMNFMGRDATEEFEMLHDDEVIPKYAPQQVIGRVKGQEVT LEI PFICI_06190 MVILQQAVLLLTCGLATALPTDDNQKQNAQSSRKDCRRTQVAIL GAGVAGIIAAQALSNHSVKDFLIVELQDEIGGRVHHAEFGKGTNGRPILVEFGANWAQ GLGGHDHRHPGIVSLENPIWRLEKKWNIKNHYSNLSSISTYNESGAANFSSELPEFEG FLDRLAVEAGELLTDNIQDRTIREGLSLIGWKPEQRANPAAAEAVEWFMYDGEQAATP EETSLVFNEAVSNFTFRQFSNKSNFIIDQRGHNTWVKGEASEFLKPGDSRLLLNTTVT NITYSNSGVEIDIGDGGCICADYAICTFSVGVLQHEGAVSFEPALPPWKRTAIEMFQM GTYTKIFLQFPKRFWPNDTEFFLYADPIQRGWYPIWQSLDLEGFFPDSHVIFVTVTGR ESYRVERMTDEETRIEVMDVLRAMFPDVEVPEPTAFLYPRWTQTPWAYGSYSYWPAGT TLEMHQNLRANVDRLWFAGEHTSASYFGYLQGAWFEGHDVGDRVAGLIRGGCVGEESI DGFQGGSGACGEMVRYETLHGTTDATEYNVKNGWAGSSLSFED PFICI_06191 MKSINLYSVALLLTTATSQLLVLPPDDRPARPNRDQRPLDMDPT GPGGFSLPPFLGGGGGGSGSVVLSDVVGKERSINLFAGFTRDVESVSQRLDDRKQNST VLAPLNSAVERLPRKPWEDARDYDTLGAEAYEGDDGQDRARRNLGRFAEAHVVPVSPW EEGDKVKTLLGDREVWWETKDGKKVILPDNIEVDSVASKVGNGEVWIIKGVRNYA PFICI_06192 MINLLNIYNQVFLLLVTEMALFMLLVLPMPFSVRRKIFTFISEN PIIAKLQHGLKITFIFILILFIDSVNRVYRVQVELAQATNSEKGSAAVMGHERLEVQA RKFYSQRNMYLCGFTLFLSLILNRTYTMILEVLRLEEKLKQYEGTDKNTKESAKLAAA GDAGEISRLKKEIKLKDQDIDTLKKQAEGLQREYHDLTEKYGATQPPAGTRKDK PFICI_06193 MTKNQPRPEDISGDDFQDLLNKYPALIESISTTKAGQKTLSELD EFRYEKAPEQFRSQSPKRTMTHDDVKTLVDWKLRHGKFRPTLMKLVSSNDGGTVADTI QQAMGAYWDEGQDASAALAAICKLKGIGPATASLLLSVHDPERVIFFSDEAFWWLCCS GQKAPIKYNPKEYAELNQAAQALVQRLNVSATAVEKVAYVIMKDEALPLTAVEKPKVT KKAPSGKTPAESKTVDEVGSRRSSTKRKKEPRRDSPERPVRRSKRGKET PFICI_06194 MGNGAKAQQKRERNAKDSKVAKSQLKVNQAAMDIQCQICKSTFL KTTRAPQLEQHAQNKHSKAIADCFPTYTAS PFICI_06195 MSFKEIPLLDLSLASDPATKPAFLADLRHALLEVGFLYLKNVGI SDALFANVIQEGKGFFDIPMEEKLKIEMKNAPSFLGYSQLSAEITAGAVDHREQIDLS TEHPVPSSDAPLHHNLLAPNQWPSPAVLPGFRPAFTEYMASMGRISLAFTSLIAEAIG LPPTAFDRYFDGSEQQHKLKVVRYPDAAELGLDGQDKTTNSSDHYQGVGPHKDSMLTS YLLQASRHRGLQVQNTRGEWIDCPPVDGTLVVAIGQGMEALTSGVCVSTTHRVLSPAA GSGSRFSIPFFQGVRGDATFDELETVGIGEVPAEIKDQRRAVLARSGGGGRRLDDVEF TFRSGGASKTLGEATLRNRVKSHQDVAERWYPDLLRDVRAQLAREKREKEERAAAGH PFICI_06196 MTQTQGASLTNSQEAKAASKRKREADEYSKSKRTKRDKLKNKGR ELIASVRESSPVSEPNEQNGVGHESPLVERKAHEVKQAQQKANKIARKQDPSSWKVSQ SVGGRMLDIDPILTSDDRHLIIPYTSSVQIYSATDSLLFRKITLDLSAKSNERVVAIS LSPTSPNLLWIASSTGRIWQGDWVAGIGFDKSIQLDCIVLTDLTVAPMLMKNRLIDVP FVSILANETWHVVACYVQDMMIKNKKTILSRGEIIENLKSMNNGSSLAASSRNNILIG SLSTSTIPSIKELSYEFYVLDCPDEITCIDLRVAERIHLSRKSQQQTGDEPVMEVVVG CARGSIYYYNDLLPQLRYLQKAGRGASLQPRKYHWHRKAVHAVKWSQDGNYIISGGSE SVLVQWQLDTQKIDVLPHLTATIENIVISARGSSYVIHLGDNSTMIMTTAEMKPTTYI SGVQSLVTPRPPSKDNFVRRIGYAEDQGTVSRIPAIIDPKDRTRLLLCVGNGQQGAPA GAMPSMPLLQTVDLSTMQGLSKQAITRTHPTDVNTTSKGFPITEPRVAHMAYSHDAKW LATVDEWQPPSRDTEVLESTPSDRLEVFLKFWSASADGQSLELVARINAPHYTGRDER VLDLAADPTSHTFATVGEDGVLRLWRPAIRQRDGIVVKSRTGRGLESWTCVNTIHLQE NKALADSNVVPVKAPRQRSGAVSFSEDGSMLACAFQNGAESAVYLVDVNSGAIVDSLD GLINGQIQSLRVLASQLVVLSQDLVVYDIVQDELVYGIQLSSETSGSPVQALSQLAVD YPSRSFAVAISNQNRLGVIKSEVAVFNADQSEPEFIQQFSQPVVSLITTPGSSGYLVL DASAQVCSITQSNDATSVAVAQSLADIDLDQTEVDKSQESGVIAIVNEEQDDAASDEE MEDVVEDAMEEDEDSSPVVVPPQKLAELFDAAPPFAMPPIEDMFYQVTKLFTAKSQ PFICI_06197 MIIPIRCFSCGKVTGDLWERYLKLVDDGATDGEAMDQLGLKRYC CRRMIMTHVDLIEKLLKYTPEGRHEKKVTLHRG PFICI_06198 MALEAPLRSSIDGVMSPFSPHTDISVSSPESPARQSQFRPLSAS SAESNSLRPSSAAGRSSAMNNHLMSPSDIVGPSPVTSVGTEVTEIEDDMSDDARLEFA SPSSTQSSRLLMLRTNLPDHVRQSSSEEAVSVIHAPESFQSWASSSSTIEPLDESTRK TSPKTSPKPEAIQVPPPMDHMSKARSPGLPTPPPISTDVKPIRYSLDSATPRAQNLQE VQSLLSDSARLRSSSASSLELIPEIREPETDADMDPEADDEDEFVTPSGGEDMEIRSL KTALAECWTLCNTLATLSTHHRERVFNTSGTPDAHEKAWKACWKLCQRLYDNRDEDEQ YFNVKMNLDLCRDFCQSLFDVRTKKDETADSVLRVSFELNNHLYSAQDNRNLPEAFRE RTLDFYITLCHRLMKQRNELAEETDSLLRACWSLAEMLFSLRQNKRDNKAPDEELLGS AVQACWELCDIFREGWTQIRPDRGTPKPNHTNFFTFQRERDHGDQDGRASRASNRSKR DSLRGIPQEKKKPLPVPETPVTEFEDTPISPDSESPQVPNILVLGTESNRGGHWSSSA SNLSGYSQSSQRTSSTATTTTSAEDMNVSRIKMLILKAAMNVGFSRDDSSSHGGAASL QAFVKSLPTGSFGSLPAHTSMLQSYKNLVLADSSFKHSSSLPSRGKRVSATDMAKSVG WMTQRSGQYGFLRELFKLVFGFQLEEADSRKNVSIAV PFICI_06199 MAGGRKYRPAQIQFALECILAGFSNKEAIALFKNRFGTQDWGLA QLKYMRVTYGDHPDFGCCLVNRTKMTEPEKAALRSRLVVEARKGPGTGQADDISEWTS PKGTRHQSLASHRSAGSASEPSILAPHNGQVDASRFSNGSLVTPNSMGYDLSPMQTLQ PLPTPTPNSAEQTATSMHDSTNMTIPSIESDFDFSAFLVDTEADKAELDSGMIDPVLS SMDLNFDMQNGENVFQMSGDTSLDHFDFSLPNYGQRNEPSDTNTMGPTHRMQEQVSCY ERPEMGGFEAPTIEKATAETYHREPQAQAQEVPSIEMPGFEYMHENPVTSVPVAPMAE IPGSQHNDNHHQVDHQIPEPHVPVQSSILDRGMVENQSSEPPAVPQGCTDAARSGWDA LGWWYKGKHDRCPLPITHRHDTNGQVSFAGMGDVLKMVNEVMSLARQGH PFICI_06200 MAPTKYPDLTSFKALSFDCYGTLIDWEAGLIKSLGALTSQLPAS DPHNEDPPVAAMQKFDLAIVDIQKRQPHLLYNEILVAAATRLAHELGITALSDSIAEP LGNAPGTYPAFPDTVDGLRRLKKYYKLAILSNIDNINMKSTIERQLEGVDFDGVYTAQ EIGSYKPDPKNFEYLFGHARKELGIDAEKGELLHVARSLKVDHVPCKALNLRSVWISR GADQGGHGGIVKDELNEFKDSVGFEWRFDSIGDFADEVERQFKAKQSA PFICI_06201 MPAESEDDYESSGSSGSESDRPNRWDGPPATWQDMNREEISTLT ALDELKNRDLSVHLYNTYALKQRHKQPDNETGPEPEKDIDAATGETIPQDNWLPQRSW TAWPVSVDKVPPDDFMRCNENDPDDVYTIANSRHSNPSAPLEDAVAAAVLRFAKARFL ARPWESAPTGPETEDEDGIFNDDDDDDGNDDDGDEGESSDQESLAGPGSRTSKFSRSR SRSRSKSIKRAASSSTQDKRHDPVDSGDEPDVDRDIVHPLGKRPLKPTVAEDDDLSYK IMRPAVRSVLTKLDATLTILHHSRKAAVDYMSDSSSDTEPEVSDRTKRSRSRGSEPPA KKRRGRPPRASLALRMRSPPPVLPTSNNEGAIEEPVPKAITESGVDTEDQSKKRKVGR PRKVYPRLEGETDRDFAIRVARLRKEPIPLFNDAHTLDSDNPKSSGSEASPLKPSKRG RRTSKPREPSPGTIEKKAAQRRRKALRYGIRDWKDVLGAAALAGFPRDALDRAARRCA DLFQDSMELHSLNETLLDKDDQDQRVQYRPGMLADLADLDDEGEDDYSSGGETQSQTA RHIRATSTAVSASSDGRGRSRSRSRSLSRARTTSRSRSTSVAGTHFCNVRGCPRSAEG FSRRQNLLRHMKLVHGIEKDEALTDIDVDSEDEVHGAVHVDGFLKPIRIRPAWKNEDR AAARKASGIRRGNKRDRLGSATETGADDSDVVMGDD PFICI_06202 MGRIKKKGQAGAAKNYITRNQAIKKLQISLPDFRKLCIWKGIFP REPNNRKKVSKSSTASTTFYYSKDIQYLLHEPLLQKFRDQKALEKKISRALGRGDVTD ASRLERNAARPEKTGKPPYTLDHLVRERYPTFVDALRDLDDALSMLFLFANLPSTSSV PAKMIARCERLCLEFQHYLIVSQSLRKSFLSIKGIYYQATIQGEDILWLVPYKFNQRI LGDIDFRIMGTFVQFYMTLLKFVNFRLYSSIGLVYPPKFDAKKDELAAELGAFTIEGK HASAIQDKPAEEKPAEEASKKAIDPATQAAVNKVIKQLGKVDDKTSNGDAAGADKEDQ PTDTIDKFEPAAPGGDVLPQPTYSGTDPGTLFANCTFFLSRETPRQPLEFLLKAFGCK RVGWDAVLGEGAYTTDERDPSITHQIVDRPVVAAMNEENGDGEDNQTSQKLGANQRLP GRIYVQPQWIWDSVNDEELKPADLYAPGAQLPPHLSPFVQSTAGGYDPTIPLEDQQPE EEALADSDDEEDEDEEDDEEEAENGTTAKKGESAADSMDVDDADEDDEDFGGFSGDEQ DEEEEEAEDDAEEEEEEDAELQRQRELIAEMTGQAVPQKPAQNTRSKAKADARKKLAA QAKEDAEDLDRARGMLSKKKRKLHDQMMYTNNKKSAEDQKLREKRRRLEKQKQRKAPA PFICI_06203 MGSQATSGTTGLFVAAAALRLLLFTAFPGLPDLLTGRVEISTPV NSFKRLQEGLFLYNHNVSPYDGGVYHQAPLLLPLFSLLPDTAAYPIFTYALYILIDIL SANALYKIAESGEASTSRLFKSPRAERKWSGYVVAALFLLNPFTIATCLARPTTVFTT CAILHAVSKAISGQIFTSMLSLSFAAYLSMYPILLLPPLVLLAYDRTYKPNGPSLVQF AGVNVLAVTISLAILFLSSFAVTGSWEFLYSTYGIQLTLTDLTPNVGLWWYFFVEMFD PFRSFFLGVFWLHLSSYAPALSIRIRHQPLVVLTLLTGIFGIFKPYPSVADTSLFLAM VSCFRHIFPLLRYSFLTGSVVLYSTFLGPAFYHLWIYAGSGNANFFYAITLVWSLGQS LLVSDLAFAALRDEWEVERPEMKGKEIRQI PFICI_06204 MSKSWTSTLRLPKSTFPPRASAQLREQYIKRSADDFYEWQKANR PSDDTFVIHDGPPYANGNLHVGHALNKVLKDMILRVKVQQGRRVDYIPGWDCHGLPIE LKALGKAEDGGKHLTPVEIRKSARNLASNTVLKQMKSFRSYGVMGDWDRRWTTMDAAF EMRQLRLFQKMVKKGLIYRRFKPVYWSPSSKTALAEAELEYKDDHVSTAAWVRFRIDD SWKDVPELAEAAGSMKGGLYAIIWTTTPWTLPANQAIAVHDDMNYSIVMDGEDGYIVA ETRLDQTQDMLPNQTSSGASSIAGKHFTNLSYRHPLKGKSAPSQPIIHADFVTADSGS GLVHLAPGHGFDDYEVCRSLNLSVTAPIDNEGKFTADAYPDDPDRLTSAPSINHGGSQ SVIDLLGSDILHVEKYKHKYPYDWRTKQPVVIRATEQWFADVSHIRDEALSALESVRF FPEVGRKRLESFVKGRSEWCISRQRAWGVPIPALFDKNGAAVVDEATVEHILSVMKDR GTGAWWSDAPDDPAWIPSRLSGEYRRGTDTMDVWFDSGSSWSMMSGQADVYLEGSDQH RGWFQSSLLTRVAAGAVEDTGFVKGAPFKQLITHGFTLDGEGKKMSKSLGNVIEPQQV MDGSLLPPVKRKGKAAQGPPTYDALGADALRLWAASSDYTKDVAISETVLRAIHTALL KYRTIAKMLLGSMHESARSAPLFTADHIALIQLRDTMEEVGKAFDKHEFYRGFSILNR WITNDLSAFYLEASKDRLYCGDGGGVIEPIFYGFMRMLAPMTPSLVEEVWEHRPEWMK QDMAVVHPLHQLYATPVISEDRLTLDLPAVRKDIPTLMAVHSAIKTGLEKARADKVVG SSLQSSIVVSTSDAGVIAVLERYADELANMFVVSSLEITAGDASPSTPGWEQYKQEID INGSGVKGTIAVLPPHDAKCSRCWRYIAPVEDSLCGRCEEMVKE PFICI_06205 MAPIRRYLRITKYSVLEVRIYLDNPGLAQTWLLHPRHNVLPRVI EAVRPLVLPKLREEKERARKKSTRKKNIKDVVVEDDFEVSIFLTETTTRHSLVTKHKH FHDKSQTKLTSTSSRGLVGASNEAPIDVDIEAVTAPIILQEEDDDDEPGGIGAALAAI PPAPTSMDTDAPAARRPKRARRDTGQGSDEEEGLFISSDDDGDVDAVESQSDGAERPP PQKRRKEATIAIDDGTGEDDKKKLAMDITYDGFSIYGRVLCLVVKRRDAPQAAGSSRV GSGSGGSNSSNNNNKTGRGQGQGQAMLENFIISTQMPAGEEEGPV PFICI_06206 MALELHIWGPAFGLPSIDPESLAALSYLGHVVPTGDWSLIASND AALNPDQTLPALHHNGTWISGYTNIVEYLSLQNSAWSIDSALGRTQQADTLAYASYIQ SRGSALVAFSLYVSPSAWADLTRPAYSALLPFPLTWTVPLKIRSAAIARTEHLGLDHL AADVDPADGSSESNRSTTAPTTSTGFLRLPLRPKVSTTMAPEQAAAIRLQSITEDFFS VLDELRGDGPYFFGRETPSSLDFLALGYLELLRVKTPHPFMDTCMRRSQAGSRLAQFL DHMHSVPVRWRADNEQLPWACPTPRGVTRTLGQFAENVIQNAPGVGEAWRQWNGEGVK SQDQKQDPTQVLMTVGSVVAALAAVGGAMLFRSLPPFGEPTQRFEAPKPQKSGLYRFG DIGAMFDNLPDFEAVPSRPSPERTDTVYRRDGVEVAVDVEEEGLGAQPPRDGNVAEVG VGVKVGDNARSL PFICI_06207 MSLEKQDVDIHTSPEKEQVEVKVVKGNEAFAEAMTKEPPRAWTR PQMLIYAFSIIGFFCSTMNGYDGSLINNLLQNKAFKEYYGVQSSGIWAGIVSSMYQIG GVVALPFVGPAIDTWGRRVGMVIGAGLVILGTIIQGTSHASQAFMGGRFLLGFGVSIA AAAGPMYVVEINHPAFRGTVGAMYNTLWFSGAIIASGAARGALNVGGDYSWRVITWLQ ALFSGLIVLFVFFLPESPRWLYVNNKKEAAKAMLTKYHGNGNAESPWVSLQMHEYEEL LNMDGADKRWWDYRALFRNRASVYRLMCNIAVSIFGQWAGNAVISYFLGAVLESAGYL NNIEQANITLINSCQQFLCAIVGALLVDRVGRRPLLIFSFTACCVIWLGMTIAAAEFA KSGGGINSDGTARQGTNHAASQASLAMIFIFGSVYSIGITPLQALFPVEVLSFEMRAK GMAFSSLAVNVGGLLNQFAWPVAMQNIGWITYVIFTVQDAIQALIIWMYIPETKGRTL EELDEIFAAKNPVKASLAKKEIGLNQYGDVVDVHEV PFICI_06208 MSTVPIRFVSFNIRYAATDLETNEKPWSQRAPLVINQLKSIISS SVNGGETIFGLQEVLLNQLNDILSGLGSSWTSLGVGSSDGKQSGEYGPILYRPSALQL VYGTTKWLSNTPDTPSTGFGAAHRRIVTYGIFQHRASGKRFIAANTHLDNVSDDARVQ EIKLIVSILQNVQQSYGQLGVVLTGDFNSTDTGSAYVALLNTKYLADLYTSVNTSARY GYTNTFTGFNPATQPSKETRIDYIFTGIPSQFKWALVRYEVLNNVVNNVYISDHRSVV GDLGIN PFICI_06209 MRFSTAGFALCAIQAVASFAIEPRQALTCTPGNKVATYDDLTPL PIDDGNTGLTSASLNPYKDLNYTRFSVITKTDTDNALFYTLSKITAGTLGALQTALNN ILGSTGLPLQLPPLLEAPRIVGSTARTQYRLQSFQFGCSLRAGGAATVIPIPCTVTVR PVEPARLLAGQSYNCTYNEGPASRLENGGAGPPLATCTPPTGSLLAGQGFTFQTTPKL LDTSTLGPLQTIVDTALELLVVSVFDNFTYREYCI PFICI_06210 MCFGSQDKHDGASAPRPTQMPEQKASNSSYPPHQQGQYQQAAGP SDDFAAPPGPPPSSKKAQNDNYAAPPGPPPSKQAYPGDYAAPSGPPPSKQSYPGDYAA PPGPPPSQDYAPPAGPPPPQDGKKQHAWEEAVPDTALLPPPPNFFGAHEYSPTNNATE EEAEEGERWCQRNPLYNPLQLDGAALNALQVGNINLFTPPSFQGVLAQTGTGVWKGHS YAGCRDTHIASYPPLYSVSAHSPRATQKKKTIYYEVNILQESRHEISLALGFAAPPYP AFRLPGWHRGSVGVHGDDGRKYINDRWGGKDFAQPFRRGETVGLGMELAPDGAVEIFH TRDGREVGRWNLHEELDAQTDLPVNGLEGFHDLCAAVGVFDKVSFEIVFAPRQWKWKG YQG PFICI_06211 MKETSTDSNPNRTDRIRSWARTHAHAGSKRPSNTLPATNVDAEK NGSGNLPSSNSAVPNTRSNTDSTVKDTANTDNATGAASQAEGTGPVVAQDNQPEKKPN VAIRFWLNTKKTLSHSWVNVLLLFVPVGIAVAQVDGLNPAIVFAMNAIAIIPLAGLLS HATESVARKLGDAIGALLNVTFGNAVELIIFIIALAQNKIRIVQASLLGSILANLLLI LGMAFFLGGLRFQEQIYNSTVTQMSACLLSLSVISLVLPTAFHASFSTDTQADEESLK ISRGTSIILLLVYIIYLLFQLKSHAYMYESTPQHIIDEESTPGPAAAWLDTSSSESSS SSDSDSDGSSRSGNTVSKRVKRALRNRRRRKSSLASVDTDANTVGTNNASPLAEGSKS GDSTGPGFFPRPTTGGSEEAIEEEPEKASTKRSKKKHRHSKRKHHRRRTNSTPLGEDK VTSPTNENIAGLDLIGASSTANTNGEPRRVDFADNVGNLDSTEGATEAGGKRPFKAVR GLSFIPVKNMAPPVFTRNAAADLQTPAAGSVPRVRYGIRRTNSLPGRLNQMQYRAPGA LMPAQMPSAALADEEAAIEAHDDDLSRTAAIVMLLISTALVAVCAEFMVDSIDGLVED SPLGETFIGLIILPIVGNAAEHVTAVTVAMKNKMDLCIGVAVGSSIQIALFVTPLVVI LGWMMDKAMTLYFTLFETVCLFVSTFIVNFLVLDGRSNYLEGALLCATYIIIGVVAFF YPSEQDASPWGA PFICI_06212 MSSLEKQPVADDQAAASQATDSHDAQAQNDDAPPNGGLLAWMQV AGCFALYLNTLGLLNTFGVFQTYYETTLLRDSSPSAISWIGSIQAFCLMSIGVFIGPL YDAGYFRALLFSGTFLVTLGFMMTSISSEYYQVFLAQGICIGLGTSCLSIPSIALVPM YFTPPKRALAMSISTIGSGIGSTAYPIMFQALLSRIGFPWTVRLLGFISFAFCIFAIL VTRPRYKKTAAVADGVAKARSIRSIIREANLGERRYLTYVLAVFFNNIAFFEPLYYIQ GYAEAHGMAGMAVAGYLLAILNGSSILGRLAPSAAAGKLGVVNTFIAVYLLSAVSVFY WISARNAAGNIAFAVLYGFFSGGVVAFQPVVLTSITEDLAYLGTRLGALSILKGIGSL AGPPIAGAILQGSGGYLGVQLFTGFAIVISVIFAVALRSMVGSGQRMR PFICI_06213 MESKTSRYQSRILREMHQNKENPFNSPPSSTGSHGTVTLTSDIS YSPEGESTRRMGRDDSIMLPGLSNKHAVQRAPDTPFKVNTSAIGRDFPEYRGWDGEDH TDDIYNVTGDYEKHERKENIPPSSSTVNSPSQIEARKASFGTAGTRAHMQARVDDDSD HSGSIHSAMSAGSASRRRAAFKPQRGNVTALIQTLKAAQSAQDSTPKKSSPQAKGSER RSSNHRPSRLSEIQSSPATFNQTARSFFLPNLEHVNDLVSGNLKLTSAKNGIPVFVKH GKVHDRESKVSPDLHAELDAIEVPQDEQEIFVSLEKIREEIHALQAHDDQVSRQAEQL QDELDAVRNSGTMGTAVNSEELQSLRQDNIALRSQYKSMLEENRSLRSHNATITQQQS REDDNITLRSQYKSMVDENRSLRSQNASLSQQNRELLHDTKRLQRLLDAALEDCEILK KELDEITEHNHGLEVDHVSLERQNEKYYIENKSLQQKISLLERRVQDLQDNNEQLHDM IDAAVVSRETALAKLSKANTGKQSATQQDQHASKEAQNPPASASKGKPVKVTRILEPT TTRSRSTFSEVSAKSTTDQLGTQEREDFTRQFELTQDSDFDVLPGGEMDKMREALRQA KPDTQQSATEEYVVDEAEAGISPDDSQSLPPQFLAQAQRDTPSKVNAGPSKRVPSGIL KNTNAYQRGTEQDLTGRFSVKSAMSGVSLPSQETRSDIFVNRRHSDSERFNVDVDENM TSELFMDDITLDSRKRAAQLEKNKQSAKVATDSRANKVAKKPIATLSTEAKRVLDGLC HDHDCRNCFVCRRINGHKHQGEYSQEVGKKTTITVERPVAVTDRVANPESTDADITMR PAQHPGVALATVLKGLQDELAHTKVAINRRNDQLNALDPSFARRERRQISTRLQQLIR YRDIKQDQIYRMHDVIEGQKQTGQQMTQAECDVTVHSIENMLGDETWDGILDDI PFICI_06214 MVSITKLAALGLTLLRFRGVSAAPQLYGPWNDACYWKSGDPSKM GVAPTTTLSLPVDEQVEPTNIRQRAPSSLCTYCGFPDEYFTTRTSTMTDTSMATPHVE ERSIVATPAPTAWDDGSALGVPPPAVDASRIEYHDLPNEPEPKHNRSITLMVHGHPSV LGSPGHHDRRAPSRPAYWKPSPLDQAQSAAISTASFGTSTVTVIRTTTIDVGNLTVTP TTTIKSVVPATATLPAE PFICI_06215 MATETRTRTQAQEPTSAHPPQTSPPTSPRPQPTNPGQAPPVDGK TFYGYLFNKDRTPKPLLDNLLRAIAKYTETEIGDKNRPLLDKAKLAAFYKAVGGDYDS LFVKAPDKSISYIWQALGVQHTLQPTENDFEPPSIPALTLKGFARWQSLQILLGPEEH VPFIQYAVRNWALKHPVTGAPFPVDLPAEAFPKVCDPEIDRWHKDCAKRLKHDAAPAV DRPAPTHPPDPRVHFNHVHASGPSHHGPAMDSYFDRTRPSVPFVHVQGRPGVHHSQST RKHRVDSSSSSSSPDERARRRRSFSDYPSPIHEKVRHSVHLDPHRPPPVRRHSSQPRQ DPIPGSDSDSDDVPASPRTRIHRPVRPPVASVRVFPPDSPVTPPAPQGPARSSHRTEL RQPDSRRRSMPPGHFGFRQKVMSFLPGSGSNDRQRSPSSRSDRDSDSRPNSARLRHEH GSVRLNQRYSDEAFSPMDSDSDVSPRHRYHRDREHEREREREREREKARLREIEDERE RRSRKDHAHLRPGISRRTSSAADVERRSREWDVRDRLRERDRVRDHGREPREPRTSGE THRRSWRERDERERGTSPVVGVSGRKYPAENPKPGWPSHYET PFICI_06216 MFLSPGLTFAASTGVVGSAMAAGAMAGFSISAIPAVLGGGGSPS VMLKQWWIIYNNGKAVPAVALLSALSYSSVSYSQYAKASPHWRGFALAGLLTVAAIPF TMAVLLPVNNELSAAAHSQTKTMSEDRVRGLVTKWSYLNVARLTLPLSGAIVGLLTLL A PFICI_06217 MSSKTSASVQVRTTLPIRPLPPNTERAAIVTERLTIRPFRQDDL HGYHLLRTQPEVMLFTAVGCIDKDLAETQSKLDLFLAPNDAHTYNFAICILDTGELIG VGGVHKMKLELGWPEVGYMLKREYWGKGYATEFLRGFVGSWWRLERQEAVITIDGYSV DVDKETDGQRPAPEYLTAIIDANNEGSLRVLEKTGFRKFKTWTEPDSRIGYEGKDITL IRYLLGQE PFICI_06218 MDALANLTPESKVDSNSPSSAGPGHKATYKLSCSLCRSRKIKCD RVYPCCHCVRSGSECVFPQRKRMNRPRKTKNSELLNRISRLESIVGNVNMETLRDMDM SDLQVLTELKAQVVANEKPPAQPPSSEASTAPKPVPPDTQTEKNQGPKAAIHPSQYIS GDFWSNLVGEVEGLKQALAQDTDSETDDESVFASPEAVAASKRQTFATQGLLAGYPSP DSAGPLLHPPAFQIQYLLDMFFDRVDPIVKILHRPTILKMVARGTSKLSSGQESLLFS IYFSAITSLSAEECMSHFGQEQLSLFKHYQIEVERALAAADYLSNNELECLQSLLLYV ACLRVHNESRASWVLTAILLRLAQAYNLIQDGDGSHHTFFDAELRRRLWWQVVVLDIR ASEDRGTEAMIDPDSYNTHLPLNINDEDFGPDTAVAPPERQGPTDVTFSLCTAQSSSI FLWVGHAQTRVTSPTRNQSEDEIIAKAQALEQRFITNHDPNHFQSKLAAGLVRMINLK LWLMMQYPLHTRTNSSIPAPRWPKVSREAILQTAVSVMELHEHKHNSSKEGLRFKWWG STYVQWHPLAVALAELCAQTRGPLVERAWKIVQIVYPKWALVVADSKRGALWRPIRKL YKKAKSARAAAEREDEKEQVEAFQRLEYLASDGVGLPIAHNATISVPAPQILEQQQQQ QQQQQAAPNTIIEPSIDGMNIDTSYVPTVAAAAASSSGDGLPNLEIPPSEPLPFFTER LTGWPEGINFDMPMGNTVDPLNWDVWNDFIDDTNADAGSRTGSSEGGL PFICI_06219 MRIFAPNDVVAKSRFWYFLAKLKKVKKANGEIVSLNKIHEKHPL KVKNFGVWIRYDSRSGTHNMYKEYRELSRAAAVDSLYQDMAARHRARFGSIHILRVVE LEKTEDIKRPYIKQITSKNLSFPLPHRVPKISNKKVFSATRPSTFA PFICI_06220 MDALVKEFRKAYANERGNDLASTLTPDLSTSPERLLAVWESGRN AQAIKDDLRFLFVQDKSAQLRMSREEAQGWQEVYLAYWKAIGEILAVEGRRHDGAKST WTKVYESWKDLTSQIIRGYTNYDFENWTIPCLYVAGKYMRLYAIRADAERNTNDAGDD ALTNFQDDFDPETEKNKSLEDCARQLNRIFNTCLSDRAPLAESRKWGIYYAINLLFKT YFRLNSASLSKHVLRSLSAGRGDMPELDSFPKSQQVTFKYYEGVLAFLEESYVDAEQH LTQAWEMCHKDATRNLELILTYLIPCHLLTTHTLPSAKLLQHFPRLQNLFLNLGVAIK KGNLQAFDQALTDGEDEFIKRRIYLTLERGRDIALRNLLRKVFIAGGFEEPKDGQPPL RRTRVPVAEFATAIKLSGGRPIDTDEVECLLANMIYKNLMKGYISHERGIVVLSKNGA FPGTGV PFICI_06221 MFGRRTDQGPPLTKISSKTGKGRSASFSKSSSGVSKSRHESRPR RPTVSSINPVQSPSGSLSPSIITLIVGKEQRIFAAHEDILHASPWFQKTLSSMFMDTA SSSSRRVYLPDEEPEIFSSILEYMYKGDYYPRLIHNKKRNSWEIEQPDEGRNALEATI YHHATDGDILKDTVIYCAAEKFGLEELKKVALRKQGLQSGIQCSTILASARYAYANTP DTDSKLRAHYLALIIRSRSTFKRSGTMQLEMLNGGTQLFFDLFVALSNHVDDISSSQN TPRSNRHLF PFICI_06222 MAATVPLGDGTLIEINTNHQDSRAKRASPPAPIDAHGVPQLHTE GEKDQKHRDARRKRAEPPAPIDAHGVPQLHKGQE PFICI_06223 MASIKASEGERSPCPDRLPDTPSSNDTLARSKRSITPRGPLFPN LDEAHRRITARAGPHNPFQKPTDEGYSSPDSVSQERLTDIAAPEFDNVDTPLQAHGQA PEIVVPQPLRLMAGRSLTKWQNTSKLPKNSAANNDEQISTENPDKRIAIDDPGRTDTT GSTVSRIVDQYAAAGDNYASSSVGSLDLAYTFEPRDGSRDSNHRSGQQDDERQMTRNV TSHSHRNSSCPPRQSFSRRAAETDGGSRVTEAQLPRPTEHDWLRGTNHSMSRPSDAYM HSPLPRIPVHSPLPQIPSRYALDDADDWQTEAEGGGDMPNPREPPPSFMAHQSLVPLP LRTTSDRNVLKVSTLQTTTNLSEPETSAGSGLGAVSTSSNGEPFPYDNEQFRRIRQLE KEREVSCALAELNESPTRTYGVVRRPAVSIEEEEFSNHNHTTIDEIEMDLDDNLRGSP SRHRHYDDGSFFDPIAFRALHGDIPLNEVKVVINKNPNNSASENNAHGSNFGLSFDRN DQRSRLTRSRDIDADWVTEATSEADFGINTSNRDFAEGIKATGSSIADYSDAGWSTPY PQFSSRNRVGQTISGEPESYEMQDLNERKQGGGGHLPKARVPRFDGFGQNNSRFIPNY SSQAGSSAGGQNPFRRDYKRADPGSYFQYKGDRNAPSRFEFRDSASTYTPAFEADRAN SGTQGTIPSSTMASINTIELLDDSAGHSSTGGHVSSPYPRMEEGSYSDPHRHHPRHIP IQDPQQNPFRDGAHDQHQRRMRSATEDSEGMGSGSSKFSFKFLELPEAQERQRSRRGS NETWANSSMARDNRSISISSARPSLTPLIRPTPALIRGETHVRKGSRLSSTFTPPAWN TRDSPDMSNCTPLDASASRLTILEGSDVETPTAIATRRRLNQVPGGQSRMLPLDKRMR PNLVRPNSVLVRRDIPTFSAAEDYFVSSQGRLRSKIFFCVVVSLSILPFVTLLALYGK FDSCLASLTHGEVSRFNYTQRKILKIVFAVECIIYGAMVTAIIVYFVTLGKTHH PFICI_06224 MKSTPLIINWHDSTSPVYSAHFEPNGKGRLATGGGDNNVRIWRI EGEGEDRKVEYLSTLTKHSQAVNVVRWAPKGELLASAGDDGNVILWVPADGNHTVLGN EDLDDKETWRTKHMCRSSGAEIYDLAWSPDAQHFIIGSMDNVARIYNAGTGSLVRQIA EHSHYVQGVAWDPLNEYIATQSSDRSVHIYSLKTKDGQYTLLASHAKMDLPARRISSS SPAPPDLGTRSLLAATDSSSAAVGSPVPSAPGTPTSVPLPMNPPSVVSHSRRSSFSSR RSVSPAPSLPLPAVMPMEPSPKPNMGVRNANLYANETLTSFFRRLTFTPDGSLLMTPA GQYQVQHPGDGSRNLYEVINTVYIYTRGGINKPPIAHLPGHKKPSIAIKCSPIIYTLR QSPPVTKHITIDTSSPEDPIPALPEPISKPSPAPSMDPPPPPSSANAETEKSNASSKS LNLETGASTAGPKPTFALPYRMLYAVATQDTVLLYDTQQMTPICVVSNLHLTTFTDLA WSNDGLTLLISSFDGFCSTISFSPGELGTIYTGELSTVKAPPTSAGTGTSSNQATPTP TPTSYAPPSPFRGPSQHQHRNSASSFTAPSPPSTTSASFVSLRPSSPARSNSTSSVAT QSSTAVMTNPPLIGGTVPSIAATNSAKVTGVPITTPPETPRSGNTVTAGVKRDASESE KDEATTNAQPKKRRIAPTLISEPKP PFICI_06225 MSQEIILLATSAGGLGLIGLLSAPSVISLAGQLRNREPPTEIYE DEDGKSTPEAVKAYSAKVPKVFVLLFSLIGLGLSIALAVLSTIGESHSLFLQNWLSVG TWALLTFQAVCIASSRKSRTAHNLGKISALSALLLVVVLVIQDDEVAPRLIKHDLLIF ALRIVEVVILIGLALSSVSIPRRPDVYHNGKIVDRYYTESFWSHLNWSWPADVLRLAL KKNNLDLDDLPRPDHYSRAKETTLDWESRNFKGPLWISIIAAHKWKFALQWFTTLVSS FLNFAPQWCVLRLLIILENRTSNEPLGYDTWMWVVWIGVSMIAQSWVEAYIFWLSWAE LCIPLRAQLSSLIFKKSMRRKDVKGASKKKEKAPESSDPLVAAPSGAVPTSDSPQEET SEESEEEQLKKSKQSTVNLIGVDAKRVSDFSSFNFYFPGSVFKLVVSLAFLVNLLGWK ALVAGFSTMLAITPVNIYFSRRYSAAQDRLMKVRDEKMEVVSEALQGIRQIKFSALEP QWENKIGEVRERELKCVWDAFFNDTMLIGCWVTSPIMLAAVSLAVYAAVHDKLLPSVA FVSLGVFRALEVTLSVIPELTTDLLDAWVSVKRIDDYLNGAEIEDLMEEADEVTFDNA NIAWPADKTDDDPDRFVLRNVTVTFPKGELSVISGKTGAGKSLMLAAILGEVDVLSGS ISAPKAPPLSQRHDAKANASNWILPGAIAYVAQIPWIENATIKENILFGLPFDEARYH KTIEVCALKKDIEMFSDGERTEIGANGINLSGGQKWRITLARAIYSRAGILVLDDIFS AVDAHVGRHIFEKCLNGELCAGRTRILVTHHVALCEPKTKYLVELGNGVVLNAGLLSE LDEDGVLEQIKSHQSTAEIPMDEDTTAVNSEETSQIDDESTEVPLAKIPSNAQQARKF VEEEGREQGAIKKHVYATYLHATGGWFFWSTVFAFYVVVQGLTLGRSWWLKIWTGTYE EETIQNHTQQHAYAYSLNLQQVSSQQMMQPLRMQDERGLVFYLGIYVLLAVASAILGT VRYYYIFQGSIQASRTLFAKLNFAILRAPLRWLDTVPVGRVLNRFTGDFHSVDTRLAY SLGFGAASFLNLIGVIVAGLFVSPIILVLAFVLLLISIYYAKRYLHGARPAKRLESTT KSPVFEQFGSALNGVTTIRGFNKAQTYVDRMYGKLDDWTITTWHMWLFNRWMGWRMSV VGSFFASFVAILILLTPDMDAALAGFALAFALDFSGTVMWTIRHYSNIELEMNAAERV VEYSELPTENLGGEKPPAAWPTEGRVEVDNLVVSYAADLSPVLKGLTFSVNRNERIGV VGRTGAGKSSLTLALFRFLEARSGSIYVDGVDISKIRLHDLRSRLAIIPQDPVLFSGT VRSNLDPFNNHTDDALFDSLLRVHLISEEETAPGTPVPESGTENGSIAKKNTNIFRDL SSPISEGGLNLSQGQRQLLCLARAIVSRPKVMVLDEATSAVDMHTDALIQRSIREEFG DSTLLVIAHRLSTIADFDRILVLSDGKVAEFGTPKELWNIDDGKGMFRGMCEESGEKD KLRDICLGVAGEQ PFICI_06226 MKFSTSTGLLAAAIALQNGFVTAAPTKVIKIDLSEISVLGGMTF KIHQQPNKAFKGVRKGPLALARAYSKFGVAFPDDLLSVIEKLLEELGLAGNNTGGNET TTAGQEICLKEQKIENRRIGEVAAIPEEFDSEYLCPVQIGTPPQTLNLDFDTGSSDLW VFSSLTPTAQVAGQTVYSPEDSSTAEELTGNSWSITYGDGSSSGNGSVFMDTVSIGGI TVQNQAVEVAEKVSSSFTSRASTDGLLGLAFSDLNTVTPNQQKTFFDNALSELASPLF TANLKQAEAGNYNFGFIDPTEYTGDITFVPVNASQGFWQFEAAGFRVNNSTAISAPHV AIADTGTTLLFVPDEIASAYYAEVDGAVNDVAGAGGYTYPCSTTLPGFTALIGDYEAL IPGSIIPFAPVDGNTFEDATTCFGGLQATPSGLPFSIYGDTFLKAQFVVFHGGNQQLG FASKPL PFICI_06227 MPEISPLVLSYSHLKDLPRAKDALHTLKKIASLVKPLMRARGWK VNQLAEFYPSQQNLLGLNENRGQKICLRLRYPGDQNQFLPIEHVVDTMLHELSHNVHG PHNAEFHALWDQLRDEHEALTMKGYTGEGFLSDGRVLGGRGLVPMHEARRLARQAAEK RRTLATGSSQRLGGQAPRPGQDIRRIIANAAERRNRTMRGCANVTHNAEEIKVIADTA TRNGFSTQAEEDAANEAAIAQALWELVQEDDKARKGDLYQPVTIANPAGSQANTDLRG DALLGTNAKLRAAAPKRPTSRSMQSLQGQTKIGDQMAETIEDDSYLADGDSTPSSWAC QVCTLQNPLDFLCCDACGSERAPRVTEAATYQRPAKRQQPAIVDLTKSDPTASSHKTT SSAGISKPNASGMSQNSNPMVGSKTSTKTWTCSFCGRVREWTYWSCDLCGKIKESS PFICI_06228 MVSKALDPSHRNDGSNDDESSPSSNPTVREAPPPQPQVDMDSTV TTPEESPRVQRNPELSLRRNGPLKIPSSPNLIPGHGPSPSPPGSRRSQSGSPFRLDLP YISPGQLAFTAMQYLPVPLLVLNNFKTVVMANEAMARLLGIVADATDAQDVSLIVDQL RGQTLPQIGIDMLQDGRPVWVSWDDLFDSLVADGLTKTADIPPESHLVDGSVGGATPK VKSPESEQGLPSAVDGNTDPAGKPGPLNNSVVEVILSSTAFRAGTKHKTEHHSLAKMI ISIFEIGDQQIYFTLTFTNTETPTASIPVQKKVPRSSATSLEAADKKSISHSNPPSIG SSHDSNSPSMRISPSAVTLSSSPFPPMGPPSRSILSSTPSLFQKMTTIKDALLDNTRM PILAMWKDGSAPVMNAAARDLFIDPEASMHDSDGYDLLREWLVYDEDFTRQYDSSEFP IAVLLRTGEPFTGMRVGMVNKQQDKRVIFDVLGEIIKDPATGEMLAGVITCQDVTHMA QEITTIKEQDEERFKLICDTMPQMVWTTTPDGMHDFFNSRWYDYTGLAPEESLGLGWK NPFHPDDMPVTVRRWKHSLQTGDPYYTEYRCLSKHGEWRWMIGRALPLRNKQTGKIEK WFGTCTDAHESMETKAAAKRMRQQLLSVLSHAQTTIFSVDRNRKITMLEGALIWNTLK QGKQHEEDSSDDDLYVLKYVGQNVEDVFNDLNPKIRRGEVPEFLNPLHAMLDGKLKRD FTQEHEIDNHFFRTRFVPTMGKQSRDGMTSESIIDGVIGIITDITELKERESDLKVQV KEKRQLMANEAAAKEASRLKSQFLANMSHEIRTPITGVIGMAELLLDLELGEEQKEYA QNIVRSANALLTVINDILDFSKVESGRLDIEEVQFSLSVVVQDVTKMLGFAAERKNLE FHSNVSDDIAQDLVVLGDPGRVRQIITNLLTNSIKFTNSGYVKFSVWKGEETQDTIEI KFVIEDSGVGIEEEVRKRLFQPFSQGDSSTARKFGGTGLGLTISKNLVELMHGRITLE SSAGNGTTAMFWIPFNKGQTSQISTLNEVGTLPDRLQSEMSVSCNSSEYEHIINTPPP ESMRNSNKRSPQRARPASITSSPLFTEEDLSATERAKIFVLVVEDNAINQQIALKTIQ KLSFKVEAVWNGKEALEYLEAAQQGKHAKPDIILMDVQMPVIDGYKATHILRHHVPYR AYTKDVPIVAMTASAIQGDREKCKKAGMDDYLAKPVRGKMLEKMLVKWSRVKRKTASA PEHNTDLSVSDCSETGEHCLSADIPTFAHSDTDVETATSNEHADSDFHPDEFSTNLST PKPLVRNGSHEITAYPFGNFSTANQGGQSRQLDTNELAMQLRDDKLMGAAGGADAPKH QMVEGDSLTEENVGKLKQSAR PFICI_06229 MPRSRATKKGGKRVLQKARKTNQTEPGEDPAEASLGVPSSTSFR ERLSNIFGKPSLSLRGRKKIGRDSDSNDSNSKDGKDKAQLSSLLRRTIKGLGRPLVGP LVGLPREKWQCAKCRATCHLAAGTGEGLLRRWFWQLLGTLGAALTGRRRAAATAGTGL LEAKYQGLEFQVAEAMHKFGKRMENLASCIQDGATERKGRIERKRRKKQERDRQKAER LELELCEPAVD PFICI_06230 MSIDLNWDTLTSGPDGDELAGRIRDFIHTKFQAVPLPRFIKSVK VHDFQFGSIPPKVTLKDICDPLPDFYEEMPEADEQDDEASGEDDEDAASAAPSNGVGH AQGADEVPDAIRAAERRRREEQRRRLGGFGLSISAGNSPRSASPAPMDPLGGGFIHTA GLRSAPQTPDVGTPFLGIPGGTANMHYFPSHIATGWPSGTQTPLAAVAGAQHLNGRFE QYYPGGSTSSYPGTPSSSQGGRVANGVGGHHRNHSQSSSSISIQDFPASASSALLREK HSVSTLAPTSAGASRPPTRDANAALTGSAIDDELGDGDDGEEDEDEEDEDDEEMRKFR EPRIEDMQAVFRIKYAGDIKLMLTAEILLDYPMPSFVGIPVKLNITGLSFDGVGVVAN IRKRVHFCFLSPEDAVAAVGNDDDDSDEEEDAQGEKRQKGRMGGLLQEIKVESEIGQR EGIKQSLKNVGKVERFVLEQVRRIFEEEFVYPSFWTFLV PFICI_06231 MSSSTMLSPSAATRSRTDSASTGVAIPTSSAEHQDQLAQTLDKI HNSANQRDVLTTFNELHPPLDASTSPDNKGFGGDLVQSGFSGLYSRFKEAVGVSGKDK LPVPDDGDADTASRKSSGATASTSKAPSRIDTGLTISTIASRSTESMRPPSGGLSGEG ELQHQLAKALGTGTVSSTKSASSSRQSISNLSKSAAVVAPVNVSAFKDATRSASGRVD EASIRTQDSRNSMRTNDSLVALPTLDIPGRYDGSESRSAASLEKIVIPGRQRREELAS ADAKMESPVSPAKSTRSSATTSTQQHHVEDAALLSPTTRSSVRDVAKRPAIIDRISGS RIRGSHSRSSSVSQTTAEASNIISSAHQKVHHDTWARDDKPHRMSSGAARIPGTTTDE GAPEVVNATLEHMRKQVLSKDFWMADEACKECFHCGAPFSAFRRKHHCRTCGCIFDSR CTTIIPGQKFGVPGTLRVCKRCLHIISQRQQDGNVSEDSADDSYLPHLFRPNQKSVTL QLPKSDKDETGIVERTENIEDDSRLRTTPMMAIPATRRIGEFSNRNSAVLEFDAPQLS RPSSSRSLKSLAARPQSSSHKRHHSKHNFLSRFMPQTATDDRAPFRRSANEEAARKTG LPAFHDDNVIDPDLAAFMSDESSGEEQTSISQVMASGDPSLSTLDSDKSGLGPYFGAG KRNRLRVQPEKSVSGFSFIETGGPSMHVRSSRRRNASIASASVYHLRSPRPRSAHLRG PAGSTDTLPNYESMTPGISPRLTRSSSMKGDKEPRVELNPASLLHVRRLLRQLLQDSE VPNVPAWEKALIPILLKCTTSVDPDVERGDSIDIRHYVKLKKIPGGRPGDTSYVSGVI FTKKLALKSMPRRLANPRVVIVSFPIEYRRHQQHFMSLQPAIDEEKEFLKVIVSRIIN LRPQLILAEKSVSGLALQYLSEHNVAVAYNVKPSVIYAVSRCLETPIISSIDMLSLPV ERFQIGRSVGFEVKTYVNEDIPGKKKTYMFLSGSNEQLGCTIALRGAPASTLRKIKQI TDFMIYVVYNLKLESCLMQDSCLQLPTSEELPSQTSDDSKWTLNTLTSTLDDARQRSM SSMTYDEPPSQSSVESSKELERPTDSVDAIAQANEQINRLISLHENHERASNDSLVPD DAPMPTYYSDMVAKYQTRILSASPFVKFAQPYLLMRAREQERRLVHLKKLRDQDIVEE RSEGDKTKPQKFQLIKPHMVHQTGQKAPRAIMEVLHAVHDVEYDKALYYYRTQTRQWE NHIKANLDLFEPSAHQNIVVLYSVVCTHNKVPCIEPGLVAIQFYDEHVENNERMDADC TLGQYIEDVCFSADASCTGTSCDRKMLDHNRTYVHGEARLTVFVELDKKGKFRENITM WSYCKVCKRETAEMEMSKGAWKYSFGKYLELSFWSRGTHLVNDQDGSAWNCPHDHHRD HIRYFGLHNKEIRVHYDPIDLLEVIAPRARITWKVEHDLNLKNEIFTSTQDRWTRFTS SIKARIKAIKLDNIAPEKAEACKMEVERLNKKVQDDHVFIIRRLQEAYMNSKYYEVIP LSAVLRGEMIEKVADWDAAFAKFEVDFLPTEKDLRRLTMLQLRKMFTDESKESLVSNE SPAETPDITEKPPHSTEPEDVFNPPEEAAEQDNSHALPEAGAEAEATHESDAQTTPVL PPEAVLERVEPLDLAATPTTPGQEIFDASLPPSADDTTPRAATASSQADVASLDSTET AAANSPVPTGPPTSVSEQVEQLRRRQQAVVLEEAREAVAAASELSQKPPEMPKISGGT LPSRKMGLQVSPPIVRALSQPANSMPTLPRSHSAIGKKFFSLGKDKDKTPTAEHPPSA EIRKIPTNESLKSDKKIFSLRSRNGGKSSIPRFVGRKKDSRVSTLARHFEQLSREFEK ERKKNEKQHAAKMSHARAFLQRSTTKAIVEVYEDPDEAVQEPGQGIDEALLDRDTSQV RQRQDVDTDVDTGIDTNIDDADATPIAQPSVADPLSEDSHAPFEDERPNDDTATEAET DDHAPNTSQLPTDDEQGDSDTEQSLLEGTTLEEIADSLDSSTDIPLELPKQDKMNFMK ILTNFWAERSASDWPALEYPISATDHLFDHSDIIVREDEPSSLIAFTLDCEEYREKAR RFHGRIEQDSFDSGTDTEEPITPGAILSSDSHLASEAGLEASMRRVGGRHLKFQFNEG SAKMLVKIFYAEQFDALRIKCGAAHRIVESLSRCLKVDTRGGKTKSVFLKTQDDRLIL KSLQPIETSAFLKFAPVYFEYMAEALFRDLPSVIAKMLGFFQVIIKNPLTNTEIKFDF LLMENLFYDRGPAKMFDLKGSMRNRKIQSTGEQNEVLLDENMVEFIYESPLFAREHST KLLRASVRNDTLFLARQNVMDYSLMIAVDEAKKELVVGIIDCIRTYTWDKTLESWIKG RGFAGGGRNRPTVTSPREYKSRFREAMARYILEAPNCWHQLQAPLTHKPQAYIHAVGD EGVEELGQD PFICI_06232 MADFLGAMSGQVGSQFSSSAPDLLDQARLPGQIFRIQKKQQELL EKADSWAQYLARQPKPGVNLPIEVLENLREFHRREKKAAEHAETSLRFPRGGQRNLHQ DDSLKSQASRSNSIVSENASDEAEDVGSAISWSPSPARGDKFTKEDSIDSAPSQPSSQ VHHNDNDDGGDDDGSEQQAFMSQIPARSPLLQPTINEARTQQRARPVFNDFPSSSLGA DEELEVVAPNALVEEQPAPKKSSQSNPTPPSAQVQVPCTFDANSSVPERPCKDLASIQ TVQERLARKNKSAPAGLISLPQTTNHTADVPDSQSSVDSNSSVIPATNFSKQHRSPVR PLRMQPIKSTIKETPRLHSRSILQPTIEESSPPPPLPPPEHLKPVRDLDSNEMTRPKD GPGSSRIASPLPRAPSEPATLFVSQEAKFSETSWSPFVDYCVAYPSYTGSLTDFVSAC LCIPKRRLATYQYDDFIRAWKEGYLSYVQGSQEGALVAIDWYMEMADDLPLAYQANVV TKSNLKKVLEAYPEEVALMKPAHEMDQVHKSQALDEAPLDVAEEVQHLASEAPAAPVT STVDVESRADCPSRRSSTSSVGFEDPPLPIKPRASMVERAPGEPSAASKLRSRTAVVD LKSPQKRRSDDGVFQPPSKRTSRASVTPSEASMRAGPTVSRSMNYMAEVQPPPTSTGL SSKSDASSSRKKAKTVSDFKKFLRSEKVRKRHLDNISIASSAPPGTAPVYGRRGGPSN PFICI_06233 MTDQVDLKTIPISPDGDNNEVSAADMDEKLVTVFHDKDNFNVKH PLQNKWTLWFTKPSSGKGDNWNDLLKEVITFNSVEEFWGVYNNIAPVSDLALKSDYHL FKEGVRPEWEDPQNKHGGKWSYSFKEKRNVDINDIWLHTMMSAIGEMLEDEDDGEVMG VVVNVRKAFFRVGVWTRTIGKPIPNRGDGDVAGGKGRSVQKGQGILLEIGKRFKEILK LPAEEVVEFSGHTDAAHSGSTRAKSKFTA PFICI_06234 MASTLPKLPLFDAISRHDPDSTAVTHCLSGRSFRYGELLPDVAR VREQIYAAAGKSDIRGERVAFLVENSYDYVVTLLAILAARAIALPLSPPFPIAELQYI LTHSEAVLLLHSPRYAAKAQEILSTPFPALSPDGATQAHPKLYELPKHLGGTSTPESV SLSDETDSEGAGMMLYTSGTTARPKGVLLPETALTAQCQSLLSAWKYSTHDRLLHVLP LHHIHGTVNAVLTPLFAGSAIEFLFPFNADTVWRRFANPFLDKVQTNGTNGTNGTITP PYTNGDAALVDDKFARMSKAPITFFTVVPTVYTRLLTTHGALPEPLASAARQAISPPH MRVSISGSAALPTPVKRAWKELSHGNVLLERYGMTEVGMALSCGLSYIDRVDGSVGWP LPGVEARLVDPETNVVIEEGAEKDSEGRERQGEIHLRGKNVFREYWRNPVATAKEFVE GEDGQGRWFKTGDIAVRRVVPDSGKGESGEFAQGKMYFILGRQSADIIKSGGEKVSAL EVEREMLSLPNVAEAAVLAVPSGKWGQKVGAVIVLKPEFKEQGWKPMEMRKALRDRLA NYKIPQVLRIVESIPRNAMGKVNKKTLVKDVFVDDFSGDEM PFICI_06235 MLSRSTIFRPLAGAGAVARLSKRGGGGSQTILNSANYHRQQQQQ QHGARGMASSSQTPVEDIIRTKLTEAFAPTNLEIFNDSHLHSHHKAMQGSTSKETHFR LYITSDAFKAKMQPARHRMVYTLLKDEMAREGGIHALQLKTRTPEEEERARKLEAEAA AK PFICI_06236 MAPLSSDPRQILVALNVAKRKALYGLVTEITAYMRHQIELPEED PGDVPPPLPARHSSNENTPPPAGRTNSGSSVPRSDSAEGFRRQKEDETARAPPPPGLI NLRKAALRHFDSWRHEVLGKLKEIVNEADDQKVLDARRKRNEIIAKKQRDEPSEGEDL IDIGNVAPEANAKDPKEKMDSMQHLYHPIPTRLTTIPLLDRKDVLSSMVILLLSIGNY SAYSRALVCYLASALEVSPLFLDREEVEIATTMVETAQKAEAEKNKGGGMSADAEAQK RKESGKVGRYWKVGLASVAGAAIIGVTGGLAAPLVAGAVGGLMGSVGLGGLASFLGVF WMNGALVGTLFGAFGAKMTGEMIDRYAKEVEDFRFLPLAEEWGEHSKQDGPAARRLRV TIGINGWLKEEGDVTRYWRPLGDETEVFALRYEMKCLLDLGITLQELIASYAWSAIKS EILKRTVLATLWGALWPIQIVMMASKVDNPFSLARNRSEKAGKILADALINKVQGERP VTLIGYSLGARVIYYCLREMAERKAFGLIDNVVLMGAPIPSNPGHWHLMRTVVSGKLF NVYSENDYILAFLYRATSAQLGIAGLQPISDHVLGVENIDLSEEVAGHLRYPDLIPKI LNRCGFLHVKGGEGEIPKEEDDIQLNNKDFAESGNLIDFEDADHKQKKLEPSKHSLEQ MSNEKFDPTVKNVQEPKSDISVKNERLMGAQVAEEHKARMAASPLPEANDPLTTWDAG VNTTQQHQPRDTAYEMNSAPPKYTETEHKSDATDAHEEDSDDEGYGAIRMHDYDDGDM VSVEPLSIEDDYGRK PFICI_06237 MAQECVAYPGPGNGLYEVHAVICSTETELKHALPPIQIRLMGEG STLGASERQVYTETSQRMIHAKHIQLTEEDGIQLLEIILRDPETGIEVHCLYRTSADI PIILSATIVENKGSKDIYLETVALSMLKHRRAYSA PFICI_06238 MPNLFFLLVCCLFSIIKAEDGFQAWLRYAPLPLHLRNFTDIPKQ ILVLNSTSNGSIHTASRELRSGLQSILGIDATESFTPQDDHQNYIVIGTLEMITNFPG PHVSDIGSLKADGFWLSTNGPNVEIIGQSEVGALYGTFEYLSMLAQGNFTRLAYGSSP AAPVRWVNQWDNMDGSIERGYGGPSIFFENGSTTTNMSRVEQYARLLSSVRLNGVVIN NVNANPVILKPENIAGLARIANSMRPWGVRIGITLNFASPETLGGLSTSDPLDPTVIA WWGNVTQDIYREIPDFLGFTIKASSEGQPGPLEYNRTLADGANLFASAVEPYGGLVLF RAFIYNLTIQFDDWTGDRAKHAVDYVAPHDGEFKKNVIVQIKYGPIDFQVREPASPLF AHLQETPTALELEVSQEYLGQQCHLVYLPPLWRTVMDFDLRVDNQSSLIGSEIVTGHR FNHSLGGYVAVVNVGTSDSWLGSILAMSNLYAFGQLAWNPKIDDDAILTQWTRLSLGL DDQVVAVVTNMSLRSWPA PFICI_06239 MRANRTSVGMDRTDSTGSGYAGQYPAPVADMYENLDTTPDELLL WFHHVPWTYRLHSGNTVIQHFYDAHYAGAETVAGFPKLWATVRDKVDPTVFEDVMFRL QYQAGHSVLWRDSINEYFYNMTQIPDEKSRVGNNPWRLEAENFDLENYEILATSPFEI SSGLFIVQSISNTTTGSLRSHSPYPDGYYDLHVAYFDLNDGVASYSMSVGNGTVGQWV GDLETKLGHAPTGRVDGNSAARVTFEAVWVPHGAEIVISTQANGTEVAPLDYLEFVPS ARGRSTQ PFICI_06240 MASLGGNVLPSLAPQTKIYLSGVTIVLFVSACFSTLIKRSQKPV ENPGILRALWLFIYSCFLKPHSGDAKGNQQDALESFYKSQAGAYDATRGALLKGREDM LALAAAQLEHRATMKAKSSEKRRIWVDVGGGTGFNIEAMGKYVDVPSFFSSVYLVDFS PSLCDVARKRFARLGWKNVKVVCQDARKFRLEDHEPSHMQGNVPSSPYMGYFSQKRPE HGGVDLITMSYSLSMIPDYYSVVDALPSLLAPNGIVGVVDFYVQSEVDVSFRNYTGGL VNRHTNFLQRTFWRAWFDIDRVALEPGRRDFLEYRFGTVLNVNSRNYALGAIPYYIWL GCHKRPMSSALPHEIVEKVNALVTESPYLHPKNHTDALSRAVERAAPELRSKAFDAAV VNLSADLPLPSFFYQNHHWRIYYDDQLKKHTQFNDEYIYAFTWEDTRVDERILKLKSD DVVLAICSAGDNILSYALQSPARIHAVDLNPTQCHLLELKVAAYSSLSYVDFWKIFGE GKHPEFRSLLITKMSPHLSSRAFQYWLNNHHIFTKSKAGLYDTGGSKHGIRIFRWITR VFGCRGAVKDLLEAKTLNEQREIWRNRIRPALLSRLVSKFVVSQESFLWTALGVPKNQ LAIIEADHAQSDAVCGPNPTARNTRSHAIWEYMVNTLDPMVESTHIAADNPYYYVCME GKFSKRCHLDYLSKQAHAKLSRPDAFDGLRIHTDEIDEVIARIAPGTLTVAVVMDSMD WFDPGSNAAAAQITKLNRALKMGGRVMLRSAGLKPWYIKQFETLGFTAKRHGAREGGA CIDRVNMYASCWIMTKNENLAPPTPGPEADVYNGPELTELVI PFICI_06241 MPPVPSQKGTGKKGSGVVRQRSRNTTPNAAPPPGTAGLPQGDTI ETDFIKDLKFESLPTITYEDLIESGASNANIPDSKSLDGLINRLTKIHDIIEGRGSYC DRGMRLVAGERSKMHFEDVSSAGREDEKPRRDDDEKKANKKKRKATDSLAPQDAKVDR SSPLRDPNSKPRKHSRDNDSASSSLSPVAKETPGAMDVDEKPKTQEKAEEEEEEESSE DEGAPPRREAPQFQTFGEDPSTFPDPTVYEIREVTPDMTPDQRREIYSVAVYPESDLA DLIAGDPPDQDFSSGKASNQIAASTFATYTEPYFRPFNEEDLAFLRERGDRVAPFVMP KRGKRHYTEIWAEEDGATSMDTTSPGRDKLPPNQPRGNIDHMDDDVAETDKLSVGPIL SRLLQAMRPEARAQPSEDKPMINGINGDSEVKAETNGEVNGLNDEDGKSLPPASCMPE SSSEAWKKATHPKLDYTQVDERIKQELRHIGFLPEDGFDGDYDGHYDDEVAARLRLLQ NRLRDQILINGARKARLTELVKERMAHQEYQTILEDLDSQVQGAYLKRTRTLGKSKKN KRPGGAGGGNQAAGGGAAGMARPGIGDVAKTLMERRRRWIDSIGTVFDDPNLGKVPRA TDPGSSIFKPEDMQPLVKVERQNWDEEVEEE PFICI_06242 MHLVPKELDKLVISQVGFQAQKRLARGVKLNHSEATALIANNLQ ELIRDGAHTVADLMNLGSTMLGRRHVQSSVPSTLHEIQVEGTFPTGTYLVTVHNPIAT EDGDLARALYGSFLPIPDQDIFPPTEAAAYEGSKQPGAVVAVKGKVVLNEGRRRVRLR VTSKGDRPIQVGSHYHFIETNPLLEFDRKQAYGFRLDIPAGTSVRFEPGDTKTVTLVE IAGNKVIRGGNHLATGQVDLSRADEIITNLQNAGFSHRPEPVGDMASISAFAIDRSAY VTMFGPTTGDRVRLGSTDLWIKVERDLTSYGDECKFGGGKTLREGMGQATGRSDKITL DMVVTNALIVDYTGIYKADIGVKDGFIVGIGKAGNPDVMDGVTEGMIVGSCTDVIAGE GKIITAGGLDTHIHFICPQQAYESVASGITTMLGGGVGPSAGTSATTCTPGANYTRDM LKACDELPLNIGITGKGNDSAPEALREQVVAGACGLKLHEDWGSTPAAIDSCLSVCDE LDVQCLIHTDTLNESGYVESTIGAFKNRVIHTYHTEGAGGGHAPDIISVVEHPNVLPS STNPTRPYTRNTLDEHLDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVLHDLGAI SMMSSDSQAMGRCGEVILRTWNTAHKNKLQRGTLKEDEGTDADNFRVKRYISKYTINP AIAQGMGHLIGSVEVGRLADLVVWDPAWFGAKPSLVVKSGLIAYAQMGDPNASIPTVQ PIIARPMFAPLVPRTSVLFVSQVSISTGTVAGYGLRKRVEAVRNCRNISKRDMKFNDV MPRMRVDPEKYTVEADGMVCEAEPAETLPLSQQWFVY PFICI_06243 MARPKKEQKAAVAAVPTPAPPQLLPQVPAPAASQVLPDNSSATL VINRAEYARLRDSVHSRLSTIQELLRDFAADYLRQSNLLVGEPTSLENIPSLGALARL DGASLGFAPPPTEALPAAEEKKERKKRQHDPNAPKRPLTPYFLYMQTARPIIANDLGA DAPKGAVQEEGQRRWAAMGPDDKNAWNNAYQFNLRLYNARVASYKAGNLTARDMSDQE ALTYADANQLPQPNLAGVDPAAGGIGGNDQDAIVEQLQMSAPVAVAAVPEEMTKTPKP KPSRKRKSTAADGEDVEASAAKTATPASPEKKRKRQSKVAEPASEEPKKSARKKKN PFICI_06244 MFTLKPYPALLALVVLLVYARPALAFGAGNIASISKVEGQNWRH GDIEDTLLTLLLARAAGGKKFDKLAVSRVYFGNWLRDYSQAIDVGTVKSVSAEAIRLL LCVLGFLTFGYGSKEFEVTADRLGTYRPEDHIDNPKGYPAPGVDARDYDKRLRGPIDE EVELAVDERTGLKNYIANEDVNIMTTALHIRKLFGRCIELGRSYNQNRNKDDFYEALR LMGTGLHACEDFLAHSNYTELALIELGERDVFPHVGRDTKMRIEGVRHEVYPCITGTF GGVDFLHSVTGEVSDKLTQNEIDELEGTLTNNSKNDTSYIADLLDKIPDGLIGGSGDK KQQMQEIQENANNSQMENVSVSPRDPEEFTVYVQNIFKQIMPAIEFHDELLKSISEAI EKIPVLPKLIENLEEQMSVWVFSIMAPMVVPVLQQIKNELRTGSAEIIASSENEQHIV FNDDNCSDPTHSMLSKDHFSNILNEAAGKTASKVVGWVVPQLMEAWDDESVDVDRLMN RIITGVMHHPAQRDQGEDGAKDCREIMIRSVEDWWNQKDDSEKDEFRRKLSREGVQNG ENHKEGVHDTGHGCGKPLGMHKNFAEGGTFEDRIAGAAADAIVGGITGGISDAVESQT GISLPTSGSGSSGRRQDESSEGGLGGLLSKVGGSFLGGFKKDEEESFSSRREDDNSYT ESRTEYGHSGNRYGQAEVSRTEYSGGGESESYMRYEQNEDRRGNTSSHGYEERTETRP SHGGGYEQRTERRWEDNGNTYEQREESSWGGGRRDEGGRDDFGGGERREEGFGGGFGG GRRDDDFGGRREEGFGGGYGGGRRDDDFGGRRDDDFGGRREEGFGGGRRDDDFGGRRE EGFGGGFGGGRRDDERRDDGAGEFVDGALDMAGRFMGGGDRRDGGERRGGGGWGF PFICI_06245 MQALVILSLLASYASAQGASGSGQTTRYWDCCKPSCGWGMKTNS GKYIQTCDKSDNPLGSSDTKSGCDSGGSAYMCSNQSPWAVNDTVAYGWAAVKLAGSNE QTWCCACYELTFTSGPVAGQKMVVQASNTGGDLGQNHFDIAMPGGGVGLFNACTNQYG APPQGWGQQYGGVSSRSDCDSFPEKLKAGCYWRFDWFKGADNPSVTFKQVACPQAITS KSGCVRSNDAIDETPTSG PFICI_06246 MSYSKPPPLLLFSNPWIGQNQGASTILKNITSLSSDIAYSETIK DNITTLSTNYAPSDGTIQGLLYVPDIDPDDECSKRANAYIPYNATRQSNLPPTSYNLV ALAPWIDANCTKALLASTRADQLRAFLFYLPNGDTSQPPASDDATWDLDDDDSNSWMS KYKYPIYVIPGASGQEMMHELSLYSGNLTQVPYGANISELYHPNSADYVRIWTQLHVE FDSATLPMWQWVLIIIGLLVFITGGTSLLMHCIQNRRRAVLRRRVMRGDIDLEGLGIK RVTVPPEHILDFPLFTYNYDPPATSVDRSGSPSHKDHKDVPVVFENSIPATGTAIPPP EYQPSCHICLDYYESKVTIIRELPCGHIFHPECIDEFLGEVSSLCPICKKSMLPKGYA PPITNGMVRRERATRRLRPRIITSANSKKSHPRLDSWGSTVKKHIRSLPSSPTQPEPV DIELAERSKDPATTRQRMQDLAGHLDDNSDDGKPPWRKAVAKVFPLS PFICI_06247 MLVENVGWLLQNNHPTLGQMFRRPTPLLSTLGALLIFYILIIFI PKQPDTVFGFSYVKSSYDWSSLVQTYPVDEITPLPTGSPRKLPSVQHDFSSDEAPSEE RLAVLADRRAAVKDAFIKSWDSYKASAWMYDELRPVSGGGINTLGGWAATLVDGLDTM WIMDLKDDFYQAVEAACTIDWETADTSINMFETTIRHLGGLLSAYDLSKETALLKKAI ELGDLLYAGFDTSNRMPPFWLNFDEAKDGELEPGTHDPSASVTSSGLEFTRLAQLTGD NKYYDAIDRVARFLESTQNDTKLPGMWPTFLNMRTQDVTIESDFTLGALADSLYEYLL KTFIILGGLEPMYEQMYRTAMDTVTEYLLFRPMLPDQDDILFTGDVSVNSNADKPRLT AEPQHLGCFVGGMYGLGGKIFDIPEHVTYGEKIAKGCAWMYDAMPTGIMPEVYNLIAC SSLEPCDWDEETWAVKSDSTNTLKKGIVDAVDPRYLLRPEAIESIFLMYRITGDAEYQ EIAWRMFQSIKNATETSLAFATISDVTVTGKTDKTNSMESFWLAETLKYFWLIFSSPD VINLDEYVLNTEAHPLRRPT PFICI_06248 MVKEARHITVRIGADARHVGDVKRVQVVPSAGRDQLAHVLAQVS VLEDLPARLDVDAVAQVRAARVPDLEALFDAADGTPRPEESALVIVARLVKLLAHEAD ELILVLAENPVHGRESGGGASSASGPRRSVCQPLGRIHPVFLNVLWRRTAPDASLASS VLIPASEG PFICI_06249 MAMSLPVRPVPAPPQRGDWERYKQRIAHLYLEENKPLREVADIM RIEHDFTATPKMYKQRFHQWDFRKNLKAGEVKKFKGLTAAGHETHLPVVHGRKLGSKR LKSLVIKSNQSISRSPEAESSRGTPLPGNIDVPGEFNLAELALKAVTSYSRSQLENRI WDLTGSKDDRTETYYWGVGIELAAYKIADKHDLAANFQVLNKCCDEYRLVLRKQDPLL IWATYKAILRLSQSGEDLAMAFAKLAAGLSVIEFGRTHPLAVLWTSLRRMGMDEVRRA AVPIIDAQFELLRDHQGSDNTFWPSQVIVLIKKLHDMHLLTPEATVEKLNSCIQWIQQ HPGPDVQRTEELLNSTRMYITCIYIDAGQYEEADTILRVVENWIETGGQPKDFQRVNC AEIRAELDANVGKLESAEFHYKKGLQIAQELLWQVDPGRIGFSFRALEKFYLDHGRTA AAQAVRHGYNEHLKSMVGECSQDGELVLDNLKEEDDVIQDSDLD PFICI_06250 MEYRRKSDEPTSAIQRFGRWLRLKQYQVEVTFAVYMFTPTEKFV FWSVVFLLFSMTAIASALYLPQHLAFLIDRAWFYVNGGEASSGSGALASVNKEAMSLS VTSLAAAVTEAAGTTAREL PFICI_06251 MDFDITQTSTRVLLWGAVVLGAWTLQKLFFTKQQQQQLPLPPGP KGLPLLGNIRDLPPPGVPEWRHWIQHKDRYGPLSSVTALGTTMILIHDKDIALELMEK RSAKYSGRPFQRFAQETCGFGALPAFQQNGHKFRSQRRLMAAQMGSRSSMTKFQSAIE FQVRHFLLNAMNNPEDLEQHLQRESGSLMLETLYGYTATAKGRDPLVDLINKFMAAFS DAAVPGAWLVDVIPWLRHVPEWLPGAGFQKTAREYRKLWDNVRGVPFSFAEEQIKTGN AKPSFISGLLEQQDLTAEEMEHIRDSATGLYSGGADTTVAQLGFFFLAMTVFPEVQKR AREEIDRVTGGTRLPGFQDRDQLLYVEAVVKEALRWNPIAPMGLPHATDEEDTCRGYR IPKGSLIIPSIAWFTKDPDVYPEPDQFRPERFLGANPEPNPTAFVFGFGRRICPGRHL ADANMFLVVAQSLAAFDISKAVDKSGNAIEPRIDFMPGVVGHPEPFQCRITPRSEKHA QWVRNIEIEQPWAEGDVALLQTMHQGL PFICI_06252 MRFISTLSLCILPAAALAVPVADKRQDDVEAVTDEILFDITLPE FTTRRNAEDPSYLDWTSDGCTDSPDNPLGFPYEPACNRHDFGYTNYREQSRFTVSAKA SIDSNFKDDLYYQCEVNGSFESICEALADVYYAAVVEFGGDDATPGKRSSLYEEKLAI YNQLVAEAVAKGELVLPETA PFICI_06253 MAEQESLIPTDKEETPNYPPPDYITAAREHGLKLRQSAPPRKGP QPFDIPIIKYLKTHRVILASASPRRKALLAQVGLTNLEIIPSTKPENLSKDSLGPYEY VNATARQKCLDIYTSQIDAGKEPEVVISADTIIVTREGRILEKPPSEAAHIRTLKQLR DSRFHKVLTAICCMAPKEDAAYPGYEMATHIEETRVYFASESDGLPDDVIESYVKTRE GVDKAGGYAIQGLAGMMLVEKVEGCVDNVVGLPVRKTLQLCEKVVFRQGEVSEGEDDD PFICI_06254 MADKSSHSPSPGINGGVDEKTRLTEEEKKQNHIASEQKRRQAIR DGFDKLCELVPGLEGQGRSEGLVLNRTVEYMREQLEVRRRLVQEREARGEIVDEKFKE PLKILERLEELDRTRVHTKQEDDEY PFICI_06255 MLLRNALRLAPLRALPRRLPNTCRTSLISSSRACSACATAGTPP KAPADHRQLGVQQELFTTSIYSPGSPIFLPNGAKIFNRLVEFLRKQYVRYGFEEVITP TIYKKALWAKSGHLDNYAEDMFTVTSTSPSRKDTTENGEEDEYGLKPMNCPGHCLIFA SQRRSYRDLPIRYADFSPLHRNEISGALSGLTRVRRFHQDDGHIFCRPSQIEEEIKKT LDFVRVAYKVFKLGPYRLALSTRPADHFIGAVEDWERAENALKRALDASGLEWGINEG DGAFYGPKIDIILRDSDGKEHQTATIQLDFQLPKRFELEYQAPAPQLEQKGESTTDAA RLAESGPVTPVLIHRAVLGSVERLMALLIEHYNGKWPFWLNPRQVMILVVNDSQPVLD LAYSTRDILLGISGSEVEMADDEPVSNATKLSVDVDDRKISIGAKVREAKAKGYGVIV TVGPRQVPKGTVTVDTTAISRNESSGSKQEELSPTELLDLLMAKIEAYE PFICI_06256 MSPSKKSSYASLPVESSWRMVEGSENDSFDTSIVQDPYEDDIIL SSGPSQPSQGFISSQEFSIGSQDSIRDFATNADDEEVILKSPFHPSLISTRQASVDKE RTPVPEFFMPKVEVESPRRSNRSSRTLRPGMEEQQQLRRRGYQRQDSDASSHKRPFSA HDRLRRYSSDDGQYQPPTAWERFSSSVPVALFETAAWCISVLSMALRYAKWPLAIVLA VYLTIGAGMVAKTIIKDSISTSLSPICRIPGASLLDLPFCPDIPPFSGRNRTNPVEFD ELMNVQAEFEKVLETSASGVSLPMEMKRSEAAVRDLRTIIKFEKDLPARDELLYEFDG YIDSMRDISSDLLTFNTHVGSAVDSVISINRWTSRYIDSISAAKAAHDNTLSRWSDWL FSPFQAAVFDERMLLDKYVEHTALVSDKIGNLIIEAQAVLRLLTQAENHLELINEHVV RSGNEVKEKKSEVFWDLWTLVGANNRRLHNLRSQLGLLRQVESQRTLAVTQLVGLVHD LGDIQTKLSDLRERVAAPELLADQSSIPLSVHIETINAGVERLESARSRIRAEENDRI QQALARARGEENLIDGR PFICI_06257 MRFSRVLALPLFGALAAAEQVLQTTSLNTCQASSKFSATKFDVV FTPVNNSVSVNMVATSSIQDNVIFDFAVSAYGYQIIRQTVNPCDTSLAGFCPMQPGDT DVPFILTVSESATKQIPSLAYTFPDLDATVRVFINSTSSPGTSLACLEARISNSKTVD LDGVKWASALVIGLLLVSSAIISGLGHANAASHIAANAVSLCGYFQAQAIIGLTGVSL PPSVQAWTQDFQWSIGIIRVSFLQKIFTWYQRATGGTPSQLFTTLETISVQVSKRSLQ PALGLAKRALAMMPEHVTNIMKRGNVKNDSGSYVVTGIQRVAYRAEIESTNLFLTGFF FFCLALVFTAIVVALAKGICDLAVKLRWVKNDRFTEFRSGWRLLLKGVLYRITMMGYP AITILCLWEFTQNDSPAEMVLAVFFLLYSTLALAWAGWKVIQIARRSVHLHRTPAYVL FSDPRALNKWGFLYIQFRASAYYFILPIIAYHFVKALFIGLGQHAGIAQAIGLIIIEA GVLIAASVMRPWMDKKLNSFNITIAVVNFLNAICLLIFTDIFDQPALVNGVVGVVLWL LNAITTLVLLIFCIITTAIVFFRENPDGRYQFMSDERASFMKSSHSLSATDQLDALAS TARGEKNQRLDLDEGEDGPEMAHSLHRRPESLRPSTAGSSSWRGSRSRIDSAAFGAST EPLRHSPLHSGSGRDSPAKQGGINPLPTVGDAAPPSPAMRSQHSPSPWQRGAGYDHTS PFICI_06258 MGLLRNHEVILSSVLGLLAPVIRAALYDSVLQTPNGPVQGYPAF NSSPANMNLTHWKDVTVWKGIPFAADTSGENRFRAPQPVSPWNTTFDAKDYGLACVAS GTTYADIGEDCLNVNVWSAANSTDDKLPVVMWSYPAGGSNADPRFDGAGMADKGVVFV NYNYRTGATGWLVTPELTEENLNSIGVNSSGNYGMLDQFAAVQWIRDNIASFGGDPDR ITVSGQSAGSAATYHILNSNLTQGKIVGAIIQSGVRDPYDPLATSLAEGYQTYDVSLN YSQTFMESVNCSTIACMRALDWSTLDNTAMPGSTGPSFKPTLDYYAMPDTYLNTLQKG LANDVPVMTGNTRDESGASYGLNITLATYLADVNSTYTGDFVDRFLSAYAANDSATAS AAENAQFTDRSKVGTQNWAAYWLGSNVTTSPVWTWLWTHAPPGQDAGAAHMTEIQYTQ NNLYNVYYGAWEAEDYQIAATMNGYWVNFIKNGDPNGDGLPQWDQATADGTVTQELGE GWGAIPVADDEQISLFNDWFPTLTAI PFICI_06259 MSSLRNAVNRRVHRERAQPLERQRFGLLEKAKDRKLREQDHNRK KKTLKALRAKAAERNEDEFFFGMMSRQGPQGVLSKGRRWNGTVDGDRGNRALSVDEVR LLKTQDVGYVRTVRNTAAKEVRALEERVVGMGGSLDAKVVDPADEEDDDDDDWLDDGG PARKKPKKIVFADGVEDREDRISGALDGGEDDDDDDDDSMNGEDTAKSNPERARAEQR ERLLLKLQRRLATARKRLKALSTAENELEMQRAKMAKTQTVGGVTKTGKKFKVRERKR PFICI_06260 MSPVAGPSGGSFSLFPNTHTSKPPSRKTTPRPRAASPQGQERED QSFQMTPPRNGRRTPEDLSQFVIDGSPSQYAVQYNQQDIEPMAAQPFDPSDAPIRTDT AFSGATTLVRGNSTRSRSSIAKRPFEDEPESSAGQEPAGFRSIFPQYDHSLPFDRQEY FPTQTSPSHIPRQVISRQSHVPGGTDSRSPPVRSPLRSPLSAGSAQRWPPRHQDPPVI PPVSTTEDLRDYWKAANGWKATSTDGRTYCMKLEPEKDTPIYYLSSTTQPFYHMRVVP TSASAYITLSRHDPSKSFKERDPMADSRPQGILAALRETEKSKTWHEAITTTLEEESR RLPPEDGLVALLYPCAATKMALDKPGDIQAVMTAERECARLVWDEDSQNYFLVHPALA TPFCITVERNQAWSRTEYTLEHIESPQHLAKLTRDGTGEGWMELDTLIAGRIEAHYIL DVAVTALLIVANQDEKNHKIETFEPPPMMLPPPPAHLRESGRESRTSLASRLTGGKDG KKSKNKKRGKLEEIELDLESQTSSLGKQLDVKDKDKLPSTTRTVIKLISFAFKCLIWA LTLAFKALVAIVAGLSKCLTSEKL PFICI_06261 MVHAVVIGAGVIGLSAAIRLQEEGHQVIIVARDFPAPFETVDAQ LQINYTSPWGGAHNRWVLPANPTEEKEHQMALITYERMMQLQKTQPDAGITPMPGIEY LEAPSPVYTSLTEAKASSLGLAGFRFLRPEEFPDSRVKLGFTYDTWCVNPMVYCSFLL RRFSIRGGKVLKRELRDPAELFSLKELGRVDVVVNCSGNGFQDENVFITRGQTCLVTN YCPATVTRQNADGSWTFSVPRNFDGGTIVGGTKEPNNWDSTPSLAVRKLLLDKFAAAY PPILGKDGKFTVIKDIVGRRPTRKGGMRLERERIHDGKSIIHAYGLGGRGYELSWGVA QGVINLLVEDLQSSKVVAKL PFICI_06262 MKMFTKIAFVLLASSAALASPYLEFCSLLDLQTVGTQGIVIQAS CGGQCEQLNIVPCFANSYGTIVPAGRTNGNFNSTCRDCTLGTVKNDTENYGVVSCICS SGAPDIVVNTHFQTAETIRYETSLGMTCPYGNSSAIRSVQCGATTNKHSSVSNNGRGR KVRRSMRSAQLWKP PFICI_06263 MDWETRDDYGPVAVYTNWAMFIILTRLRIYCRLAYGSKGIIRGV GLDDLITIFCWILFLITCVLVTITVSHGLGKHMSALSPEQITESLHWNVISNSVAIWV FSLPKFAIVALLRRILTYGNKTAVLFWGLAFTSQACILATSIWWFRQCTPIEYGWDRS IEGSCADVSVLKNLGYFTSAYSAFLDFFFALYPIPLIMRLNLGLKNRVTISVAMGLSA LASAVSVYKLAIFGEVFTMLPSDPTYPVAYLDILGMAEGFILLVCASLPTLGPLIRAI RGQTINGSGWSGNSSSQAYYSKGTGNRETRSGSQSWRANHKLPGGGTDLESARREKIG GSSHYDVDEMPLVETKKSNSVRLEPIIIHKSTDIAVHSEPRTPDSRPTTRDRDIFVKH PFICI_06264 MRNPISDTGISIVYSPDEGDPLVDIVIVHGLQGHPFKTWASTKI PKQADSPDQSLLGVPRDSEKGKNVVRRMISKVSKRSSANATPSPHQTEPDFTETSTDK GKNKPALVFWPADLAPNECPRSRILVYGYDTKVSKYMTGPTNKNHVLSHGKDLVYALC RERTRGRPLVFVAHSLGGIVVKEALAASSVSGDADMKNVIESTAAVVFLGTPHRGSPD LSALGEWARSVLSIFRMETTSAILDTLGLKTSDLERAQESFSRLWQEYDFRVKTFQEG LGLTGIHLGVLGNKVVPDYSSLLGDQREQAESIQANHMEMCRFTGRNDPNYRKVAGEL RSIYVSIQRLKEGKTHQDAVVSHRVLASRIKPRSRGSNDQKTRSAAEIRLDKLKFPTM NLRYRSIPKPAHNTCSWLFEHENFQDWLHGRKLSSHRGLLLLQGKPGAGKSVLMKEVY RQMSTSQATNDCTTAAFFFNAQGQRLEHTRLGMLRSLLYQILPKHQGHLDRFNSIWED ESDVLEEDLRDLLESIFSKPFEKSVFIIIDAVDECDTSEFRRVAYLWRYLTGQAYSNG VKLNVLMSTREFPNIRLSDCPGIRVDHHNQRDIKLYIEQRTKLAMIPEQKLSPLKELI FERSNGVFLWTVLTLDRVLEKWDEGEGLLALTRHVTHVPREIDALFTEILETVNIETT ELAIRLFQWASLMVKPLRLYEWHHVLAFNRQPVPSSLHDWRASEHFTESDEQLERQIR SLSRGLLEVRAIPSVQDDGSERMSTRAGAGSLNLSHGETRVVEFIHETARDFFLKGQG FWTLDSRLKGNAAADGHLLIMTKCLDYLDISELDCLVEARNQLLQVQVQQLIEKDDTP WQTSHSPGRKVSDARYSPPVDCMELDNSGNDGEMESGKASQASECDARAVAVHEGICS PEERGPEESHSEVGRIETKELNLPSLAPRRESHAPNRLQSHAPIYELLKEASTAISGI DIEKWVITSQFATNQSTQNEPESNSAARPSTPVSTRVLEDYPALLSYATQEFVTHAKL AQSAGADPSSIVRRLLDQDGRSWARWLILREVAGSQVKLHEFAAMNGLFSWVEYMCGV SESVPRPTSEHSSTSAPDQTRVAMDTALDDIFQYTQGPTTDNKIWFCVYKGCKKRFTR EENIKSHVQTHLNDRQHQCPDCQKCFVRQHDLKRHAEIHTGVKPYPCECGTRFSRHDL LIRHQQRCTGALEDAVRKRKRVESHQPQKQRSAAQRGRSSRIPFDFDASSFDFSSNTQ QRHNDDAVASFSSASSHTGSVIL PFICI_06265 MASRRLALNLAQGIRSRTAAPALSSLKRGFATPVSSPAGAKTQT TTLKNGLTVATEYSPWAQTSTVGVWIDSGSRSETNETNGTAHFLEHLAFKGTAKRTQQ QLELEIENMGGHLNAYTSRENTVYFSRAFNADVPQSVDILADILQNSKLEPSAIERER DVILRESEEVEKQLDEVVFDHLHATAYQHQPLGRTILGPKENIRDITRTELTNYIKTN YTADRMVLVGAGGIPHEQLVDLAEKHFSGLPMTSPQNSAYLLSKKKPAFIGSDLRVRD DTIPAAHIAIAVEGVSWNDDDYFTALVTQAIVGNYDKAMGSAPHQGSKLSGFVHQNDL ANSFMSFSTSYSDTGLWGIYLVSDKLTALDDLVHFTLREWSRLSQSVTQPEVERAKAQ LKASILLSLDSTSAVAEDIGRQLITTGRRMSPGEIERTIDRITEKDVMEFANKKLWDQ DIAISAVGSIEGLLDYQRIRNDMSRNF PFICI_06266 MSDEDYDFEKEFQAVFIPKQKPPPEPNTVTDDMGATVSSYPPLG QVTQLRKGNVKFVVVIDVDEADVNEPWEAAVWHNAGTGEWTETALSRVNDGPTPTSLQ SEQSKKTRLYFDSNLSVSTSLAFTIKFRNTADKPWRWVKDEQSIGDGTIVINPEASSA QGPADFSAVIKDLNPDLKVSSLVSQCPGTELWSIKAPIAAADGDASAYTDFRIGVPWG GYLGWFALVRIWTPWLAPRHGKADFSLDQDAIMVAFLSPTGRHLVLLAVSGIDCVNAV FQHTESGQPQLHLRNDGEAATSGLVLAATGNNFESALAAVMYQSRSVVQAAKTASHEM ETELQALRDGVKPEWMENWYDGLGYCTWNALGQDLTDEKVFNAVDKLAENNINVTSLI IDDNWQDIDYTHESQFQRGWKSFEADPKTFPKGLKETVTQIRSKHPNIQHIAVWHALL GYWGGIHPGSKLDQTYKTVLVDRQDAKRRNLPLGGPMTVVTQEDVGKFYDDFYRFLAD CGIDGVKTDAQFMIDTIESAKYRRELTNPYLDAWMISSLRYFSIKAISCMSQTPHILF YSQLPRNRPAVLVRNSDDFFPAIPKSHPWHIWTNAHNSLLTQHLNILPDWDMFQTIHD YSGFHAAARCVSGGPIYITDTPGEHNLDLINQMTGPTPRGKTVIFRPSVLGRALDQYV GYDDDAILKVGCYHGRAVTGTPMLGVFNISARPLTELIPLSRFFGVIPSMNYIVRAHS SGIVSPVMKPDSVRALLPVSLEVRGYDIFTAFPLTQFDSDSLGSVYTANLGLVGKMTG AAAITTSEYEWLHDGRVFLDTRVKALGVLGVYISVLPKLTIEGDFMVTIQGQAVPPHT VSVDKVDQHVVRIDIETAWKEMDLKAGWSNEVEVKVYFAIDH PFICI_06267 MSAKIFLTGVTGFVGGEAFYQLYSKHPDFEYTLLLRNEERGKLF LEKYPPKDNVHLVYGSLDSKDIIEKAAADADVVVHTADSADDEPSARAIAKGLASSHT AEKPGYWIHVSGTMLIAGLDKSEDEKFRRDTLHDIDDVVLITDELPDKCPHRPIDKLV LNTNGTSSGAIKTLIICPPTIYGQGRGPVNQGSMQVPGMTRFTLTHGYAPILPPGTAE WDHVHVSDLGAALTLAVEAATDSSKRDNPEIFGPHAYYFLESGAHVWSDIAKFIAAEA EKKGLLTDETRPHVREVTEKEVGHPSWELHSHGIAARARKYLGWEPRGKSLLETIPET LDIEAAKLKNN PFICI_06268 MAAETIFITGATGFIGSHVAQDALKAGCRVRLSIRKPEQQQTLE KLLAPYLSQLDFVVIPDLSNVEAIRGALEGIDHVFHLASPMPGRGSDIKTDYVEPAER GTVAVLEAAQSHTTIKKVIVMASVLSLMPLGALAAPDFSVTDNTGEVIPVDLERPLPE GFIGHSLKYQASKTLAHQAYRNWNQQHQPAFTTISFHPTFVLGPSLVQQKAQDIGGMN ALFWMSLQSEQPKIPPTCVDVRDVSRAFILALEKNVPSGTEYILSGQAFTWQHVVDFV KKEYPELELKLTPPFHQRLVADTSRAGRDLGINWRSMEDLVSSVLNQQLSLQGRSHV PFICI_06269 MPLWLIYHTDDTFVDNESKAALSRDITKFYTNLSLPAFYVVVNF IKLNGNDMWVGGEPRSGKPFVRITIDHIAIHVPDDDAIYHRTTARFDELLKPHVADKG YSWEFHVDETERRLWKVNGFIPPAYKSAGEQLWVKENKAVPYE PFICI_06270 MNSTALANCGDDSFGPSVSSQDCRGGFDLTLLFEESILAILPAA IFLLVTPFRASRLVRQTRKLKLNALYAAKLLATAVYVGLQLTLLVLSSDSSIRTHATI AAASLTFVSALMLAPLSHLEHGKSSRPSFLISFYLGFTAILDIARVRTQWFLPNNRSV AAVLTVSLIVKCLLLTLEEMGKRSLLPGTRSGNISLESTSGLFNRSTFWWLNSLLLQG SKNILDTDALPPIREKLASNRLGETLQSNWKNCNQNRRHALAWACTWGFRYEIMLIAV PKFCYVALSLSQTFLIRQMVTWVQNSDAASSNNTGYGLIGAFAFVYIGLALTMGWASH LTYRLMTMMRGSLITIIYDKMATLPMASSNDSAAMTLMGTDVARIAETYNFLLIDAPP ALVQLGIALYLLYSQLGAVCIAPIIVTILSTGLSTQLAGLIGPRQKAWIQTMQKRINF TSEILGFMTNIKMLGLANKMASNIRDMRQDEMETSKKFRTVQSLNISLVNIPPSFNRF FIFAAYAIVAHVNGTGDLSVAQAITALAALSLLSTPLSTLLVAIPTGWGALSCFTRIQ EFLVEESRIDPRSTYSAMSGSWEQTETQPSNSLLQAAKIRVNHASFGWSASKPDVVRD VTSSIKGEAVLTIVIGPVGCGKSTLLKGLLGESARASGSVHVSSLEIAYCDQTPWISN CSIRENIIFGSEFDANWYNTVLQACTLHVDVADMPGGDSVIVGSKGVKLSGGQKQRLS IARALYSRKKLAILDDVFSGLDSATEDSVFRGVFGRDGLFKKIGTTVILATHSVKHIP HADFIIALNSSGELVEQGSFIELNVPGTYTYGLQVKVERGLADKEHGNKETVTNNKPE AAPATKESASDESRQTGDWTVYKYYMQALGPMKLLIFVLLVVSYSVVNAMGSVWVNWW ASSNETSTDTRLGYWLGIFGFLSFMEVLLMTAAIAFLWIVIVPNSGSSLHKALLGAVM SAPLSFFSKTDTGVLTNRFSQDLRLADMTLPGSIINVTFQFAGCGVVIALAVSAVGYF AAVLPFILVTLYFIQRFYLRTSRQLRLLELEAAAPLFTHFTESLDGLTTIRSFGWTAV FAEKSRHLLDRSQKPYYLLFCIQRWLVLVLDLIVACLAIILVGLAVALHTRVDPGLLG IALVNMISLSHSLTSLVQYWTMLETSLGAIARIKNFAEETPAEKSPSEQKREPSATWP ETGALRFQHVHASYSEELSPVIQDVSFSIKGGEKLGIVGRTGSGKSSSILAILRMIDV VSGEIILDDIDLAGVDGSVIRQKLNCLTQDPFLFPGTLRDNMKPVDEVTDEAIISALQ KVDLWTLIENKAGDNVSAGSGVLDYTIDKDFLSHGQRQLFCLARAMLKPGRVLILDEP TSSVDLETDAKMQEIIRAEFKDHTIIVIAHRLSSILDFDHVAVLDAGHLVEFGKPADL LEIPTSNFTRLYGGRAQ PFICI_06271 MPVWSEPGRYHVNLAPGRHQIQQTLTFGNILRQYAAAQSAAETL SVLSRDALNAIQACYNVGAAGPGAPPLVGSALDGPELVKMLIYLNYLALDTVRGAILL LIAGGAPPAVVVAYGALVANMQNITFATLVATSHLQGMTVANVLLDPNYNNYLNNLDN TNAPGVPTPPQSGLGTVELLCRIVARNPNCNNMAAFTALAQAMNLANLPRPAGPAING WWDCYNKGIAKTVFVCTGSNGGAVGQVPTIVITTSQDEWGSFSSLQNWARACRQPLRR LNWNGVGGMRDPMGLTAESLQVRLDYQNAIAALPVPTNFAARTNARQGVINLRGAFRV FHNANHPHELAVPLTCPGFQPRARCMRCQAFFHYNIQAPGALAGETVLKGANYLKCHL MRACAECIAHYACCHALANAGIVVGGNASH PFICI_06272 MAFNILQIKATPQFNTLNSNYTNALPKNKTNIIWAPGNNAQLEE STTGSNRCVTFQLTREPVSGQWAPVPILVSGIAPVIATWYVPPNQGPPATFKVQVKLD GRDWILSTDDIQVTSPTAVSIETKSMRVLQQVFDIPMRISGDWTWAIQRTDKTGFPEV LMADKTRLEVCFVFGALAPTGPWDPDALDPSQPTRPDFKDKYYIDLFRLFLPSQWELI DELGRGKSVDDQLAWYLRRNMKVIWSLGLSEQPSEFPKRRPTLLSTAGLMGPSSFYLV PVPGLATGEGAASFNSQFGGLFDLPRFMSNSFGYCTALDLAALVQLCVSLVRDGDGKE LLDSHWVASTGNKSLGVHHWGYINPGTLFGWPGYPDCNSPVFSGGGLPSYLKPEAFSM RRPLDWHAWIEVQFPGSNTRTVLDASQAFGSPGNLQLVDGKLSREAYLNLKMDPSWPS PARLPPRTPARRNMDAVYFCKLFTPISHVLFVSTLDVLLHSHCPFGRRNFNTLKWLTR SSVH PFICI_06273 MQAFVQRLANYNVDPQEYMLWSINQGPAKPPKYEPAQVLAMIQQ NSVGDFNSMTELSHALGHILQNVILLAQDSKYKFMVGSDDMALSMGPFVPIDDDDDEP QLTTAESATTRGHPLVVELKSQAQDAASRNIPRYVVVLGRLLIHDIRDIGEIPEADRP QTDINPDQRPLQPTNYAVVMDAIAAERSVWLIYNRAPIDPDVGCQVESAPGDPDQPVL FTSGSDFDSAMIMSNVRMWRLESPQLNLADVERTVISTKANSPVVAQPAPDADVRRAL NPAQSG PFICI_06274 MGSYSVTIQLDNAWIDNFNKTGMKLCMAKATKDSSGKEYFNVIA KTVDSVQPQMTITWVEEYKIEATAITFSKGAQISGTAGAKDINFGQTYQIQSWNKTQV FMDTQNVEKDSFGFANNIPCSIMLSMKDGNSFVPVYISEEVVIPGMTTLTPIPKVVFW FQKTVASQTMIVNTSGTPWYVTPTAGSNSTIKYDKNGVWSQVLQ PFICI_06275 MSETSPEAQAAKAAAIAAATRAFNTELWTLYSFGVLVTVLRTYS RIKTVGFKDLRADDYIVWLAIVLYTTQSTLAWFAVNYGQGLANNSMTDAQRAALDPDS VEFALRVFGSKIQVVGWTTYCCLICTLKMAVLVFYVRLTEGLGRRFRIHIWIGFGLVG ATFLASIIAIYAGCRPLEKYWQINPDPGNSCQGAIADPIVWTTFSSSVVTDLYLMMIP LPMLWGTTLKIAKKIGSTLVLGAGVFVLVCSLLKTVFVEIDPVNGAQLSGEWGTREAF TSVVTTNLPLLFPLLRAWLGPFFGSVFSSNKTSNKHHTFKTIGGSEARSRNTTSRRRE PSSIAKKHSHTITISQSASEEFIFGRTTDVNLQDLTEQGKVSSQKPEGGIIISTEFQI TEDGRSPAVDGKTQHIHEQW PFICI_06276 MYHTHLRVAALFATVVHGVTCSVNYTELQGQLSSAASIYLPGSA AFDDAVARWSNLSTPTSNVVVVPVTEDDVVTTIKFANENGVPFLATNGVHGSITTLGQ MASGIEIYLSQLNSIEIAADGETVKIGGGVRSRNLTDALWAANKQTVTGTCECVSYLG PALGGGHGWLQGHHGLVSDQFVSLNVVLANGSLVTVDESSDLFWAMKGAGHNFGIVTS VTSKIYDLEKPNYAVDTIFFSGDQVEEVYQLANELWITNGTMPDDLNNWSYWFYDPTI DADKPVIAMYIIQEGVDVVDTAYTQPFMNLGPIMSQPQNGTYLDLAAWTGISLSDGPC QKTGNANPRFPIYLKEYSPSAQAQVYELFRQATTNDSTPFSGALFMFEGYSQQGVKAA ADDASAFAYRSDNLLVAPLLTYAPTGPEVEQAAYDLGNQIRDILFQASGESSLHTYVN YAYGDETASAWYGSDASRQDRLRALKSAYDPSAEFSFYAPIV PFICI_06277 MRLINVSVDSLVVESGFDKTVSNLTYEVSRWKDQFERQSSQLPP YAILSHRWVGEEVVYPDLKLVSKSQLQAPGPTNPVPKTAAGADENVGPDTVASVYKIA GACETVRAMNPEINHIWIDTVCINKQDIRELSAAMNSMFKWYQNSEVCYVYLFDVTWN AAEDPVGSHEQFRTSQWFERGWTLQELLAPRQVWFFDRDWRYMGTKEELADDITQATG ISHEHLLRDFRTASTAQKMGWLARRRTTYPEDISYCALGLFNVFLDPRYGLGGEKEFL RLQREIFIAGTAVGAQLDESLFAWTAHTIKSSGLLAPPPLCFLHAGDVLFEPGLVKSR QDYQAPPWRGIDMDEFGNIEIRVPWRPFKRFRAAMVIFGLAVFIVTAGLASVAYQNFH SSKRRTKNMHLINLNCWTRGPDGRLRTADHGCTESRQNMASHRV PFICI_06278 MGSSNVPYKFAILQNYADSARPGPTISGSLTNLIHHSYPDAAVS VFRPIQGEAFPDLASYDLVILTGGRFNLLDTTPKPSWVEDTLAYIRKSAADSSAPKLL GICWGHQAISL PFICI_06279 MGSESPKITPAVQPAASIRGLEVEKPVGKHNDVGLLMYEESLAM DPELRDRLAKRVLRKLDYGLLPVMCIIYLTSFLDKQTLNYASAYSLKTDLGLVGTEYS WIAAIINFGILAGSYPASLALQRFPIGKIAGAMLISWGILNMCSAATKNFGGLMAIRF LLGLCEASMGPAWMLLTSVFWTRREQPLRMCFWLGCNGLASMLGAGISWGLGHNDSTA VKSWQLIFLTIGAFTFVFGVLAVSFLPSGPHDAFFLSHEEKLVAVWRVSENKTGIKNP KIMLYQVKEALMEPRVWLLAIQQLCIGITNGGISNFTSSLLLGFGFSSSKSLLYQLPN GAFQLVCTIAAGVVTSSIPNSIVITIAICQIPTLAGVVGIRLIPLEHQVPLAACCWLF GCMGGAIILNWAIVASNFAGHTKRMTVNGLNFVFYYGGNIIGPFLFISKESPKYPTAV SAMASLFSISIASSLLMGLLMALENRKRDKAARAAQQDADAMNEPDSGYDGFTDHTDK EMAEFRYKW PFICI_06280 MGVTDANVTFTNDLPTSLTVKKTSSTAMGKDLPDDQTIPALSTS SPQHIETSDWSLDQSAFTLNFNGETAAVNLGTGLYKTDASWSSNDGKIGGVLAPANFL TPGVDFNFMFFAGPGGWASYIDAYLRSNVEKFLNLLAAKPITVPLPGDIKVSLTKMEI SSLDCSYASISNTGAQAPGHSGMFSATIIINTSLTASGSVSLLGGTLNSSGSLAVTNA TALARVSVDLTKPKISAVLTTLATSVKDWSLSSDILDDLVKAFPPLGLILPEITNGYH LAACLNSEPVNGQIVGLINKMLKDLEGQGHALLASMMPLTDPKVVADLLQPMTMDAIS PSHVTLE PFICI_06281 MDQTNRQGLSSETLITTSEGDIPLGKLRPDLKLRDIHGINPSRG YLCTHEEDSPGGSLILFGFNDGKPFFSSGTIFFTTTGYRAVDPYQARLASGFSKQIGR LEIGHVVYKYSKSGSLYERVPITSISSEPCQSGIVAVGFTSGATSLDANGYLIEGYQN YVHMRKTAEALSGLSIRDLLKASERVEELQSIRKLLGDSATSRLLRHEIQTLRSGPHN SHFTRDPVKTILLDQVVRAYHVDLADPRQSPPMHIASSIGLFEGSVLVNGKVEARSHV DYKTKIISWSRALGPSSYEHGCITLVDHGLSGAGAVFLSPEDSPLVMHDKQHVYPVHV STKPPAVKPTEALATTTTSLLSDSTDVQEMILDADIWSEQDKHKKKPSHPVSFGRITL QPTQIGGADGLNIPLIQIPLLDRLRDTINEKFAFDDPNQRISSLYKSTVNLSGQSDAT AVVQLTGASLLAQLADEDPGAQVLGCTFKKSLGSDIILPILFQELQVSFKGGSVIGPI FAYDPLARGSLGSRHLLVSATEPRRFSDARLKVSSHSNFLETYATTGLSEAPAPVTGL LASMGALGIDSILNLPKYSEVLLHQTSSDLIYQAMLYHMNAQQRKDLLKKEKPQVPRD IPLALATNLNSDISTWLANIFAPAYIAKTIIESPTDPKQARNFTKQERAKLEYFWSGK GKTCLAMSQQYSKLTSIASSSAMLMLFPNLRRYQYNNTYWSDKLVTCLSDPNAMRGIV MTPIDGGTNQTNKIVNILSTLDGKNGLYAQDLGHQIWAHSLSQMLQSPYADTSKDAAS QTEEWIMSSFSKLVSCVLDKTNTDFTISDKQRVDFAKDITTAESNYGLTSTGSPKARA AALLQAQADVIQLLSSMKSPLSGSSADSESGGIHALALPSLRSAGTTLWSWVSKAFDK TVGRLAPSGSGKMAGLKGFWSLILVGLYLYDATNGYSAWSGEAFGQTIVVTGTIQFML DVTERVWDIYQGYKITQSNRAFEAAVAKVIDEETLSGIPRYVEFIAEKGQSLGKGDVI SEAIGRKLGQYPMSRSTEIPKGTLIDVWEIYPVGMTEELAPQLQQVYKQFNLPGRVFA GITIVLSIVIVVSMTIDLKNRWDNLTNTGKALTIIQIGVTTASIFASELVVEALIWSN VAAADSLMVVSLPIVGAVLAFIGIVVMIFMSIFVLEKDPPKPPLTPLEEFIEKVGQPL ISTWDDQRGPLLEYSIPSNVGVGGRPVPFVIQALNKTTSIMELHSISITFLNGDDDSD IAEASLFQESAFKMVAANDVQRDNPGNVYVTLNSDVAGFLQPSPRGKGGTSWNIRLES HANAKGIIRLGPGYGLALHISGQVAGTKGTSQIIIREDMVFPDDNNYQEIEVIKS PFICI_06282 MTNLVEVPVGTIAAYAADPSNLPSNWVVCDGASFSTATYPDLYK AIGFVSNPDANNSAGSGSDVMNIPDLRGRFIRGDDKKDSTLTAGNTHDWRTGQPNTDI RVTLDCITTEIHYTLKILGNRYISWNDGATSFEANGGDQESRPVNTYLFYIIKIAKPS IDKAPTTPYSDLPLASVIPFGGGDHGYPPNFPSYIRCDGSSASITSLPDLYKVLGTKW SSDPAPNPSNLVSPDLSGKFIRGVDPIGKYDIDNGTRNPAPDGSGQGLGTWQGYSTAI HKPYVRIEHFSDLSEQSIHVVGDDVIHDANSSSNQSWSGGDSETRPKNAAVHFYLSSV PPATFRQDFPVGGIIAIPGNPGNNLDQATWAPCDGSKYNRRVYSALFAAIGTTWGSPG DGDQWFNVPDLNGQYLRGADLHGNPGGDPDRGSRFASLPGGSSSGAGSYQNYATGMPR KPLNIGISYPNDVEMTSKATSKGTNLTAGEPRKQSLPLTNWGDNESAPRSVVVKYFIR AL PFICI_06283 MEDSTDNSTPNRGSNLTFREITEDNWRAVANLGLKDGQTGNLAS NVWSLCEAHYSEDAWVRAIYAGETLVGMLMMAIWDPKEAYYIWRFMIDGRYQSLGYGR RGVEFAIAHIRQHNPQAKQLGVMSTPPEGKTDADPKQSVKSEDSPYKFYQKLGFRQVA PPDEDGEIMMMLDL PFICI_06284 MTSRARQELLRHHPNAEVLLRAWNDNNSAKSFPNVLMTLLKPRL WLESSHETILVILSKVTLDSAYSGFSYFRKVRDHLDDAVKWGESVHWDTSHPYTGPLP SYEDIEAIRIVGSKVGGFGTPPQPISQ PFICI_06285 MEPPAESVKVIDKSPNGINSTDQWCKPEYDTPLSAIVRRNDVNA LQEYLSEYPYKLKGPGATYDFSGFWPDAFDYAATWGATSVLEFLLDYERQHPEKNFRF RPGDYGLLNISCLHGHLDTVRFLLDQSQDIAADLRFRDADGWTPLLAAAKALGKQGVF TNHCEEIMTLLLNRGAPANDVRQRRFVLHDGEYLDVDRRRRWSEDYATEEEMAEDPML NRTLPGKVSTHIVDTVLSLAVKRARPAMIRRLIDGGADVHAQLFIPLEGDVGLTPLHI AAGYYNVQAIEVLYEKCGTDFDDMVRKHDSFGRTPLHEAADGQWTLLPKEQVFAQGIA TFSFLLPHCSADLVNARDGNDYTALQYIMRVFEGASCVTADQRTLHIHTARQLLKHGA DPNVKMADGRSILHVLADLIPIDGKVHEEL PFICI_06286 MSSPKLLITGTTGFIGFKVLLGALKAGYHVRATLRSLGQKDTIA KHPQVTALGLPADRLEFVEVQDICSDKAYQQAIKGIEYVIHLASPLPSPFLDPQTGIY EPNIKSATSILNNALEEPSIKKLVIASSVFANSPFPPDGKKITADSRIPDFPGPFDAM LPAYSMGKAGALNATDRFVKEKNPHFKVVNVFPGFVFGTDERALKSSDIFAGTNRILL AAVTGQNAEMAMPAGATHVYDAATLFLTGLQDDAPTNIGASVPQTFDDAWPIVQKHFP EAVSNGLLTRGSQPTAPVHWDSTQTEIDIKGFKFRTYEDMVVDTVAQYLDLLAKEKQ PFICI_06287 MSSPTLLVTGVTGFIGFKVLITALEEGYTVRAAVRSIEKSKTLS SHPKISAACQQDKLSFIEITDICREGAYDVALKGITHVIHLASPLPSPFLDPQAEIYE PTIKSVKAILQSALNAPTVRKVVITSSSFANTPFPPDPTTQVTAESRAPNLSGPFDSM LPAYMAGKVAGLNLTDKFVEENRPSFSVVNIFPGLVLGRDDRATSLESLGVGTNAILL GVITGQSASWPLASGIAHVSDVAKVHVMALKEDITKDIGVTTTHKFNDAWDIVKKHFP KAVADGLFTQGDQPTVPSSWDHYQNEINLGSDYKTYGDIVVDVANQYLELYGKEKA PFICI_06288 MAELQGRKVFKVFNQDFIVEDTYTVTKELGQGAYGIVCAAVHNA TSEGVAIKKITNIFSKKILAKRALREIKLLEHFRGHRNITCLYDMDIPRPSQFNEAYL YEELMETDLAAIIRSGQPLTDAHFQSFIYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKIADFGLARGFSIDPEENAGYMTEYVATRWYRAPEIMLSFQNYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHILGTPNEETLSRIGSPRAQEYVRNLPYMPRKPF PSLFPNANASANDLLDAMLAFDPSSRITVEAALEHPYLQIWHDASDEPDCPRTFDFAF EVVDEVADMRQMILDEVSRFRQLVRTVPDANNQDNLARQDQPGQVPMPPSGIPDQWKA EDPRPQEYPHSTGALEAELGGR PFICI_06289 MLPSSVRRVVVATPPASILSNFAPTAARATAASAISLPYNPRNQ QRRRYSSSKPSSPNDSPKGLPAGQMTASPAQSKSAEKPAGEKRKRKAKDNNATGVEKL PSVPSTHHVPQEAVALSSFFSLHRPMSVTQGFPKTVSDETFAQIFAPRSKNSKYNDVM STLSRTVDDLEQPMHGLSIAPQHDAAGMDHAHDNMHKIEVRQADGSEGSIHIQLNAMS GQFLPFRPPPLPQPVSNTAAEAGAEDAVDAQPQTRVYKAIFTLEETTEPNGEVRIMAH SPTLVEENTAPRSFLERMALRQLRREQVRGQANEMHAISVKRQRKLKMKKKKYKKLMK RTRTERRKLDRV PFICI_06290 MFYRPLLLPASLALLGFGSPPRTSAWEIPNSPTLDDLQRPMRAG VYGDDVDIISGSQFSGLKTYANLPYLNCIADAEAAGKPYDIAILGAPFDTGVTARPGA RYGPTGIRIGSQRIAPDMAWSAYTGKNPLKSWATITDCGDAPLTWLDNTYALKQLDKA HRVVSSRKAADVSRSTVPRILTLGGDHTTTLSALRSVKERWGQVAVVHFDSHIDTWDP KVLGGGISDYAGLNHGTFLHIAHEEGLITNTSLHAGIRAPLARRKGDMRNDLRCGFQS ITARDLDYMGVAGIISRIRERVGDTKVYVSVDIDVLDPAFAPATGTAEPGGWTSRELL TILDGLSGINIIGGDVVEVAPVYDNPGETTVLAAAEVALSLIGLMVDQSVKALGE PFICI_06291 MPARFPFKPATSVNEATKPLEACELLIADSQRQIWAVGNRFMIK VKPYHAGCKVEIDNVSLVQRHTNMPAPTIIRSWKDDDRFFTLQKRVPGETLEAALPRL TQQDLTKIGEDLGQHLYKMRRIQNTRMEMVNGTGVVDWRLLKPVPEARADRYSVETDG QLAGLLAHRIRGHLEESLLEEFMSQMPSGQPYTFSHSDLHEGNIMVKDGKFSGLIDWD LAGYYPIWWESVNATSLLDPYLSPGLACPQALRWFNVYQAIRDAPGEAETLEKLGNYL YKHE PFICI_06292 MAVPPKFAGQKLQFAKPQTPATTGVAATTHNLEVYLDYVCPFSA KLFNTLYNTVVPLIRESGEWSQGLEIVFRQQVQPWHPSSTLVHEAAVAVLQLQPEKFW QFSDALFKQQKEYFDVNVVNETRNDTYKRLAKLAASVGVDEAQVFDRLKISDKPGEDG SLNSGNKVTNDFKVLVKMARLVGVHVSPTAIFDGVVANDISSGWGKQEWADWLTKNVV PFICI_06293 MLLVATIRRSQTSSLRPLLRSFQAQLPVAGIRLQVCRRLASTST KATPSAKDAAAPAAKYKYPETLQLFHAGVSRITFLACVKLSTILLSAFFIFVVTPGYH AKEGWSATTIRTALCGAVPLLFVGWTTSPFVLMITTKLPPFARISEQYLKRYLANLPA DTVFGVTTMSPIAKPRTSKVILSELRQTNRRLGIVNYVRDAAAENATRKWYNYRAVDK FSIQTNNAKGQQWYWDAIAKHIPKEKP PFICI_06294 MATTQGGRTYNDAIDALNSLQTPYAIIEARRKAGIRPDAASIAE MRVYLRRIGLNPADLDRLNIVHVAGTKGKGSTCAFTASILQRYQASRGVPRRIGLFTS PHLIAVRERIRIDGRPIAEDLFAKYFFEVWDLLGQAEEQEKKQQADADALGGEESNRG GVPMATRPIYARYLTLMSYHAFLREGIDVAVYETGIGGEYDATNIVERPVASGISTLG IDHVFALGDTVDKIAWHKAGIMKTGCAAFTIEQVPEAAEVLVNRAQQKGVDLKVLDVD PRLKGIDVRPDALFQKKNATLGVALAETALTRLDPSFKRDSEKLPQEFKDGLEQVVWR GRCEVKPEGPVVWHVDGAHTVDSLKMATKWFAGECSGRKGPKALIFNQQGRTEAVDFL DGMVDALKAHGQGGFAHVVFCSNVTYAATGYKRDFVNYQYDPEAIKKLTAQHQFAEKW SSLDPEAKVVVLPTIEDAINYVRDLSKDVQGEETVQALVTGSLHLVGGALGILEKADA L PFICI_06295 MSRTYEDALSHLASLQSNFAITSLFSPKVTAPDDSKGGNDKAQD LNAVAIPEVIAWLGRAGLTPDDLCGLRCVHVAGTKGKGSVCAYLTSVLTQPELRNVAG RVGTYTSPHLITVRERIMLDGEPIGRELFTRYFFEVWDAMTASARAEYVAATGQEQQQ QISDEVEAELRGPATKPFYFRFLTIMALYAFLREGVRSAVIECGIGGEYDSTNILPPG AVTAGVVAQLGIDHVGMLGGTLPEIAWHKIGIAKAGRKIFTRKLEGGDAEEEAMKVIR ARAVEKKAILVEVTDAQAEESSISAAHHTPSLAGEFQKYNRALVRAAVPEHLQLCDGE AYQSLTQETLEQAMRHGLEQAQLRGRCETRADGNITWLIDGAHTAESLHEVAKWFASK ADADSDARKVLVFNQQERDVGKLLKVLCAGIPSGSRAAACPFDEAIFTRNDSHPRKEG EAERDLSVQGAAGDAYERIYPGGRTAIRDNITDTIEEVRKDGLGSQQKTLVLVTGSLH LIGALLQVLEPDAPR PFICI_06296 MKVVLVNGGVISGVGKGIIASSAGLLLKTLGLRVTAQKLDPYLN TDAGLLNPLEHGECFVLADGGETDLDLGNYERYLGIQLSHDSNMTTGKIYKLVIDKER RGDYLGKTVQVVPHITDAIQEWIERVAKIPVDDSGAEPDVCISTDLESGPFIEALVQL RHRLGPENFFNIGVSYVPIINGEEKTKPTQNAIRSMRSAGLIPDAIACRCERPLDDGT IHKVARSCQVEFEQVIGVRDMETIYQVPLLLEEQGLLKLLRKGLALDKHVLSPDMVSK GSALWDLWKKTVVPEQHLAPVNIVLVGKYVALEDAYLSVRKSLEHSSMRCKRKLNLIW VDSEHLEHDMQQKDPPKYHNAWKAVCEAQGVLVPGGFGSRGVEGMIEVAKWARQRKVP FLGICLGMQVAVMEYARNEMGLKGATSEEISAHAENRVIVFMPEGSKEQMGGTMRLGT RTSHFKPGTEWSKLRAIYGGGDVVEERHRHRYEVNPDYIEKLEEAGLSLTALDSQGVR VECIEIKDHPFFVGVQAHPEYTSKVLDPSPTYLGFVAAASGCLDQMIEEASHGKGRAS HF PFICI_06297 MNGQSTRGRGRGGRGGGRGGFHPQNNTQSQWPMQDNTQQSQFPY TSPRLFRQWIMFCDLIE PFICI_06298 MYVLGLGRWNKRFQKALQDNGLMWHTTPSGAGIRSGIDPRGNFA NFVVSDDEAESEEELDSREEHVEEESSSSEESDVSEFSGDSDDDNADRDDVEDDMTGG NEDGVGCLPWLFSDEHSEEDSDRDGENPDHNGEGAVRNDPEAVETLIHQRFNDFIFER IIADAVSQLAARVNTTLTNAVAYQVEPPAGDENEGWVKLYSKLDDNSRRAAMRGNGNT QLFGNQGGGNKENGNQNSDSSDPDPMEIDKINAVMQQAGYPKLTQS PFICI_06299 MTDAEVENKGAYPGEDIEKKTVSNEQGRQHEVGTIMHADTNDAD EAYKVFVEQAGEVLDITPEEERRLLKKIDWNLMPLLCLCYGLNYLDKTAISYASVMGL KTDINLVGQDYSWVASMFYFGYLAFEWPTNRLLQRFPLAKYSAFNIIMWGLTLCCMAA VKNFAGAMTVRFFLGVFESAVSPGFALFTSQWWTIREQGSRTAIWFSFNGWGQILGGF IAYGIAVGTEAHPVAIKSWQLVFLVIGFFTASMGGLFLYFMPDNQLNARFLTQKERLM AVERIRINQQGVGNKHWKLYQVIEALKDPMVWAFVFYSVVADIPNGGISNFFSQLIVS FGYTNTQSLLLGTPGGAVEVVALVVVGWLGDRFKNRLLISTSGLLIAALGMLLIACLP ESNNVGRLVGYYLTQASPTPFVALLSLISTNVAGWTKKTTVAALYLIGYCVGNIVGPQ IFLEKDAPQYRPAEITIIVCYLVCVVDIIFIYFWCKRENKKKEAIRSAPGYTKLTGQE FLDLTDRENPEFIYSL PFICI_06300 MHHPQDEVHQHSLKDPESFWGHQAEQLYWHKKPDSILARTGKTL KSGTRHPSWEWFPGGELSNCFNCVDRHVLAGNGNHPAIFYDSPVTTTKQTITYAQLLD EVETLAGVLRDEGVKKGDVVLIYMPMIPAALIGILAINRLGAVHAVVFGGFAASSLAQ RIEASNAVAILTASCGIDGNKPPISYKPLIREAIQLSKHKPERVLVWQRNELRWDPLD KTGGERNWFKLVRSARARGMRADCVPVKSSDPIYIIYTSGTTGSPKGVVRDAAGHAVG LHLSISYLFGVHGPGDVIFTASDIGWVVGHSFILYAPLLTGAATVLYEGKPIGTPDSS SFWRIVQEYKVNSLFTAPTALRAIKRDDPENKHLERIGENGGLKSLRALFLAGERSEP SIISMYQQLLQRHAAPGATVIDNWWSSESGSPISGLSLYPHAGKDRTITDRQEKPLSV KPGSAGKPMPGFDVRVVDDDGNELKRGKMGNIVMAIPLAPTGFRTLWQDEERFYKGYL KRFGGKWLDTGDAGMIDLDGYISIMSRSDDLINTAGHRLSTGAIEQAITSHPLVAEAS VIGIPDNLKGQLPFAFITLSVPDHPSSAIPDKKIGDEIQKQVRTQIGGIATLGGIIQG KNMIPRTRSGKTLRRVLRELVENAVHGDFDKHVTWPATIEDASVIDVARSKVAEYFKE KGTAHKAIEERAKL PFICI_06301 MPSPHKSDIPKAATPKFGSSFDPWNSSSTGHQRAENRLGGSTGW RDSRSAKLQSQFRSGAGGGQRMSDAVGAGSQHWDPELQGIVPPELRARAKNSVMDMLV KPGTMKRSLSSTSRGSDQSSLANGDENKLTAEENLARRRKSEDESQQEKIDRPRKIFD GVVVYVNGSTYPVISDHKLKHLLAEHGARMSIHLGRRQVTHVILGRPAGGGNGGGGGL AGGKLQKEIQKVGGAAVKFVGVEWIMESIKAGKRLPEARFSNLKMAAKGQQSVFGMAS KPPSSTKFSRGELTIDKSSEPKATPSRPPDDEPPPSGQRPQI PFICI_06302 MNSAGPDMYYQQHMSAGPAPTPQTVTSNALPPHYGHQQPTLLQP GPAQYAPAPYPQYGYSNGLTSPQSAPPVSNSMGSTVLPPPGVHQPGMTNAYPTGMDTT GQIAPPGMKPRVTATLWEDEGSLCFQVEARGICVARREDNHMINGTKLLNVAGMTRGR RDGILKSEKVRHVVKIGPMHLKGVWIPFERALDFANKEKITEMLYPLFVHNIGALLYH PTNQTRTNQVMAAAERRKAEAGGQMRNGSGGPAPPAGVLPSIQQHSHHHHMALPGPQP SIPSNNSSGRPSLDRAHTFPTPPTSASSVMGTMPSDNYQWPQPGMSSAQHPNPMSIDT GLSNTRSMPATPATTPPGASIQSMQQYPQVTQPYDNSRYAPQQAPQAYPTSNTSPQDR DSYGQSYMRPGDMAPPTGRPAGPGEQDVKPPNGMIHSSQTGEQLSQPSAEDEDQHEAE YTHNSSYDGNRNGYGYNAPPLAPLADASGDLGGSDAHQAGSGRATPRTTNPSQGYYSQ QTGYNSPPRVQSSSNLYNVMSNAEAPANIASSRDVYASQDMSAMQNGYAAPPVMNGST ASMKRGRDDDDERPGSGGFDMKRRKMTLDGTMPASTFDPMNRPASVTSALRR PFICI_06303 MTDIKPFRIAIPDTELSDLTSRLSRSRFPDELEEAAWDLGIPLE EVKRLVSYWARSFDWRLAEAKLNEFPQYTTPIAVDGFGTLNVHFIHQRSSRPDAIPLI FVHGWPGSFYEGTKIVRPLSEGDGSRNGPAFHVVVPSLLNFGFSDGVAKRGFSIAQQA EAMHKLMLKLGYDDGYATQGGDWGFAITRAMGHLYPSHARAQHINLLPARPPSFFRHP ILAAESALRPWSDADRAGLARSQWFQKEGSGYNQIQATKPQTVGYALADSPAALLSWI YEKLHDWSDEYPWTEDEICTWLSIYWFSTAGPAASVRLYYENTHEPGADGHRGNLWDK MMSYQPHVKVGLARFPRELLVVPAVWSRQLGHVVYEKTHERGGHFAAWEAPQAIIGDL RAMFGKKGAAAGVFKTSKL PFICI_06304 MAGFKSAKRVRPGDDDSDVEVQTKSTKTGKKAKTGSGDLESGKD SDGNSWWSLSGKRRVGISEFQKKPYINIREYWTDNDGVLKPGKKGISLPLEQYNALLK AIPAINAELVAQGLDVADIPSGAPSKATEKSSSDKKSKKSNIEETSDEDEDED PFICI_06305 MIAEHDAHDGAATASPVVMEPDHASENEHTEKPLEALPEERAQA TTTATPVAQEEDLATKALQFLSTATPGTLGGIAVALAAVTYFILGQIGLVLIGAFAGV TLFISYEAKHPEVSRAVRREKGYDFLDRLLDTNPQATASRIDQDDEDDSQALAQSFDQ FQPETQDALTSLVDAVIRDYVDWWYGPIIPSDRSFPLSCRKVLTSFLLSVSNRLSRKR PADAFVDFLTNTCSIIIVFFSEMTAAYASVPTDSSITAADAIYNYLSTNTESALSNLL NQRQQASKFKMVAEDLLGFLERSTYDCDPARIFLREVLAQSVLEGTLQTCSKAEWLNG WIVYLLESGETDLSQAIDEAIQDQKAFADVDGNFGNISLSKGNRNSYEMDRARKKEMT HKKKLSKADEEMERAMEEMKKLNDMIAEAEKSKPTNTTNGQGSNASELLEDALKSNAE ELDLQGNQQIGAKSAAETFRELSLEAKDQISDLAPGIQMRKEVPVQLPITPKSSMDIS RQNPSPLSGQAPSHTRFTRFDQIVPPAQDDQEEPRRPPPLTLHNATITILDDPGTDKS RLRTKPTWEYLVQVEPASSHHPGWMIMKTYPQFEALHESLRRIAAISGATAFLEAHAT FAAWKLHTRESLRGEIERYMRAACSEKPLAESEAMKRFLEKGQDNRMSAQRGFSFESM GKGMLDAVQTAPKGLFDGGKVVVGGVTGVLGNIGLGQRKSTISSVHEVSEEPKTNGSV ANRPLSLSALPRVDTATTSRSRESMESTRSSIISTQPGKMPPMDRRASYQLDFEQDGL QPNQYDRWDRKSVSATSSRGNSRASSMAALRSPMKSPSESNLANVKLPPMPSEIPDDY GSPNGTRQSSDTYSRSENNLLSPQTSFSSPSQSQTSHQHKRSSVSDYLAKRPKKEFHP LSEQETRVAVELLFAVINELYTLSSAWNIRRTLLTAAKSFLIRPGNPSLVSIQTLIQE TVLDANTSDAGIASHLRKLRENSLPTEEERKAWPAELTAEEKEELRAKARKLFIQSSV PAALMGIMGQSATSEALGRLFDCLQIEEVARGLFFGIMLQAVRVVTH PFICI_06306 MAPLQDVEDPSHPNVATTRQNAEAPFFRLLPIEIRLKIYEYALS EVEPIHVQQIAARSNKFAHQPGSIATRREMFVSRLTRVSRQIYLDLNFNPVFYRVNKF EFDEPCVLLQFLAALTPTRRALIRDIKLSNFYCRGFDAGIIEFFPNVDLGDHGIRYLF HLKIHVKVFQHLSTLLSFCHDLRHLRIRVSMRKYWDGLPSVGTFLLTTFINAAASDEL DPSMRRISHIDPILVYHHGPFEKLQTEVDLTDTSPLPTEILTADQTELLTRARVAVAS LKQRWKRAERDGHSTRINPTEKQLREALQHSRIDFPGEDRMELDRFNNTSGTVSSRTR QKCKKEAVDISGVIQRTKNKYNAEGMLTWRAFDILGLRRSESTIEVEVQNTSRFQPWE CSWEPIESVISADNEDLFRWYYDRLLTPSKLYISRSLLTASSARKALQRIQEHPSPKE LIAMVPGLFIDSVSDESPLHIQKRKRRRANWDFMQQQHQNTIHGLTELVEKKASEEKM KEDKDKKEKKQKSKKRKRTSSS PFICI_06307 MQPIFSLSALLACAGAALAAPSSLPSASQNLSIFNKRSDTCLDD ADGARLAAQFADLVGNYTAAKADALLLPDYADYSDGINSLMGRPPGSETFAGREAFKA AQAGHGGTPMVVSDVVAVTCDAVVWTWTAAFGEAAKSVRGINVVRYVLGEDGNWWVAR VDMEMNSLVYLEDLGGSWTMPATA PFICI_06308 MARSLIKTVGFAAAAFAAIADATKSYTVTDVYNATNFFDKFGHF VSVLGKTPLDVDPTSGYVQYLDRSDALKAGLISIDDDEVYVGPETSLNYDPYGYGRES VRLEGLTTYNHGLFIADFTHLPTPTCGAWPSFWMFGDPWPTKGEIDIVENWNNLEFNR NTAHVNSPDVVGECTIKSSDMTASLIEAHNCYDKTPGQYDNQGCSADSYGLPFGLSEG GVYALEWTSDHLKIWSWTRALTPLDVTLGKPLPLTWGVPNFMITDCNIDKAFQDMKFV FNIDFCGVAGADGIWDSCKASTGYDTCTGYVAENPEAFKDSYFKIADIKVYQQADDIV TTSSTSSYTTTASSTTSSTASSSSTATSSAESLTTTTAASSIATTSISISSSSLSTSS ITSSSNSSSALATDSATTSAFTSPSTQTSTASSYGPSSEPVTSATLPISTSSTVYSTD SEIITSSYSATLPTTVPSSSAYTSSSATLSVSESSNYTITSATSATASSLPATTLSHS SYSNTTTCTDDQTATYSTANATITTTSAGSSTSSTVLTYSASETASSSTYSSSATDAV PTSYEASATESSADVTEPTTTSATEFTTSTVYTTRVQTVTSCAPTVTNCPARSSPVVV TETIPLYTTVCPVTATGASTHKSEASGTSVPYSATTPEVETGATVSATKSTPTSASSN GGQGEGGSGGGSYQVGGSTSTKNSIGSKTTTLEGTTTTTSTLRLYTTVTVKSSSASVS SVTVSTALSDLVVVVTATATLVPVSSGHNATVSLSYTPETTASGSAGHGGGTSGSGVS VNGAGKTGITTLSLLVMVGVFMFAM PFICI_06309 MSSPPADDEVVAVAERILTMFGDSERRARVAYRNRRVAFDWHMV KAIAMLSLASFLFQLGLVLSNIPLANTIRNTVCDNGFGAGTSNAQPALCMSPDVQYHA RTVNIINLVRGLASVIPGEFGRPLRTTCCHNQIVAGVLVQTLINLGVIVAKQSVPDIL TSIVLTFGGGVGIVEATVFSIMYDVWKREWRGIAFQLASCSILLPRPLGSMIARLMMQ SGGLQSPLWTGSHLIALAMCLVFLLPKMRFPPQFAHPMEPPSGIPRDAYAATEAPRSM RHQLKNSWRISCNYLKFTKGMVEIVLVGVLVRPMVPASMGILGQYIQVRYGKDDTVLS KLDFLQAVEISSGFMLVMPLYFLSWPTQNPLKRDLFLAKVLIGLIPVGMMLTGVASSF GVATAGVALTAAGLPVVGLIRASMANMVLREHICALFCLMAVIEQLAIVFFNFVLNAL FQVGLSNMHEDQNWLGLPFFFVGGWFFMLCRGFLSAHPRKLDYFAGLGHTWDGRDIVL RNIDGRPTYIIEGINSETLRGTRGGLANPE PFICI_06310 MDKYDFPLPPNSFPCLYQESESSQEPSTPTSPMESTYISYPGID PTFDHGDISAESTPSESSQGTTQKPKRKRENRYKNAPPSVLSRRRAQNRASQRAYRER KDQRIKDLEDLLNEAQQRNDVLSQAYAGLQADFMKLKSEQDLAAAVQYQQLGISFDPT MTGPPTTSQIDGFESELYLCEAPTMYNI PFICI_06311 MSSIRIHAVRPFNGISTSHFARPGLSQTHFIRQLNPTSTDGRCP QTLVILRSHQVRCQSTGGKKFAEGQPPTSSSTLLLRALRQSLSLRPLVGAFRGQSLQR LYRQSPEELVIALALLAGTAVIIVYVIYTYFNYFQSEQFTKFPPDVAKSMRRALYYSN YSPDPKLALKYYKMALEQCESNGLDPFSDGVMGIKIQLAAWLEKVGSYKGAIEVLEAL LRDCNRWVAKMEQSTRDGLIDKDGNLIGFTKAPAEKEDNKPEEEEEVPENLWGKRTRV LGKTVGISVKLGELYADEHVLQSEAAGERLVWAVETVLKELQRRQIQGVKEGEGEWMS PEQIGGALEALANHYESKDKHYLAAPLYLQALTMSPPKSCHTAVLMNNLAISLAQQPL TPADGTALPAATGSKDQPRPTRATLLASAKSWALQAHATGSKVTGEDRTEECDQACAV ALCNLGEIAAMTGDMEEAKARFKQSLGLSKRIGFEDGVTQAEDGLAAASMKLTAKSP PFICI_06312 MSGKFEPKVPVQLNPPKDDPISVEDLAKADGTEGQNCYVAIKGK VYDVTGNKAYQPGGSYHVFAGKDASRALGKTSTKAEDVSSEWQDLPDKEKGVLNDWIT FFSKRYNVVGVVAGATNME PFICI_06313 MTNPLQILTSNGRRRTVESASGTVDMFSSMHHDLIGMELNPDLL SAPFVRDPSMQIPYGGHIPGGPHAVEQWYTNSNDDPWHPKDIALPGNQNGMNITDHGF TFAGGYRDGISPSECDTLPIASDSGYASHGAKQSIATASICYDEPLENHETQSLAGQF HMNMDLRCWGQVPNQSPSPSLQRHPQPNARELICETCHKILKTNSELKHKEKIWPRAD NFRAHIKRVHQQEITDEELEKFVFRTIAPQDVLPDIAGMQPSVADFNVHEGQGLPNQI PYWNHSGNTTASLDVPKGLMPMHQTPNSHLGQDTGDDEQLINIESHDDENVDMHTTDG RLESAASPTTLSTDSSGYAPSDDRSEQQYITPADLNQHTSSVSMVLVGADEDAPIPEH TLYGSIPDSTESDAEGAATPTLTDEVKGSPDSSDIGVPLSEDVSSLVFKLSNLREQNK IKEVLEALQSSGLLEGTGYKKEQTPTASEKAPETTPRQDAQNKCLKCDKSFLRRCELK KHMKRHDKPYGCTFEGCTKRFGSKNDWKRHENSQHFLVEVWKCDVQATHEIPQLCGKV SHRRETFRQHLMNSHQLGNAIIERKLEECRVGRNCEANFWCGFCEKIIKNDSKGGAEA WTKRYDHIDDHIAGRHDLAQKQMIDWKSFDPEAQLDADNASTESPNAEAEVPLVPHAP DRPLNVEAPLSDKRRVAASKRKADRTGEGRHPKRSRINGKDDGPMATATATCVSMH PFICI_06314 MEYQIPFLDPAPTWFGNMDQGYIDPMLKDHAVQDDTAPWSYPQR YNNLLDSESSAYANHGNGRWEGTAPVPPAAFIPGRTTPYDQQSSICSSDSLPFGDSDH GLDNPATPSDGMLSPPAPYDQWSHHGGQFVYLSDLSFNKFEMTASYQEHQQTFNDEDI KFSTRASSMSSDCSTCGMDPQNQQLSPSSSSTGTTSPADVPHPIKQEKFASEHYPSPP DNEDYASEHDSEQPNAGDDDDEDYKPNARRPHKRTTSNTNRGAAQRKRSNASQPNSPS KRVKRESTLPASHRPMSKVAAVTRGAFSCTECREASFKDQASLQRHIKQQHTRPFVCV FQFAQCESTFASKNEWKRHVASQHLLLNYWLCQQDGCAKLSNTSASATKPTGTSRHRN ANNTGSQGPCSYGGLPNGAIFNRKDLYTQHLRRMHSPPTVKKQAKAKKSVPEWEDRVR SCQTEAHKLRCALPEYMRCPVQGCDFEAVGHTAWDERMEHVAKHLEKAANGEEAQIMF GGDNDPTLMNWVLRSDVAVVRDDGPGRWALNNPLKPERGAGSKAPTQMTIKNEHDDDY DDEEDAPGELDD PFICI_06315 MKHSLFTVAAFFLSCAHVFAVPQVPGPLQDILLQKKADSSKVGY LAVYWKTADESVYFALSTNDDPLGFTELNGGNHIVSPTLGTGAVRDLSIIAGDGIWYI LGTDLNIGETNWSAAVANGSRAIVVWQSTDLVNWTDERLVTAEGPTAGCVWAPDAVWL PQYNEFFVHWASPLYDEDDTSHTGTATPLLIRGGITTDFTTIEDPGTYIDYSPSDTLD LSFLQINETAFVRIHAGGGIDGIIAEVGYGGIWGDWERPAGVIAADYEGPYPFWDNEV DGKAWLLSDLVGGGAGLRAWESADPTSGVFDSTASALTYMRHGSVLAVTQEQYDALTS AFGA PFICI_06316 MAASDKVHVIEKLITDDPGIPRQNPTASYWQHIPHPLAETQSPD MPAEADIAVIGSGITGASVTKTLLDADPSLRVVVFEARTLCSGATGRNGGQLATNAGE AYAERKAKFGSEQAGKIANFTFRTCDRVRQVVQEYAAEESEYRDVVKVRAYLDDESFA ALKDSVEQMERDHPSLRGIYEVIDADAVEKQHGVHGAKGGVTLTAGVLWPYRVVTSVF AGLLSKYSRQLSIETKTPVTSVTHDGDAYLLHTPRGTTRAKKVIHCTNGHVGHLVPNI RGVIYPLRGTMTVQDLGPNVPNRGREKSFAFHYVPQYDKESETLADGLWYLTQNAKTG YFFFGGDKGTMEQTLNADDSVLTDVSRDHLQDVLPRFFGYQDTKLDSMKSAWSGIMGF THDEIPLVGKLPMSATGRAGDGEFIAAGFNGYGMPYAWLAGEQLAKLVVGKGFAEWFP ECFQLSESRLSPSAVAEVASYYASLQSK PFICI_06317 MPIWKGFPPRLGDLNWRQATAINTTITSIAAVIFVPLLLAAWTK AGGIDKTLMFFSGGCDTGSASRLNVVLHLGINIFSTALLASSNFFMQILNAPSREEVV KAHGLGSWLDIGVLSSRNAFRVSRFKTASWIIFFLSSLPIHLLFNSAIFQTDNRGAFY HLTIANEAFIHGGQYFGPGASLTMPSGDLDAKIDWLVWDYPQVSMDDYRDPKSAISAN ISLAAAHAAGWNKVSAAECRDTYSVNGCSGIRTNRDLVVVIDKAEGWETGAIFDMSFN EVESWNSIVPPNQTNNLWFSTRCLMGADLAGGTLACHNACNNSLSFAPEDTGDSYNIT FLPRLTFQNTSIYRGLHLDPLPVRYCLQEAFETECQLGLSITLLFAVTFCILVKLLQC LIVIKYLDTSESLVTLGDAVAAFIARPDPYTAGYCTMQRRDAAQWEIQESHEKWQRTS RRVGSTLSSMHWLVTYAVFFICASTAVAFLIVMTNKHLGLQGTITPSDSNPLLSTSVA KSFLSGVLAVNSPQLMLSFCYVLYNNLFTKMQMGREWAALSRSYKGLRVSDPRGHQYA TYRLQLPYRYSIALIIGSMILHWMLSNTFYIVIVQGDYFNPIKSISIHDDSVGPERSI AFGYSSTTLLVCLVIFIASILVPIVVALSRLPGNTTVVGSESLAISAACHVSTKSKTK QGLSYENGPWEVLNGSMENIESARQSLIPTTCGTADMEPGYEMVMMDSADCTADAESQ RMHLEGHARLPAQETNHWMAKSLEDQQRLLISQGRIKWGEVTMPVEWYAHYEELPEPV RHLSFGLEGDEVQEPEDGQWYA PFICI_06318 MVPSLKMNQDYRLLTSGEDTEDNLRVSVDTNDLHPRLPTQRYTL IRSMKLVTMVLVLSAGFVAGYWMRSYGSMESSSPYAKLEFTKHDILWWNTEYSSNNAS IPELNKLWDTQIPWESGIIALENEEARRLGLPDSQPFPWDSSKKSIYIVNAHHILHCV RNLYISIQQYRTNTPQTIDHPHILHCLDSLRVETMCNADDTLRYVPLNSMNGFRPGDG QDRTCREWSKLQQFVERHDPCYRYVMPGSHEISNLERFKFCPTNSEYLPKIRKYFGYT EEWVPEAREGRRELDW PFICI_06319 MASIHWLELPFPLATPGQILAAGIALPLVCISCVLLRFYVRRLQ KAAPGADDWLVAIGVVFVAGMGACLITGERLKVFGYPTPVPAGTDATEAYGLFLDAFI LQAKAIMVATSTLWSVGFLIALIFGCGKSVELHWAPFQTIEESGCDVSTPEVAMVISD MVLDLAILILPIPAIWKLNMSKGRKFAVTGIFLFGLMSVAASAARMSIYLIVLYEGYS AGYDINRE PFICI_06320 MQEICRFVVSPVDFDRDWDDLFSTYWDSWKDPLQATGQLTFPRI GTGGKAEAAAFTATKIAYLAAARADVNQHWVKLEDGRRKSRGLSGIVGGGAWTLHRTN SFGADHCPSREATATQEDVKLPGPGFEPGSERHGLMQQLYQQMWSWHPRMMNKAHIYG QALWVLPEYRKLGAAAVLMDYWVKMMNDSGLEAYLEGSHMSTPLYQKYGFILVNYIEL HFTRDNPSDDWHRLVKELQSEPVSIMWRPSGGKYVEGKTIPPCTDQPRAIKL PFICI_06321 MTLIDVAVIGGGPAGLSAASTLARQLHTVTVFDSQVYRNARSSS MHMVPGWENKDPKDFRASAKNDIVANYSTVEFTNLGVTKIEKKNDAHFMVSDSNGKEW QFHKIILAVGSSNIFPSIDGYEKLWGERIFHCLFCKGYEDRGADSAGILAVPPLVIHP LVVHMAMDAARLAKRVTIYTHGNEDLEKQLASIASTRFIIEPRPIQKLVQSPDSKSVI VEFGDGSSKQETFLAHSPQTSVQGPLVSDLGISLTPMGDIVADAPMHQTSVRGVFAAG DCITPYKVIPGAISSGCNAAVAASAQLHAEEYGQPPMF PFICI_06322 MLSQRHDDQTSHIGNGRLALILCGLCLAVFLTGMDQTILATATP RISNEFRAISDIGWWSNAYLLTLSSFQLFYGKLYTLYPIKFVYLAAIALFEVGSLVCA TAPNSIALIVGRAIAGLGAAGIFSGGILITTKIVPLSRRAGYLGIMSGAFGLAAIIGP FLGGALTDRATWRWCFGINLPIGAITAAVCAVLVRIPSETEGSPSGFLSKLRQLDILG TVLMVTSVICLLIALQWGGSTYPWTNGRVIALFVVFGFLAVAFVITQTTSLTGQAKVI PPTLLRNRDIWLAVSYAMCITGGVYVAVLYLPVWFQAVQGDSALSSATMLTPLIAGYV VCSVVAGILTSALGYYNPGMIAGTALAIAGSALLVTIKLQTTAGRIIGYQLLYGFGVG FGFGQPSYVVQTILAPSEVPIGVTLITLFQNLSASIFVAVAQSIFQGELASRFNSVAS SSFSSSGAVDFISSLPIEEQQSAREAYGTSLIKTLYISLALSAASTVGALGIRWGSMK QGKSPVSTDEQNAQGTESQEHKVKGSIPEAHEETTTMN PFICI_06323 MRPSILLLGAAALGGPVVTAHGSSQQPKKPNIVILMSDDQDLRL GSTDYQHVLHRDVHAKGTEFKNHYATTANCCPSRTSFFRGQMVHNTNITHVNAPGGNY DKFVLSGQDTDYLPFWLKDAGYRVEYIGKFLNGYSQKNYNITPKGWDHIDALIDPYTY VFNTPIMSANGQRPIWYQGYHQSDVIRAKALDRIEQLTAQDEPFFLMLAPSSPHIQND KYQTIPLSRHASDFENVTAPRNPNWNPADEYQSMKSSWIRNLPLMNSSVQDYADLEYR MRIRGLQGVDEIMEDVIALLEKKGVMENTYFIYTTDNGYHIGTHRMPAGKASFFAEDT NLPFAVPGVPEDQWPPMLDGRSLVQQWKDPEGTTGLGAGGGNAKETINIEFWGLCVIE APNSAELGAPFYNNSYKTLRIVGEDESWLFSVWCTGETELYNTATDPYELNNLAFYPT EATERLLDRLNALLLVAKSCEGGTCRQPWRFLQPSNSTVNGTLEIMSLQQAMDSKYDD FFQSFPRFAFKECLQVQSIENEQPFWPPLPADGSGLGQAYRERIDYYTSQGEGGTRYL DSGAEFGSWDQRNATLAEIEKTSRPMTDAEIYGNATTTERRRSLGIEDPADWMAESEL GFRL PFICI_06324 MGNKEDVKRSLDLDEPNEEPPPPYSEYTESTASNSLETSNGESS SSIAAHSDNIVDIPAHFSLYRSSHGLGHHFVIGGHRNKPVFAVTTHFGWMGMPDVVLH SGPTKDSPMLAGVNSDLFIRSTPVKLRLPGQPATDEHVQIAREGGAHRFIIDHGEPEG RTVYEWLPSLSPAVASVGGRTHWELVRYPEFHADQGKLVIAHWAFERGMSLSKELTFE FLDPSIQLTTGDAWAVMAMITALRIWDKTGKSVNNAGAQ PFICI_06325 MGAPEEDTKHHGDSAEVEAAVSHDGHAADLDEKAKVADYRADAI EAEAAEHNMTVLQAVREYPMASFWAFVMSCTIIMESYDVFLIGSFLALPAFKKEYGLW DPGSNDYVVETKWQSALQMAGQLGALIGVFLAGPLTSRIGYRYATLTGLMFLNAFIFI FYFGKSLPVFFVAQLLEGLPWGIFIANAPAYCSEIVPIQLRAPATQMLQMFWAIGSII VGAVTYVYSPHIDPSAYRIPIALQWMFPTPLAVLVFFAPESPWWLVRKGRLEEAAKSV GRLGRKEKINTGDAVAMMRRVVEMEKTTKEPGFLELFKGTDLYRTLIVCGVYAAQNLT GNLIANQAVYFFEQAGVTTNTAFALGLITSALQMIFVMLSWILTTYFGRRSIYLWGSA FNTVLLVALGIAGSFGKSTASSLAQASLGLIISVLFTLGPAPASWVIIGETSSIRLRP LTTGIGRASYYIIEIPCIFLGSYMLNPTGGNLGGKCGYVWGATGLICLVTAYFYLPEM KGRSYREIDILFNRRVKARKWKKTEISMEDDE PFICI_06326 MACQACQVALNNGFSTLNLTAHTHDVSQVNAHVTTTQWLKDLTN AMNVAWPKRFRRYLQAAVLMLSWENGDITNESLEFKRLKSVFENEFGYEVHERQIPWT RSGFELNGRVREFVGQYYGPNNLLIVYYAGHARRGDSPGSYPIWFPSQREGVVPEAST IPEANTASISSLLAEGDADAPDVLTLYDCCHPLCTQHGTSRPSNAVIESLCAGGFESE VPMPGPDSFTFALVDELSLAAHSNQPISVPELHKRLIGRLELFQQRARWNRDESRRRS PNGQLAFTVNERRTPVYVSLSVHRPVRTIMLTPIRRESIVQMEVTNPNAQSKLASQDP PTVLLVVRVSEDEISAREEVKTWLLNSPPGVLEFKGFYQSYSTLILVEIALEVWDLLP SCPATTFAGFTKKLFANMSSNAGPSLTQGSQTLESKPELISATKLRHQLRLDTHPELP IDAQSEQEGFFTLLEYLNQIRPKEIMGWKSLHIKHPIETNLPTALFVQFCKRLINDKS VDRHVKWCLLKHELIFRAIPYNVNGCQCRKVKPVLQIFTGRFTMIVTNDPRVAHLRLG LV PFICI_06327 MHGLGPARSRNVKNVATWFEDNLGAITHNERQFVEHRQELLSIR RSKSLVRQWFEDHIVFPAQGRLSLFRKSPPAAMGAQDRATVYMISDDAIDVVASLALF VVAATMLVLPLWILQTLQEVKLKLAVISVFAISCLAFLNIATVGRPFERLAATAG PFICI_06328 MPSGDNKNDQRDRGEDQQNARDFSEQFYDEEDQQASDSYTGDDD DNPPKRGGKKVKGSPKPPPEEETTNNPQ PFICI_06329 MPPMDHDERVEAFDPTAGPSRDTSFAMQRAMPSSRSRNQAFPNV YSTRSRGETDTSVASTSSSKASFTDSTWSLTSMNPPESSTEAESCYDDGPKELWFETR NPYAPVTVVMLHLLFSSHLEWMHVAPKLTEYHLLIPDLPHHSRSRHIKPFSFALAADL VVDMIKKHAHGGRAHLVGISTGGYVALEIARRHPEVVLSIMTSGSPPLRDVRLKAAQS PRLVHYGLLAALHSPNSVFFKLSGWAPEFQDSRLLKEVKRNTTSRLSQYGNRESAEFQ KEAFEEAASKGIRVAMLAGGKQDDVEAIREAGRLFTGDLNFGDGLRSRSYIVREAIHA WNLQMPYLFAKGIQAWVEDWPLPPEFEIMD PFICI_06330 MGWQDRGESLSPSSQTPPNLTTPIPSQQERPPVPRTTSGPSTPL ASGSNDYLSANGAAPEPSPNSQPEAPDYLNGGLPHIDPSPVSPTANGFPIAGSSAAPR KDLSPRSGNGEPITPPEPISPGRRIVQFARADTVLDPPPPISTEPTRQDSWDGDVSGR SRGERFVSRLKSLAVAGPSQTPKPTGSSETPLPSASTSPTISRSHRIPTTTAEEGSDA DVDDSEEDEISRNQTKPSRRKRMKHRLKTLDLRSQGTPRIDNEDLDSPAEGRFRTMMR RSTMPDPTEQRGGLSEGEGRDHLDRLRRGSSWLARSRHDPDMDVDHLEPRMPGHRRRI SDILGGGGVSDGDGLATPRRPRFASDRATTFGVQTWKQITRTLKALGGGRKPADAFDF NKSAELMAELRAVTPAVVILASMLQRDEHGYKRIPVLLEQLKIKIIDSQPDKGENEKG NNERHSLFTFKLEYGSGPSQMSWTIERSIYEIADLHTRYRLSLGDKNPLQTTATLKHK PKQPHFPRSAFPYLRNIRGLNFLESDDEDKATENNIDANRIDEIAEATAGEMTAADGT ASELDRPGTARRKRSRMNLLGIRRQSSGLMDTVEGSSLNPQKALAKQERYIRKQRSKL EKYLQEMIHWLMFRADANRLCRFLELSALGVRLAADGSYHGKECFLHIQSSKGVDFRR ALTPSKIAARHSRKWFLVRESYIVVAESPSTMEVYDVYLVDPSFRIVNKTNKLKQFGA KAIGDSDASKRKRKGKEVARDELGPDEIVQSGKHHTLKIYTSERKIKLYSRKEKIMQQ FETSVHKMLKQTQWAEPHRFESFAPVRNNVFAQWLVDGRDYMWMVSRAISMAQDVIYI HDWWLSPELYMRRPAVISEKWRLDRLLRRKAQEGVKVFVIVYRNVEQAIPIDSEHTKH ALHSLHPNILVQRSPNQFKKNQFFYAHHEKICIVDHDIAFLGGIDLCFGRWDTPQHTL TDDRPTGYEQDGEAPKDSDHCQLWPGKDYSNPRVLDFFRLNQPYEEMYDRSKIPRMPW HDVAMQVVGQPARDLTRHFVQRWNYLRRERNPTRPMPFLLPPPDAKHEDLEHLGLTGT CEVQILRSAGDWSLGLPRDVTEHSILTAYISLIKQSDHFVYMENQFFITSCETLGTRV VNGIGDALVERIIRAHENEEDWRCCIMIPLMPGFQNTVRQDSGSSVRLIMQFQYRSIC RGPHSIFGRLKARGIDPDDYITFFSLRKWGVMQNGHIVTEQLYIHAKTIIVDDRVVLI GSANINERSMLGNRDSEVAAIIRDTKDEWITMAGVPYRVGAFAHTLRMRLMREHLGLD VDKITEEERAESIGTDAEYEAEMNQIYQESDDEDPNVSVSGIRSGTDMNSINDDGRVP DQELTSSAESTKDRSPKRRSRALSVQRQSLINRAKRASSGVSSRDMPEPGNKRSTERL PPAPEMIRRTTAELGLTRLTQLPTLPLTDDTDIGGPPLHHDADGNPTYEQTNPLAADI QPAIFDKDIMRDPLNPSFWDDVWCRTAENNTKLYRRVFRCMPDSEALSWKEYGEFEAY QERFSEMMTGQKKSEEEHDAKSQVSQSGGGAGATGIPAQVSTDETLHVAEKMAEKVMP DTNPEIKVSNGDAELNEKTANSLANHDKSSERLKLRLNTEDEKTNGQAPDAPSPVSPF PAFEPQGEENLEPQATAQSTLQPQKSHDRRTTFSSVEKPTSSQGGSSTIYTAPNSNGQ QESVRRRRRNTTKGSRRAPVFPYEVVSREMAEELCNMIQGHVVQFPYDWLETEEEGNH WLFQPDMLAPKEI PFICI_06331 MADDDKAKAEKLAAAKKRVEEMKKKQKKKAGAKKDKEETKPEAG ASTPKDDSEPAPAPASEEAAEESAEKTAAGDEAVEDPTSPAQTASQQSKLRSASFRAG SISGGPFSPGAEGETAADIYRKQVARIEDLEKENKRLAKEAADSEKRWQKAEEELTEL KESDTKTGGSDSAEVTKLQAELAALERQNAQLQSAAAKSRGHGPSPSVSASSPPSAEL QAQLASKSATIETMEIELSRLRAQAERRASEGGTEKEQITALEEKLARAEQAASTSSR ELQDLKRNLERTSEKAIKESSSRTSAETKVRSLEQENETLQAEKEELQKKHDALEKKV TALGNLHKENDSRTQTLRKEKEAAEKEVLQLKEKVETLEADNARLRKKDAAEGGGDDD GVDELEDEGRQRLEKKIRDLESEIYDLRRGIWHQRRKDLEPGAEDVTSPGGDFTNIDL SGPQSPDAGRKHSQQKGLGDFFTSGLNALTGTTPGGPADEDGFLDDDDMEFDEEAFRR AQEEDAKRRIERIKEIKRGLKNWEGWRLDLADSRRGGGYGVGEVFEI PFICI_06332 MAKAGPKRFAPLREGISHSNDLPKLRGVVFDVDGTLCEPQNHMF VEMRDVLGIPKSIDILDHIYSLSPESKQEEAMEQIRAIERRNMSE PFICI_06333 MAYLDSRSIPKGICTRNFDGPVTHLLTKFLPESPFQPIITRDFR PPKPDPAGILFIARSWGLSRRLSEVNAARAEELKGLVEESDESAGVKAGALGENRGPD EGNNDREIGDASGLIMVGDSIDDMTAGRRAGAATVLLVNPANEHLAEHSHTDLVIQRL DDLVGILEEGFVGREAS PFICI_06334 MADFAPRSMKRKNVKGLALTPAAPKAPPTASTNAQAGLGWGTGG SKHEDGSEAQLEIGIEFNLSLKQEDLEIIKELGSGNGGTVSKIKHLPTGTTMARKVIH VEAKKEMRRRIVRELQIMHGCHSDYIVTFYGAFLNPHNDVIMCMEYMDVGSLDRISRV FGPIRVDVLGKIAEATLGGLTYLYEKHHIMHRDIKPSNILVNSRGHIKLCDFGVSGEL INSVADTFVGTSTYMAPERIQGEKYTVKSDVWSFGLSVMELAIGKFPFAASEQLSDGD GAPAGILDLLQQIVHEPAPTLPKSDAFPSILEDMIQRCLYKNPDERPTPQELFDRDPF VQAAKRTPVDLRAWAVGLMERDNRKSHLAPQLSPATQELLRSGETPTHDSEANPAYTP TSGEIPIAGMSGMTISSPRDQAHNRSPTRSNGGYSRQASSHAHPGLGQRSATSGTIPK SVTPDLSHPASASANQSTFALPGRPAPPGGPLPPPPPRKETPDEMRRESRRQATFGPN GYYQ PFICI_06335 MSSTMRDLVMGEADEGSSDEESYDEETGETRDRKNRRNQNGDVD DSSEEEDDDDDEEEAAKVREGFIVDEDEEEDDIQDSAEERKRRKRKRRQEREEEAQLD EEDLDLIGETLGEPAQPEKKKMKRLRIGHRDSDDRRNERRGLDDMFSDEDEEADDRAY ARPTRPGRMEDEMDDFIEDDDPVDEDERFRQIEDAEVARPRDRGLAGTVLDTTGLDQE VLDDMEEIFGNGEEYDWALQLEEEEEDRRFQDQNLELKDVFEPSQLQEKLLTDEDNVI RNTDEPERFQLDRKPFKSLQITSEQFKEEAKWITNLMWPKKQLPKRLEEPFQRAIGKV LEYFVVEMLEVPYVFQHRKDYLIHAEKRENPDHKHDPDAPESIVSAEKLLTQDDLWRV LELDIKFRAFIERRNALEKNFENLREKAGIQDDILEELIPTAQLMEELQDLQDYVNFQ YSAQLKDIAAMEGNTKETKRPGTKSSAWERTRRSKVYNFVKAYGISADRLAQNLQRDD GKRSLVDDDEKAPEDLADSLTDADAPSAEAVISQARLMYTEELFANPRMRKYFRAHFY NYGEISCRRTDKGLRRIDESHPFYEIKYLVNQTIPDLLRRPELFLKMMKAEEEGLVEI KLRLQNERKFRQQLDAEFKSDNYSSAADAWNEERRRVLDSAFYKLERVIAKGVKESLR TFCQEELLKICREEYSKRLDQAPYKPKGMVLGTTPRVLALSNGMGDVGRDPTFWAWVE EDGRVIEQGRFGNLARDDAQREAFTEVVERRKPDVIAVSGFCADTHKLVRDIEGLVGE KRLMGPDFEDPDTGDYRSELLDVFVVNDEVARLYKDSPRAIAEHPGLPAVTRYCVALA RYLQNPMKEYATLGKDITSVSFHPCQNLLPQDKLLRSLETSMVDMVNLCGVDINEAVG DSYVANLLPYVSGLGPRKATAVIKAINLNGGAVNSRDELVGDPDNNKLPVVGPLVWMN CASFLFLEYDPSNPASEPLDNTRVHPEDYELGRKMAADALELDEEDVQAETAENGQGA IVRKLFKEDEQDKVNELILEEYAEQLESKYAQRKRATLETIRAELQAPYEELRRHLGP LESDRIFTMFTGETKDSLTEGMVLPINVRVVKDDFAIVKLDCGIEGRVDAHEVSHRTN ISIKEILRPGQTVQAKILDLNRKDFLAKVSVREDATKKPYRRHADHDPDTWDFRLEDD DREELREKDKVTGRVQRVIKHPMFKPFNSTEAEQYLGSQAVGDLVIRPSSKGNDHLTI TWKVADGVFKHVDVVELQKESEFAVGKILRVEGKYSYSDLDELIVEHIKAMARKVDQL TGHDKFRKGSLSEIEKWLTAYTDANPKAAVYTLCIDPKHPGYFFLAFKTNKQSKIFWW PVKILPNAYEMLKNQYPDMRALTNGFKLRIQSELNRAASTRR PFICI_06336 MAGIEQLEIHSKSYIVRWVKVEEGHTISWSLQPHKKSINFGIVK HPGSGPNNLASSAAIDELNNTFENLEAGGKDVKSGRGGFSKKDSSTAQEQLSSKGFIL MRWHGKCEADKVSMGTYDVPPGQGGMFGLIFDNTFSKTTGKTATFVLLTFPTGQPPQT AHHIPNLGTGHPVAAASKTSLGKPSPRIGAAASESVDSLQSHGKNRSQSIAGRSEAGV APSAAYHTGNLLKRRRKKGQGFARRFFSLDYSSCTLSYYYNRNSSALRGAIPLSLAAI AADERRREITIDSGAEVWHLRASNAKDFSDWAKALEKASRIARGLESEPVPEGVVARS DTLQVNTQVPMRTGYSPQEEAREWEQVEILVSRVVGSRDALRRLVKDISTKRQSSARP TSSYLSPGGTPNGEDGDGYFQQPQQDQRRSFWRRKSSAPPMSPQVFQAVQSSALAVPS PSAASTAADNSKSAQEERNIQDHCTSLLNDLDAVVSEFTTLVAKSKRRRTPAPGSAVA SRMSFESTASTDEFFDAEAGDTDTRNQVMVIDREGEEDSQGSEADEASIHSSSSVSSE ADDDGTLDPEGAAALFPAKPKSLHPLPVGIAVERRKTIPPATVPPPSLIAFARKNVGK DFSTISMPVSSNEPISLLQRTAEQLEYAQLLDIATQQKSPNERLLHVTAFAVSQLSVN RARERAIRKPFNPLLGETFELLRTDSEVPGGFRLIVEKVSHRPVRLAMHADAALWSFT QTPAPGQKFWGKSAEITTEGKVRVALRLPDGTDEHYSWSHPTMFLRNVVMGEKYVEPV GNMTVTNESTGAKAQIEFRAKGMFGGRGEDVQADISSGDGSQTGLALTGTWTGSLKVT ENGKARKGNDIWKVGDLVPNAVNTYGMTAFAATLNEITAIEKGRLPVTDTRLRPDQRL AEQGKLDEAEEEKVRLEEAQRARRRELEERGEQYKAKWFSKAAGEEDGGEEVWKMKTG KDGYWETRERGFQGIEEIF PFICI_06337 MSTPPSKEVGGSEGKKTDAAEKKQEKSYFASAVESINPWNSNRS TTPVPKDKEMPPPPKPAPTKKADDHSSSTLYGQSFRKYPSDCPPLHVKWFHAVDVPKR KPQYTKSKKEKEPAKPVPAPKKFNPFSKNDSKAIENAYQKLLEEWEDNRGRGAAIRHM RSDSLRRRTGSSKDQTNTSIDSDSTSQHQAVRVPVNEDFLFDVDIEQRELAPVYWLGP IYDVQRGTWFYQEGSTLRPCEENLAAQLEEGYLKVKPWTYPETRSRSSSGAKSNLTPK GSRDSLRSKASRESIKPIATAQTEPISKTQPIPTSAPQHQPQTYRLFGAYMNSIATYQ DSTTAWLSTDGVLSWVTSSVYQRFAGGGYLSGVKLVRGYTEPKKTKDEKRPTTPTTAA LPQQIQTEDDKESKALKRRSAPPLARAESTTNLEEEAVGRPSRESQLQRQISNYIETA DDPEAQQEQIRKREEQEIQDDYNAQAGETQGRDIEHLILVTHGIGQQLSLRMDSINFV HDVNVLRKTLKGVYSHSDDLRALNSEIEGGPGNCKVQVLPVCWRHLLDFPKRREKKAE HDIGMAFDEEDEYPSLEDITIEGIAFARSLISDLALDVLLYQSAYRKQISDIVLLESN RIYKLFKERNPEFKGKVHIMGHSLGSAIMFDILCRQRDAAKHEQQLRNPLRIWPSSND RANRAREETQDLAFDFDADDFYCLGSPVGLFQMLKGRTMAARRSPNAKPSESPLEPDF LDDPFNMADPTERLSPITGHPFSVSSPKVAQMFNIFHPSDPIAYRMEPLISPAMSTLK PQLLPYTKKGIFDAQVQGLSGIGMKVGQSVSGLWSSISGSITSSLLNRSLGLSNDDVA NFQSQQQQQAAGNGSGTSQAGNNGSSNAVIGAGGKGGAVISDTTAQQKQTEARKKALA KRTTTSTNVPTLGQEESDSVTLIDDDMETLFSQFQKKRVEAMSRADEAHKVLGDEWKE QELKAQKLRREELKVRALNRNGRVDYSIQESALDFNPINTIASHMSYWQDEDISHFIL SQLLSRPKKE PFICI_06338 MDSFQQNTGRACFTCGQTTHQARDCPQRGAAKCYNCGNEGHMSR DCPEGPKDNKACYRCGQSGHISRDCPSGGAAGGGQGGSSECYKCGKVGHIARNCPEAG GYSGGGGGGGYGGGYGGGQGGQGRTCYSCGGYGHMSRDCIQGSKCYNCGQTGHFSRDC PKESTGGEKMCYKCQQTGHIQSQCPN PFICI_06339 MENWLQESGRSALFDALGEAWNRIDSDYRSACHAENVRVAGLDG EIKILKARVAQVEWLEQKNKALREELEQLKRTNQKQQQRQQHGDDHGLLQSQSEPRTP LAPKSVNQLRILGSSIKHGGHPNVGSLGHDELVAEYGKLEEKNHKLRGQVSITLEANE TLQKQFREKNQACEKWVQYAKTLEAQSNARKQKIERLKARLASMTPADAHTDTSFTSE TSPAADEVHNQAETSSPNLLPIVSRGGTNREPLPFNRMHILERTPSLPPLPTAKDAAA VTLADQTPSKEPSSDTPVVVSERCVRKRRHADQPSTRTPATTRIKLEEGSDPVVTSEQ CRFMAHDSVDFDNADDRVVTPRKSRHFRSPSPTIIPAVASAVSETADVPQHDGVVQRQ EPALPNGPTTEANHNHRLMERSSALLPYNHPRPSLAMPKPVRKAILRSSLREGIASLA EDGNAIMAACSTADRPEVKAGRLAGLLNNPAPPEKEAIHIPWPWSASPLREATTFPEE PFEKRKKRKLPSEWQLPNSESPKTPTVSNKETPPAGKNRKLPPGFKKPWPRREEVPLR DRPVESLNRADFKLNPKYNEGYNYAYNEVVRGKDRAALPGCSKEECCGKLYRPLAELE LAKTMFIDVRNDLEAQLGDDAWKLSSMMKAEMEKLWVDTKIREISQKYGRHRERHSAM QEPPGWDRVGFPSTQEEAEDREKAKRLELEEVQRRHNEALKKGKYLFRDEEP PFICI_06340 MNHHLPAGQPMPGGVGGMGGGRGPVDMGSGPGPHTGNGPRRRPQ YSHQQHSYTHHNHSQPMYYPNSHMNPYANAYYPQAPPHYPTAGMPGAPYGMHYNPYAA RSPPAIHQAYPPIVSSSMAHPPQPYSRPPQQQSPALSTPPPPPYHMAQPPPPAPVPQT PSSTHSSQAIQASVTPTTPQSQDVVPQAPQEVEVLPAKAPFKYPLPWLSDPDSPFPTR AAKSRRRRKIVSSVKGGVELPSNQQAAPAVSATPTQPTPAEDAATPSAVSAERPETPS TQDLPSESAQSTSPTTPASVHTTQTSTVASVTPTQTAKSSSKTATAVPAVPIVPVLPK GSPKETKAAAGIEKSGGEQVKESVSSEQVASPAADNVAADASPETKPEPTPAPVKVAH KSWAAMFAPSPTAAAPARAATSDVNGAKTAEGASASAATVAGFAKSNASSQAEAIRDF KVNNGAKIPFLEPRGLINTGNMCYMNSVLQVLIFCIPFYDFLDQVSKKAAHSFNSETP LIDAMIMFMREYKVIDSATSVDQLRRRLKNEELEQYGEPFTPEFVYEAIRKLPRFASM RRGHQQDAEEFLGFLLEALHDECASVLSRLPETSATGSSATNPNTNTPTEANNNTWHE VGPKQRPAETRSSGHPIMNSPITTIFGGKLRSELRVQKKLSVTVEPYQPLQLDIDSPQ VRNIVDALRNITRIENLTGDFESLKGTTAVAHKQVFIETLPPVLILHLKRFQFDAKGG TMKIWKKVGYPLELEIPMEIIARSCHPAVIQEFGGTPKYKLIAAVYHHGKNASGGHYT VDVRRQDGREWIRIDDTVIRRVRSEDVAESGAEEDKKSSWSNDKKAPAAGASGNRFEG IADDAADDEGWNKVSAPTGGAKKWSSIVNGNANPPAPAPKPIKDNKADNKVAYLLFYQ RV PFICI_06341 MASQDHKVPSSVTDEEREAIKPGQQDDVAAAYTGYFSENEPYSR KEEASLRWKLDRRLIPILWFNIILGAVDKVATSTGALYGMREDTDSTGDRYAWVGSAF YFGYLFWCFPAASLLQKLPIAKLMSGVIFLWGIILIATAYSRSFPAIITLRVLLGVLE APIIPGGYLMLTMWYTRNEQALRSGLMYTNLSVFLSGPIGYGVGAITGGSQWRWYFII FGSISLVWSIVLGIFLPDNLARAKFVTEREKAITVERLRANQAGIENKTFKKEQVIEA FTDPKTWLMFAFNIFCSIPNGALTNFQSIIIKGLGFSSRRALLLAMVEGVVATISAYL CNGGVWYLTRKWPKLQCRVPIIILGELVGMIASIFLYTLPITAIGGRLAALWMAKFFL GPYIVMLALNVTNIAGHTKKVTVQAIVFIAYCISNIVAPHFFKDDQAPLYPLGTGAIL GSYVLSIITIAMYAGYCYWENCRRDRIDATAGERVHEDTDFKDLTDRQNIHFRYVW PFICI_06342 MGSQPAPNARSLINLQLGWPSPRLFAREGLLKGAEEILTSDKES ATALIYGPHAGHPPLRESIAEWLSSVYTSCAPTTKDRICITNGASGNLANVLLKFSDP IYTRAVFMVEPTYFLACPIFEDNGFQGKLRGVPEDDKEGLDIEFLRRELEAVEASATA TTAPTLKTGATYPKIFKYFIYLVPTFSNPSGKTLSLQMRQELVSLARQYDALVVSDDV YDFLRWPEDSSAPDNAVSGVPPRLVDVDRAMPGYTKWGNTLSNGSFSKVIGPGVRVGW ADCSPAMAVELGEVGSSSSGGQPAHLTSTFVDKMLRSGYLQNFINDTLIPTYRKRYYV LMKSIDDLLVPLGMKVEVNKPADATAETAGGFFTYLSLPEDLPAAKVVAAIAFKDYQL RVAFGHMFAVTGDAGSISRAEMDGGFAKCIRVCWAWHEEEEIQDGVQRLADAIIDIRD RIKRGEDVGSNLAIGIR PFICI_06343 MAQKYADIQSEKDAFAAEVESIKQWWQTDRQKHIQRPYTAESIA ALRNIGFKIEYPSSAQGRKLWRLLNEHNEKGTYDLTFGTTDPLIAKEMPKAGVQTVYV SGALCGLSQAAWPGADHADYPADLVPSVVKRILNTQLFHDQRQTQLRMRYNEEDRKSL ENLDYLLPIVADADMGFGTLTGCMKLTRSFVEAGVAMIHIDDLALGLKKFTNGEGRTI VPTREYLDRLTTVRMTFDIMGADTMLLCRCDSDNAEFITSVIDPRDHPYVLGATKQVP TFIQALDEGKIAGKDYLTVKSEWKAAAALMTFDEAVKAVASDEQYTAYMSEVNDKIVA LQERKAIAKRVVGYEITFDWELPRLPTGQYMWKWCTKAVIDRCILAAPLGDVTWSRQD KPNKKDMHDFHTSVRKVFPGRLFAFGYTGAYDYTKNGYTQAEMESFPADIAKLGCVWQ VQPIWATQGLSLHAKEFAESFKKGGIAWYMRDVAAPAREKMATDKYGKPQARGDYLAD AFFDVVAGLDIVEKA PFICI_06344 MDAMEDPRPWLIRRLLPKPEWHVGPGKLASLASCPIQQYEPIDD ETPLAENDEKALRIENDLRAFVTDALENGSRRVFKIPGLSLQTRVRLVGRRKQSADRN PVLTLTVVVKMRDEIPQSRANIIMTSSYLETWRWMKSKHRDNIVLNFVRESDTSISEN PDNKSRWLCDWISIPAGRNEGADGCPDSEPESPWYLDRITWRNRGPL PFICI_06345 MRVGQVAALLTTFAGATLAAPLEPRAVIAHDAVVGFAQAVPSGD LGTALLKYKPWLYVVNGCVPFPAVDSSGNTGGGLAPSGGSSDGCSSSPGQVYARGTQY GDYYALMYSWYMPKDSPSSGLGHRHDWEGIVVWIDNLTSQTIKGLATSAHGDFDVITS DFPLDGSRPKIQYFSTWPVNHQLGTTSTKGGEQPLIAWDSLTDAARTALENTDFGDAT VPFKDSTFTNNLAKAAI PFICI_06346 MWTAADAIFTDTAVLQGNFKTRHPECHSLADMGEKVGGVALKEL IGFLYLVAYILCTGSGIVGLSVGFNALSDHAACTVWWSFISFVIITAAASVRTLRNIG WLTWVGFFSIFIAILVVVIGVTLRDRPAAAPQEGDYELGYYAIAYPSFVAGMTATATI FVSSAGTSAFLPVISEMRNPRDYKKSLYICMGLVLAMYLSFSLVVYRWCGQWVANPSL GSAGGTLKKVAYGIALIGLIVSGCLYQHVAAKYLFVRILRGTKHLQANTVTHWVTWLG CSISLGIVAFILAEAIAIFNYLIALTGSICFAPMAISIPGVLWLYDFSSYRKGSLWQK AQYGFHCFLIVLGLFICIGGTYSTVLSIKAAYASGEIGSAFSCADNSGTVG PFICI_06347 MATTSEAKNEKLDISPATPAVSDGNGSLKEAELESHEVFQKNAD GVDFRNVSWQRATIIFLKIIFATGVLSIPTAMYS PFICI_06348 MILTDLFAMILRLAELVFAAIVAGINGAYLHSVHNTSSWSQGRF IYTEVVAGLAIFLALIWLLPFSGSFVHWPVDIFISILWFVAFGLIVNLLGGSCGGAFN WDNIALRGNDQCGKWKAVIAFAFLSAICWLVSAIVGIIWVRDHERREYRRRTWGRSRV PFICI_06349 MSNIINKVKDALHSDKDKTHEAPQGSHGPHNSRVANTADPRVDS DRDHRANPTTHYGEGAAARPHEGVGSTGFGSTGTHTGTHTGAHTGTHAGGIGSTGAYD TAEGVHGPHGSRMANAADPRIDSDRDHRGAPGHTTGLGSSGLGSNTHSGIGATGTHGT HGTHSSGLTGSTGYGSNAPEGTYGTHNSRVANAADPRIDSDRDHRGTAATSHVGPAQN TAGPHKSDMLNKVDPRVDSDLDGSKTVGKDQTHRY PFICI_06350 MIARPIAALNHATSSGGIRNHSDFSHVSCFKIIDSTLREGEQFS NAFFDTEKKIEIARALDEFGVDYIELTTPAASEQSRADCEAICKLGLRAKILTHIRCN MDDARIAVETGVHGVNLFLGTSSFSMKSSHGKKMSDVHKTALEVIEYVKARNIEIRFS SEDSFRSDLVDLLSIYQAVSKVGVNRVGIADTVGCANPQQVYDLIKTIRSVVSCEIET HFHNDTGCAIANAYSALRAGATHIDTTVLGIGERNGITPLGGLIACMVVADRDYVINK YNIKKLKAIEQLVADAVKIDIPFNNYITGSCAFTHKAGVHAKAVLNNPSTYEVLNPAD FGIDRCINFASRLTGWNAIKARAEALSISLTDAQYKECTAKVKALADTREITADDTDS IIIGFHDAQKLGVSIECVRK PFICI_06351 MPAISEAEYLGLVTGFNATDDGRFLGKRLDLLFEDVVEEHPSSI ALIHGDNRVSYQELNNSANNFARCLARRGANQGDIIGLAVDRSIDLVTVMLAVLKLGA AYVPIDLSFPKSRIDQMIEDAEPKFIITSGHTDSLSRWKGLSLGVDEIRHDEITHASN LEVKIQPEDLAYVIYTSGSTGKPKGVEISHGAATNFLASLREREPGCGPHDTLLAITT ISFDMSILELFLPLLSGAKMVIAPADAVKDPQELLRLMLLHGITMMQATPATWSMLCD SGWQDQPRLSKAICGGEALSRQLADRLLIHADTVWNVYGPSETTYGSVGQVGHDGAIS VGNPVANGRIYVLDEDLSPVPLGSSGEVYIGGASVSNGYRNKPELTRSRFLPNPFHGG VFFRTGDLGRFLEVGKLHIHGRIDDMVKIRGYRIEVGDVEAAIMDNVAVSETAVIGRA GRLVAYCVPSPDFSTTLSSSEQAGAILDHILRPWLTERLPEYMVPAFFVAMDVLPLSP NQKVDRKALPDPLMKMSIISSKQPTTNLETQIRDIWSSVLGHDQVGIEDGFFQIGGDS VRLIQVQKQLEKLVGFKIPVPVLFEHFTIKALAKYLMGATSISEQKVDTDRDAGFDRK VHDDDIAIVSMACRLPGHIHDPDDFWDLLERGGDAITKVPKDRWDADKLYDASPGIPG KSYCRYGGFLDSILTHDISFFGISPREAQEMDCTQLLALEVAWEAFERAGYTRQMLQG SETGVFLGVSNNLATNRKSGNLNGYSITGSASAVASGRLSYFFGLHGPTMTVDTACSS SLVTVHLACNALRLGECDMALAGGMTILSSPGIHIEFSQLQGLSRDGRCRAFSADTQG TGFSEGISMMVLKRLSKAERDGDIIHAVIRGTGVNHGGRAAGLTVPSGPSQARLIRKV LAGAGVQASDVDYIEAHGTATTLGDPIEAAALAEVFRGRDSKADPLWIGSSKSNIGHC GAAAGIAGMIKVALSLQHSKLPRTLHAAVPTSAVDWEAAKMSLVQEEQPWPASIHRKR HAGISSYGIGGTGAHVILEESPSPANELGQMNSGESPSFDLVFLISGRTSIALQHQVL RFEQYVKRPGPSPSLQDMAFSLATTRTHFHHRLAFKARSKDDLLEQLASIREPSSSIV TNPFDQDVRKPRLAVLFSGQGSQVLGMGKMLYQTYPLFRSSLDQVAAYFSELEAPLLD VMWAEAGTSLALLLDRTDFAQAAIFSIQASLWLLCESFGVEPDVILGHSVGEFAGAFA AGVLDLPSACSLVACRGSLMQSMPDSGAMVAMEAGLQETVAAINLLGHGSQVSIAASN SPEQTVISGNREDVGVITAHFTAKGRKSKKLNVSRAFHSHLMRDILPQFRAVAEKITF HQPRIPIVSSRTGQLADAGQMEDPEYWVQHIVDPVLFTDAIFAVSDLGVNVSLELGSR PVLSSMGMECFVDRQESDYMTWLPSLAPGQQDLSVFHRSLAELHIRHVGINWSAVFSP FHCRRVQLPTYAFQRNYSLPHDDGNESTSQVPSSELAAKPTDQTFAIQWQPSNTQKKP LISTWGILCPAGVELPWMSGVIASLSRRGLYVRKVDQLGDAESLSGLICLMDLSADVL SQAHTLSKMTLSLLQTAVEARFSPELVWVTHQAVGTGFQDGVTNAGAAPIWGLIRTAR TEHSELRLRLIDLGEGQSTVDAFPLALTVEDEPECALRDGQVLIPRIERYRPRDSLQT KQNCFVRKDGAVLITGGLGDIGRQFCRRLVKFHAVTDIVLACRRGMDVPGAEALLQEV AELGAKVTVLTVDMGDRHGVEGIFELFSSSRPLRGIIHTAGTLDDGVLTSLTEGRLET VYRGKVDGAWHLHCLTQHMDLDFFIMCSSLSGVIGNAGQANYAAANTFLDSIAYMRSA MHLPATTVSLGLWGGEGMGERLDEHSKARYSEMGMCSLHPETGLDLFEQLVQEDCPHS IVAAYDFDKLQTYYNESGGVPPLFRSLVKPKLLSSADLKRPVLVSALLEVDRDQHETI VLSTVRHEVAKVLGFASDVSINIDDPLRELGVDSLTSIATRKRLSSLTGLTLPSKFVF DHPNLRTLSQFLLSEMYKSLSRSSSETWSDATEATSQGTTLPETPSNEGCLEPCLAFD ASRAIPGPPNTVFITGSTGYVGAFITAYLLRRGIKAHCLVRAASATGARDRVVQILRQ YGLWTQDYESLLTATSGDISKPYFGMSEPEFGKLAGQVDAVCHAAALVDWMRPLDDYI GANVTSTKEVLRLAATGRPKPIHHVSTIAVIPRYMGYSVSKSATEYGYSTSKWMAEQM VKAARWRGAKASIYRLPYVSAASTGHFRLDRGDFLHNLVVGCVEMGKFPSIATDLSLV LPVDYLAESIVTVMTGDLLSGNDFNLVNNKALSFDQFFKLLAGPQQELIPFSEWRTQA MEFATTNPKSSFARISAVLDICPTEEEAIAMFHFTGIEVDIFASNELPPPPSFNEDSA RAYLGRIASVKSDVF PFICI_06352 MAIVAVAGGTGAVGRTLEANISAQSRVQHLCVNYSNVDQISSTL RENDIQVVVSALVLLDEKTSDSQINLIRASAQSGTVSKFIPSEYHLDFHVPIQGIELS FKSYQLRSEEELERHPQLTWTLIRNGLFLDYLAMPFHPKPTNLMPWSIFVDLQHEICV LPGDGTQMMVFTHSADLAAIVERLIDIPGDRWPRESLIEGNKFQLKDLIQILERVTGK SFTVIYDSVEDIQRGQITQLPSNRDLFAQEQWGNLYQLVEKEAMFTLLSDGYNLGGES LMDMFPEVQITRIETFLRDAWTCKSQADPKQSCI PFICI_06353 MALTKTTKANGATRFIPGSHLWDYTTPPPENNDSCVYIELSPGD AFFMLHSTLHGGSANTTKDEYRFICMSTSTTGLLRQEENQYLANDVEKIKKLPLSLQR FLGWGISDPWKGYVELKDPVLLLNPDEKDLANTEY PFICI_06354 MLDNAENSATSIENKRQFSTFSALGIGYSITNTALGVLLVVGTV LPFGGAPLLLGGFLMMAVIALSTAISLAELCSAMPHPGGQYIWVNRLAPPEYRRFLSY ATGMTGWFSAIIIGASGCLAVFQYLFSLIKLLKPAFVYQRWMGFAGYQALNLITLLLA TFKFAQPTISKLMLILSCITFGVFSITLFAMSTTRATTESFLGPLDNASGWPNGAAFL IGVNGLNWIFSCLDVTTHLAEEIPSPATNIPKVLMWTIAIGFCSGLITILAILVNLPD GIDASDDNSAVVLIYRITHSKVAAAVLSIPILIVSMGTVWAVQLWQSRLAWTLSREAA FPLHHHFCRLASSPFHTPIWSLFGSAFGTAIAGCLYLGSEIAFTSFSAAGVILQYFTY SLPVILVHYRGRAQISHGPFWFPMLGCIANVIMLGWTLIVLVFYSFPPDLPVSTYQAN YTPGILVLILSLICFVWFSFGRKFYEVKDM PFICI_06355 MTPFTLDLSDFQSNSPEFARKALEGFRRDGFIKLVGHGISESEI NQLLAWNKAFFALPLEEKLAVSNVAGPEPQRGYSAVGVEKTATLNINGAVNLEMASHE GREDFKETFDMGGPNDTDFPNQWPAETSIPGLRSWLEQYWFKSHKVAMRVLNALELAL GVPQDSFVSRCDGCKSEMRLIHYPNVSAEKLQSNNTMRIWPHTDASAITLLVQDSSGG LEVENQSSPGSFESVSLVNKTELIVNGGETLERWTNGLLKPVLHQVNVPPGPKCSESG TIPSRLSVAFFVNANADASMGPYDKFVSANEPSKFPDMLSREYHRLRNAVVY PFICI_06356 MHHKLTIIGCGVFGRSIVDGLLSSESSPEYRLALTHRRFEALKA LQIDYPDAVVSRDNGDPLIWDHTDGAAIRHVVLIATQPRFTSNVCKDICNAVKSARSA QELVVVTVCPGITLAQLKSWLPCETTIIRAMPNTPISISQGATALFASESAPCEVVSE VRAIFRRISPVVAMLPREELMDVAAAVSGSGPAYVYYLLQALVATGSSLGLPSELAHQ LVVQSCMGAVMLVKEAAETPLSDLLAHVCVAGGSTEKAMSTLNRCDLRTILQTAVEES WHANQAMGKDV PFICI_06357 MAAPAVPVQNPTVSFWRTMVHPIDDQRTTSKLPDTVDVIVIGAG YAGISVMHHMLELAQNKDIPMPSILLLEAREACSGATGRNGGHLKPDVCGMATAVARN YGLDAAIECARFEQQHVSALKELIESEDIDCDLILTRCIDVFLDETFLNETRDKLAEL KRKDVSMMSAIQTLSGTKAEQVSGVKGAKGCITYPAGHLHPYKLLMHLLSKALDMGVN LQTHTCVKHVTTTPDDDGYATVTTDRGKVRAKQIVYATNAYTAALLPELKDKIIPVRG TCCRIVPKTSTAVLKNSCTIRRSSIDSEYLVPLLDGSLVVGGGRVKYYHNKAEWYDNV ADDELMRGTHPHFDGYMQRHFHGWEDSEAETQEIWTGIMGHSADGVPFVGNLPDRPNQ FIIAGFSGHGMPQAYLAAKGLASMIIEGASFHDTKLPKVFEASTKRLKNPVNLVLQNW QDWRVSVSPKL PFICI_06358 MAPTASSTETAELKQQEVSRRVAPMNDTPTTEPETSPNSVPPTT VQRWNHPRRNIVKIAACFWSFIVMGANDAAYGALIHYIESYYNLSYLVVSIIFLSPLV GYISSALLNNRVHEFWGQRGVAALTAGAHILAYTLVAIHPPYPVLVVAFAIAGFGNGI GDAGWNAFIGSMESANQLLGFLHGFYGAGAVLSPLIATSMVATAGLPWYTYYYLMIGF AGLEFLSLVFSFWSSSGVAYKASVSENDSSTGNDEKATLSAVLITRPSARVTWLCSLF LLGYVGIEVALGGWIVVFMIQVRHGSEVASGMTATGFWLGITVGRFVLGFITPKIGEK LAISIYLLLIMGLELLFWLVPQLIVSAVAVGLQGFFLGPLFPATIVIMSRLLPRHLHV GAIGFAAAFGSSGAAILPFAVGAIAQAKGVQVLQPIILALLVVILGLWLGLPRIVKRD PFICI_06359 MSFSNFFQYSDQTASSALAQKKRQACERCRSQKLKCIRNEGIPN QPCFRCTDARVDCITNTQRRIGRPAKPRGEHQQDSNRRTDAGNGSQYTSAEVPDDVSW NMDDFGFNSLISFPHGSTAVDYDSAPSLDTFHSETRQIPFQQAENYFGMVAEDRETGL VSSSSGNLESQLLRLQQQLTKLVNEMQTKHWSITASMALKYQMEDYDIIKDAQMDGPS FNPLAATFQSISIFVHLLDETVVTIFSQQSAAVSNRSGWVKSQYVLARLRSVLTEPGH PFICI_06360 MAANDAQAKESPPEKGQVNNGPHPDQIDQYFEDTAKTPRKFSQF FSHFKARDLKNLFKSSLAVWVMTILIFINPTLNTIGQATFFGCVVLFIVPPSGVVFIH VLAGLTICIGMALAWAWGTITMKAALATRPAADLQAQYAVLQQAIASGSIQASGQSTA LQVAIYNGLLLDTRVTLTYFCMMGLFIYLMARIRVVAPKLTLVGIFSMIIADIYITTA PLLPTFQGTIPKTLILPTAIAVGIGMVCNILIFPQSTSSIVVDSMSDILSPMPDFVRA FAHSLGNTARNFDTKQIERLQLKLAVAYKSADASAKFLPLDFSYIHWSPSDVGSLQKP LRGVLVAFGELVQLPISRERMRTKKAAFEETVKDLMGEDATDFKFPVAHYQIAKVLAL RETSRHPDIDRLIMETATILTSCATPLLETWSHGITVVIQALQGAFDKGPVKNLTAHE ETLQSLKNLAETFTADTSEKLLLPHAHLFDSDGNLKSEEEHDRRVLLSYMLGLLYQER IINISKALENLLQEIINLEKARERKRVWAPAQLGKLLSWASSKDDATPGMPGNPNSTP PTAAATKPRQRSDASRSLSTSASPTLAGLDGAARLEDMRVPRHRPRSMASKILLDIVN FFGNDAGVHAARTLILSIALAVPAVITTSSGFYYREKGLWALIMAQMAIVPYTSDFIS GLILRLTATVAGGVIGLVCWYIGAGSGSGNPYGMAAVMAVAIVVLMYWRLFAPQEQLQ AGIMLTSTLYLVVAYSWNDTHNPTYGNPGVGYNVFWRRLLLVLIGFAASAIVMFLPRP PSGHRHYRDLLSSQLSSFKERYALFVSTWEDPPADLVEVVEREGLLSQEVLETSIQPM TLLKFEFSSSNLDSRTLVAVGKLCIDLNTTITQLLVYARRLTSEQRARFMLYTGANDE IFVADLMAVFSLVQGTLSTGKPLPTILRTPLVSRSLGKARRNGPDEVDGGAKEMTARE MLTGDSGRNWASAVGAFISLSARIDELVIILKKAVGEEFMIDSTALAV PFICI_06361 MLNLHLAAILVVPISVALFILRGVYRAYFHPLAKVPGPRLYAAT DIPYLYHLVQGRWPHRLKQLHDQYGTAVRYTPRDVSFITAGAWKTIYGHQNNTSKNFS KDYLAYREPKPGQSQIIQAIDSDHKRMRRSLAHAFSEKALRNQEDVMNQYVDAFIAKM AEKASKQEALDIVSWYNFTTFDLIGDLAFGEPFGCLESGGYHPWVAMIFETIHNGVYY QLFQRYPMLKRLAPFLTPAKLVKSLQDHQELTRKTALRRIETGNTEREDFMSYILRHN DEKGLTTDEIIANSSILIIAGSETTATQLSGTTFQLLTNREKYDKLVSEIRTTFTSKD EITLNSVNNLKYLLAVFDEGFRMYPPVPVGLPRVTPPGGASIEGYWIPQNTAVSIPQW SAYQSEHNWVEPQRFIPERWMGDAQFAGDARDVLQPFSVGPRNCIGRNLAYGEMRLIL TRLLWHFDLELMDESKDWAANQNIWTLWNKGAMHVKLTPVH PFICI_06362 MEKASATRGFFQEVPVLSNQFHEDPSIQRVLKLFVPGQVLQGAA SEIEQLCDEALSPQVLEWVTDAEKNQPYITGGGKNAFGRPVDTKLVVTEGWKKLQDRG FENGFSAQGYEQGLGQYTRVLQYMRLLLWEGSSANTSCPQAMQDGASRLLQRQLTGQG RKLSPVEKRVFENAFVHLTSRDPSKAWTSGQWMTERPGGSDVSKTETIASYAPVSTSD GFSPQCSPSEDIPLGPWTISGFKWFSSATDSNMTILLAQTSPGKGLSAFYAPMRRWNP NLAVSAGGKKGGMELNGVRITRLKNKMGTKSLPTAELELDGMRGWLIGEEGKGIREIT TILTITRIRSAIGGLGYLSRSLAVARAFARVREVGAGRGARIRLSDSPLHMRTLSDMT IEYHGLMLLTFYGTYVMGIDEHGAASPSSSPPGSVPVAIQRLAPSSPSRATALLRVLT PVIKAYVCKNSIYLIYACMESLGGVGYLENSETEHVNLARLFRDACVLSIWEGTTDVL STDFVRALKHPREGPESLAALDALIEAGLEGKAQGTVLAQRWAKTKSTMQGTAQEDLM SSARDLVWETAEILIGSLYLVDAGLDGDARIKEMCWRFLSTKRLTEQNAGGQYRQIDL VTNQTIVFGSGSSPSLEAKL PFICI_06363 MQLSFSYSPQVFYQVHADASNFAAGVTKEQAYEQVLVQAEGLFY EQRNWSDSNNDSNLANAASLLWHAYKSLPGPSNQVNWAGFYTLDPKSSATKPQLILGP FQGKVACQTIAFGRGVCGAAARTQATQLVPDVDAFPGHIACDGDSRSEIVVPIVVPAE GAVEGEEGGSAKKLVAIIDIDCAVVDGFDEVDRHYLERLADILGKGCDW PFICI_06364 MTTFLRRLSLFARSLPSTSSLPVHKLFQPIARTMATSVSAVLRQ PVKLACIQLASGADKAANLAHARDKVLEAAKDGAKIIVLPECFNSPYGCDYFPSYAET LLPSPPSKDKSPSYHALSAMAAETKTYLVGGSIPEADPDPASKKYYNTSLTFGPDGKL LASHRKVHLFDIDIPGKITFRESDVLSPGNKVTIVDLPDYGKIAVAICYDIRFPELAT IAARKGCFALVYPGAFNTTTGPLHWSLQAQARAMDNQIYVAMCSPARDVSATYHAWGH SLIADPMAKIVVETDEKESIVSWEIDPKKIEETRKNIPLVGQRRFDVYPDVSAGKVRF EEPNVPKE PFICI_06365 MSEITHPTIKDGWFREISEMWAGQAMTLKVKKVLHHEKSQYQDV LIFESEKHGNVLVLDNVIQCTEYDEFSYQEMITNLAMFSHPDPKKVLVIGGGDGGVLR EVVKHDCVEEAILCDIDEAVIRLSKTYLPGMAESYKHPKVKVHVGDGFKFLDDYKNCF DVIITDSSDPEGPAESLFQKPYFQLLHDALREGGVITTQAESQWLHLPLIKQVKKDCA TVFPVAEYGFTTIPTYPSGQIGFMVCCKEAGRDVTKPLRKWTPEEEVQKCKYYNSRIH EAAFVLPNFAAQALQ PFICI_06366 MCFGSRKTDEEGQNRSRELDKIIRQDEKRLAREVKLLLLGAGES GKSTVLKQMKLIYAQGFSKNERLEGKPVVFNNVVQSLRLIFDVMNDQSIEFVNKDNEK NQALLLLDCEISPNDNLPEDHLDPIKALWADEGVQRAVLKGNEYALHDNLSYFCDDLD RIFTKGYIPNDQDLLRSRLRTTGITETIFDLGQLTYRMFDVGGQRSERKKWIHCFENV NCLLFLVAISGYDQCLVEDKDGNQMNEALMLWESIANSHWFTKSALILFLNKMDLFKE KLSSSPITSHGFVDYQGPQDDWKQASKYFMDKFRALNRNPEKEIYGHFTNATDTNLLK ITMGSVQDMIIQRNLKQLIL PFICI_06367 MEKDRFIPYRERDPSSTTDTGGYESYRASTRAVQPSQAAPVNDT GWWARMKARHPVLARKRGKALIVFILCLPLLGLLGLLALRHSDSQHGGSAAGGGTSNA ITDDTYFYGQSEPVYPSPSMASTGTWSDSYTKAVTLVQSMTTEEKISLTTGAGAGNGC AGSIPAIPRLNFTGMCLMDAGQGLRGTDFVSGWPSGIHVGASWNKELARQRAIGMGGE YRTKGVNVALGPVVGPVGRIATGGRNWEGFSTDPYLCGALAAETVSGIQSAGVITSTK HFIGNEQETHRVPANDIEAVSSNIDDKTMHELYLWPFQDVVKAGTGNIMCSYNRVNNS YGCANSKTQNGLLKTELGFQGFVVSDWGALHAGVASALAGMDMVQPDAAGLWGDKLLE SVQNGSISDSRLDDMVTRIVASWFQMGQDQDFPQPGIGMPADLTKPHTIIDARNASFK QTLLDGAIEGHVLLKNTNSALPLKKPKLLSLYGYSARNPDQWNYNATGGVSAWMFGGE SAFLGRYISGAFDLDSLGDIPQIAPDGTIISGGGSGAVTPSYISSPYDAINARAYDDG TALFWDLSSPVPYVDAASDACVVIVNAFASEGYDRAGTHDDYTDGLIKHVADRCYNTI VVFHNAGIRLVDQFIDHENVTALIFAHLPGQDSGHALVSLLYGESNPSGKLPYTVAHN ESDYEDLLNADKADGIFANFPQSNFTEGVYIDYRHFDAKNITPRYEFGFGLSYTSFNY TGLEIKQNDTANTDEYPTGDIVEGGQSDLWDVLATVSAQILNIGDVDGAEAAQLYVGI PGAPIKQLRGFDKPFINASQTTTVTFDLTRRDLSVWDVVVQKWLLQNGTYDVYVGPSS RILPLQGSLSI PFICI_06368 MTRPNAPMLNGLSPISDTSSLEHHSPHTRDRADVASFAPDPRNH SHSTAQQHAGADGFAHRACEKCRASKRKCDKTLPYCTRCTRLNAKCVYLADSVGSNQG TNGAPLVIFQPRASSNDVLLRSPDPLQEIAPSQILALISKDAQAGENTDWTDGVRAYY DYIHSWYAVVHRGFFEQQLSGGTPAGDTPPGQVTQVYSPPLTSSPPSETSPPTSVAHI MTSPGSVPEPQVSREFALVIVTMYLITRHRLTKTGTRPMYDDLYHFVKRIVALAFLEN RVPRIELIQCSALLAMYEYGHGDSCLAYRTLSEAVAAARVVGIRPGQLNSDGDAMLQI GTNVEEEQKSCIWWSLFILDQFLHRDKMAENFPFVMESPEMNTLLPASITPPTPTQSG RFDVKYLCEQPTPPRQRLPISVAVDTQKLEQFQLSAKISCLLHRALRHEHYSRTRPGY LPPVTSFSSLDSEIRAATQTLLRDDVTNWQVTLDCFAMAVSALFSLYLPYLPVLENKT RDQIQQDHDLTTALAALRFAAQLSVDISCKANVDMQRTATQEASALKFVVAPAAPTCY LVVKTYATLRKIFPEEWQQCQDAMEAKFESLKIFAHRWGIAEKMMCQLQDLAGLDRQD FLKPDPPTPESMNSSSGPMDICP PFICI_06369 MSTAMALVILGGVTTTYVFLLALLRSTQNDKEPPVVLDSIPFVS PILGMVRWSMDFYSVMKKRFHDLPMYTLRMPGARIYVVNALDLIPVVHKQWRTLIFAP IQVKAAKAVMGVSQGAVAILERDMVTEAGFVNGMIKATHPTMINGPALEALNIRAFEV LDVALKGLNAPTTISMYSWIDEQIMHATTEAIYGPSNPMRNASNLEHWQ PFICI_06370 MFLMLDLLPQNIFFRSAIKSRESLVKSFAKYYKEGNYKQGSEYI QQFTKHCIDQHLPEADIPRVLLGTVFNNVANSVPSAFWVIYRVFSNPVVLEECRGEVL QAVRHEPNGTSSVDMSYILNSCPILLSTYQEVFRYHGMANSVRFVSEDHMLDNRYLLK KGGLVMISAKVQHKNPSVWGESVDKFDHRRFMKQQSGGKRSFAVAFRGFGGGTTLCPG RHFATSEILLLTTMLLLRFHVRSADGKPWVLPTTAKSSQAEAMEQPDADINIELVPRP DVVGRTWRIVSSGQRGVDLMTQA PFICI_06371 MSQSHGSQPHVDALRGTRVLVIGGTAGIGKGVASAALAGGAKVF LSSSDPSKITAAVADFQSIYPGASVSGTAADLSRTDTVEANLEKVLQAAVAELGGPLN HVAYTAGDAFDFAPLSEATAANAYSSWTVRYLGPLLLGKLVTVHPGVYLEAAARSSIT LTSGIHGSKPFPGLSKSIAWCGAVETLTRALAVDLAPVRVNNVSPGAIRTPMLEKLGQ EAMAGFAEKQLVKDVGKVQEIAEAYLLGMRCAFATGQQFVIDGGYTYK PFICI_06372 MDPIGMFSKSLSRKSVSPDRDPLPNGLGRYHPLQAQIPRTLPSP TLYPIYEDQNTFDGERQEGCWHDCLESLSQSLHDTPGSQETTYHRYQDSRGNRKHDSE ERLGSIKEASASSSIDSPDGGAKVSNHPSPLQSIGRQSAGRDEKPGKLRTARKTSGTA SNEKDLQGELSLRLDTLTISDNITNETSLDTRAAAVHGSGTSQSPGSSIYLKEALFDT HSTGIYIGIAQRRQLPTQQLIINATPLFQTRTQSYNQVTRGHTAASGNPTHSNVNSSR TNGEANQSGSSTRQSAAPKKRKLNQQSDEEGSDDEEQAPSRTPKDKQDETKLVFACPY LKHDKTRHSLETCCNGRGWADIDRLKHHRRAMRCRRCQTKFGKSPDLSAHQEEEPPCK ESNEPTWDVFDEEQEKLLRSRKGLSKLTKPEKWQKIYHILFPDVAEAEIPSPYSSPDD TQSVMDHFAQQLPGRVVGHLEKSLKALGLNVHTGQIVECVKDAIGKGLEDLLQNQNEF AESQSPEYHRHCANRGVAAGNSHQPSTQQEETFGGLLAPGPTRDLDPFGFDNLPESFY QTPAPIFMDSPVGEAVP PFICI_06373 MDYQYCDSGYGGSGSSTNSSAAPSKDVYYQEYRSPTRKDYKKTV VSRDGVSVHQETARGYSQAEPHPDYRASRSNYYNYLTTTQAEMKKVPYDTGTDKESPG RMGSSIKSPTPTDDMSSLYDANEFPAGGIRPGAPNNGQGGGSNHALQDNQMQMMLLEQ QNKKRLMMARQEQDSMGSMPRGSWSDAPTSRGDPQEPSNHDLPVTKSPDGEHESILKI QEDEKSEFLDSKHATASIQPQTSIMPVSWEEHNSSDVKTFQTSTCEKSDSSSEGSPGL QLRVNLSTPADDSDASSASSKPQFTSEEFSASLPDRGVATVGDGNLLPTDSLKGPTSL DSHAGRFDGGKSESDSTKLIHASESQISKSCEAPADVFTHNITTAPSRLPEVFAPRNW ESGSSFTFGHKSGRNSTYADTTQDIHPTAAELGDAPQRPLNMSPSSVPSDTNAEPWES STFMLNIDDSLNRPGQQSLADRLNKLAISHDGKTEEHSKADQVENSAKIRGLDDRTSL SSFLSLGLPSERLGRLQHEIRRLTESIYPQYQVRDGRFREHTPSSSLISSCTSTLSTS RNENSQAGSDPRRSQRPEKKRRLDRDPGEESDEEKTTDNTSKGKKNEDRPLFACPFFK YDRSRYGHKRYCCGPGWPDMHRLKDHLFKRHMKPKHCSRCWEVFQDEAALTSHQRSDP PCDVSPEVRIDGLDESQERKLRSSRKTFSKMAECDKWRKAYMIIFPSVAKDDIPLPYY VPEDYLDFPLGESSEKREAYLRQVLPKLVAEVLAERLEGINLSHGDLQTICEETVNQA LNDLPRLTHTPDDPQNGLQNGPETPVMHAVSESSDCAIRAAHEQSQQVESSIFGIDSI GLGNVFDPFPWGPFDDQSIESPASDFLSSNPGWHEGNPAFDSLGGNDALQQCTCATKL VSDSGYDSLPGEGPQSSLPPR PFICI_06374 MAEAAGLAVGTIALASLFQTCLDFLEYIEDARNTKGDQDRGETK LGLLKIRLRQWGDDLQVSHPGHEDGGLRKHWPEEGGTISKSLIGIAAILDEAANLNRK YESYNMKESPSSSPDLELDKGSGETQVLRRKYCKYLIIFKQRASWALKDKKKLHSLLT ELDFFITNLEKVTVKLLQTGSFEYYQSPTYESDSDMSRQNSHHTSYPPSMTRDYGSDM SSRSSKSSAHHNTPSQWPSSDQQRGNFQALVQHGQASGQSRMTSSQALVRLPGSTSSG HRNSQGAPSHNRTYQSGTDKDGNTYNHCVADIEAKQFNGNVDSDSRSGRHTYNENEAK GKAKQVNGNSSYQFAMDFFK PFICI_06375 MSPADTYASPPESLIDTGFADNRTSLHLPVDLRRHSRGRSRSVT SFGPEHYPEETQVTLDSLPDYEFQRRATGRWYGYGKVFEISGQHEDKTIANRCMVVVG RARDLPAPTAEQRRKGVEAAAMSNTHD PFICI_06376 MIDSDNLRTASLYINNQLLSRGLLRDGQSIDFADPEGGEGLQGT MGKIMGVVNDLILRRDRDAVHRESLSNTLLSLRADNQRHTTETTRLSEKLTETQRRLD GADAVERTLRLQVRSTESTVHKLKDEIAKTKSLVAQGRAACANEVRKRDRQIESLKKA VIDAGRVRGGSKSRDVVSITVVGEVGAEIDGGGMGRSSTDDEHYSLRMETNEFLTELA KSLSEENSTLLNLVHRTVESLRDMSGLQQADNDHMNIDDRGCYNAPQGHRNAEELASE LEGILEHLRTILTNPSFVPIEEVEIRETEIARLREGWERMEARWKDAVNLMDGWRRRM VSSGKPVDMEELQMGMRLSPVRITESRNSGPDTDKHYQELSCVQEEDEEDGSHFNSVE YRSPAESLHLVPAPSHEQEPDDDSESSIFQDDVDMDELEVEEPNVEVLQESTNSTTTS SPPLPIPPHLSPLKDSYSSANRGSQNSKRGSRRSRPGDATTVAEETSFAMATTTSQPP APPPHGPRPQKSSRSLRSVADSQELLKPSTTEMHESTLFGESYESPIRSNPSRKLFSG PAPKAPEFGAEQKSLSARDIPRSQQPTRASSKKQITETTVSHVEPPKPTSSTRPRKAA KRTASAPDATKKQASVTGKPASKSTGANSGADSVTSVSHDRPSSSARLPRVDNPPVQP SPITMASISAKLEASERQADAARVRAKLKAARTNRKPESTSTVQELPVLDETSTDPVK RDVPHPAAVRLVEPEEDELAKPDTNIQSRSPRSEKRKRDRRPSKAISRRRSTLSPWEL ESLIQGHVEGVPSPAR PFICI_06377 MDTYSMSFGSGFGKNTSRISQDLKASRFFSAIMGDPGQLTEAND LVSRLGKRRTKAREHKPRIAIVGAGLAGLRCAGILLERDEFEVTLIEGRDRIGGRVHQ IKLPGSDHVVDCGPNWIHGTEDNPILDIAASAQIPLSRWDENTYIYDEDGGLLAQSDS DIYSTMMWDIVQDAFAYSSKNSPNISVEASLWDFFCEQVKKRIPDTKPDFERKRNFIL QMAEMWGAFVGSPVYTQSLKFFWLEECIEGENLFCAGTYQKILQIIAQPALDNAKIMY STVVSKVKTTDDKGSKLTLTTTGGETLQFDEVVFTAPLGWLKKHPQAFSPALPKEMTQ SINSIGYGCLEKVYISFPQAYWLKPDSRGRVVTGFCQWLSPKYPSDTNPEKWNQEVVE LGSLPEGTGHPTLLFYTYGDQSRYITEQVAKLGSKEKQNTFLDDFFRPYYSRLPHYDA SSPDCQPLCFFATNWVHDELAGCGSYCNFQVGLEQGDKDIATMRQGLPERGLWFAGEH TAPYVALGTATGAYWSGESVGHRIKATYGFKNKEEA PFICI_06378 MTRLTRITAVLFSLATSVLSATLPVVTGTASTTNLPGVALIQQA KRAAVTDRPSPPLAARSMKAPPEFLTLHLVNSHTAAVSTAHVHDVDSPPAASGNVGAG TLARGASASFAVPTGYVGNMALSEAEYPITADDTLIEINFSIAKDYGNIAVADVDVSY VNGYSVPITCSCGGSVVTGCNKDLLKLNTCADLSPENACVNPLRALIPATAASPFFAP CQGAAWTFVNDGDADAWGSCQSGQISCCIGTACPANPDQRKRSCRKN PFICI_06379 MASIFRSFGSSNMSKRLLRYALSRLEFLDTDALDVDKLDLEWGR NNVLTFQDVGIKLEAYTTRLTQLSAQKLEHLLQLPTSFKLQKAKVLKLRVTIPSAIYS SPILVDVDGVQVKLRVAAEDSPRDEPIRGRGRKSKSRADEDELVPQAIDLAQSFLDTE PSKEKAELQAALDAESHDLAASIASTSEDGSDDDSHMGTGQPLSLPVFLADFLQGIVD RTQVSIRGVIFELDVEVPVEVHSTVPELVTLQLAVDDLNVEGVTTQLAGQDDAAEFRE GKRRISLNRIRASLISEANVFSTLARTPSVLSSMPSSMPSSMPSSVATGSPVMAARHP PFEEDDPLSHSIYEPLDESTDLSQSQYFPMMDSEDAFNIPYELNSSQDEDHAQEDARS APSTPRALAPQISPPFEAPHHQPAQSTVLAAPDRWSAFERDAHSEPTLVPQGDLTLGN YLSLDNGSASDGTASPPTEDLTQSHIFSHEEAESMYMSAFSHSGNAQPSSTMPGTWDD SETSSAEGTPEKKVENMPASITQGIAGPSFEPQTATYARGQSPTSGQASPLFTSREDL QTKNEQQSGVSGDTDGAENPGAAEGVVTPRGPTRLVKEIISLAAVSIYVPSIQNQPQT PTSAANTGSTSSRYGLDRSVAPNIPGAFSVYGTTSTMDTHSGPSMASSSTSHSLPQNS VPPKDDSIEVVLAPVDVRFDASISFLLTMVTSKIMALLKEHGSEKTSKPTVSKQAASP QPDIKILAQQVSIQFLEALVGIADTPERMLNPNSAAFGTDALLTASLQNIKVHVERTD KATQTSLDLEKFRFGYANDDILSFDQRLQMRASVRDAFPSAGSDISLKITQTAEATRC QVQTLPLHVKLDCRRLDETFSWFGGLSSFLNMGSSMTSNTSPVPQSPIRTVKKARGVR FDAPIKADDKSAAQDNKVNIRVGGFHLDLIGKECSVALDTSAVKVVTREEGVGIGITK IQLAGPFLRNSMGDPPINATVMNTRIEYLFSPTDNDLERLLELIIPSKNKFDENDDEI MVDTLLRQRRKGAVVRLNLDNVEVGVKNVQQLQCLPGLGEEVARLGTVAKYLPEDDKP GILTLAMIRNCNLSVRLDKRFGHIQTSFKDVEAGHITFPSLIAVALGAASIHRNETEE LLGSSLGMKNSGPVFTMRIIPDAMEPVIKLRLRNLNVEYRVPTIMDILDLAEDATPQD YEIQLAASVANLGEQAHTAITGRSPEAEFDKGKSNTGKPTKLDVVFRDCLIGLNPLNL HSKLILALTDARLKVSLSKSDQADAAFHFNKGSVLLTDNVEALGSPAHASRRRPSNSS SDQIIELCAQGYVDICFISAAKINILAGANEDKSKFVDVEVRDNLIVMGTCADSTQTL MALANALQPPTPPSKIPKYQTAVVPMQDLLASISADAFGNAEGNFDFDDDFGLAQELG GEDLEGVDLGDSSQSSPLDVHSQFYDESLEGEGHDLVDSVISGHTSSQDTNDGVLLTN FNENDKDDDSDLVVHDDYFGTQSVIHGYAPKWNSTANRYEQPKDTRSTRYPMTVRVRD VHFIWNLFDGYDWTHTRDVITKAVEEVEAKALERRGRSDRVHVTADMEAMIDEEETVI GDFLFNSIYIGVPANRDPRELTRNINEALNDNATETESIATTAMTATPSRMGSKRTKK RLKLERSKRHKITFELEGINVDIANFAEDSGETQTSIDVKIKDVNVFDHVPTSTWKKF ATYDQDAGEREMNTSMAHIELLKVKPLQELSATEFVLKATLLPLRLHVDQDALDFITR FFEFKDPDAPVQASEADKPFIQRAEVNSVPVKLDFKPKRVDYAGLRSGHTTEFMNFLI LDEARMVLRHTIVYGVSGFDRLGQMLNDIWMPEIKRNQLPGILAGLAPVRSLVNVGSG IRDLIEIPIKEYKKDGKIVRSIGKGAAAFAKTTGTEIVKLGAKVAVGTQYALQGAEGL LAKPGQQEGDWEDEEADNENTKQISLYAEQPSGIFQGLRGGYVSLKRDLNVARDAIIA IPGAVQQSQSAQDAAKAVLKHTPTIVFRPLIGSSRAIGQTLMGATNSLDPHNRRRIEE KYKRY PFICI_06380 MAMAKVDNEIKGRLALITGASGGIGAACARSLWAEGASLALTCN RNRQPVDDLVKELMASSGAEEKRKISVHTVDTGSVADIERLFAELREQHGPSSGHEGP DILVSNAGYGKRIPDILDIPIDEFDYTLNVNLRASFVLAKLSVPWMQKQGWGRLVFVS SIAAIGGGINGCHYAASKAGLTGMMKNLAAKQAQHGITVNDVAPAMIGDTGMIPDAKM LEGTAGDVRNIPVGRLGTPLECANVVIMLCKTGYLTGQSILLSGGLK PFICI_06381 MADGKKAVESDKVWTTLITNNAYLSGLLTLDFSLKQQKSKYPLV ALYTDTFPESGLAALKARGIPAQRIEYLLPTQGKDYSNDPRFYDCWSKLTPFSLAQYK RVVQLDSDMLVLRNMDELMELELDDPADVEKGVGRRVFAAGHACVCNPLKKPHYPKDW IPAHCAFTHQHGAPEEAQTQGIDPAVGPLGFMNGGLQVVNPGKGVYRQIVEYMEAHAL NMDFADQSVLSDLYRGRWVPLPYIYNALKTMRWPGVHDAIWRDDSVKNVHYILAPKPW DEMDEQGNFVGKDESHAWWVRVNNERLKAEAERGVPKDGF PFICI_06382 MDGPNEVMFQGFDSNIPVDYKQWNHLTEELEWLGHLGVKHIWIP PATKAASLTSDGYDAYDLLELHRLTEEASRRGIKIIFDAVLDHKAGADTTEPVKAIRA NPQGNPRLDIDWRPQEISAWTVFSFHGRRFWPGNSLRVKLYHNSMKWSKSCFTGVDVN AATNEKGIWRFSQKRWADDVDRRTGHDDDLQVHPSC PFICI_06383 MPVEPWFVPLAYAFILLGDNFGHPCVSWSHVYNKREMNEYPSPR NNASIVPRLMLARKFWAYGKTIVSYNDSGSRMSIIRTGRGTQLSDSPHALMVVLLNTH PTDIEFVQLDVGLWNVDTIWKDVTQQTAGLVEIINSNGSGVGTFGVMSRTVSVYVNCN DPNYVEVEQFWL PFICI_06384 MAADLKQKPAEASQSKNYKGFVAGVFSGIAKLSVGHPFDTIKVR LQTTDASRFAGPLQCVAQTIRHEGVRGLYKGASPPLVGWMFMDSVMLGSLTVYRRLVA ENFFADRVPTLPHADKTAADGGQPIAGTSDAVAHMPAHGHGIAGIMAGATVSFVAAPV EHVKARLQIQYNARGSGEPRLYSGPIDCLRRIYGAHGVRGVYKGLFATLMFRSFFFFW WGSYDLFSRALRDRTSLSAPAVNFWAGGLSAQVFWITSYPSDVVKQRIMTDPLGGRLG DGTPRFRSWADAARAVYRESGWRGYWRGFLPCFLRAFPANAAALVAFEGVMRHLP PFICI_06385 MAPVTTKKSGPKGKQAKTTKKFIVNAQQPASDKIFDTAAFEKFL QDKIKVDGRVGNLGDNIKIQQAGEGKIEIIAHNDLSGRYIKYLTKKFLKKMQLRDWLR VVSTSKGVYELKFFNVVNDEQDEDDE PFICI_06386 MAHKTTHNIKLAPFEDTPLEQIPQFASTLRSTFRSQKTKDVEYR LVQLRKLYWGLTDLTPKLLEALKQDLNKPEHDSQISEVGWAIQDTMFAIKNLKKWAQD ETNVDVPFHMKIIKPRIHKEPLGAVLIIGTYNFPVNLTVCPLIGAIAAGCPAVVKPSE GAPATAVVLQELIETYLDPAAYKVVNGGVPETTALLNEKWAKIFYTGGVNVAKIISKK AAETLTPVVLELGGKNPAFISKYADLTLAARRLLWGKVQNAGQICMSANYIHIDREVL DDFIRVLTAVHNEFFPNGAQASPDFARIINHQHFDRIKGMLDNTKGKIVLGGQTDRED LFIAPTMVLVDSINDSMVQEESFGPIWAILPYDSVDSAIDIVNEVDPTPLSLQTFGKF EENNKILNSVTSGGASFNDAYMHGAVPTLPFGGVGTSGQGAYRGKNSFDTFTHFRTVT ETPGWADKLMAVRYPPYVPAELAQYLWLNGSKPDFDRNGKQITGIGYYLWLVFGLGGP SAKGALFRWLLVLTGGYVYVKRLNLRRLLLGSN PFICI_06387 MANSEFKASRPSSVRLLDYLTCPIGEPGLRVFRNSRKGQLQQKQ QQHGLGQNDPYIVPKDLIQARQWRNFTYPVLRIALKDLLDFRPHDQELYSPAESSTGS ANGNALGIAPFLSRIDFPVTALLNRVSRALRATARQSQWSQDLHFELEYLPWYNPDPR QNVSAPDREWHFYMRPGQRHLVVGLITDAADEFPLASLGSDAHNYRVQLTLQRARAAC WAKGADYGFVLTPEGAALLRFRESGRLDNGPKVCFAVIPWARRGEDVNRVPLAMALWA VCALAEAEDRRMMREGEMPIPYPPPLNFWVLDEGAGAKTYRHAWLPQKTKGCPPGAVV QRRYAARARGPDAGITQKVEMHDQKKKKPTRRKRGKSVAVDRVFIVGINPEPWSCRLR PRKRRPNYRV PFICI_06388 MRMLSRIGLRSIPASRLVRPRSAYTTPIHNLRLCKPTAPQQAQQ QVRAFHYDPHAVVFKLPLKTRLKYMAYGALSLLALGGGIISWRLRGLYGQRDALQDDL KSALHELKAFSDDFVTGFEQARAEGDHRRLGRLTFDLLRHMNLNRATGRLLEGFVDFG ELPGLPYDNPRSGHELVPREDTTVLLEQDDDGRIGACFVGVNLELSDVYRGLADPKPE PESDKLLELFSRVGDQIRTWRRQDRLFEDEEGELDLVIIFHFRDWSWAFEYGDGHWNS LSGPGNILATSSTVSKAEEVLEAMAGENRGIFGRGSRP PFICI_06389 MPITILQPSLAREPAVHNHNSDSESDSEGGVDLDGDISMSRPTK RVRRTEAILTPGEVITEDPQWMRGHGTYTLPDPPQIVASVAGTLSKTNKLLSVRPLRA RYTPEIGDLVVGRIVEVQARRWRVDVGSAVLAQLPLSAINLPGGIQRRRTETDELQIR TFFSEGEFLKYGKLRNGVFMAVSGTGGGGGVVRARRHAFTIEVAGSDSGNEKMDVILG VNGYIWIARHIEGEGAAAETSGPGITRIEENVGLNVYSSQNDPISLDTMREIARVRGV ITALVEHGLRVDEEMVVKGYQEAVEMARTDGSNDNLWLGGENGKRLADALVGR PFICI_06390 MDEVTDDAFVNRDDPIPTIRFDGASPSSASVAASSVAGASHDSD HSEADSPVRRRRGGIRDRLSAFRDKASIQDKLVEKLLSQVLPDGGELPPEDGSKGFPG VSTEKPNFNLPTMSYNFRRFNSRIGVVFVFQAKAIRLLSWKHPTHTLSFLAIYTFVCL DPYLLPLLPLAILLFGIMVPSFIARHPAAPVTLTNIEAMGYSAQGPPLAPAKTVKPVK ELSRDFFKNMRDLQNCMEDFSQLHDGIVTLMVPRVNFSDEALSSALFVAVFAVCLFMS IASNILPWRFIFLVLGWAVTVMGHPYVQMQLEGAQKQHLASNQEMAQGTIQHWIDNDI ILDSAPETREVEIFELQRLSDPNGEWEPWVFSASPYDPLSAIRITGDRPTGTRFFEDV MPPQGWEWSEKKWALDLWSREWVEERIITGVEVETEGERWVYDIYYELEDKIGVTEDS KLTSPSKGKGKTKAPPPRPSWEEGDDYEGRRGEWRRRRWVRLVKRKNLGTGKEGT PFICI_06391 MLSTKPSEPPFPYPSPNAIPPRTFSTGYAVPHPPSKSALRPLPE SNWLSQGKHHRHTSSTTSAAAIRTQPLTAVVMSPSAPPPDPSKYATEDFNFTARKTWT DQKEQIIWGPYDYVTSQPGKDFRTLLIASFNSWLEVPEESIEVINKVVGMLHNASLLV DDVEDNSTLRRGLPVAHMIFGTAQTINSANYIYFAALQELQKLKNPKVVTIYMDELIN LHRGQGMDLFWRDTLTCPTEEDYLEMVGNKTGGLFRLGVKLMQAESKSLVDCVELVNL MGLIYQIRDDYMNLSSVEYSNNKGLCEDLTEGKFSFPVIHSIRSDPANLQLLNILKQK TTDEGVKRYAVKYMEGTGSFEYTLQVLDVLIQRARKTADELDNGMGKNKGIHKILDKM VVSPATA PFICI_06392 MENLLSVAFDHLSSYDGAKIRKGLRQVEGLLATICLSKRDKDEN GRADEQDGHSRPPQPKNLAEISDDPAFEQFFKLQEGFEWNVALRLVNTLDRLLAKGND GQNDLLILSALDLIQGVLLLHPPSKILFSREIYMNMLLDLLEPDFPPAVMGATLLTLV VSLIDTPQNTRTFERLDGLLTISSLFRQRSTSRDVKKKCIEFLYFYLMPEVPSIPSAQ ARDSVPALLQRSSSKLAGAFASSDGSRQRRDSDASQHTRTQEEKQQLLARHLNSVDDL VRDLQGNMLFGGVV PFICI_06393 MRLLLLLFSQILLALAEENEAVEMAAMEAPAALNNGFSPRLFEG AVAPVPFAKRQDLGVCPDGGHRCEDIGAPDSCCPSDLYCYYKADWEVGCCGFGKNCDP TCTGTLYRVNATITSTASITASITQSGTDTGAATLTETVTKRVTTFTSVGCTQRPCAI SEYQCPESMGHGCCNNNQVCGSSARCLGTVSTIATDVPTRGCSGTPSATECTDGGCCL KGQTCANSSGTPVCSGQADGPAGSNTTTVDTGLSQSARAGIGAGVAIGAALVIGAVTW FCIRRRRRAPTDGARTRTGGGGVTPGLDGATAAGGTMSEASVPVRNRVHRHGMVYEYL GPTAVPGPFTEEEGAPVHYGGETHRDRGVPEYPQEPGDISRPVEIGSSPEIIKDKDET LPGFGRSASLRSDHDNNPQATENNEIYELDAFPSPSPMSPEEFASAHSHPLGSPSDFI SPPGTLRNDYLG PFICI_06394 MTYPTLDQKLVTSVSEYVKQYMAKYDGSHDFNHIQRVSGLARHI YAQSPNREALDFQTIVLSALLHDVGDKKYLKPGEDASTLVQELLLSYGADEQLAQKVQ AICLGVSYSSEVKDPAKVQNLIKQYPELAVVQDADRLDAIGGVGIGRCFTFGGARTER AMDDTVKHFDEKLVRLQGMMKTDVGRQLAKERTERLILFQKWWDEETAFAAASPIPS PFICI_06395 MSSVQLSFSLRVSSGVKSVHLLGSWDNYVGQLPLAKDKSSSKSG SWKGTFKFSGTLEAGQRYWYYYIIDGYHVSHNPSEASTVEPTTGRALNILDVPKDKHS KSSSKSHSSSKSSSKHSSSKDKDSKHRSSKRSSLAVDIPKGRPLSTSQIKAPKPISPH ATRHILDADYCDEDTIEELTARFGSAGIDEEEFITDFSSSPVSSSGSSLSYRSDSSSP NSSLSGYSTPSSDCSNCTCERYGITRKGDRVKIDCGGARCGYSDSESSCSSGDESEEE YVSQGSRRNGMVVRA PFICI_06396 MFHRTAARDKNGGQDDVELGPKIQSGIFDFADDSWIRTHHRDNL IHKLEHPKGRGHSYPYDRSSLQGFGAFRVAEDLRRYVQALQDYDYMGRFKDQTYDPFI ITGEHYIERCMFDIAMKYRERAEDPLKQLKSFEEWENASVRPTPVGGTRTENRRNTRW RAFRDRIVLAGLGGIFLVGPMWLMVLHNTLYTVLVSTTVFIFVFGFLMALLLTKPMDV MASTAAYAAVLVVFVGLNVDSNSITTSV PFICI_06397 MKLLLDATIDKNRRLCIAVSHKGVAANWYNYVLQLAELRQAFPP EHEWEIALEDNQIFINLPQCIKTVELPDYDVELTILDDQQAKMWEKLMLLWNPMSKDR SFTLNRSLTLEGLEKQMGSFPGKKKNGVRIRLHPAWEPTSSKIKAEKAIPFLRRRGGG VGAFVAKILNAAQKLMQATIN PFICI_06398 MKILHVQLLARKNGAQYRQERAKNQSSVITLKDTHASFEDSDTM KTIAAMTMFFLAVTFATLFAAPMLDWTDERVGSDHF PFICI_06399 MSSEIPIIDSHIHLYPESELETLAWCTPDSPLAKQHSLEEYKAA IASSPAPVKGFIFLETDRKVDLEAGAKDGSGWKYPLMEVEWVKRIALGQPRDGEGHSK DDAGLCAAYIPWAPLPSGAQAMEKYIDLVQEAAGDSWSKVRGFRYLLQDKPDKTCLTD DFIESLKLLGRKGLVFDVGVNQHDRGRIQLEETVEMIDRAHEGVPEDEKVKFILNHMC KPDLTVYNILTDTSYIAWRTAMFTLGKSPKTYMKLSGGFPEMTESMRQRSPEEIFEAL SPWLSVVLAAFGPSRIMFASDWPVCTVGVGSDAWSKWQKLVERLCWMASLEDEDKKMI WGGTAVEAYGLKL PFICI_06400 MATTATSPPEPLIQGIAHVNLSIPPGTLDAAKAFYGETLGLTPR AGPAAQVHELAWFDVGGAATGQQIHISIQKHDRDTTEPRSSRHPCFRLGSPEALVALQ RRILAHAERGGPDAPLECDAVGESSGETTADYPTRFFARDYSGNRLEFTT PFICI_06401 MGLPGFRKRRAAATSESGAQEKTPADDQLQLKQRTKTRKGAIIT SSICYMLAVIFLILVEIGSTKGSKVLGDIFFFKLDLSDILASSASGLSGLTLTNSIAR TLGLHDFYQVGLWNFCEGYEDEGITSCSTPTSLYWFNPVEILQSELLAGASIALPSEV NTVLTVLRIASQVMFGFFLAGLLLDFVLMLLAPIVIYSRWWSFPFAIFAFIAALMVIA AAGVATGMALVFEYALTSQTDLNIKVDIGTKMWAFMWLGAGFTFLAFIIHAGLGCCCT SRRDLRTGRKGGRNLATVPGTGSKKSTTSD PFICI_06402 MAYRLLAPLLLCSAALAQDTSSVSDSRTTETQSATSTEETPTGT YLTYTSQESNLGTLTDDASTTMSSNVTQSTVSTTDSRTFLTGSSATASGNFSTTATTV ATPTVTNTRPCNNYAEFCSRNYGNITEVACHNSPFITQNNLAANQKYDVTYQLNDGVR FLQAQIQWPTNGTEPHFCHTSCDILDAGPITEWLTTVKDWVVSHPYDVVTILLGNGNY SVPSLYYPYIESTGILDYVYTPPLVPMTLNDWPTLSEMILSGKRVVMFLDYMANQTEY PWLLDEFSQMWETPFDPTDTSFPCTVERPPDLADADAQNRLYMINHNLNLELSLLGVS MLVPARAELNVTNAVNGTGSLGLSGDNCVNMWGRPPKFLNVDYYNTGSYPGSVFEVAA NLNNVTYSRSCCGTTSAGSKLGLGILSYPVVVLVATISAALLSI PFICI_06403 MTSSVFYKFKSQKEPSRVEFDGTGISVFELKRDIIKLSGLGDGT DFDFAIYAEDGTEEYEDDLAIIPRSTTVIARRLPPVKPGAGRAARYVSGKMPHSAKNS SRREATAKTAKPSTQGLAQMSNALTEEEKLAAMFQVQSDQWTAQQEEMANQAPVYKPG AKKPVNVPDHEPPKGYVCYRCGQIGHWIQVCPTNDNPEFDNRPRVKRTTGIPRSFLKT VDKATLQQGDGEDGVKPPAGVMVNADGDYVIAEPDKASWEQFQAKSKTSASAQKAASE GDRELEEKGLLCTLDKKLFIDPVTTPCCEKTFCNECITNALIESDFVCPACQTEGVLI DDLKPNDEAATKIQEHLKEKEQARKERSKSPVTAQSPAAEEQVNGETLKPEETDPSKN PESETEKTTDGKKSPTPEPVSANSPTAVKPSTSPSATPQPATNGEGAKKPDELVSKKR PAEEPLENEPKIPKGPKAMRQQEEQQRQQQNMMAQMMGMGNMPMNNMMFPNFPNMGMP NMPMGMGMPNMPMMPMGMMNPMMGMGGFNQNGGFPNMNGGFNNFNGGGMNNMNGMGGN NMNRGGFQQGGGFNRNNFNQPNGDNDAYFRQPVNPNRHQNRRMRNRPSDYREL PFICI_06404 MSTAVLDADSLEPTLQSILDQKTLRWIFVGGKGGVGKTTTSCSL AIQLAKVRRSVLLISTDPAHNLSDAFNQKFGKEARLIDGFDNLSAMEIDPNGSIQDLM AGQGSDTGNDALNDLGGGMGGMMQDLAFAIPGIDEAMSFAEVLKQVKSLSYETIIFDT APTGHTLRFLQFPSVLEKALAKVSQLSSQYGPLLNGFLGANGSLPNGQNLNEMMEKLE GLRQTISEVNTQFKDENLTTFVCVCIAEFLSLYETERMIQELASYGIDTHSIVVNQLL FPKAGSGCDQCNARRKMQKKYLDQIEELYDEFNVVRMPLLVEEVRGKDKLEKFSDMLV HPYVPPE PFICI_06405 MVHFTSVALAMASAIGLAAANLSGDMTYYAPGLGSCGGTNSESD AIVALSYLQYNGANPCGKTIKITLNGKTTTAKVVDKCMGCAEDAIDVSPSVFEDLADL SVGRTVVDWEFTD PFICI_06406 MTPSPKVEDVDDAAAAGNTSDASVGQDLDDPQDEQGRFLDFVIS SLDKGSPTSSTASEADPDAPAGRNPPRTGVGHLLRHVRVSLLWLQEVGDALTESDVAG TVCQWLGRLDDPGEVTNGESMALDSLREVLRQVAARRSRSCVRCGEAFEEEYNAWNCK KFLDNHYGKLGLPSPATFRSLSWYHV PFICI_06407 MATKDPITCHILDTTAGRPAKGVRVKLEGGHAPLKIFESQTDDD GRIKSWLPYSSEHEAGDVPIYTLEEVLGEVENTSTWTLRFYTEPYFGEGNTFFPEVVV TFKVQKGQHYHVPLLLSPYSYSTYRGS PFICI_06408 MASVPKTMGGILIEQTGGSEVLQWKDDIAVPELKEGQLLVKNEW VGVNYIDTYFRSGLYKAPLPFVTGGEAGGVVAAVHDSVSGLKVGDKVGYLSQTRGAYA QYTAVAEEKVIILPEGVTTKTAAASLLQGLTALTLIKEAHYVKPTDWVFVTAAAGGVG TILCEMISSIGAKIIGTAGTPEKMEQAKKNGANYVISSRESPEKIIEEVKRITGGHGA DAVFDGIGKATFDMDLEIAARKATVCTYGNASGAVPPVDVMRLAGSKNLKLMRPTLFA YLSDAEDFRKYSSELVDMLKRGIVKLENTSDYKLEDAKAAHDDLESRKTTGKLLLQVP Q PFICI_06409 MASNTGVMIDPEIFQYLQAHAEEQTEVNDKLNQILTGLNRHIST AQGHLSRIHATPTSKLGPLLAEVEKEGIKPAVESIGELSKFASSYPYYKYNQKWTRSV QNLIYSMLLTTWLGGLGTESRPGELGRLLRIEEVGEILGVPVNLEDRDAFHITIEEYI LSLTDLTDELSRLAMNSVTMGDISLTVRISAFIKDLFAGFQLLNLKNDVVRRKIDAVK YHVKKVEDVIYDLSLRNLIPKAEA PFICI_06410 MSTPSSTTGASEASKPAGGSEVTTKPVPILPSSQAQIVRHAHPV LLAAFFYARFNALVQDPITTMWSSAPVVLAMQVAYTILCLPPAGAQAMKPAKKLRPGE KKKAAADGAGPNIAVTTTVAILLTIFSAVPLHVLFVLFGAPFLTHLPNTFLCSLHLSL LGLYPLFYSHGVATNDWLEILGVRAPFDEASGGLVGACFGAWIGAVPIPLDWDREWQK WPVTILVGVYAGYVLGKLVGGTVAYGKRFA PFICI_06411 MENVEQRIRQAVKDETILGAVLVAKDKSGKLDYHRAFGKRQVKP EEKPMELDTVLAIASMTKLMTTIAALQLVERGAVTLDEDVGRHLNVLARQEVVRGFQP DGTPIAEKRRNPITLRQLLTHSSGVGYDFVHPEINMYKKHHKLPMGKTINERFGAPLL YQPGEGWSYGGSMDWVGRLVEVLSGLSLEDYMKKYIWDPLGIKDATFWPATRPQEYRD RQSAMAIRDSKTGKAVQSRKPIDLGSGLTEAAGGQGAFITMDDYMKVMHSLLMDDERL LRKDTTEIMFKPQLSPSAKAALLESGWAVGVGNFPETNEYDWGLGGILIDGDKHPYRK RNALTWSGAPNLVWFIDRTAGVCGVFGCQLLPTKDEKVEALIQIFEEGVYNYSTNRIK L PFICI_06412 MADHGAAFIRVLTRSSRERKIEDATDDDSGFSIEDMEPNVAVAS PARHNGLNTNLREIHNVEDAFRDLVRRALLPEPAQDPLPSLWVECKSIPP PFICI_06413 MVVEAPIITFTPGLENIINTGDEFIDLLNLTLIDQVIPWYLGDH SIIYSYTANIARPGNVPVQLHTDQLSITLLTRDMAYGMNIMFYSEDITDENGATRVYP VSHLGNVAPSNIFTVEGPHPVEGPAGTTLVFESRLWHATGANGLSTGERPVILLFFMR SFVRPQENAYLTLRKDVEAKLPPRQKVFLGFRTYRARHWRARRQHARGILCYS PFICI_06414 MGVDSKKAPPLPAPTETESLGTPTELATDVSNVHEEEDKSHISI PDDGTPVTIRTHRANRSQTSLLIEYFEGGKSPVSPEGVTTTSRKPSVRVRLTPSKGGR GKNDHIQITEMSRSARKSSGSKRAHQDPLVPSRSELDITDPEDARSLHSYASATEESN VSRHPIEVDITPGAYRRRERKPASPLIPAADSKVSSYMPPNMSDISAIPTDSFLDGSG GNTTLSYSPKKRRSKSPSGAEALAAGALGAVTAAAVADKVNRKSRSSSHDRRIIEKAV EKATRPDKKHRSKSRTSSISEMDVEAKSSSKRRSSKGGHKESLVSGADSSVLSSALAP SHRSYDSMSSSKVSINNPKLLETVEDAIRRLILPELESLKRERSQRETRKPKDRESLS SLTSVSREELSVTSKRKSGATEQSVKSRGREARNDLSPQSSVEHVSVLEDEENTPRRT KDHFENAAIIGAAAAAGLAATQRDRSRVDEKRQRRRRRARTPEAGRAAEDYDEMEHEV IPPMPLSSEINPSEMTRTSILSAETDRPHSATEELTPVHEVTREVVSDDSIHNTPTPT RSEHHTLQATLGTLHANVSHGDLRDLPRKGAGDYAEYDEDSELSSRGPSYRDEYDDYE EDGLSEPGMYENDFYSQQDVPPPLRYVPYQQERRGLSPIQSVSGYTEGESDIYRRRDS RLTDSELSSPHKSPRPGSVPSNMRSREFGDDGSSVQSSGNYRDTRYTDDSELDRVASG QAVQGVGANPRFVHQPFGAESNVASLVESSMADQSVLSAGQDYRDSQLSYDSRLEERL SRGSSPAKNSVSSRVEEFEKRNSPALSRQSRSDISQDFDEYEIDQYGRKYLKTRDHQS PTTSEQAITNAALSRAAEEAKRKAQQTVTDEDSQWQGEGVMRNKSFKERARADGFRAN ATPTHSMDRLSDAYSQPELGASGLPDDKYPMPEIGYGYRDESREHSVIEGELGGPGAR DWHEGATPTQEKTFDFERAETPKPSHKDSNHGMGVTEAAAAGALAAAAGMAATHSRQP SQDQDDEWHRTSVDRKRDTLVTNPYEGTSPIANLAGLEQLTGAGFNGDLYRAGFNTGS PGLPHGDEGYETAQPNEAQVKGKAVEFQNQPGMGGNTDDPFYIGMPKHQRQLSGMSQG MASPLYDPASGTGIDRIQSKDIIALMEHLMVRDAQRSARDTELLVTLVRSAAEMRSSF NDIKRLLADTEDQIIGEVKDNTEKTVQRHLGGPRPFPGSAPRSLQGGSQAGTLDETAK KQNIFRRALKGLSSKGTNDLGRIEDMLMQLLGEVDVLKHQTAGQALVSGMGTNNQSLE NLAEVQYEQDKGYEPEGNAGTSTASHASQSGHLSIPQSRGPSAKGGYERKFSDHRIST VPEGDENEFDARSLTPGQEYSDPNLFMSPAIPPARVESVPLATPPQAPAQISAHPASY ENTPQTDAGKKHKSKGSSGFFPKISRWSETTTSSVGKIFRNSRKPDPEEFLQHPPSRS GSDMGDYISYPHDQYDDHLHTTYSQQDFSSDIPDEVISPTGYRTEAPPATYATPEDPK YKAHRNSINLQHPQPRPGQTERFRTALETSAQGYGGIQSPMSPRSDNWASSAVSLNRL PEPNSDRYSDQSPANEYGWTSPSQQGPPRPPKEPLDDVSGTPPRNERLSKLQRNSPLP YHSVDSGYGGTATHAGTVGTASYHSGSPKPENRNLSGALGAPGRRPSGPRAMTPSRGM NMSRGSEDGESIRSGSYARDERRRKRDTFGSMASPVSQESDTF PFICI_06415 MRDLRKKILLESGKTMSRKARSRPDSNFGSTTHSPNSSPTGSRH GSRAPSRYASEDEVSDDDPSDSLTNSVIASEDGDETISDWTDKLKDCINEILDRKRSS TQGRERYFAAYTHLARHHFADTMIEHQMHELVPAFLRSIRNGGTTEETVGALKALQMT TITTQSDSIYDQAFSILKTATEGSDEESVKVEALYTMAVATIFGGGAEVAAQELMDFA VEIVESDGNSVDAGDNGPVVTAALNIWGFLASHIDELEQQSEEALEAFTEQLDSSDAD VQIAAGSNIALIFEALRAYNEQAEEDHDSKQEQLRVEAKQRGERYVPEPYNPFDLQYN QHQLVQKMTELAGQSSKTISRKDRRQLHATFNSILKSLELGKGPGYSEAGRIARESDR GGERVYAAEKVFTEFGYRNTVKGNDGRTLTIDSWSLSIRVDFLKRILGGGFTTHWSHN PAVAETFDTA PFICI_06416 MPIGRASKQESKGLAADVGNAWFESPVMSREHALLTADFDNRSI MLEDTRSLHGTFGRNDQGREVALEPFVKYPVQAGDIYSFGADVYRGRQTFPPCVVQAI IRWPEIVKTAANNQRTTPSNTFSVPEYDEDLWSDVDDLGDDDEKDKQPVTVIDLCKNS PPLQSQPNEPSSSRTMRQLHSAVIDLTSEPGTPNGSDCSHRPSSQVAFSSSLPTVVTD DLDDKMDLNDQDMTYEFGHLPEAPSDSEEGDSDVDDLGESSDDDMGPVMEPMSAFDDR SDMESASEDSEDSEDSECSTSHECDEDDCDGDGGYDGYHDGKSFVCFIPRISCILIRL RADIRWPSRHDDSFGSNSQVTGDSTDCSVFQEDEDSSPDQTCSCLDGMKHMTSHSLLR CLPPSSFIPPEYTPQPPCQLNQQPGQPSLSTDTGSTSAPDCQTLGDRAESHDLFAAGE DNRASVPNCTFSTVVVSTAGFQETEKPTAQSSMAMTDSRKEMEAAPEYPSEKISESAA TTMPDIRLEATHDTRQPPLISSVWTSAGEAFLKDAHEYSLPNSPDRTRLQSPELDMTS AAKFVESKSKKVTGDDDQGRSRLTVKFLLAQEPVSSSEPLSNETDNESRPNKRSWDVF DEPEEDLSQASFVPGLIPASSPPNQQPEKGAIDETKKNALTVESVARGSPAVDIETTN SDAKISTARDSNPPQIVFTGAIQEPIARPAKRQRFSKLAKYASVSVASGFTGAALLFA GLAATAPQII PFICI_06417 MAGQNTYLTYKRETAELLYWVIQASNSIIQKTGAQDEDGLSLLN TTGKTTVHGLVSMVSKLIAEHIGEQTVPPIIFRLFQSVIEAREATHEFFLQVATASSD PEIDKSNDSHKHFISALKEAWKALSGVSEASKAKADAGSNPATPPERVEERDPNPKTV GDDNNVIHLANKFASLYLGSVDEEDDDNEEGAGNGASEGPSAAASVRKSQKKSNGKGK KKIRGRKSKHKKNQMKPAVPESTLESIPIESYRIIEDNEGIMTDYLIATYSLTFEWMS LRSFLQKTWKEVAYEGLNSAVAGTLSNVAISMLKRSELAMHVDFPDHDSYHTVVNTIT RGDMSSTQGTIKVQKFSFDERAPAKVEGVTLDIKEQLMIHAYNDLLDFLTDFQENRNG RPTKSMLAKIDKWDPSFDLQRATQKERLKWRRSYTINWLYDLVNLFSTVVFMTYLIKG QQPALEKIDWSTDASWSRHRRLLGLTEFASEVTSLAMHKKGTDVRRKIQPHTVFQLQC IVDSFMVSRGFATDETQGYVLSSPAHQFSPRRDVSLFLGRSPGFFYSIEALVHLFKEI GVANSVQSSHGDKTYKTCMQHLSKTAYEVGMWLGRSHKMIGFDKKVQASRFSSTNADG LWEYSPFICGVGLMESLEIAYLDGMMVFDSTPEPLLLVHLYNMLVQRGYITEPCGMYV VLQSFFPDTFFVDGKVPTSRFSDALTARYIANSQSCTRNRQAMSVSKPLFNDLYRVTD IDQNVFFKTPSTLVLYRKAAWNYERIPDSFVNPFSLLGALRLGKTNPILDPETGDLRM ADTELTRKIRAYYDEEKLLYICWLARSMILGVREDSAKMAAEMAILGDRIPSNLPHLR QNLGTGDRVGSKAQDMQLDMDGVHVLEILKQDICADICGDGLSGVKLLSGVNFFQLAY FMHDDFKEIESRLDKTRNPSYNLVYEAGVQNLEYKRGRLVKLALSGGADEDCLRIMAD HFQNKPRDYSTYWD PFICI_06418 MSLLSPHYVLQPVTQNDMIVASLAWGFNIGFGWLTTWTAAKQTT RAWRRSGRHMFRNAYVWMIWLELLVCLMFSIICWLYLRGVIPPCFGFYFGILTLWALQ VQFLLQIIINRCAILVHDPAVVWRLKVGVAILITAVNVSVYLIWIPARLQISERYIWI NDRWDRCEKVIYLLVDAALNIYFITIVSKNLVHNGLDKYKRLARFNLFIIGFSLSMDV LIIGMMSLQNTFVYMQFHPLAYTVKLNIEMSMADLIVKVARAKNRNNISHPSMSLEEG GGYGHSSTTWRSKMLSSVPSKRGKWYGHNYTTSEATPTAHDNMEMSNIPANNTTTTTT TTNASDENRDAAARDNKSIYTTREVHVEFENAPQRPGHCWPSHYGGVPEENDDDEQPL QRQDVHLRSSRL PFICI_06419 MVDHHPVQTSAVFLCGPAAPQRTLVPPPSPPSPLTPSATGPATP IEEFCLDDTLSGSFVEEDPRVKERISGAIHVLNTEATSLRNLTTLYESDPIIGAGFNS AIEAITRHRGRKGKVVVIGVGKSGHISKKLVASFNSLGVKAVYVHPTEALHGDLGEIG KNDTVLFITFSGKTPELLLLLPHIDPALPTIVLTSHTRPETCELIKQRPGMILLSAPI HESETASFGVSAPTTSTTMAIAVGDALAIVASKELHASVSATFSRNHPGGAIGATFQK PSKIADLATPLCEIDSISCRSLLEVRGADVMKAAYDSTTGWVQIGDLIASPSRIRRLD SSDFLQQLSDLPGLGASREEWITMSADTRISQAKEWVSDMRSSPEEECDDHSILAVIE GDNVVGVLEAGQLLSWQE PFICI_06420 MTRMVVYGAALSVFIVATILTIVSILTTHWLDYEVHNVNTDTTF RHTLGLHHSCTSASDPACRPFPDDDDCADDKFFCSMWRSSGFLLSFATIVELATLVSF IVVMAGGKFKREDGWKLVGGLLLVDAAIEFAGMGLVAYLFNNDQQFTSGDWSLGWSWI LCTVSASVSVLIAALLALSAWLLPSEDDYEPLGDGVNDI PFICI_06421 MSSDARVPAWKRLGLKLKAGADTQADSAAPSQKSIASPDTTPSG SAKRKSSGPSAQDPYAKKPRREDTNNATTAKTKPKTPKSVSFAAETEELAPPKPATNG QKVPKRQKQKSEKPITETPKGTQPNTAVKPLVKKQNAVANLQSAIEYLRQWHTARDSW KFNKNHQTRLLEYVFSDETTIPAVDINVFYEYIRPLKGFVRKRLRQTAAEVKSKDMEK GSEAFSASGKEAAARKQQEYEGVIAGYLEQERTPGKRRFEEMDYVLRTADMEMQRRVV KRMRAEMILDELPESDDSESSTGTATLTETETETENTTGEGSAEGQAANSEGDKRIKL NDGSQRRLRRNKVRTAEVEDDSSSSSEDDSDSESDTTSSGSESSSDDESDSENAMDVD PARNEEETSSSSSSSSSESDSDSDDDSSEEEA PFICI_06422 MSGSAGFDRHITIFSDQGRLYQVEYAFKAITAANIMAIGVRGKD CAVVLSQKKVPDKLIDPSSVSHIFQLSPSVGCVMTGSIADARAFAQRAQGEAAEFKYK YGYEMPCDVLAKRLANISQVYTQRAYMRPYGVATTLISLDAEFGPQLFKCDPAGYYVG YKGTAAGPKQQEALNHLEKKLKNKDHADGTWEDVVELAITTLSTVLSMDFKKGEIEIG LVGGPRADGKPGPSPGFRTLTEDEIDERLQAIADKD PFICI_06423 MDGSSPASTISPTPQSPSLTTAAVNEYRTARAAEILSDFRTLQY YIAAVPSEPPNVEDYYTEGWAALRQCSLDGQHILNCAADTSVPRSNGGEDEQAKAELQ QVTLDAFARRHEAQKIYLRQAAAQRWIEYRVQFLQDGRPSSTNRRQLRACDEQLRNEL AQITDETIYQELRNSDANMGRWTAEDPSLRAVQRWVRSRPQTT PFICI_06424 MASCKVCDEPLVLEVEDEEVGGVQTFPDDLELPCGCRFHWQCLM DQASEVAMSLNCPACHSQLATNTAGPSVTNPFLHVSAGARILTRYHNEGGLQEDLDIL PEITEEAYLEANPEARPARAFHVMCTEGDLDGVVELLRAVDRDQNAAGAGGSSSSAAE DNEPSLNPAQLMRYQDPLNNSKTGLHLAVENGQEEIVWLLLWLASPLSAEVFPPAAVN AAHAMGLQRPATTPADDIRLLQDNGGRTAESVAAGMDGIWNLIVEAGALRPGV PFICI_06425 MQLASLTSSISSSEERKPYLWRMQHPEARKYQLFPKEKQLPSVV SGKQLDPEQAFAVAMAQSGEKSDKLEKEKSSLRLRIKEHHLNRRRKVSVPELGPMTTV HEVPMDSPTIPGRPPLHERSISSPVNSWRRHKLADSLPHLHDTTRESNESTRSNMAEL QMTGVSASIQPMSPKSLAPLVIPKETGPLPRLAHQLSLSRLRSGNNSNASDTCPRSAK TEDSPRTRTPFTPFTPASVYMTTPKSAVTASTSPTPISAPAESRASPRPWEKAANITP VGTPQPNNDSMSTPRAEAEIPRSATAMSYRTEADASLRATAAMSHRRNQSDTGSIMER GRPRKRTDGSSVGVPLRRTASKASKSAERRAFETLPEGWKPTEASKMIESEELANLQK QAYGQAMRFEVLRKEHVESLSKELRHLDDRTEYLRRTYTSLRAGRRNLHSRICQYLRS PRVAKFSYESMLKQEEALAELDASIDDWVSKLEHAENRRTRVRQKLLEHVAAAATLAS NPVDLAGASESLHQAMGVRIPNASIEATTPPRSPTKVEHQAYAPSPSSSPQRVVARVP SVIPEVPAESITAGLCLDDSASEHQSALARMESIRIYADSDVYALLADVETEFTKLSG VGRHTPEPIKVTDISDEKRKEIHRAQSHEALNGSGLVINSAQKTTKAPPMSPPAPTPP LKDDSANEGFLLTSAVFQPPAVSVS PFICI_06426 MLFKSLHITLRSPSFTQLTRASSAASTAASSASAPALAPAHHQQ RRSFSSTHHHLGNMAAAVRDPNTLSNYNHWLTRHTTVNFHINFEKQRLEGSVQLDLES LTDNESEEIILDTNRLHVASVKLNSSDSEWKLKDRVEPFGSPLSVAVPNGRAKGQFVT LDIDVATTADCSGLQWMTPEQTGNKKHPYVYSQCQAILARSILPCQDTPDVKSTFTFN ITSALPVVASGVPDSSRATGEGETLYKFSQKVPIPSYLFALASGDLETARIGKNSVVA AGPEAIKGAQWELEDDMDKFLDIAQKLVFDYQWGEYNVLVLPPSFAYGGMENPIFTFA TPTIISGDRQNVDVIAHELSHSWSGNLVTSCSWEHFWLNEGWTTYLERRIGAALHGAP QFDFSAIIGWKSLEDTVKLLGPEHEFTKLIISHKGVDPEDAFSTVPYEKGFHFLWYLD KLVGREHFDKFIPHYFKTWARKSLDSFEFKQTFLDFFNAYDNEEIKEKIAQIPWEERF YQPGLPPKPEFDTSYVDSCVALAEKWKQDDFKPDIKDIEGFNANQVLVFLQTVQGFTP TISVEKSRLIGSTYQISVSKNVELKSAYYGIALRAGDRSEFPGIDELLGSVGRMKFVR PLYRGLVALDRDLAVKTFEKNKNFYPSTTKGQLAKDLGLK PFICI_06427 MKVTSAVAAFAAGAMAAPSGDVATPAKKVANRAACSSAVTLDAS TNVWKNYKLHANTYYRDEVNKAVDAITDSSLKASAAKVADVGSFLWIDTIANIAKMAP AIADTPCSDILGLVIYDLPGRDCAAKASNGELKYSEISRYKSEYIDPIVTIIKANPNT AFALLIEPDSLPNLVTNSDLQTCKDSKSAYEEGVAYALKNLNLPNVVMYIDAGHGGWL GWDANLKPGAEELAKVYKNAGSPKQVRGFATNIAGWNSWDQSPGEFADASDAKYNSCQ NEKTYVSKFSAALKTAGMPNYAIMDTGRNAVTGLRKEWGDWCNVNGAGFGVRPTADTG DTQTDAFVWGKPGGESDGTSDSSATRYDSFCGKDDAYKPAPEAGTWNQAYFEMLLKNA KPAF PFICI_06428 MRWLPVLLSLALPFGSFAAKKSASSVDRFEEFHTKAVAAATPIK LDDKAYKKLTTAPRDYTAAVLLTAMDNRFGCQLCREFQPEWELLGKSWTKGDKKGESR LIFGTLDFADGRDTFVSLGLQTAPVLLLFQPTTGPHAVSTVEPLRYDFTNGPQVAEQV HSWIARQMPDRPQPAVKRPINYILWITSTVSLLGAVAVGFKVWPYVLPVVQNRNVWAT LTIIMILACTGGHMFNQIRRVPYVSGDGKGNINYFTGGFQNQLGIETQIVGFIYGALS FMVIALILRAPRQADKNFQQGLVIALSAGVFLLYGGLLAIFRIKNGGYPFALPPF PFICI_06429 MSKARKATGRDENTDPETIEQRTEQLHELEMKHQQGASKSDLLI KDEDVRRLKLRILMLRDENTTLRDQIIQSNDENAELSTQCEDLGTQLEAKIQVVRSQE KQLRKQEREFSNLKTELQAMNNANQNSTDALAEKLALSRELAVLKPELEHLRSQLAHQ QATLAEKLALERQVSTLEVELANEKKATKRAMKKRESTDRVEDDLRKQLREAEKELAA EKAQRQRLEDQLAQEKQTHQLALEDQDSTREVQSDLRKKLQDAQKQLRESQEETEKLA EELSTQKRQAHKTQTKEQNASTDVADELRSKLDEVEKKLSASQQEVKKIRESARESVD EANRRSDAFEKKFEKLKTKHRELQDQLKQSQSELRKAQKGHTSVAEEINMKSVGRPTF KRRKGDDLGKPDFSQITIATPSADDQPRKPLKKKVVEPSLLGEKSTFSITPFLNRGKE SGGDDAQEDDDDAADQSYIPLAKRDEVSPPVVPIIAEVEADAEPSEPVPSMPQEEEAE KPKLQPKARGRPKKILGEAPSVKKNSQPLPKTAMKKAPKAAPALEKVVEEGQENDQEP AEKTQSVKFDVASPQDISTSSANTSNVPKKEEPKKKKRKVLGSTKTLFDDEEEAVVEA APKAAASKAPTAGGAKKRAPLNGVRNAFAGASFSPLKKDKRGVGASFLA PFICI_06430 MKGLPRTALVLDGALLRLRAPTCCLSLARPICCAPVASCTGSTR YSSSNSRWKQRQGNDFFAREARVQGLKSRAAFKLLEMDSRYKIFKKGQMVVDLGYAPG SWSQVAQERTKPSGQIVGIDLIPAQPPKGVSTIQGNFLNPAVQNLVKEYLREFSRKPP QYRKSAEDEEGTILDAAEFESLIKGKPSYIDAERADTAEHAPFDDGGRLVDVVLSDMS EPWPQTSGFSSNTLSNPYRRMMNTSGMSFRDHAGSMDLCLAALQFASDTLRSGGHFVC KFYQGSEDKDLELKLKKMFRRVAREKPESSRNESREAFFVALGRKSDVKLEEITK PFICI_06431 MAHEDIEKPESQKTWNSSTDTFHEELEDVCLDEDVQKPVPLPPG TRPEVLSNIVHECFFVALIAFGAASSVFLQRSMIVVAADINKSLNMSPAETAWVSGAS GLTTGAFLIPFGHLADVCPVLSRKHLLILSLTAFSLIVAFTSFANSGIVVDIMSGLAG LACAATIPIAVGILSLVYPEPSRRKNIVFSSFLMGNPAAIIVGGLGTGGVASSFSWRA TFIFLGILYALVTILSWWIVPNVVESRSNPKLRRAQRCDGASPFVLVSKKIPNIGGAF QRFDWTGLFLLVTGVLMFTVALTIGPEGPQPWKTPTVILLLTLGLLLLGSFIMWESSA KTPMIPPAI PFICI_06432 MAYYPTVFWITMFLQKVQGLEPFDVAVRLLPQALMGIFFSPLVG LIMDRTSGTVLLIVAASCSVLSNLLLVFLRQETNYFALIFPSLLLSTIGMDWTMNVGS LYTLSVLPLEHHSIGASLLQTTLRLGLPLGLGVTTAVWTSFDGYYDPMLSYSKTFITT TAFAGFSLVLAPFIRIGKQGFSHPKSVKENQSDNYRPSKRWSYVETISSTSSNSSNSS SPTSRTPKPELPPIRTSSFSSELSIEKNRNSSRETPVSEAPKSKIVWVVCEQCNASRR VTDPVGDPTKYFNNIYGTLEKPNHDMIVNGRRRFPLAAKNQRTNSNSTATTGG PFICI_06433 MLTGPGLLVVMSVLASAMPVARMQTPRETQDASSTAQCLHAGNP DFYGLGIRLGIYLQLLCTMVAMFQFDSKANSLLFEYHDTNLILLFAIFVALVKSTPEQ TIDAVDSIILLRLLWLIIFCGFSLGHFSHEIKAFRRNSNFITLIGRAPALVFRYTVIA MISVYNAWFWFRGLDAFHARSVDNCSPYVFFFSKLDALGGLRGFYKLTSILLAILPPT WILAYLAFLVAAGAVVSVLTIPLLMIVFPAFIVFGVTAAFLDTLGQQTSSAKSQDAST STRHDSNDQKREKGRLWQNPSITYFVPWAQATSATTTGSLWELFAGVVSWWNAIRGRE LHQKAVSTMETHPERVSIRYKLYLGAILTYFVLAVVGIELTIIWNAVQSSYDIGSTGQ LIPFILGVLGAVKVIIRFLSVGQTVPIWCQQD PFICI_06434 MRSIIHTVASVLVVAAPAVAADNYTWANPPSEVPYYGLSPPVYP TPQGNGTTSSKWADAYTKARALVAQMTLEEKTNVTRGYTGSCVGNTGAVPRLGIEPIC FADAPDGLRGSDFTSAFPAQLHLAATWDRNLMYQCGKALGEEYHDKGVNVALSPVAGP AGRVVTSGRSWEGLSSDPYLAGVGMFHVTTGIQESGVIACAKHFLLNEQEFRRLPNQT HTLADGSTLAEPVGEAMSSNVDDKTLHELYAFPFYDALLAGAASVMCSYQRANNSYAC QNSKLLNGILKTEMGFEGFIVSDWQAQHTGIASANAGLDVVMPDGGYWGGNLTQAVNN GSVSTDRIDDMATRLFASFYYLEQEDYPEVGVFSDTVQHPIVDVRGDHDKLIREIGAA GQVLVKNVNNTLPLQHPKFVSVYGYDADIKADPWENVARYGGGYEVNYPWETFNGTLI TGGGSGGSSPPYVVTPFRAIQDRVVKDHGMVRWNFWSENPHVSATSQVCLVFINAYGS EAKERLSLTDEFSDNLVINVASNCANTIVVVHSTAVRLVDAWIEHENVTAVILAGLPG QESGNSLVDVLYGDISPSGKLPYTVAKKEEDYGHLLHHTNDTSFFPQSNFTEGVYIDY RAFDKDEIEPRFEFGFGLTYSEFTYSNVQVTPVSDANTDPFPPEAAVVQGGHPNLWDV LFNVTAEVENVGNYTAAEVAQLYVGIPTGPVRLLRGFDKVLTSPGDKVTVSFPLKRRD LSIWNVDAQQWELQAGEYPIWVGASSRDLRLNSSITI PFICI_06435 MAKTFSRDEVAKHTTEDDVWFIIDSKVYDVSDFLDAHPGGEAVL RQVAGTDATVAFYNLHRHEVLTKYESLAIGTVEGEKPSVITPQPGDLSTVPYAEPLWL SPVYKSPYYNESHRRLQKAMRVFQDKYITPEAQAREADGKYISQELIDRMSKAGILHM RLGPGKHLHGVNLLDGAVKGEEFDYFHDMILGQEGSRANARGFQDGNMAGMVIGLPCI MNYMKDEKRKKTIMDEVFSGKKKMCLAITEAFAGSDVAGLRTTAVKTPDGKHYIVNGT KKWITNGVFSDYFVTGVKTDKGLSVLLIERGEGVETKLIKTSYSTVAGTTYITYDNVK VPVENLIGEENKGIYVILSNFNHERWTMACGSVRNMRTVVEECLKWAHQRIVFGKRLI DQPVIRQKLAKMIALVESHQSWLETITYQMCNMPYKQQSRYLGGPIGLLKMSTTRAAH EIADESVQIFGGRGLTQSGMGRVIEMFHRTYKFDSILGGAEEVLGDLGVRQAMKEMPN AKL PFICI_06436 MLSLFHFLVACLISVAVAAPATTVSWTRSDTGTDATLIGPTPPK QDPWYTPTEGWESAAPGDVLRVRVAQGQLGQTFDDLAAAYNILYRTTDSNAQPSWAVT TLLIPSSVDATSNALLSYQVPYDSADLDAGPSYTLSQSAESGRTPGNCVAEIQNALDA GYYVTVPDYEGPLASFTAGVISGQATLDALRASVSSDQGLPGIANAKNTLWGYSGGAL ASEWAAELQETYAPELEVSGVAIGGLTPDVFNVFNTVTKTYSAGLIPPGVVGLVSQFP DAQDFVFGRLKTTGKYTKDKFLSVQNLTLDREAPMFAFENIYDYFVGGIAVFLDPRVQ AVIESDGLMGYHGVPRAPLYVYKAIRDEVSPIADTDALVDKYCAAGAEITYERNTLTT HKDEQDAESTRAFAFLQSVLDGSYVPPAGGCVIRNVTIPDPDRSSSTSADAQLRPATF LDWDATLNSTAPSTL PFICI_06437 MRLINTSTYQLREFVGAGIPPYAILSHTWEDDEVLFDDLQDMHK TRRRKERGFIKVEQCCARALADGWDWVWIDNCCIDKSSSAELSEAINSMYQWYQNAMV CYAYLSDVDSLPALETCLESMASVRAGNARPPNALNPCRWFHRSWTLQELIAPRSVCF YGAHWSYLATKAELGTRLAQATGIYYGVLTHELPVMEVSACEKMRWAAGRQATRAEDV SYSLLGLFDINMPLLYGEGSKAFYRLQEYILAGGEQTILFFDIQPWNPGNSALDEGKS KKLAAQSPEDFAAVPMRMHIQRVHWSDPRYVRHAGEFGLRIQLPCRKLTKQDIEALSL PSIKDQDWHLIALSLLEVSNPANQIPILYSTRNNKRIRSDSPAARRVGLIASIGRDED QFFRQQVKRCFVSSKYVLVSNEDVFSWKIIPLEMVPLLSSHSRLATFEVFIVESSHSM CALASRNNYEIATSESLVKTSMLDHRERYWILSGPDKLVVAYFKLAKSCTQFLYRIQK FPIDTNAHEILDNWRWRSEQGLFKNLPMSSPFLLEERFTIDQHLDAAFLVSRKLGTQL VIKVNFMVQNTSKASIKHASHLVSGDLDGSIPTFFGLPP PFICI_06438 MSSNRKRKADDDVDMSSSPSSSPAAQNRQLARPMKRYRANDITG RPLTLPRLLETLDAASLRSVLQQICERQPEIGAEVVRSAPRPSVTSAFQVLQEYQDKL QAAFPYGETSSDYAYYRVKTPLVNLLDALADFTPQYLPPNEQQATISLQYLDGATKLI HALPDWESQTYRHHKENAYDEISRAWALVINEAAKKGAGFVLHSGNWDQILAKHNQQS GGRLGSAISAMAANVGWVGGGPSGTSSPSHQQQQQQPSVLDDILSGNYGAPVRVGPW PFICI_06439 MAPRTVTITSTVEFTALATAASNEPSSTSLYLPAQTTPFSYDGP GCTPSVYCADLTTVVYPQTSYQFGVSLCFGVNAVESVVALSTGVYMAYNNFCMPENYY ELFGDQRKQAVYDWPHATSEGARSTLAYPGTACPNQWSAACTTTLTHEGLQYPQAWCC PPGDWQCTSGVGPMDATAGPQRLCVSAVSEPTEVWMSFDPATTLSNGQEAATWHVPVS GNGALVYHKVFPLSLTEGAGFAERGEATYTTAVETTAPSPDSSSSLGEVTVTAFPVEA PGNESRVSTVAATGTMAGAVAVVGLATWALLRHKRRRQHAKVQEACYTPPDRTRTVRG SAKSDGSVFNRGPDSAASS PFICI_06440 MSGSGSSSISHDNNATPLDEGLDIDTQFENTVNTMAEGRINDGE TAHLRLQTSLDRVADIESQPGSARSQGSSRSSTESNQKQQKLKVVAWRDLPKKNQLIV ITLARLSEPLVQTSLQSYMFYQLKWFDSSLPDSTISGQAGILSASFTAAQFLTAMVWG RVADSPRVGRKRVILIGLVGTLISCLGFGLSTSFLSALFFRCLGGATSGNTGVLRTMY QSRAFLLMPMTFNVGIIVGPVLGGLLSDPAASYPGVFGSIQFFNRFPYALPNLVSAGI ALCALVGVWLCLEETHDALRGSVDYGRVIAIKIGQLLIWRREKYAYKPLHSHSSSINT VEMSPTVEEHPASLPRPRYTQKLPFRRIFTRNVSMTLVSQFLIAFHLGTFNALWFVFL STPVYNPSDPNPPGFRPSLPFRFTGGLGLPSRSVGFAMAMLGVIGISMQLFLYPRLSS RFGTPQMWRFCLFLFPMAYTLLPYLSIIPSTTPPPGQKTGPWIWLALLGVLFIQVTGR TFALPAQTILVNNCTPHPSVLGTVHGMGMSISSLARTVGPVLCGYLYGLGLSHGAVGA VFWGLAVVACCGIIASFWVREGDGHEIWLEGDAEDEGEEAK PFICI_06441 MVFSSALVLGLAVISGVVAKDATVIIPATLSKRALGPDVYGYSI EPIWLDSYINNTLASTLLGHIKDVTGKAPPIRVGGNTADQTYIHDTLPTGNASLPLPA LPSVTQFNITPEWYTTWSDYFPEGTDLIYTLNFANNASAWADAVTEAQAVHEALGDKL FMFEAGNEIDHFIHKGWRNASWGVQMYLEQFRNLTGQITDSDWYKSASKQPKFQAGVF ADPPLVPDQQDEIDDFSIANLTAAEDEADADIIGSYATHLYPQSTCDTTRWLRMRLDL LSNHTTLWLNVSQYIPQVAAADAAGAPLVMGETNSISCSGKSGISDTFGAALWGVDYV LMAASLGFQKIYFHLGAQSEYSSFTPVPYTLKGEDLVPGIRAGWYGHYFISKIVASAS NESFSVSAIPDANSSSLSGYTIYNGNDSLQKLVFLDMGVWNGTEGLSNPSTLSATDGT SFSEGERPNSTLTINTPWAAGSNVSVTRLAGPGTNAKSNVTVSGVTFDPDTGAKVGTE ATEIITVEDDGVVKIILPEAHGVLLELSSASPSNGSSSGSESGSGSDGNTSGAITLSS LVACQVGLFAMVVAMVL PFICI_06442 MQSMQQMVSKGTACYQSGDLIAASRQFRQLHPPPSSIIPGYGYL LTLSHLLFRQAAQSCKCEVGKPNLECKCIDFLQACHDHTLSEALRQSCTCPRRAAKRC KDESHAVALGSLVLVCIKTKDYKLGLIYGQNLIRIAPRDPRGYLRLGQLLRLTKKQAT ALAVYQQGIALVARANPQHPGLVALQEQEKTTSKALIQIDPVHVLPTELFIQVLRNLK TKNYCGILRVSKSWKTFIERTRGLWTEQEFYVNARIRPTRLVPPSKPIPAFRLVPAST IQKCLVTYPGGQLQSLTIRDCAAFPLLINKLATILRACPHLRHLSLAGSAALRTGYVR PESYKGPQLKTLYLGPNLTIDNDVVQYLMPNNCESLEELSLLGMPQGPFFLDPAGPQY PRLHTLRLAGPSNQGPYPICMLTIAHMAPSIQVVSLDRVSLYFHEWQDVATINQWHNL KSLSMGKDIALDMSRNFPTVLVKTPFLPEELEELVLTNTALRWNFSWEPERPLEWGQW VVQPPHSPKPYFPSLKTLVVRDMDHHAPKCHDKWKSLIAPSVASDKLRHLEICPFPWP LVRDLDQTRQWLEPEAGATGITALGISCLMASVGHEIDDADDALLQLVQLFPNLRDLD INQEPIGLSTLARILEAGVDRIYHRQGAAMLTLKEWAQSKGKDVIHGSMPRSSLVL PFICI_06443 MVLFAVYPIGVACILVLLYRLSQVGRRPKGLPPGPPTIPILGNI HLIPKEKPHLQFQKWANEYGPIYSLILGTKVMVVLNTDQAIKDLLDKRSNIYSSRPEM YLGQIVSGGFRMLLMEYGNNWRTLRGIVHNSLNIKASRTYVTYQDLENKDMLLGFLEA PDQFIQHIRRFTNSLSMQMMYGIRTTSIEDPQMNQLYHGFEKFSSVLASTSAALTDVF PLLRHLPDALLPMRRYARELHQEELKLWMGHWLGFKQRLRDGKAKPCFCIDLVKAQEE TGISDPQASYVSNSLLEAGSDTTAATLVGFVQAMVIFPEVVAAAQAELDRVCGDRMPD LNDLPDLQYIRGCMKESLRWMPTVILGVPHAVIQDDEYMGYKIPKGAGVLWNVWTLHN DPKRHPDPRRFDPMRWADDRQTSAQAATNPDVTKRDQFVFGAGRRLCQGMHIADRSLF LAISRLLWAFDFRRAIGPDGQEILPDMGDLIEGTFVQPKPFKADIRPRSAFKAERVRE EWSEMKKLLDDQLQWKSVPEGLIWRDYEPAEVKA PFICI_06444 MAPHAEVDSASSNGDGHHRQAGTAVHEDLFQVDSPNVVYTDSEI RSKYTYRTTSVKKNGNGKYVATPKETNYDFKVDRQVPKVGMMLVGWGGNNGTTVTAGI IANRRNLVWETREGPRAANYYGSVIMGSTMKLGTEAETNKDVNIPFHDVLPMVHPNDL VIGGWDISGMNLADAMDRAAVLEPTLKAQVKKEMTNMVPLPSIYYPDFIAANQEDRAD NVIKGTKACTAHIEQIRKDIKDFKATNSLDKVIVLWTANTERYADIIPGVNDTAENLL KAVDDGHEEVSPSTVFAIACILENAPFINGSPQNTFVPGAIELAEKHGAFIGGDDFKS GQTKMKSALVDFLINAGIKLTSIASYNHLGNNDGKNLSSHKQFRSKEISKSNVVDDMV EANSVLYKKGEHPDHTVVIKYMPAVGDNKRALDEYYAEIFLGGHQTISIFNVCEDSLL ASPLIIDLVVVAEMMTRIQWRASSTDGVATKDFRGFHSVLSILSYMLKAPLTPPGTPV INALAKQRGALTNIFRACVGLEPESDMTLEHKLF PFICI_06445 MATYPRESAFMPTVKCSQCGNEVEISMMGEHVCKAASKPEPPLP QPPAGSDMLTGAFASLKQTVWGFGSRAAPPTVDTNAANEAFSKQDQLTPVSASTGSRT ISPKTPSGRLDNGSNSDEYFSPAIADAAQNGRPGGYGGFGEPQPYEPEPMYYGSSPQK QAAAPQAPPSLLQRMNTIAPGPFEMNRKPASKNAFAPPRNEQAQAPETYAEDGGMPRV QRKNGYGGFGPPQQEQDANFEPRPFGTNQRSETFPKQNQSIYNDVPERPPSAPGTRPQ RTLRAPSGDGSFMTKDRPPRPSFGMKDTSRPPPPRKSLIPPAGTAGSGANINLAAEFG ISNPYHTPSDSQSSNNSGYSMSQASHTSSISSPPRSVSSRRKPSDTSANFDSLMNDLQ TSMDSFNPDLSAAEPEQAEQTASPSEEMRFDPAIQGGRAPPRPKSPLASPIEPFPDRV DPAIQSARSRSPDRKAHMKQPSVGRSRGNCKACKLPITGKSISSADGRLTGRYHKACF VCTTCQSPFTSAEFYVLDDKPYDAGCYHRLNGSLCTTCGYGIEGQYLEDDSAHKHHPG CFRCGDCRRPLHDGYFEVNGRAYCERDAWRRVQPPPMAPYGRGGMRPPPPNGSRLGLP GGSRLAPPGGRPRMEKRMTRLGMM PFICI_06446 MLTVQPRRLLSRPKGILVIAIFLASFYWLFLTGDSAGPIRSADL PSVGSAGHAAPFAPVAPDAGTAQPAQPAQNPIEGDTGKSTGKITEGSIKQSQEDKEKE RERQKEKEKEDRDRFEDKLRKDFESESETLAADPNAGAIYGLTLATLSNKDADRKLKY DAALKPAQTSFGFSADKPRIYDPYPTYNDAKWKALKRAEFVTCNGPDGVPIEDIMVFK GSPHSMPAPGFGSYDVLDMDQNLCFERQTRLGPYGYSQAGKYAKTSEDWNSVKWGQLQ ELCLEKNQARFHAKGASNPYLEAIYSRDNRTDANSSDETEDNDGELKRGSGSSKNDAS TKPKLRRRLSTQRLTESSKDIVKEERTALLIRTYTGKTYSENDIQIVRSLVTELSLRT GGQYQVFLFVQVKDESADIWRNEATYQRVLRSAVPQEFVDMTILWNDEATQSTYPKLT GDQATVHFAQWLSVQKFAQEYTEFDYVWNWELDSRVTGHHYEFLEKLIAFAKKQPRRG LWERNERYYIPGVHGDYDTDFREEVESHAVNGSVWGPPNLPFIKPVGPKPPVKKPEDD NYEWGVGEEADLVTLAPIFNPIDSGWIMGNDILGYNDSTHDSLDVPRRATIITQSRVS RRLLNIMHVENLRGNHVFSEMTAQTVALLHGLKAVYAPIPVFFDRPWSSKQLAKWFNG GNNGESGGVGSAMGWGQEARFAGSTWYYRANPPQRMYLNWMGWEDTEIGGPDWEDLYG RPCLPPMILHPIKDVEETEPGHSSESRLPY PFICI_06447 MKRAITSSSITITDPLVKYQSLLATGLCAPDPAQHRLAIHLQKI YLRLKDYSPSEEYRTRLQTLQDALDESRPKRVEGARELAVESHPIRRNPFFARFFARN EPTREATLALTRVLQSHEAAISINSPQGLFLSGEVGTGKSMLLDLLADGLPTSRKKRW HFNTFMLYTLSQLERHRKSNSQLGRDEQFSLLWLAKDMVEKSPILFLDEFQLPDRAAS KILSNLFIAFFQLGGVLVASSNRMPDDLEKASGFEYRPPTSGGLLSRALGLGQKKGKG ELFGSTSDFANFLEVLKARCEFWHIEGRKDWRRRDADEMPEQPSTNSPAVAFGISGAD GTTDVLGSGTDITETVGSARGIKTPYNYILIGPENHKAWDQALTAVTGTSQPAPWAPS SLVVYGRTVNIPQQCQGIVHWNFADLVSAYGPADYITMASTFHTFIIDQIPVLSILKK NEARRLITLLDALYEARCKLVVRAEAGPDDLFFPEAKASSAGNTQTLTSSKMGETIDA DAILPETIAEVYQDTVSPFRPNISTYSDPTTDPANSAYDPDVDSDFGVEQRSPRLKPD FSNTGAFTGEDERFAYKRAASRLWELCGSRWHSRTGHWWSPLPVEARHWEGGSATKPL SGLFEKTKGSGDVSMGDSIELSDPAGLQGLKVEELRNTVLGDGMARRNERERAGDRFA RSRGQTSDGYE PFICI_06448 MFSSVNGTANTAATPASSTRGRRRQRPVSNDDSVRQPKTKRARI PLNDQTFTTPEASQETYEVKPARQPAKQDKIEPVEHASPQIRKELSFRSKKAKTADRL NKGDGSVVLTSNNAFIVSKLPALPDRLRTDAQSRQHGFLDPSTGYALSLTHTHAVVWP YAASSPSPESFIFSLPYPSRHSSDPLPLGSLTPPSASSSEPGLVVVMPTSGKITYWES ISSATTLDFIRQQRNGVEDSISGMWSSESVIQIVSAEAAAGFILAFSSGRMAYLSVRD AHGKPAINVNFLRTSLGPSGGGIFGSLRHLVSSSSIQGDIAAVRARKSSKQGEQTVVA ATVKGRLHAWKIHRGGHHDLLTEFDAREAMIDALSDLHIDNRGSIDSLKIHDFAFIPK DLETNDLEMTQLHQTSGSSEQQHVLVLASLASKASKTPAVRYYLLELVIPQGASLETP VTVGTVRPINSYTTPPDAHALAKPRLYLPRPAVVAFLVFERATVIASVAKAPDSPDSQ LHVEEKHILPPTYEDVVDLRSDPTLEVVASGIEEPQAPGSEESRSHRVKTKNPAAVLL VRGTGTLRVATTDVDRFASETPPTITPKSKLEQAVFFGIKDDNPLVFDVPRQLPFSDK ELADAALELSQDILASNNPHLSTLAGHLENNMQMRVKALEKLIIHLNSLRVQMSRETK WELLWNAEKLQAARFVWAKHEKFVQERPDDAKKDLITQMVSYIRDEEKNQPNVAKGEV DPLRHWFVHDSYRMNIFLAWAYEVIKYQNKAKAETPALTRLIYEAVEVMNGALRDAME FRRRHLALYGLSGEKLANGILADDYANLPNPWTSDQFIANNLKRLVELSSEWVNTEEH TTKPTASEEPLIDRIRALLPDLTEVYLTSLQELSRWALASDDPVNVKLGETFELTYQD DRNNKILLLADSENWSAAYKLAEEHRALEALGQVLIGEEQSYKDKLDQGGLSPEESQR LHDAISAKKKQLHNYFDKYGAGFAYPYYEHLIEACGVEALMEDNGDKLFKTSFLRTNK EYAKLSWINDIIQEHDITSAADTLVDIGLNREGQLWNKKIELSLGKLARMAEASSPAR KTSFSAQEASISAVCADASVGAIDDQLAIIRIQDRLYEKIHPVLKAALDDSAQLTLAM DAIAPKLPKKYKVLLDIFELGISRLLNHEVLDPYTLIDILTLAQFDSDLKETMPDQFF EAIEVANLGIKDLERREQAEKLIWRRCLLREEWTKINNTSLKGDNDIWDVLSHTDLFQ VYCVLYTIQNEREPRNYRRWLPSEVLGTYTEQLDKRYSTREKGFREKLLEAMQWEDNN LSKHVEKHRLEYWATETRRMAEEAVRGHVDQQTTDGASSPTGETR PFICI_06449 MDPPDESTSLLPKPASLPPQDESPWYKQWLAEIWLLTRTSIPVM LAYTLQNSLQTVSVLIVGRLSPEALATAAFSYMFAMATGWLIALGGTTALDTLASSSF TGSTNKHDLGILLQRGLLVLTAFYTVIAVLWACSEPIFRALGQEEFICVQSSQFLRIL IPGGLGYVWFECMKKYLQAQEIYRPGTYVLLITSPLSAGLNYLLVHTWGMGLYGAPLA TGIAYWVSFLLLVLYAVKVRGSECWGGLDLRKAANPKQLWPFARLALLGFVHVGTEWW AFEIVALAAGRLGKIPLAAQSVIMTADQIINTIPFGLGVAASARLGNLLGARRARDAR RAAHCAAVLSVVFGALILAVLMGTKDVFGRIFNDDADVVALVAHVMPFVALFQIADGL NGSCGGSLRGMGRQWVGALVNLVSYYGAALPLGIWLAFHGWGLEGLWVGQCCALYLVG VLEWVIVGLCNWDKEVERALSRLDDGGQGEGEAVLQQDAEDVAEGRAVV PFICI_06450 MAKPVTANEEIRRVPPGWRVMKTVKTGRVHYHHPRDAPNGTFVH PVLGKLPHGWQMVKAPGLYLYYNTVTRETTKYRPSQPLSQPSAAPKGSGDSSAQIVPR NDSNRPGSIAPPVGFAKVRAGMKLERNTIASTTLEDKYDVVKIIDPGDGTKGGMNAGI FVVRKKCTSELFIQKNFKSDNDFMISLVKNEIKIMRELRCNSLATVYMEFCDCGDLGQ LISSYKKRKKADPSFAEYIPESFIWHAFLALIDGLHFLATGSSYLSIDLNHGNNNSTN RAKKIGKGSSSWTPIVHRDIKPDNVMLKSRSTPGSSKPLYVILTDFGMAEREAVAAEG PPWLVYGTPEYHAPELCFAPFLTTSDQLNELASPHTPRSDIWAVAAIMHALCERNVLG HSKSTITFTFNPAGLLTVLLLVDMTCWTLSDPAERELRWRGRAARKQVLQITDRSVYS DRLEYCIKYAGDADPKQRPDARILLPRLQTYMEIWRADPNWKAQTNINCKLPDWAVEK SDLV PFICI_06451 MHSSLQRLAILLLVLPRHAKSYDVPPVDAYFRRMGHSIVVIGDY LYVQGGEISWDAGNGTAIGQFSDIYANRVNKTLSLPIAESWNNATVQWIETDSVVSAP SLRLPALWPQGDSFFTWGGSKRFGLPVEDSLLWQFTTDGAGGGNWTSSQPANMETFSS IRRTTAAARASCRGVGLYLGGYAAPQTDVAFNDDTKAFPVPGLLTYDMETATWSNKTS KPGLNNYGTSVYGAATCLEDYGNKGVFITIGGQMETDLSAYSDNGLTLFETSTLSLYD VDADQWLKQKVSGEAPEQRDRTCLSTAHGQNGSLDIYMYGGIQKGGTDQVNKVFDDIW ILSIPAFTWFKLNVTGTQRSWHDCVIIGSQLVSVGGLGAGVRFNESVQFNDRDEWRQG LGVLDLASMTWADGFNANSTAYESPQVVKDWYRDNDFRNNNWTSDDVKQLFTNSAKST NSTNTTDPIDAGTVASEADGQKISIGSIVGGVIGGVVALVAILGTGFWYMRRRKSKNS KASTAIDTPNEGKKEDPLRYWAETHTQQWYYAELNGRPGLFQLPSEGSERPAELGPHA EGHIPIERRRGISELSGSSPEMKG PFICI_06452 MADPLSIAASIAGLLSLADSAFRGVFRYTQDASNAQKDIKALCT ELQSVAVVLHGVKLLTETLEQDATRSSPLRLEHVHSCRAVLNEILGRIVRFDPTPGDN KSIKHAMQALKWPFGKSRTMEMVNELSRQKETLQLALQASTMADLMACLSKVQNVESK LNQIQKSVESLKVLTRIKIDHMRSKILDFFMVDDPSPNLRTSWNLRQPMTGLWLTESP RFREWLTEPRSKLWLSGIPGAGKTVLAGAVIREALSRLETTPKVGVAFFFCDYKKKSS WDISNILRALMAQLGRQRDSSFQLLKEYYDKIEPQFGFRMTPDIEDLRDLFVRQANEY DQVLVVVDGLDECGDKAGEVSRMLSEVARYARTISMALCSRHEADISDQLETDFDHIP IAAHTEDISLFVGAELEKRIEQKRLRLGNASLKDEIMSKLIEGAQGMFRWVACQLDVL CECPTDADRRAALEELPRTLPETYERILHRINQRNGRVQRLVQLSLKLIAVEEDDFKL TIPQLCQAVSTPDEIGVTLDKEAIVRDEEISRSCSSFIRKSNDGRFFEFSHFSVREYL QDSSLLQRPDLALYHIADEKVYQITAIQSLRFLLLKNFDGPVSRDFEAEAWSMQKTCD EFPLYHFAAVTWPIMAHRAQAAGMAQSGMILDLEKVLLHQKKTTNYKNWLIAFYTYIN EPNGSDGFKFVVTSTKIKHAVDVLLGRDISTLHVAAALNLVDVGLWLIDQGACNYTNT KAPSMLDFAALGISSFVSFGHDPLHGYTYRSIKKDSRLCEALLARGETISAYFWTLAS GWLPRPEDIWEIQKSLPHSVAFETDALDFVVYLIGTGLNKTDFGRQLCTLIWIHAIEC GYKFTTDESLLPMEITMSDNTIYSTLMTSIKKGDLETLAACEDDPCFDQEMTIQDLI PFICI_06453 MSVPVASLCDHCRKIFQHPISVDEEKVLLRSEDVLTISSRLWCR LCAIIDTKLISRHFDQEWQIYYEVWDLESGLSLRLSAHSATTVHGSCIDLNLRDPDKL DGIKCEESIPDTTKAASSFQFCVDQLQNCLKNHAACRTKTISRHLADDPPSRLLYVAG PDRDKVYLRTTDDLRSDSIAYLTLSHCWGKSNVPLLNKSTFDGLTDGIAATSLPKTFR DAVQITRDLGFEYLWIDSLCIFQDSRDDWNHESSKMCEVYANAVCNISATGAADSTEG LFFTHRQEVDCSFLVDVHWPTNTVEKSRQLKRYLVLSSTQWEDSVEKQKLNTRAWVMQ ERVLSKRVISFARDQLFWECLDVHANEAFPNGTPKSVYGEQVYWMYNKFKMKDLLYDL MPNPCESGVARPRSWKSELWHRHMFNNWRTFLISYTRCGLTKPADKLVALRGVAEGFA RCMQLNLQEDFVAGLWLPQLGWELCWFVHFDRNPTKPVQWRAPSWSWASIDSQIHPGS IRHHRRCRNIVEEFSLVRIERRLDWSGEMAAVALHLKGKLIPATCTIREPYGDQDGRV RGKCLSIDCENGQSICWRDDADAYICIDTKLRADDGDSGNVHVGNSLMEALVVVPHDT AEGEFVRIGLLSIDNEYVDCYLGLSQLFVERDIVLV PFICI_06454 MTTPNHIQPFRVPLAQEELGDPEAVTERQTRDREAVVELWAEET IANSLETLYDVVSCTDRVLDALCSFSGADEDEDDEMDDDDDDKEDSEEDEDEDDGWSL VSAPTDYAEDGCIYLGEQVRGVTFPVPVGAGCPRGRVREVLEDLNEACREMLGLPAGA LPGLVGGESESVSSCFTADSYE PFICI_06455 MSPRRTNYAAVPTFSRPGREAVVYDLSVPGQATITLPVGSAWSS GPHWHESHTEFLQVLSGAAEVTLAGTVLPAVTAQDGVVTVPRGAVHEWRRSSQRTAGD EEELVVREWTDPRDGDKEVFFRNLNGMILDFVKSRREITGESGGGKKKVSWFELYGGE WMLENELRCLFWKCDNWPVLLRQDSWWPDWAQWVVTKTVLGASLVVGKCVGRGGVYSE YSHLSEQK PFICI_06456 MVSLIPNRLKRTTSKSNTNSSTNSRSTSPMRSVKAESSSPEAKK DAGLVLKVVVIRARNLAAKDRGGTSDPYLQLNCGENRFVSHCVPKTLNPEWNVVATFP ISGIENLLLEAICWDKDRFGKDYLGEFDLALEEIFNNDRNEQEPRWYPLKSKRTGSKD SVVSGEILLQFTIFDGNDTAATDAQVLEKLRALASLVPDVPSRNVTPTRTPILGPRSS SKGTTSAAVPDLQRSGTDNSQDDDEDYDEDVLDDDETPEDEDPTKPEAIEKRKRRMRI KGLKRKKRKNPYEFTNGGSDVVGLVFLEIGSITDLPPESNFTKTSFDMDPFVVASLGK KTYRTKVVRHNLNPVFNEKMLFQVLNHEQTYSFAFTVIDRDKYSGNDFIASTSFPLQE IIEKSPKADPETGLYDLKDPPEFTPLKKSRLAKLGMSRSASSQSLNKMRPPLSKNPST MSGQGDAPGAVAANPDPNLLAPEQLPVLNAAGGETGEAAADDPDFVPFTVPLKMKNME KWEAKHNPQLFIRAKYMPYPALRQQFWRAMLRQYDTDESGEISKVELTTMLDTLGSTL RESTIDSFFQRFPHKAANGEETSNLTMDEAVICLEDQLEQKSKPSSVGNKFKGVLPDA GKVKDMLSVPGHVASSGDSTPTETPGEEGSFTLSSQDSTIQGEQPSTATIVVPTMSTP GEEGESLDKDDLNVNKGEEHVVEIRECPICHQPRLNKRKDTDIITHIATCASQDWRQV NSIMMGGFVTASQAQRKWYSKVITKISYGGYKLGANSANILVQDRLTGQINEEKMSVY VRLGIRLLYKGLKSKDMENKRIRKMLKSLSVKQGKKYDDPASKEDIPGFIKFHNLNMD EVLLPIEEFKNFNEFFYRALKPGARPCSAPENPNIFVSPADCRSVVFNNIDTATNIWI KGREFTIQRLLGDAYPEDVQRYEKGALGIFRLAPQDYHRFHIPVDGILREPKLIEGEY YTVNPMAIRSALDVYGENVRVLCPIDTPNHGRVMVICVGAMMVGSTVITRKEGEEVRR GEELGYFKFGGSTIVLLFEEGKMVFDDDLVDNSNSALETLISVGMSIGHSPNTPQWTP DMRKDPSDVTEADKQEANRRIRGGGEGSQTIS PFICI_06457 MPAALTPPVPQVKAIAPEAPKKRKVICFSDFDGTIFMQDTGHVL FDAYGCGSKKREMLDEQIKTGERSFRDVSEEMWGSLNVPFDDGFEVMEKTLEIDVDFQ SFHKWCLANEIPFNVISAGLKPILRKVLDTFLGEEESSHIQIIANDAEIKEDGSSWKP IWRHDTELGHDKALSVNEARAAAELTCDDGTIPLIVFIGDGVSDLPAAREADVLFARR GLRLEEYCVEHKIKYIPFDTFADIQTKLEEIRKEDEEKTAGHGLPAIFNPRANMWRRV SSKQAIPRFAVMSPSKEEKMFLWPEAYTELKTPAITEVNEIDPMTRVQA PFICI_06458 MDPYSAEGELVNIHNHFHQAQYQEVVDFDTSVFSPENELPAKVL VLRAQIAQGQAKEVLAETKGASEPELQAVAAFANQQLGATDEAVKAVEELAAAHGDNA IVQVVGGAVLQAAGKSEEALALLSQHSGNLEAVALIVQIHLQQNRLDLAQKEVKAARS WAQDSLLVNLAESWVGIREGGEKYQQSFYVFEELAQTPASSSTTSLISQAVTEIHLQR YEEAQAALDQVLAKEPQNPDALANLVVLKTLTGQDRQEALSALETAAPSHPLLLDWQE KKSEFEKAAAKFKPKVAAA PFICI_06459 MDDETDSNSSASEPEIKLPQAQISGEAYCIFRNEIYSQSNFSRD SRDPFAPPEQPSVLMLCSNTKAHLTLSQELVTCSPNQKRELEQTVKSKILNDVIRTFT GPGARCIVDQIIDYPKSSFGTSVIKADSAVSISLAALGSVTSPPWATLWRIMLKVLTF LFHTLPSNHSRILVARRLPTSLYDQAHYEPWFSDCARCELER PFICI_06460 MARTRQTARRGGGGSAPRKQLNNSSRYGGVRHYDEEYMKAQKKA ERHERIVADQLRVDAKWRPKIPAVRKVNWEDFKNHFQDVDKPEYAIEVLVANANLQSQ IRQEQGLRRKEDFARMRESWMKEWGATKPEGPQNSSSAQDRARREDRARKEKRADMAQ LPGGQIQRVRIQSQPVLGHLTALFNETYKRSSPRTFLRPFRSLVYFQPKMKAILASLE EKWGDVEDLDDVSGRGVDTFDDVDVVEKPGSSTTSGENNDDAQKNESGEDFPDDISLA SVDSNAEDIDLFMDSTEALRDMRCYVNFVDEEIMPLYQQFDGNSATTVRYDDLWALFR VGDLIYFPSAGETGGRYHEVWRIYRVESPDADISYPKAGGEFYADEFGESRNEGFKIY AYYIDHDGTSFGAVRHKFEIENFPGERAIDSLEVFPIRFWDDRQNALDTLKSQGRSFQ KYLEASNRHQSYSAWTLTRNPPFDTEDVEDEILRDENNAEMRHPEFVESDVIVDMMEA YHKNPDWRPRFHTPALTKPILCNGEEDEMPIQQWYDGARGKLAYTVNELVQTKDGIET YQRRENLNVDKFLRQRVRGTRRYEKDPTALELREEDLILLPRRMFAYVLRERRFVPVN INFLQPIRRDQGVFESLSIQKDYKDIVRGLVVSHFQKKGLERRYAEVPVEGTGQDLIQ GKGRGLVVLLHGVPGVGKTATAEAVAMENRKPLFVITCGDLGLSPSQVESSLTNVFRL ANLWDCVLLLDEADVFLTQRSNDDMNRNALVSVFLRVLEYYNGLLFLTTNRVGSIDDA FKSRIHMSLYYPPLDKTQTRDIFRLNIAKLRAIEAQRCAMTGDQPLVIKEDDVLAFAS KHYEDNARLTACWNGRQIRNAFQVASSLANYKFADDVKTAHALGRAPPAAPVLDGSLF EKVQMSTVSFDRHMQDGRGIDTDLPLRTTFDRKH PFICI_06461 MHMSGATGSPTGIKPPIPNGESQSSAAPDLEWQWHLAIWLSSLT WAGLAWVFERGRAAGTKNYEVWFSIAAFLYHLAYGYKAFVEVRLDSATSAGLIAIGFT AAWTFHDTRRMGTKQRQLLGLGTATVVLGLDCYLSSTVSSSDPAKSFMALLVPCIAGA TFFWWACRIMGAHIAPLLN PFICI_06462 MGDCTNGQFKAIRPAARVAGQRQDVWTIVNDAAAASPVKPIVNM GQGFFGYNPPDFIIEAAREAVTRVDANQYSPTTGRPNLKAAIAKVYSKSLEKEIDPTT EVTITTGANEGMLCAFMAFVEDGDEVIVFEPFFDQYISNIEMAGGKVRYVPLAPPPGG DHSTSSSGDWKIDLQKFKDTITPRTRMIVINSPHNPVGKVFTRAELEEIGNICVAHNI IILSDEVYDSLFYVPMTRMATISPAIRNLTLTVGSAGKIFYATGWRVGFLIGPQHLIK YVSAAHMRICYSSPSPLQEACAVGFAQADEHNFWEDSRKSLLGKIKRFTEVCDELGLP YSMPEGGYFVMVNFAKVKIPEDYPFPPHVASRPRDFRLSWFLTVEFGVAAIPPTEFYL PENAHLAENYLRFAICKEDSVLEDAKSRLRGLRKYM PFICI_06463 MTDSFLASDAFLQWTKTELGGDFRSTSRVAVFSDCLTLIETDNR MHTAKAARLAGSTALASLGLPCADDDELGLATFLPSTALNNLTGVTHQALRTWSIPER HLLNHFLQSVSRALVVVEDKLNPFLCVIVPMALENSMIRHSLTALSACHLSKAYPDFE RDLNTHRGIALDKLMAELEDLDDPVWALASTLLLCLVEICVGNSKKWVLHLHGAKALL DRTNSHTIEPPVSILIEIYNYLTCITSITSNQTPARFGKHLKVRSETVLAPDGLTHPL FGVSVDLYESLACVNGLGMHGNNASNQDTTDVSKEIELSLQSWEPPKSSDECRSLVEA RALGFALQWATNMHLQQVTRGLASNAPQIKKASDNILSALSLIRPGSEMEAHMLFPLF MAGVGSMTKPNRLTVEYRLDIMEATIGFGNISVAHKLLDELWRRSNQGETVTWQYLIT TTYKGLVLC PFICI_06464 MAVLDDPTTWDEWGKVDDEFAQMLADKVERRFPPVELDKLPHIR STWMQKCRADMIQMLDGNKNHVTSQEISIPLSNGDETRALVFKPKMDTGGDKPLLVLI HGGGFLFGVAEMEAAACISATREYGCVSISLDYKLAPEAKFPTAYNDCWEALQWLATN ASTIGASPASGFILGGTSSGGQMTAALSHMARDQSLSPPLTGVYLNVPALVQPSLVPH MYKQMYNSRKQNEGKTGLSKETILIFERALEADLESELWNPMLWRNGHANLPKTYFQV CGSDVLRDDALIYERILRKEYGIKTRLDIYPGLPHVFWYMFPGHSSVTRFHEERIKGL GWLLQQ PFICI_06465 MGFFDGALGPLQFKSSTTTILLIILSSCVFATTTGYDTALINGI NILPSYTSTLKLTTATKSLNSAASFLGWAVVSTFMGPVVDRVGRRTGVLISVALKIVG VVLMSCAQEVGMFVVGRMVLGAGSGTSSIAASTLMAGRDFASQMASVRPGLHLLNLLC ALIAAGVTYATADLAGSLAWRLPCALQALFSVVCGLILLFVPESPRWLVHRDRCDDAL TVLASTHSNGDKEDPLTQLQYKEIVDTIEWERNTGKKMTYGEVFRTPNSRRRLMLVVS VAVLAMASGNNIISYYLGDMLSNAGITNTHIQLEINIGLNAWCLVISLIGTWLVGKAG RKTMALFSIAGMIIFIFLIGALTKLYGNGGNNPGIYSAVATIYLFQGSYAIGITPLTI LYPPEVLNFSIRSNGMAAWTFAITCGGLFSVFVWPFALKAIGWETYMINACWDVVMFV FVAYFWIETKGLTLEEIDAKFDAVTGGGVGSGDIEGPKTIDGLEISHASGKLNKMDVA IKTSCETRA PFICI_06466 MYFKPSSAEAHHGDFRDALRDDGFVVVKNAIPRAKAIEYQNRAY DWVRTFNTPLDFENPDTWMKDNIPLTNRANAFAAYCASHESFMWEARMEPGVLEAFGK LWGTDELLTSFDGLNITFPNRKDVPAREPWEHVDQSPSKRGAHCIQGIIHLSPSGPED GGLVVIPKSHKYHDEFFDTHLREPLNGRDVYLFPKEELSWFEKKGLRPHKVCCEPGDL IMWDSRVIHYGSDPTERSTQIRTAIYATYMPARLATQEQLELKRNVFQHFGSATHWPF EHMKPGPTHAILPDGSRDPRDRDEPIDPPVLSDKLLRLAGLKAY PFICI_06467 MFRTASFSVRKAVSRVRPRISEPFWSPASRVQWRLASLHVKRAG GRSLLPGVALGVAGLGVGGLIVASMTMVKPDVIEYANRDTMLKAAREIETLLGKDGIS YDESVIEAHGYSDWSTSNSTGRPIAIVYPETTEQVSSIAKICHHYDTPMIPFGAGSSV EGNFSSPYSGICVDFVNMDKVIEFHPNDMDVVVQPGVNWMNLNQQIKDSGLFLPLDPS PTASIGGMVSTNCSGTNAFRYGTMKDWVINLTVVLADGQIIRTRHRPRKTSAGYNLTS LFVGAEGTLGFVTEITVKLAVIPQDTSVAIVSFPTIKEAAEAATGIIRSGLQLAALEL MDEVQMSVLNKHGSEAVRKRRWDENPTLFMKFSGTTAAIASDISRVETIVKPFNAGQF FFAKTKQDEVDLWSGRKEALWTMVSIKPEGFNLWSTDVAVPISRLAEIINASKENAST LGLFNSIVGHVGDGNFHQAIMYDPKNESQTNSVAACVHKMMDLAIEMEGTVSGEHAIG LGKKACLVDELGLDTINLMKTIKKAVDPKWLMNPGKVFDLPKNAKALAAP PFICI_06468 MALPVTTNGTYVGPENGHLVIVGGNLQSDTIWQKIIELAGGPDA SIVVIPTAGGEPTYNDTLPSAASLRKNGSLNVTVLHTYDPAVADTDDFVAPLREAKGV YFDGGRQWRIVDAYAGTKAERAFQAVLDRGGVISGSSAGASVQASFLARGDTENNQIM IGDHTVGFGYLKNAAIDQHVLVRNRQFDMFDILKVHPELLGVAIDENTALLVHKNEAT VFEGTYVLIYDGGFWSREELSELKHLPPPESRFYFLRPGDQYDLGERKVIETSETEL PFICI_06469 MFNASFDENMLVPYEALSYCWGDNRLTHTIRVNGKALAITHNLY TALMHLRFNEKDRMLWIDAVCIDQGNVQERGHQVDNMGDVYRRADQVLFWLGRVEDNV GTLMNALNSFEENVPSEALEQWPNGDSRFHHIWSKICKRRHFRDLRWELRSLMDDDWF NRVWIIQEVFNAKKASVGCTDGWVSPRVFALAPSLLQVRPSNQCQAIIDIMPGPLRKS SWWNQNPNLSTLLWKFRESQATDSRDRVFALLGIASDKPFRSDYSKSEMIVVKDVFEY LCRDNFPINSMRTSSIEELQNTVPSLVYMGLDQFVSPGKHMDVMKQFRYRHNKQVFLS EAAVGYLWHINSPLKEQLTHESGIVQVVVGQKSANSTESLSTYLEQERGQNAIQGSQI HTQENSFNFEYTMLESGQDEIQLAEILTLEAARNGSNVFERFLHNNGEQVVLSSDVIA AADNRGLNILDLLLCRHYDQISITDALLVDAIRSYPEKLRIFLDTYRDQLKITETSIL EAITAGPKTLKLLLEACRESLVISQSMAEAGFQRGPDNFRALLVERGNQLEIDSAISN AALEKGVDEFKVLIDQYGDRVTCTNAMIVKAIRQKSNTLKYLIDRYGDQITCTAEMIH VAIHEGSDDALEVLPDRYRDRSTCTAEMVLAAIRSRPNELERLLDRYGDQITCTTEMI CAAIDQGKLYTLKALLDRYGDQITCTNEMVLAAIDHGGFRALEALLDRYGDQITYTAE MVYAAIDHGGFYTLEALLDRYGDQIDIKSIATRALCHSSTPKKLELLLDRYGDRVDIP ADAFNNMLRFGKVDNLTMLLDRCGDRNDVLKALIRIEDKWAFRRHAARTLIVQGHMNV YPWFRVLASALGDALAQRFRSLAMLMGGEFNELNELLLHPSLLL PFICI_06470 MFPGVRDYRIAWVLPSSDQQAVARDYFDHDIVSCYSSTCRVTYT FGRVEQHKVVMASPASNAENQSTDYIIEDLLRHVPSIRAGFLVSSDAVAPRAGIARVG DVVVGGTSPNMERGVIYLDAEKAGKEKRIRIIGASQTLPATVVKAVREAMNPEGRKDW EKRLGQDRFTLVMRSVVSSNNGFQPDFVKHLRQALIGVIASSKQGIEDTTLLENIVAE RDIICFETQAATMCSYPFLVVTGISKYCDNV PFICI_06471 MDKEIVEQLEKQSDEAGGGTSAGPDTITTDVYDEDMNRKLNRIL DWRVLPVCCWLYLLNFLDRGNIGNAKVLNAETHDDLLSQTGTTASGYAVTVSLFSVAY AVFEVPSNWIMKHYVRPSLWLAILLGCWGALTIGFAGVHNYATVLVLRLLIGVFEAGF FPGVVYFITIWYRHNERSIRIAYVIAFCNLAGAFGGAIAYGIGHINGAAGLQGFRWLF IIEGIITMLSVLPTALILPDYPSRARFLNEDQKRLAVDRLKDMGGGYNRDHATKKEIL QTFFKPRMLAHYAAYIANVVLQGSFTFYSPTIVTGLGYASIQAQLMTVPPWVVGFVVA ILLSYSADHFNARGWHVAGASIAGGIGWVTAGSLPHDAYTARYGCLCLAAAGAFPCAP AMTNWVTCNTPSLLTIPFAIALHNSCAGIGQIIAQWIWKTNEAADGYPTGNYVCAACS FFVAIMAVCLRFWYGHMNRHGTLDARGEKRVWSY PFICI_06472 MATWRQLKISDIDAVVSIMAVIHAELPESRDIFVERLRLFPEGC LGLVNEVGELSGYAISHPIRYRQPPELDHLLGQIARDADQYYIHDVCVLPAFRGRGLA PQAIKKLLIVAERYPSACLISVYGTRSFWGRYGFLPPPSIDTSLAEKLLDYGGDATYL ERRKSDP PFICI_06473 MKAFIALTALLAPLTEAHYIFNRLIVNGASIGGEYAYTRKNSNS YNPAYTSELMNSNDLRCNKGATAGSTQTYTVKAGDKLGFKLFNNEFIEHPGPGFVYIS KAPSSVASYDGSGDWVKVMETGLCNPSTPGNDASWCSWQKDRLEWTVQKNIPAGEYLV RVEHIGLHEAHQGKAQFYIECFQLKIESDGTGTPQPAVKIPGVYKATDPGIAFNKWNN PTSYTMPGPKVWDGN PFICI_06474 MTAQQAPENLKQRLKESYDAIAPKYNKWTIPKSEQRLHYLDKLL DCLNVTESPHRSVLELGCGCGVPVTQRLLQHDGFRVVANDLSGVQIKEARQNLGPVPA ERLELVEGDMFELSFPEGSLDAVIGLYSLIHLPCSEQDAMIKKIASWLKPGGYMLANF SEEATSGVVYEEWLDPKGWVYWSGHGAEGTVTKVREAGLEIVVSEVAKDAVNASFMWI IGRKPN PFICI_06475 MPSSSQIISSLAAVGIASVNAAMGPAFSTGPVADGSFIRESTST LVLPAAPSNNNGIASLWVGMGTSNGDLIQSIADNWQSSTWDIYAYTLLSTSPTSQMPV YGDGSADATANDQITMHYKYDDSTANYTQTVTKNGAVVSTLSTSDGHALGWGSAIECA ENNCGTMPAHTWINTQIIMDVADPNYINTLYKGAGVTGNLVTADGGKTWTVDTISIPQ FTFTG PFICI_06476 MQREVISVAWIDEHKSKVERQMSVGSTSEHTLEIISRQMENCRT TVRAMTTKLKVDRC PFICI_06477 MSRDPRQYQCHERHADGRLCGAVLKTRWNLKRHLKEQHQLDQPT LIATPLAALSPALQTLWQAAIPHPLPALGSLPALSNVPASAVQLPGVAALLEADRGAK TPPRCPEPDAGPLPASPPLPSMAPSPTVHPPQEDDRWEQQRGILRERYQEDMPSYDVK QSKVFQAQQKAACWILQKGKEHFDSWIAGLTTAWGASPSASQSSICISCPQDWVGLQP REIADVLNESNWPLASSGRLMFKYSDHTTTAARAIAWYSKWPRSGIELDNFMECGPFK RMDGSHLCHNLFCITPSHIVYEPTTVNQARNECFQRARFLRNENRAVPDRCDQHDPPC LMQHAALTTYERCLLQVWALRQSLGMPSPSPSPAPAPAPVRPRFHPYSSLEYQLPLTF GQGHGIRFGALDRAAVTRLKRKAHKPSLACNLCPRIRGFESVVALWGHIVRKHEDRSD GDKLIEIRRTAELWRSYEESQTGLSRRSRKNNTLTRLAEVKQQVPAYVLDVATGTGIW AIEFAEKFPQSHVIGTDLSAIQPENPHVPNCVFQRDDAEDTWVFPAPHPPGSNCEFPC EHRIMFDYVHLRLVSTCFDDPRVVMKNAFENMNPGGWIEFQDASLEFNDDSPNWEGSA LQKWCKGCIDGAASVGRDILVPQKYKQWLEETGFVDVQERPFIWPNNPWPQDKKLKEV GLWELRNLLDGLRGMGWKFLTQAGYSAEEIETLVKESHEYLRDCRNHPYGTLYVVYGR KPFPNEE PFICI_06478 MPITPEQQANYDRWVRTPWIFDSSQRFPEGPFGVPAEAVTNGNC PIGEFKVRMMYDDPYADDTSFQQGITYDLKPARHDTIALVKDSKFYGLLSDSTNGKIL RGTICQLNPSWAARVKVTYKHSREALPADRYQEWEDVTDAQREERIIWQQYRGIGFLW FERIQTDAAEKDAAVVKMGELDLNKS PFICI_06479 MTTIAAAGLIDPYEVPSSPSPSPPPPPPPRKRARGAIPKPKPPP EPATAWEAVWSAYNAVRQKYPTLQSRPTARSVRGLLDLPVVRPLRYDRQSVRRSDFNL PPGAPQSGNRNLKAALLQVSSAAAQQSCSRCVQHKNLWDVCVDTGEGCCAGCLCNGQK SNCAFPDVQDEGGEGEGEGAEGQEEGQEGGENGLPFLEDIPEEAPEPQVHGGKSSLGQ EASASVRPTDAERRLQLDAMTDVERADALHKAVQDLRLLYERRAPEAGSDEDEDKDTA APTTTTITNTNKTNDDDDDDMGDDFGRFIGNEIEDGDACIEAMLDWAANGMNMDSNE PFICI_06480 MSNFDARNDALERQQAVNVASIHGPFCPGNLWDLGYQLEWSFDA AEDIDSLHDAVALAQSTLKDNPNWQSEPDRYIILSYFGRLLHRSFNETGNALHLHTSI HMFRLAVDADIPDKAQLALIFSTIGCVFGAQYGQTRNLDDLQQGLDMSVQAVDVVPED HPTSRIFCSNMGTFLSRRFLATSDIKDLEDAVDAVWQAFKKIPRGYPSRAPLLWRLYR ELEKAVNKELRIVDDAEIVEIE PFICI_06481 MAGTRRARGRGRGASRGNATPGPSSGASSRAASTAGSRVASRTG STAASRASSTAGSRSSSRLSSRAKGKGKAIATESQNSEDDDEGERPQEFPSNDVPDDG DDDDYEDDDDYEENVILASDDLDEIKAEMLLHGDDDTTQEFGLVTIDATDLGLGTASG ADDGNAHESKGTDSLYNIFQRKLLKGEIDLALLKKRGRTSMKPPHPAPRAVAIRMIPE KWMIRKVKKNIEGSFEFDQKIDKTKIIYNSFADHKQQLSDHLLRSFHKPDQDKDVFCV RSTYTGKLLRLDPGPTSISIDAVYPFGVAPSGKLGYHVESNIVPIESFLNLAKGNHSI IVLPLTAEMMRVSANTIISAEEKLQRMQWLVNSATNLAILERQQKCQHKHKLRHAAWS YAYNQKKGRTILEQLRTGTPIDLASGKQRVRDLLEVSSPNNMLKGRFWARHEDDLDVA TLQDNLIKIAAKHGFTEDEFLLCCTIQNAEDWVFFPFNPLTRATAEAFGWSWDDLRDW LNTRLGYYIHGCDFWALVCGLQENGLCAAKLLYWVANITCFRLRMKIDKFKCEGRNVS DPAVLREVAVEAIVDRRRLPIVPWRHHANSAAFCKSTDHGVVMYTGLANKPVTDPGFD PTDIDWEKCSITIDCSITNNSDYNYHLSTRDHMDQVFKRIPCEHPLWKIDQAFGKRVW TVPETELRATRPPDKEYSSSILLDVEPWLDPTRSHELQCRSCNATLQSIGDLARHYQN QHSRRSVESGDKASIDVNQIDQADYEDCLAYWGNHLVCPQGCNSGQSYSSAEHFLRHM RFFHFRGNVQKCPDEHCNKTFTTSSVLQVHLFIEHDILEAAESCQYCRRGFSGGSAKY NCKRHEKEAHNHECNNCTLKFLTKIDLHAHIVMEYPDSDEAEAIHRGHKHSRPARCMK CGVVVCMSRLPRHLKSHGEVNLECLLCQETGFRRQFFVAEQFRNHMQEQHKTVWCSAC RCLFGQASDLDDHNQKWHQKLLKVTIVQDNANEDRPSDASEGGPSDAKTIDTSRIEDD SRDYPSCGITGHWRRDTDLGWIVKSKDGEKCMLCDKDLSNLSTSTKRGHLGMFHPLCT IKGCQFRCAHKEDLDKHLESHSRCETCSKIFLDDVVRGLHQCGEIPPWEERPPSADIQ AEIDAIPERMTPFRPRISMSQQGKAVASVPSSSTSQGLQTRGAGDTGEALSHATPPRP HRRKRSRTSENWEDESQDVLSPPAKTPDKPRKTSLAEVALPLRPTPSPQ PFICI_06482 MPSPSRATLDQSQGKIVTSCLKVVSGVTRTLGHLYWQRGFVLAE SLRPEWRGAASPDGNFTDTRFASVFAFRTGEPMKMGNEAALLSLSRLSPLTWLGFPGS GTRDSGMQE PFICI_06483 MAPASTQLVGIATGNVYMATASGPVAKFPRVPARLWRSFWKISN LAPPVKTQCASTKKL PFICI_06484 MPAALSKSLSQPAAADKGCRWLSRSTEGAHCDAHHGKPYSHLFI QMMAEISGDDSHQPESQQICCGETYMRAGAQPQTVRTTSPRLQPASSAPQPVITDICY ENRTRLGVFSTVYK PFICI_06485 MPPATSLPGPPPMCLLWADALCHGNDILAASKWLGLPQANESNH AAARFTRAARMAMFCYGIDPDEYPEEVEQVARLLDPVQRVKNICEAGDVPWEPVTHLS ERIKKGQCKIESADDDHAIKREASPHKITGAGDTTDSGSEGDKEVKQETPPYRVLGPD DTTESGSEGDESSSDPVGLPATPSHHGQQTNSSPPAQIVGLTLDDRSASIFQGGKTAL DASDPRQADTGSSSSDDTTLWSFIRKRKAKEVRRGANAARRPEPAVGAASPPPSSDPK NNSVTPGKREREAQPGQGSATKRAKTE PFICI_06486 MQSSSSHHSPTPDPDGSPRADGELIVRKPMEKQPDESPQAASDK QRQDDQRISSPNGPSGNMAGSTDGRAAPAQAGSSATTNNPGDQGRKNNMEKMALEVQQ SIANGLYRDLKRCESDLDIARQQLELAHSEHERLKAEFDQAFKDYHLASTQFVAVNSK MTNIQEVLADLADRLEKNGFELGDDGFERK PFICI_06487 MDAPEHLKREEGGIRSNNGIDGLDTQSFQNDLVDFDERLQTVER FQTRERHQAQDRHDDLVDFDARLQAVEQYQAQDLTDNLVQINERLQTVERFQTLERHQ AQDLKDDLVNFNARLQAVEQYPAYDFEDGLFDFDARLQAVEQRQAQDLADNLAQIDER LEAVEQHQIQGLKDDLVEIKERLQAVEMMEEHNSARMNSLLRLLSECAHMLRGF PFICI_06488 MQNTNHSFQLYIRSPPPYTVGYCMNANTKDMRQTLILLGMPELT ASSITPNEAKAILNMVSYGLDYRRDGTTFQTLVKIFATTSSARYQVSGAQQGSQVSLE GHVKTYMDNLLVLLESIADERARVAREDEERQRPVRATRSTRGSPAVREVEKKPVSSP ISAVRKILQPKKSGDQEMTSPTFGRRHRTLTIVPKASGSAKSDASGPAHVLSGLLSSP RTPTTPSAARPEDALATIQNAMDLFRGKQISRSDSNKRRRRSASAEDEEGDAI PFICI_06489 MTRGQLNTTEEAEIPDVFPNIFSMTVRQLENVAAPLGIVTQKIG NSPYKAELIARIFMHWAELEPGTQTRYLGTVIMLCKGTQKEMLDYANDNEVPEHPHPQ LKEHKSGTMQRILEHIRLVEEDAESTLSRTSRGTRSRGGLRRIRRHNKRNSTASLSQD SRFSTDRDANQAKVLEALGAFVQSLAVLLPSADRRNRHRRGRGRPETPVSEISTSSSL ILVRELENELDEWEGDIYGVQRRQVTEADRFDRIREIFQELLRDDTA PFICI_06490 MSSSTPTAQTLEKRFVGTWWQDTVAVLRKANEDRKLPVNFDNGR ALLKAQLIAVRGLYEMEFKWEDLERLFDLASVLADADMTYMATYLEQLIGVGKASYKA RGDYMTHIFEFAKGCKDLSTLPSVKNKKGETVGPVSADDQGLTGPGADDQTQPDKMSI DIPSPLRKPEGAQAGPSGAWSQAAPRQPFDRTAGGQAKQFFGSQSKKDDKLVLEKTVE FVTLVTKLMPEEFDGTENARQVALIEKYKPAIANRLTAIDEQREELQVQLDELGRNFE QVTEAFSQLKVDQDKLADFLGRAGLDVRTF PFICI_06491 MSPAGQNGRDRAESVVVENVSYTHAMLRPPIRLYSESIPQVDPI LREFAYLERATTDPDFAVQANEQVNASLGRTPGGLSVIDPNSVLGDSGRLYHGYKDGK YYFPNDAVRTIAYTMKFAC PFICI_06492 MDVDARDQESDGDTASVVESCSICRRLWGLLTKAGSGREKIPIE DLIDQKPCNHHDPFISFLIGEMHSPNDTRRMPEVLVTSRDGEGGQMKRVRIPRQFGQR LEAWQYLFVETSTETQVVCRGRELDSDWVEVEVLRHWIQECVSKHGDLCCNPFKIQHT HPAWLIDVVNNCLVPGDGVVNYVALSYRWGSTSFLQTELSILNEIQQPGALLQPKFAN FVSPMIQYAAKLINVLGERYLWADALCIVQNDSEHSKQQLLFMGAIYASAKFTIVATD GDANHGIPGLRGISQSRNLRQDVFSFGRENKIILRDLPLLYNAGGWEVKESSPYFSRA WTFQEFFLSKRRLIIGNKQFHWSCSTATCHEELLGRDSNSHDTTAKFQTPDIVSGNPN FDELVPLFREYISRDLTFPGDALAGASGLISILARSFVGGFIYGLPEMRFESALMWYG QPKGTKRRIHSGQDHSILPGSQLPSWSWLGWQTSYLEFIDENSGELYDDRPIIIRITQ WYSHETSNSSTKFAIRSTLLHRGRDSPGNDLDDQSALAQGWEKEEFDPQKHSNKPDGH EQGLLKRGNFVYKHSRLPGKLFWFPFPLADFTESSNMNERPQHPFLSCKTRRGWFRAK RKSYHLSILGITGDRVGNVWADSLESRSAFMEMINVELVAICLRRYPRNEANEGDIIE NPQFMEKYCVLWIEWIGGVAYRKGNGRVDKLVWESHDLKEVDLVLG PFICI_06493 MAPQKLKIGAAGLGRMGKRHALNFLHRTPRAELVAAFTPDPTEQ QWAKENLEPYGVTVYTDYKQMLQHPGIMAVVIGTATSVHAEESIQAMEKNLHVLCEKP LSTSLDICRDVINAAKAKPHLKVMCGFSRRFDSSYRDAYAKSAQGAIGRPTILRSQTC DKNDPSGFYVAYAAWSGGVFVDMAVHDIDLTLWFFGDEIVPKSVSAYGVTAVQPALKK YNDFDNAVGIVEFWSGQIAYYYCSRMMAHGQEDTTEVIGTEGKLSVNAHLAQNLVQYY HPGGITRDVPAHYYDRFEMAFVTEANEFAEACLDNKPLPLQLNNAVKAVEIGAALQEA LVSGKQIRFDETGRRIENAQL PFICI_06494 MASNAEAPNLKVETKEAESSQHIEDQDALDASVKIAEHRQLEEI EDTQPGPFVWLCASAAAIGGMLFGYDTGVISGVLVVIGSDLDGRPLTSNEKELITALC AAGALVGAIIAGITADKYGRRPAIWFASVLFTAGALVQALSYSIIQMCIGRFLIGLGV GSASMIVPVYIAELSPARYRGRMISVDMIFLGTGSILAYAFAAAFTNVAHGWRYMVGV GGIISIILGVLLFWCPESPRQLMFHGRRADCERVLRLIYPHATERNVENKMISLELGV MQAKALSEEISLRTATKNIFKVPANLRAVTAACGLMFFQQICGFNTMMYYSSTLFAIV GFENPVAVGTIIAAVNWIFTVVSIIFIDRIGRRRILIWTMWAMPVCLAAAAIAFHWIP IDLNTLEVTDDHVSTPAYIVLVALILFVAFYAAGLGCVPWQANEFMPMEVRAMGTTAM NIFNWGPNIIVSSTFLSMMKGITPSGTFGFYACTSFLGWVFAIYCFPEAATMTLEEIR AVFEHGFGVKYAEEWRKQKKLSDRSS PFICI_06495 MRLRGFLFHLHLQLGLGAYVQWKLCDDFSGSTTTWESAGLHAYL EPNGSATQVTLSLHDYADLPECSNGSDITSATLDITLLGLSKRSYTPALDGPCAVGSS SIANQSSDVDFVQALSLSQTIDGLYPLSSFQLELHLYNSTTEPACISAIITPEIPSST YNALVFGPLAVLLVILVISYCRRLFEEPYVPEEDGSIRLADHDPPVVNGIGNCLQHLQ FAFLTACLNFSYPGFFQPALSSLNWLSLFSSSNGFLGGYSYSGVEDGIYVTNGTYGGT YGMEHMVQVLGAPMTMEIWINMLIIVLILLAIAAILVLIHRIITGQLQLPREHQTSST TQEVIKDTLRMVLTYFMVPVIAISSYQLDHVGELPSYFISLAVLLIVIIGTAYVWLFQ TTSTARLATLIFPVPQHTQETESQRRDLRVLVLVHFTLTFVRGIAIGGLQISGASQLA ILMGCEIAFLFSILMLLPSIMFSGLTMCSVGRFAVLSLMITYLAPSSSAAKSIASYTI LALELFILIVPILLLELWGFTNMLLSRRKASGQAPVYNLRRLQRRSYHLRQLPQRPNA RDYVPICVNCGLDPSIKSETSGTLRPPSIADSRASTLRYPRTASRALSESSRHLSLSS RDLSPSAYESLSTSGKGNHTEVSDGFATYDDFRSQRVASRGSYQSLASADITPAPGVD YSVREMDSFYREPRERNFGDAPPETVGSRLMGGLDSVTSWWKRPRK PFICI_06496 MRCTIGLGILAAISPALAATATQLWNFTEYAVTIENSALRANGQ LLLTTFDNASLYTLDTLASSPVAELVAKLPGATAIGGIAAIGTDKYAIVGGIRGNYSY TNETIYTVDFGGNSSSPVIEVVASVPDAIMLNGLASLPAYPHIILATDSRQGAVFRID TDAGTSELAFEDDLFTYPANATTPLGINGIKVSDGYAYFTNTGQYIFGRIPITDDGYQ AGDAEVVTYSDRASGYDWDDFVFDQSGNIFAAQTPNAAGQIFLDGTYATLAGGGNSTF FHRPTSVTVTPDGKTLYVTTGGNTVDGVTYSGQVIEVKL PFICI_06497 MSVEAQRAASLVDAEKKALELFKEIEKDLIRPGIREKELNDQIH ALGESRHGVRTHWHKRVIRSGPNTLAPFEDNPPDRVIEEDDMLVVDLGPVFEEWEADF GRTYVLGNDPHKKKLRDALEPIWHEVKQKYQANPDMTGEELYEISSQAAREAGFDFGA PIAGHIVGNFPHERIPRDKISLYITPGNNESLNTVGKDGNKRHWILEIHLRDTARGFG GFFEQILTAE PFICI_06498 MAPTDGAASTAAARNEAPASGDPIPGPTILFWMVCFALNAMAAP SGSDIGLGYEPRWLPSLSPVIPVFDAIHIVGTWLVLYYKSGRSYRLATAETLLNRVLG GSGVTQWVRKERVRTEIGSVLHALQQLYQTIPARTGSILAFSAFDHHETARVIAELQL QIILVRDEFNSFISSGRAHHHRKALAGLSNLLDEESKVNSLLGLLLGLNNQHTKKDEI SRLVSNFKSTVIAAQRFMGDCEVRDHTKARSAIKSLIETMNYRWFCFTLGVLPQFIKL FGSRGILWCQVCGAGYLASWAIFEALVVAAKLMNLDKPDTELSRAWDSDSTRPGNNTD ATNCLSLSGTTVERAQNMPVDGLPEKNIYLDLLSISLGAMSVLGHTLTCTFLFVVGKA RADSYHCLALMPIWINDFSNTFPLLHNFSGIVNFTIFGMSVLAILRLSFTLCLGWASG ETTTILERKDLLVFTGALVASRGLIGTICVSDSARSKLLTGLRVVFYCVPTVYYFLVR YDDDGTELLSWAEWLG PFICI_06499 MKSLTFSCFLGLLQAAGTFAIEYPASLNDTTSHTNPFFLQIWSS VNPAYAFQAIGVKPLEDGNWQAAVGQDSVVVAFQLVGGYLSITSPEGLHGAADGFGAL FGPNTAGGEFISRQFYFTNATDQTHDTWELLNLSDDGLYSLLSNEHGPSTFNGLNADA KKGFKVCTENNSTGYYIKYEGSADGAVSQGCDAIALRTYFGPPF PFICI_06500 MALAPPGIASLSQLAANNSHIIIPWTKTAVQLGGGFKSWNVKDG DPFAVPAAFDSKSLQRTVIQYTDDDGFRGSSHRTSSTSSMEKAEHLSVSAGISVDCGF LGASATCNYDKAVLEDQNTTKHSIRESVRSGTIYMASTPDLGPEAQRILRCPAELKDI AIERFKRRFGDYYVAGLRLGGDSSVFVSVDEASKSSAETFKVKVNIRVLFWSTSIEHS HEERAASQSLSFQFAAFDSLTQTHETLSNGEPFEQVRELVKVYTDLGGSLNQRIADLR DKLGLEKDKILNPSDIASICQSGLVVEVILQPFIFQRDFVAHSKRRDPIF PFICI_06501 MALLAPYNASMKLGSVIRDDKAPDDEFAPTTTEGGVSQQVSYKT TMIDKISDVTNALNISAAMSIKYDSQIDGTGQGDFLNSDKIKSSTISYLISVKVVNQT ITDRKLNKFWPVDGVAPGDFTEVYGDCFISGFQEGGEFNALISIKKSDTSNIHNFGVN AEIALTGMLDGKLEGSANGDVQKARKELLENNEVTISVSWKGGGQKLKGPDEDWTFDT LRKAAVKFPDYVAQTPMRTHIILTKYTSLRSFLPFATRFSPMVYENAGGYTTMLQEAF ADYKNLAKYIQTLAIDFDAGKTILKESEMIGARDRTAFYGKLITAANAPSAESKSPAS DTGNQQLEASKEKGVSGEGKKLESESGKAEEVASQDGADTPEEHEDDPSPELNPPTAQ GTNEPADSTKSLALRGTSAKASAGKLPKPREPLYILKPYEATLLGLEKAKRDIRLMMN RIVSEVDVVTHYPTVATDETWPMPFMSPILFKQFLPVPKPVEDKQDE PFICI_06502 MAMTLAPYNNAMRLGMGFNSYTQQLCVNDAVIKPNHVKAQERDL INRAIASGERPQHPTDPGNDGRKTGSVSRTVGGVSQTVTWSTKFVDSLSEVTNSMNIS GSLEIKYGSVGGKGAGAFIDSNKFKESDLAFHLQVKVINQVLEGEDMTEFNPIKDLPS NQFTDVFGDSFISGFITGGEFNALVCFKVKDRSKLRDIKASAEINFDKVPGLSVNAQG QVHMEEADTSAVAETNVSVSWSGGGEIKDPSIKEWGVKELKAAAIEFPDKVAKFPQRT HAILSKYTTLRSYQVAKNKGSPLDYENAGVYTSALLDAYTDYKYLYTSISEAILNVQS NGHIIYGKEDTPEIKSYRDEIYTDYREKALIYLETSSHEESEVGRRARQLLDGGRSSV DPNVVSGMAVVKVSDASRPESPRIVRPPSKPNQVKPYHKSLLGLEKARRDCRLEMIKI VQEVDEVAIDPQVAIDPSRAHVFLSPVVFKMLVPSTALPPRPSPEELEIQRLHYELKS KETEYKAKYEELEQASLAKLETLDQQLEDRDEELQAKQDEIDDLRPDAQATRQRREEE KRAAEEKKKREEEEIKKNAQQAVKDLISNAESEAEKLRKDVERLTKEAGDKSKEAQDA SEALKAAQKSLEELKSSDTANSDALKKALEQEKALTKKVNTLTEQEAKARAAQTKASS ELTKKEQNIEQLTADLKKKDAELKQLRDDIRNLKSQADMIARLPLRVCQFGSLRLPDL LLQEVSDFEKWSQNWRLGYIGGNLSLTAKDKDETPVFNFGNKVNNAAAITNRHTYIPT KITLYPRSDSIGGIMITYANKFTFQVGRLEGSYESFSLSTDERVTWYEVQGNHSKEFG KPMVTVLKLRTNKGKSYSWAAKSRLSEDENIWAESAPAGFSLRGFWGQAGWGIDRLGV VWARDS PFICI_06503 MISRSRNGCFNCKRRKRRCDETRPHCHACIARNVECEGYQRPVR WVNGVASRGHLAAAPMGSPYSEARSVGLSALPVTAARLPMPARIDVLGSTSGNDVVLS AQEDEERLLFRKFLEDGIQRVCNAQIGQQLVLFFKDISRRSRTLVMVLAALQLLLDNG PDVSSLAFIDRAIGAFRSELSELRYPGSIDLLSCGLFTCTICILQAQPFTQYLRPLTE IHNLTEGFDKIDPELASNPISWHLVEVLSVMDLPPFVVGRRSPSLGLWKRIRGRIPHD NHTAHSDVEIVSGMPKDLLDIFADIMDFRDETIIARFWNWRCSLADPIQTHRCNSWRY AGILDLRRRRALSAMQHPNDHTSDKHFADPQEPRSETVLTGLLSSLEAFCQGCEAAGY DKQIFLNHGLLYPIMLASLEVKMLDANPSWRQLLDNAKEKISHRLQIDSAKPLFDLLD EAATGHHDLFDIETVAYNRGIEIALF PFICI_06504 MAFIFGLTIVAFGMLMVQTVFWAQMEEELGLTVTELTNAQSAQL AGLAVGCLLFIPFSVKYGRRPAYIISTAALTASAWWSARISTFWELIVSMLLCGLAGA INETLCQMTIADLFFVHQRGLANTIYFVGVTFGSFLCPLAAGVQATVYGWRWSYYTFS ICLTILFLAFCVLYEETKYVPTHVGTPHVLSAAPGSENDLEDTKKGKDGEGVGIHRAQ SHVSRLGTYKVNSYRQRMRMVTRTDESLWKLFILPFPAMTLPHMIYTSLQFALGVAAL VVLSSLTSIVFSMPPYNFDASGVGYMTLGPVIGNIFGSIYAGPFSDWFVVRCAKRNGG LFEPEMRLYPLCVSTVAYAGGLAMFGVTADRGMHWIYPSIGGALFAFGFGSTGAIAFT LLIDTYRDLTAEVFVGVAFFRNAVSIGIASAVVPWWTSMGMSNMFIMLGMLSFGICLL YVPLVIWGKQIRSRLQVHYENLIAKKGVI PFICI_06505 MSSDTWAIPNVQQSGVTRMILPIKGGTVNGPRITGEIIPDSGAD WLERVRPDKVLSRLHAMYTLRTNDGHNILVKADGIFKEGPLGSFAPSTNMTATQDQVE YFTHIRFEAPGDSPYDWMNDVVAIGAMTMFEGKPIIDCYSLTNFPRVD PFICI_06506 MAPWQQLAEQHRNGQQAAIPKAWIIPDSKISQLVGAGTPSEGSL ITLKAAQESGLLSPLELEITEKYDASDLIQKMASGELTAEDVTVAFCKRAAIAQQLTS CLTEIFFEEAIARAKELDTQFKDTGKTAGPFHGLPISMKDSFCVKGHHSTMGYVANIS RPAAADNSPLVDMLLEDGAVLYCKTNIPQTLMTADSENNIFGRTLNPHKTSLTAGGSS GGEGAIVAFRASPLGVGTDIAGSIRIPSLCCGVYGFKPTADRIPYGGQGDTPFPAVHL PGTIVPCAGPLANSVQDLEFFMKTVIDKQPWRYDHTSFNVGWRSLESSADKALTIGVL PEDPEYPFSPPVRRCLDGACASLAAAGHKLVYIPEDKLSSAGLAGRLAYQFYALSPSG FDSREEIIGEPQVTSVAKGVHPFSQAGFPVDPALGLEDKFHQLNGARDAFAKAWQKIW RDNDLDVVLAPGANTTAVPHDAYGVPVYTMIWNLLNASLHFFFPAGIIPYGKSSKELD STPFKPTAPFEAEYDPQAWDGAPCAIQVVAPSFKDEECLKAMRLIDQALHRT PFICI_06507 MIDDTRILQADGNAPAAIPQPSLSGIVQQILTHPVTRDHAVRWA DNLRFLTFDDSDDESSTESQNNIVVGREEEAHARGQCEPCSRRVPVPSGHVCEKHIFN IGHTGDKSWTESRDDRLHQFRDPCVHVLERSCEACQHIPLFPNERKATRFRIRRLSAK DKHTDCDHFVAVSYCWSNEDSDAKMAPYKIVEENGQVRDARASNTTLDRVVAFARENG YRMIWIDQECIEQDNEQEKELAIQAMDYVYIRAHTSIGLFRAQLQQKHLDPLLLTYEA EFSQRLTQRRGRRPFSGCRKLDLEHLAEAVSLIVNDPWNSRAWVLQEAFASSGNMVLL FPRSSDCDTRGWLLPCHELSQSELAIRLDSIQDCLQICARFVLPFLSQALSSKAVQGK NSRRRTGKKSSTSKILGSEEDIRMTLKRFRLFHPEEPKQTLAIWVNNGKPRRTCNAAM ALTYLQLRDLMRVADKLAIVANICGYDFRLDTTELEKSQSSLAICVLALAIGNGDFSL LVPQVYREPETTCLGKTSEDGSEFSWARGVAQSLQDLQMTDWNPFGSSSARVTSTPVK LSQNGLSTPGCLWKVDEFVDLGIIQAKYADSWLKIRNAKGPSCPSSRTIRMATTHLLF EIIEFLSKTDKKRLANSILNSTSSWMWSNRRTRTATDMIESVDQFPSGLRIENRKGMF ALDYDSAGWPYQCWLIDRVMKDGGIWAGRLVAHPFEKGLEIPSTTASQYAELQHDGVD ASSTNGDDPNQDDIVPRSGMSLPSEDKDLDSLSAEAIQGGSTMQNRGHAWRMLVSTMF VMINDHQMNKEDDDKKVMRPNGPGKVISSQSMAMFGLALSHAANDSNDKLERMATFDV AGDPEGNTLVLTPFQMMLESIPRPGMRAMSVSWSVEPTESAIIADNEVTSGGPRPFKV KDRVRGMWEFADPSHISGRYIVI PFICI_06508 MQPIPHENLLACTMVPPPPPPPRMISEASSDSWSSDSDHEEKGK SQEAIQIGMTTETKDLYREDPRTAWEEWAPEDIGINSKSTPASAKFALIVRREKENGD TEEPVLALHSITVQSPLLKKQLGPVFDGYHGINTNLKKLEFRAPFREFFYRWDKFILA APDQEEEVAAKHYKLLFNVISNEIQPHIDHAADLLKNGVISFDYVWTLFEPGSEIYAK VDDQDRLFVLNSGNYVKFPDGQQIYSLSCRYIDTNGKSFGYATTTLTISEFHNVKPIC DLAILPSHLQADIAGIRSRLESRGRKFESLIGMNYKAYSGGYNLRKPPLGASRRQFVD DGRVMIDCSSFDRYDSHGPITLAPLDDAPSTKHTDLSQALPLLDESDSEDDKMPPIAH LMRGMIIKNRKRLAASNKENLAYFYVDQIRDIIWNQGAFDQLVLPHDYKQIIHAFVDA QLSHVDDFDDVIKGKGKGIIMLLSGEPGTGKTLTSESVAEAMEKPLYSMSAGELGDDA DVVEQNLHRVLELAAKWGAVLLIDECDVFLEQRTTSDLHRNKLVSVFLRLLEYYQGVM FLTTNRVAAFDPAFESRIHLTIHYPQLDRSSRLHIWRTFVHPDSAGKTSAVQEADLSE LAENELNGRQIKNVVKTARLLASKAKAPLSMDHISMVLRVKRGGPAGFQTDLTNRVSK DANGS PFICI_06509 MGSVVPQSDTASTTIPQVQTHLTLAGKIIAVTGANRGIGLGIAE CCLDNGAAKVFSIDLADPGEEFAAVSERYPGRLAAITANVTQEESITAAVDKIIEQDG ALHGMVVNAGRTNHKAALDFTKEDVENLFAVNLFGAFYTARAAARAFIKLNIKGSVVF TASMASYRPNKRVPSAPYGASKAGVRNMTHTLAMEWAKYGIRVNSVSPGLVRTAMTYW VPQQPDWEQQLKYYGGFPRLAEVQELGGAYVYLLSDAASYTTSIDIPVNGFAEKRKID TFLAQRLKCRPVIEEDELEFIR PFICI_06510 MAPPWRILAGKTACVTGGTTGIGRAITLEYLRQGCNVAVNHLGL ERDESLRRSLIQEADAIRSSGPKGHAAAGQIIDVQGDITDPSSSETLIGEAVKKWGKL DIFVANAGIFKPCPFLQIEKDFFDKSINTNVNGTFYSFQAAARQMVKQGTGGSIIGVS SISALVGGGLQVHYTPTKAAVSSMIQSMAIALAKDKIRCNALLPGTIHTQLADEDMAN EVKRKYLEHRIPMGRVGRPEDMAGPAVFLASDDLSGYMTGSQLLADGGMFVHLQ PFICI_06511 MLDINQRHRWMVAGFLKQRKLLIAINCLAGMSIFFFGYDQGMMG GVNNAKDYVDIMRFGYVKEDGTAVVTDALLQGGIMSVYYLGTLIGCLLGGWFGDRFGR INAVALGALWGTIGAALQCSAMNSDWMICSRLVNGIGTGILNAIVPAWASELADHKSR GTFIAMEFTLNIFGVVVAYWLGYGVSFIDNGNSPVRWRFPIAFQIIPLLFLLFGCWLF PESPRWLSRVGRNEEALYILQRLRGDTGQEAELAQQELDEIRQVVELERSSKGTSYWQ MLFGLKSGQLHTGRRVQLVIWLQILQCWTGIAGITMYGPTIFAIAGFGPDKAQWVSGL NNIFYMFATLICVFTIDRIGRRWTLYWGSVGQAIAMFLVGGLSRGGLNATATGATASA TSFGAAAAAMVFIYTFIFGATWLTVPWLYPAEIFPLQVRAKGNAWGVVGWSIGNGTLT LVLPYIVEAINEKVMYIFGVVNVISIPIVWALYPESNQRTLEEMDLLFAAESPWSWAA EKKFRELKEENAHARGFVSGDDNKMNAVHVEGAKDMA PFICI_06512 MALLLHKRVARLQGLQKLNLTTSISKSLGYGFVRYIQTQPTRYT LNTGDKIPAIGFGTFQDPGAQEDAVSRALQAGLRLIDTARVYDVEKQVGKGIKASGVP REEIFLGTKLWCNNYHPDDVDGALQDSLRDLDTPYVDLLLMHYPCTFKRGKDRFPRDG DGKMIHGETNYVDTWKAMQELVKTGEVKAIGVSNFSKGEIETLINETGVVPAVHQMEV HPYLQQKAFNKWLDSQGIHVVQFSPLGNMNDFYRTTGWSKEVSHMMKVIDQPILKEIA AKHGKSAVQVVLAWGVNSGRSVIPKSTIDWQIKENVEADFKLDPEDMEKIAELDIKAR FNDPSLDYRWRLYSDLEGIEGTVEGKTH PFICI_06513 MAEFKDWKKLNKTIQILEAAEKGGYGVIAAIAYNIEHILGFVRA AEKAKSPIIIQFFPWAITFSDGLLVRTAADAAKRASVPVAIHLDHAQDENVIKRAADD LPFDSIMVDMSHFDKEENVKKTARWVQYCHDRHIATEAEPGRIEGGEDGVMDTAGLEA SKTTPEEVDDFINTGVDALAPAFGNVHGEYGPQGPDLDFVSIKNQVGGRVRLVLHGTN GFSAETMSQCIAAGVSKINVNRLVLDDYYAHLRSEVCNLSHTSLIEQGVDKVIKQTMQ WMEICGSVGKATIEC PFICI_06514 MAQSWVRQHDDAFQGILGPSPQLHVLIEVDEYPFAHEAGVFIPD TNELFITSNQFSGPSGERKVQISKCVLDRSTGRMTREEISNELIQMANGGVNFHDGIL FCAQGSMTAPSGLFRMASKPPYDTAPVVTSFLGRPFNSVNDVVVQSDGSIWFTDPIYG FEQGYRPPPSLPSQVYRYDPSSQGIRAMADGFGRPNGLCFSPDETTLYVTDTDRVHGD GSVDDSRVSSIYAFDIVQYHGQPSLVNRRLFAMADAGIPDGIKCDMTGNVYSGCGDGL NVWSPGGFLLGKILVDGGCANFCFGRDGEVFILNEHRLWRAQLAPTVKGALLKI PFICI_06515 MVLYQPSDVNIPVLSQFSLKGKIAIVTGGSRGIGLQIVTGLAEA GADVAFIYHSRLDANDTAASLATKTGQRVRAYQSDVTDRLRIAATINQITDEFGNGHV DIVVANAGVCANVPSLEYTEESWQHMNGVNYDGVMWTALASGKLFKKQGRGNLIITAS VSATLVNTPQVQAAYNSSKAGVVQLARSLAVEWVDFARVNCVSPGYIMTEMLTRQPPE LLSKWLAQIPGGRICQPAELKGIYVFLASDACCYMTGSNLVIDGGFTIP PFICI_06516 MESPDKTVQGTSVFGLRAMGYQKVNRLGRSHLDGRVKYIPRFAL YWGHPRIVQKRLRYVDLTGDSRIGAVLEKESR PFICI_06517 MSTPQAFVQDPRFDRVFVLPADLPNGRANPFTVQYSDYGYRNEE APENENVFLFFGSLLGSRLVHVAKDELAKQHRIRIINPDRPGVGGTDIVKPECLMSLW RETILALLRHLDIKYVSIGCHSGGTISALDMLVHHPEILPPENPGYIAIGSPWILPSH TGSTMMSIVECFPSSVIGQVDKIARLINNHVGPMVGLSVGVSYAMVNKLMPSPALESA GNSHTQSSRTFEERVWPEIIQRIYDSNIKGMSTDAIMFLQKGCFSQAGWGDWGDYDKL VPRLSQILRSTGRNLRIDVFHAESDSLVGNAGSRGSLWFDQCWQAGNEDVINYSSAKV IGADHDGVWSLKWSAVHTVFERINGKVEDR PFICI_06518 MDGEKRFTRGRLSRGKGLRKTTGCATCRRRRVKCDEHKPSCSNC IKSKRTCSYESQQHRQDIRELSTPKPTNVPAQAVATAAAAAAASPAASHLGDELTIDH GSPPLTHCACCNLGDACEKARPSIITSPNQYVICRNNDGLVFESTRKAGDTWATPKGH DAPYDVTSATDSPSNCISAGPHHEDIAPRSSAHVEHGITDSVAVRTAVSNGSNVETAT ARWLGLLIGDVTANGLLSEINEEGAGINIFGNSTVPPTTSATSQPNGVYQSEASAQPN PALMERFIKLGGEQMLEKQAWHSENIIELLPHEKLLLQSFVRHISRWMDFFDPKKSFG TFVPRLAMHNVGLMNAILCLAARYSIVRSTTAPQLQKSQSFEQVDAIQYYYKTLRYVQ EAMQFDTYKTSLELLSTTLVISTYEMLDGESVNWERHLQGVFWIQRSQTIHGDSKGLR AAVWWAWLCQDVWAAFRERRKPFTFWRPVRGLSELDPHELASRSVYFFAQAVGFCSKT GHDEDGENDLTAKVLEADHILQMLEDWRQHLTVEFEPLPAPETEADAIFPAVWIHPST FVALERPLLGGMSEYLEHRRLLSKCIRMICGIAKMQDDYESSVLSSQCLYIGEWTIEA NYEEYRG PFICI_06519 MKFSNLAASIASLTTVVAQSCSLPSTYSWNSTAALATPKSGWVS LKDFTTVVYNGQHLVYATYHDTGSTWGSMAFGLFSDWSGMASASQTQMTSSAVAPTIF YFAPKSVWILAHQWGPTTFSYRTSSDPTNANGWSSAQPLFTGTISGSGTGAIDQTLIG DSTNMYLFFAGDNGSIYRASMAIGNFPGSFGTASTVVMSDSSNNLFEAVQVYTVSSNQ YLMIVEAIGSNGRYFRSFTATSLGGSWTAQAATESNPFAGKANSGATWTNDISHGDLV RTNPDQTFTIDPCNLQLLYQGRSPSSSGDYGLLPYRPGVLTLKR PFICI_06520 MDRDRTITRCEIESKIADGQTLVIFEDYVLRLDSWLNRHPGGRL AILHMVGRDSTDEIKVYHSETTLKTMKAYRIGRKPNGPWINMTPPIRGGVYTKQETTN ATVEDDVSDSSSTDADADADAETTDDDRSHLSDRTSLTSECSSVDGDASAELAADQTI TKRRTAKAQSHADNDASTSALPTVSAAEWTEKCIQDDIDRDISEYPSLNPLVQADIVQ KYRELHQKVQDAGLYNCPYLDYGKEMARYTTLFVSFLVALRYEWYMTSAVFIGLFWHQ IMFTAHDAGHGAITHNFSFDSLIAIFIADFCCGLSMGWWKRSHNVHHLITNHPEHDPD IQNVPIFAVCPEFFKSIKSTYYDGFVFAWDAAADFMVPYQHYTYYPVMAIARFNLYLL SWGHVLSKKSSSLGNTTAWWIRPTEITFMACYWFLYGYCLVWCALPTWTIRVAFVLVS HIVPMPLHIQINLSHWGMPTSDLGETESFPQRQLRTTMDVDCPAWLDFIHGGLQFQAV HHLFPRVPRHNLRKAQVFVKEFCKETNIPYTILTFTDANKKVLGRLEQVGEQVKVLVQ CQQHMAREGEMGLH PFICI_06521 MASLDQSRQNAVREFSQQHPNIPYVVPSSPDYAALRATFIVDNP AVPIAIARPQNADDVAAIVKFCVARDVPFVVRSGGNNLFGKSQVDGALTIDMRDVQYC HVDESKTSARIGGGILAGTLVQSLSQEGVVTASGTVHFIGYVGWSTYGGYGPFSANFG YGFEQILAAKVVNSTGEIIDADSDLLRGIRGAGGSFGIIVEMTIRVRPLTKILSGFMV YDTSKVINTVATYCQGLQGLRDDGWPDPLCVAPLFLCTPDGLKLLSHFMWSEDNEAAG TSWLERVSQLGRNLHNGVRRTTILEAMGDFNRAIPQDGRGSVNTVSLRRLTDECIAVI AKYVECMPRYVGNGFAIHVAPKPAQASVHDSVFAVTEAHYMLELLATPRSEEGLHESQ RWGADFVSELLKTGSSNILPSTYINLTPPGRTTLQQVYGDNFTSVMELKEKYDPHGVF KLATPFSYIPPADSVENAC PFICI_06522 MRFRAIVSSSLLMLSTVLGSTFTTGSKEDLPVIDLGYELHQSLS YNKSSEVYLFQNIRYAQPPTGERRFRAPAAPKIDRTAVRNGSELRNCPQGMPVWQAKA YGPIRKFSDPRNSFNLTAWEEAIATARIPELELNAETTEDCLFLDVHVQKGIFDRAQQ DLQSSQSSDGAPVLVWIHGGGYTLGSKTGAPTPVFFPDGLLAQADSSDEGMVFVALNY RLGALGFLAGPEVAADGDLNAGLLDQRLALEWVQKHIHLFGGSAKRVTVMGESAGGGS AILQTAAFGGKKGPAPFAQIIAQSPAAMPNAQPVPNAFSDFLAALNVSTLDEARRAAS SDVIRANEVQIDAAPAVNYNFGPVLDGTFMPNTIGKALSSGAYDKSVQVLSSHNLFEG GFFYDPTVRTEDEFRAWLDRSIIGLDQAQTDYLSATLYPPNFDGSLGYVDQATRQMAL WEEAVILCHFQSLNQAVGGNSYAYEFGIPPGFHTQDLKYTFNDPTSPVPFPQAQDLIQ RSIATFVKIGIPVLDSKEQTYPVWGSNHSTLKVAEIGAQVGRSGINETRCTWWRDV PFICI_06523 MRIQILTCVLPLAAAGVVQRKSDDVVDYIVVGGGTSGLVVAKRL SEDPTVSVLVIEAGDSVYDNENVTDVNGYGLAFGTDIDYAFESVNQTYADGKAQTLRA GKALGGTSTINGMAYTRTESAQVDAWELVGNKGWNWNNLFPYYLKSEHFQTPSQAREA AGHLSYEAQDHGYDGPLLTGWPYSVTNTSLVNDVASTYDAMGLSLNSDLNGGSMVGFS VFPYHIDQELNVREDAARAYYYPYQNATNLKVLLNTRANKLVWASNSSNGATAEGVSI ASSNGETKTIKATKEVIISAGALSSPRLLELSGIGNPAILSQYGIDVVVDLPTVGENL QDQSNNGIDFGLANNYTLADKTALVAYPSVSHLFGNQTASFAQQVKANLSSYAARVAS ANGNVTRPTDLLEFYELQYDLIFKSQVPFAEVLINFASTEWASEFWSLLPFSRGSVHI RSSDPGVAAAIDPKYFMLEFDGRAQAEVARYVRKLYSTEPFASYNSGEVSPGIETVSA SADDEAWVSWIKETYRSNFHSVGTAAMMPREKGGVVDTELRVYGTSNVRVVDASVVPF QVCGHSVSTLYAVAERASDFIRGKQ PFICI_06524 MHSQLSDFSWAEIVAASVPALPELGFISYTIVTLGETPTMDIKF YLEATLHYAFSSTIEIYSFELRPPDPEDPIPENVDIGLTTGPGQALDQLKGWLEQCNT SHEGCIARIAHDKSPSQYPTRLVDVGTDVDPCIRLCITKNSPIQGPYLTLSHCWGKIP TIKLLSENVANMEKEIPYSALTKTFREAVIVTRNLGVRYIWIDSLCIIQNSTDDWRSE GLQMAQVYTNSYLNIAAAHSTDGHGGLFVSRDPARIQPIHIKTDWVGIGKRKLFLRDE KFWCRAVDQAPLHRRGWVLQERTLAERTVHFCTDQIFWECSDGTYSESFPSGPVYGSV FGLSSRIRFPPDDVGLHNINTWAESAWRTTLQVYSQCAITQPDDKLVAVAGIAQRLQA ATGWHYIAGLWRESIPNNLHWQVLSPKEASRPENYRAPSWSWAALDAAIAPQLQIIQR PETSLKDWHVQTVTESPFSQVTDAYLRLQWTRLYAATLECDKQGICSVTLDNEVVLET TAHTEPEAITKDLGSGSFHLDTLPPPGVYTVFILPLGQDYFSTFMVSLVLTPVTGDSC GLYRRFGVHEARSISVIRFLTYENKIDQSKWYEDAEDRTIRLI PFICI_06525 MIGPPVVIPMSKSARDALIANVVLLLASAIFVVLRIYGRVTGPG LGIDDLLAVIALVVTICVIGIQVAFSISGNGYDYLPSTPEFADLTADLEYIMKGLFVC ETLYLWALTSLKLSVLLFYRRCFGVSNHMDRAALVMIGVVLAWAITFTFLFIFLCDPI QQQWSTARIGHCMDQITIVIWLCATNITTDLVIMGMPMYTIWHLRMRKTEKLAMASCF ALGLACCVIGIFRIVELTQVDLLSNITGTMGHTILLLGLELVFGIMCTNIPMMRPFYA KYRSRKSSSKLLEEPKCRSQSNNTGSHASGARQRIARRLGIPTDQTIGVETALELENY HVLGIKNKALVEHSVEENASNDGDSERRIADSVSDVFKFLVKDKGSCDFAIRSSGHIR WAGASNIEGGIALDHYGLNRIDISDKSTLARVGPGSTWDSIYEKLDPLSRSVAGRRVA SVGVGGLTLGGGISHLSCCHG PFICI_06526 MGSIVRTAQDGLAAVLSPVNLISLAGLYLAYRVAVALYNISPLH PLYKFPGPKLAAMGYFYEGYYDFWLGGRYGHEIRRMHKVYGPIVRINPDELHCNDPNF TDEIYAGGGRVRDKWQHFLNTSSGPITVGTFSTRFHESHRVRRGAMAKYFSRGQMLKL EHEVYDFVQRTIDKVLRTKGPFNVKDVFDCFTADIISQYAFGEPMGFVDQEGWTPNFG TWVAPFFDTVYMMRHVPVMRSLVGLAPMFANYMGEDVKALFHELGVNIPGYIQKALDN KEDGRVFADLISSPLLPDSDKTMYRLSGQGFDLLSAGTETTAATLTCIVYWLLAKPTI YTKLMEELKGTDPNNLKWTELEQKPYLWAVIHEALRHMPGISHRQVSIQGPTRVAREE TLIYKNEAGDIEYVIPKNTPVGMTSKINHSNESLFPDPDGFLPERWLLENGQQNYSLE KYLISFSRGSRICLGMHLAYCELYLMTVAMALRVLPKAKLYETTIEDIKYDHDAVVPH TTKGSIAVRIELS PFICI_06527 MAKTIQFLGLLAQAILSLAAEQDYTKDHPYIIPPVPNGLAIEKP NMILFMPDQLRFDSVGIFGNDIVQTPNIDAFARQGVRFTNTFAQASVCSQSRTAMFTG QYPHVSGHRSLENLLKPWEPNVFKALKDQGYHVAYLAPRGDLYAEDSTELGVNEFGFL TNQTLPSFMSESGFDLSKGENDIWNRLFYIGSRPQNETLDYDELLTEGALKWLETPPA EPWVLFLPLLFPHCPFKVEEPFFSMHNRSTIKQPVSRSDRTGYTPKYMDAIRESYGLD RATPEIWQEVIAVYYGMISRVDWQFGRVINKTKDLGLWNKTITFFYTDHGEFLGDYGL IEKWPSAVTDSLTHDPLIIGGGGLPEDVSFDEMAEMIDLVPSMLQLASANTTYAQYGK SWVDGIHALGKGEFLAHKDYSFTEGGFLLNEEPLLEQSPFPYDIKAGLQHNHTEIVGK AIAVRDKSWTYVYRLYEADELYSRQGDDPHEAKNLAADPDFQDVRARMREVVLKWLMK TTDVMPFYKDVRVPEVVHLESPWDQYQERLQEL PFICI_06528 MDAGKWVLRSPYGFENLTYEETSENEPLGPQDVLVRLHAASLNS RELYVLKGARLPAASAPMKSPLVPSSDGAGVVVEIGRDVTLFKPGDKVITHLAVHIPD DALPVMDDIQNGLGHLSDGTLRRLGTFHHSALAHMPQNLSFAEAATLTCSGLTAWNAL MGLPGRPVRSGDFVVVQGTGGVSVAALQIAVAAGATVIATTSSDAKAARLRALGATHV VNYRTTPEWGHIVRSLTPEGRGADFVVEIGGPSTLAESIAAIRTNGVVALTGASGGWD DSAPNMMQVLTSVIILRGVLLGTRQMMREFVEFIHEKGIKPALDDIAFEFENVKAAYE RLDRKEHFSKVVIKIQPDM PFICI_06529 MSPVGIEREVAFTAPNSGRACKTWYKIVGDLTTASHPPLLALHG GPGAGHEYLESLADLLDRYGIPIIFYDQIGCGRSTHLRDKMGDEAFWTFDLFIAELNN LIDHLQLRDRGFYVLGQSWGGMLAGMFAARRPQGLVKVVIAGAPASFPLYVEGGKRLR AKLPADIQSILEAGDQSGELETPEYERASAFFYKQHVCRIDPLPGPVQQAFTNLKDDP TAYNTMQGPSEIVITGNLKDWDGSKEAQNINVNALLLNGRHDEVTELCVEPWFKAIPK VKWVVFENSSHMAHWEERDRFVELVGTFLTSH PFICI_06530 MRSFIHLVLALLLAALPSSVLAQSSSLSAAAALLGTLPECALEC LSAGIADSTCSLTNQTCVCSNTPLLTNVTLCVTESCTVAQALFTKNVTETICQVPVRD KHQQSYVLTVAFGVISAAAVLLRLGHRVAVTQVMPSMDDWFILITLLSGIPSTIVNAL LVIPSGLGRDIWTLTPTQITDFGMYFYIMAVLYFLQVTLLKMSLLFFYLKIFPEKGVR RLLWGTVIFNTGFGIFFVVLTIFQCQPVSYYWTKWDGEHSGKCLSISGIAWANAAVSI ALDIWMLAIPLSQLRKLHLHWKKKIGVALMFIVGTFVTVVSILRLQSLVLFVDSSNAS WDFVGVSDWSVIEINVGIICACMPSLRLILVRIFPALGGSSNRSKNYLQTGSLNYAKG RSRAGTGGQMPSNIQYPGTAAKKGIIQQRTYTVQYGDNDEESLVKMQELDYKGHKTHG PTSPKSEFSI PFICI_06531 MRPFSIVLAAAPVFAMGAHASTCYAMPGDSSWPSAATWSQLNAT VGGRLIATVPIGSPCHDPNYDAVACAALQDEWTLPTPHLNSSSSVMEPYFANQSCDPF TAQEKPCLLGNYVHYAVNVSSTADVVATVKFAKKNNIRLVIRNTGHDFLGRSTGAAAL AVWTHNLDSIEVTSWSDSTYSGAALKLGAGVLGYKASEVAHDNGLVVVGGECPTVGIA GGFTQGGGHSALSTEFGLAADQTLEFEVVTAAGSVVTASWKNNTDLYWALSGGGPGTY GIVTSMTIRAFPEANVGGAALAIAAAYTTTENFNEAVSFFHALLPNMTDLGASVGYLV TDEYLQINPVTVYNSTGDYVEDTVLAPLIAKLAELGIPSSSSFTTLSYYEHYATYMGP LPEGHLAVESYTFGSRLIPRSVIEDDKDSFDAVVQNLTANGVILGVSAGSFTNIQGAT NAAHPAWRSAAMQMQLITLWNNSADAWDANLAGQKQMTDEFVPQIQAITPDSGTYINE ADFNQPNWKVDFFGANYNKLLAIKNKWDPTGVFYILKGVGSDAWTVAKDGRMCRA PFICI_06532 MSSRTDDQERGRPGKRERLAVFLGLRSPSRQREATTPEFHPEEA HPSTHKDDTLHNTQIEIPKQREDGRVDAWDTAWESIRSDDPRLVDQYQKYVFAQGDPS YQDGAKFDQMSGKDKKDTLLRMNKSKVAEIQAKLADGSLESQIKHIVEGAVTILNKGS DLISFIASQEPHAALAWSGCSAILGLLLTARSERNAALEGFADVARILCRYSVISGFY LVKLYAMIIKYQIRLVLRYDHIAALRFLRDVVKTDDWVSLVKSIQELEESNLKDINAI GQHVLHRVQGAIQRQERQIAVGFAEMAQNLQDIQAGIENIRLENSDRHNAAEVQNILN AFNTKIDYERQKDRNSACVPGTGNWVFQHPEFAAYQSAQGPQLLLITAEAGGGKSTTM KTMIDDMKASNNAALVAYFFFNDNDRLRSYNDALKAYQKCGEAIRDQSGEMWQIILSI ARQAKRPVVCVLDAVDECAQSDQKKLLTDLADVIHRRADPESSLRLIVSTRPYEDENH PYTDLVRTGNVRHITGENSEVQSDINQVIRVKARELSQKRELDQSIEDMLVRKISAQN AHTRSFLAVQMAFELLDSHHDMHKGAGERKISKILADIPNRLGDQFDKMLQRSSDREH AWKLFCIVLAARRTIELYEFKVIYSLTEPRSSGIAQAQSYKDLELVEDDEEFKQLVRS RCGLFITFVQDSVHLFHQTAREHLMAREEEPVGQTPSAYLAKPPSWENGNVDTKLRNE STWKGCISKADANLVCSLLCFDILTFDVSRDWMLEMLKDRRLFTWATPSEFTDLAERP MFLYAVLNWHEHFVLGGAKALEALQDPHYATILDLSSITFWVWFVALLHHCQWGNARE PPSSVWLCGVPSRFLYKSAVSMLTKGCLEKVFPMDESIHALFKDAVTPSDTDVSFAGG LQWRAKGAYDLVDAFFLGCQEYHLLSSHQVVQAMEAGDNERKRVMSAHMSPTVATLIW TCIAHNAPTALNTTLDSVTDLEWLTDIPEHIIYQAVEVNYFVSISGQPFAWIKPWQEP WPPTFPILLSAGTSPTSDKLFGVLGDWMERSPRAKDYAQQLWEAGGFTDSSLCRRLVH NGASVHSEMWSDGRSALQIAAAFWAHDAIAALLDLGADPTICSRNGFNALLWFLKREG QFARPGSRGVLASGERTECSRKSRIAASIEALIQPSSTHTSALQISLRDGVTPLMLAV RTSATATKILLEKGAAPDTQDQDGRTALMHYFCDGLAAAKSTSALKYLLEAGADSRIC DLSGQTVLGHWARSVARKGLFDVSSGSSSFNRAFHELTTIGALSQRHILKQELSTLNV PLAVAARLGNARLCWALLDAGANPDKHGIDAHSPLGQQGSGSNKTDDLADLAWNPLLV ALYFKAYTTAAILLQYKANVAFQVPDRKRTRYNKYHVKMAGCTALHVAVTDTDDNFEL LELDRRRLCTETFGCIFKSAALPDNFIPNKSTEGDLNEESIEKPTKHEVVSESEFDLV FCKLPVDQLARMGDDVESFDKLFDSKLEALNPFDSPLMTDINKCQSRDDLCSTLVEYM LQNGSDVNAVTRGGMTPLMACVAQGNIRLAKLLLKYDADPNVSPLGGCLPLVLAAQEG CQELVKALLEAGADPNAQLKVPPPDVCDCAALNEWYPRRGAYCIAPLTALAVAANQGH CRVAETLLDHGADANLAILHHVHITVPLTRDGLRRNKPRMLFDSADFESGPTPVRRKG YISVGTALTWANGKARDLLLQRGADPSRQEAIRECGCPSLEKGTEKDSFELDYESGTE YATSEGSGDSGVELPWRRPHRQESE PFICI_06533 MKSLTALALLAILEAVKVQATTYGFGPYFSMGPTSSWIREANTT LVLPAVPSPAVDRLALWPGMSTSDGDLIQAIAVATKDPNAECGGSTGQWCVFASVLES EQEEGDKLGENAGTALVIHYKYNDSTEKYDQTVSINGVVVSSISTSSGEAAGFGTAVE CQDDACQGSADAHQYLDTTIVLDAADTSFINTLSLNEATTSAVSTTDGGKTWVVETID IQAYTFNN PFICI_06534 MGILARIREADLCKEMTWRLFIASIFCSLGGLGFGADYGFWSGM LGMAEFKKQFGVYDETTDSYTIPSVWQSVGSAPPTAGLAIGALISGFLGSKYGRLNTF RGSSVVSVIGILIQSTAISSYWQIVAGRIVNALALGVLANTVPAYLAEVAPLSIRGTL INCYQFSIGVGAVLINTCNWGMHERTDQWAYRLTIILQFIIPIVYIPGSFFIPESPRW LLGKGRSAEALDSLMILRSNMPRDMIEQEMKNKSRFSGNWIECFRGTNLRRTLIATGM QCLQQAQGSSFMSSYAVVFFQAIGVQNEYQIIVLLLFVQTIASAFAFYLPDRFGRRWI LIINALVMAICMYVVSLVKGYSFANNQAGTNGAIAALFIWQFSAAVGWSSCVWIVTAE VPTLQLREKTITVATFAGFCVSILVTFVNPFMQDEGYGNLQGRVGFVYGSFSIAAALW TFFVYPETGFRSLEELDEMFYKGVSVWNFRSYQTSGFGAQLAEVEHGVTHGQVAASNA KLAYLDTAKQ PFICI_06535 MAKNQASIDDPATWHHFATLDDEFVQMTEKQEVKPRFWQDYKLS SLPATRARYHAVFNADAQKALKKAEHQVCQEEIFIPVSDGANVRALVYRPKEEAPASG LPMVVLVHGGGFIIGNAEMETPTCIRATQSYRCISVSLEYRLSPEVKFPVAYEDCWDA LKWLSEHASEMGADLTKGFVFGGTSAGGHISIPLTHRARDEGLTPPLTGVYLNVTPSL VPQSLTDDLIARYKHLYQSRGVLKDGITLSSISMDFYDEAVEPDFSSPLWSPLLWPTG HAGLPPTFFQICGADMLRDDALIYERELRMDHGIKTRVVMYQGLPHVFWYNYPDHSAS KKFVEDASNGLGWLLGCVP PFICI_06536 MLGSTSSSSAANGTSTTGPPDPKRSRLGCWICREKKVKCDEAHP RCGRCVRLARDCSYAPRSRKKYVRRKKSSQLPIPTTPNDCQDDIPTPNSRPAERLDIS SSTGYYETFSSQLDALPADQRSQACSHEQRLSVPVATPTPTPTGQVSSRHLYEHHSSP VSVALPDLSLSPACLEILDPSDYDAIRFFRSGLSGAIDTKVPEHSGPAILWTLAHKNQ MVLHTVCALGGRNLCNQKHMPDAEKQTRQARAVEHYAAGLRLLVTATEHIHETRDFDY ILGALWLMIVYEQKYGDGCGTGLIAHLHGATSLLQSRMRNLRHILEQTELCDPTLSGT AGLGSSIEPQHLSPVATRIIVWISLIDGAAALNGFGGAFNDLLAEAIFDAHSDTALAR IQGFSALQKHSNLVYQEVWGTAYPQSQLLEDLESSQLFYLYAEASQLRCFLAKLCRPE AATQLDVRDRFEMVAKAMRNVESRYSELFAAASLLDTPAEGSHKRFVMNVRFIIPFYY AVVLCFFRLTRADAALCAKQRRALQEIMTLAFQSHRDAGTQAVTRMAWPLFIAALESD DALHRGWIVEQFELMAVEGENYRRAREALRIALSEQRPHERRVSYWDVMTKNDVDRFV IV PFICI_06537 MPSAVAQQQSTVQLLPKRFAVLKQSLVKPEHREQVIQSYQSLVK VLETETARIKEHGSALIPEIEFDVVRKNGGSLPLDFAELVRDRGCVILRGVVSQQQAS TWEAQLKDYVRRHPGVGGFPQHRPAGWNIFWTRPQVEMRSHPSVIAAMKCVSRLWHVS DPSTPIDLDSQVVYPDRIRIRYPSQDPDAFPLAPHLDSGATERWVDEEYRKNYAAIFR GDWQDWDGWAADHRVDAKPDLYQTGIACSAWRSLQGWLSLSHTDTGEGTLRLLPNLKA SVAYIMLRPLFETEEFDDSLPTFPGAVPGNTQLFPTSEHHPHLALDEAIIGIPPVRPG DYVFWHCDLVHGVDQVHRGQADSSVFYNACNPLTPYNVQSLVSTRASFEAGDVPVDFT RSHKGEREYEHDDCGAKKEHVMCEAGLQALGLLQFDEYEEGITEGQKDVRKLANHMLG L PFICI_06538 MTGTDNSSFQILAALFFSTATAILLAIISSSRNSSSAFPTINGR RPFELSDARVKKNFIINGRQLLRNGLEKFNGKPFRILTDHGSTLIFSPAYTQELRNLD NLSHVRAIAQIVDVKSPGFEAFYEFAYGRNIVQDVVKAKITPALKWHAVPLHSVILQA VAQVSSRLFLGEELCRDPDWLRITTTYTHHITKAALELKAWPGLLRPFVIRFLPHGRA LISLVKEAEELLGSVLKNRRDATVRGTRRNYTDAIEWFDQMAKGRKYNPVHVQLTLSF VAIHTTADMVTQVMFDLAQHPEYIEPLRQEAIKVLGKDGWKKTCLYELKLMDSVLKEV QRLRPINDTSLQRLALEDVTLADGTRIPRGTLLAVASTRHWDPQVYPDPYTFDGYRFF KLRQEKGKENTSQFVSTGQNHLGFGHGQHACPGRFFASNEIKIILCHILLKYDWRLIE GEEPEIVVHGFNLIADPHRRLEIRRREEELSIDNL PFICI_06539 MHHIITSSFYIIAALGALVVVHFAYNLFFHPLRSYPGPWYAKVS IFWFMYHSFHGDYPFKVHELHLKYGPVVRIAPNELAYTDPQAWKDIYGHRTGQPENVK DPSQNIDEDPAHPSIVFAGREQHSKLRKFLSNAFSDKSMREQEPVLTLYVNQLIESLG KRCREPLDLVQWYNFTTFDVIGHLAFAEPFDCLNNSNYHPWVHMIFSTFKFVTWIRAL NRLAPGFSSFLMRIAPKRVQREHAANIQLSREKVLRRKANSPGYVDFLTPLLLAEEKG QLTETDIVNNGPTLVVAGSETTATVLSGATFYILRDQNVYKKLTQEIRSSFNRVEDIT LIGAGGLRYLHATLDEAMRLYPPGANNHPRLTPPQGALIAGRFVPGNMMVGINQYAMF RSPLNFCDADAFVPERSIDPDEKWTMDKREALQPFSFGPRNCIGRNLAFAEMRLIMCR LLFSFDLELVPGQDDWLDQKVFTSWDKKPLLVNLRPMKEA PFICI_06540 MVERIQQNDKETPVEAFRDGLSNADQGRASTTSAAAAVRMASTK YVEDDIRLGEPTLDLESKDMSASGDASNPDDKTVWWDGPEDPENPYNWPNWQKILNCG LISIMTLVSALGSSIFAPSVPEVMAEFESTSLTMASFVVSVYVLGYASGPLLLAPLSE IYGRLIVYHVCDFCFVVLSVGSALAPTLNSLVAIRFFAGVAGCAPVTNGGGSIADMVL PEQRGIAMALYSVAPLAGPIVGPIVGGFVSQSLGWRWTFWVLSIVAGMISLLMLCVMR ESYAYVILKRKTARLQKATGDYELRSRLDSGLSTTDHFKRGIIRPIRMFITSPIIAIT SVYMAISYGYLYLMFTTMTTVFEDTYGFSTGLVGLAYLGLGVGSMIGVVYTSLTSDRH LKKKPAKTVESSDESTQSQSTAKPEQRLRNLPVGALLVPCGFFIYGWTAQYRVHWVVP IIAHIPIGLGIQIIFFCIQVYLIDAFTIYAASAIASNTVVRSLFGAFLPMAGLPMFSE LGLGWGNSCLGFIALIMIPAAVVLIKWGETIRLRHPVN PFICI_06541 MESEPTTTSSLRETTPRRKSRKVRSGCRVCKRRKIKKPSCRNCI KHSATAACAAAAAPTAAGAVARPDVNLNSSSSPANGSLSHASPLSLDPIQLQLLHNYS TSTCYTLSSYVPLRTMWRISVPQLGFSTSFVMRAILALSALHMAHNTRTPSSAERYLS IARCEHDAALRTATELLRNVTAANCAPLFIFSIITFFYTMASPRPAAHMLLLDGSGVP DWLVLLRGLRHISEAAADELLAGPFAAAFAFGRMRLDQCQSLRSTLPPSSAWFSTVAS VQLATLRQLVAVAVAESQTLLTLYLDTIDTLEMCFAKAQNRLPTMDLRTLDANDSSTT SDAKETTLVLAWPYLASNEYIEMLGQRQGVAMVILAHYCVLLQSLNGCWWMQGWPSYL IENIWQALDAQHRLWIQWPMEEVGWHPGDLSATRETLTETSI PFICI_06542 MTDINNSGKVLAEQDEWVEQIDKTNQDTENGTTIDVLRAKELEK KLLRKIDTRILPFLVLIYVCNYLDRNSITQARLYGLQEDTHVKGAVYNTAISIFSAGY IAMQLPSSILMTKVRPSLFLPGCMITWAIVSGCTAATNSPASLLIVRFILGLVEAPFF PGAIYYLSCWYTKKELGIRMAFLVSGILLSNAFAGLISAGILDGMTGVTRLASWRWLF ILEGLMTIVIALGAIFSLPDYPATTKWLSDEEKTIAQRRLIEDAGAEGMSEEEDSSWK KGLLLAVKDYRSWIFACLQMSVTANISYSHFFPTLIKEIGFTDNMTVLLLTSPPYVFG FLWCISLCFYADRVQKRSIPAATSISMAMVATVILIAVPYSDQWVRYAFTFPLTGGAF GVYATTYTWLSSTLGQPRVKKAAAIGIANTLANIASLYANYFWLDKYEPTFQVSWGIL LAFECLALTCILTLRYSLKRSNRKFDELSARISTSDEAQISVLKEVEQRAVVNGFRYV V PFICI_06543 MTNIMELPVGSWDCHLHVFDPTRFPFRPDRAYTPSPATAEDLIA NTRSKGLLLVQASPEDGHHGLLTQLREATEKYPAKHFRASIITEDVVGHDICGLDKES IDAMHDWGVRSIRLQGSFGSFGSQLDRLKEYLNSLARSYAVQGRGWVISMQMPLSVWA NLGDVDFGSAIVVAEHGACATPKDVDSHEFKTFLDLLHTGRFHVKISALHRRSPDNFR NMKGVVQAFANTAPDRLLWGSDWPHVDTRRGGLEPTPNNPDAKWDEELKAIQSWLTDE QYLQMMVSNPSRLFL PFICI_06544 MTSLFDDTNWRNKNADVLQMLASATRPAEPSLEPHELPPTDEAR RKFDRYLEGQHRQNPFILRQDVEDLFTRVFPQDTVSQNILSQNISAHDLFRTFMILAI GSLIPFRRGLSQSHPLGFYLAAMRHFESSFLARGLSAIQDLLLIWRFGIYHHIGTSVW DIVQLCMRMCIEQGLHGPPRTHLYLLDEQLQRRVFWVCYVMDRYSSTTLDRPFAIADE DITVRFPVDADDKDITSSFGFVPSLDDICPRHLLSPPSETTVLILCTKLRRISSRIHL EFSHIARSPSSDLNGDKYLASGQVCTSVESFLDELDQWRQSAPIFSQPRCLFERQEWY DLLQAREALQLVRRAVDLAPKSGELPVRYILSLCLDHAIRVISMYTNMYNEGLATYTR SYFQMMFAAGLSVLFCVSVSAHIDLIDVSEAYDSLLRCEENLKAMAKVMPDSSPYVAV YEALHRYILEKLDSGESLGRPLGAMHQHMSGLSGVPPHAAGPWQMALPAMTIENADGV ESLIGNQETLGAGIEAQGTSLESNNLMGMDVSQWSFLTDDTWWRIGNYAYGDPSEIQG MFTGIDLQL PFICI_06545 MGEIGFLSRSAMAEPRSDRFERLPTNLTSESVLLASLALDGSDP SKSNLSDHQATQLLASEPSRLRMDRASTLPHMTRFVEEICPIMPYLVASNLTDQYEEV LSQHGRRGNIENQSSSALSRFNVCMAVATGALLSSRSPGKSLLVIRLHEEAIKQLSMI KMKDETHLVSCILSLTIFALYSPSGGSSWHLVGLALRKCISQGWHRHQECVGQLTEGD LLSRKRLFWSAYMIDRSLSLVIGRPFSIQDRDISISVLKPTEATSLHCHLITQARLAT DIQVSCRTTLLHDYSNICFWKQWTGDQDRDSNVKPTVLDHLLQLECRMLAKITSTQPG HQSPGRHSAAVVREVERETIEACARYIDRSYERLQENNFVGSFIEAYDMFTASLTVVH LKRRDAACNTQQQLASMMDLINKSSTLLTAIAERFSVFRSFQRVLLSLSGHLMANPIP TAQVRY PFICI_06546 MAPGLLSDASGTVAASKPTVYVMDKFHPDVMQFAKENFHAIFTD MPEHAQWRQKAQYLLMRSSKLTAEDVANSPCLKAIGKQGVGIDKIDAEACRAKGIKIF NTPGVNARAVAEVVLTLATSVARDLGRISRLQNQGTPVPKETCNGIILHKKSIGILGM GNIGKSVAQMFRGAFDAPIIAYDPFLSADAWSDIPHTRAETVEQVLRDSDVITIHIPL TKDTRHLISYPQFQIMKRNAILINAARGGIVNEEDLEKALEEKLIWGAGLDCHEQEPP SPERYGRLWDLGVVSTPHIGAATDQTQMETGMAAAKYLLEFAKSQQ PFICI_06547 MNFYPVPPTIKAEVYFRIPDAIRCLNKDSEWRGGFARQFQWIFL EGPVVDTEGNLYVVDVPYGRILKITPKKEASVYVEWDGEPNGLAVTRQGTIIIADYKQ GILELDPSTRSIKPKITRRHLERFKGPNDLIVDSKNNIYFTDQGQTGMTDQTGKVYRL SPDGKLDTLIENGISPNGLVLSRDERFLFVAMTRQNSVWRLPLHEDGTTTKAGLFFQS FGNAGPDGLAMDEEGSLFICHPSLGSVFVVDSDGVPKARIISGSDGINFTNCCFGGPE NKTLFITDSLEGNIQTVQWHCRGAQPAPKLGA PFICI_06548 MAKSSLVATTIAAVKNSPREIFNLHLLYCVLIWSLSGIPKGFDE GNIASLVVETVFKDRFGLSKETDDQYANTKGWIVAIATAGAFFGCLACISLADKIGRR LALQLFTLVYIVGVLGQTFSNGNLSGLYASRFIAGVGIGVTTVLPPIYLSEIAPRSIR GLITLQYTACQQLGVVLGFFINYGITKHFAGSDIQWQLPTALQCIPALVWGIGTFFAP ESPRYLISRQKKEEALQVLAKLRGLPEEHSYVQDEMRGIHVQFEHEMEAVSGASVLDL VKETLMDMPNRRRFVLVFLTHLFSQWSGANAITQYSPTIFGYLGIQGEESRFLATGLY AVVKFTSTLLCAIFIIDFVGRRRALMSGIFLQIITLVYVGGYLGATNGQTADAIAAND DSRRAGVGAIVAIYLHAVAWSLGWFSMPYLISAEVFPVRIRSLNVSVLMASHWAFYFG CSLAMPSLLAATDRYGAFAFFASICTISIIYVFFAMPETSGRSLESLDSLFERSWYTV WRVAYPKPEDLTVRPVEDDELGKAQHVHAEKV PFICI_06549 MVRIWAVDTGTLQRTIEAETRTLSFDPLGDKIRTDSRIFDLQSQ SRASQDPQDVPFGPEYIQETSSLVASSVALGISNDRTWITHNSERVMWIPPIHRPESH DVFESTIALGGVSGQITILRFSESHLNAFLS PFICI_06550 MYLLRIEPNREVAIVEFISGLQVPEYAILSHTWEPNHKDEVIFR DFAILEEDLDTGQDVRFCTQRGKEKTGYRKIRFCAEQAERDGFQYFWIDSCCIDKANA AELSESINSMFRWYQEAIRCYVYLSDVSKSSNDDGLMGTTWEDEFQGSRWHTRGWTLQ ELLASTVVDFFSSDGHRLGDKQSLGQMIHDITHIPIEALHGRPLSKYTIAERISWGRN RQTTRGEDKAYSLLGIMNVTIGANYGEGEEGAFKRLHRAIQDDQDIPVRDPELKKSLD ECLANLRLSDPQDDKSRIEATKGGLFKDAYRWVLENPDYKKWRFDQESRLLWIKGDPG KGKTMLLCGLVDEMSLSPTSNLVHYFFCQATDSRLDNAAAVIRGLMYMLAKNDRSLLK HVHEEWRVAGKALFEDGNAWQAITRIFTAMTQEQQTAKLVFVIDALDECLKDLPKLLD FIAQQSRHGHAKWLVSSRNWPSIEEKLNATHQRVRLSLELNEASVTAAIETYIRYETE LLAKSKNLDEPRKMKIREYLTLNANYTFLWVALVCQRLKDPKVRRGSIMKELQQYPAD LYPLYQRMMETIDTLPDAELCHEILGVVSVVYRPIDLRELNVLLESNSLDDLDDLREV VDSCGSFLTIRDNTVYFVHQSAKDFLVTEAIQLVFPRGIKHMHFSVSKASITALLQGL HQDICALRKPGTSVEEISSKSLSSLVPIQYSCVYWVDHLTDGELHNGYMDSIRNFLEQ KYLYWLEALSLIRAPISIPALSKLSRFIKYYGTIISQYPLQTYAAALVFSPSKSVIWK LFQKQMPDWVSFKPRTQEHWSALQQTLEGHTDYVLSVAFSPDGRQVASAS PFICI_06551 MARADTGVSLSRSVLPCQRSLPLTRYMGGQWYTVGIALFASMGT FLYGFDTGIATTTIAHQSWKDYMQHPNNGLTGAVVAIYIAGEAVGSILQIFIADQLGR IRFMQLAAVIVTIGCAIQTASVNVGMFLAGRAIAGVAVGALSGTVPVYLSEISAPKTR GLIGGLSGVGLSAGTMCANWVGFAGGFAPYGPIQWRLPLGLQIPWGVILFTGLSTFMP NSPRQLIQKGKVEDARYAFEKIRSDLLSHEAQHEFALMQSQIEFEMQREMRSYREIFE LYRHRVLVSVAIQIMTSITGVNVIQYYQTTLYASLGIDGQTILALAAVWGTCAFISNV ISVNFLPDRWGRRKMLLLGLVCVIVTEIYCAVMQRIFQNSTNSVGKAFAILGLYLFAI GYYSLINSVTWLYGAEVLPMSIRSRIMGVAAAAHYIVNVSVTEAGPSAFATIHENYYY VFVGCCSVYLVLIYLYFPETKLKTLEQIAAAFGDRVVDIGEDQIATENAIFNSKSGST HLENKCS PFICI_06552 MATNGTTHEVEAKLKNNRAKVIVDAAAAGGYAIAAVCCYNLEAI IATVRAAESKRSPALIQLFPWSVEYADGLLIHAAAEAADKATVPIGVHMDHAQDPDII RRSADLGGFDGIMVDMSHYERGENLQLTKELVAYCNARGIITEAEPGRINGCEDGIAD TVDLEEVLTTPEQAEEFVATGIDWLAPAFGNVHGPYGPKGPQLDFPRLERVRAAVGDR VRLVLHGAHEDYFQAELLAKCISYGMAKVNVNGPVNAAYTKVAAEWTGKVPLTTVIEK QTKAMQDVVEYHMDFLKSTGKAA PFICI_06553 MRILVTGAAGFIGQIVAQALLEDEANQLILTDIIEPPIPRDARN PNQARVVKADLFEAAASVVDNNLDAAILLHGVMSSGAEADFELGYRVNVDATRSVLNC LTKVCPGVRVIYASSEAVYGSPVPKSNVTEADIPTPELSYGCQKIICETFINDYTRRG LINGFSLRFPTISVRPGKPAAAVTSFLSGIIREPMNGLPCSIPLTDRSWRHWMCSPKT LVHNILVTLSLPRDALAPHRRAVNVPGFAVTVQDMLDALEQVGGKEKLVLVMEEEVPE LKPILYSWADDFDNSLGLSLGMKQDSSFVQSALDYKETLERETSRRN PFICI_06554 MTTTATEETRPASERVFSPFKLQTGFGDWRDDLEANGYVVVKGA VPPEKAEYYQQQAFNWLKTFDPKLDFKDPGTWKDATLPVQTDRNTYEHCGVVHERFMW EARLEPGVVNAFAKIWGTQELLVSFDSLNVTLPNLKPSRKPWPHVDQAPRKRGLHCVQ GIINLSHAGEEDGSLVVIPGSHRYVEDFFDTKTSPADWEWRDNRYFSEEDMSFFRSRG LSPQKVHAEPGDLILWDSRTIHWGGEPTDKSTTIRTVIYAAYAPRELAAPSALQEKAR VFKANGATTHWPHDNIKLRESLVCLPDGTVDPRNRSEPLEKAEPSERLLQLAGVMAY PFICI_06555 MDTIKQSLKDVLRHINTGNVVRDGGDGDTFQPAPSESAAGLPGN DVQIWEPAQQIPAMDSFETCPPLMPLDQFQASATVSSHPSSSLGATMQSHGSRSLPPD GILTELSELFFEFIYPWAPIFFRPTFSANLFAPGRRLLLHGLVVACFRFWKKSEPSTK VREAYVSNSREQILLKAINAHSLVSAQALTLLAIDAVGQGPCPRTCNIMAMLLSTTQQ LRLAKRSLPASGGSNTALVRNEDSDDDLCSSSIEEEEKCRLFWTIYSLDRFSSMLHGQ SGGLDTKSIRLPYPVGDEEWGQVIAPEWFVTGSKPTHRDCTARLRHHQIDLLALLDRS NRLLTHPVNLGLPAPCQEWQSNFRCLDITLSTWFEKLPQNVRELPDRFDWSWIMLHAT FHLINIRMYTVAAFPSTTSPYLRPSSVARSRCRQAIRSVTCLTSSLQPHELEKLGPVF AFVVWVAARTLVILWTTGYENANGTTPDDLEPLLGILRHQALIWPCAQRHAEIIQLIV DTRDNPGGPTGIDIFNDTRRTSYGLQKHLGTLAGHPIAVEAFSNSFDFLDIPMLDEGE LAFNRIETFGSETDGEWLY PFICI_06556 MALPKVLLLGKIDHATDSWSALSDIADLVLSDAGSRSDFMRECI SGRYEGVVAICDRAPSSLKATGRYDEELISILPTTLKFICHNGAGYDNIQIPPCTARG ILVSNCPGVVENATADAAVFLILAALRGFNNGIMAIRNGTWLGAVPPPPLGHDPQGKT LGILGMGGIGRNLKRKAEIFGMRVIYHNRRPLPSGDADGAEYVSFDELLATSDVLSLN LPLNLNTRNIISTREFDKMREGIVIVNTARGGVMDENALVEAISSGRVRSVGLDVYQQ EPNIHPGLVSNPHVCLIPHMGTSTVETKTAMEELTISNIRSALETGRLKTVVPEQKHI PFICI_06557 MQELLTEAVNIPFVLEQFDLLPTRPSGSTQTHNNALQDEFRVLL KRLHSWKATYASKSQGPLYWSYPGDRPPDPDEEIWLWFDNVVVANALTHYWAFTAICL VHIQRLRFATNDFSASSTIQTRAAYVEALSMICRSIPFLFEESHELYGPSSVAFLLST VVSILNWDEELYGSRITINQNLVEYAKDQGFCWVS PFICI_06558 MAVPASFKSKVAITHITTATAILEFDGVTFITDPIFDDAPQDYD LSHLAGMKPGEFVLSVTEGPKLSIKQLPVIDAVLLSHEDHVDNLDQTGRQLLLGRQVI TTPDGARNLYDYPGVFAIQPWQTLDFHFGGEEWKVTGTPCVHVPGGEVTGFLLHKDSF GVQPDGRPNVFYFTGDTILLEEELEKIRKQYHVVVALANLGQAMSPDPNSPTGFSQIT MGGKDAVRMLEILGADILVPMHFESWSHFTQNGEALKNIFRDAGIADKVKWLTSGART TII PFICI_06559 MTETNDLAENSRPIFWAVLLTVFLVVLWLRRDRRLDKMPGPGGF RVSLPAKVHIDFRDWASKYGEVFKLRVGVYNWVVINSPQAMHEILSKQSSKTSSKMPA PMGHGVVAGGMRMFTLEYGLKWRTYRSITHQLLSATMTSTFIPSQEYETKQLLFDLAN RNNDEQEFAMHVRRFALSNVMTSTYGLRVTDWDNEDVHHALESARILGKITRAGGFIV DELPILAQLPACLQPGRKAAEGYAKPLLHAKMILWQRLEKQVAEGKAPLCYARELMEN SESWRKQGLTDEDAAWIAGGNVEAGSTTTSVTLLSLLLQLSARPHVQQAAHEEVMRVV GPDRTPAFEDIENMPYIRACIKEVLRMHPTPFWGIKHYADADVVYKDYVIPKGTVLLG NTSFIHYDPERYEEPFDFRPERYLDFPKYSSEYANQSDPYARDHFTFGMGRRICPGAR LAENSLNIALANIIWAFEIHPPMVDGLEAKVDLTDDAWEDTSFRGPKPFAARFVPRGD DRLHILEDQWAKAKEEGYVLRGQKVDVNSIATA PFICI_06560 MATKPPRQPKLRSSCDGCGAAKLKCDRRQPTCGRCADLGVTCIY GVSRKMGKPPRDRLQLSASRGKSPADRRPSSAEKRKFDDSDFQCHRQRRSGSETMSSL SAASSTSSNNEMESAWGSVNGDDFVSMGTSNTTMLDAFPPLTNFASMDLGDWALSDEL SSNNLDKLDPDLLATFEWPDFDSTATPPPYGQQSHSESIGSFPLPFKGDKLSSAPDGC NCLRETHEILRNLSLINPNKTPTPLAPGPMISGAGHFPLDFVLNLNRECSEHLGRLLN CSCVSCPHLGLLHASIISQILMWYHQEGTSSMSSPNHMSPFMNTKTVDTTSRPRPRPS GITTSSSSSASLWSGSTAIGSSTTTGGTNTPTLGQASGQMAMGCFIIDDERVQSALRI QLLLAELTKIGSLISAFSAQSPKIVSASGTVDPLYKSLGSWLSKEHASIVDLLRAKLS EISI PFICI_06561 MPYEPRLFLFGDQTYDNEPHRKELMRHRQNPVLEDFLTKSYEAI RKEIYNLPVEVRTRLPRFTSLDDLLLWKQDGQRVIALDMALTCLYQLSVFISQADSWD FYSRYSGVIGLCTGALAAAAVVCSRNTLELVPIAVDAVVVAFRTGMRVADMAQRLDPS DSLDQSWSFVVPGASSAEAVEKYRESTTLPLSARPYISAYSPNGITVSGPPRSLGLLY RSRALGSPGLKSISIFGPYHAPHLYSQEDVDDIVGILGESNAESYKNLIPIFSSAGSL ENGTFGQLLKNAVAHILLEPIRWNNVIETLQNRVQSGHPDSFSIVPIATKSDHQLIYA ALRKTPLASLLPSTSTQNGPAVDIGGDGDYASPSKPKLAIVGMSGRFPGAKDNEAFWR VLHGAMDMHKTVPPLRWHAESHVDPQGKKKNTSKVATGSWLDDPASFDARFFNISPRE APQIDPAQRLALMTAYEAVEQAGIVPDATPSTRRDRVGVFYGVTSQDWFETNSAQNID TYFIPGGNMAFIPGRINYYFKFSGPSYAVNTACSSSLAGIHLACNSLWRGDIDTAIAG GTNVLTNPDMTAGLDKGHFLSRTGNCKTFDDTADGYCRGEGVGTIILKRLDDAIADED PILGVILGAYTNHSAESESITRPHVGAQRDIFKKVLNQSATDPYSVGYVEMHGTGTQA GDAGEMSSVLDTFAPPLADVQKPRNESQALYLGSAKANIGHGEAASGMASLIKVLLML QKNTIVPHAGIKTKINHKFPTDMDQRNVFIAMKPTPWDRSSDPLKPRRAFVNNFSAAG GNSALLLEDAPVRSKSTSVAADSRSHHVVAVSAKNGASLQGNLKSLLEYLQEIPDIDI GQLSYTTTARRIHHQHRVMLTGTNTKDFCTQIDEALKNQTGMTRPKGSPKVIFTFTGQ GAQYPGMAKELFESFSLFRTEMRRLDRIGQSLGFQSILPFIESTETDVSIFDPVVVQL ASVCMQVSLSKLWASWNTTPLAVVGHSLGEYAALNVASVLSDADMVYLVGSRAKMLQE RCTRGTHSMLVVKASTNEIANVLKKEKYETACINSSLETVLAGLNDEIAKYKDMLTDA GLKTTMLKVPYAFHSSQVDPILDDFQELASGATFYEAKVPVICPLDGGIVDGFDKFGP EYLARHTREPVNMQRALLAANSHHIMTDQSTVLEIGPHPAITGMVKAVHGNKMTCLAS LQRGRSTLQVLTSNLKTLYMAGANIQWSAYHADFKASHQVIPLPAYSWDLKPYWIQYV NNWSLAKGDRPKNDRPKVISESPQLESTTIHRVVRETGDLNEAHMVVEADVTRKDFRP LVEGHEVDGKPLCTPAVYAEIALSLGNHLLERYSPDKKRNTILNVSDMTILKALILRA DDPEQRLQAHADADFSAKKVFVKFSTFDQQKKLQEHSHCTVRFTDGSTQEKLQKEMAD VKHNMDVLRAGLVSGDTARFNRAMAYRAIRPLARFHDEYRAVDEIVLNSKTLESSSRL NFSKFHKEGDFHTHPGLLDSLTWSSGFAMNCNDNNDLDREVFVNHGWGSFQMFEPLSF SKEYTTYSRMVEGKDRLWHGEIMIMDGDRVVAHFGQFAMQGVPRRLLRIILSAESKDK AKPHQAQPQKPAQKPAQKKNEVNAPELSKALGNAKQKDREQPKAQPSGSPTAEQALAI IAEESGLAIDDLTDNTVFGDAGIDSLLGLTISARFKEELDMDLDINALFYEYPTVGDL KRFLAGGQSQHTETDASTGESSETASTPETDSASEEPTGATTPFTEVNVEDATAKVDF ERALEIISEESGVAADDLTDDTNFGDAGVDSLLSLVIVSRFRDELGMDIQHESLFLEC PTVADLKQTLVGTSQEDPVAAKAETTPAVSVVENDGAEASAPSVQAPPGPALELSESD KARKHTIDQYIEKYTAGFSAPVPKSRKATPNDNEKVVLVTGASGSLGGHLTFKLAHLS DVKTVICLNRENRAGAFERQKKAMKDKGVHFPEELLSKLEVHQADTSKPMLGLSNDEY QKLVASVTHVVHQAWPMSVKRPLPGFESQFSVMRNLIDLACAVTAQRQKGFRFGFQLV SSISVVGNYAQSEKTFKEGKKTLVPEDRVNVDALLPVGYAEAKWGCERMLDETLHKHP DLFRPMTVRLGQIAGSSVSGYWNPMEHFGFLIKSSQTLNALPDVDGTVYWTPVNEIAG TLCDLVLSNRDPHPVYHIENPVGQPWPKVNTIIADALGIPKSNMIPLEEWTQRVKDAP QRDNPASTLADFLGSNYKRMSCGGLVLDAQKTLEHSQTLAAVGPVSEEVIRKYIYVWK TIGFLKK PFICI_06562 MSIQQYQTDKQGGTFSLVTVPKPTPGPNEICIRPKTVSVNPIDW KNIQFGAMVKSWPAVLGIDGAGIVESVGSDVRAFKAGDEVMSFANGIFGNGSFAEIYT VPENLVAKKPQGLSWEEAASLPITYLTAASAIYVGLKIQLPRLTQLPDSNQPKSILVL GGSSTVGSAAIQLLRLALPFTHITTTSSSPHHAHLQSLGASACLERSSQDHAEELKAS TPDGHGFDAIIDAVGAGGSSPAVYEALKAAGPRRCATVITGPPAGFPEGVDGALVGGQ DFLDAEIEAMPYLTKLVEEGRYQLPVKVDVVGQGWQAIDAAIARYPKGVSGAKMVVTL PFICI_06563 MPPSNVLKPNVPTGFGLMGMTWRPKHTPDEQAFATMKKAIAQGA TFWSSADYYGMPEPTAGLDLIRRYFTAHPEDAEKVTLFIKGCVDPQTMQPKNKREQVL ASAETCIQRLGGAKKIDIFGPTRQDPSVPLEETLGAIGELVAADKVGGLGISEAAAQT IEKANAVYPLSLVEVEFSLWTPDLLSNGVAATAKKLDIPLVAYSPLGRGFLTGQVKSL DDIPEGDIRRRFDRFQPENFNKNLELVDKLKAFADKMNVTPAQLALAWIRATSNSEQA GTIIPIPGATSPGRVEENTTVVSLSPQDKTELDQILASFKIQGGRYNKHLEHLLWQ PFICI_06564 MRSNAPLGLLAAVSAVSAFPTLHTSSGDALVRFFEKRANTLNFT VSSEASIIYPSDAEWENDTARWSTWSAPTYSVAFLPATEQDITTSLQYLTGNNISFLA QCGGHGNTVTFETVRDAVVINMENFNDITLHDDNTITAGGGAIFGDVYPVAYNGGREL PLGSCPCVGVGGASIGGGHGRLQGEYGLIIDVISKLRVALWNGTIIDVSAEENEDLFW AMRGAGHNFGIVLEYTFETFPLQNDGQNYNGDMTFTLDSLEGVLGAINDLIPDQDPGL AMDFFITYNETTATPGILLNIVYHGTLEEGQAFASRFDSCNETGIEQLTKNETMVPWT DVPTAAAHGAIVEACTEGLSYDVYTVNTKLFDIAQQREMADSYVEFVEGNPLAAHSIL FYEIFPQQGVLAVDPDSTAFGNRQYGNILMLIQGTYSDASVAAAWQDWAGPWRDQLWD PEHSGYPVESVYMNYARGDEPLTAQYGYEPWRRERLAQLKAKYDPYGFFNHYNSVLGN WDSAN PFICI_06565 MYSCKSTPLGALLGLATVSQGLLIQPANIQASLLQSYDYVIVGA GPAGLVLANRLSEDTSINVLVLEAGPMDSRPESITLPSGIGSLVGSQYDWNLTTVAQT ALDGNTRSLAQGHAVSGATIMNGMIWTRGTQADYDAWETLGNDGWGWDDMQPYFQKVE KFTDNLASSEGLEVTVTPDSSIYGQSGAVQVGYSEYYYSQFSNFIEGNMELGLDLAND TSSGSTNIGVTVPPVSVAASTQTRCDARAAYLDSIIDRANLHIAPEQRVTRLILNSGD GSTDPVAEGVEFVGTSGALSINATREVILAAGAVWTPALMQVSGLGPTAVLQNLGIET VVDLPGVGNNLHDHGMIDPDYAYSNPDLFTKSDLTGAAMETAQEEYNNNRTGPLTATL IESVAYMALSSLSSDSASLLSGLSSADSNTYLPSDTPDEVRTGYQAQYAAIVESLGSA TEGVVEIMANSVGTIQVTSQRPFSRGYVRPVSANLVDGVQVDPRYGAHPFDKDIIVMG LEWVARLIQTSAMQELQPQPEAALTSGDQSQLEGVVNEQLGTEFHPCGTAAMMPQDSG GVVDTNLNVYGVSNLRVVNSAIIPLIPSAHLQAVVYAIAEKAADIIIAAQQDSTTTAA TRAETTNPLAAAVSKVASALPTLPLSF PFICI_06566 MRAVELACRRGPAFSRFATSRLTQRRSFATPTFDSSNPRTPPYL KLLKNYEKVKEVLGKATPLTLAEKIVYSHLDNVEESLLTNTDGGRNVRGNANLKLKPD RVNMQDASAQMAILQFMTCGLPRTAIPASIHCDHLIVGERGAKEDLEAGIQTNKEVFD FLESAAKKYGIDFWPPGAGIIHQSVLENYAAPGQMMLGTDSHSPNAGGLCTVTIGVGG ADAVEALVGAPWELKAPRVLGVELKGKLNGWASPKDIILNLAGQLTVRGGTGFIIEYF GSGVETLSCTGMATVTNMGAEVGATTSIFPFNSAHVRYLEATNRHDIAAEAKKYSDIV GLRADEGARYDEVITIDLSTLEPHINGPFTPDLSTPLSKFKQTVQEQEWPETLSAGLI GSCTNSSYEDMTRCEGMVKEAMEAGLKPVSSFYITPGSDQIRETLARDGPLETFREAG GTLLSNACGPCIGQWKRQDDLEKGTKNAILTSYNRNFKGRNDGNPGTMNFLASPEIVT AMAFAGSTTFNPMTDSIPTASGKEFRFTPPMGSEIPAQPFAPARPEFRPLSQEPDASV AVAVSPTSERLALLDPFEPFPESDLSGLRVLVKVTGKCTTDTISAAGPWLKYKGHLPN ISTNTLNTATNAETGEVNAAYDMDGSKHTIPELGQRWKEANQPWLVVAEHNYGEGSAR EHAALQPRYLGARIVLTKSFARIHETNLKKQGVVPLTFANEADYDKISACDEVATEGL YEMLKNGGQGEVTLVVKKRKTGEEVRVKTKHAVSKDQAQFILAGSALNMLSKRS PFICI_06567 MGGSSKRRRSDKSSPDEPHEDSNLGYSSSGRTLQGLNAPPLHRQ NPLNSEAISAQPEIRQAAQPNNLTETDPPPHHSAWLAAWRERQKQESPHQVLPTKSRK KQTPLPYKPPTSTKTFIDLTLSDDDDDMEASASSKPASEMSFVQLPNEEPIMSSKTSS ATPCSTTGHDVDMLRQGQMTIAQKGVSYNERPSIDLGQRNGLGARQTAASSPIPFASN NTPRGDFVRPQAVLQTQITRADHSHVPPVLYDIDMTTRPAVSKKARRSALRFNTFLRK PEYTLDEAIYGLANGPNRPASSSFDQPTFNQQIYQKPLEPNPDTRCAHFDPRIHWTWE RSPEWYEKKMAEVSTRGNKKSPSRFGQGIASLRRRLEKQKQAPRQEFPDRVLQNPQWL AAAQALKQMAALASKKKAISPILLDEMEFVFVRGQHHSYE PFICI_06568 MTDTLHNAPIVLDNGSGTIRAGFAGDDLPKCYFPSFVGRPKHLR VLAGALEGEVFIGQKAASELRGLLKIRYPLEHGIVTDWDDMEKIWEYVYSEGLKTMSE EHPVLLTEPPLNPRVNRDTAAQILFETFNVPALYTSIQAVLSLYASGRTTGCVLDSGD GVSHAVPVYEGFAIPSAMRRIDVAGRDITEYMQTLLRKSGYVFHTSAEKEVVRNIKET LSYVALNPKQEEKDWASTKLDQGKSADYTLPDGQKLRIGQERFRAPEILFDPEIIGLE YPGVQQIVTDSIARTDLDLRKALYSNIVLSGGSTLTKGFGDRLISEVRKLAVKDMKIK IFAPPERKYSTWIGGSILAGLSTFRKMWVSIDDWHENPDIIHTKLT PFICI_06569 MNTFLSLSLLATAALGSCQNASATAAATATTGDLPTVTLDYSTI QAVGGNTTVGYYKYQNIRFAAVPTGDLRWAAPQWPPQETEVNTGSLADADVACSSSED CLYLDVWAPADAVGRDLPVVVWTYGGGFTGGSKAENTPEGLFDLSTDFVFVSYNYRLG LTGLANGPTYNHQGGIANTAIWDVSHAFEWVQKYISNFGGNPSDVTAIGFSAGASQVL FQMTRFAGRAEQLFHKAYVMSPGFVPGAGHHQAEMFWQNVSTAVGCTGGDITCMRAVD FDTLTDAASTVQSNYSYQFQPRVDGLIIADTYEAQFYQGRFNFTGPVVATHEQHEANG QAYSGVDTDDDVAAELRLFFPAVADDVVDQLLAYYPADDYESPGLRFSDMKQHFDLTA HNLALTNAMKNQTWNAMVALDSATHGTDQSYYWYSTYTLDTSSSDDSTTTTTSSAAVS DVATATSAAPSATGTSAGNSTSGGPGGSSSGGMGGGSSSVNATIAVTMQKYLLSFILN GDPNAQWADDKLYWPRYNESEVGTELVFNTTMYTQDDDLAIEKVVYWNKALWY PFICI_06570 MSSFTVTEPHPTVAQGSLVRVGRGGYANHVRAPLTTPATGVPSV SKPMPPSTDRKIRTGRGGFANLHSASDLPPVSFDEQYKLQSHIEDSSTKVLGARGGWG NIAKDSKTSSSQRKSSDASSQHSGSSSGSTSSVRSGFLKRLTSISSKGPNP PFICI_06571 MAGKDEPKTMRAWLYSSVAGGLEKNLKLSEATVKPVAKDQILVK VHSTSVNPVDYKIPELGMLARFMVAMPAAPGGDFAGTIAEVGEGIKSADAFRVGEKVF GNVAPQQYGTFAEYIVVKPENIASLPDGVSEADASCVGTAGLTAYQSIVPHVKSGDKV FINGGSGGTGTFGIQMAKAVGCHVTTSCSSKNVELVKGLGADDVIDYTKENVSEVLKS RGQIFNHVVDNVGSPSDLFKAADHYLVPSGKFMQVGGEMSLGSMKSMASRAILPSFLG GGSRSWQFMMMKVNHDDYVQIGKLMKEGKVKPVIEETIDYQNAPKAIERIKSGRTRGK VVVQHSN PFICI_06572 MLPNLRLVACVAGLACLASAASAAPSITADKRVYTCTIEANGDG SDDAPAIRDAFTECQSNARIVFQNTTYYINSPLNTTTLSNVDIDIYGYLLWSNDTSYW LDHSMPIGYQNQSTVWFLGGQNINVNGYGSGTFDGNGQVWYDLVKGESNYPKRPMGLT IWGASDSSFKRLNFVQSQMWTMTIIHSNNVLMEDIYVNSTSHSGNPARNTDGLDTLFS DNIVLRRWLVDNGDDAISLKANSTNVLLEDSVFRRGQGFALGSIGQYVGAFETIENVT VRNVSMINTNFAVNVKTWTGDRVNYPPNGGGGGLGYLKNVTIQDLTLNRVRSFAVNVG QCTSYSGGSPDCNSSLFHIGNITFDNITGDIAE PFICI_06573 MVGFFSGVAAFLLPATAYGLAFPTPDDLGLSLEPRQTVCENSAS SRSCWSNGFDINTDFYTVTPDTGVTREYWLSIESAPCAPDGVNRTCMTFNGTVPGPLI TADWGDNLIVHVTNNLPDNGTTIHWHGIRQLNSSLMDGVPGVTQCPIAPGDSMTYDFQ VTQYGSTWYHSHFTLQYAEGLFGPMIINGPASSDYDEDLGVLFLSDWSHTNAFTLWGR SPGTPFTLDNTLLNGTNTFNSSDGVVTGSKYEMVVEAGKKYRVRLLNNAIDGVFDFHI DGHTFTVISTDLVPIVPFETDHIQVHIGQRYDIIVEANATPGDYWIRGGWNVQCANIG NNGDASGDSTGILRYDSTSTSDPTTSDTIGVQDTCYDQNSTTLVPVVGVDVTDANLEI VTEDLGFSTSIKGYLTWTLNDSTLYLDWNNPTLKQVHDGNSSYAAEQNVVQVGQGFEA DQWVVLVIDSSQTIIGINHPIHLHGHDFFILAQEPNLQFDGTTSTFNTVNPPRRDVAV LPAKGYLAIAFQLDNPGAWIVHCHIAWHASEGLAMQFVESPGNILTSGAIGVWDTATD NGGQTCTNWNDYIPGQVWEQDDSGI PFICI_06574 MRLSLTVVNALVAAALAAPSPRPRSTLRARHARRTALRHGEFRD SPASLIYSSGSLDLLDSLLSAPESDSSSAAAASESSKNSTVSHPATSQKASTYVDYND SWAGSVVTGTGMTGVSGSFVVPEPKIPTNGNQVSAQEHVASVWIGMDGYNCDGGLWQA GVDAGVDASGTFYYAWYEWYPSATVEIDLGGVTAGDTIIINMTSGGDYKTGQIVMENV RTGQTYTNTVSDSVALCSTAIDWIVEDLIVDSSTYGLADFGTVTFSDVQGTSTSGSVT LSGVHMLDIQDSAGTQLTSSSTTDNSVVVTYQS PFICI_06575 METFKEIFAEVTGPLLFMSVSLSYAPKTIFEIIKSGDFWRLWSL DGFKEALFGNFWAVMGPEVKANGQERVIPLLEGRISGGRVHDRPVASPIHGTVIEVGA GSGMWMDVLGRFVAGAASSTKSAAQITRIYGVEPNPKSAAALRQRVQALGLEDTYEVV PVGIESLNRPGVWAGHVAPESVDCIVGVLCLCSIPDPEENIKHLYKLLKPGGHWYVYE HVKASRGGFWHSLYQRESLILRQIQPRC PFICI_06576 MAPSALVAPAAPALSSFMSSERRAYLRQHSGLQDSQKFSGKAAT GTTDTSAIMNMVSSLQRRSGDELGSRTSARLQDHTYDSIREWIGAQRMSQLPPEGSSY DKVLTWTQLFVERLNSFDQAIQGFAKDSQLASQLAYGYCSILLDLGQENAPALMISFG FFYSISMSLVNLLERTELFSVSQDIREQLVLAMSDLVNLVASVATHFHKAIHSASSTA TTSVSVNMYSSFSGQIKAFRDRCEKIGQSMWRHQLIVNNMDAEGISDVQMVKSWATPE DRILNNVALSLSLLAHDREELTCLWVGPYLTRFLKSHDTVFSISGKPGSGKSIVASVI VDYLQRPVSGVHYNTLFVPISSRIPAETTERAIAKALLCQLFEKRIGNIELLQIMIQA CKRCDQANTNEEYDNIVWNALEQALGAVLPGARELIIVVDGIDESSCGEQALFKRLMD ATANGTNVKLIMLGTEKHPRVAGRSSFAINDDLILDDIMAVVRSQFESDNEFTTMSDI EQESIITRLSEAANGSFLWAKLATKRLRREIGLDKFRSAIDSVIKAKPSVADFVARSL QSTSITDETRLMLMWLTNAERPLSLRELAALSSVRVDKNTVSEPSTDVLKILRPVQNI VFLQDSLVCIRHGVIRCALRDLLVKGQLITTVNDPHADLTSRLLFYIKSTVTEQREPS MNVLGAHDASQYLNKYPLLDFAVRHWPYHFTKTAVFVKEGEHNASKTFAKVIPSSVTA LLLQGTLWAHRPKPALLAYQTIITNMYKQLFTDKSPMTLQSVIFLAILYEHVNRHDDA ASLFWEATIMSHKILGSSHTITTHMANRYIEMTESKRTTKKTDIMSKREEVLQILVEC YKAEFGQRSAKAASALRMLHQHYEMTQEEQKAKKITESIQTITRTSVDDEPNDEDGPQ VELKEPKHIRGEKVNTWDLAIKEQDKLLESSGSWDFTYALKEAEMAASKGQIEAADHV YAEIWQRVSQEYRDHHTDLWAERNLRAVLGYARFLQANKRSNESAAILASVWKEYSHS TVALTETTASLLAQVGWTMKSVGIATMALSVFKHCSEYYSATNRTQSSTFREIQQSIQ ETSQEVLKMANSKDMVISEASLEETVVQLSNSFTTMNHMTFEVTLRLTNLYISQRRWE DAAQFIKKVFRVAWPSLFSGSAQDVLLPTEHTEGCVELAERLADCYRSPRRRAKEEDI RLRLYHSLRAGRKIDDKTRERATNELLSLYLRTSQTESLISIKQEMLDDLTAHYRPDH PTVVKLLWELAELTHPQPIYVEYYQKIIHALNKDAEVCTPDALQPVVIVAQELWRRGR LSDALPYYRTLFHTFLKSSKTNSTFQNHSFVREFFEQYISCLRNVRTTFAVLNKTATE YQSRCKTLYGASTDVTVYATMFLARLCQESEANEKRAIELYEELLEMKSSEIDKEEIK ATLERITEDQVNTMTTSASTSMTATQMSQTQMVLRNRATKIRETHGWAHEESLSTMNE LVKLYTKQHETETMLNELKNTSVNVLKQETSSVRLMAAASAIANNYVSTNQTQKAQEL LEEMHRQIVVKDTSNARQFGFDLSSKGRESLVFLAQMEHSLRRNSKTLAETLATLTTQ YFYFREFRNAIEAKSSNFLQLSLATARLHRYLTSCNQIMADRSILDQFSKWFMNTESK RLNLNMAPQQTKLLLENIMMHFGRYTSDDMVRSTAIIGNEQVPQLIEAGQYNDACDLA TACFKYVSAHESYRTVNMAKMMLTMGMALDGRDSSMKPDQMARKRLVETSKMIMHEVL GTMSKLNINLALMDLKPLNRLIRLLGEQEDYKTLALLLTTLWKNREAQGNWPPSATFN LARRTILARYLVGETMAATRMAEHIVYNCRRVHSVHHPATLEMSILLAQLYSGIAQRY QSGTVAGHGASSTEAKDMANRYYKKSAAIHENILRIFSDPAYASVESSALMEGGIRPR SGSVSSAADGVDSPVMAAFGEFAEDAQQDQLSDGEHVRQHLRLLKLSLERLGDWPKDY AEYEHLNSEVFREFGSDLDGVQGVDKWNLKSFGNGKAESNDDLINASQLKTWELVETA PFICI_06577 MWSISRVAALLFGAGSLLPAVDANNIGTFGNPSVTARPRFRYWL PDSSVSADILKNDIRSAASIGAGGLELLPFFGYGGAMHPEPAGADWAASNFGTQQFND IFRAALEAHSETGLKMDFAMGPNQGQGVPASTGDDGLQWDLVPFTVPVPNGTFDGTIP GWGVGELVSLVLSEVTAVENKTYSASNGFTTTNGSYIQYTLKNGTLEDYTHTVDIATG NMLLSIESLTNTSSYQLFAFYQKLSLNKNLDITRETTKTIFDNGSYIVDHYSSLGADT VTNFWEDYILSDNVTTLLREVGNYGWEDSVEMHSNISWSRSLPDRFQRLKGYDVRVFL PLLSFAENNVGIQTDAPGSFKCVLDTEDEGQGYHNDFHAVLQDGYREYLQALTNWTHN TLGLEFSAQPSYNLPMDMAATIPDVDAPECESLGFLDNIDLYLKFSGPAQLAGKRVIS NELGAVGGKAFQYTIPDLIFEANRGFAGGVNQYVIHGMAYTGTYYNSTWPGYVPWEYI VSETWNEKQPVWSHGMEDAMNYLSRTQQVLQTGVPRIDLAVFWKQSITTLTPGYNLTY LTQEGWTYSYISSENFGLPGAYIENNVLGPDGPAWKALIVYSSQNLTVSALETLSEYA DEGLPVVLVDGDPGCYSLKDRSPCENYSSQLVALKDRDTVYSATAEALSSLLKSLGIN PRISADQKGSMYTVWRDLAQNATEYAFIFADLVPFSGHITFATTKTPYLLNAWTGEQS PILAYAQNESTITIPIDLKVNQTILLAFSDSLSTEIPTPASHITQTTSQIVGYEFNET TGISYIRVGSSQSTETLSTLTGENFTINSSDVPDAFALSNWTLVAEHWEAPSNLYDVE TIAVKRNTTHELNQLQSWLQIPELVNASGIGYYTTTFDWPPLESDNATDLGAYLTTSA LLNVVRLQINDHLFTGLDPTNPKIDISHALHRGTNDVLIMAPTVMWNYLKTIFNELRN AGTVPLLVQYTELLGAAPGGIDVGLTGEVLVSPFQTIAIS PFICI_06578 MADPISLVGTAVGVASLAIQLFSGFTSYIDKLKCRKEDLDAVNR KLSDFRASIDAIKSTEKRRRDNHSTPQPALDQCLQTCEAELLALKAFWEKCSDEGANA PNLRHRVKTKAKELSFPFHREDLARLEARLDQATNTMTLALQAVHIDEAVTTSESLKV VLKNTNTTSGDMRMIRQHNHDILQGIGQLTISFDKASSKDEEAAKCMEERIAAMQQLM EAGNANIQWMMERMRRVDDNQMVSSDSTVGLMDSIHPCSFTDTYTKSSGAVGHNPAPA QVLTVGSLRQGQRGQPSPLSPPLHRNLFCTCAQIRRRQLRWNPLSTWSFGVKYTSTHH HPSCPMAQVAVWRPADRHQVEVKIAARLRSWMLDFALAGVLGNTYLSIYPSLNCTRTV GIDSPSYKILAIIEHSIYSFPDDLSHVLTRIIDHALQKLKKLYEERRASPLDMDVNGV TFVELFASVLGLVAASDHYVSLFLDFLTCLKSWGVELRQEFGSSNQLILCLLRLEKNN VTSKAGVTSRQWIKRLSDIGLDLDATFESAWTRANWDATAAYPVQLWHASNPTEYASS ATQVAIMNQDYDLLQVTLLENPKDLEKHFTLGDVGTLAMAIFWPKGLQLLVRTILEND MLDTQLFELGQAYRMTSIQHLPSEQIASYAWSAGYILDTEANAISSMLKNCNIYVPGI LRVSSDWKSVFRTMMNGIVTVKLLDLIWEAGFQHPDTYDMFKSPDLSNKDLFIYDWYI QHGINPRQTHWIHKGNFSVSHLCAAVVGEKLSWNYKRSLSDAESFANIVGQNQHHDTC RCHCSPSGCSPFKVYLHAYISTSFTERRRSAKRQAERWQMSTALSNKSSDFLECQLQA IRLATFDELGLAHTCCTRLWDKEGELFAPEEEEMMELQKEDQALLQVLEDLMDEFIIE FPRSGCGLREFFEGYWIQRIRENLEQLNADTLTEQHLKEAEDMGVIWDSGSVAGGNSD MEYYSSDDDWTPERRPPEEQTFEYWERMIDDI PFICI_06579 MNSIFSSLVFLVAAASQAAVIAPRSSGTAFVQLAESAGTATHLG SGFIYGFPDNGTEASNAIPDYFLTDIGFRAGRAGGAQITARGWAYGGKAEYDARFQST LSNYRTVRKYGADFLLLVHDLWGADGGATESTPFPGDNGNWTEADLFLNQLISDMNEN NMLDGVVLDIWNEPDGFGFWARSWDQYLEYIGHAHKMLKAAFPYLSISGPSMANAPAL DNSNWHTWFEYMAANDVIPDIYSWHQIGLWEREPDRTVSDFNAFREQYGLPFRPLDLN EYAWPDEQNPASSAYYLAQLERNNIRGLRANWGGGSNLHDFAASLLGKDENGAYYPNG EWQLYKYYAAMQGDRVVTSASSDKLFDAFAVRGDTVKILAGTRLTSDAYDITVTGLES TSLGLSGVLSIRTTRFDWNGATGQIDAPVDLGTADYTYTDGTFTINLTPATSSTAYAY EFSL PFICI_06580 MIHEDAGRLCFKYDAEKVWIEPWGDNAFRVRATKVASMPTEDWA LNKKPAEKADIAIHDTHACAVNGKISATITKGGKLSFHNSDGKLLLEEYTRNRRDIVD PKCSAIEVEAREFRPIPGGDYHLTYRLESLDLSEKIFGMGQYQQPYLNLKGHDLELAH RNSQASVPFAVSSLGYGLLWNNPAIGRAVLGSNIMSFEAYSTKSLDYWVVAGDTPAEI LESYARVTGTVPMMPEYGLGFWQCKLRYQTQEELLEVAREYRRRQLPIDLIVIDFFHW PKQGEWKFDETYWPDPDAMIKELKDLRIELMVSIWPTVDKKSENFEEMREQGHLIRVD RGVRTGLDFEGETIHFDATNPSARQYVWNKCKENYFSKGIKTFWLDEAEPEYTAYDFD NYRYHLGSNLSIGNVYPRDYARAFFEGQEKEGQENIVNLLRCAWAGSQKYGALVWSGD IASSWSSFRNQLAAGLNMGLAGIPWWTTDIGGFHGGDPTDKNFRELFVRWFQWGTFCP VMRLHGDREPRQPQQGTTGGATCCSGADNEVWSYGPEVYEICKTYMGIREEIRDYTRG LMRQAHEHGTPVMRTLFYEFPHDAKCWEISEQYMYGNKYLCCPVLEAGQTRLAIYLPA LQEDQKWVSFSNNTSYEGGQTIQFDCPLDTMPVFLKS PFICI_06581 MAEYEKASVNIDQHENALDVKDDNLAREAAEQEHAMTFLQAIRK YRTAVLWSVLLSSSIIMEGYDIVLVSSFFAQPSFSKRYGDFDAATNSYQISASWQNGL TNAVSVGTIIGAFANGYFTQKYGYRKVLLASLVFIVGFIFIPFFAPNLPVLLVGEFLC GIPWGVFATMAPAYASEVCPMALRGHLTVWVNMCWALGQLISAGVQTGFSENNTQWSY RIPFAVQWVWPIPLFIILFFAPESPWHHVRAENYIEAERSVMRLSSVPKAEAKKTVAM MVHTNELEKTIAQGTSYLDCFRGTDLRRTEIVCMAFMAQPFCGSAMGGTPTYFFVQAG LPTSISFQMSVGGLGLASLGTLISWWLMHVCGRRTLYLYGLGLLSLVLATVGFISVGA GDTTAGNYAQASMMMVWLLVYYLTVGPICYAIIGETSSTRLRNKSVCLSRIAYYISQI VCNVIKPYMLNPTAGNWRGKTGFFWGACSAAFCAWTWFRLPETKSKTYEELDILFANG VKARDFAKYKVDAYAHTTEALGKTE PFICI_06582 MPLSFLAEAAALNTILETPIAGTTQASIELSNSHSEIIGEANAQ FTETTSTQQPTANEPDFALGANMVYDMSGRYDTQATKFLSINWIAHQYQTSFDPFDQL GDICNVFPTQFLDPSMNLGQPAQEDFGPSVAIEQQSATDQPSQEVRSLLSDPGSVISR DSHGSGSFFYIDGDGSRASLRPGFHDVTPDQSRAYSHHERDLASFATAEVPIQLYQSL RSNMQSEFNDVIHNKPPSFQQVSSWVDLYFKQFHPTFSFLRRPKFWDKDSDWVLLLAT IAVGTSLSHTFDAERYRRPLMKILQQAVSHRFAIMRGQQDGRTDWISPTPEQSFVSES KSSDLTTLQAMVLSMLCLIHGARNDEISFAMAERLKLVDACHSMHLLSKPSNHNLFDP RCDPEAQCRAWYQQQSFARIGLMIWLLDFMIVCEFHCQPLLQLADVMVPLPCSETVWD NQTIDPEVLKSIKSVTVLDALELLYMEKKLVAKMSEFSKLILIYGICRRTKEVCLRSQ SQLMNWTPSATIESRTEVYERGETWPPSSNLLSQWRNSACDCLDILHWSANSTALAHW GWEHPTLFHLHLSRLLILAPLRHIQTLATPSPSQDVINQTGIDKHAKSREFVLRWYLQ DQYKARLSVVHAGALLWHCRRYSTRIFLEPYAIYVATLVLWAYSTALQFCQSRGSELP ILDNNGSPATSNTPGSNPPTHAYSDATDQDLTDIMSVVVYLDRPIDDELVQTYIRWGA KMTACLSRVGDIASPSAPAKILKEAFRLLSLERNSEARDYSMNESSTSSSGELVWGVR SSFAKSLDSLIRATQEHRY PFICI_06583 MVSGTFKAISWLAATAAAKCTSSKPNFVFIMTDDQDMHMNSLAY QPSVQKHFAEQGTWFQKHFCTVSQCCPSRVSLLTGKAAHNTNVTDVVAPYGGYTKFIS QGLNDNYLPIWLQGAGYNTYYTGKLMNSHSVTTYNQPFANGWNGSEFLIDPNTYVYYN SCTTRNKGPPTYHPGEYSTDLIASKAVGFLEEAIAASDRPFFIGVTPIGPHGEVIVNG TVPSFRDPVPAVRHENLFPGVKVPRTPNFNPDVPGTASYLKLMPQLTQDEVDFNDEFY RKRLQALQAVDDLVDTIMTRLEASPEVMANTYIIYTADNGYHISQHRLPPGKACNIEE DINIPFFIRGPGIAKGAVQTFPTTHTDIVPTLFTLAGIPLHDDFDGEPIPVTDTMLAA SEPKSEHVNVEFWGTNLQEGLLYADRMTYTNNTYKHVRVIGEGYDLAFAVWCTMDHEL YDMKADPFQMNNLYATSGTIAGWNTTSLTARLNGLLLTLKACKGKVCTRPWDTLHPQG AVKNLKDAMNPVYDDFYENQQHAVTFSECALGQLLEYEGALEPVAYMTNGQRDIRWED WT PFICI_06584 MSFSSLVQDLSIRDGQNAARRPRVPPSVSTLDDRASHISRNMSY ASTTATSVSISGDISSQLHGGYHHPLARSWQAERQLTKSMLIFPLFISDDENDETLIP SLPGQKRWGLNKLIPFLEPLVRKGLKSVILFGVPLKPGTKDALGTAADDPKGPVIQSI RLLKQRFPQLFIVVDVCLCEYTSHGHCGILRDDGTLNNQLSVDRISDVALAYAQAGAH CVAPSDMNDGRIRAIKLKLIEEGIAHKTLLMSYAAKFSGCLYGPFRDAAGSAPSFGDR KCYQLPPGGRGLARRAIVRDIGEGADIIMVKPASQYLDIISDAKELGKDLPVAAYHVS GEYAMVHAAAKAGVFDLKTMAFEVTEGILRAGATIVISYFTPEFLDWLDN PFICI_06585 MRPILLSGHERALTQIKFNPDGDLLFSVSKDQQICVWFSHNGER LGTYKGHVGAIWTVDVEPTSTMIASGSADNTIRLWEIKTGRLLKTWEFPTAIKRVEFS PDGSKLLGVTEKRMGHLGSIVVLNINPDVDAEQSDERELTIVCDESKATVAGWSYLGK YILAGHEDGSVSKYDGKTGEQLDNLPVHELNMQVTDLQWGPDRTYFITASKDKTARLI AVRDLEILKTYPADTPLNSASIAPKKDLVILGGGQAAMDVTTTSARQGKFEARFYHKI FEDEVGRVRGHFGPLNTVACDPTGKGYASGGEDGYVRVHHFDKNFFDFKYEVERELER KLAA PFICI_06586 MTLTTSVRHGIVPSSTPSNLNPILRMSGQQRLAQSAGLRLITPA PATVGLGSNSRLRSVALARAYSSSAQSPVVAAAAAAPPGPSTPLERDSHGLLPDAMRP HQLYSAPSPGLTI PFICI_06587 MGAQRLDGNAIAKAIRERLASEIAEKQKLNPRYNPCLKIIQVGE RPDSSTYVRMKLKAASEANISCDKLEFPESVTEAELLAQLHQLNNDPAVNGILVQLPL PKHINEYAITSAVADDKDVDGFGTNNIGELAKKGGQPLFIPCTPKGIMVLLEEAGVEL KGKYAVVLGRSDIVGSPVSYLLKNADATVTVCHSRTQDLQEHLKKADIVVAAIGKAKF VKGEWLKPGAVVIDVGTNYIEDSTKKSGQALVGDVDYASASEVASVITPVPGGVGPMT VAMLLQNVVDSANTYLARQKQRHIVPLPLHLKEPVPSDIEVSRAQVPKHITKIAEEVG ISAHELEPYGSYKAKVDLGLLKRLEHRKNGRYVVVTGITPTPLGEGKSTTTMGLAQAL GAHLNRLTFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNL LAAAIETRIFHENTQKDGPLYRRLVPAKNGTRKFAPVMFRRLKKLGIDKTNPDDLTEE EISRFARLDIDPETITWRRVLDVNDRHLRGITVGTAPTEKGQVRETGFDISVASECMA ILAMSTDLADMRERLGRMVVATSRAGEPVTCDDLGAGGALTALMKDAIKPNLMQTLEG TPVFVHAGPFANISIGNSSIIADRLALKLTGTEPDEDHNAKAGFTVTEAGFDFTMGGE RFFNIKCRTSGLVPDVVVIVATVRALKVHGGGPPITPGAPLNAVYKEESVEYVRNGCV NLAKHIQNAKSFGVPVVVAVNKFATDTDAEIAAVREEAIKAGAEDAILANHWAEGGKG AVDLAKGVIAASEKPKDYKLTYELDGSVQTRMEAICQKMYGASAVEFSELAQKKVDTY TKQGYGNLPICVAKTQYSLSHDAELKGAPTGFTVPIRDVRMAAGAGYLYALAADIQTI PGLPTAPGYLNVDVDTETGEIDGLF PFICI_06588 MARLSDLPAELFDAILEHLYIVDLWTLLLSQSTSRRFRRVVQLI LSRSNLPYTEQVTDEDEDDDDDDGSSYWCSYDINVMLWRNFNYIFRPTSCFTVEEIEQ QNNYYLTIVGNRALSFQRLRWVKEDHSRQAYLRPEASWRHLTIARPCQKKPFTRLEII RSYTSDEHGDEVEYLRLDLLDQGILTMGLLYDTLLSDMVHFGNNTGGWVLHRGVRLRN YDLLLEYECFVINDDELLDLGPDSQQCAILHIEGAAVEADTEVESWTVNHDWTPSMIE PDRIRFLNWDGEHVSESTIKTHLQ PFICI_06589 MTYLFNPFVSKMHVPFWSSTVSAMFEVVWVICFVTMALLFLAML QNLLQHPDDDDDDDDFSLDGWDSEMSSVADSDYDGDDEDEEDEEDEEEDGDEEEDGDE EEDEDEEEDEEDEEEDEEDEDEEDSSNSDTLVYFSDGNDSETTATASLTDADTLSDMD ELESE PFICI_06590 MTSSNDAGALANITSQGEGEGALQQQPPPQQPSTTPPPGTNIAN TEPISQADANPDSVEPQIKIEREAEPNNDQPITTNQTTTSLPTTTTSTDIIAINGENA PVANHLDEQIQNAEPELPPQSKTQAEVNATATADSQSWESATGAPHLPDHYMPSPPTV SPLSTPAVTAIHSTNGDIALDEDLPRLTDEHISAATLSAMNRDLQDLSNLQAFAAQQS QSQGYAAVATTDDDINYPQHSLNTGRLSRSNTVTDIDPAAFMMGANAPMPSDYPTAIA PSEISLAASQGGQDDGTFESASASASQKLESFARIEFADSVFQMTTYAVIIGRDQRAM EQARRDERRANKYREACERAEEQGLPPPTPVGRDRGKFSKSYVSEEGGILGPESDGGD SNVPRKKAPSQHDDAEINDDQDDNAKSNLQYVSHSEGAAAVDLSSMQPSSSHVPFVGI HSPGPNIAARTKGISRQHLKIQFSERKGVFEGLALHKNGFFCDDVHYGSDEPVTMRSG SRIQIKDVEFYFVINGVENGKTGAEDLHEVDEASSKRCSVGGKEMSLEFEHSDQEQKK KFLRNTDSPSPVHVAKTPPPLSEEPEEDLAEEDEDPEEAALLDDQLDFLGDDQALPYM DMDAATAAALLQSVEGGGELPPDFVMPRRRGPGRPPKDGIMSKRERRLLKKQLEAQQI SKKTLPQEPAGEKIKRPVGRPRKNPLPEDGDRPEKRKYTKRKREDGEEGSDPERRAKD KKDKKIRPKSPPLDLKIEDYTHEQLKKPAKNYVHLIDEALQAGPEEGLSLKQIYKRIT AAYPWYYFSAETKGWESSVRHNLIGNDGFKKNEETGLWQRVHGIDLDAGKKRKAPTPE RQLGSLHQMGQQAYYHHPTYMQQGTLQFADGLPGNMATNAQHQQTYGQPTGQASQHYA PSQPQTNGQVNHAQQLQGPSSHLAAAPGSSVLPRQPGPQGAYSSPYTRPTTTANAQFN AAPIAPNSHGQHTGIPQAAAQSARPQQSAAPVVPVATKSGLGNPADNPKIVTFKESML KMLSTLSVSPAKIQQLVETAINKAFGLPALPTIGGFEKVESRLTNEVLRILGEDSHPP AASTAPAPVPPTVQTPVAAAPPPPPPPPPPKQQTPAPRPSPAASSLADAEVESSLAVF RDNFMKTFRSKCPQADFIMESAVNQAKGLPNAGKVKGWEQVNDLLVTEVTKIINNVRK KFSSQVDSVASPRQSLQASPSPAIRHATSASPTPGQPALSSAVAPNPAPASSTAYAPS VAKPTTPARPSIMRPGAVSVARPSMNRQASGAGHPAPGPSMTSPSKAPVQASAPASAS APASAPIPATAPTPASAANPTVASTPGITSTPAPAQPSVANSNAAIAPILATGPSPSP APAQAATAAPKGPTPSPIVQSIPPNRPPSTTVPTVSNPGLGTSSLHDTPAPKTISPLP QVVTHPPLAKTASPAPPPTTVPSAGVLNQIMGQGQKRSLESVHGPGNDAAHQPEPKKL STSGP PFICI_06591 MAGSHTRKSSEGAMPSRASTWSEKHTERYGSRRTAPRRQASVPA KRSVSEYSKETSVNTSKPDMHRHASSSAKKTSTTAPPLPRPAPHRANTSFQSRYVEML LGLDTIPRMHNIYASFFLWIQLAGYVVFPGTFTSLQDLSEDPEVQANAAASAIVDHVK NVPLLIVAAVCCFLGSAGMVWLMIKWRRNYIWLLNRLLLPGATNALAGLISTIVPVYT QQNGKWSATAAASAFVEGCSLIICSVLFLFISQVLLARVKRKHAKEAERAMAAEGDES LLQRTERKLKRPPLEPGSVV PFICI_06592 MAFFSNIRRFHRHNVYTLRSSPLSELSGALGDLGTLLPLMITLA VNGSISLSTTLVFSGFYNLVTGIVFGIPLPVQPMKAIAAAAIASHTSLRETMAAGSVT SIVVLVLSVTGLLQWVTRVIPVPVIKGIQFGAGLSLIISAGTSLLRPLVWFLPWPLDN RIWALLAFLSLIATQRLPRFPYALIVFLVGIILAFVILTTDHLGHGQHYALPWFRFWS PYTVIPNWSPDAIGMGIAQLPLTTLNSVIAASALAYDLLPNLPNPSVTELGISVALMN LLGCWFGAMPVCHGAGGLAAQYRFGARSGASIILLGLFKIVLGLVLGESLLGLIQAFP KSLLGIMVIAAGLELAKVGQSLNHGATDLWESSIEPSGRVHRRVSDEERLERWTVMLV TTAGILAFKNDAVGFLAGLACHGAYKIAEWIERKRRNDEMRPLLNT PFICI_06593 MPSTILYLVASLVAYQVYRYVSGLRQNIAKAKATGLPYFVVPMS PMNQLGQLVAPVVLGIWKMLPRKYWEDILPVLLPDWQYVYRFEVFAKLGENFVVASPG EVCLYTASAEAIHQITSKREAFPKPTEIYRMLDLYGRNVVTTEGAEWRAHRKVTSTSF NEKNAALVFHETIAQTYGLLKQWLGPDGKGGKTIKTVEDDTMTLMLHIIGYAGFGLRF LWSDQTMSPDIDPKAAKFSSLEAPAGHSLNFKDSLAGVLHGIIWLILMPRWLLRWLPF QSPKMALTSENDLVKYFRRFVQDKIQDTREGKSDEGMNIMGMLVRTSYGDESKDTKLA RLTDDEIIGNAFVMMVAGHETTANATHFTLVQLAANPEVQRHVQQDVDRIFGDADSKT WDYDQCVNPLMASNVAACMNETLRVMPPGVEIPKRVSPGQDQVLTVDGEKHILPAGAR IGLIAVAAQHNPRYWPSKPSKISDADNDVLDWVPERWFRKQADGHNTEVEGADNEDFG GFAGPDTSVQLFRPVRGSYIPFSDGARSCLGRRIAQVEMVAALSTIFQKYSVELAVDE WASDDEVDKMSKEQKRELYAKAQAKARETMRSATSMITLKLHGNKFVPIRMVPRGEER FVSWIE PFICI_06594 MSGANSWLQRQRKGDLAELAQHTGLKNFEAFKKPELELALDEHL AENATTFSSDPKLAPYFSSRARTIGSPLKRGSTASDGTEEKIKVPRRRTIKAVEDAPA LPPALASVVAAAPESASEPASGSEEEPATSTAVTTGISNALVQTPGRALSLASRIPLP ATPADVAEAVDRHTVALRNRASSIYKESGITEVTHATRESLSTVNSILGIVSLFELYF LRPEVLPDRYAFTIPAIHFLGTNDFPVHVADLFLLLTSSFWSPTLTWLFTSTILPTLA GYFFNLSAANQPTRRTTRNTTPTPDYVVDPLTFSIVKALISFVVYGQGVTFGGWLSEE SIARINGSVYGGYKGILTGTAVTALISIYDAVLKK PFICI_06595 MGRKGELFLPISTKPQPKLRVRTLLGHCLYRRVVIWTVLVVVVL SFTFYNHSYHSRNVLDLVQMGTIDVPQDKLPHSLEDTVDQLVKQSSQDNADETQQIME TKEKSSEQSSKESSEEDQSKTGKEDSKGDVKEDLEEHSKNSEDTKQKPKGKGKSKPNG PHWLDYEHLDRYFNGLRSLVSFSEYKPEYPVPADAATPTAPVPSVHNTALPTPSPYSP HPKYDSNEYKNNYHKVNKCYLDAEKTIPAPDVYAYDGVPQGQAKPAIGSYDLFGIRED VCFDRFGRYGAYGLGYSVQQGGTGEGTDTENEGSRAVWEKSGQIDWDGMDWAGAQERC FQSNEHRFNTGNETLDAEESTQGLKKIDRTAVVIRTYVGFKWTAHALLNFRAMITELN LRSGGEYDVHFLLHVREPDLPIWADPETAQKVLDASVPKEFHGLCTLWSEPQMKLYYP GSFGDAFENPSNGDIHGVYRSAHMPLQHFANMHPEYAHFWNWEMDMRWVGSYYELFDK LGKWGAKQSRIGMWERASKYYIPELHGTWDNFTEIVDFETRQAGRRGVLGPVIFAGKT NLQDGEEGFMPSTCSTGSDMSQCGVGEEADLITLNPLFDTAESGWVFASDASGYNQKA QQPPRRCAIVTASRLSRRLLSVMHEETWRLHHSMFSEMFPPSMALHHGLKAVYAPHPV YLDRAWPVEAIEENFNGGRDHTSGGHGSPFDITNEHNHKGTSWYYNSEFAGLLWRRWL GYAQYDGRGDNGGRAGEGSIRGGRAEEANEESSGRLCLRSMLVHPIKWENPAEWDS PFICI_06596 MSAEKDESSSRPGSVRAQSTREHSIHSSHDDSDSSEHAADGEGL ERYSTHQSMGPDVPVDQASTVFEIPDEVYDRLPAHRKRIIVLLLSFCSFLAPISSTSV LAASPEVAKEFHTTGSIINVSNAMYMLFMGLSPMFWGPLSQVYGRRWVTTVTAIAFLA CSIGTALAPNLAAFFVFRILTAFEGTSFILVGSSVIGDIYRPTERATALGWFLSGTLI GPAFGPFLGGIIVTYTTWRVIFWLQTGLAAVAAVGTFFLLPETIYHKKIDDLVGFSGS EKVKTLATMVNPWRVIRLYEYPNLFLAALASSALLWNMYSILAAIRYVLNPRFNLTTP LQGGLFYLAPGVGYLLGTFFGGRYADYMVRRYIKKRGMRVPEDRLYSALPFMAFIAAC MLVYGWTIQYDRGGIPLAVVILFLQGFAQLFCFPSLNTYCLDVMPKSSGEVVAGNYVL RYLFACASTGAVLPAINAMGVGWFSTISSVFVIVGCLCIFSTITWGRQWRESLDGKKR AARLRQREAARRQLAEVDASGRSDEAQATRAAPEMREKKEEV PFICI_06597 MGVNIPKTRKTYCKGKDCKKHTQHKVTQYKAGKASLFAQGKRRY DRKQSGYGGQTKPVFHKKAKTTKKVVLRLECVACKTKMQLALKRCKHFELGGDKKTKG AALVF PFICI_06598 MTVSVLESTDVKNKLEDLSGVVLKPGENPYNALIEACHNNPAEI QTLYAAHRVKRNAQQREKFITPEFKELIIDPYLLRLEKPSIEPGFKDQRNCMTFWGRP PLHVLALAEKLQEKLRACAPNIWLMPLHRMHITTLEITFSKTPEEIHAIKKVLEPVIP TVANYTFHHRARLVKPVISYDLSAFAVSFLPASGEPALSPPSTAVDDGGAIKQQGDQY TYHHLRRDMWELAKNAGIAIDSRYIVPSAHITLGRYLSEEDHATPEQRQKWVDTIDEI NQWLEAEVWDREDGDLVGEWLVGQEKGLDVRVGTLWYGGGRTVMTGEGF PFICI_06599 MAPDDTSRAGSRGKGRLKQTSEDSDMADHGAAFIRSLTRSSRKR KIEDVTDDESGFSIEDMEPNVAVASPARHNGLNTNLREIYNAEDAFRDLMRRALLPEP GQGPLPSLRDIALAGGFRLNVGTMCSGTDAPIFALKMLQNEFYAMTGMELFRYKQQYA VEIDPYKQAYLRRNTDADVFRDVRDFAQPNIGEAKLLTALGSRKSISYDKWQMLIVGT SCVSFSNLNNAKQKDYGGPAAGDFHRYLQKIWETKEDPGQQPHKRKISTLLGQIVQNL TLSESDATFFPVLTFIQNNQPAIVIFENVLDAPWEDLETIFMPAVGYKAAFMSCDTKD YYMPQTRQRKYLVAFSNEAFGSNMTGDMAKDAMSYLKYFQRRASVDVEKFLYHNTDPA TQVDIQQLEHEKANKTTRESSWQFSQQRHENVRQTEGLGRSHPFSGLKPTGETRFYDR ANQTIMARQPDRVKDVADISLLRGLTASPSYDSRFKVKIHDFSQNVDRNLGTNPFGIS GCLTPSGMAFISNQSRFVTGREYLQLQGLPVQSLSLSRETTDQLKNLAGNAMTTTVVG AIFLSAIMAMHKHNGPAMRHGFPHISDLDETFKGPLSSLPRFRLVPTDHEQDLSFDPS GYVNATTGEILALTKRIRPYCFCTGTAMYSSAILWKCQTCDTIRCGNCKGNPKHDYAK LDLVPEAFGQEAAKLMLMKHFPQVLCGMFPSNVARACLSKLRGCWCNESDHIVVTSLL CNAVFYFDGVHLSETVSICYGSQPGFKVKIMIDAESITWYLFIDPHSDVAEMLAPTLV EASRPDQAFDRHSREYFPSPERVRDFLLRGRPVSRAQIADEDLPSLPMHQQWLPWNFF QPSRMVNGSQVYNQRVLVTKEEKSLTIDRLPEEDEDQCVTDRLAEGICESLYGTWEQK PNCDVAENSLYVQHQNNGEDLFLFKDPSRIGPAELDAFVLSKNPRLMQWHEHREVLLS FVPMAEPSRQIHKLPRGTYVMLITMDGFWQSDT PFICI_06600 MELVLSPPVQNLARLWRATSLDAQVPECHEDNRILAEMNIPGGL DPNHLLTRIAWLCDGGDKFVTIDKKDHGSFFRSIPFAIPSIIRSMNPLSTQTIRVELC EPCSPKFPDVYWICETEGGVLQPIHKPDDVGRYEDGLRKQAPALEIAARVPPHDRESK KERPFMYVRLSLNTSRIAHRALSCLPSIDGALADLASPIVLKAFTEPGHSDSLVFNLK PFSESIPTLPSRLGQEQAQPPHFPPSLRLDPKQLSTVKYMIDRENSPQAFTEREDEEE VMDGLPLRAVGRAEREVYCAGGIIADKVGAGKTVMTLALIDLQANHDDSGYKRRAEQE ASLGAKALKATLIIVPEHIIDQWQNEAWRFLRNLAADDLLVLRSPKDLTLFVNQKRIR NAKVVIVNDSLFGDNQYREELAKSSARSVITGTSRADSTKLPYPERTYVEWHRACVRN LRTFLSAYLESGANEHARKGLVRTMEGAYSDFQAENRDLVAEHVKESSRWAVPGQKTK KAASTGNTKPRKLNYSKMFFDAYILFEYFSWSRIVWDEVSYRNIHVAQFLSTAKTEHK WLLSGTPPRQTLADINYMATALGISLVRPIDLRLGLPAITNGPTLSVRTQAEDCLSYG RLKSDKFVKDRHEQAEHFLRTFSISNNAAGLNVQVHEHVIVCAPSQEEKAVYLETQQV WRRAQMNQDYLDKENLGIALHAVGITPGSVIPDDLSSKALSYAASLPTFGRDGNRTHL QLTENRQEVLENTKKYIGQLFRLLLWLARRLEKCSTAKGKGKRSKETDDSAAVIEPLL RIIRRLQKGDVRSFGGSYPYMVLVHALMPDVDDKIKADFVRKASQCMGSFDLAQLQKG KVVFTSSVEDRSLLKNFYENEKILWTDFYRLEPIQLDDMSEDDVTDLITEYMSRNNDQ GPEEISSYIDNAKDYELRDKLQQLFPEIEDKQNARRATSIDGKKNIEQEQAILSKKTN QELEAEARVRGLKCSKPIKKAEVLQLIKAHEEGGAGYKNYENCTDPRALMPFGPPPML GSMVRVRGANVSATENAFKEAINLFVDAIQLLETHGNQVQRAKLFQRVNSNWDFECFR CGSKDDLTANLLCAHVMCRSCIADKEYCGDGHERTTGCRSIIEGNVVDLAKLFTERRD FAQTEQRLPNVRRNYSSKVNCVIDLIQRTPADEKVVVFTQYTDLIKELLDAMKHNSIT ARTTTNLPGISSVSCRASGTVEAFQDDQFRVLILKVDAPEAAGSNLVVANHVIFATPL ATKAQDNYDSCMEQAKGRCARRGQTKDVHYYHCVVDGTIEVDMLEARTNKRVLVQPGQ CLGELVPIPGCCSGLGSCGDAECQKTRVNSLLRPPEIWKALGEVDYATMAALGQSSTV KDATVGRNDLTMSNDGDAMDVDTDADTDVEMSERTAGEEVPDNAQFVLQRQVSTYGGL TALDVARILADN PFICI_06601 MIVETITIPQTLHLKPVPLTRAAFSPYGDVLTNPSPKSLPHNTP ADTIAAGQLPCGAVSANQGSAIQYRQLGVVRDLYPQAVSGQAATPRVTMFVSGARDEV LRTGAFQVKILERHPFTTQTFAPLSGDGESTRYLVIVAPTLPSSSGSDEKLPAPAEAT DGKGALPGRGFPDLTRVQAFIATGRQAVTYGAGTWHAPMVALGEAGTAIDFVVTQFAN DVPVEDCQEVVLEGGSAKNSITVRLGSEARLSKL PFICI_06602 MGFSCGLFHRRPARQSVPAANAQGQQSQQGQQGQSDLQDIEYTP RTSYASSHNEEITTTRQHAMAGRAGPAAPQANVQNPELAGYYSPPAPRPAPPPRPRAP YRPPQELSGFYSPGSSAAQPSQQGTSQRQAPLVNQARNPKPTMYT PFICI_06603 MADTGNGVTGADSNNIAGLMLASVAGPATLSTRALNPNDQHRNN APVAAAESSKPGGSEVAETATIPSPSVADEFDDSSDSSDSSATRPVVANQPITPQSTG NNESQPANGTVDSTSHLAEDPCHFPRVLPDNLDDLPEESWDAACDVLTIAGEFLSIIG HPPVPWDPNVELGEPLQGPFLPLGSSCERPITWDNYLIRGDSGPRPVIHIKAKRPGAP DIQLKIHWDYQAECINMTEEEFPEAPAAAAEQTTTTAAPANASVEPEPEPGPEPVQQQ AEAQAEEEINPHSLPEIHHQPRSAAPSQPALGPHDPANPAARPPNQRSFISQSQAHFV SSAPTPVFVHQQSPFVVGQPMPMIYGVGQQPQQQYSMPSFMAQQQPGGVMGNEPYLLI NPPVVSPGVQAPPIGTGGYPNWRQSPFHNGTAHWRTNPPIIVGQPGAQPVFMGGQTHN VIMYR PFICI_06604 MKTEQTPPSVLLRRLSETSVFLSLLVEVWDPTYTTRLSATFAFR PSCARKLGVKAAPLLRSLGGWDNRQPGMVDLAAPPTPSACGSADNKTEEENISHISAG WHRPVRADWQGEDGQSHSLPGLALSIYHDSGTRTAFFKLQARVDIKGCNHYLFYLHIA PEGVEQLALCSPLTTDNESTPTHLERALRFQISQPAVLVSPCWPCQPKNPESETNLHL LQLLGRATVFTLRFGIPCRTIPDASFVALSDALTSRTLKSNSDQANIQRYYRGQGGIA INLTDASTTAATGIHQENRAEPTSQAETIDQPPAYDQAVIEKSTSDPLVYSPPSKKRR RDSPIIERDELFAQSTKSLYEDLKQIVAENIRLAQTNEANMVLLRSKSEQFEKTVAEL KDENRQLRNCIATMSDKLETLEQRLVSAGEELDRKVDLLEDRVTSLDAWTEDHECDAE IVKDLAREVIEEDSEELFNRLIARLTDRLQGS PFICI_06605 MSAITQTAVHRIGKWTDAKFNKKPSLQQYTSSPAQNTADKYSGH SQEAWQQDSSSTHPTPTPPSPRTLELKPQGHTMVCTSEYGPLLTITFTSNSIGNDNDA PHLIALRGNAAGPQIASARFHQWTSTKTDLELHGQTTKVRREMHSATGLGKIKWERDG TKGMKLTTAAAGGNGGRKEVIARFGATHKSRRNRGSGQFDLLQDGMGTAQLEEIVVSC LVERERMRRAGELVEDGIWELFMASIGL PFICI_06606 MIGGYDTSNVANVQVSVYRAFGHIELLPWLSLSYSLISVAVIPL VRKFTLFCDLKWMGLLSCLLTCAASALAGAAPNIESVIAGRVLMAVGSATLYQVIQAF YVIVPVVAAASSLVLLYPSYRLPTNKPALQHLREIDWVGCSVIAIWTVLGLAICAFTT QQYLNLFTTHEHRAIPWKLFSNRSVALPMLCVWVAGVVYGATLYYTTIYFAFTHGHGA LAAAVRLLPFIGVFIFMIFLAGTLLPVVRYYQVFFLIGAALMLIGGGLQQTITSQSSE GRVMGYETIVAAGAGLMWPISLPIASTVLPPQDCMDAAALFNMGQMLVGKVVTDLNLS HHDIRELLSGADSTATYFLSPDLLALVVEAFTKALVSCFYLTLAGSAVAFIAACSIKF EALNFGGMAAQRQATASAEQGGKTEAAA PFICI_06607 MPGGATPTLHATADVNRVEAPVTWKTYLMCVFAACGGLFFGYDS GYISGVNAMEYFIHVIDGPDATALTTWKKSLIVSILSAGTFFGAIIAGDIAEWIGRKW TIISGCLIFLVGVVLQVASHGLGLMVAGRLIAGFGVGFVSAVLILYMSEISPRKVRGT IVSGYQFCVTIGLLLASAIDYATSERNDSGSYRIPIAIQILWSLILGGGLLFLPDSPR YFVKKGKLDLAATALARLRDQPVESDFIQDELAEIIANHEYELSVIPEGGYFDSWLNC FKGSLFKQSSNLRRTILGTSLQMMQQWTGVNFIFYFGTTFFESLGTIQNSFLISLITN LVNVCSTPVSFWTIEKFGRRSILIWGALGMIICQFIVAIVGTVLPDNDKATTSMIAFI CIYIFFFASTWGPGAWVVIGEIFPLQIRSRGVGLSTASNWLWNCIIAIITPYMVDEDK GNLGAKVFFVWGSLCTCALVYAYFLIPETKGLTLEQVDAMLEETIPRTSAKWVPHSTF ADQMGLANKAEHEHIEKESV PFICI_06608 MLRTALLEWEAPHLRFRVLWDQIFLKLFSRFKTSIDGNPDLDSP YHKLYMPFCIQNPLLVQISIYTVACFLTETRHLDKQQSILIKGQTIRMLNDRLRSTED AISDASIAGVCQMIADEWYWGDMHELLAHLKGMRHMIELRGGYQNLGLEGLLSKMIIV TDFGIAITFELPPYLQEGKEFQFEDRTPGEHRVSHHSPLVASALSFANSVHLSGLHPT TASILDDIRFLIALAISTPAVPSTQQAQKLKWTADWIHGRIQALPNDAPPSEPSATSS TSSTPQAKEKQNQSTASSARTSHEPQRSDSVASPDYLYQSIRQAALLYTTTIAATKPF SQTCSPDDFCQLWVAVWRVPLGVWKSLLGIFLWIEVAVLAAARDTPHGRFVKSMYNIA ALSLATEDWDAAIAALRSALNLQSRLTTWDTNKLTP PFICI_06609 MEDDVGLKRRDTIKGPPLRILSLDGGGVRGYSMIIILQELMHKT YVEIEGRAPRRDQIPKPCDHFDLIVGTGTGGLIAIMLGRLRLDLETCKELYVRMTRMV FETDKTIVGIPYRSTLFKASMLEQAIKEAVREHTASEAEGNDGTNSTGSGYPLSASSR SSVSSNPRRHASNASVVSFSARSPAAQMARPAHRGLYGDPNAKLYDEREYRTKTAVTA YYKGTPRNGEPALLRSYDSRKEPAPEYDCKVWEAGRATSAIGLAFKPIRIGQSVFHDD GSGSFNPAPTALDEATVNEWPGREVGVFISIGTGRRPKGSESNSHSWYEGFMGDYAEA RKKLIAKIENCEVIHEHMKTELLMKRGVNAENYYRLNVEVGVGEFGMNEWHRLSDISQ KTRQYLSRHDEQKLVQGSSTKLAKIYRAKERWTRMSNVPEIISPPPKTDSMQFPLAVE LPGDFPPPRPVQTPPSRDSYDSGIDRLSLPGSAPAFTPSPRSSQDKTRPPTSHSSPPQ QAARLHHPASQSPLVSATKPPADDPDRLIVNSPTPAQYRNGSGTDKIMITSPDEHPRR HHTMPVSQGSVEQSARLEGPPRPPKTPIPEEMKSSVDRRPSTLPYPDDDEPPPTVNMA KKPNYHRVR PFICI_06610 MVFKIGNIYFIAAIAVIGGGLFGFDISSMSAIISTQPYLCQFNE QGNGDDGLCRGPSSNLQGGITASMPAGSWGGALVSGFISDILGRKTSIQVGSIIWVIG SIICCASVNIPMLVAGRVINGISVGICSAQVPVYITELAPPSKRGRLVGMQQWAITWG IMIMFFICYGCSKISGAAAFRIPWGLQMLPAVLLFLGLLFMPESPRWLAKKDRWEETK EVLTLVHGKGDPNSTFVAKELAEIREVVDFERQNADVSWFELFKPNMINRTHIGIFTQ IWSQLTGMNVMMYYITYVFTMAGLGADVLLPSSIQFIINVVLTVPALIWMDAWGRRPT LLIGAFFMCLWMCINAGLFAVYSRPPVESDHFTTDSESMAISGAPAKAVIASTYLFVA SYAPTWGPVSWTYPPELYPLRVRGKAVALATSANWAFNFALAWFVPPAFASITWKVYV LFAVFLVAMFLHTFFLFPETANKPLEEVEAIFDYSKPGSIKFIGTPAWKTHNDRSVIR EERNMVTPEEKLAVEAEHKDSHDAI PFICI_06611 MDPPAAKKRRLAPKEPPQSLPAYSPHEAAPPPPPPPQQHYPVQE AAQAAPPPPERHEFESFARHLQDAAMLIHRQSQKSPYNNVSVLILKWEDEVIDGEGNE DEDEEDKDDIEQRAAALEELDTFEQLLRERYNFRTERYNIPAVASASIKLGIRVQNFL ERQAPDHLLIIYYAGHAYVGMDNQLFWASNPTDNAIKLRWQGLRCLFDESPSDTLFLL DSCTTRDVAAPSDGASLRQVIAAHGPEKSAQEFPGRSFTVLLTEAFHRLSTGRPFTAD HLWHEVAWNRQQELLQNSSLTNGASKGISLAEKLPISQCLGRGKNQSITLGPLPARPE SHTQADGETHSSGVSQLDQTIQPQSVAELTFDEARVLVCTTFVGEASPDMSSFDQWLH TSPGAASKIVVEGMFLGPPTMLLISMPGSVWSVVQHDKVCCFLGYITSRNLTHIYKRL VGSVSSAKVTPEDGRILLEAREAASASATAAAVAHRYEHSHADVNHVSRHPETPARPE GTPRTDPSSLSQPLGNAAFATSTPVIVVKQQDDGEDSAEMQEAAEQLKALSHVRHLSD EGSAAPANSSLMELTPDIKHDESSSHSMNESGFDEVQHTTPTAKPKPRRSLAKVTPKQ ETRCDLCSHAPFKDSSSLRKHIAAAHTRPFPCAFAFAGCPSTFGSKNEWKRHIASQHL CLTYYRCSSCPQSSIEGKGNEFNRKDLFTQHLRRMHAPFAIKKALAKGDSKLQVEWET HVKEMQTSCLITRRKPPQRSACPKPDCASVFEGPTSWDEWTEHVGRHMEKGEAARLGV DRLLAKWALDEGVIERKEDGEYRLCSGPGSGEKESNHKNNGAGNHSDAPSRNSEEDVI VAASTLVEDKMEVDG PFICI_06612 MRFLQTGLLFLAATVARATLQIVPGATWTATNTLKHVQAHGAGV IQVGSTYYLIGEDKTNGSAFQNINCYSSTNLVEWTYVGALLSQTSSSGDLGPSRVVER PKVIYNSSTKKYVLYMHIDSSDYSEAKVGVATSDTVCGAYSYLGSWRPLGYQSRDMGL FQDDDGKAYLLTEDRANGLRIDALTSDYLNVTSATYLWSDSIEAPAILKKNGYYFMFG SHLTGWSPNDNVYSYATSLSGPWSSWATFATTGSNTYTSQTNYILPFGSSAIYMGDRW VSSNLMRSTYVWLPLSISGTTVSMANYVNWVPNASAGTWAAGPSETQPEGESATLAGG ATSVSCSGCSGTKAAGYIGGSSGGSVTFSGVSSSASTKSTIRIKYENGNTGQRFAKVT VNGVAQTVAFLPSEDGNTPASSVVHAQLTSGSSNTVVIAGVGDGTYGPDVDRIMVPSS PFICI_06613 MASLIVFAAAAAAAAVLRRDYPSDTTLTACPGYKASNVQTTASS LTADLTLAGEACNVYGADLTDLILEVSYDTETRLHVKIQDAANDVYQVPESVFPRPEV DGGYPTAQSALTFNYTEEPFSFTVSRTATGEILFDTSAASLVFESQYLRLRTKLPEDP YLYGLGEHSDPFRLNTTDYIRTIWSQDSYSVPEGSNLYGNHPVYFEHREGGTHGVLFL NSNGLDVFVNNTEESGQYLEYNSLGGVLDFYFIAGPSPVEVAQQYAGVVGLPAMQPYW SLGFHQCRYGYQDAFDVAEVVYNYSQAEIPLETMWTDIDYMYRRRVFSLDPDRFPLSK MRELVDHLHANNQHYIVMVDPAVAYQDFPGTLQRGIDDNVFMLRSNGSVWKGVVWPGV TAFPDWFSANITTFWNNEFAEFFSPETGVDISGLWIDMNEPSNFPCYFPCDNPDYYAI GYPPEPPAVRSPPRELPGWSCDFQPEGTDCEQSEVATRDIEVVEPRDGFTGSEDHVWF SKRQEGQQLGLPDRDLLYPKYPIHNKAAYQDDWNADKGGISNKTVNTDLIHQNGLTMY DTHNIYGSMMSVASREAMLARKPTLRPLIITRSTFVGAGAKVGHWLGDNLSTWWHYRL VIRSMFSFTAIYQIPMVGADTCGFGSNTTEQLCARWAALGALTPFYRNHNDIAAISQE FYRWDSVADVARKFINIRYQLLDYLYTALYHQTTDGTPNVLPLFYVYPEDKTTWDLEL QYFLGPGLLVAPVTEENATSVDIYLPNDIFYDFYTHEKVEGQGAYITREDQSISDLPL YYRGGVIVPLRANSSMTTTDLRKQDFEIVIPVNANGTAQGELYLDDGVSLEQTGVTAI TFAFDGTYLTAEGTFNYETELKISKVTVLGSPSSKKCRRSVSVDVNQPLTEGFKIQVV PFICI_06614 MRNPFAINEGIHGPAPKQIYGWRPYALACSSSWAAAMFGYDSAF IGSTLTLTSFKNDFGLGGEAATRLSSNITSIFQAGAFFGAIFGFVIAEKWGRKPNLIV SSLVFLLGALLQTFCKGSSQIGMMYAGRILTGIGVGASSLINPIYISESSPAAIRRRM IGIFEIFLQIASLCGFWVTYGVNQGLPSSTMQWRIPFAVQTIPAGFCVICMVFMVESP RWLAKKRRYAEALETLAWLRHLPADHVYVQEEMTLIRTSLGSESENFGSASNALSLNA LRGAWAELWSPSMRFRVGFAMAMKWMSNVSGVNALNYYTPVIFKSLGFSGASVSLLAT GIYGVVKSLVTVIFLWFMVDRWERRPFLLIGTGIIMVCMFYLGAYSRISHSFETSPPR DGGAYTAIVLTYVYAAAYCISWNAAPWVFAAEVFPTNIRTLGMLVAVLNQWLAQFVIV YAIPYMIADISYGIFFFFGACITVSGLAVYLLMPETKGFPLEDMHYIFENGHWFAPRM RAAAEELQAEQNRMREIEANKPIVEEIP PFICI_06615 MTLSPAVTSPTERRSNPEPRKKRKRSSRGCLPCKTRKVKCDENP EACSNCTRLGFECQYEDGSSESVTSLTKKRTRQACVLCRNSKLRCSGSWPKCDRCHSH ELDCVYEKVGTGMTTVSEEPASPFARGQIEGQTEIHHPESTSLDLRRSILQIHIDAFF HHVWTTSAFNFIHKGSFLRSWHTGAMAKNLVRVVTSVSSRFVSNRPRSDNGDSFDYPG PKWLADVELAVFRDLSSLSIPRLQILLLLIFDHLASGRLVTAWNLLALASTHAFALKL NHPTDAVLPTNQECRRRLIWCVYYLDKVIGCEGLGYPSQCPREKIRVTLPCDDRLFGL EQETATPYLQDMVSESLQNLESLGVTAYLIRILDLREQIQIFLQFEGKDKTTAGLWDD GGRFMDLRRQLAEFQNLLPPEMRNNERALFLRASTPDAGVYTMVHSWLHTAACELYLH FLEPTTSADQNVSPDKGYDQALLDRCRDELARHANTLHQFWARLHSVQRYSERFFVTD TTIARCVYINTRSMLLLTRYQTETSGLAKTPLHLNLEILEPLAQVSPYVGSWVSHHHS PFICI_06616 MTNWGPVGLDRGNITRLAASDYNGWEGPLPSTSPFSGFAAQNLY GRDDVSSTTTDSNLFPYCPQSLEFTLPAFSFPGDMELGDSGTIVPTGSNNLF PFICI_06617 MASQKRPNVLIILADDLGFSDIGCFGGEIQTPNLDQLAEEGLRM TSFHVASACSPTRSMLMSGTDHHVAGIGTMAERITPDMKGKPGYEGYLNDRVVCLPEL MRDGGYETLMSGKWHLGLTPDTSPHARGFERSFSMLGGCHNHYGWEPDFTDRSAIPRL AALMGRMYNRDGEGFGPDKLDKGFYSTDSFTENLLDYLRDREEKKTESGTEERPFFAY LPFTAPHWPLQAPPANIDKYRGVYDEGWDVLRLKRVAKLKAMGLVPEHAVPAPVISKG EDGLDTKNWDELTLDEKREFARKMEVYAGMVDRIDENVGRVVTYLRQTGQYDDTIIMF FSDNGAEGAQYEYAPLTSGGDMGTYCQKWHNNSVENMGCYDSFVWYGARWASASTAPG LLYKMFTSEGGIRVPFLARYPPLFAPNKIDHQFATVMDVLPTLLDVCGIAHPGTTYQG GPVAPVAGASWLPYLHGDRAKIHAEDHVTGWELFGRRAVRQGPWKGLFIPKPYGPERW QLFNVLEDPGETNDLGDAMPEKLQTMIALYEDYCKVNGVINQSGASRSGWSDAVK PFICI_06618 MQDSGRGRGRPNRRRGGGRPAGAGAGSNRPRFQASNQENDQVPG SQRPFATIAQPALTISVPADTPKFADLAQEKLIDPVLLQTITEDMKFDHMTPVQAATI HDLLKERSDMLAQAKTGTGKTMAFLLPAIQTLINKNKKPGMTISALIISPTRELAMQI ANEATTLLQRRPEYKVCIAIGGTNKNSEASRISKGCDILIGTPGRILDHIQPDEGDYS MVLERLQHLDTLVLDEADRMLDIGFLPDLKKIIGFLPDKESSQRQGMLFSATIADHVQ KVAHLALSKDYKFISTIPKGEAGTHERVPQRLVVVPTFSDLTAALVGALRQEVDQVGP DSFKAIVFAPTAALVDFYADVLAKIPKLPSIIALHSRMTQSKRTRTTDEFRNASNGIL FATDVIARGMDFPSVTNVFQVGIPADRESYIHRLGRTARAGAEGRGTFIVAEAERYFP EHKLKDISFENVQADLSAKELVAQIAVSLEPEVQTKAYQSWLGYYKGPLKQLRWTPEQ LVKEANNLALEGLGAPEVPSLYRTTVGKMGLKGIKGLTVVPDPPREARGGGGRGRGKA ASEAPNNASGAKRQKR PFICI_06619 MISKQFFAVALAALVRQVSGAGVTGSAEGFAKGVTGGGSATAVY PTTNAELVSYLGDSSARVIILTKTFDFTGTEGTSTETGCAPWGTGSACQTAINQNSWC DNYEASAPDVTVSYDKAGVLGITVGSNKSLVGQGSAGVIKGKGLRIISASNVIIQNIK ITDLNPEYVWGGDAITLDDTDLVWIDHVSTSLIGRQHIVLGTEASGRVTISNCNIDGT TSWSPNCDSYHYWNVLFLGSQDLVTFKNNYIHHFSGRAPKVGGNTLLHAVNNYFYQTD SSGHAFEIDSGGMVLAEGNVFQNVVNVVTDDVEGQAFTSPSSTANTACSTYLGRSCVL NAFGSSGTFNIVDTSFLVNFSGKNIASATSADTAKSVSSSAGNTLS PFICI_06620 MRSQLTRHVLRRLRAHQGIPPCPTTRPSLRRYPAAARIARPVQR RTFLNFFQKAPRILKEPEVEPGFESLLKYGAMKNENLRPPPREELVKGFRDFFKYKKV NNRPVNAMQARVAHHVLKHLNEPGERSAEFTLTLADLRTAQISLQQRPRDDPTELLEL ARALFLQIRDLAKQKVRSSRYSRGGESKSAAVELFPDIATLLRILTQHGKSLEARHHL LTSWQSLQSDTKLGYGRTKGLWIPVLRGLAQEGREEELLDFLAKMQTRSGLEFGRSVH EIMTTFYAKKDDLETMKEWFYKPIEPARADDASVSENGIAETSSTSPPTPAQQTYAEV FRCAMRNNDTEWAISEYQLLTDTLPTLSETHYAEEAVLVIYRFAVLLLGKGPEHIEHM FKSNPDPNFRPNISIVNALIEAAVEKGDPYMAERLVALVPKFDLEPDRRHYSLIMDYR MQAGDLDGAFTAYRSLQNYDNDEDDWPLLNKLIRGLCSSPSPNHDKVLDVTSYLEQLA ATLEPETVVALCMTFMKNDEQYEVIDTLSLHTVHYSWSERALISKAFTGFCLDTANST ARVWDAYALLRQFFPDTALEDRVKIMDTFFDRKRADMACHVFGHMRQHSNPKYRPTIE TYVRCLEGIGRSPDLEGLKLVHNMLKMDTTVQPTTQLFNALMIAYTACEEPQRAMEFW KDIDNSAEGPSYHSLEIVFRTYEITPFGDEPAKQLWEKLHKMEIEIPVNVLAAYAAVL ASNSHMEEVKKIIEDMEANTGQRPDVMTLGVVYNALPNDDFKDEFEAWSKEWFPHVWA QLEKIRRRRDAEGFRTFQITRPWKA PFICI_06621 MPPRIPLLSAARPAQTYFKAQVCQFSQSGARNALRDIGQPNSGS SLLDLDKPSSPSSRPTQRNSNAAMKSIFDRMRVSQRRGGDQAPAGESLRPNVQEELRG KNIAEDYMRQQPRRWKLGDVYAPRDLSSAEMSKWGKISNVERDLVDLLGLKPLDMYRN FSFISEFTTPHGRIKKAAHTGLRPVNQRKVAKAIRRAIGLGLHPSVHKHPELLKRERR FMGPQTRATGKMGNYL PFICI_06622 MVRKLKHHEQKLLRKVDFITYKQDNDHRDAAVIRRYMIQKPEDY HRYNRLCGSVRKLAHRLTLLPPDSPVRRKHEDLLLEKLYDMGIISSTSKLSAVEHDVT VSAFARRRLPVVMTRLKMAETVQAATKMIEQGHVRVGTDTITDPAYLVTRNMEDFVTW AVGSKIKRNIMKYRDKLDDFELL PFICI_06623 MAPPPVIISISDDEVTASGDEADVLPSTSPIRDVKPRKRKIPSQ VPEKIEWTDDDDELSYDTFKKRKIDEKPAKGKGKAKASERPAAKAAKGKPRVKVRPGD HDDPEENLPDYLKQRRRAFDQDRKRLQQAGLKLPPTYDDVDFSDDERYGRLEERPKFD EKSGVKPSRAYEDIVLPHSCGIIPAPIAQYLRDYQVEGVKFLHELFVYQKGGILGDDM GLGKTVQVAAFLTAMFGKTGDQRDAKRMRKFRWATDRWYPKVLIVCPGSLTQNWRQEL DRWGWWATDLYHGAGKDDVLAATRAGSLEIMITTYTTYKNNQNAVNRVAWDLVVADEC HCLKDPGSEVTKAMTDVNALCRIGLTGTAIQNKYEELWCILNWTKPGLFGTLAEWKHT ISKPLTIGQSHDATIQQLSKARKTAKKLVENLLPQFFLRRMKSLIAHQLPKKSDRVVF CPLSEIQRKAYQNFTDSPLVEIVKRAYDDCECGSGNKRGFCCHEKTAEGESWKALVFP CVMSLQKLSSHLTLLIPSHSETKEKHDRDMRYLQAAMPDNWKTLYNQRESLLSLSNPD FCGKWAVLKKLLAYWHSNGDKVLVFSHSVRLLRILQYLFNNTSYNVSYLDGSLSYEDR QSTVDDFNTDSNQFVFLISTKAGGVGLNITSANKVVIMDPHWNPSYDLQAQDRAYRIG QVRNVEVYRLISAGTIEEITYARQIYKQQQANIGYTASNERRYFKGVQHQPDRKGEIF GIDNLLSYRPDEVKLRDIVNKTNIAEAKAGVSLMDVDMEQAVEEASQEGLIKKEEDPG NDNGGLGHLASLLTKQEIGDVDKKKAKARKSDVVQAILVSAGVEYTHENSEVVGSSKV EDRISRRAELEASQDQGETARAIFDSQDPGIDDADIETGNLSFHYNPPDDVKQRQFCT MAKTFGFPNATEFALAVETMTQEQRRNTLELFYTKRLERLRDFGMVKSENEGKLPVDA QDGVKDEVKPDIKLKPEDSKPDLRSEQKYALQATNETKVKGDGPGNPSEVGKNSIKTE YSKSASKNVRLTTKHEESPKALIWTIDDDDDDEL PFICI_06624 MAEAFGLAASAAGIVSLGLQVYEGVASYVEGVKDRKTELSAILK QANTLQAIVNALQVAAPRIDAILSIHESPLLPSLKTVEEELWALKLFLGSLQNTDSQP KDVLATLKEQKRKLTFPFHRPTLNKLQKKLESANNALQTSLQVVELTATALFHTAISD IRATVDNSQLLVTNLQSGIGTLETSMNSMALSLAPTQASIGSMEGRLNLLTTEGRNNN ENLERNFHTVHTSMSHLSDDVGRAMSTVQMSTGQVHGAVLQQSQDIRQLQDVVSKSIV QPTTIAMAVEAVMEKKFAELTHGSSAPTNLSQPQLILGRLLSKPSELRDAHDVDRMVS ITRRQREASIFTAHRSCSCYLKPGTQHQVEKFGDFYMVRKTKTQPQHLPDCDFWAYNS KSTTHERTLSYTGFRKLLSVSLELSLSWTSGAGGLSISPNITLRPMVDETRSPAFRLI DLMRESYFYIDCSDIEFEYILDRSMNAILKLYRTKECSPYDVNFRGESVLHKWIDLLF AVLARTKSEISARILMTALSSTRRLVAGWSPSSILFDYYGQSPLDYTTGYNLVDMFPL GEVATLLCSYDDELAIRVRDSLRPYETMIGPYDLGSNEIHYILGSPVWREVYGLGPLS TSIISGNKDRVQTILDTDVSTLEETSHALQTPFHLAALSPNHELLEVLLEAAEDGSTR FDTRDARGKYALEVAAIDSSRLCLYGRASVLCTDCPCSVPFDMLQSRGWQLSPKTIIS PCQLLIRASQAVRMKMISNFKHFREGLRTAALDYLSPYEYQHLQLDDSRIVDGHMKRV VERLLESDLRITQEYEGLVDILSRTSGDCIYHDLVGNIRRHFNIGISSFADLLFDSGF KDVDHEDEYGNSPLQILVTSSESLVRDEDEESLELLVWFIHHGANISQVITESWDVWG ATLTANFLCLKSINRYRKSAIDHIAEALRWIAPLGLFDKCRCGCVGAGCSTTTIFFRN LWQWFFRDKDVVHIHYYNDCEGYESSSEGVKEVSSSRAESVSDSYKDDTDDESVCQGY PDPERINGINTENDTSSEWETIGSESAWESENDENGTTDFWQSTHNLSTYLQLLEVDF VKWDHVSLSALKVFTFEALELRHTCCHKLVKSDNEDIPWKWKDVPVCENEIDEIQEED HELLGLLDDLVEEFVDELQSSGNSLQQFLIRYWAPRMEHVLEDLRAAKMSAEQVLAAE QVGVTWEDDSVDDSVDDSISESEDEYSYPPRPTRSERIEALIKQMDEIVAESSQSGRT PFICI_06625 MSPSENRENGEQEPLLRNAESRQQTDGDAEPVADVEAAGTFSRN LGAKEAFAIVISIVIGSGIFTSPGSIDTNVPSPGVALIIWLVGGVLAWTGASTMAELG TAIPGEGGVQPYLQYIFGDIFGFLAAWTWIVAVMPATLAILSIVFVESIFSALGVTDQ ADAVEHKLLSILILLIMNVANSISTKASTRLNNFFVFTKFLSIAGVVIAGIVVVALQV SDPDRDIGGRDWFNKSWFGYRNTVNPDGSETDWSKISQWELLGHYSAALYGALWAYSG WDKAIYISAELSKPARQLPLAINTAVPAIVVCFLAANAGYYILLPWTVVSTTDSVAVT AITRLLGPVVGVVAAVLICLVVAGSLLGNSFVAGRMAVAAANKDWVPRFLSIVGHVGL QPRREAAPENAEGNTDSNEQSGSDAPLNAIILSTIVSALYILLGNFRALVTFNGLGEY SFFFLTVLGAVILRFREPNLKRPYKPFLLVPLVFAAVSGFVVVRGAIFAPRLALVLIV VWALGLGFYQIRKYIAQRNASES PFICI_06626 MPQNSSSNNQQGGSQQSGSGQSSNTSSDYRVAKDAGFDSTYDFM LSHGLHVSDPDQMAEGKAILQGYRDADAREQASKGSSSSSGNRR PFICI_06627 MAHSYLEYFRLKAIISYLRYTDDEKPTPPSPDDSFTIPSRDEKR RITVNVYQPKPASKPSPVLLNWNGGAFVFDGHGADEPFCRRVADEAGYTVLDANYALA PEYPFPCGVEDAEDLVLYVLQHPDVYNVKNIVLSGFSSGGNLALAAASDLEKQAKISN NAIRGLVAFYPPTSMLVHPAEKKLLNGALPPIPPLLKFFMSTFSSAYLPPGANPSDPR ASVLEADPEHFPDNLLIITAEKDRLAPEAEALATKLQDVGKNVQLKRYDGVRHGWDKT KDDESHDAKVRDEAYSLVVNYLLDLKK PFICI_06628 MRLDFILANALTATATAAAMVSSGKQRRSCYERAPSVIQMDLNV TIVFSPVLYFDVPRGELRGPCTLVAKFPAGYPISSSGDDLVNVVAASGEHDGAVVGVL RFVSAPNVPTVTVVGTFECDGVMDYQLEIADSATEANWVSFEQDEDAGLFLEIGDRCR PESEEEL PFICI_06629 MAGGNIKVVVRVRPFNSREIERGSKCIVEMNGNQTVLTPPPDAS GGGGKGAKDNAPKAFAFDRSYWSFSKADPNYAEQHHLHDDLGKPLLDNAFQGYNNCIF AYGQTGSGKSYSMMGYGKEHGIIPKICQDMFTRIEQMQQDSNLKCTVEVSYLEIYNER VRDLLNPSTKGNLKVREHPSTGPYVEDLAKLVVTSFQEIENLMDEGNKARTVAATNMN ETSSRSHAVFTLMVTQKRFDPETKMAMEKAAKISLVDLAGSERATSTGATGARLKEGA EINRSLSTLGRVIAALADLSTGKKKKGGGAGGQVPYRDSVLTWLLKDSLGGNSMTAMI AAISPADINYDETLSTLRYADSAKRIKNHAVVNEDANARMIRELKEELALLRSKLGGG GGGAAAGGSGGSAVPPDEVYAEGTPLEQQIVSITSSDGTVKKVSKAEIAEQLSQSEKL LTDLNQTWEEKLLKTEEIHKEREAALEELGISIEKGFIGLHTPKKMPHLVNLSDDPLL AECLVYNLKPGTTTVGNVESNADNQVNIRLNGTRILHEHCKFENAADGTVTIVPNEGA AVMVNGKRISEPSRLHSGYRIILGDFHIFRFNHPMEAKAERDETRQSLLRQSVTATQL GLDRTSPTPTRPTHERSISKAGSDIGDSRPESPAPFRGTDSDWSYARREAAGAILGQT EQNLAKLTDEELNALFEDVQRARAERVFGKEGEEDLDSVTSYPVREKYMSTGTIDNLS LDTALTIPSTPKAGENDDRLKEIREVMQTQLEKQKGEYQEQLKDAEAGNVEFEEIKKE KVRMEETLIQLKADMQKQLEAQKQEFEAKIEKLDPLKRPKAKPKLSKEEVERAKKALA HWRSRHYVQMAEKVLQHAATLKEAQIMSEELGENVVFQFTVVDAGHALCSSYDMVLNG VSNEGDDIALEEAQKPCVGIRVVDYKHTVVHLWSLEKLHDRVRQMRQMHQYLDQPEYS QHFKLDNPFVETCMPEYSLVGEVDVPLKAVFDSRVQDFNLDVLSPHTSHAIGILKLSL EPSSARAPSSTLKYNVVMHDMVGFAEREGTDVHAQLFIPGVSEDSVTTTQMIKDFDEG PIRFDSVHSMSVPLFGPQNTNLRVAIFAKVSTMHLDKLLSWDEMRDAVPGAENKPKRD ARINESHFFTEEKHDLLARVQILELSENGDYVPVEVTQTSELDTGTFQLHQGLQRRIA INLTHSSGDALPWDDITSVRVGKIQLVDHAGKVPDMAAAAPDIPLRLSSKPTFRQNAN GTRSVTISGQWDSSLHNSLLLDRTTVDKYKVQMSLSWDISSDKLAEPMKFSTNIYCQI LGRTFIRQASMLSALWQHIRIVHSSSTIYTLTMRPAPIKRVGDLWRINSQHGYVKGEE NLNNWSPRGVSLIGDFIAAQKKRRRIAEIGAAQIFLKKIGLPEPKNPPEEEDHQSESD EFSPIPKGNDDDSIHALLNDTPQSSRPSTPKPQDPENNEEVDETAAPDEPKTESVDDE EASPEEPVEESVPKSDFGEHETLILKKCLKLWGSYPDPVIQILSPENTAPPADGVAPE SSAPPALITTIVRVPKNPKVLKGGYLLVPNNDSSKWVKRFVELRRPYIHIHSATDGDE VAIVSLRNSRVDSQPEILALFSGQDPHDDDEEGSAQGDFRPGHRRTSSGRIVSSIWTG LGGSSAGPGLGGLSERLQAGVFAIYGTDNTWLFAARNERDKLDWIFRIDQSYFSGTGS ASGSGAVSPQPGDYANGNGY PFICI_06630 MALAVEIAAAVSLLYLVYLAGHAIYLLTGHPLAGIPGPKTSAIS RIPYWRQFWNGQDVYWIHNLHEIYGPVVRIGPHDVSYASADAWKVIHGSIKGGYTLGK PLDANIRPANGNILTADAVDHARMRKLFSPAFSERALRKQEPIFQKYADIFTSKVRDI NNSGQAIDMTGLYNFATFDVMADLCFGHPLGLLAKNEFSPWVRSVFESLQLLPIISII HYYPVLLALFERFQPKSVTEQMLVHAKHSADRVDQRMQEGSDKPDIWNLVLSAQGTEK GLSLEEMHSNADLFMMAGSETTATLLSGVTYYLLMNPEKMQRLCLEVRGSFKSTTDIN FDGLGNLKYLNACLKEAMRIYPPLPIGSQRIVPKGGLTILGQWIPEDTRVFCHHYSMY HSTRNFKNPESFVPERWLGDPEYSDDVQDAHQPFS PFICI_06631 MYDKASGDIMYSLCNSDSNPIFPANESAAFALDSRYPPVNGTSV AGLGYQTSGGIDIAIIVYQTESMSIIQSQWQCNSTTGQYSAYEVESEYSWDISAHLTT PYNGTGLAILNLGDADDTRYRVYFKDYYWRTAALRYEPGDDWYYDGLLTPDEQLSLEL AASHQGENNITLMSPSISTAQYETIEVCNLESADTWDITTFPLTTILINDTDSSDALY IQVTNNTNTSGYIFGYDVDQDWTLDAFDGYTASVAVFVSDNLTQNVFYIGTDKYLHGL SGLNATWNKFDTQENEKWPLADDASAALALTYDTSNDRVWIYYMSDGNLTQVHRSDAD TWEDAIVLSKTSNVTETTVTSSSSSGFSTGAKIGTGVGVGVGAVVIAGLAFVLFRFRR SKKNNLNVDTAAAASGKDKDASNPAAGPLLSPSTVPGSPAPAYMSGYWQNGEWVAPQA DQRYSYYDQTKPENNIAHEMYAPPPSQQVMYELPHEEHAHEMAIEGHQVAEMPTEVYP AQGSAAAPPATQSDGHHLAVQYEHEPDAAEMQHARLSMITPEPAR PFICI_06632 MSRAAATAFQPLRRGGVAAAIGAVAVTGVGLAIYSNTAHADSGE PRKLFTGPVFTSLPLADSETINHNTKRLRFQLPEGTVSGFPTTSAVLTFSWPKGSWTP VVRPYTPINSPHEPGVLELMVKKYPGGKASTHLHSLSPGDSLFFVTRIPGFAYKANQF PKVTLIAGGAGITPIYQLASGILRDEGDRTQVDLVFGVNSDADVLLRDEFERWEKQFP GRFRASYVVSNPAEGSPYPRGYVTKELLGKVVGPAQADAKVFVCGPPAMESSLVGSWK QRGVLEEMGYRKDQVHKF PFICI_06633 MVMTETNKALDMLWPFIGIQLSTAPVGPMGCLIIERAKGYPLIV GSKRPSLPMAAATTMSLQTIIFNEPSPSSGFLLVTQILRIYGLMPPEPDGQWRWGMSG SISMVPLQTNSYDSGMFVVTNALSLSLGLDPSDYTELEMSHQRSTFAIRSELAYGGGE VVRSIPRWVGCFTRSLLDILGRQEVIRHGISVPDLHRELIQKLPMSFRTSSNRKPGRN LNSPHSQNITTRCELKEIGGLEHLRNIHTRYFTAETLQPGDHLGLEPGDDKFQLATVV VRRRDGVFIHPYHVQWMIEFLDKINQFTDGKWYTVEWLSSMTQLEGLFKFICSHSSFI DVDHL PFICI_06634 MLKSSVRDYVALFGRTGNAMWWQNAQPVGRAIWARNLSTHTQSL VRTAGSRQLASLRPQIARNLPKARTSPLRSSRRNFHNTSRLRDAKNAAKESSTKEAEP QGLSARLKKLSREYGWTAVGVYLALSVLDFPFCFLLVRIVGTDRIGEIEHWIVSNVKK LIPNSVQERWTAYRKALKDNEQETFGNNNISEHAEMAGWGVEEAQARNRAEASLGTQL ALAYAIHKSFIFIRVPLTAAILPKVVKVLRSWGWNIGKKAKP PFICI_06635 MTMLLVNPQEVQPHTYYMNYRLATTSAPTVNNILSMPSQHHERH HHQSGAYSSYSNTVAQALEIARESPDGAMDPTVSSILENAIAQIWSKVQSHPDSYVMT RDEFAVFNYFQHRFQGDKAADAARSRYWSNTKGDSTKGA PFICI_06636 MFPLTTCLALCAVSLTSVSGTPLGGPAYYPTRDSFAGGIFLRIE PLGASIVHGVASSDGNGFRKYLRDAIVYNGNRVDMVGSNPNGTMRDNDNSGWPGYVID EVHDKSNIDTSNYKPNLVLINAGTNDAIQDKDISNAGQRMKNMLGDIYTQSPRATVIL SGLLRNGDSGTDSRAKQINSQYQTLVSALQSYGKPIIWADMQGSDGPTLADISSDGTH PVDAGYKKMAKIWYAAIAQADAKGYLQQAQRS PFICI_06637 MATSIPSIACLGVIGRNNNPLHTTLFPTSRDAATGQAVPLRTPL QFSLLLSSTLDIFSSRARHASVSGTLLSGDFGLLHAVDDRLAAYGWETNTGVKFVAVV DMRGRSLDSLVGKGLKTSSLSSPSGGGAGMGGGGGGGAGAGAGSSSYGTAGGSGVGLR EGELKVVFRAMQTAYVRLLQNPFYDPDDATDVGTKRITSRKFAEEMRRIGDVWVPGSV NTL PFICI_06638 MTAFIPPLRQRAAVSCRRNCPNHWAFSGVLGTSQHAATAPRQLS PSVKTTRRYGSTAAEVKKQKAKTAIFFPGQGVQKVGMTTPWIEAFPRAAHEVLDEIDT YMGYKLSTVIQDGPSRVLTATPNAQPAIMATSILILRILEREFGFKVSERIDVSLGHS LGEFAALVAAGVLAFQDALYMVRRRAEAMAEATRRAQEEYGGEYGMVAVVTEPEYLAS LIEAIDDFVGYRSAGARSDHAEDIQPIDQVLIANINSKNQIVLSGNVEKIKELVAHVR QFLGHDPRAVRLNSDSPFHSPIMRPAVSVMKELLSNKSRVAGREDQEVVTFPGDLMCI SNVSANPFGSKEEVKDLLSRQCLETVRWWESIKYLDQEQRVRRWLGIGPGKVGRNLVG KEVGMRGMDMVKGGGVWAITDPWDVEDVLRALEKTEDMDEGDD PFICI_06639 MTGVIEALHIFDEHKRAILSHTYNARPLSAAHLLPLYLDHPAPR PNLIYLPNTNPPTLVFSLEHANLLYLATASSEIEPLLVLEFLHRIIDVLEEFLGAPVL AHKIEANYDVVAQLLTEMCDAGMVNTTEPNALRDAVEVEGLLGKLFGSLNLPNKPGLT PSLNTPSIPSLAAQNAPALPWRRANVRHTSNELYADVVESLTVTLAPSGRPIAAFANG TIAFTSKVSGVPDITMSLTGREGKHNLRNVMELPVFHPCVRLNRWRESPGDLSFIPPD GRFILAGYEVDLLPSTNNSGGLGSNNLQLPISLEVKTGLGAAGADFDIRLNIHRIPGG PGALGPSGRGGASGGRAFGGPHAGTVASPSLHDVIVTVPLPSEVRNLSDVRPSKGDAN YNPGDRSLEWYIPQKELTSGTPYYSLKATVLGPLADDEIQDDPTGFGFGKDYSYEEPY QSTASPTTVKQQSGSEVDKEAKKIAQNKILMPSSASVSFSVKGWIPSGIKIESINVDT KKSRGLGEGVKPYKGVKYLTISKGGVEIRC PFICI_06640 MADTGGSTSSSSSHTLTHAPNMAFLSAFTDSSKPGAASDMLALY EAIKAGNRHSFLQPSPSVSNASLQLAKATLDAYAGQISDEQQQRLKEAHKKRKRADGN AGKGEVLKIRKLHVDGFENGQVWQQARRIIASALQDSQEVIEELEDVNQMETNGVNGA IEELESDDEDALSGSEEDSAAEGEYFSGEEEGSLLDGEEEDMEDGEGLEDDQVDGDLG EFDEEEDAEGDSDEEEDEEAELVEDPNGLNDGFFDIDQFNKQSQWFENQDARADPNTD AGSDDDEIDWHGNPLEDKPSAKGKKSADDIEEDLDSDDEEGGPTFGDMDLDAPEGDSD MGEDEEEGDLDDDNEFNANDVFYKDFFAPPAKKGAKNGKPRKPRAPKYQAPNDDDVAR AMDDVKRDLFEDESDMENSDDALSEVSAGDPRSRKSAHERRQAKLAEEIRKLEAENVA QKKWTLSGEAAATERPMHSLLEEDLDFEHVGKPVPVITQEVSESIEDMIKRRILAQEF DEVIKRRPDTDGPANTRRGLLELDDGKNKQSLAEIYEEEHVKNANPDAYVSKADEKLR KEEKEVEQMWKELSASLDALSSWHYKPKPAAPSLTVVADVATVSMEDAQPGTAQGVSG GDNTLAPQEVYKAGKDTAEKGEVVGKSGLPVAKQELSRDDKTRRRRRTKERIRKAGGI GGQKLSGKAQAQQQAISDLKKGGVKVINKKGELVDTEGNKAKAAKALSSNNFKL PFICI_06641 MARDTETPYKLDKPEKLNDLLRADGADDCAGCRIVGGGAFLGLG AYSYFSGMSQIEQQRAKIIASKSFLGVRSRKAGIVATSLGLVWMGIYRLVM PFICI_06642 MYSKSTQEINQTVAGLTKSADCDDAATHLSKIGSARQEVQRQYD QSTRAVANEVGRLSASGTGVDKTKLEAFHQKDRVEFGKFKDHALKSFNEKEANARERL RRKEEKKPERWI PFICI_06643 MLSASSSNNHSLFSFGRHGGSGDAGDDTTTASFDFLPSVSFDDL QSSLETASSEFKLTQFPTPTGDRPIFDEKSLLDKMAGQQSNFRQNGNSSRVAAQPNPT PNTAAVGGRPIRTASLLRRPSNSSRQAGAGSAPSGAPNSSSIADAPTAPAAMRNRRQS HYPPVSNANTIAKPSRKSAGPGLLDSDFGVRTAQKRRPSLISSGEGKDNGPRTSLEIF SGFGGDAKNAPSSRATKTRSIQPAPRLSTSNFSSSTGSSGSQLTPDGNRKSVLFPRSP RAGAPTTPGSGRRASVMPAHNSHVSGLGARTISPTDAQRMKRMSMHQPQSLSQVASTL PASTDPRPSSRSPSMIPRKTSTPSSQRTTPDPNRKSYSSGLSATSNASFNTQRTSTGS IQRGVNAPNSSNSRLPAPKAVASLSNTAPADEEEDVPPVPAIPKAYESPKDSPAEVAF MEKKKANHAFDASSINSTSTGTLSNAPSFEPLSQVQRKPSTRKIRATMGGATSDGEKK TSAPAQPKKNLAPLRLPPLNLGPLSTPTAAKIASYNKDVGNGDGKVSPPPNRIIAKTP TTPMTASKSSFFSRGHKDRDPEKPAQRSNTAVHHSRLDSPTGGLNSSPDSIPDLPVDT PSQKPAISPFLSSSLPKNESTFGANLAKAKTNGSNSTVLPPDANTAAEPRPQQKPSGP RAPKLAKTSTKSPVPSPEEPQEPQTPSSMSTLRRKLSLSWKRSASKSSSHQAHGSVDN GKDHARQESMPPPRIPISATLGSMKASSPNHAGKPSTSYLESRRRKSSASSLTAVMAQ EKGKNDAIANVKKNSLEVGNSSMIETTTTASRAPSMQRLLRPRPSTVSVKPETYIADV DKDDLLADEEMKKIASKRRETELAARTLDALRKRATPKERVGPQEAIRIAMLNIYERG EIIDYKDIYFCGTQNAAKVKGDVSSSAPNFGYDDERGDYSIVVGDHLSYRYEIVDVLG KGSFGQVVRCIDHKTGVLVAVKIIRNKKRFHQQALVEVNILQKIREWDPKNKHSMVNF THSFYFRGHLCISTELLDMNLYEFIKLNNFRGSSLKLVRRFTKQMLSCLTLLKQHKVI HCDLKPENILLRHPMHSELKVIDFGSSCFENEKVYTYIQSRFYRSPEVILGMTYGLPI DMWSVGCILAELYTGVPIFPGENEQEQLACIMEVFGPPEKHLIEKSTRKKLFFDSMGK PRLTVSSKGRRRRPSSKTLQQVLKCDDEPFLDFLSKCLRWDPDRRIKPEEAVRHEFIT GQKAGSSMARVAAVAREASPMKRHNTISAPRPLPDPPAATNRIVPRMGPSPHKPVAAA RGASGITGPFTSGGIKRTSTGQSGLSGTTAPGSSLPRVAGRSVSAKQDLASAGATAAM SRRV PFICI_06644 MRTFSLVPLAGILLSYLAATIQAQTETNTTTNSDIPSLFISPSN DLAFALSVPKDSSTDLYFSLMFPKSVTWGAIGLGTSKMAGALMLVAYSSSSGDNVTLS PRIASGHSEPVHTTDIRVEALDGTGLVDNARYVFLGRCANCRSWNINGRGGDVDVESK AQSFVYATGPDGDIRSDALDAPLKMHLNYGTFTLDMVHATTADAAAPTITISNSTDLV ATTQGLSVLGKSDTAARAHAIIMVLCFIGLYPFGILVLRLGNWVRWHAVNQGLALIGV IVGFGLGVHSSYFYNRSKGFKSGHQVLGILLFIFVIGQFVLGFMHHRIYKKTQQPTKL APVHVWMGRVIIPVGVINAFLGFRFAQSPQYNWVLAGLVIFLFPAMALILFTKRCISK RWNQTKAEPGQDGQGGGYNMEPWRPETQTATDGQQNAAPPPGPYGLSQHGAPVGLQQQ QQPDMRSYANYSYQSSSAYKRPDLGSQQEARE PFICI_06645 MKVTIAANILVLAAATGVWGLDCNGNAALCDRKYSNVSFIGAHD SPFVGILPTQNQLQDVTAQLNQGVRYLTAQTHDKDGAIQLCHTSCALLDVGILQDYLA DIKTWLDGNANEVVTLLVTNGDAIDITKFGDVFSAAGLASYAYTPGADLALADWPTLG TLIAAGSRLVVFMDYHADTSKVGYILDEFKYYFETPFDPTDASFPQCDVDRPSGASAD GRMFIVNHNLNLELLPDVLIPNLAEAGTTNSLSSILAQSDICISKYQRDPNVVLLDYT SIGDGIAAQNELNGI PFICI_06646 MSAHPIQPWQDLAAAKKAERDSRIPSEWKLKSDQLPPEGTRDLR SVADTCGLLTDKELEITGKGYDATSLSAAIAKGKYSAVEVTTAFCKRAAVGHQLCNFL TEIMFADALEQAKKLDETFQSTGKVVGPLHGIPMTFKECFHFKGYDSTNGYLSRVGQP ATTTSPLAQVLQAAGAIVISKTNVPQTMLVAEAENNVFGRTKNPVDSNLTSGGSSGGE GATQAFRGSAVGIGTDVGGSIRIPAAANGVYGYKPSFGVIPMIGYSYSGWAGSNTGIP AVTGPLGHSVRDLSLIAQVARRAEPWRFDPAVIPNIMEIPTSSRKPIVGVIHRSGLTP HPPIRRGIEEAVAKLKDAGFEVRNFTPPDFLEIRKITEQLFTLDALSYQKKELAKTGE PPVSSVLNIGFWDIPRKSQEQAWQWNTKKGEVQKQMLDEWQRVGCDIVLAPAGPHTAV RPGDWTSDIYTVAWNAVDYPAVIVPFSHADPEKDPVDKDFKPIHEADAKNQALYDPEL FKGAPVALQIVGPRLGDAQLLKDAELVDSVLNGKS PFICI_06647 MALNTSSIDVLRSQAETYIPALRDYLVGIKDDKVSIVLHVAILF ITSLFMLFVRRRYFSPVSHIPGPFLASFSRLWHLKQIYGGKQNLKLLEQHEKYGPFVR MAPNEISITHPDGVKKLLLATLPKGDWYRIVCFPDYRFTTPFSMVDPKEKNECSKYLS TGYLQHNIIKSEPALDVDIAKLFDWMNKFADEKKPMDLDKFFTYVAFDITGEVVFSKP FGFMDKGEDVRGSIAMNSAMEVYIAFAGYFQWLHSLFANPFTTWLAILPMGHLFDTTM TALSERQKDPEARHDLAAHWSKSKEDKSKHFNLRCLQAFATANVGAGSDTVSAGLQSF VYHLLRHSDGWQKIAAEIADARKQGRCGGHVISNADAVQLPYLQAALKEALRMFAPVS MGLPRVAPKGGIQIGDQHFPEGVTLTVSPAVTHLSKSLWGPDAQAFRPERWFEPDAAA KERYFIPWGVGYASCPGQHIAKIQLSKIAATIVRDYDIRQVNPSQDWSYSAYFTAVPH DWPVFVTKR PFICI_06648 MVNIKTKSDYVITDNFKPGPKNGHLSVKDPNFAEVEAATVEALA PLWEAAVPLDKFKEGWVTAPPAIPDGCPEPGKDVFISQMKVTVRDGTEIEIQIYRPPE LRTDAALVFRMHGGGWTVGSHSTSLRFHGDSPVPPSQLTRSSRAPEFPYPYPIEDSWD VLKWCKVHAKYLGVNPEKIILAGNSGGGNMAACLAIKARDEGLTGIVAQSLVFPVTCH PKFFSEVPNKEKYELLSYSQNHQAAIVDSYRMEFFWDQYVGTDPKPDPGHSPLLHQLK DLKGLPPAFVHAAGLDPLRDEGIAYAEALKAAGNDVQLAAYPGLPHCFYMFVGFKQTK DYFERVIGFVKKHADAGPGQAKSHI PFICI_06649 MQTIEIPHSIIFVHGLQGHPYTTWTHFAPEVSANEARQKTKTRS HRKILTFIGRTTSSSVKSSTNEEAEIVPSSNTIRPNVFWPRDLLPSSEMCSGSRILTW GYESTVAAHIGTVANKGTIFSHAKDLLFALRRNRVQDRPLIFVAHSLGGILVKEALSL SDTSDQDDIREIVASTSAVIFLGTPHRGGGHYANVGEVARSVASLFLIDTNSALLDSL GLRTTDLERCQFSFSRIWRRYGFMVKTFQEGHAMTGLNVPGSFLNKTVVPHNSSLLGD DREDAETLQANHREMTKFTSEADDNYIKVCGELERICKIVKERAQETIQRSLGFPNMN RRYQMIETPVHQTCLWLSTSENYQGWIERKQIASHQGFLWIKGKPGSGKSTLMKHAFE GVHTTTKTVKASFFFNGRGDRLEKCTSGFLRSLACQLLPHFPKAFRLMKSRFEEKIDF DTELTWHDGELKSFLNHALASSDSRLSSARIFIFVDGLDECDNGEGRNLIIYLRDLAD RAYLVGTQLFLCVSSRTALTISISSYLEILSEQENSDDIRRYIHKRLSVFRTEQKATS QREVWQQLENKILDKASGIFLWVELTINQLISDWDTGKSAQYLIDQVNEVPTQIQDVY RKLLSENPTQEATRFFQWLVFSARPLQLSEWKHVFAFVYHPEKVAMWENSPEFVEDEE QLEKQITSMSCGLVEVQDNRPALNENDELGEDDDSLGGSAGSFTTGKVVQFIHDSVRT FFLEEDGFTIVSPQRRAMDSGRGHVFIMDCCLSYADIPELQYLIGARQAVGDMIQQRQ RERLTSMKAPFLDSKGSPTKQQTLDAYSHVSADGPKGLSIDRGRRNSVASFGSAASSN PGSQPISRTGSIRAASVHSAHSQIGIQPITPVKTHLTLTDSPLMLLRRRGSSTSGKTT LSAFEEASAQTRIPPESKFKHQIPDRNSASDLPQSDATGSAIKRSSLVESYLKSIEPN DNQGSPQILSRRSTAGKTISTISSLSKMSMALSSLPPLLQYITEMFDIHAKLADIEGA NPNHIVDRLLQSKLWDKWCPLREDIEPGTTLLYFAAEKNLISWVKRIVMSSNQLDEPG GRLGYPTIVAANQGNTEVLHRLLAAGAQLDSRDYYGRTVFHHAVLFSDLSVIDTIIYS PRVNFLKQLRSSEYAAFINSRDMYGQTPLHLAAFQAGVSVVEALLHYGADNQSTDNAG RTPVGVASDDDVREAILRWN PFICI_06650 MEFTFLNNVLRIVQLLWTLLITALIGNAIANYHSTSGSGKAAIN FTIFVAVLSWITALYGLIASFVSAIAIPIVVLALDSLAVLFTFISAVVLAAKLGAVNC AHLSGKPSSYIAFGSTNGDQKQCRELQASDVFMWFLFACYIATLFFAFKAFRGSGASI RRGPNMSQVGV PFICI_06651 MAVSFSSRSFMRLMRTHGQPPIRDFLAPALFAPSRRCLSSTSSQ CTAASQDAHPSSSSPKPKRKALTKEQRDFLSSALRVNQAGELAATLIYKGQTPPIVNA HPHLRPLMAHMYEQEEGHLKTFNEMIAKHRVRPTAMYPVWSVLATGLGWGTAVLGREA AMACTEAVETEIGTHYNEQIRTLLEMFSEWEAEGYEVGDELQNLIKTLRRIRDEELEH LDHAVEHDAKKAEPHWLLTGVIRAGCRGAIWVSEKI PFICI_06652 MADLDDAFLELVGGDESEDEASEQEMNISQAGSDSEDEGRQATK SSSSAKKTKKRRQGDSDEEEGEASDAESVASQESVPMDESDSEAGSPAPNANGAVADE DDKYPYEGMFESAKEKAEIMALREVERESILAERAQEIERQRQNRLLRQLVSAADNKK RKADNAELEETQRKTSRQRTKIGGSKVGETSAGIESLRRARAEKSERQRRLADDRERN KKSARISSGSPDRDGDAESDVEWDHSKRRSRSRTPEVKEVPLADLRDIERVRLGRSRF AQICFFPGFEQAITGCFARISIGPDPQNRDGPNQYRMAVIKRFTTGKPYAMEKSNGQT FVTDQYAVAAHGKAEREWPFIACSDSAFTESEFNRYKATLQHEGLNIPKRPNLLAKAD DINKLRSRSWTDQELDQKLQRERNLRNKFNPSNRERIVKQLEDAKASGDDARASELQD QLDSMEGSRLAWKTSLTAAKPAPPSGVSQQDRLAQLNIENRRRNAEAVRKAQLKEKAK AREVEAKLARGEDVAEDTSRRLRTQPKFMRDENAPATGERKSTPLSATSTPANGTPKM GAVPPHIAKLQQQNSTGADKKGIPQIHRPLMDDDIIGSLDLDIDVEID PFICI_06653 MNRSTKEVRFSSDMARPTYCEFPRGDEDNWPMAETFLLPETPSA SPSPFPGSGSRSRSRSRSNNTQERMVTASPSPSPMRSSTTLRQSFSDFGDGAVLNPAN KAFVATVNLAARSTTRGPVQALWNLILYSWFPPSDYIVCFPETGVDNGVCVSELRWVA PPPASFMLGPQHSLSVSTSTFPLPGPAATVEGNGYFGHRRRNPSMDATMSAGTVASAE PCIFMVQCWSSAADTSAGWRAAREAFARYLYARVAPGRRMLCAIAVGARCEVYGWDGA MGSGVPEMGRRHRDVLDLCDIEGRILLENQLAFVEKHGLIYAKATREWSARVM PFICI_06654 MCAVSPQSYARGMCRPKNGRHTLRHNRPEKVPSLSELLLAESSV KPGSVFGSTEQSTTTADVLTPESLEDQESADLLPMREILPIIDAYFREFNCVMPLFHQ ASFMKLLHEFCSSTSRRSKVSWGIINCVLALGSKVMAIEAEPLQCGFSKATIQKYEAN AQRCLDEFMMREEDTLGIQGLLAVVILHQANSNSKPAFMLTNTAIRQAHRLQMYTRES HANLSPEEAKHRDNDLSFRNKTPSIQLDIDLDIDLPREDGGGVLQSVDGLMKFNYFRS RVQLAHLEGKIYDNLYSIRSKKLSREDRSRHVDQISVLLDKWQQSIPVSLQDEHMIHC LPRVAAVHMKILHHHYLLCLVSLHGLYSIHSGWMKAIGVYGQTVLANMDNNTDICMRH MQPALPSAWTKCCAASRRSIRLLVSEPRQTCSLWLNMGAYYSGIIILLANCQYYPSHE MANEDHELAKDGIKLLKHFAHIKVNDQLLKAMSILDDLEIIASKAVEHYGGLPEASQP TPISAQETYSITHDIFGNGLFENLAVDIDDHEAAINPIWEDDGLEFSYSMTV PFICI_06655 MRRIGWDLLPNTFRDAIEVTRELKVQFLWIDSLCIIQDDEDDWK EESSKMASVYRNSYLTICATAGESDDAGLWHRLPTGTSTKVIIQHTAKQYEVYFRKVG VTRFLHTDSESGIHLREIGLFSPLITRGWTLQERLLSPRLLHYGHGDSLYMAGTKRFS NVVRNMQTSSYHDEVENCWRLLVEHYSTLRLTLSKDVLPAVSALANICSAARPGDRYL VGLWERSIIDDMCWRKIDVLTNRPDTWRAPSWSWASITGQIGYFSASIVNKAYSRLIR ASTQLAGSDPMGEVLSASVVLKGPVREAIITDVYPRHFQVVTRLASVDCYADSWTDFR KGLIEFGAAIFCLRLRSHFASDLIMILVEVDGKPNVYQRVGIVGVKTDEADQYWFGDD IQDREIEIV PFICI_06656 MMSPNMLKAWLTSVVVANSYAFNTSGRIDTHLHALPPAYIEAVN AAGGDPSGFPTPFWSPEFAISEMDEFGTAFGVLSISTPGVPIAGTGQAGRELARTLNT NLANYSTAPAYDGRFGFFGALPDWRDIDGTIAEIEHLYQHQPSCVGVGVYSSYGGMTP GNDTFRPIWAALQKHRALVFLHPGVLDVVPMRLGHGFPQPTLEYPLATTRAAVDLVIS GTFRAHPDIDVILPHAGGTIPFIADRVLFGIASPATQGTSNVTADEAAEDLKRFYLDL ALVTSPAQFNGILDFTSPDKIVFGSDSPYRPESGIADVIRDYETFVKTNVRGSLIAPD VLRSNSLELLAKHSRGYGGIPF PFICI_06657 MSVSPKQDFMVLDDPRPNDMSLPAFMVSTTRGFLPRMEPLVDLP SEFDHVESLLSRMPVKTLSGAPGLLADGRFGDAVLDELPDLTDEVDKYKDNLPMVNAL YRDYSFLASAYLLEPCHMRFIKGEGYGLARDVLPVQLARPIARCAELCGFKPFMEYAG SYALFNYRLVDPSRGLEYSNLRLIRAFEHGLDPSSSEAGFVLTHVAMVRHSGLLISGV MKSLRSIPPRHGSSSLPAAADRAGFNDGLAEILDALRQINAVMETMWDVSKPRSYTSF RTFIFGITSQSMFPDGVVYEGVHDDDGGGPEQRRSFRGESGANDSMIPLMDNFCQIAM PDTPLTEILKDFRSYRPGDHRGFLEWVREESLDKGLREFALGLSHHDDRHDEEDKEAV LESRRLWIKILNQVRDFRWRHWCFAREYILKQTKHPTATGGSPIVTWLPNQLQAVMDD MAEFHHAVGGENGGLGSDCADIMDLVHRQRDTLRKEVGKFCAERGVSKHV PFICI_06658 MKTSLSALLLSSQLVSGAPSLRYGRRQDSSGCCFKLDSAGTVNE DVEEDHTGHLHLSGTFQQGAFCLQDGKVADSLGNQCFIKSPKYQFQCYQRGVVGDTVF DIVSAENGTTKLVYDSGPGTYLACSSDGGESYEIFSTEKPDTTGCLEVSLVLSDETGE CTASSPVPAAEDEEEEAGDEQDGEEEEEENGEEDDEDEEQEEGQVDACESDVGTTTEG EGGEEEGEGSIAEENTTSTLPTTCSVSTSAPSLAPVAIGSPAKEGTSSEIMDTGVNAS ITTNDSTVFKFSIPSNFAGSSQQLCALQFRLPFCSELAEGYPCFQFTGSEQELSSNSG MTFAPYGESNELSSWNETALQQVYPGDQLVLGTFECGFPENNSGSRDISWLASSVRSF GLQFEQAGVGSSKFKDGVGAFIVQCS PFICI_06659 MSSKKYALVPMDDDEVGPQKVEKSKHKSKHRHREDRDRNRDRDR ERDRESSRRKQERSRSPRDSRSHKSRSYRRHDRDDADDRWGDQEPSSDEEEPPADDQP EYQESASKRVKLSHDQKDQDQEDDDADLSDTTRDRKEAEAFAKRLRERDDGRTKKIVE DRSKGGKVQDERRRLAEDEAARRAAMPDLRERSRQDYLKKREAERLALLRKQVAEETA ELRSGMRLSDREKAEFAKNREILRLAEERLRIDDHRDGYYMPEDYITEKGKIDKKKKE DALYKRYVEKDEYGQEKFVTEHEEWEREQAQKAKAQINRAEREYEGDYELVLDEEQYI SWNLDSTMAGEGKQLTKEQQFLAAQIDAAEKKALSIQETRKSLPIYAYRDEFIAAINE HQILVIVGETGSGKTTQLPQYLHEAGFTKNGQKVGCTQPRRVAAMSVAARVADEMGVK VGNEVGYSIRFEDNTSDKTILKYMTDGMLLREFMTEPDLAGYSALMIDEAHERTVHTD ILLALVKDLSRERPDLKLLISSATMNAEKFAKYFDDAPIFNIPGRRYPVDIYYTPAPE ANYLAAAITTVFQIHTSQGKGDILVFLTGQDEIDAAEQEITETAKKLGNRVKELIVCP IYANLPSELQSKIFEPTPENARKVVLATNIAETSLTIDGIVYVIDPGYVKENVFNPAT GMSNLVVVPCSRASANQRSGRAGRVGPGKCFRLYTKYAYMSEMDESTTPEIQRTNLNS IVLLLKSLGINNLLDFEFMDPPPTESLIGALNQLFALQALNHKGELTKVGRQMAEFPT EPMLAKAVLAADKFGCVEEVLSVVAMLSEASALFFRPKDKKIHADSARNRFTVKEGGD HLTLLNIWNQWVDSDFSPVWSKENFLQQRSLTRARDVRDQLAKLCDRVEVSPSTCGAS NIDPIKKALTAGFFPNAARLQRSGDAYRTVKNSATVYIHPSSVLMNADPPERMVIYFE LVQTTKEYMRSCLPIKPQWLTEVAPHFHKKSDVDEMDEKKMPKHRN PFICI_06660 MLHHGAAWLLLLLSLFQIVLCAEDYYKVLHPHHRPSYMALVDPN ANVSLKVLGLKKDATDKQLKSAYRQLSKKFHPDKNPNDETAQAKFVEVSEAYEALSDP ESRSIYDKYGHDGLKQRRQGGGGHHDPFDLFSRFFGGGGHYQRGQPRGHNVEVKVGIS LRDFYNGRETEFQWDKQHICEECGGSGSADGVVDTCGHCGGHGIRIVKHQLAPGMFQQ VQTQCDACGGRGKTIKHKCPACGGARVVRKPTTVSLKVDRGAARDSRVVYENEADASP DYVAGDLVVTLAEKEPELEADNPDHVDGTFFRRKGNDLFWREILSLREAWMGDWSRNL THLDGHVVRLGRERGQVLQPGHVDTIANEGMPIWHEDGDSVYHTTEFGKLFVEYVVVL PDQLESGMEKDFWALWQKWRGKVGVDLHKDSGRPDLPIPDSAEGKKDEL PFICI_06661 MSLRSQIFELWEELSFPWKLLWLSFCSIPPTVARLYREHKVDTL LSPSRFQEAWFNDFWGKIGGELKAGAVDLVLPLLDGRVEGGKVLDEPVGPGIGGVCIE LGAGSGFWVDIFSDKHLESNAKSKRTRVTRAYGIEPNRDQHVNLRKKIAEAGLEDQYE IVPVGIEDLGDPTKWGNKIEKESVDCIVSIRCLCSIPEPQRNIKELYGYLKKGGRWYV FEHVRADTPAALRLYQGKYINIQA PFICI_06662 MRSAAFAKAGRLATATAIRGYATSVPARAHHQVLIVGAGTAGVT VAAQLRRARGSEKTDIAILDPAAAHHYQPGWTLVGSGLKPLQGMSKPMDAVIPSGTKH YPLGVASFDPENNAIKTTEGVDLTYDYLVVAPGLETNFAGISGLQEALQDPASLVSSI YSNKTVEQVWRNIKDFKEGEAVFTQPAGVIKCAGAPQKIMWMALSQWQKDGVRSRISP TFATGAASMFAVPKYSAALEQLRVDRGVEGLFQHNLVSVDAKNKVATFKNLAADGAEV RRDYKFLHVVPPQKPWDFVAKSPLADAAGWVDVDKATTRHAKFANVFSLGDASSLPNS KTAAAISSQAPVLVENLTAALAGKEGRAAYDGYASCPLLTGHNELMLCEFKYGGVPKE TFAGIFGGQEKPRRAFYHLKKDFFPFVYWNSFVKGTWYGPKAWSKPDTASS PFICI_06663 MKYGEYFEAASVPRWSIHNVDYNSLKHLIKLHTTKGQARAMTIP GQTNQALERFETDFYHELQLQHERVDLFVASKADEISRRLTHLAGLINALILRCSIGR QGFSNKRQRKFVRFQQQVVECGDDIRDLQRFVRAQNEAFRKILKKYKKWTGSTTLTSR FHDNVLGSYKSFTRRDFSPLLLQQREVLATLEAASPRINTPSQGETGNTANINQPMRG SRTSSRQSSQAPATPVLKQPEPVVRGWNEYDNGSEAGDDSYYIELDPNEDSSMFPGMD TMKSVFKAPVRSLRSLFSRSSLGEAEHRPLLNGNQVTDYFSAHPSTHATDTEASDNED ASSAEYPTYGYSAHYAALPSVEAQRAARFRETVLHRSIILSYLMAIILTIIAGVLVAT GRHRLRLEVDAGVILAVVVSLFSGCMSLGAMLYREDRLSIMYQAATWVTFTAICLLNG MLLVLVVGSNGI PFICI_06664 MVFTMLLSFLLAWATSVAAITVTTASSSYTIDTESSYGFTTVIS RTTCDITSLKFYGTDYQYSSTYSHIASGLGSATVSYTTSGDYVIVKCVADNDSFDLTH YMVFADGENNIYMGTYTNSEPTVGELRFIFRLTGLTETYPTYSYGDVSDTAGGTAIEG SDVYTVSSQTRSKFYSSERFIDNSVYCATDSGASVHACWVRPDQKATEKSSGGPFFRD INLNWGGSYHSVTYYMNSGHVQTESYRQGFHGPYVFAFARSGVPTASSFDVSFFDNLG LTGYVAASGRGYVKGTATGVSSSFPIVVHWYNSNYQGWSYASSSGAFTSPALVAGTYT MKLYQDEFLAATQTVTVTSGGTTTSNIAATNTIITSSHTTVFKLGDYDGQPTGFLNAD KQLRMHPSDSRMSSWSPGSVASTAASSWPMAMFKDVNNGQKITFSLSSAVSSTATLRI ATTLSFAGGRPQATVNSYTCTAPAAPTTIDSRGVTRGAYRGWGDVYDCSLPSGTLVSG TNTVTINVISGSSGDDYLSPNVIFDAIELFY PFICI_06665 MHSARFLLAAAPLLSLAVAQDDSTNTQDDWMTMTDGGDDGYTVT ATYDDSIPATSYLADIYGTGIPAAATGAAATSLAEAIYSYEMALYTDPAYKSAADDIY NAIATASNVDEIFSSLDGGGVISGAFTTAAWYVDGVPDSAKSEFASVISGFSSVQSSV LAAAAATTTGASATGSGASTTSGASKTGSAASGSATSTSSSTGGAPAARITGGAVAGL AAAAAFAML PFICI_06666 MRYSLVYAVASVPLISAQLNLYAKKAGLKYFGAATDSPGQRERA GLEAAYPQYDAILRDHDEFGQTTATNGQKWLFIEPEQGVFNFTEGDIVTDIAQENHLI QRCHTLVWHSQLAPWVESTNWTADALSEVIVNHITNIMEYYKGKCYAWDVVNEALNED GTYRESVFYNTLGEDFIKLAFSTASQVDPGAKLYYNDYNIESPGNKSEGALRIVKLLQ DDGIRIDGVGLQAHFQASGAPSLDEQSAVIASYADLGVEVAYTELDVRIDLPLNDTNL EWQKEVYVNATSACVQSAACVGITIWDFYDPFSWVPAVFPGQGNPLLWFEDFSKHPAY YGIIEALKNGTGAGDCREGGRHPRDFASRR PFICI_06667 MATECAKCKKSDVDLKTCAKCRAVSYCSRDCQKDDWKVHKKSCA SNAQQGLGGGAAQQKGLDSGIAKPFTALNSRTWLHNRSEKDTFRVLIDSYRLKMTDDV TFDGGPEPGSIYTAAGKADPLPGFRRYLDAAKSRKNLLPSWWSDEKQAECEALCTGGE SWCDITRPVQKGQFNDHYGSPTFAMQLRMLREQITGRGPGGQPGEGMIRMQMMTEGGG FGGHVSHLDVATGRMA PFICI_06668 MMEHRRRDRLSQSSAADTSISTSEKEDRPRKASGANRIFSWTSI LAVLVLGLAVSQWLRSTPVELPPLIRGKDNTVLFLAHAESGQINVQLATVQALAQAHP EIDIHIASFSTAAKHVERASAFALAKTSSGRGIKFHELPGPDRSAAIMRRLGCDGRSV DDCISHRPGAAGIASLVSQLEVAVISWNGTEHYAIYQEVIRLVDEIDPAVVVLDYLHR PGVDAIRHLNRAHVIITPNALSDVVTFIQDYGAGLWKYPALGTGFTFPVPWHRIPENL YVNLRFIYSAIFKPLSRDVMSYLRSKGVKPIELFDLRPDALFISQTLPEASLPVTNVP ANVTAVGALLLDSAPAAQQDAGLVKWLQKPTVVVNLGSLFTYSEERAITMAAAIGWLL DHTDFQVLWKMAPHNDFGDAYAAPLQEFVKQERLKISHWLPIDTLGLLEAGNVVAFIH HGGASSYHEAVVAGVPQVVIPMWEDLYNFAQLAEDLGIGVYACRRTAPAWTVEDLVDA FGKVVMETEASLKMRETAHRIGVISRKRPGREVAAELIAEMVVPQ PFICI_06669 MKLLPFLLFSGAFASSRARRSLTTVSNLVSFGDSYTDEGRLSAY AANNGSTPPPGTSTAGSNFTSTGGYAWGHFVSQALGAKYYDYAVSGAFCSNEIFSRYL AGINRTFPSVLEDEIPSFLEDIAYINETTGTNTFYTDRESNNTVYALWIGTNDLGTNA FLTDSQKSGLTITDFVECIWQVFDTIYSTGGRHFVLLNEAPLEYSPLYAAPQNGGVGD DHYWTDKTTYNMTEYEQKMKEYTTNVNTIFDYGVPVEVKIKSRWPEATVTIFNVHQLI LDIRNDPENYLEAPANVTGYYHSCTVDSSSCSNSENPLASFLWYDELHPSERTDEIIA NEFVKLVSGNSLYATYW PFICI_06670 MHEAKDIIASTPCGSVSGLQGEFSIAPKSNANTILPSTLETLPV SLADTYGYPENSRTNAMGLIQNLTKPPFQYHPSKSDTTIPSDKTGIFNDAIEQMPTRE ILDILIQFFIAEVNWTSQLIYPPSFLAQYQRWWVRAADLRYPNASPSVADLEFAALIL SVAALASQFMPSHYKSKESVCHTSFDLVRDTCIQVGDRLAILAASISPRGSLLRVQRM CFTGLSMSCEGKMTESWALLDRAVRMTHELGYHQVSQTSTEPGMDEIEWEMRRRVYCN LYIWDGILSREMDREPLLAQTPRGSEIPRMSLTPGADQQDFGVPDLFTERILQLHLGA FWKRHRCKSSSDEVYEPLVAEERLEVFQKEFLSNVPATFALDAPDRQWDEQMRWLPLQ RQLFRTTVYESICQNFRPLLLLHPEDLGRLPAYKQVLIETQRRVLARAALDMLQAIST LYNMLEPLQRRSIAVIVPIFEASVLLSCLCIQGAQSAESRGFIDGLDRASVYESSFGK RRDVSRDCCLRAAHGGLLRLKSLAKVSIVAETGAANLSQFLEQVDNLTTADDTAPDGQ MLGHISTNLDFQPYEIGDVVGKTAAYDIPNLFSDDFLQSGSFSDSFENADCAEHFQIP DLLGDKV PFICI_06671 MGTYPGFFYRQLTFKPKPLDKSVRLDGKTAIITGANAGLGLEAA KEMAAHGLTRLILAVRSLNKGTAAREEILAQTPTINVLVWTLDHESFASIDDFGKRVT ELDRLDIVILNVGVKNVDYVQSKTGHESHVQVNHLGTALVSLHMLEPLHRTAEATGIS GRLTIVSSENHFWAKFRELRAQNALEELDTSRACFKGLDKLNIERYSTSKLLNVLWMR QLSARSAALGLHVTINTVNPGFCASSLHRSDASASMAVNLFAWTAMQGGHCLVDAATR HENQHGVYISEQTVKSPSAFVLSSAGAEAQIKIWRETMELLRNEAPLVPLMDKLQQ PFICI_06672 MNVTAQYPVAVHSPTKARGPRDLEDVPFRNKQARFDNHDEFYSF LDNNRGPGGMTAGVATQIQIPPQSQRSVQTQDQNSPGGDQSRPPVTNSFVPQRTSSGR SRPPSYSGSRSEEMLVDRRAENGAGGGGGGGPQKNGDGGNPHKVQRQNGRRNGGGRPT TAAGGQQPNGNKNSPPRNGAASPQHHRNSADAGIGGAGRPLSSGSLSNQAAGAAIERL KSPSVMSCVLQPLDNKVKEYQGLMHQEQDEMTRLDEEIRALQERRSRAEMRYLDAKSK HDDYLRQHQDVERALRGEPPLQRDLASAQQRPLPQSQQSHHQTRPVSIRDEEEEFEED EYEPEPVHRQMNSQQSFGRSSQKGGFGARFRNSIFGSR PFICI_06673 MLLSTRRKKPEEYSTNPNTVRARARKAKLDPYTREMEQANASDT KAIARAWTIITNQQDYKDAPKEVREKMLQQAEKEVMERRRRRGLDKDSKIERFLKLNA TDVVNDTPSTSSPVPGSMQDLDNSFAQEPQQHTQPVDPMHSASFTAARPGEIRSVSAD SYGGQSVPNVEGQLIFHNVYLPQQREQQAQTEALGQDNRSAQHLCTHDCVQQINQTSN MADSAVIASLQATVQRQEQYMQQLAGAIHSLANDVVLIRSASREQEARVASMESQMKI FYESSSRTHDPEAAYARQVYKLEQVLSGIQRITSVTSEVCNSFAANTHGLSEGRGVGH SWAGGFEGVPINHGNVEASIHHPEGGSTNTSQFHHSGMVSTKEKDSIAHLEEEEEDSK QSIQDISAEN PFICI_06674 MAADAPRQCVPLTCHGHSRPVPHISFSGLQKDGGYYLISACKDN NPMLRDGQTGDWIGTFIGHKGAVWQARLSHDALSAATASADFTAKVWDPHTGECHYTI SHNHIVRAVAFPPDNSDLIATGGYEKKLRIFDLSEASASAPPVSPENGDGKAQDGITI KAENGFEIGAGSHQASIKFIVWTRDTNVLVTAAGNTLRWLDLPSRSVIKEEVLDGEIG SCEFNSPAPDLLEGSDIGGGLPVLAVAAGKMVYFWGGAQAMDELKRTKLGYKVASVAV DTKGRRFIVGEDTPSTWARVYQWDDESEYDVHKGHHGPVWSLAYAPDTKLYATASEDG TIKLWKNCDGPYGLWRAGDAQPA PFICI_06675 MGDFKLSAQLVGHESDIRDIAFPATEAVVSVSRDHTARLWKQTS ASPPVYEGTIINQISEYINAVTVLPPNSEFPDGLVVSGGKDPCLDVRKPTSTSSDNAD RILPGHASNVSALAAASSGKYFVSGSWDQTARVWSTESWESEITLAGHEAAVWDVLPL SDNAVATACADKKIRIFDLRKAVAGSVEARSTISTPDIVRGLCRLHPGHPTGAELASG HNDGIIRLWTLTGQLVGELHGHESFVYRLKALPTGEIVSAGEDRTVRVWKGTDCIQTI THPAISVWTVAVNPNTGDIASGTSDGVVRIFTRNADQVADAETIQSFEDAVKSSSIPQ QQMGDINKEKLPGPEFLTQKAGTKEGQVQMIREANGSISAHTWSMGAQTWVNVGTVVD SAASSGRKQAYNGKEYDFVFDVAIEEGAPSLKLPFNLSENPYDAATKFINDNELSLNY LDQVAQFIVQNTQGATLGGSSAGGPDPWGTESRYRPGESEPTSRAKALPQTEYLSITG AKFEPIFKKIMSISSTLEAAGRKDISLNPDAQAHLTSLRKSLESNRPVRSEDELDILI KICTSWDYADRLAPLDVLRCAAVSPLVASSSRIGSPIQLVIAAATTGYPGGGQPNENT IMMAFRTIANLFSSSEGRRLLSQPEEAGRVVDFLRQTLGLSGTDAIGKQNRNLLIAVT TVAINYAVLYAKGGGLTKANMLPLFEAVGHLLSTQKDSEVLYRSLVAAGTLVTVLGKD ASQSVLSSVTRAKDAAIEPRVKEVADECLTLLR PFICI_06676 MRSSIFISLVLSTLAAAHTVITYPGWRGDNLITNDEFPYGMQWM YPCGGMNLTTNRTYWPTTGGAISFQPGWFQGHATAFLYVNLGIGTDGPEGGPGNMSLP MVSPFQILGPTKNPYPGTVCLPQVPLPTNLTVNPGDNATIQVVELAQHGASLFSCVDI TFVEPGDSRLNEVNETNCFNSTDIGFAEIYTITTKAVGSTANATVSGAVSSFLRSGSS WLGYLPLLIASTWFLA PFICI_06677 MAAKSDEEPWTFVTSKKGRQSRRRAPPAAREMSQTSGPARTTPN LSVQDIQSDYKKFSLQWADSKCCHKLQELVKSKSCHPRPTKAICLGLGSFDPEDGSWQ TRRRSHIQLAAFVTLVESVESDSTDKIQCIFQDPCFTASDTEFLKSLGYAILESPDGF EAVSEDSIVFGIHLYRDIYTAAIEKVMPAMFVGTGYDVWENYADDKDESWNKMKRLDG SCHKISFPDDQDFYPTFTSTTIHWRKASDDVLENLTKVVENLTITQKED PFICI_06678 MAGIVPKPSGPNKLFNNPEQVFGDFRDDILRDGYAVVKGAVARE RAEKYAEEMYDYLETFKGGLGFKRFDPTTYKEECLPVINEKGMCSGYGVNHETFTWDI RCEPGVVDAFAKVFDTDDLIVSFDAVNFALPKRKDTKENKPWPHQDQDPAKPDFRCLQ GIVNLLPNGPNDGGLIVCKGAHRLSSEFHEEFKNEVDPVWRWTKEWYGFSDAGLAWLE KKGCPWIKIEAEPGDLLLWDSRLPHYNLSPTGDRPRFATYTCYMPAADATQEDLIKKK DAFEKQLGTTHWPNAAHVRDVSQPLMRKTGACPYNDDKPRQPIKLSERGMKLTGIPYI AASA PFICI_06679 MARRGVCGFIGVDPVSHWTKNIPLARMDAHTTILASASRTTLTQ TFFNRMGDLPELRYSFPLYDGVSVVGFTCTIGDRVITGIVKEKEEARQVYKDAVARGE TAGLLDQVAEASDCFTTTIGNIPNDAEIKVKIVYVGELKHDAQIDGIRFTIPTIIAPR YGGYPGGLVDKQSLTNGSISITVDAEAPAGSHITSIQSPSHQLAVTMGRLSTTPDAEP SFQRASATLSLGTAQMMDDFILQVKTTNAGEPVAVLEEHPTIPNQRALMVTLVPKFEL PLERPEIVFMCDRSGSMGGKEEDLRTALRIFVKSLRTGMKFNICSFGNNHTFLWERSQ PYNQSTVDEAMKHIDTIEANYGGTEMYEAVKDIFGRRYRDTNLEVFLITDGQIWNQQQ LLQLINQHVSESDGAIRLFSLGIGSGASHSLIEGVARAGQGFSQSVADREQMGSKVVR MLKGALFPHVKDYSLELKGTSSSSADDDFEMIEKASNVSGTEDLADSPATITDEPIPQ TRSLFDPSVGTGTEIQDTEFATEPSRLPDIEIPQLLQAPSNIPPLFPFSRTSVYLLLS SDTPTPGSVILRGSSSHGPLVLEIPITVPKDKGETIHQLAAKKAVGELEEGRGWIYNA KEKGPEGQLLKQKYDSVFQDMVKREAVRLGVQYQVGGKWCSFVATEANGKEATEQRAP SSAPKSLQQSPGQMMQAMQRQQSPGSRPLGQSPATLMMQRATGAFGAGPSQRSSMLQG LEQSRASPKAKMKRARMGPQQTMLAGQVQQTQAQFGAAMPMSPVGSALFGSASAASYS SAAPTTDTRSSDGLQNFDFDSFLHQPAEVEEEAEEDEEVVAKKAMLLLGGSPTSSFSS AGPWGKPASPIPVPWVPSADPIQDLAILQNFEGYWTWDEKLSAIMGTTEQEIKGWIDA SLAAKLSSRDATATVCVIAWLRKMKADEKDTWELIVEKALDWIKSEFGETSGQEIIAC IPVMEKAFYKAP PFICI_06680 MELPAARIRGSPPTSLTWILLLCFYWFARAAADSDFDVLQYINP LIGSTNGGNVFAGATRPYGLAKPVANVDGANTGGFSTDGSNITGFSSVHDSGTGGNPS LGNFALFPQLCPSDEVDACQFRLGDRATPYVASSVVASPGHFSVELTNGIKTEMTSSD HAALYHFQFPASNSTQHSLVLLDLSDLWQSRQNASVSVDATTGQMRGNGTFLPSFGAG SYVMHFCVDFYGADVFDNGVWVNNRAGTEPKDLYVTRGFNLFYIEAGGWVRFNNPANS TLTARMGISFISTEQACNRAETEIPDPLNDFDRLVTETQDAWRSQLSPITVTPGGAST DLQTNFWSGVYRSMINPQNYTGENPKWDSDVPYFDSYYCLWDAFRVQHPLLTIVDPVA QGQMVNNLIDIYQNEGWLPDCHMSLCDGWTQGGSNADVVLVDAWVKNLTGIDWNHALE AIVQDAEVEPLEWSYHGRGGLQSWKSLNYIPYLDYDPIGFGTNSRSISRTLEYAYNDY CLATLAEGLGKTDLYDKYIQRSTNWKNLWREDQTSIIRGNDSGFTGFFQPKYMNGTWG YQDPIACSALAGFCSLTTNPSETFEASIWQYQFLVPQQISSLINFMGGDESFISRLDY FHTSGLADISNEPVFSTVYLYHYAGRPALSTKRLHTYIPSAFNNTNGGLPGNDDSGSM GAFVAFGLLGLFPIAGQNVYLISAPFFEEVSIKNGLTGNTATIKTVGFDPSYENIYIQ NVTVNGEAWTRNWIGHELFTQGWTLEITLGSSESGWGTKIEDRPPSLADTS PFICI_06681 MASISIRESIRWLPEEATEATTTIVLTSPEKRFVDLRIFNPEKA ESKDEVPLERLDWAMAGTSSSVAIPERGPDATRSQWVHWIDSRTLDVENATDEGYMSP LDGNRVLEEGTMMNPETGLQTDYEEIWNDEEPKAVPSDTGSHIVVLDYQGNDGAAQRG RVVKLGKYCQGLLRDGDAITAERWEWRQDRGWYMSKNVNKSTQIPCEHVLDNWNKAKG TTFEYSGRTWTVIESE PFICI_06682 MLSFNVLGCALILCVVVFSWRRFTSPLWVVPGPFLSRFTSVPLR WHDFRANRTPYIHKLHLTYGPAVLIAPNEVSFTSFEAVKEIYGSSGSGYEKSNFYNLF TVFGRRTMFSTLDKTSASAFTHWVFAFNKYANSNVMKPASLSGIQNLVTEFARQCEDG ATSVDIYIKGRLLILHAPTIYRYASKIINLFFEARATPLADAFVLQRSRYPDTAEFTL LSRLREKLGDQLTEIDVSAECLDHMVAGIDTTGDVLCFLMWELSQPRSEHHQARLREE LLRNPTEAFDKLPYLDSVVQEGLRCFPAIPMSLPRVVPDGGRVIDNVQVPGGTIVSCQ AHSVQRHNEHVFPDPDRFDPGRWMSSKGADERKRHIFAFSYGGRGCVGKHLAVAEMKL LLREVYSRLQSIPDPTMSDDSMRSHDQIISARPYGQKCLLHFMRASESNCP PFICI_06683 MAESNVAKESSVFRNPGSPALDIFPNVRNSFYNSIDKKTLDKLV VHWENNIASRMVWVDSDKNPYRMFVAPLAYTVPVVGLAMAAVSSQHASSPAGYEELSR KARDEAIDMISAYVKNITSHVLAGHDVGMQLNEQSVERMLAAMLLLSCYEMTDSGSSA ADFHRRAARSLVNTFETTRHKRSALFEFLRNQLSIHDVMACTTSFQRSTMKDVILPSP KDQSVLFSSFLTYLYDVTLLSSELPSSRARSVRLGLSLRHIQTQFEVARGETLMACGR LMIEPASRRRDFICVVNIMHYAAMLYAARCLDLGSPQICSGYLDSLFDQIAAMSVAKD WLHCIAWAVFVAGTECHGDGRRQAIIAELYDQLCENMRFRNFHDAMHFLRDFWNGTDA DWRWLARDWESSGHGVLLS PFICI_06684 MGAESQTASGAKPSSSSSSAAAKKRKLSASYDQDSSAPASHEME SPKKARKKKDPNEEKRLRKFRDHAPQKFNDVYQRATSQRFYVLSRSRAWTGQCPEEIV ELAGSTGNIYSVHIARQPTCTCPHHKAGHQCKHILYVLSRVLRARFDLVYQLALLSTE LDEIFIHAPPIEVGEDAEKPKEDKNRKPVEGDCPICFMPFEEAEATVYCRAQCGQNIH KECFEMWATTKRQNGGARDDRVTCPMCRTPWQGDDDMIKKINIPKTAGREGYVNIADQ LGISRVRDTSTYFSDPSRSGYYGSSWR PFICI_06685 MRSFTFFTALAFVAGALASPVAGPEITPAPEPGVVKRATSCTFS GSNGASSASKSQASCSTIVLSSVAVPSGTTLDLSNLEDDTTVIFEGETTWGYEEWDGP LLQIKGNKITIEGASGAYLNPDGARWWDGEGSNGGKTKPKFFYAHDLTDSTITNLHIQ NTPVQAVSINGCDGLTVTDMTIDNSAGDTDALGHNTDGFDIGSSSSVTITGANVYNQD DCVAVNSGTDITFSGGVCSGGHGLSIGSVGGRSDNDVDTVTFTNSEVKNSVNGIRIKA SSGDTGTITGVTYSGITLQSISKYGIIIEQNYDGGDLDGTTTTGIPITNLKVENIAGT DAVSSSGYNVVITCGSGSCSSWTWSGVDVTGGKDYGSCTNVPSVASCST PFICI_06686 MASGVPSESAKIQEQANASSATFASHNIRNTNINTAPGVDLSDQ QKVLVGSILDLFEGNPTLKHLSLWSKTATFADNITIATGYDKFAAQWYGLPAVFKPIQ IQRHQVVSAGNPIELDLSNKYTVKGIKKEQVMDSRVKIHVGADGKIEKVEDRWNDNLP EGGISEVSSRVLVLPLTAARALVGLGAGVVWWAFCTVSWSWPFAAFRKLNAVTVPTMV KVPKNEEEDKKMQAERDQSS PFICI_06687 MGVSRVLKGASLLAIVSPSFAVPLEPEVEKRFYSEPTTTYTSAA PVTASIVPVTNVTSHGPYTGTPTTTGALSTTVTAASVAALPADPLKYQYPADGKLHSP QPAPYTPGGGAGVNGSAPVYRPQSDFDYESLALALYQEWIELDLFHWGLATFSTEDFE QAGLNAEDRFLLEFMADQEVGHATLLSNILGAEAPVQCTYNYPVSSVREYVDFSQKLT RFGESGVYGFLPHLDSRESAQLLLQSISTEARQQMIFRQFEGLFPMPVWFEVGVPQSW AWTLLAPYISSCPANQTRLVWQNLPALNILNQPNPARVNGSNVFNETTGGWTNTLSTS DLEDGDSCVNATETGVNCSPAITRNRTEPLSYAGRKVYLSWDAPGQAVGPNNSYVTST TASKPAFAAWVTQLNVTYSPLQDVTENTAWTIQPNTSVYEGDPAINGTMFLAITDKDL YVTPFNLTMLNPHVAALGLYQAG PFICI_06688 MEDFDRDSNASSDEQEDELMLDNAEPEPEPEDNDQPEDQDQDQD DDENEGDDDDDGDNEDDDEDQQTQEQQRNADPDAGNDASNSQPAVTRTSATPTPASTP KWPASVRPEYLTARTYDIVPTMAAPMSTSINAISVTPDLRYWMTGGSDGYIRKYHGPD TINGKTLLTVAQRHPFVESVVKAGVLLSYWENAEPHSTSQRTEDLILSPVYSLAVHSQ ALWLLSGLESGGINLQSVRHDEGKRIACLQKHTSAVSVLNLAADEKSVLSGSWDKNIF DWDLNTGDVKRQFDGSGGQISVIETRPMSGMPIPPEAAEEDLASDTFFSNNNAPPAAG GDFDFSLDGGNANGEMNGNAGGSFGNGNNATDDAMAVDGMDAQGSPTHDSLFGGSPGA GDDLFGDNFGGDDDNEFSRAMMQDSAQDPTTDPSGADNALTDFGIPTMEGVASEQPND ASESTLIPNGGPMDAGENPVDASFDMTQPSEQVTDFSTEPMSTQQDPPQSPSAIFDSA PVPESPSAVFAEPLPTHYDTTQTSDSTFLSGSIDGPLKIWDRRAPNPVARISTQWGVP PWCMGACWSPDGNWIYVGRRNGTVEEYSIDKARGGRKGWIPERTFKFPGGSGPVSCVR PLPNGRHLICASHDILRLYDLRAEERTSKHSPPPFLIVPGPPRAGVISNLYIDATSRY MISTAGTRGWEGSSTECMIGYEIGVPQ PFICI_06689 MSSIGKDIKTGLKGIHGVGETIRGTVNEAADQALDTNTKHPATQ ESQLKNHGIANKGKAEVDGADSMLARHEAKHGGNAAQMSQPIEGNHAFRG PFICI_06690 MGSCMSAGIDPGGHGDSRAVILVAKLVGDNVPGDSIIENLPTEM LQKIMMSMDAETLLAFIRTSKRVHLAYQGASNLIALGSSEQSVGPELLPIAVNCFMAR ALDLFPKATNPGNKNMVFSIAHSFIKSSISTQAMTRKLGRTYFSLQLALRLQSFHQAV VEVFDDMYLDSLRKDSSSFIEYTLEEKTRVLRALYLFELVHLLLVPVASFNDWRLQKG ISAAFWKNFAPWDHSQVLYLVEDFLSQRVIKGSYTSKGPLLCVSFYSPIIHVASEHYS QHFAALGDQLYHRLYPNYGRGAPPPTPLWCPCHQELVCDWHRVTGTICPVEAMMVVFV NGIQGTLDMDSSADKYIEARVTMVRGRRPIPTAPLLITLPLEIWPAVPLWDQVLGSCN DVFQVMEGALAISQIYDCQDELIFLLWTGTIISSRSLHFGSMNNITGQMAKYPNDFLF RSLHVIEKHMESHDVMDRVLLTYMQRLQKKVLEQQQQQQQ PFICI_06691 MSASGTNPASDKAEAGAPSEHVNAPALDEVPDPDEDDLDDLDDL LDEFSAAKVTTTTTATTAAPSSTSKPATNPKGPTIPTLTTDVPVADPNDLSDEEFQRQ LQAGMAELMGEYDEDVSLLLDSSHNTLRSQSQPTKTAEQPKLQAQFESFFGNLRNSGW LNADDEPVEPAGPEPSSSSSKDKAAPSAEQVAAELSENASFQETIRKTMARMQASGDQ ATAAAAAEGSEDDFIAEMMKAMKDLPGADGSEEDFSKVLMGMMEQLTNKEILYEPMRE LNEKFPGWMEKNGKTLNKEDKDRYEEQQRLVAEMVAKFEEPTYKDENVADREYIVDRM QKMQAAGSPPADLVGDMPSAKEVLDGPDDNCTPQ PFICI_06692 MSPPSPHLPIFNFDFTTATSHKPAHSSPLSSSPIRASQSSPPLS PRDPNTLPRRGFFSSPINPAVCNQEKSSKWTKFETRDAKKNPLNQSRDNAVEGKRKLF LKNVRQRRDDHTWERRGGDQEILRLEWSNQDRKRRQQKERDLDGFMFEEDFEEIPDIL QSNSATREQDDMMVDSHAMDEEAELEAMLSAYEVENTEQKTHELPDSSSLSDDDYDSI FMDLLSQDGPPPQQSQEDLVMSGQMDMS PFICI_06693 MNTSWLNPLSRFAIQGAGRWTCSSCRGHLVSQAKTAQRPFNRQY GTGPFKNSKQAPPPRSRRIVLTAAATGTAGAGVLAFTDDIKFGYEATERAGRVASALY INISDYRTTLKKRESITDQEESDTVLRKCHKRCAVRTLKVLEKNGGIYIKLGQHLSAM SYLLPIEWTDTFIPLQDNCPVSSFESIEQMYRDDTGEELRDYFSDFSEKPIGAASLAQ VHTATIRESGQKVAVKVQHPSLAQWTPLDIALTKFTFSTLKKWFPEYDLEWLSLEMEE SLPQELDFQCEAANAIRLKQHFAQIPELPLVVPEVIWAKKRVLVMANESGHRLDDLEY LDKNGIDRDEVSATLAKTFNEMIFGKNAPLHCDPHGGNLAVRKTSRRRGGPNFEIIIY DHGLYRDIPERLQRSYAKMWLAVIDGDMERMKKYSHEVAGITEQQFPLFASAITGRDY TVVKSSIMQSKSEDEKKTMGDALQEGLLSDLVQLLGQVPRIILLILKTNDLTRSLDEN LHTRQGPMRSFMILARYCARTVFREQMDEIKEREGGLWWPGNALRLAAAWIGFLRVEL KLEAFEWWLAVKRLVGAKTDYTGTPLAKAA PFICI_06694 MSPSHTISTHLCKQIYTSWQQSRQSNGQLPVAVDPTLVPSVPYP ARRSPSPEKRSMDSDRSDAGVPMSRSSSQGSWTWGSR PFICI_06695 MLGSSFLLLAFASSLGLSQVVPSCPPSDTPPCTGLRTADFDDIP ATTGLLTSPSTCLASYEALSYDGFSVFSLALAGGASQHFAGFQLNRAAHRIDKINWGG VFMPRSFEFLCALPTLGVNLAASTSCTVRVTPIGPNVEGQPKNCSYTTGGLLSPLVPA SCELPDWGFGVNAISIEVVQPAVLNTVYAFGMSNFSYVDACWD PFICI_06696 MPLPGDQHNVAANPFEEAKPRISEFTATEIATLQARLEKQLGPE YISARSGPSGQKVHYIAAEKCIALANEVFGFNGWSSSIQNIQVDFVDEHPQTLKISLG LSVVVRVTLRDGTFHEDIGYGHIENCKGKAAAFEKAKKEGTTDALKRALRNFGNVLGN CIYDKDYLAKVTKIKVAPTKFDEVNLHRHVDYAPKEPAVKRDFNTEAKSGKPCLLPQD TIDSLDDDFGDFDMADFGVTEDEHPDEVMLPAPGGVTAKPVERPQMGAAGPGNVSNRS TGSNFGSSRPMQPPARAPMTRSGSHGQQTGRPQPQTPQAANRPAAVGQSRPQGQPNGR TNGPAPAQVLAAQAASNNRVNTPPPANGNEQIPDGPVAFFSARSVTALAEDAPPGTAT LPPKGGKLFDPKLESPSIRKTPGIDHGSTKPVGKDMRHVAPVKHDEEASQQPASGISK FNPPGRQSLGNVVNPSLNQARQIGAPGSQSPMANRNQYRPPTMKRPAENGTRAPLNDI TTNSTVGASAATTDAKRQKVG PFICI_06697 MATTTETALKGTSAVAPVKHQVVDKVPKRFRQIKFGIQSNQDIV NQAVVEVADRTMYDLDRGREPIKHGPLDKRLGISSKQATCQTCDLRLTDCPGHFGHIR LPLPVFHIGYLRFTMKILQEICKDCSRVLLTEPERRSFLKMLRKAPDNMNRQAILKKI NETCRKTKSCPYCTATNGQIRKAGPLKLVHDKFVAFNKSTSQKKQPPQSKIDFDNSFN EAKKHNNDLEKHVRKAMEDLNPLRVLNLFKQISSTDCELLGLDPTEGRPEMFLWQFVP APPVCIRPSVAQEGASNEDDLTTTLSQVVFICSLIRGALQKGASLQTIMEQWDYLQLH IAVYVNSDVPGLTNTGIVKSMRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNL GIDQVAVPILVAMNLTYPERVHRENIEKLRKCVLNGPSVYPGAQGIIKQDGDQTYKQT LKFGDRTRVAKELRFGDVVERHLEDNDIVLFNRQPSLHKLSIMSHLAKIRPWRTFRLN ECVCNPYNADFDGDEMNLHVPQTEEARAEAINLMGVKNNLATPKNGEPVIAATQDFVT AAYLLSGKDVFYDRKTFTYICQHMMDGKTHLDLPPPAILKPQRLWTGKQVFSILMRPN KQCNVKINLDAKCREYVPPNKGSSDPRERSRAPDMCPSDGWLVVRNSEVMCGRMDKST VGAGKKDSIFYVIMRDFGPDEAVIAMNRLAKLCARALTNQGFSIGIGDVFPTASLTAE KQELVKNAVKKTDQLIASYNDKTLQKAAGCSMEETLENSISGTLSQVRSAAGAYCIAT LSTKNAPWIMATSGSKGSNINVAQMIALVGQQIIGGSRVADGFQDRTLPHFHKLARQP AAKGFVANSFYTGLVPTEFLMHAMSGREGLVDTAVKTAETGYMSRRLMKSLEDLSTQY DDTVRTSGGNVVQFQFGADKLDPVDMEGSAVPVHFDRTWSHAENLTWNNKERALLPFE IREICDSMIDKERARYQRRGLLHGEPLHYEDETDYGIDEHESSRHFLRTIEGYVNDLA KKLARVREEFGLDGYLEKPTLSAATIDKNEKGDPARTHTERVAKVSQRTLVHFITLCL EKFKKAHVEPGHAVGAVGAQSIGEPGTQMTLKTFHFAGVAGMSITQGVPRIKEIINAS KTISTPVITCPLENNRQIEAARVVRGRVEKTFIEDILRHIEDEWEANKAYITLAIDME ALEAMNLGIGLHDVKSAIAKAKKLKILEGDMSVYPIDGTIEIAVTLPDESKSRSVRTK TETPADLLTRVSHLKRALPAVPVSGYPEAARAIIQTAEGKADKPGEAPPYVHTVLVEG YGLRQCMNTEGVIGTKVISNNVMEANQVLGIEAARTTIAKEIDAVMGEMDIDPRHMEL LADVMTYKGEVLGITRFGLSKMRDSVLQLASFEKTPDHLFEAAAGMKGDKIEGVSEKI IMGQTMTVGTGAFQVVRKLGLSDQHLQKLPTAFEDAWAADTKERKKLKSRKA PFICI_06698 MKPIIAIPATLALVYRAYSHNSLTPAGIAAAAITAAIHAYHPWN LPFVLLVVFFLAGTRVTKIKKDVKAQLTLSASHGGGGEGPRTHVQVLANSAVASVLIL LHVWQIEQRKQTVISGGIPEGTFCYSWATGDILVVGIIANYAAVAADTFSSELGILSK SNPRLLTSWNLRKVPPGTNGGVTLWGLVAGLLGSFVIVTASLAFLPMCNGKTTGALAG GPAWTTSQKTTFAWGLVIWGALGSVLDSLLGGWFQRSVRDVRSGKIVEGDGGVRVLTS TVGNPNSREHFKKTADVKATVLKGEGKDAVEKMDDASTAKDPYNPQDTHRTSNFGDQK PTRVAESGFDLLDNNDVNFLMALTMSVGAMGLAALYWDVPLTSILRA PFICI_06699 MYFTKPLQALVGVLLLDLINASPTQVVEQRQSSTFTNPIRWEDM PDADVFRVGDVFYYSSSTFAYSPGAPLYKSYDLVNWAPATHSVPTLDFGDKYNLDNES RAYVQGIWASTVRYRESTDTFYWIGCIENSKTYIYTSSGSGAGSNNGEASSWDWHQAS VIDRCYYDCGLLIDDDDTMYVAYGNTNISVAQLSNDGLSEVSTQEVFSGGDVYIEGSH MYKINGYYWIMPTKVATGEWAYRASSPWGPYEQHEFFDNLPAPLTEAGYAHQGGMVDT QTGQWYYIAFMDACPGGRIPVMAPVGWDTDGWPYIITNSTGGWAPEYEMPISTSQTVE LPNGLDTFTTFGEEWEWNHNPDPSKWSLVEGGGILLETASITDDLYSARNTLTHRVLG PKSEAIFEFDISQMAEGDRAGAAIFRDRSAYIGIHKTGDTAALVYVNGLELIDWNWAT ASNGTIAATGPSITDSIVYLKITADVTPALNAEPVRPAVFSYSTDGTTWVQLGEPYLL INTWSYFVGYRYAAFNYATQSLGGSVVLKSFSMELID PFICI_06700 MQSLKALDTHDATQKVRQFLEKSEQSVTSVESAELSFSSRLCSN ILELFREVFLLLSNSDTPFLSRAEYISLERSFGQLKLWSDGYGVSTGELDDLLDKSRL LRRDTLKLLRSIGINLTERLLPQLFGKSSMTESLGLISNGLKAVLESYHDDDGESTSS GESDDSLEDNVQEMTKDLQTDTLCLMELGELFREPVVDSVRDAETSASHEALLGWAPY QAFCDKVGTRFPLAVQLLVARLGKANYERCIRCQQLRHNQEIKTVTEDSRNPPLYLAP KGSTFHDSGLGSSIPSATSYAETMLSYGSTNGRSVHVPALPSDGKSRKPFACLVCGKL VNISNNSAWKQHIYSDLRPWLCLDMSCSNGDTSFENRNDWISHLALDHNLEPRWRSFE CPLCHAETGAGKVAVTRHLEAHLEEISLAALPIDVDSESDSESDDERDADLGSREGQS ETLLEAMLADPLAPLTPAEYGIRGSPQYKSNLRAVLDWRKSMLSGPQPSPLRENLLAE LDDKADQRPISQIKGTSDSPEKHHQNTDSSNGECSGERTPAVKPLPTHSSAPLGPKAF DGTITDVYGDKLYSPHSAITDTAGNALGFSSVTPSRPSSPLGSKQGSTTNLQGATGNQ ADSNTPTTCTNCFTQITPLWRRNYEGQIICNACGLFLKSRGFARPSSFKTDVIKKRNR GPGANPPVGGTSTTSASPQTQAQIVTSPDNSVFVPTPRARK PFICI_06701 MDPASLALAILPLALTAIKGYSILHKRMKIFCHYSREIRRLRKH LNRQRQFFHNELHLMIQIALKDDHTVQDMMENPAHWKWECHDLERSLRSLLGQNYETC LEVIEEISSTVRELQDEMESFNEVESLRQDGELLKDAVRRLRSSLKIAWDKSKFESSY TDLRNYNDDLRRLRNQASEIRDLKMPAREIRKQSSLEYGSYGFIRSASKALHGAFENT WSDGPIGLRHSVKMFIDAKVEEQVCMDVAVLCHGHNPAALDLLRPSLRVIQVRSQTME WVDSRPQSPPSSDPDDGHRKRQRVRFADDCTASKSSEVVAQLPTPKGSESQSTMCENL TGTDICLALAEERSRLFATSVPRYLGYMENSFPESFRHSIYHEAKNKGLKPQKLALMS QMFGRSMESAMTVVDQLRLARNMVVAVLKFHSTPWLRQYFVADDFHFFEVDTDLSSSL RTLHFSVDHNSKGCTRLSESYMQGIDIPDTSQDLIEEVENASIQYGIRNMTLWSLGAI LLQIGRWSKINSPDDVFTVRKLSSQVPPLGPRYQQLTKKCLDCDFGYGDDLSKPRLQQ AVYENLVCELTEMIEGLSICS PFICI_06702 MCYFDQTLWQCGFWRWGRFREHCVKEYRTEEYRAGEICGLMLIF QTNFKEGTCKLCKDIEEEQERYNKLEDDILRWQSEGNRDEAIEKAVRDMAEAGNTIFS MRSKHWTRQNSFDADTSDIDDTDEEGPQPLDTILISPFAPTTSQWMPTSTTRSEDIPS TTAELPQAEPDETLLGAAVSSESHIMTEDGLTVNAPSGSLSPSSSVDWLMDDPESFSP NLSPSPVASNLSRSRRGSFSFEMPTARIRYLSPSSRANVFEFVRRLKKDLTCALKVDK LDERTLEDLSLILPDLVKVSLIMMPRDFATLVFRDISDFILDDVCFFWRMVETPHESN ITAPDESTDHRINLSVDDKLEISHSSASDDHVTRGTNSTAVDAIYHAIADLNIPDEFF PNMESTGAAYSYRWLVQQLLIRCRLVTAEPKSVEAISRSIASCVPPSSILNSEVQTIL FYFDWDLKGFMENQYREAQSSAAIGRVITLTGSCQDAQALTCAQYLEQTWPMTGKVTL QLIMDLLDSRPGATVAGNYDEGTTIQAEFFGQNFMATVSGIQPTIIEIGEQLSWLGAA LRESGRTNGLTQCIPTIEEPHSNTHLSAFRDEIRIQIKFHLHDVEDLRPLPPGCCWHK MFKNPVLVNGFPISRKTEPQTGLETPLDMMAALAGTTSVDQFGGTLCIKGFSSMVVPV RRSGDFLFWHLIYKEDASRISYLDNTQPPIEDLELNDLENFRHVLGWCSDARFYAGSA EAKHPVGKSALPAVSKESLFGRISLYSGRSYMIGAQDIPLHIARDGYFSKLIWISKRF VLLWDEEDKRAWLTIGTDVLLHLVRASLKFDSVGDFKDIFKFKSENLIESPKPLKPGS AIYVLTRAENRKLPIYPRDGGFVNFEDRVEIFYNILEKIIDHQDETSRGGQVLQNQPS RELQGWDFRDLATLRDPLYPRVAELSADGKCWVDLVRSIQAVTVFGRDFGEIILPSTK SQCKKWARLPKDKFYLAASISNLEPILEADASQVIPNPTGLGESLIWFNPSTSSSTLC QCGWGNCDPVQTLLPNSFLERIPSTDASIPFDRSGAVIFGQNLTMPWFWRGADPAKAI SPSLPGTFGQGSVSVRSGRSSSNIITAWVDDESPPRKQYTVAILCALSKELKAVRMLF DQEYQNPDIAVEDTNSYACGRIGLHDVVTVSLPSATYGTNAAADAAVNLKRSFPSIRF CLLVGIGGGVPSLQHDIRLGDVVVGVPAGRLPGVVQYDLGKSLEGGRFQVTGSLNRPP NFLLTAISNLTSDPRLPKDPLATSLDIMVQRNSSYGNPGQHNDTLGRSICQACDVGDF CAETANHMVTREYREHDHPEIHYGIIASGNRVIKSAADRDLLAKDDDILCFEMEAAGI VNTLPCLVIRGVCDYADCSKDKKWQEYAAATAAAYAKLLLDYVRAS PFICI_06703 MSDTITAPKHTAEHVDKCTQLLPDELPLPDEISHLTQDDRKRLE RQLTRRLDFTMMPVVFILFLLNILDRNNIASAKIVGLTDTLGMTNEQYNTCLLMFYVG YCITQVPSNMIIGKVRPSYYICLVTSLWGVLSMSQGFTKNFSQLAAVRFLLGLIEAPF LPAVFVLMSCWYTRAELPPRVAILYGGNMMATAFSGLIAAGITSRMEGAGGRPAWEWL FIIEGSMTVVIAVLLLPLLTDYPLQSKHMFIPRDLQLIAEWRIRRENAGIADEDVESI WWGLQQALKDPKLYMFVVMQMALITAQSFNNFFPSIVGTLGFDSTKTLLLTSPPYFFA FFVSLAVSFHASHKHERGYHIAVPMGFALLGNLLAMFVPTNGGRYFSMFLMTGGAYAP YNLCVSWVSASLPRPRSKRAAALAIVNFMSAGMAHWYTAYMFPDSQKPRYYAGGGVMS GACVVCGGMAIYLKHYLKKQNKILEEEEQHGSVGQSQITGSKAGHGGEAVVAFRYVH PFICI_06704 MSKPIVLHIGEPIKYNHEFYENEFCKQFQVVRNDCPDRTTFIQA LKDKKFGDFAAIYRPHFQTGGEMGDWDEELISLLPSSVRIFASAGAGFNWADVDALGR RKIWYANGAGASDEAVSDTGLYMILSVFRNFTRSQLAARTCDPNQFNATHKLIATISA NPRGHVLGIVGLGNISKKLAYKAKAALGMEIHYYDVVRAPDDVEKELGAVFHSSLHDL LSIADCVSLHTPLNAHTQDLINADAFAAMKPGARLINTARGQVVNEEALVQALKSGKI SAAGLDVHYHEPQVSKELAAMENVTLTTHIAGGALNTRINFELNAMKNILAVVGPTGQ FIGEPLTPVNKQAFESVPA PFICI_06705 MAVSQVSLDELRKHDRKEDCWIAVHSKVWDFTEFVDEHPGGSEI LLQCAGTDATRQFDEVHAPGILEENLSDDKLKGALAVEASSHVVHRKEAVPEVTNDQK VVAKIPDALGLPELHTLINAADFEKAASKSLTAKTWAFYSSAATDLITHQQNKSLLRR IMIQPRILRNVKHVDYRRRILGCDSSAPFFISPAAMARLAHPDGELALARAAKTESII QCISNNASYPLGSIVQSGDPKQPFFFQLYVNSERQKTTELLLKAKELGIKAIFVTVDA PVPGKREADERIAAEGVQSAISGAKATNDKKGGGLGRLMAQYIDKSLTWSDLAWIKKT SGLPVVLKGVQNVQDAKMAVEYGVEGIMLSNHGGRSLDTAQPAILNLLELGLKAPEVF SKLEVYVDGGFERGTDILKAIALGATAVGVGRPYLYSLVHGQEGAEHLTQILKDEIEV SMRLCGLTSLEQATPDLLNTADIDHLVRQSNRFPSIPRRKGGAKL PFICI_06706 MLRFHVLNIILKDFRLGTSGLKISKVILGCMTFGSSKWEGSPWI LDEEESLKVIKTAYDHGINTWDTADTYSNGKSEVILGRALKKFNIPRSKVVIMTKIYN PVMDDESRPASVNDGPLVNQMGLSRKHIFEAVDKCLERLGTDYIDVLQLHRLDRETTP EEIMRALHEVVMSGKVRYLGASTMWTWEFARLQYTAKLHGWTEFVSMQPFYNLLYREE EREMLPFCRETGVGVIPWSPLARGMLAKPASSSSAVASGGNAGEGSVRSQTDTKTRKW FEDANLEIVDAVEKVAKDKGVSMALVATAWVLHKGCWPIVGLNNEKRIKEAVEALSVK LTDEECQYLEAGYRPRNVEGM PFICI_06707 MSKRSWDEALRDDESSIQPPSGVRRYSLVEQRQASANAVPSSDL LPQFIPNISRKVKACAACRKHKIKCIMDESGPPCRRCDEKKLGCVLNKSLQTLISERS ESSQELVHDIEMMHSHLQKVLSSLNLPPLGRLQSSKQRLHTPSSEELNHFHREDEVLP SCDASPKALPEDDHDLPKPPIQSLYHLTKLSALRSPDTTESNEVPRQHGAAIDDFISR GILPLEEAQRLFSLYMNHLDHFMYGIGGRHKTLEASRRSSRILTASILTVAALHDHQG NSLYGICSKEFRRQLASSFLNRHIDRDYLRAMCIASYWLSEINWTVSGYAIRRATEFN LMSHYNRAINDGNEESADFVRLWYVMYICDQHLSTLYDRPSVIREDPVIQGWGAFLQS STRTNEDKRLVSQVALLNIIHNIHDLFGADKGEPVPQVYHTQIASFGRQLDQWLREWS TALPEHHEHIGRFPRKGVLLHYHFTKLHLYSHVFRGLQNADIPPRFLDSAASATTAAV AIINTLISDPEIRPALIGIPSYMHSMTAFAAMFLAKLVMTYGDQLLERTVVIDLISKL IDLYHSTAAGKFHLVNMMAKGLERIVKTLKESYRQQEVNRSIDVSSATLDPNAFPDFG DLGLDGESALFDASFLTDFDMNLGASIIHLGNGPTAFETTDLSPRLL PFICI_06708 MGGGTSIWASPEWKSDPKEIFNWRLFYLTGTVALAGCSYGFDQG NIGGVLTLPSFKRAFGLDVLSEDEADQRLAAGGSAGALIAAPFADYVGRKYSMIIFGF LFIVGATMQEIAILDVFYAGRFIAGLAIGATSMLSPQYLGENSPKSIRGSLTTSYNLC IILALSLAFWTNYGVSLWPTSSNLQWKLALGIQIIPGGLMFLMMFLVIDTPRALISKG QREKGLQNLCKLRNLPTEHPYLKQEYMEVCAQVDAEREMTTGVNYWVVMKDIATVPSN RRRFFLASMLFLFHKFTGTDSLNYFAPEIFEMIGVQTGSLTLLTTGVYGLVKLATTII YVAYIVDRVGRRKPLIVGAVLQATAMLYIALYVKFANPDSTGGTPAGGIVGIIWIYIY AFGWSFGWSVAPYVVAAEIFPARIRSFSMSFCFFINWIVDYGITKATPLMMTNMGWGT FLLYAILTYIGAVYVYFCMPELKGRSIESMDDLFQRSIWTMWKHAYPTEEEKVRHDVQ EKIVGDLADEEKVIEGKDQESTVVHAERCN PFICI_06709 MSLTTTLATHSPKTGDRHISRVDNIPAATVQCHASNLLELPDKT LLSVWFGGTQEGTSDISIYSSRLSPGSSSWTQPVRLSHDAQRSEQNPVLFRDPVGGAI WLFHTAQSAGNQDEAAIIARISKDDAQTWSEPFEPFPEKKGAFVRQPVVVLPDNTWLL PVFYCRAPPGFRWIGSDDVSAVMYSKDGGKTWAESVVPDSRGCVHMNIIALPTKKSYV AFFRSRWADNIYRATSKDGINWSAPAKTTLPNPNSGICSAALPNGDLVIVFNDSRAES NMARREGLYDDITPADDTRVNQPGVGGKAAIWGTPRKALSVGLSKDDGVTWKYRVLED GDGFCMTNNSVERTNRELSYPSIFVDDATKGQKGVHLAYTYHRQNIKYVHIDDVEMFV NASD PFICI_06710 MGAKIADAKAKPLPPGVYTPVITLYDDTPTQPVNLEAMYTYCQY LVNSGMHGLVYLGTNGELALLTHEERQSIIRTARKAVVDLGLPDYPIVAGISAQSTVE TIQFAKEAAEAGAGWGLLLPPSYWAKALSSDALIAYYRDVADASPIPVVIYNFPGVTS GVDLDSDQLSALASHPNIVATKLTCGNVGKLTRLTSKFEPPHFGVYGGSSDYLLPTLH AGGNGCVTGLGNCFPKSTAKIYDYWAAGRLDEARELQDLVANAEWACKKSLSCTKYGA WWYVGRQIGLNDESMFKMRKPYVELGDGLKKWSLETMKVLEEVEKKLPGRKGASTVNG SS PFICI_06711 MGEDDTTSSLEWSSGAQYSHDKLEIPGEQIRLLEISPQQPHKPL ELCLSIHSWANIPHYHAISYTWGDLEDPQTVLVNTRPMAVTKNCHYALTQIQLYHARL SGEASSYSPSGRFYLWIDSICIDQVNAEEKGHQVASMGRIYAKASKVLACIGPHLDDS HELAGILDFMKGSPEISSRYGQLIEHVIDFRPPGHTGSRVLVELIQHYVEGSKPLQSG FGICFRKAFLAFANRTYWTRLWIVQEVAATFGTGEKLEVLCGHDSFTRSEVYTLFHVA ARLRLLRDSSSVNDGDLFLKFSKHCFRTVMEMDTASPMPPFMLFEKIDQTYKCSKPED RVFGLLSLIKWPDGVQPIEPVYKPSAAIELAELFLSFSAHCSSANQVLKGLEICHDDL QMAKLVTARSVESKVEEDQHGRLASQNFRIYDTQFRIISRNSANKLTALLDPERRNDR ETTLREAEMTSQIVSNKYWPQPLFCHSNIGALLCSEAREYDWIVKTGFGKGLLVIRGV GLNSPYDIIGQGILLNGYDLNYRSRHEVDPNPLFIGMPPAEVEQACKDARKCLQELQA NDVTSVPLSVADTNKFAQAAERLLHPWCGLMATFDLHVKPVELLLLAGQDLEKDGTRN FGTSRKRLSTKIYGTMKCHSLTLEKTIPLENKFIN PFICI_06712 MLDRGGSIAIGDNLWKFLCLQAAILTEPIYFWIDAICINQEDVR ERNHQVGMMKAIYAQATEVYAWLGPEADDSDCSMKFLIQQSKSPLRMKGCGYLPIWDS RTAKALSALCERPYWRRMWIIQELLHARKITLWCGTQKSDWSDIEALYLKLETIENAH WFAHHEFLIPIKQSAAATMVWQRAHWRHPDTPVPRLSRLIEIFRDWHCTDIRDKVFAL VGMATPESAVVPDYALTTLEIYSAVMRKVERDKDGFANLLSQLLGVAWNDVDLWQQTL VEYKDHPTERLVLRSRCWNNWD PFICI_06713 MPPGSSASASQTLTPGSFRTDDDTTEIVHSASVTHTNASEGPEI TRVPGFKRLPYEILSYIVQELSLETIFDLAQTCHHFEYLVREVNLCKMLLRLKAPFSP EAAEAKSDGRFDRALRRLVKRRRAFAQASPYAMGIVGVADSYIYYNGWLLYVVGDRPE KRLHILDLQNTNNQEVIVNIPRLIARSIPESETGRKYTFRALYHAAGITTCLLSYTRP TPRSWLMVFNAQERQFFGTIPLESTIRLFVRNNAKDLVYGTHSEYDASGNRKWILHHY NMESQDLSHAGRMTMSDLVGYEVGSAVCFEIFDKYLYGCSNQTSFELEEIDWTSYYYC FRVSLDGFNRESIEVMQKRDAFRRQHREGPIDDRWTFLTLSQHEEDGSIKLTECRREW LNGGSENRRTYYTKDVRFDRPTSERDGPESTGLGIDGNDPWPDEPLVRLIRSSDRPNF GRSSERQFHPGDYGPSMFSRSKTYLSTYSHSCGTFMDLVDDPHPYDPDTQRLRIRTGS RTCNSSAATQAAAQHGPHDGQVAREDASRAHCNSIAFWPPDVDHREAEARADIFQRLN QAMNPAGLRGSVTAAGDCRTIVYSTSNAGGSTAMKVLVVLSFDPAIRMAGMIRAGSIT SQNKNDDASKVRQHMGAAGPNGKVEKSDRETELPGHSHDDVHKTTSFVRSQIQIVPWS DKQNLSLPAVWTLDETPWAAVEEAMHHKLAAKYDFSYFEDAT PFICI_06714 MTEVQEPKVYVEASEARRFVEAVLQGNGVPAANAAIVAKCLVAA DLRGVDTHGINRIPSYMARIRQGVLDAAASPELRQVTPVVAHVDGHNGFGFVAAQAGM AAAVESARVYGIGMASVAHSNHFGMSAWVVQQALDAGMMSLVFTNSSPALPVWGGQSK LMGVSPIACGAPGKDMPFILDMAPSIAARGKIYKAKRRGEKIPLDWALDSEGRPTDDP EAALGGVMLPMGGPKGSALAIMMDVFSGVLSGSAYAGHVTNPYDPSKPADVGHFLVAI KPDLFLDLDEFRQRMDYLYQRVVGSDKAAGVDRIYFPGELEQIAQSEREKTGIPYVQA EIDALNDEARKVNADSIVIKST PFICI_06715 MLTCRVSQCDGALPACSNCKNAGIACADGASARMRGLPRAHLAD LRNRIAWLESIIKERCPDIDLSQDAPAMEASESDAEDLGLPDDELTNREANSRAHTDR DATNENIVQSQGSSQPVGVPLVNDTSRPAEIRRDIAIDANTSTHQIGLIALGSGQDAR YIGPSSGYFLARVLLQSPERTMTTHSFSNNKTNNKLPLPCDLIDSIQGPLPLPSRQGA NFLCESYFNMIHVQYPILHRPTFMNMLEQMHQPSEPDPVVAFQVFMVLAIGASVCPRV SRPGILSDSYGISAMRFFDQINVQNSLQGLQCLLLMSLYAMHNPSAKLSVWYLNYHCI AALLDLGLQRKIDVSSGVSLFEQEMRTRLFWSVYTLDRTIATTVGRPIGLRDEACELR LPQDISDDALSTPGTPSTVSNGSHMQFSIHLFKLARINSEIKYIANSINRGAPPYALP FIADYPAWQDGVMQNLDAWNLEIPSAQPGENNLGHMLCQIKYHEIRMLVTRPSPAIPS PSPDVLTQCYSSATMAIRLYDELYKQDLLAASWTVLHSITMSAITMMYCIRMVPGIAD NTTLDTWMGDISICTNLLSAIGEHWSGAKRCKGIIDDLGKATTRLVKSRQSLTSPSNT HELSSDLPLGDLGGMEGDFSTMFGNSDFLLDEFGDISGLFDLTWTGEPSALNSTTTLA VA PFICI_06716 MASSKGKIVEAFRAFPTWVPRSSKLVNALLLGTSFSTATVLGYD ASMMNALNILPSYTEYFNLTSATIGLNSGIVWIGAIIGSLFCAKIPDTIGRRPALFYS AILAMIGTAIQAASQNISMFLVARFILGLGVGGTYVATPLLLAETSAMQYRTFSLGAF TDLYYVGGLLSSGITYGTAKMDSTWAWRLPSLLQIVFTFISIVTLPFVPESPRYLAYQ GRREDAILALAQACSDGDTTAATVQAQYVQIVESLEFEKNLEPPSVKEMVTQKPLRKR MLIVLSCAVFSMFTGSNIFSYYLGTALDNAGITDSTLQLEINIILNAFCLVVSIGGTL IADRVGRKLLAGISTALCIVFLFIIGALTKFYGESTYQPAIYANVAMMFLAMGSYSLA WTPLSFIYPPEILNYRIRNIGLAWFGIWQNMILLIPIFAFPIAIEAIGWKIYMLNGAW DVFELLVIIFYWVETRNLSLEEVSALFDGEVHSQVTGINAIIHGEPLDIDEKNTPATV VKADDQKQ PFICI_06717 MVAQDTDASNGQTQPNSDYDLSKPITSTQGMRQGLTSYGDAHFS LFLRKVFIKAMGYSEDALSRPIIGIINTYSSFNPCHANIPQLMEAVKRGVQFNGGLAM EFPTISIHESFASPTSMYLRNLMSMDTEEMIKAQPCDAVVLIGGCDKTTPAQLMGAIS ANKPVAHLVTGPMMPGSHRGQRVGACTDCRNNWAAFRAGTIDIEDIAAINDELAPTAG TCGVMGTASTMACILVALGMMPFAGASAPAVSAARLRIAEQTGRIAVSLASSTTLKPR ALLTRSSFLNAITVLQATGGSTNAVVHLLAIAGRHPDVAGTIDLDTFDEVGRRTPLLV DLKPSGDNYMTDFHNSGGMLALFHELKPLLQLDAMTFTGRTLGEEMAAATLIQVPRNL SCIQPFDEPLYLASSLVVLRGNLAPGGAVMKASASKDRRLTRHSGKAVVFHNSADLAQ RVDDPDLAVDADSVLVLQSIGPKGNPGMPEAGMIPIPRKLAAQGVQDMLRLSDGRMSG TAGGTIVLHISPESAELDSVLGILRDGDVITCDVNGRGLNVDLTQEEIAARIRERREA ARASKERDPRRERGYRGLYQRSVLQAEFGADFDFLTANGPGEI PFICI_06718 MPLIRPIPLAPIETEQLPEVEIKEVCNAESSEAGLVQLLYQQHH LEMIMRMKEHENLLGEMAWLYPHLKDVTYDAQPFRLKSGGLWTKPGMINIIEPIRHPD RPAPEIYWHFGETVFKPFFHKRFARTIYRLGWEPNQMYLIHDDITLRIVQGEADFNWL RPRIPTQP PFICI_06719 MAPSKTSSTVTAAATGKDQQQPKVVKLNAQVPDGDKPSKGIEQE KNYRDFFWTYTEEPHRTRRLAIIKAHPEVTKLCGPEPLTKYVVLFVVSLQIFCAYLLR NTSFFSLPFWALAYVVGATANQNLFLAIHEISHNLAFRSPTANRLFAILANLPIGIPY SASFRPYHLTHHKSLGVDGLDTDLPTALEAVFLDSILGKAFFCTFQIFFYAVRPMAVY AVPFTWVSAVNVATQVLFDTIILRTLGAQSLLYFLLSSFLAGSLHPLAGHFIAEHYVY STVTPTQADPDNKVPIPETYSYYGVGNWFTYNVGLHNEHHDFPAIPWTRLPKLYEIAR EFYEPLPQHRSWSYVIWQFIFDDNVGIRCRVKRKEGGRLVGAGGTKKVDDWKETEIEA PFICI_06720 MSVEIDPQELGFHRPFTSEVSEVLKIKNPNSTPVAFKVKTTAPK QYCVRPNSGRVEPGKEVEVQVILQAMKQEPPLDTKCRDKFLVQSVAISSDKEFSNLAA IWDGIDKSQVQEKKIRVVFLAPGGASHSSAAEPLLATPNRASLVNGHEDTPEAPPAYQ SPTDYARASPVPKGETVKHEDDTASTSAAGGVAAVVSAKASETYEELKSQLSQAQQTI AQLQKDTASGLRQRKATSSDSAASSSQSAQLGQQVRQATEGVPVKITAILCLVSFLLA YLFF PFICI_06721 MSSDLDTLLEMGFDKSRAELAVKKGGGLEGAMNWLEKTQDTPLD ELQAEEAAGPSTATLEEGQVAKSIVCNDCGKKFRNQELAGFHAEKTGHDDFAESIEEI AALTEEEKKERLQEMRSKLAEKRAAQAVKDKEDARANEKIRQKATQESQDVKEELQRK QQIKDAAKKRQEKIDDIEAKKRIKAKIEADKEERRRKAEQAKAVREGKPDPALAGAST PAASTPAAAKPTVNHAEARLRVQTSAGNIMKTLPAETTLFELAQQLQSENGVTVNKFS LNFPRKVFEGVDLGKTLKEAGLVPSAALIAQ PFICI_06722 MSNAELASSYAALILADDGVEITADKLQTLIKAAKITDVEPIWT SLFAKALEGKDVKELLSNIGSGGGAAAAGPAAGGAAAAGGEAEAAAEEKEEEKEESDE DMGFGLFD PFICI_06723 MDTPKFPPPSNEGEQEILQQLELVLAQLQIRRADRANYVRSQDV MVLYDQTIEQVRKLTELRQGKKVGENRVDRVVDSCFQLLSLFFMTIGRNNEAPAAYAL TSTIKRLLDHLDEADLFSEKDIASMGHTLERLTENMRKKPTDKYLESLLSKRIERCHE SLDNLKKRLQDYAQPIRATHEKLVSILRQMAAINTKSKFKPEDIHKMQKQLREIDSQR VDGKFVDSDGNVLAGSEHVSSLWDRCQKYTEIVLERAGNFPEEWKPLYSTLVGIRNEL DKLSLTQAWSLRETDLYDYQRQLDKIDESRVEGNWIDEKGQPAELYVQRTLLYLIRRS YGYIYHLMISSEPVSEALLPVYNQLQTLKRCLIEVEANGGVSSARELFPYSMKLNSID NLQKDGKFMVGGDIPEGQGSVKELLDECFERCYKLRVDAAAAEENSIGGNGRD PFICI_06724 MSSDASRPAITTPPPGKYEFLVVVPDKPGAQPKRLEVRPKHFEG LTDLVDKGIFKVGGATLHDVPEGTDAAKWSFHGSTIVMVAESVEECKEILRKDIYSTS GVWDVDNAQIWPIPIESRKALRKIG PFICI_06725 MSARLESIASFQATMAHNFWKQVFTSVPLIPAQLDLAGKTVLVT GSNVGLGLESVRHFLKLRPRLVIMAVRSREKGEAAATSLRPEFPGTAIQVWEIDMASF QSVQAFVARLTRELDGRLHVAVLNAGLSKIRFERAQEGGRHESTLQVNYLGTALLALL LLPTMRPTASSPEPGRLSIVNSEAALPVDLDDPDQGGLLDSLDRPENYDGFSQYSKSK LLVMMFIAKLAEVVSPDEVIVNCTDPGATKGTAFFREVDSWAFKIALHILLGIMGRNM SDAARIYLHSTVVLGKESHGSYTDWMIRAWPTIMYSEKGRRLSEKLWDETLEELKFAK VGDMWKILTEI PFICI_06726 MTHYQPLLGKVAVVTGSSRGIGAAIALKLASFGADVVVNYVASA TAAEAVAASAREQGVRAICVRADVSKRDEIADLFRRTVAELGRVDVVMSNSGIEHFGD VEAVQEAEIDKVLAVNVKAQYFVAQEAYKYLTEGGRLILISSISAVWGVPRHAIYSAS KAAITGMVKCLAHDFGPRRITVNCIAPGGIKSDMYAEAAKDYIPGGEHLTVEEIDEKV GAMSPLRRPGLPEDIAGVVALLASPESQWLTGQTFHVSGGAHMATS PFICI_06727 MSFALFDPAVLLSILGAAAAIYLFASKMFGHDSREPPLAPQSIP IIGHMVGLSRSTFNYYVQLSEQTGLPIFTLALPGQKMYVVTKPDLIQAVQKQHKILAF PPVEAKFASTVCGTSAEAKAILAQNVNGDDGSHGLSMASYNAMRAALNPGSDLDNMNR SMIQEIAKSLDLLQPVGKESRRIGMYSWLRDTITLATTRSVYGPMNPYEDKTVADAFW DFEGGLMSILVGILPSIFARKPIAARAKVVAAFEAYYKAGGVQKGSALTQNRYQAQID HQISLEDIARYEVGGSIAVLVNTAPAAFWTLLLLHSQPDLLQLIRKEIDACTATAVNN GATVKTLDVTTLKESCPLLLSAFQEVLRYSSMGTSVREVMQDTYLGPWLLKKGAMVQM PSRIIHQDSNLWGSDVADFNPRRFLPEERKNRPSDVCFRAFGGGKTLCPGRHFATNEI LAVVAVFSARLDMKPVEGEWKLPSALNTNVAAVVMEPDVDIEVEIQSRPGFESVKWNI DLHKSEKIFALVTEDCVEPSP PFICI_06728 MRQISSGDALEQQLFSGADIDMDGVCTRCRSIPWDELACSEPPT CEEGVQIIEIPESHDHLLNSACRICRLFATIKPEVASPNFGKVKEGPRPTEKAPETCV LTRFSARSALCEAVDTNVEFGVPNDGNILGMMFNDVGRPNWFWKAGFLGIQQLTRKAD FGIHCIDSSTANFDMIKSCLSICQNTHAACSATKGAPIPGLQVIDCMRTLPEVIVAPT DCEYVALSYVWGPTPWTGAGFSPVIMDAMTATVKLGLQYLWVDRHCIDQDHPEHRRDQ IARMGDIYAQAAITIIASSHNLSSYGLPGVGHGVRRPQSVEKIGEITLIEGYEHPAYE IGKSPWATRGWTLQETLLSPRRVMFSDTQITYLCDTTFWVESWERPGLDTRPRDSWRF ETEGLVPVTDRLMADTFGAYRHSIKIMMGYSERSLSYDTDALNACRGIIQQLEKSSIF CPWAIPASGELFMIDWYHPKGPPEKRRGMFPTWSFLDWHGPIVASRFGTWNYQHMKIA LGSLHEPTISFPLEGQIPSTETLLRRDWRYLHITGPIVNLHMVQREFDSKQRSLSTTL NLSQDGLSSMAIIPRPRDGQFVTLQVSENVHMLSVVKWDVDELPSSVVGLVLHRGTRI SNSSRVVELSVLVLEESEGVYRRVGFIRHFLYDVIRPYSPKSIIPEIAFTDSAGESLD ELDWPIEVGVGAKYSTDDPLWVKEAEMRSIIIE PFICI_06729 MATDTSDDTQTSRTYGTGQASSLDDANHRYCPQSSLADDESEYC FDINEAILYLLYDDLSRNTEREVEMQTETASTDESDTLMQPQSDLLDTPSSTRIPSIS RSSITTAGDGEECPGLNDTEDIRKTDETSIAGWQPSLLKKSTASAFAICLGAMLIALE TLFAVSRARNGLADADSQFRYIWAYGTTGLFAVVSAFWGRLDYEVRAAAPWFRINSGS ETKRALSLDYVDAWSIAVPFQSLRRKDYLVASTSFTALLLRVLTLLSTGIFSSRPMFV SNRPESIIITRQFVDDSTRLANFTSLIPFLVMKGTHPMAIDDRDYMEEWRSLLSAQID YPGGVSGQFVFDTFKSQDSGAAILHATVDGLTFGFECEKATLERIGDPFIEVEHNGCR MNMSVYNMAASDAEGTNYIFALSPGQFSRGDCNSTRLDARRLVIVSAEVKYGKTNETS SSMYDESAKPYNIIGTRASALSCAPYYNFTSVDVVRSLGTDSVANHPGSPSIKPLNIH PWDIIQACLDSYSLLHYIQVFWLKYGNTFVAGDSDFLFYPVLGSCGEACHNLSETVDE SLLSDLITRWYQPFAALILAQAMTEETNKSATAWSAKNVDRLIVEPFPSQMMAAMLLI AITILKYTECIHKTLQCTRLKVSAGSILATAVLIGKHHSNPRAKQSNMSTSRDNPVSR WRAGIAQIIAVASAVRKESQDDGQHDSRQVGTRLHDLKLLQPFALKIFIRTVTCLLLL GSVIALEVMLWESYWNQGLGDAWDSRYLSLTWTAAPAAILAALGMMLSSVDSQTRILS PYFNLKQGATVNRSLKLDLLHGLVPTVLSRGMNTKSYVAFLTTSAALFGSALTTASAP LFDTINTPVSETLLLHSNSLFTSNMTNFSDASEVASLILGGNISYPFPTHGDLVFPNF TIGVFSNESVTFQGLNSASVVTAVVPALRPRMFCHTYAENQINSVFQEALGMIQMVGP GIVVNISADESLSADESLHLRIMPSPYPNNATFGTRDLRSGYFGGALFQADNLGEIIM AESRHQFLFIYGRFDAPNVNTTALGCNATIELVDARVSLKGADLQLDETSPPQVIESS ARSSMPLPLDSSGWAGGLYVNLASLPAPRNTVFDTFFQQLVTSRYAVPLSSLGDPEQK QLVEDAIVFQHCIIAAQCIARYYREELSGLDTSNLSAEAMSPPLFNSSASDSQNGYPA TVRNPAARRRVVQDPIATRVLEALLLSILVLSIAAWWLSPRKPLLPQSPTSIAAVLAL LQAGDVLDHLYPPGAPEPESLEDATARLGEDCSFRLGWGPSNDGQHGFGQRYGIWVVK KS PFICI_06730 MRIVAALLAVAPLVCPAEADGLRGAPAPCFEACQMVLHPILFND TAGLSPSPPTRQCQSHKGLASLYLCAQVFCSVDERTAGLNFLNSTCHKKVNDSIPSFD LIANYTDDIIARLPRFEKPDYIDGTTFQDVAVPSDGFFRLAYDTLASWSYAYGYHFRY GAAMLVFWGLVVTIGLLNRILLYLMHKTKSQTRISDSAHSGASEDGEALIPSRNPRLG RFGGWLNAHVFLPATFGYRKAQPFGWYTVPPRIQSLTILLFIIMNFVFTVHGYHVFPE NLYYPRVISQTLRYVSDRTGIIAFANFPLIWLFGMRNNVMLWVTGWDFATYNNFHRWI ARVSTAQAVIHSVGYTILVFYENGWGHFVAYWHVTWWFSKAAILMCALLPLSLYWLRR HMYEGFLIIHIALSILVLAAMWGHIYPFSRGVPWDSIVWVSCSIWAVDRIARMTRTLC FSRKIWNTQAQATYERDANIVRLSIPTSQSWYRPRPGTFYYLHFLNGGRFWESHPFTM SSIRHGKATGTGLLSRTSLDTAEGMGLLSADVEVESLKSETTHPDAPTMNFIIRPYDS STRRLAVAVEKESPSSCMLKVLVEGPYGHTQPFHQYHSILFIVGGSGIVSALVYLREL CRDAAQIKNVHIVWAVREAAFASSVLRDDMADLHESGKLTLDIYLTAHEAHHTLESLP EGVSKHMGRPDIIAEVGSAIHRFGRTGSLAVVACAPARMADDARKAVVHTLATQASRQ TSNISKYFGKDLVVVMTFLHEPPEYAVQ PFICI_06731 MAAEEVARDKRWWPTWILIGLFSLTFLVSSPLIVGFTTVIGLFS SIISILQSLFHTSRLSADGRLGSLLTRSVDRIRWVLRIIVGLWTVLALYLVYWPVFLI AILVVPGVFKAADIATKSLSQIAIKALGEPDDTESWDSLPKAVVYIILRISRHFSNTC EHGRGHLNATWRASPKPLHLLYDQLCQAVVGAANSAAADFLAELVARIVSSVTNLIIS IFDILHVLVVGILLDTQNPDAGNESLWLVFIIVLPIFTTWLAAILVPFVDAYKEAVTS SLLKATASNSIIYKSLQSGKSPNDSCIRLLEILPGGSGEPIICKLAVADLSGQNIPPY EALSYVWGPPDMNEHIEINEHTFYISQVLLQALLHLRDTTNPRTLWIDALCINQFDLD ERSSQVLLMPLIYSEATRVVLWLGENEPWGLQYAIDRVKSFKLSDSNTEISMPYFHFG IVYVAAKLLRRPYWTRVWVVQELVLARDILVSCGRFTCRWEELQRLVTGCLSRSFFPI NHVYLDEFLALEANHELRASTQRGDQSIADIIFEKPLTTTHDSHAPDLVSLWYRYRSR NATDPRDKVFAFIGLADSSRDLLQPDYSRRESFLSIDLANQYTYHSRNLSVVALAESA RAKSPTMPDATNDDREAYIPSWCPAFMTNNIKTRHGFRPFWTGLPGDDGDHFHGAGRI PVLALPAQSSPQVGEFLFDPTYHFLEIHVLSNFGIAVSSDGRLPGQWDELVASNMRNS WRQETIQNWKLAQRLLASRGTREGTETTEPSAGNAALEELIYLTLTAGKFSRRPSPEN DEQYRQYHEAHQQACIGRRPFITADGRLGLGPKSLKSGDELHLVLGMGTPVILRKTAP EWNKPGNNVSELYNPTWLYIGQAYVHDMMKYNGDLAADIDSGEVILEKRILA PFICI_06732 MSAPHHALVFGASGILGWSVVNQILKNYPERGVFHKVTALSNRP LSEENAFWPLSGPGKPVLSIVDGIDLTLGTMDHMKETLRLRVPDIGSVTHIYYFAYLS HPDFPTESHINLGMLQRGFAAAESLAPNLHMPFYRLAPRLALDIGYGIHLPQRPFQAP FTEEMSDVHQPWHDELFYYVLHAELDKLQKGKSWKFAEVRCGPVVGFVPHKNPYNLAG ACVNFLSVYKFLHEQGHPDAKSEKIPWPAAPEDCDTLFNDGGQDIFAEFSIYLCLHPE IAGNSEVYNIGDASEPASMSDRWRVICSLFGLQGVPPVEKSDPAFVLPSKFLHDHPEA VNQLKEEQGVTLQEIALEDTIEVFTDWINFDHHFSFTKTRKTGFDHELSLEDSWRMVF DRYHQAKHCYYGRTD PFICI_06733 MDCSRQLLKLGLGKLILAVRDTAKGEDARGSLTPMLKPDQAIEV WQLDYASYDSVVAFAQRGEDLDPRLDIAILNAGVNRKDFHVNPITGHEEDLQTNYLST VLLVLLLLRGFKKANTSSPGRIVIVSSDQAAWARFEQRHQEPMLPAFDDENNPWNPID HYATTKLLGQLFVAELAKRVPPSLAVINCANPGLCYGSQIGSELGIMAAVFIRLVGHT TDTGARTIVHAATKLGEGSHGQYVEEAKLRP PFICI_06734 MTVEDFFTFDEHEYARRISDLQAYPNERLIKQEVVKLRQKFASS WSIGAGIGASPVTFGGSLAVSIVALRRRHVASKKNALIIAELAKRGIEPQKLQKRDFM IPMVAGIVGMGVGCGIEHIAMNATNAPKETSPIQQVVEDPLYVPYVVDDGMAEQAKEI AVQARAQGVIPESDISGAVLQDHTNWSPVSPTDTVRYDEGMLALQLTEKALVAFAADK CAWWTTEAMAGLYNKDHNLKCPRILEGVVECIECSQVLTAGTYWPQIAATVMTTSTMS AETAILQELVVPRATSSL PFICI_06735 MTPTARSRGLKFPVILLGKEKLDSKTIRAFLKQTQYELVLVGNK DVPVLYNGETDDEPLDMIESFGQLGWPDDFMNEINQKPGVNGKAFVILDSTSGQDQST CLVAASVGDDNPTIDHAKFRCQFSSTLSVLAQLDRGGPADIPHIIRRLRTEAAEAGAV WEMALVDRIKSREAQIGLSKFPPSKDWNTRCPEALPRTSRPYFPIFRSAEISLQTLNE FLESTYCKEHWGHSSDEPDPRASIITAVEPPYSDGPAEPPLKTVPYLPKQFLGTRPHE FDAIVRSVFSCPSNENPEFNYNRYIVMDEMTEKDKTVLIIANDETEGLVVSRSDFKGA LLILISSKVTS PFICI_06736 MASRIQITKTVCSHCYKSNETLSSCAGCHLVQYCDKACQTAHWS KHKLDCKSKLSKDQWVPQFELENRLPAWVEVNPNPAQGEAPFTGFNAPGHPRAMPAID VLRLDENEGADWSQPLNLLFPVSGDVSSVVKTVVDLPETFSAPLRIVINDLSSLGIRN YMLILMAISSKDPTITAELAVNLWYNEFWPSTYAGALRRMIKSVVNRLGDFSNLADIS AEGMTDLHVAGPSFEQRKVDERRFGGHTLKIYLSQKQCLALGPCYEGYDMKKDIADLK SQRAKPWEAQGARRDDWDTILMRIPPSWREPFYKYFNERIVLPFGAFRHKTWYTNNTL RLVGTSFTNPLESWDLNEVLATEAGVSRNDIYGKLFFYVRGLFEKFIVRLRSLKVDFE VHNCDPVELSEHLSGIEFDRIMVSYLGHFQVWGLQTMLDTFRPLLKSTMQNRHATLID KHSSFNRDLFDFNHCNICNPGYPLTLRKLRTSSEVLSESEGVELFMQPGSSSRPNPWD FMGHFRTSWTWKRLMAHRIIESFDGDWELYQKIHRFDEIAAETGMRKKVNTVVEFKPL ALKLAKQNEGKTRATPESQAEFNALLAFNPLSDCRYVEWQRNPAGVATGLTGTTAGRH GPTCYKE PFICI_06737 MSSIGDATTTTPEANSAKPLRSPLQLRGVLDNFESFDVTPVIGR EFPNASLKEWLEAPNSDELLRDLAITVSQRGVVFFRRQNDLTSDLQKELVDRMGKLSG KPATSGLHIHPVNNASRKMGGDDDHISTISYEQRKMYGRTLYKTKQSAKEGWHSDITF EPCPSDYAILRLTQLPRTGGDTLWASGYEVYDRISKPYQKFLEGLTATSTQPLFKETA AKNDFAIHPGPRGSLENVGTSLTTVHPVVRTNPVTGWKSIFAVGHHMQRINDVSDAES QHLLQWFVRLIVDNHDLQVRHRWQNPNDVAIWDNRSTFHTATFDYEGLGSRSGQRAVS VGERPYFDPSSVGRQEALAQDIEKSG PFICI_06738 MFLPLFSACLLALPLLASGSIDISAFIDDFLEQWKIDNPDLESD QLAQVIDTANTVKEAVLNPTQNKKRTSKHQSRAPYKPSHPHFRRQLANSTAISNSTLE EARALVLEAQKEANIRNRERFQNPRLNNYYDSFSTEAARVRRRADAEAFAVNSTVAAA AAMVAEADAATMKPVEYKSIPAYMLELSPSHGTESSESTGLSKRADSFWMEDIDHVGK VPFGGSENDDYVVFRNVKEYGAVGDGLTDDTEAINNAMKEGNRCGENCGGSTVKPVIL YFPSGTYLVSSPIIAYYNTQMIGNANSLPIIKAAKSFVGLGVVSSDVYTGKNDGKDQW YINQNNFLRQLRNFVIDVTEADLTDCAGVHWQVAQATSIQNVKFYQSDSADKEHVGVF AENGSGGFMSDLQFFDGAVGIQCGNQQFTTRNMAFVGCRTAIDLLWDWGWTWKSLLIS ATEYAVKMSGDYRGGSIMFVDSTIINTPTGIYVTTPKGGTASEQFSITLDNIEYSSVG TMVNHETAGVSLAGGSGSIESWILGKVYDQNTPNGKYQSGGSLSALHPQTEELRGSTG FFEREKPQYADLDANTFMSAAITNSGRLNLGDGVTDDTFALAILFLLATRLGRPVYIP FGSYIVTQTVKIPTGARVVGECWAQIVARGAFFSDIDNPQVMIQVGEWGDAGVIEIQD LMLTTQGPTAGLVLMEWNVAQSKQGSAAMWDTHFRIGGAKGSQLQVANCPKLTGSVNP NCIAGAMMLHMTQVSSGYLENIWAWVADHDFDSGPDQTQIDIYVARGMLIESTGGPTW MYATASEHCILYQYQLYGAENVYMGMIQTESPYFLPQPQAPAPFKNQLANSPFPGDPD FSECTSDNPHCAAAWGIRIIGSTNVQILGAGLYNWFQEYTQPCVDSQDCQQRVANVIG SGNVWIYNLYTIGTVEMINLKDANPILSKDNTNTNEHPFTSIINAWLVASSGEGDLSV YDGTSDDDDYEEVVTDPNRSPCSAHYTSLDQIEENANRIPDYCYDNYLVDVELSVLNQ ALADYDDIVAGDYDSKFDTYERVTRQQVPASIDAYMAGAQASGNFRCTKNVAVFCCSD CTGPYGGCSSCDNSASCVDGQKTVDVDCPTVINDPYDIYSPSPGTITYIFTNEDKFYS EILDNYGVQRDWIKPGDRLAKLANGCQYQADPIECGKTANTWWHGYPIVDEITIPDPK EVISKSYDRSRALAIGIADSHRFAKYGIDLAGQSDLTDSVSLPALMMSSAVSNMRDVV KVADDAAEQERKEIIANFITGIFMLIPMAGELAGALGGATMKAIIGMAGELANVGLTI YEIIDSPGSALTTILGFAMGGGSIKPFRDAAKARRGMVQSEKDKLNPRTKVDLDRIDA ARSACLRK PFICI_06739 MATFHPFPRLPVELRHRIWDMSLQAREVTVHPVYGPNVVKYYSS SAKPPSVLHACRESRLYLQASYVQAFREGSEPRYLWVYFELDTIRISQGKLLSICTQW DSIRQLAIECTDYNAFMDYEIEDIQALATTGLRSLTIINMRDTPRTDPNWWQDWTEPF MVDCYYRCDPLPFFTRVIAPGDPNKAEVNSETYIGQYREFRKTLEETGEYYVDPSDGA SEDGRERSFPEWQHTESCNCSRK PFICI_06740 MIDTIADHTRERLNNMTPEELDANSVFFANQADSLDGARQKRIF DHNRVVLPIIEGKLAASGITLAYNDFGNDIGNQAQKVFNIDKTIAASPDVDPEDVRNA LQKALDEEYDPDRYYNPDGSPGTKAAIDHKNVISSFTQALNKSQGLSCTSE PFICI_06741 MQLSFLFSVLYFAAGVVSQENRNLRIAYEMMWLWNNYQLDLTKP EADRTIGYRCVNWLAATSECDSGVYQACTGSITEAGKEGTCSLREFLAHICNQPAWRT QVVIPGRNTAAIQNNLTPDIESTVENLYSSNQRYTRRHYKPQNTIKYCKCNFRVSLLP LNSERYCGKKQSE PFICI_06742 MKINQAALAALAALPRLASASLAQRLKFLNTRDVEPGMPYDLNT ISTCTWWYDNFEGLTCTDVRDLLYAISPEDFTRWNPSITLDCGNWKRLSYCVQVKSEQ TQTTSTTSTTTTTSTASATAKPSLLGWESLGCYVDDDPHTLSTLSAKEGGSQLTVEKC QTACFGDDFLFAGVKAGTECWCGSYVSNEWASDQDDCNIPCGGQTSETCGGTSVMNIY EAEVKDTLPAPSTPTDTATTTTTSLATSTTTKATSTTSTSTTATAIPTWQALGCYKDL YPANDRTLKNLLATSDTSLTIASCQAMCKQDNYLYSGVENGRECWCGNEIQSSTTNVV VAETDCRTPCTGDSSEFCGAGARVYLYKYVAATEATWQTLGCYNDLYPTVNRTLRDLR DFSDTSITIAGCQTTCKKDGYLYAGVEDGRECWCGNEIQSSATNIPAATSDCNKACTG DSSELCGAGARVFLYKNIVPTAPWLGLGCYGEEDPRVLRNLLSVSYGRGNVTHQNCID TCNRGGYSYAGVENGEECWCDDIINPPGDLASDGSAGCNKACTGNADETCGGLARLEI FVKSTSI PFICI_06743 MDSMDIDTKFLEGGTAQLAAVAIGILLHLFVFRIGEWDLATTSL IVSFFGLQLIPAAALSYLVSDHVSFTESQIIISRLAFLALGGIFSSMLVYRAFFHRLS KFPGPFLARLSNFYVTSLSAKDLHLYEEVQDLHRKYGDIVRLGPSELSITNKHALAAI HGAQSPCTKGPWYNVLHPLVSLQMIRNKPDHIKRRRVWDRGFSANALRQYEPRVQNYT NQLMEQLSKRENTPVNVTDWFNFYSFDVMGDLAWGKSFNMLRDGIKHYFMKSLHADMT NVGLFSHLIWLFPIFKATPILNKENKKFWAWVSQQVNDRKMMKPSQQDVFSSILEHHE SQGNPSHQDELNLVGDAYLIAVAGSDTTAAALTCMFFELSQNQEALQALQKEVDDLYN STENVDAVALQKLQFMDGVINESLRLHPPVPSGVQRMTPPEGLRIDQTFIPGNTIIQI PSYTMYRDERFFSRPNEFIPTRWTTEKELNVDDSIFNPFSSGRYSCIGKQLGLMELRA VASRIVRKYNVSLAPGQDPQAFLDGKRDTFTLALGSLELVFTPRSQKA PFICI_06744 MAIEGVNKAVIYSDPPSIKTEVVELPIPKPGPGEVLVRLDFSGV CHTDYGICTNGFETLPIPTPKGQIGGHEGVGKIVAHGDGVKQPPIGSLVGIKYAASAC LNCDNCLEGGETTCSSGTISGYLTPGTFQQYCLSPAIYATPMPDNIDLAGAAPLMCGG ISVYAGLKRAKVQHGQWVVICGAGGGLGHLAVQYAKVLGARVLALDTGSKEEFCLGFN ADAFIDFTKYDTDAELAAAVKSVTKESAKIVLMCSSSNRAYNQAISFLGFRGTLVCLG VPEGPQLPIGGAKVADLIGLELTIFANKSGNRAEAKECLDIAARGLVKTHYQLRPMED LTAIFEEMEAGHINGRIVLDLR PFICI_06745 MPPQTEPATSRGTTHTAVSLRKARKREADRKSQQAARERTKNRI AFLEALVLDLEQQDPTSNAQELIRQHDEVRAERDSLAQALSAIERIIKDRKGHRPAPG SANAPPCDNQSSPPPDDGQRSDNSGFGDTGYLSPLATVSNFNSECGENNVHVPSKTSA LGLDQTLVPESEFMFDDVLPMDEVLTCIPPDPIIPPAAMSKCDCAPRSSPKATMEGGA NLWRYANEVLSEPTPWCPQLSAQEAMVEDDTPVRAIIDGWDAVERRAGGRLLPSWQKL RQIDEAIFSSCAKVERLAILRLMHILLRFHQEGSEQKREAVPLWYLARPSQSIAHSYA IDFFAWPGLRERFVFQQHRYCSNMFWQLFCSSLHILWPFEFRDCYFRNTDTNEYKVSP AFDERIKDINVWTMSGDIFKMWPEFLSDMPRFNRGPGRELTSNLSNINRMLNSEMRGS AEDGSDETGEVSLDIGYQTQWVNQHGSGQQTNLGLDANLDQ PFICI_06746 MRNVGKFGNNDGHLNDITQQFASWQSSLPGALQLNADPCCVEGN LELTMLLALSYRYQCFFYRALRSYYFSIHDDRHTLANQQLKMAMLGTDNLIGKMMTNG NLRMLPLSL PFICI_06747 MNGGFVVALTATASGAQRKAALERLRAVLLSSRDSDAEFHPSTL ALPAVDLPVADYGAARAAVRGWISAYDKEDEWEPGVRRDVFVVVHAPALDSLLTDDRP LG PFICI_06748 MEQTLPQTVESPADMEAKWQETSARLSIERGMHDWQCRQYWKLG MSYTGIAAKAASLLVGSKFTLGPPRLDRDGLVFVNSNWDLSRDGRRGDANPRRILGQQ GLFLEMDEMEPAPYATVSYVWTEFPEVDWDEIRAELERRTGISNLWADRICIDQDDPE DKAMEVRKMSSYYLGASACLIFTKQQGFLEAIEEWKTAGRRLDTKEYLAALDQCVSFI KSSYFERVWTMQELELSAFCYILTPGGWVAGNDIDALLTSAVDSSYIAVPAAARHRPE ECRREVWNAGDPEGGFRRMVAVFDKRWTRWGGTDAEWLKSIRRPLMVVWHRAKGRKCS EQKDYLWGISALVEDWEEYGANYEEPMAESMRKVLSKDKSATAILRCRESILRRRESI PRCRESQQKGTLDNLSERFPANVRGPRYEENWAKATPTYQEELSSPSWMPKFTMGVPD LGLEVQLDEDHAEAASFFERRMVMDAVQVWLKKEPLDGVLMIADTKGNIIANDSSITE KIKSSERQDWKRLWVVCQRQKPKSGTDVQYLVLLDGFEHVYLILASTLCAQIEEHPAN HREPRSSTCKKG PFICI_06749 MPSIQQALGADGLSQRMSHGALVFLLAILTWRVILIAYRLWLHP LRSFPGPKLWAISDLPFTYTSNISGTSIRRIDDFHRRYGAIVRIGPNRIVMDGSIAWP QVYGRRLAGQPEFEKAPGFFARGVEHGIIAAPRDVHRRQRKQLGHAFSEVSMREQEAT INQYVELLIQRVAEHAAKEKPLNIVEWLNFTTFDIIGDLTFGESFGSLETSKYHPWVH NIFKGIKADAFVRACRNYPVFEPMLVRCLGGEQAGQGDRNRALARDKAMARMELGMEP KGRRDFMTHMLQPTRDGKPGLSTTEIMAMSPLLVVAGSETTASALSGFFFYVNQNPRV KTIVMDEIRSAFQDESDITMITTNQLEYLHATLEETLRMYPPAAILPPRRSPGAEIEG KFVPAGVICHVSPWAIFRNPKHFTAPDSFCPERWLKSEHPLYESRFATDNQSVFKPFS NGPRDCIGKNLAYAEMRLITARLLYKFDVETLPGQDGWHAEQQAFTIWEKGPLFVKFA SRNMSTLAQ PFICI_06750 MPKIREYRVAVPSTAIEELHQKLALSKFPEDSEGGDSWAQGTPS ADIRRIAQYWQKEFKWATFEERLNKLPHFETTISLDGFDPIQLHFLHQKSASPDAIPL LFVHGWPGGFFEVTKILPMLTAEKNGEPGPEFHIVAPSLPNFGFSSGISKRGFGLRQY TDACHKLMLSLGYERYAAQGGDWGLYITTSIGNLYPESILALHLNFVIALPPPLTQSP LGFVRFLTTHIMNWYTPREQAGLKASQEYQDNGSAYLQIMRTRPQTIGTMLADSPVAL LAWIYEKLVAWTDDYPWTDQEVCEWVSLYWFSRAGPAASVNIYYEMFQGDWKAEPGRG LPKAKLGFSYFPKEIAATPRMWNRRLGHVVFEKEHGKGGHFAAWEQPGALVHDLQEMF NPNGPAFHAFRPA PFICI_06751 MFIQAIQWAGAGFSLVFVLIRLVSRWHGPGRIFWDDVFVVLAVL LVLITAILWQWAAKDMYYILDVQAGLASLETDYVTHLTRDLKVSMVTEIFFYAILLFV KVSFILFFKRLSSNVRGQLWIRWASLALSAICFFVSIGDMGIRCFIQSDLQYLETYCA SPEFNKTSTNAITINFILDVISDIAITSIPFALLWNVRIPMRKKLAFVGIFSLSVITI SAAITRVIVLNSTTKATGSPDASLVSVIVSSLSSFPQLFSASSRKVKPQWTPTETYYR RLRTRMLKRKERSTDPLYDISAISMPDFDHNADQNAASVQVPYPVLNTVEGQVAAQYS AGINSYLVPQNQMTRHFEYRTEMTARPTTTQEWPMGKD PFICI_06752 MSTSDITKLIQELMAAAVSPPQDENLRKELYEAAQRLSLAIESP HDTVYRVIYSPMILSVVQIASNMKIFTTLAGKSSPCSAIDLASPSGADPVFTARIARF LASHGFITEVDEDVYQSNHLTTTLSIDGFRAGINQAFQGIAPCLQVAPQFFKNSNYAN PSNVVDTPFQKAFQTELPAFVWMQSHPEIVADFGLWMTAVHNGKKAWMDVVDFTALVG EADAEMPVFVDVGGGVGSQCALLKARLPNLTGRVILQDLPVVIEHHALPTDGVEKMAF DFWGEQPVKGARTYYLRNIIHDYPDEKALVLLKNTIAAMGPKSVLLIDDMIIPNKGAH RHATEQDMVMLTTLASIERTQKQWDILLSSAGLKVLQRSVYLEETGDSLQIVIPENKV IDS PFICI_06753 MDSSSGSSNPREDPIEAFHVQIRELYYRLKLFSDAIEISKQHVE PPIAVSKASQESEDGGSISNNAGPHDREGNVPNRGVGTDFKESARQTSGNSTTQHNLH LDHQILGFWEKLVTAIFSAHSKIRDIILKEDEVKRDTAIPWAKLLSYLQGGLRRISER SDWALEVRRITGIVNLVAKDCHQVTITAVINDTSNHVHLAVAFAKAVAMNITSSLSPD LQGNRKRLVRLSKPFDKALDRYFHAMKPDVLGGLTEEGISVDNILYTLYRLRANIWIF ILDVLLKTPIRHSIDQFALRSWPSNKSPLLATLSQFHPVDSAQVIEQKLICNPHFTSL THRLTKQFCTNEYFCMDFFSTWVYDSIEFDFHRPERNSFRRFQFVIDVGDLDVAKHAY GQNGSLADILVLTGADNVACASTMGKYSSEVWPEHGPLLIDQLDQVLKAFFNGHSGPV PARDEASPLELSIDLMRGMHSFGLSVERGQLIMTVTATLELIVECLQILAWAYTCLSV SPYGEQLGRGRVNLAPSHQRFVDLVRVELSLAVEPLKMAKACWLPLFSQAVLAWGYPA PSKRDGLIGLEIDLGVLSALSGARHAVMFRGGLLLKGISAVLVPTKYCANTIQWHLVT SDDDSRLTCEEAVRKVGPRILSDELDFESYSGCRHIVGWCSKVEVMLGTTGIDYGSIG YSDTADAMDMIRSEGKVTLGIQQIVTAQVEMSVGKRVGTFAFRRQGSYEKILRFASKT PIVLFDTDTRRGWLVPAVAVMLHVVQRAIHSNPTGSGKDKLLSFRGTVLQTLLELRDE CPVTGEDSLADEISTIFNIIDRMIEINAEEDTAKEKPVRGTLRSAIYGFEFMDLIDQP SPIHRKKLYMHNTHGGWPALAHDIDALVLLGNGFGEIMLPIEPSLCPSWTTMPLGQDF LASTVETLSDLYKKAGSKDWKYLTTQKLQWHRGASALFEACKSDNSHCNCVRLQRIVP KEVVGHVIGPGPVETAQGAVIFGEVGRPTRFNTTQNARRRSLGLQAPPDVDYVPRDDQ EQQLVVVNGTQPILGDAPANGTQLGSPESPHKSFSGYVSAGFRRLSKREHRAGFNGQF LNSQQPS PFICI_06754 MATADQLPVLYLAQARACRSVQDCMSIYDNWAATYNNELSDKDQ EYVAPTIVAQLVLHRTNDLSRHVILDAGCGTGLVGQALALVGARTIDGLDLSPAMLNV AKQTGVYRTLSQADMTQKVPQGDGIYDIVTCVGTFTNGHVGPDPALRELVRLTKTNGT IIATILEEFWESAKFSVEIEKLVAEKLVTVIVKELIDYVKGHGEAVVVVLQKVI PFICI_06755 MTQNGAAESAALSREEPSHLNSDLTERGTVSTSHTYPEGGRDAW LVVLGAWCGLTASLGIYNTSGVFEVVISQVILPQDSASTLGWLFSIYAFVTWICGVQI GPTFDAIGPRALITAGSICTLVGIFMLSFCTEYYQILLSFSLLTGIGSSLLLTPSMGC VAHWFFERRGLASGIAFIGGGFGGVLFPLMLQSLLPQVGWAWSIRALGFVLMVLCGIS IAFCRSRIPPRKGTATTWRDTLPDHRIFLDGTGAMALTTAGVLLTDLAYFIPITYTPS YYITRQGLSSEESLEGSAAFAYQLLAILNVASCVGRYVAGDLADRFGRYNTMIVSLFL CTISLLCLWLTDILVPGLESDGLLIAFVVLFGFQSGSNVSLTPICLGQLCDTEEYGRY YASCFTVVAFGVLISLPVAGSLLGTVGTTGKGKYWGVAVFAGSSYVAATICFLWVRVK LKGWSWKIKW PFICI_06756 MSVPTLNYNSFIQGTAEERVQFARDLLSSFERTGFAKLSNHTFS DSQLQELFHWGQRFFNQPLEAKNEIPNELGPKPMRGYTPWRVEEVGKLHHDEAVRMLT DSKEHFDQGPTDDKEFPNQWPSAHGLSGFRPFMESFYEQCNDVCLTLMAALEVAWGID DGSLVARCSPSATDLRLTHYPEIEVNELQTGRNTRIAPHTDFGPVTLLFQDSTGGLEI EDRSNTTGNPFVPLPPTDTTEMIINVGDTLTRWTNGRITGGVHQVSVPEAFKDESGMI VPSRMSMAYLFKAARDTSVGPLPKFVSQDEPAIFPDITALEFQRWRNSIVYNLDKKED GDKFQGVKSKASPIIKPTINVQEAQAVTA PFICI_06757 MRLIDAKRMIDRDEILLVDKTKLHEEPYAILSHTWGRQDLVWKP DGTQVRGAWGHDDDEILFADIGEDDTKQLKPDAWKKILKACEVAQDQKCDYLWVDTCC INQQNPAEVVDSINAMFYWYQQSKVCLAYLADLEAESVRGQGEEELKACRWFGRGWTL QELIAPKKVEFYNENWKNIGSRTMLSDILSQITGINWRVLTGDKSYLSFTVAERMKWA AQRKTTRPEDRAYSLLGLFDVHMSKLYGVGGGKGIPGTTDEDHSLHDGHDRIRLEPQQ EPRQKS PFICI_06758 MLADSPDQFENSPEMKSMEVEGHFSITNKGVLLDASTLRIVPKD KQASESALVLLIGFIDDMDHIKCYGVCLQKIGSANYARFRTQDLASFKEDKSSYKLKP VPRHQGYITISPQDAVLAFDRSHRKAINVPEKFSSKSSSTIWSIKVLDRVPERIWDYQ NGLFFRVAYGHRDVVRAAYMVLSLLDQQVRFAVLFHQYGGKDKVVILDDKNDKWSTIK PIFGPNQRFDSVKWDDLMIDLAVQSEYLDVVAQGRSYRVSASLDNVEGKLQMTRLKLD VAPDAYHQIERLEQRLRDLENRLEGVKEKRDRASDDAGVDEGASKKHKSVR PFICI_06759 MAPKYKFLFVPDFFIKYEEEAKKYQGGKIITQPQLGILTQDYGP VDEEPATFTNRPQWARFAEHVKNLNETCGDGVSYKLFYLIRHGIGVHNVVMEAVGSEA WRTKFSMLDGARPDEVNLKDTSLTHDGKLMWVDALLVEDGIAQAKELAETWMKVTKID EMPLPQSIYTSPLARCLETTKLVYEPVMAQQNSELHPIVKEALRERLTNHTCDKRRSR SWIEKHYPNYIIEPGFTENDNLWKANEAPETEAQHIERKRSLLEDIFEHDDAQFISLT THSYAISAILAVVGAPKFRVSESVLVPLFIKAKRIPPVDAIDIKSS PFICI_06760 MLFESTLGMLSPEPPPDNEPVRRPVERIKKTLRKYTSKLQLRRA SASTDEPAWDSVFADDASDPPRYSRLRMLDDYRWPVCEPLEVLVLSNEHSSTPRRFSC QVMTIPTRAAAAASSSLSSSCLDDREESLDRLITLPCGHIYHPACLQSELRRHAYARC PRCQHTLHYRLCHHRVHIPYFAPGTAIHPDELDGACRCCAPFPFMQHVMAERGEGAQS GDGMLSAILSSTTTKDKDHGHNNNNNNNGHKGQQPSADVGGFSVSKDEDAGAADFGWH WWALSVFEGGAERFLAERAALQRRLLRVCAGGHERERRVAVLILDTLWLVYAHLRAHK GLEWPHALRLVGPIAYEEAAASCCRTAEQRGVDVWAGLLDMMVERMHFLPHKAFHLRG ATLWQPYELIPFQPYYPMVEDLTDGAV PFICI_06761 MSHDQAQNDPSDRSPNTPSEYGVFSPRSSSPSNTLGTTSAPDSI MPGSPASAVAAVTHHGSDGLTPLQRWVTRASESQFNALSGAVGGFTSGVVTCPLDVIK TKLQAQGGFTPVDKGRHVGHHKVYEGLIGTARVIWREEGIRGMYRGLGPIILGYLPTW AVWFTVYTNSKEFLAERNVQNQLLINFWSSIVAGASSTVVTNPIWVIKTRLMSQSSNG NRHVSLFPRSGNTPTSRPAISSSWHYNSTLDAARKMYSSEGILSFYSGLSPALLGLTH VAVQFPAYEYLRKRFTGQDMGHMGEGGADKHWLGVLSASILSKIMASSATYPHEVIRT RLQTQRRPTAGAEYLQGLGVTASGDKAAKATESIPQAKYRGVMMTFRTILKEEGWRAF YAGMGVNMMRAVPAATVTMMTYEYVMNTLHEEKAKALRMREEALSVPMS PFICI_06762 MSAYPSLAPFVQKRPWLRNALKPLANWYANAAGYRQLGLRADDL IVEEDEDVLKALKRLSPQESYDRVYRIRRAMQCSVSHKLLPKEEQTKPEEDVPYLVPL IEQIRAERKEKEALDSMTIIKNH PFICI_06763 MLLPKGGMTWKSAKAQLPPTRAILTLLTRTRFLLSLAVVGIILL LWRGIRSSANEMQSFYCWGPSKPPMEMTLNEQQAWNAHLQTPVIFNHHAPIEVNQSSI INVDLNPVKSTRQAITNEERVLILTPLKDASLFLSKYFELIAELTYPHHLIDLAFLVG DSSDSTLAVLAMELERIQKRPDRIPFRSAMVVEKDFHFQLSQSVEDRHGFEAQGPRRK AMGKARNYLLSTALKPEHSWVYWRDVDIEDSPKKIIEDFIAHDRDIIVPNIWFHRYRD GRDVEGRFDYNSWVESDKGLKLAASLSKDTVLAEGYKQYDTGRQYMARMGDWRNDKDE EIELDGIGGVNILVKADVHRSGINFPCYAFENQAETEGFAKMAKRAGYEVIGLPNYVV WHVDTDEKPGNA PFICI_06764 MATRRSARISAAAEAMPPPPPPVRSRKRKTAPETTEETAQQLET PSTPQRRRVKPKKAAAPPPATPTPSNAKLIGEPATGANPSVTTPKPKPAAVERLANPE VSNATLLSPETSRIVAAKSLDEVSPSKAEVARTTTANILKQACDHLIKVDPRMKPLID KHHCRVFSPEGLAEAIDPFEALCSGIISQQVSGAAAKAIKKRFIDIFPHLEKEGARFP HPKDVAGTKMDVLRTAGLSQRKAEYIQGLAEKFATHELSTEFFRTAPYEEVVEKLIAV RGLGLWSVEMFACFALKRLDVFSVGDLGVQRGMAAFIGKDVNKLRNGNGKWKYMKQAD MEAMAEPFRPYRSVFMWYMWRVEETDISTLE PFICI_06765 MYTQKFVSAVAALSLATGAWALDCSDDTVTIESASDITTFQSCD SVDGNVVITTGAGETIDISGPSSIGGDFTVENNGGLISLTSDSLETIGGAFTLKNLTL ISTLSFTKLSSVDSINWATLSNLDTLTFGTPGLTSAKTVAIADTFLSTLEGINIHSLD SMDINNNHRLTDFTTELYNLSTLLNINANGEDLSVSMPNLIWAANMTISNVTSFSAQS LETINGSIRFDSNYFTSFSAPNLTEVQTGDVSFVSNSEITNISMPLLTKVGGGFTIAN NTAMEELNGFPALETVGGAVLIRGNFSEVDLPSLKEVDGGFDISSTGDIDTSCDTFKT LSSKDYIKGSYSCTSNNADANSDLDSTGGTSSSGNSSSSAVIGASGMSMSWLVSLVSI AGFVVAFL PFICI_06766 MSGPPPPKPGGGLSLYADLLDPPGDSTASISRGPQLSQQALDAL KEQEDGAAAGAAKKADASLRFQPIPNIRRPQQKTQKPKAGFPKAAAVVPGSGIGNPAA AATGAPAPPLVKSTLADWTNTEEDDFMFDDRRPRNKKKKKKHNAPVETDWNEIYDPSR PTNVDEYLRSDERILEVREWKALLYRHRRPADRKRQSSWDSEEDEEQHRPMGNQFAPP SAYSFAPPPPSPPRAAPAPLPDDKTGDDAYARRLALSQGIPPPPPPPTEPSHTGSEVQ SPVPPPPPPEPDNATISRAPVRYSQPEPTETMAMDDDVPENQAENEEEDEDEDAPRSN RPGQKGFAARLMSKYGWSKGQGLGAEGTGILAPLRVQVEKRKKNENGGWAEPGGRGKI IQPKANPNNNNTASSTLESSSAGQGGKFGAMSNVIVLRHMLDNIEDAQQEMEDGLGQE IGEECGDKYGRVERIYIDTAPQGSKSVYIKFVDTVSALRAVNALDGRVFAGSSIEPRF YDLETFEKGVYE PFICI_06767 MATLQTAIRGVADSTAVIIPSKPDALTVTYKDLAAECAAFQRKL AAIGIGHGSAVSIAVVNSYEFIVSFEATAWQRAIAAPLNPAYKQDEFEFYIDDVKSAI VLVPKGAYQANAPAVKAAKKFNAAIAECYWDVTKKEVALDVKDLGLLKGRAKENILQA HTDDVALVLHTSGTTSRPKVVPLTHRNLVRTMGNIQSTYNLTSADRTMLVMPLFHVHG LLCALLAPFYSGGSMIVPTKFSATDFWQDFQTHKANWYTAVPTIHQILLKSPVPSPLP DIRFIRSCSSPLSPAVFEKLEETFKAPVLEAYAMTEAAHQMTSNPLPPAKRKPGTVGL GQGVVVKILNDAGEELPQGTEGEICIQGENVTKGYLNNPKANAEAYTQNGYFRTGDQG KKDEDGYIIITGRIKELINKGGEKISPIELDNVLTRHPAVSEAVSFAIPHEMYGQDVG VAVVLKSGEKLGAGELKQWMAERVAKFKVPAKIYFSDVMPKTATGKIQRRIVAETMMK QDKPKAKL PFICI_06768 MAQLASPSPLTAENLKVFSPSLPHNYSASVSSRASEDEDAASLF SDAHSVVSSTSTAPEDEAAPFTVQEASGETVTVYESLDQAVRYSLLRAEQARRQEEET RRFAYMPYSAAPQQSQDVILNEASWVSAPAPPPAGDAQGDADEEDEDAYFSMG PFICI_06769 MLLCFNGDVPSAGVPVDRLRELQVGEIVNLPQIIVVGDQSSGKS SVLEAISRVRFPAKGDLCTRFATELVLRRAANTKIRVSIDSAQTGTSQQFKRTSFSKD ALPDIITEATEKMGIRPGSTKGFSQDILRVEITDPDVYPVTLVDLPGFFHAETADQTK EGRKIVRQLAERYMKQKNSIILAVVSANHNLANQLVVEEARIHDPNRERTLGVITKPD LAAPGSQDEKKCLQLVRGQENIHKLKLGWHVLRNKSEGKEASTVDERDAEEEKFFQTG AWSNIAPSSRGIAYLRKKLSKVLLEHIRKTLPGLVEEIEASLSIRQHALDQLGKPRST TQELREYLTGISVKFQHLANDGLGGRYGDDFFGDLYDNNHTRKLRACIRRLNRAFHAT LIMKGADRDVELEDEDSGLSHGGFDWLADDKPPPEYLKPFLGLFDRFPKPDIITEEDL RDELGKLGAANQGTEFPTQPNAQLGHQLFREQAKKWSPIADFYLDTVTDFAKSFVEDL FIHIIGADQDTIKAILGFFVSDFFELKREALKDKLAEIIRPYQSGYGLPLDAEFHAAL ATRNAGREARRIVSLIEEKFPEVFNRKDGHGLDPDDLEESIKLAERAEISEFGTEKVI DMAMTQYEMSLRTFADNVVNLAAENCLISEIPDILTSMMVVQMSEERLQEIASESEDI QMERSQLQHEVEILREGLKKCQRSRVHEKTVLPNAFKNSPRTKNASNQASTPTTPRST SSAVPEQPSYFGPQGRFSSAYSPQPGKTNGVSSNNSPTLLGVPLTNGSTSMPPKIFGN KVAIASS PFICI_06770 MELPQDRSSLETCIRPVYVYQNLPPQSIRLIRLEPGSYEAGIVI RLEPVQFRIGVEDSDVEESDARDPWAELQIESSQARGSPIEYEALSYAWGPKGQPMII SIEGEESCIAHVTQNLYIALKHLRLGDRVRVLWIDALCIDQENNEEKSAQVAIMADIY QCASRVVAWLGPEADGSNLAMLSMYYLGSQIAVNWPGPNGDSSRAQYAISAAQGFDGV DWIHGRVSIPLQAPELNAIYHLLSRPWFGRLWIRQEIFLANSDAEIMCGMHRIRWTHF RHAMMAIQQDDTIHDLDSLLRTNLWSFTYTDVNCGYSGLRLECDAAKCEDPRDRIYAT MRLYFNGQNVLKITPDYTKTVGQVYTDAALRYIRRHQDLALLQQCELNLPIPGPSWVP DWSRNPGFCYLDTHSWANSCLSMSFALLDGRVLQTHGKLITVIEHLWKFDDYDESSAD TLINTIRTTVQSLLDKLVSGIGEMLERCAKTLLGVYISDIWESPATSDYPSLRDFESL IAQVLAGCSAQEIKERLGREKTSSILWFIVTVMAGRQLFTGTNDLFGRVPIFAQPGDH IYVLIPCEVPLVLRPLGGNQYMVVGACYAEGIMYGEALLGPFPKTIRPVLSYKGWRFQ DIDSGKVVQEDPRLVKLGVGLQEFREELEQFQDKRARLMVDVDILRRAGVMDLQKIEL V PFICI_06771 MSSEDGKFVYSAINLHDPEPLANKKATLLGWVITFLIASWVCVS MRLWVRFKIIRAPGWDDFFVVLYLLTMTVGCVSVCIATENGLGQHVLLLPVETVEAFL KTNVKIFYVTNATYCSSTCFIKIALLLQYLRVFERGTRLYAVTMALTIFTSLWGLAYS IIAWIPCAPVSEYWNLSGTGDRCWGYGSHYPKVFVGTYESHTAVNMVLDAVILVLPLP LLWRDGATTAGRVRLAGLLSMGCVVLILAAWRLEEMVSTQVATYPTRDPTWYGPLSIL LAALEVNAASICASVPIFWPVFATTWSGIFVTQEVKVTSESRYVDEDGDSLTMNHQSR RSGSQLSIVEDIEDGGGGGRGHRSTHSTNKHYRDSFILRQVDPLRQKDDRDMASALAD SPKEDYRKYVKF PFICI_06772 MAGPRLTGPRLTAPAARLARHITTTTHPSTAPATLITSSRASAP LSHKYAELLKEKNMDGDHSRHIYTRSSNRPHPAPRRVRLMQTFTSSASRPAQVSGMDK LVLPDMQNTQSHSASRLRVPILPDNYDVHNAPLPAAEPVLQGQINVVAADPEKVSVSA LTEVEGMTLDGVELKFAHESPKKQTEPGMLRDLWKGLVDDVLGGSKTKPAF PFICI_06773 MASDGLAESHASVVETVPIPWRDGAPLTVEIRRGGKHTHDADDN AEIPQPPAASTRNISVVFVNGLGKPRAAWKEVAARLPASYTLLSYDRFGQGDTPHLPD GVPASWHDGAAAARDLYELICELGRRGERGFDPETARIVVVGHSIGAAIVRLLLAAGR YDGETKDSDVPQPARLDVTAAVQGALLLDPSIVNSDFVSLFPPPTEGEPPELTRTREA TRRVFHPSVPNPEGFDRAAFLRLLPLAEKPVLPGHPYLTVVGHDPNVIFGEDAEKVST FV PFICI_06774 MLPHSKESGHSAKVIYKHTQDAYADKWPAEKSWRVDDNEGKYKY LRHIKKLSRAWPHLRYLAQWMEVTTSPVKWEQMLQLGDDRDNYRAERAARTNVAVVDF AKDSVEVVERIDQGDNLLKTLKTPQPEGVNRLYIVEDLSRDMIEYFGRELDIDPLFFR EHINDYLWYNTRDPWVELPDLDIVARERNFFRLSYVQPRYFSDIRSFQDAREEAGRFN VLRRLDDDGDHKALFDSEDAIVALLRSKASLWIKPQAEGNDKGSTGVLLIDPSITRGH ALWGGYRPFWNSPTYSQGQEEYEWQPKSSVLKDLLFWITQMTKEDIESISTNPKAMMF RMAQIICSDWNILVRYITARLGQIEWELERPDFRLNSKGKNQYDGSLAASLHKLHTWR RRLPLYKAMVKETEEKLFGELLAPRDTNPNDCLLKMKKDFEIVTAGLVELWDRTERIA TVATAVTSIEESRRAMDQNRALGRLTYLAVIFAPLSFISSFFSMAPELGELTRTIWIY FVVAIPVSLIAFLLVEPSVLKFLKSFLPKHSEKKKN PFICI_06775 MWWFFRIFTSSVFLLTVVLSIPISFDVGGRDSGLAYSLSLFSFY LIYSAFKLATPEESRFRWSITKLIQSAQWVVIPALLIWSLHRFAVDANSSDWVSRTVG GLGRKHNSWSDYFFGDGGLVENVALGGWDKTLSYSSPVFQLLEGFCTLLVIQAAGQIT RWLVNRGRSDTWVLILLIFSASVIASAVYFLWRVALFPSISHLDATLIGVTMTSAVFL CAIGIGSGRGNPVESSLLFAYVVLCIYQIFTDYVQSPEAVEAAEREAANQPDFPPLPP IIMASYSTLLHLLGSLPSAVYSSLSFLHAAFQTIAPSVMISLTYRTIVFYCATRIIPA VRESGARALLDEASWDDSDNANRILGFLSWFSPSILIAVYTSLLLQHFSTNGTEGWTL RDGDAGGNTWRWINVVMTMGLYGVELYLGNDDDVSMTHWKTD PFICI_06776 MVMNPLLALTALHLHGRTGDPTLPLAVSRYLGRSLADHRAALQR HSDNDNDNDNDHDNKKDVLAEPVWLSAVLLSVLYWLLAHQRRPGEPYELPLPAWAMLH GVTTLYVRRRAVLGAMGYEWYGHRYAPLRIEDDDDDGDDGQVLSEKSRCRLDMLQNDL EILFARFGISDDADEQDEEQVAYQAARRHIVSYYRAYFAGMDERNLRLVICTMPVNVP PAFRRLLDRHDPLAMALVARLLVLLVPVESVWWMDGEGDYEVLHRDINGIRQLMPDEL RWCMDWPCRVLSGEILLDR PFICI_06777 MSYFNIVTTPGTMADHALLGVPGQRPSVFRNLTSKTLAGEDDKF FDEHDNEAPAGAETVAFAFDIDGVLLRGKEPIPGARETIQLLQKKNIPFIFLTNGGGK TEEKHVEQLGQRLNVELTAANFVQSHTPFYDLVPKYKDETILVLGGHGDQIRDLAHAY GFSKVITSSDLVAEWPHIHPFPEMTQEHHSSHGRRTGTTPKVAAILVWSSPRDWCLDL QIVTDLLLGSHGNPGKKSAFNNLDHLPNYGFLNENQPHLFFCNPDFEWKTQHEHPRFA QGAFRAALKGIWASATQGRAELKYTVIGKPTKATYTYGEKVLTTYNDTLNAELGRERK ITKVYMIGDNPESDIRGANEFDSHMGAEWRSVLVETGVHEAGTKPTYEPTFYARDVKS AVMKVLAEENPDALDDLDFQLACLGFTKGEEDGTDSN PFICI_06778 MLNSGARRDAGEQSESTSVSHRTSAWPSSPGWRTSSRRTSESRT TKSTRTPWNADGYSMPLTLDTKTVTQPSVRPSFFGSSPIDSASPPKSPRHKCSDSQST ISSYNSPLHSNPHSRFSSVSTVGGFNQTTGALITDPAALTALTALESRSCDNLDSAVS LSSMPEWLPGKLPRMEGGISPTTIAEEPDLSEYERPGSPSDAMLIRRDLQSSDRMSPQ DLTNPDPKSFNNFLALPGIPKSHKRAVSAPDFAAANTAATLHRPTTPPHQLRTPRQQG EDRTGCIMDEIDSEEDSPIYLPSPDEPVKCMYAAKCSTGSSPRKAISHIFGRNKTCTR NIPPHVWVHFCRKHYQRTRYRNNQEYNKLQALLVLKQIRRIQVWSDGNRKADKSGVVR NWSLSVRKREQKRLDERGAIGQKRRRSGDNSEDDEDDDTEVIDQTPTSGTAVPGWLLK KCGSGYSTEQILEVVKALRAEIVAGKLSQIPDIEILPNIESSEDGKAKAPMKRKTSTS SHKRSRSVGYGQGYAQDISPMMRRTSQPGANHGHRPSLSRVPESMVPPTMGHGQHAML PHRPASYTYDNNMRETPVQESYYDSGVPRSTPYAFGGPLPPVATAHRLGQTTNAQQLE ANRGYYESRHFMHQRASSEAGLFPHHAQFTYRSSYQPYPSETAYQPATSSPYDAYSAP GYNNGGGPPGYYDNVPYQQRSWVPQQAWGPAPEPQQSPYAMPRHGRHQSASAVHQSTP QLPYPRMGNNSGDMC PFICI_06779 MKGLARVASAFVAAAASIQSCLAQTAYTDSKTGIKFSTWTQKGG YTYGIALPGDALTKDATEYIGLLRCTAGWCGLSHGESGQMTGALLLVAWPYQDQVVTS FRYATGYNLPTVYSGDAKLTQISSSVNGTSFEIIYRCENCYSWNQNGATGSVSSSSGS FVLGYAQAQAAVANPSCPDSTFNQHDAFGQYGGQLSGAANSAYASWAALATKTTNGAC GSATTTTTTTTQPTTPPTTTSVPTATPTCVAPSSDTYDYVVVGAGAGGIPIADRLSDA GHKVLLIEKGPPSSGRYNGTMKPGWLQGTNLTRFDVPGLCNQIWVDSAGVACTDTDQM AGCVLGGGTAVNAGLWWKPNPLDWDENFPAGWHHSDVKFAEDRAFARIPGTDNPSADG VLYQRQGFDVLSAGFKQAGWKEVKPNQQPTEKNHTYGRTTYMFDHGERGGPLATYLQT ALKRPNFGLYMNTAVKRVIRNGTHASGVELECNGDGGFAGTINLTPKTGRVILAAGTF GSAKLLLRSGIGPADQLAIVANSTDGPTMIAKDSWINLPVGSNLIDHLNTDVYVTHPD VVFYDFYEAWTTPNPSDKDRYLTNRTGIFTQSAPNIGPMFWDQVTSSDGGVRQMQYTA RVEGADKTDSNNTMIMSQYLGRGSTSRGRMAITAQLSTVVAEHPFLRTPGDKEAVLKS LDNLRAALSPVKNLTWALPLANQTTADYVDSLVVTANARRSNHWMGTAKMGLDDGRVK NGTAVVDLNTKVYGTDNIFVVDASIFPGMSTGNPSAMIVAASEYAAERILKLKA PFICI_06780 MKTFAGIVVAALAAQSASAHYIFTTFSPGTTKATAYEYVRRNTN NNSPVTDLASNDLRCNVGGATGANTTTIEVAAGSPFTFTLDQAVYHQGPISLYMSKAP STAAEYDGTGDWFKTFDWGPKFSNGQASWTMSSSYSSTVPTCIPAGEYLLRIQSLAIH NPGSTPQFYISCAQVKVTGGGSTTPSTTAKIPGFVKATDPGYTANIYNNFNSYTVPGP AVFTC PFICI_06781 MPVDEAPGDEGDGAPRQSYNFAPGYHGVVYRANVPDYGAGPRRQ QGNHHGSEANDTEATEGNNTKVLPVEQSHGASEETHYKLQSMKWGLIPFWTKRNPDYG SMMKTINCRDDSLAQGGGMWNTMKARKRCIVIAQGFYEWLKKDGSKDKIPHYVKRKDG HLMCFAGLWDCVQYEGEGDGDQDTNREKQQKHYTYTIITTDSNAQLRFLHDRMPVILN NGSEALRTWLDPARHEWTKELQALLKPFDGELEVYPVSKDVGKVGNNSPTFIVPLDSK ENKSNIANFFAKGASAATKQSPGQKEILKPEQPEIEVKKETGFVEEDAKEDSKEKIAH NVGESLSKLSSVKREADDGLEGAPPRKALRSSGPVKSPSPQKNPGRPKISATSNGTKS PQRKATKQAGTQKITKFFGNSS PFICI_06782 MDEVSSTSNVEDENNAFASESGIDLDRHHVRGTHRKAPKSDNVY LKLLVKLYRFLARRTDSSFNKVVLRRLFMSRINRPPVSVSRIVGQSKGQEGKTVVVVG TITDDNRLLQVPKLSIAALRFTATARARILAAGGETLTLDQLALRAPTGSNTLLLRGP KNSREAVKHFGMGPHKNKKPYVQSKGRKFERARGRRRSRGFKV PFICI_06783 MFSLQTSEDAIKAAPNADKATPFRDFFSQPVIAAFCGGGVAGAV SRTVVSPLERLKILYQVQSAGHNEYKLPVGKALAKMWREEGWRGFMRGNGTNCIRIVP YSAVQFGSYNLYKKHFFETSPGSSLSPVERLVCGGIAGITSVFFTYPLDIVRTRLSIQ SASFAALGDRPKGELPGMWPTLATMYREEGGINALYRGIIPTVAGVAPYVGLNFMVYE YVRKLLTPEGDRDPSAWRKLLAGGLSGAVAQTCTYPFDVLRRRFQINTMSGMGYQYKS IFDAVRVIVGQEGIRGLYKGLVPNLLKVAPSMAANWLSFEMTRDFLIALNDPEPVRL PFICI_06784 MSSRGSTTLYVTGFSHGTRARDLAYEFERYGRLVRCDIPAPRSA SSRLFAFVEYEDRRDADDAYYEMHNKRLGRDEILKIEWARTPPSASWRFDSGRDRDRD SRRAPRSPRRGRSPSPRRSTRDYSPRKDDRRDRDRDYDRDSRRDTRDRSRSPDPRDRD RDSKDERDDRDRRENGTNGDDRKPVDSPPPREHDDLDAAE PFICI_06785 MAAKTKLNPLNGMHTAGIFSDMSIDGPIIGTLVLIVDRAKNLPN RKTIGKQDPYCAARLGKEAQKTTTDVRGGQTPKWDQELRFPVHDSPDYYQLKLSVFHD DKKTELIGETWIDLRDIILPGGGQSDQWQSLNCKGKYAGEIRIETTFYDSRPKPEKPA AKPKPVASSPELDAGSVSSRTPVKRRPLPSNPGSTEPSPSAKSMPEPAQAPARPQPHG NFIPTQSPLQAVEYNTAPPARFAPAEQYGTLDHGGRFATPPSQRDEAQFRRSVDTNEK FIALEDDRRHSHEHHSRAHDHGPRASHHHIQPVHETSPPAVLGPRSLGGAPPADDGRP PPPPAHRVRNNSATSHEANVPLQHKSTPSMQMRHDVLRNEAHRHTAQVAPSPQSAPSI SSYPGRPVYRPYDSAPEMPKQQLVYEDPHHPSPPRHHSYDAVHEPHPRSLQPTVEDVP ETWTPPAARRPSSIQETHSDMAYGAVPSPAPLNLSGRGSAASANFPPSPYGMNNFTTS PSPIASMNHYDDRAVAPHANSFDGSVDPYTDRSMSEGVLVPRSSNFDLPSVPPALVPG VDPALTQEIATRIREDQSRSHERRYTQPAPIVTPTRGRQHSEPPASHVPQPYNHHPRD SGGYGTSYSHGPSPVANTQTRRHSPSPNPSHTIKRKSVSPAPPPREEGRRLSGVPFGP DSYDELNPSVVSAQEETRNGEYTNAYGKIVTADGREVDPSDHLPMDTWAPEPEPKQPK KPEPAPSSRPALAGAQPMPSSGRRQIRITARPQSMAVVPSSYAPVEAELSPPVAAGRN KLQKKRNHHVSALPAPAPNSSPLGPATSHQRNSTPPTALVRSGTFDYENYGPAYGSPN GTYVSGPPIPAKVPLMSGGLGPAGNASPDDWAIMDEMSRIDIGTGRSRRHGGY PFICI_06786 MGDSRLWQLLLPITVIGLLASLASAADVEDFDWESITPSSTLSY KPCYQDLQCARLIVPLDWQNSSNPHNVVLAIAKLPAKVSDDNHMFGGTIFTNPGGPGG SGIDHLLRRGHDLQVIAGTQYEILSWDPRGVGHTSPGADCYRGDIMARDVADIQAEAI GPLDASHDAFRRRWAGAKAAGQMCQATMEEGSILPYLSTASVVRDMVAMLDQVHAPKF HAGMDVGAGTEKVLEPMDVPRIMYWGFSYGSILGNTFASMYPGRVGRMILDGIADADD YVKGTWLTNLQDADAVLEDFYRGCFSQDRSCSLKKSSDTKWEDIKNRVDGFITQTTQN PITIIDNHDITVITGADIQLAFIPTLYAPLSLSRHLSDLLSDALGGNYTLLRADMAQT SPKLHDYCASEPKPFTGRDAAHAIKCADGEDETNHGMAYFQSYLDELKSQSQALGQHW TKIRLACSGWSTRPKWRFTGPFTTPEPDASLVEGKPAAPILFLSSRLDPVTPVRNAVN MAQGHPSAAVVIREGAGHCAASAPSTCIFRIIREYLDKAVMPENGTSCLPDCDPWTPC PLSIKLLDNSLLSRRHFFL PFICI_06787 MASPLSPLPSTALNIATPATARRVAQEDMDGTPTSPSAPVTEPA DASANQSSPFVSEVQDKTTDSPSKEDPSPSKIRHSRILSGNEIAPLTLLSPRDENLDP EPRSLSRQDSRSKSPRRPRFPIRPTGSSSPTKPLDAERLPEKSPERSPEKSPLKAPEM SRENSLEKHMVKTQDMTLEDALRANEGLKKAIQIFEDESTMMENDDLPDINAMDVDHP IDVDDSIAGPDESMVSTFSTFSAIPNMTMFAKIGHSPTRFANAELTPAAAARARPDPS PARSARTLDGGNTTSLLEFSDQLARNGTFSSSKRARLSPAKTTGHRPSATPQRHQGNL LDFDIPPLPTPRSVPTVTAREVETLKSQFLSEISSLKASLLGKEAEASSLKTAVTDAE KRVGETSEQLRELKAEKETLLEDKQTWEKRCQEMEDVLRRVKAEIHHGQRERQELESK LDESEKRREAAEIMAQEAESKIAGMRAGRVSPDAGADTGKEIKNSSSREVEIAVERVA RELHALYKSKHEKKVTALKKSYANHWEKKVQALEVKIEEADTENEKLKQAQETAVTRV DPNLASENQELRTQSVHQSGQITELKAEVKQLGAVIESVKQDNNELIQLLEKERIEKG ELVSLAEEMMSMQHSFIQQEEKPAPPSPRKVPEPVSARKSLARPMASGLRAPGSLQKS HTESRIGGLGHERTKSGGLQGGLPRPGMIMGGRSGIMSSIEKMGNHRGRVE PFICI_06788 MAPLGFCKTTDNEHWERLEVLQNALSRSIALHGNGQTPVTMTEQ SLYSLLTAGQDDFLEITVCKVTPTFPIAAQIPTSPHVPHMSPSRPNSMFMGSMAPEMS GIRGDKGSMARFSLSDMHENKSQGERPRSRITLDTRIFLPVPDTVCGNCQRPGHTVRD CVGPVDERGEIDGCPKCNTARAHMYDDCPARDTSEDFDLIYRYRQRKPPMKSFLVWQS FLSEQYQPATWPSFIPWSARFALEQQDQAFRAHRKPEWVYYDYDRIGWPDAEAHYREI DPDSEFMVL PFICI_06789 MRSFLLTAAALPVVLACSNPDTDSCAGAFVSSSAAAASFCATWT TAAITETTAVPDAFGSACAYKTKKLSTACSCYVTGGAAVATSTSSAAAVETTSVASVV KTSAASVATTTTQAASTLATSTKAAATTTAAAAATSSAAAAVDNANACTVTAYADISS AVASCTNIILSGISAPASSTIDLQSLQTGATVTFAGTTSFGTTADSDFDPIVVSGHDI TITGADGHVIDGNGQAYWDGEGSNGGSDKPDHFFVVKKVYNGKITNLNIQNWPTHCFY INGVQGLEVTGLTLDNSAGDEPNDASGDDPAAHNSDGFDISSSDTVTLDNIKVYNQDD CVAVTSGSNIIVSNMYCSGGHGLSIGSIGGKSNNTVDGVTFSDSTLVNSSNGCRIKSN SGETGTVANVVYQNITMSGITDYGIDVQQDYLNGGPTGEPTNGVTISGISFIDVTGTT TGDDAYDYYILCGDGSCSDFSFSGVSITGGSESCNYPDSGCP PFICI_06790 MAQYGYGRQQNPFDQRNEGGYGGGNNYGGYNDDSYGSNNVEMAP LAQGGSTFGLSNPNALLNECADIGRGIDTIDQNLNQMRMLQDRSLNEADSSASGTTRQ LDSLSSETMSHYRTLVERVRQLKSNPESKTPKNAPQVQRIDRRLKEAINAYQQVESQF RKKNQDQMARQYRIVRPDADESEVRAAVEDPTGGQVFQQALMQSNRRGQAQSVLSAVQ DRHAQLQKIEQQLIELAQLFQDMDTLVVQQEEYVQQIEQKAEETVEHFDKGNQEIGTA ITTARATRKKKWICLAIVVAIILIIVIVVVAYIFINKKSTSTTTTKRDIKATLHRGSL ANRHNSVPAVLTKKDDGEDLVMRFIEDAVNSPEKRNIKLFRGETARSHARSWQDARMV DTTGKVELGDMIS PFICI_06791 MSSTFLATRQNDGSVTTTLDDDRDDVPFWWTRTGIIVKWSIFLA IVVVFMLWLVLGYMHAKRRMRKGLKPLGYHRCLVSRAELARVDPAYAYPQAVYTNYAQ PPPGAGYYGMQPMPPPVYDPNRPPQYPGGPAPPPPQGGSKIDPEQAGGDFAPPPGPPP PATQQPQRTGGSNNPFADPPRL PFICI_06792 MDVELGPSSQSQPGFYHGRDFDQGPSAYHAGAGAANGAALGSFQ ANSIPTEQAPAANDQPPVHYSWAPAQTAPATSITVDDEHTTSTRKMRKRKAETQDNER LSKRLSLLNLEKDGQKLYVPVESPQLRPTAGGSSSSAALEGDQMQLDESKHKVYIYDL DAELADEGSESSSDEAKLVFLPDIAKHLRQQSRIPPRVLANPDGELAGMQLVLYSEPK SLTVPESQDSVRKAIADARARLRSKHQQPHTGAGTGSNNGVVDNDMVTAAPSMDIEIS SPAQERRIPNGLNNLANDATETTNGMTGPNNYSIYSSSYDPDAMDMDID PFICI_06793 MSSQGEWTGLKVRQTFFDFFAERGHTIVPSGSVVPHNDPTLLFT NAGMNQFKPIFLGTVASTDDLSKLKRAVDTQKCIRAGGKHNDLDDVGKDSYHHTFFEM LGNWSFGDYFKKEAITWSWELLTKVYGLDPSRLYVTYFEGNPAMNLDPDLEAKELWKS VGVPDDHILPGNMKDNFWEMGDQGPCGPCSEIHYDKVGGRNAAHLVNQDDPLVVEVWN NVFMQFDRQKDKSLKPLPAKHIDTGMGFERLVSALQDKKSNYATDIFTPLFAQIQKVT GAREYTDKYEKDDADGVDTAYRVVADHIRLLTFAISDGAVPNNDGRGYVVRRVLRRGV RYARKYFGAEIGSFFSKILPALVEQMGEQFPEIVKKQHDIKEILDEEEEAFARTLDRG EKQFEKYAAVAANSEKKKLSGADVWRLYDTFGFPEDLTKLMAQERGLDIDEEEVAVAK EKAREASKAVKDAVQTFAKLNVHQIAELKDKLHVPTPNDDAKFQKGDIKAKVQMIFTG SEFVKSTKDLAPNTPLGILLDKTNFYAEQGGQVADTGRIVVDGAAEFKVLDVQQMGGY VVHNGYLEYGQLQAGDEVISEYDELRRQPIRNNHTGTHILNHSLREVLGDDVHQKSSM VDQDKLRFDFSHKTQVTVPELKKIEDMSNAYIRQNCKIYSKEVDLDTARQINGVRAVF GEGYPNPVRVVSIGIDVDMLLETPENPEWRKVSVEFCGGTHVDQTGIIKDMVIVEESG IAKGIRRVVAYTGDAAHAVQREAAEFSKRIDEIDALPFGPEKEDKVKSTQFELNGLVI SAVTKDELRTKFAKIIKSVTDEQKKRQKAESKTALDTVAAHFAKDENKDAKYFIGHLP ISANSKAMSDVLKHYQTKDKTKSVYLLGGSPREGAVAHAVYVGTDLASQGVTAEAWST AVAQVVGGQAGGKEPVRQGRGTNADKIDDGVEAARKYLESLKI PFICI_06794 MATDPIAQHKSDVRARIWTDLRQVAVPDSRFHYDFGEYITDFSG SAAATARLEALPCYNTEAGAATSGGSSTIFITPDNCLEDLRRHALLAGRPILVTTYGI RRGFWLLDPAAILAAGWREKLGGGDGWAWYAATLDGMERVGRAVSLADLVAEGIKVPI MVTGTGAINTRGIRFGKGHGFFDLEWGMLFSIGAITTKTVAISVVHDCQLLLEDLMPD VFDTVCDYVITPTRVVEVRGAQKPTCGILYDRLQPGMLEDIPPLAELKEILQKKMQK PFICI_06795 MFLKRKRSESELSFSSCSTGSAFNSPTRTGAALVHSDSELASPR HRSMATPSHLHSRTMKRFRDNRPSQDEVHQRTLSMLFSAAQRSETQPHQTNAASLPHH HQQHAPAAHQASLHNFWKLPPQSLSAAASAAMASPPVDTSMYAPADCEDCGRGLCSED DGDDAMMDVDMEASGGLEAASCAGCGKHVCSHCSITNMGERRRCLGCADTGSSGARKG WAGGLGWNLPGNASSFGIC PFICI_06796 MVADTAYYDALGVQPTATDIEIKKAYRKLAIIHHPDKNPNDPTA HDKFQVIGEAYQVLSDKDLRAAYDKYGKDSAKPSEGFVDPAEFFSSIFGGEAFVDWIG EISLMKDLTATMDITMSAEEEEAAAAAEAAAAGEEEFPGTEAAKQESAKEAAGAGTSA SGATAPSASVEEEKVDHKAETAAPAAAPAAAPAQPAAHPEKSPAASGTSTPSKHAIPI RPALEYRPSDEAAVAGQTEEEAELRRKEKKKGGLSKEQREQLAAYEKERAKIREERIN NLTQKLLDRICVWTETDMGPDVTHSFQEKIRIEVENMKMESFGLDILHAIGQVYASKA TAFLKSQKFLGIGGFFSRMKDKGTLVKDTWNTISSALDAQQTMEEMARLEQAGGEDWT DEKKVEYERRVTGKILTAAWRGSKFEIQSVLRDVCDNVLNDKKVPLKKRVDRANALML IAEIVSKAQRSPEEEGDYMAFEQLVADAAIKKDKESKKKGKDKDHKKHGSHPEAAEAA NLPRETSQS PFICI_06797 MATNGTTNGTSHNTYLIWGGRGWIAQHLETLLKGQGKTVYTTTV RMEDREAVKAELEKVKPTHVLNCAGCTGRPNVDWCEDHKEETMRSNVIGTLNLTDLCS QAGIHVTVFATGCIYQYDDAHPIGGPGYKETDPANFNGSFYSETKAHVEEVMKYYKNC LILRLRMPVSDDLHPRNFVTKISKYERVVDIPNSNTILTDLLPASILMADHKDTGVYN FTNPGAISHNEVLSLFKEIVRPHFAWKNFTLEEQSKVIKAGRSNCMLDTTKLEKKLKE YNYSVPEIHDAYRQCFERMKANGVN PFICI_06798 MPSAKSVLYYMFHPNQLRSMIQWKVWHEPVHRRDPSKECETLSK CFYYLDMTSRSFAAVIQELNPDMLVPITLFYLVLRGLDTIEDDMTIPLEKKEPLLRGF DKLMKIDGWTFNENGPNEKDRELLVHFDVVITELKKLKPNYYEIIEDVTVKMGNGMAD YAIKAENNVGVETVAEYEEYCHYVAGLVGEGLTRLFLEGEWANPALKDRPELTESMGQ FLQKTNIIRDVHEDFEDDRRWWPTEVWSKYVDKWEDIFKPENREKAMQCSSELVLNAL RNVDECLFYMAAIREQSVFNFVAIPQTMAIATLELVFRNPAIFDSHLKITKGDACQLM MESTQNLRIVCDVFRRYVRRIAKKNDPRDPNFLEISSACGKIEQFIETLFPSQDPKKV ALVQKAGEDQDVADPWEGFVLVISVLAILMVISGIMIGIAWFMGARFDLMFNDVTSRL SPNSATEAAKTVLGSHDEL PFICI_06799 MAPKLSTLLTSLLAVAAPVTNALAINPRSTIEDAVDSITDTVSR FGGVRMMNADATNAIDGSYIVVYKKNCTEDEVNAHALEIKNFVKKRNVGKRALDGRQL STTVRTWTMTSLKAVHFETADFAAMNLGSNSMIDYVEPDMKVTTLALQSQTNAPTGLV RLSHATASGNATSQGYVFDDTAGSGITAYIVDTGILTTHDEYQGRATLEFNAVNNVDT DENGHGSHVAGTIGGATFGVAKNVSLIGVKVLDADGSGTNSGVIDGLNFVASDAQAKG LGGKAVMNMSLGGSKSNAVNSAVEAIASAGVVPVVAAGNEDQDAGNTSPASAPDAITV GAIDQTTDRKASFSNFGTVVDIFAPGVDVESVGITSDSATETLSGTSMASPHIAGLAA YLMSLEGLTDVTAVSDRIKELANLTGASVKRNEDDTTNLIANNGNL PFICI_06800 MGKRSSDIFEADDDHEGIFSGAAEAHSHSLHMPRTNAYLQRDLS VDGDDEDEDHEGSATTELAAIMTTTSTPVTPGPTSPPLEQAKPQDFVPPTRPSSTPFP NAQQGQTDIRCLHCQPDCQDCHDDSMVMSPFPNSAADDQQPLQTLNALPAEIHECILD HLFGYRVSTTSPSSLSIPSVNARSWSTALRHSRRKELSNLALVTPLWRDLVQARLFRH IKVKATINGFNEVAEFFTLNTHLRELVKHIEIWFPVFQPKFNTSFPTNSSLALPTVSP EGISSAQYGLPTDNASLEEVFFTVGNTFPAVQVMTLEGGERKKAPKVKFTLPCPQWKP RELPKISTIRTLIIKSQWNIIRQPKDWEQISKALPNLEEWHGTYAKPKSKSYLSMAGT LDMLESKITKLNLSLETDYRREMTCPAYYLKVCEQLHWCEKLAKAASSLEHLSYTGRV CHTFFDMLAKYSNPRTTRLKTIDITVKNCCRQNAQWNESGSGITDMHFINAFEQLVLG GIRCLQRLKQVNLLRIRYVDLDSPVPPLNPYFVIKDGWCSGVWSDQIIAELNRVRPDA RFEAMAESFGEVGYNKENRLVISPDFPKSRVLSLKLANYALLTGGITIV PFICI_06801 MTNLISAFFSSPVLVFTAAFLLRAVLLVYGLWQDANSPLKYTDI DYLVFTDAARLTFAPPSLSSSASSPYARETYRYTPVLAWLLYPTTLPGALFFSSGKIL FAAADLAAGWLLVRVLRRHAGLATGAALRYASIWLLNPMVATISTRGSSEGLLGVLVA ALLCAVLERRVTLAALLLGLGVHFKIYPFIYAPAIVWWMDAERVPSSSAPSSAASFIS RFITPARLRLALISLATFAALNLWMYALYGQDFLQHTFLHHVTRIDHRHNFSPYNILL YLASAQPHLVGGPSAATTTSVDFLGLKIESVAFLPQLLLSCVLIPLVGAKKDLPTTML AQTFAFVTFNKVCTSQYFLWYMIFLPLYLPNSSLIQRPAFGITALALWIVTQGLWLQQ GYNLEFLGQSTFVPGLFNASLGFFLVNCWILGIIIGDLDRPRPVAESSSTDGSK PFICI_06802 MSESSDKKSSAAGQQGNRMPGVKRDYKGNKKDLPVRDAPRNEYT SMFEGFRNELDKHHDRREKIVKVSRDVTALSKKIIFSLQRVRKLGQPIPPNITKENEE RLAEIKSMLSTIVDDVSGIRRYRYNLICLEEFVEAISFAYYLEHQSLISPKTTQEALG DVNIPFTAADYIYGIFDLTGEMMRFATTVTALTGAIPTGPVAEKSSTDEDSAMTDGDE ASAPRNILGDLQDVSSMLQLVQLNDRNYRKKKDVMIEQVRKVERVGYGVTVRGNERPK GWMPDMNEDYGGGNDDDGQD PFICI_06803 MASYEEMITSSPIDEAPYVEEDDMVEDDEVRRDGMQEYEHDPER EVWGTHEDVNEYNPGGFHPVHIGDVIHGRFEVLHKLGQGGFGMVWLCHDRDTETWRAL KILSASQTEKSKEPAVIAHLTKHHTPEKLQESHILMPLEVFQIEGPNGRHICQVLRVM GYSVREWRQQSDEEEDKSGVKMRNMCHQIAQGTALLHSVGVVHGDLRPSNILMELDQK TLNNLSKDELLTLVDEEPTTIPVFTKNGEDCGAHAPRYTVAPIYKPWFETLLLEKVAI GDFGESFRITDPEKTTGIPLEYAAPEILLPGKAGLGSDIWSLACTLYETRTGKPLLGS EEWNGARFGTLVHDIEALLGPLPEPFRTRWEESDYIQPDVLEVPKSEKDLFALDNQPG IRPVTCTPSQLGLAKRIRLSGTPYTDVFCGLLGKQRGDYERHYLDEEELEQMEADGVD MEEFYEKCRREAAEQGYKYTEQEILALGGLLGQMVKYESDARLAASLVLQHQWFKDVA TPPPKPLEDTAPQPDTGAEEPVIGQNPRPLQYQQVSVLLGVFGVLLSLSCCVAYGLIR SDTGIFNVVWKGLKSGQNVECTCWTSD PFICI_06804 MKRCKDEDFGPALGPGPDCHNFDFTLLFEDCIFSLIPSVIAIIV CAYRASTIFKRSKVISWSLARALKLTSFLLLVIFQLALAAIWSHGSHTRLTLPERVCA LVATLSLAVLSDLEHNLIIRPSMINQFYFFSTALLDLARVRTQWLIDDNTITASLMTV VLLLKLVILALESIPKHRHASEKSTATPLERSGMFGRALLSWLNPLLLTGYRKNLALD DLFPLDQDLSGADLTQAFQNTWNGAKKSRKHCLSLAVISNFGMEMLISWIPRSFHIAF TMAKPFLVQTTLNYIMNHQNLPPSYGYGLIGAWGIVYTGIAISDQIFNFLVYRLMVKV RGALVGIIYRDMLNVRAQSNNSSMALTLMSTDVDRICQTGRWLVDLVPNVVQVGIGLY ILGIQLGAVCVAPLVVALLSALGAGAIAKSVPVRQKAWIAAIQKRVGITSDILGTMKG VKMLGLSRALAKQIQSLREFELAESKKFRQLQIFLIAMNMLPIFSISAVTFTVYAIVA KISGSTLGITQAFTSLSLLSILMMPVALLVTSLGQIAQSLACLDRIQAFLLLEKRSEY RTGKRTVTRDGSAPPDSQKPDAAIISLKNATFGWAEDKDNATIHNINTEILSSSLTLV VGPVASGKSTLLKSILGETYLLGGTIDVAGQDNIAYCDQDAWILNLPIRQNIVGFSDY REEFYKKVLTACQLDEDLSHLPEGDLSLVGTQGISLSGGQKQRIALARAVYSGKKLVI LDDTMKGLDADTSSKCFSALLGRNGLLRTRGTTVIMATHNAQWFPHADRLIVLSEGGT LENYGTFETLRESDDYIRSLQFRNSSDEESEDENDIPTKAESLEETKEVPDLETHEKL QLMPSNKEASATAEEKQRGKVNSSLPYYLRSLMSTTFIVFCSLIVFQTACRIIQPLWL NFWTAANARNPREDPAKWIGIYVLLCVLNIAGMMTQFALFLLRIIPRSAKQLHWAILE VTMHAPMSYFVSTDVGQLVNRFSQDMTLVDFPLPIAMMQTSEMFVAAVGEIILTCVSS GYLAVVVPFLGVILFFIQKFYLRTLRQLRLLDLETKSPVYSFFISSFAGLTTIRAFSW SEKSYNEHIQHLDTSQRPFYLLYCVQRWLTMVLELTVAGLGVLLVGLSVGLRDRVEPG LLGVALTNLSSFGMTMSQVIIFWTELETSLGAITRIREYTEETAREKEGTDEPPPQWP SQGAISISQLSAKFGEHTVLDGIDLDINPGEKVAICGRTGSGKSTLLALLLRLYEPMG GTMTIDGIETSTLEIDTLRERLVTLPQDPLLLSGTVRYNLDPASGVEDDELLAALEKT GLLSVIQDKGGLDADFNADWLSAGQKQLFCLARSMLRQSRVLLLDEATSSLDHQTDEV IQGLLRKEFANWTTIVVAHRLRTVADFDKIVVLKDGKVAEVGSPTALLENGGLFKTLW DLQES PFICI_06805 MNQAGLAEQGAIPLPSIPAQETSQRELLSSSPTVPSVSEEHTST TIPAPRTENQGNTISQTSQSGAPSTQQGAKPPIGWPTIAAKQSRQYNTGNLRKFDVLN RRVLVDDQTKIWALEKILHEKDNEDAQHNLDGLTKLPFNPADLVHTGCVAAHQHLHLR STQSSSRASSEQSEQSDEEFSRQPSEQASSQTSGSSSPYSCPQYTKDQVMEALSYRLT KYCMNCHIPFQTLRTRLTIANYKDLLMILSRHVESLPRVSSYSYKNFESFVGKDSLEG DAWKALMDEEEDFITTRKDMVHEKFEWLMHGQPRFGLDKIFMAIFRQKGNSTDSDDKN KYVSPKKLEIFTKIIIGLFCMGMLVGPVGILLLVPLTDAQSFGLVVGFSSVVVFGLSG LDSFYATLVAFSTYTAVLVTSLSNLYQARIH PFICI_06806 MGPRPSNSQTPVAVVGTGLAGLVTAYLLHNDPLQRFHVTLFEKQ DQISLSAASVTLEDSHGRRDIVDVPVRAFAPGYYRNLKAMFDFFRVPYEAQKFLYAFS STSPRKGDTPPYYIHSSNLHRWPSRPEGTSFFSMLGQIVYLGLCLLWLTLCCALVTPQ RDESLEAYLQRTRIPEGFTKHYLLPLISSVATCSHQELLRFPAQDFVTYRNQILGSQH FCLANGIQDVQQKLIAGIDVRLATEVGKVTPTDGQVRLHWRTRGKDGTEKSGSRDFAN VVIATSPDVVGWLVPHLGDIMAKMPTTQVKTCVLQPTGARAKLSVVKNVSNVPEIRTE RRANRAVTGTHLIALKTHTESPAWTEAHQLSPSGACVAVNAPDEEDRSTAVLARSQFV RTLRTVESRGLTNRLFGEMVQKMGEPVEPGWTNGTDGIWLAGSWCWDGMVLLEGCVVS AARIATQLGVEIPW PFICI_06807 MSSSALAAPGSTFRDLTCFAIGFGTALLVIASVALSFRRSDIYN VDHWKLNIRLPPTSMWMNMGYWKTTDNALIHQFDEACQRLLEEVLSTAGLLPPTAGTR RAKTDVAVLDLGIGCGDQTKSLVQLLGSAGRNLHYVGLTNVQAQVNIATRLKDNELES KAGVASIEISLADAAQPSSWSSAIHSTLLAQKAGPSRIPLFRYAAAELDASIMAFDLL LSDKATPAQRLIAQAIGVASQCPWGTFLPLAEYRAQLVGAGFDPELIETRDVTPHVFR GLVDFIDRQAESLSTYGIALTRYRVFQKVLKFFVASGVVRAVIIVAKRR PFICI_06808 MTDERRGPSENERLANEIQREWSFKIARFPENAMERLGIVNLLL IALEVLLIVSNNVLPLGLWKSFIHDSSHYRDRFNKAVFDQTDGSIDFTKIQSYHLWKG LVVPSLIGVSAYVITAILYLRPELYQWKPDLLQHYGHLVAESRLGRWIPTKWLNYIFN LYERRHVEYMSNTIQPADRRHVALQDRVEFTRVVRQVAINLLISIFAISLMWIVLLQA GVDTQHILVLPKSYVPPVQGLVWYLINDMFYFYPHWIAHTNPHEQKRLQIPQSLYNIL HKKFKESHRLHHRCKANIGIAAWYCSVAEQMIFNLFPALLGPVLTQVLARAAGVEKIW GTHLVTLYVWIAAAASSSVMAHSGYRSIWNDPGSHDLHHERAFNPKTACNFGTLGVFD WLHGTADKLPAEETRKWQGQRDRQAALNKAAKRSGIELTKEQKSIITQPVHDQDWVRK DV PFICI_06809 MWTRRNISAVIQVSLLSWLAVAQASNSSTPLPPSEDPFYVPPSG FETSAPGTVLRIRTDPSNITAVVNCSAAYNILYRSTDAQYQPSWAVTTLLIPDSALIP ANSTSRLLSYQIPYNSPDVDASPSHLLSTLYATAADPSPADYVAEALSRGWYVSVPDF EGPNAAFFTGPREGHAILDATRAVLSQNQLAHWDDARYAMWGYSGGALASYFAAELQA SYAPELNFAGAAIGGLPSNFTSVVYNFNNCGGAGIIVSLFLGLTADFPAARDILVSNL KTEGPFNATSFLACLHYNAPEFTAAYSGQDIFEYFVNGSDILTVPEIAWVIGNNALPT YHGLPQMPLFAYHGINDENCNIEYADSQIQRYCEAYADVLYQRNTVGGHEAESVAVAP VALEWLSRWLDGDAEQTIVGCTVETVTIDSSSA PFICI_06810 MKPFTVLLSAASIWSLARATEDPGIPEDFDGSGESGGGPPEPPV GLPTPVKEEDYVWEVTNWQAGLSHGNPNDPTTGWYSFNVSAPAIMFDERYVPGFDEHC AGSAMGSPLESDFVMCGYTGGGLIAARVFPSTDSTEAHVAIEYTCDSMNITGFAVQEW ARERPPYNFTIDHFAMHGG PFICI_06811 MATTTESHQQADLEKSITTGTNGGDIGDTTRRYDYGGNPMTHIH SGADARLAAFGGEFQPGLYKPTTHRKFANPAPLGLSAFALTTFVLSLINVNARGVAEP NIVLSLAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWIAYAILLTPGFSALSPY TEAADEASALGFFLTGWFIFTFILFILTLKSTVMFCMLFFTLDLAFLMLACGEFAAAN GNAASSLTLKHAGGGFGLLAAFLAWYNAFAGMADKSNSFFLIPVIHFPWSDKGREQRG KTPREQV PFICI_06812 MAHRPHPLRQSYTVDYAPNSIARQPSTTSSSASSGYSYTSDSYD FGRTSANTSVTSSAFGPTVLGHRRGKSEAAIRQTTRRSSTFDESAPNAKDVYSSIRQS LRPLPQAPGPSPSPPAHQSPVKTPPSYSRHERGQSIDAGSHGRHERGQSIDIGSHGRH ERGQSTDIGTPTRHERGQSIDIGRLSLMDEKTSPSPRPRTTASRPTSMLLTRSDSVRP TPPGGNAMALSPIGRPDLQQLGRSSTSQLRTLSKLGTAEDFAITSPTQEVVGLRGRRR LQRTGDQNGQRSADKYGFAGRNWMDKQRQFLQAYEYLCHIGEAKEWIEDIIHRQIPPI VELEEALRDGVTIAEVVEALNPDRRFRIFRHARLQARHWDNVATFFRYLDEVEMPELF RFELTDLYEKKNIPKVIYCIHALSWLLFRKGIVDFRIGNLVGQLEFEHHELEAMQKGL DKLGVNMPSFGNMGADFGVEPTPEPEETEEERIDRELGENEAMILDLQAQIRGASTRM RLANIMNSLWDAEDWIVDLQSRIRGDFTRQIMDYRLQMRRFAIQLQSAARGFLVRSRM ANREDFWKSKEKSIIKLQSMMRAIQVRNEVRETRSQLVQADGPVRSIQALCKGFLARE KIAAQQQQTHSMQGPVMHLQSAIRGMLVRDRLEKDLYSLDQESSAITSLQASIRAMLT RNQTNQQREALLSFSQQWEALQAVCRGNTVRSEVNATKAELAQYVPDITYLQSHTRAG AVRSATADLLDALSTHEASVIDLQSMARGVLERQRIAADLDELDGLTTDIEDLQARIR GLQSRKRHFDLLDELQSHEEQVISLQALSRAMLCRARVGDLLAELDEHEDAITELQSL AKGFIVRGHFEEKKRHFNENMQKVIKIQSFVRAKIQGEAYKSLTTGKNPPVNAVKNFV HLLNDSDFDFNEEIEFERLRKTVVQQVRQNEMLEQYIDQLDIKIALLVKNKITLDEVV RHQSNFGGHASSLLANTSIASANQFDLKALNKSSRKKLESYQQLFFNLQTQPQYLARL FKRVREQGTAEKECKRIEHLIMGLFGYAQKRREEYYLLKLITRSIREDVDSCSTIQDY LRGNFFSARLLGAYTRSARDRKYLRDLLGPLIRDNIIEDPALDLESDPVQIYKSAINN EELRTGYPSRRPLDVPRDLAIKDPETRELFIDHLRDLREICDQFFVALEDFLPKMPYG LRFVCLQTFEALRQRFKREPQQQVLQLITNWLWKFYLQPALINPEQVGVMEKTLGPLQ KRNLGEVAKVLSQIASGRPFGGENVYLQPLNAFVAESSERLAQITNDLISVADAESTF DIDEYNDLYAKNRPTLYIKMGDVFAIHTIIANELPSICPGRDDILREIMQDLGSAKSN EHEMTAAGSSEIQLFLTPKLHDVEDPEAEVKALFMETKRCILYIIRVQSGANLLEILV RPPTQEDEHKWAAVLREDFAAGNNTKGAYSDANMVDVTRMSYYDMKRNALENIMRLEH MGRISKQNYYQDVLNAIALDIRTKSRRRVQRQRELDGVRMTLGNLHQKAKYLEQQRKS YDDYIEQAMATLQNKKGKKRFLLPFTKQFNHQRELERSGRVPKFGSYKYSVRSLADRG VVVSWQGVAERDWGVINLTISCDEVGVFNLEGSRGHIQIPGASALIPIESILQSQFES HQFMNVFEGNLKLNVNLLLHTLYKKFYRTQ PFICI_06813 MPSTNGVNGSAKVLDHTTALDLLKEYESRDGLSIADLMDTKLRG GLTYNDFLLLPGYIGFPASDVALDSPVTKRITLKTPFVSSPMDTVTEHEMAIHMALQG GLGVIHHNCSPDEQAEMVAKVKRYENGFIADPVVISRQTTVGEARALKETWGFGGFPV TESGKLGSKLLGIVTNRDIQFEDDESKSVEAVMVTDLVTAPHGVDLLEANSILAKSKK GKLPIVDKDFNLVSMISRSDLRKNHNYPLASKLPDSKQLIVAAAIGTRPEDKIRLQKL VDAGLDIVILDSSQGNSMYQIEMIKWVKKEFPDLDVIGGNVVTREQAASLIAAGVDGL RIGMGSGSACITQEVMAVGRPQAAAVYSVSSFAARFGVPCIADGGIQNVGHIVKGLSL GATTIMMGGLLAGTTESPGSLTSFVTRDGKLVQTKAYRGMGSIDAMQDKKAGAGSKNS QASNAGTARYFSEGDSVLVAQGVSGTVAHRGSVGKFVPYLAAGVKHSMQDAGIKSLQE LHEKVADGSMRFEIRTASAQLEGNVNMESYEKKLYA PFICI_06814 MGKMPSERTPLITTVKVGEVPRRYPHQTVRRFCSVALGSSLVAL LLVFLWTFSFDPQQHHPHHEHGRHVSFDELKTILLETPSGEKAGEWSKYYTSGPHLAG KNLSQAEWTRDRWNEWGVQSDIVAYDTYINYPVDHRLALLEKPESEGSAAWKVAFEAT LEEAILEEDETSGLENSVPTFHGYSASGNVTGQFVYVNYGTYQDFDDLVKANITLEGK IALVRYGGIFRGLKVKRAEELGMIGTVIFTDPGDDGEITEENGYKAYPDGPARHPSSV QRGSVQFLSVAPGDPTTPGYPSKPGVPREPVEGKIPSIPSLPVSYAEAIPILQALNGH GPKSSDFNKYWTANQGLEYKGVDYNIGPSPEGVVLNLYNEQEYTITPLWDVIGIINGT IPDEVVVVGNHRDAWIAGGAGDPNSGSAVINEAIRSFGKALEVGWKPLRTIVFASWDG EEYGLVGSTEWVEEYLPWLSGANVAYINVDVGVRSPVFSASASPVLNKLIYEVTDMVP SPNQTVFGQTVRDTWDGHISTMGSGSDFTAFQDFAGIPSLDMGFGGQSADSPVYQYHS NYDSYHWMSKFGDPGFVYHKTMAQVLALAVAKVSETPLVPFNATDYADALKGYVEKVE QKLSPAAGSTEPSTEAEIAAFRSRTTNTDLTAQSDVEAFKLFTLKKLQDSIGELRQAA VALDAHAAELAAKVDDDIPWWKWITKLKLLHQVRATNTKYKKIERAFLYEGGLDGRPW FKHVVFAPGIWTGYAGAVFPGLVESIDNKDIANAMKWVDIINACIKKATKTIK PFICI_06815 MDPDSQRPKLPTKQLAILAVARFAEPLALTSVFPYLPEMIASFG VEKKEIAKWAGATSAVFSLAQSLTAVPWGRASDKVGRKPVIMIGLLCTMTCFLIWGVS TSLAMAITVRAIQGASNGNVGIIRTMVAEMVPEKELQPRAFSIMPLVWSIGSIFGPAF GGFFAKPAERFPSIFGNIEFFKAYPFALPNILGSIIFLVSVTTGTLFLKETLASKRDT KDWGLVLGERIKAALPGRQPKRTRRYSFQDDEASAPLLRSDMSRQANSKSAAKQAPPA PPSYRSVFSRQSVINLISYTFLALHSVAYDQILPVFLNYPRDEHDPADFRLPFVFTGG FGMSSGSIGTIFTVYGLVCGLIQFLIFPPLCSYFGVLNCFKACAVTFPLVYIVTPFTT LIEDETTRVCMLLVVMSVKACCVIIGFPCTTILLTNSATSLNILGTLNGFATTFSALG RASGPALAGGAFTWGVRNGIIAIPWWLLAVIAAIGAIPAWWIEEGDAPSTSAAASTPA SDSDADSQETVIEDNRTTSPEASRSRAIVFADGADQLNAREDDSVIHDPTGHDDLPLV GSMRSNSGMDYAGMTRQGSISKNSGPSYGSIKN PFICI_06816 MAQLPTTMRSLIAPTPCKPKDYDVVNLPVPVIQKPDEILIKVHA AGFMTGDSLVAAGSLNFLLKNKYPIKLGVGGAGVVVAVGSAVTKFQPGDAVYGGAMSQ PMTLSPPPGFCSEYCIGRERYLLPKPPGVSFEEAAGLLGNTLTAVESLELGAALLSER SGRSLEGATVLVPGALSATGHVALQLLRNVYGAGRIVTTASTAKVPLVEERLGSGIVD QVVDYTATPRLTDVVPAGSVDFAYNTQFTALTTLVPLLRPDTGVIVSVASMFPSRILK LNFPSLPFWLGWAADLVNLWYHYWMLRGTNVVLDQVSGSLEKPEIVKKTADVIAQKKV RCVMRVVPLSDLDALRKECEQVYTGKGGIGSLVIKMV PFICI_06817 MVTTTTDQRLADSKISELVRQGEFSFNLAIQAAPSQDIEHIATA FFLKSYVPISSFSAILLSPSNELSELRSDALKAASLAYLSIFVAPSSYSPVLKWATAS KYGIALSHTNHSLMYSGSAIQDDTLLAVLLLALSEAFSVQGSAQAVASQYNIVAGIYA CSQVTIRNTYDATFPQPKPVFPDNTSTIRKLILGGPNPRSDDYGAPFVQKNNTRDCLR DLGRYASSVGMLKGRGGLGFNGTSLAMANGLSRKLGILLVLTAFISSHAYYGTDTKME CASGNRGDRNAREAFRPSRLAPFMSRILKTMRIEQSFDKLQGFIT PFICI_06818 MPLDGRAYVSIAELVIYLPLTLAAVSVCKRHGFERSSGWIYIIM LGIIRVIGAVCNLVTYSSPSVGLYTAVFTLDSIGISPMLFATLGLISRLFRWTQALSN TRARSKQFRLAQLVFTAGFILSIVGGVTTQNTTDPSTISRVAILLYLLGFIVCAGFEA TAWTNLSHHQSIPAAERNIVFIVAAALPLILIRIIFSLLVVFVHDSTFSIVRGPTGVY VGMAVVEEVLVMVMYTIAGWRVGPLDEAMSTTALLVQH PFICI_06819 MAATRRTPAQVQAQLPVAVFRLRQENDYNAATWPTAVAGYEDLL ADADFYRKEEDPIFDTALTDIFNEAKMLLEVHERYCARVKGPYRFTTMRTPRLTFPTR MAPYTRDLPDPCCDEKPRPPPTYTSLVPRPDQVMDPPFQPQLMSFKRGLDWKYFFKRK EAQEMYGDYRNFSVHADLWAKEPNTKEKRWRETHPWLQRNGNSKGINLAQFENKIYER LMQQSIPANLKFGHDIQALPLQDDKRFAVERGLRRVLIQKVLAIMDNSENREIGSAWR RVVLPKKPEKFKDIHFSAMALPRREKWNDDTHTFDVDRHKEYEPSPWVYWYNQWREQA DYLSAWSRKQYQKRYWKDFKRIHLPVNYRGPYTFDDKNVWDKHWLAVGKKLVALKAVL EKLEQTNSRQMLTWCLADMRAGEAGEPLSDEVIPRPNIDLVTGRDDKFQLVDDTDISW LKIFCLPPTSKALCGLSVTQPEDPLIIILDNRLQALMTDVHNNPFWVADHRKGDERPT YRPRQIALEHLLPMINLGGKEVASRQWEKDLVTVFHTPPKFELNGAPLPNALYQFSLK ELKVYCSQLAEMGRISYKRPENPVGFKDGQWWSGKGMLYGYPDPNFASAGGFIVSVPP GVDAHDNFNVESSSDSEGNPLRYAEERIRWRHRDQAAVDAANAKNSEEYANVMMARNR AAIHTDQLPYQTWRNRYIVERFWKQGPEFPATSVQARRDCPSWEDLCDYKTVVNRDTG YSTKTTQFLRNLAYRMGRTLRSYRDLVKRTSRQSALKRTHCNTALREWKKHVEKLWLD PAAANGAFIPIKLASANTVIAKADEQHPAAGSKNATEIFEVIRGGIIEDMVQDRVMLY PSRRTVFTDKHSQMFEFFERANIWSFGKEEVRERHAPYRRKRYFDMQRWPVSKQTKET TRTTIIERRDEDPSIQPVASFFKYGIKVGPTEMVPANSDVVSRLVGLISDPDKSNVAG QLVRYLSKEIVKIVETTDNFGPGWQIYVAPPGTSVQPSSKTISGGAVMPGAAFKPIAR IKQQFIPGPAVFPMGDTLLQQVKISQELEKILDPTYQRSNRLGDIIGHMADWITPPPK RVSLLPDMDLTKTPSSVGLDLKRKVPTAFMASDGRVPKRQIIHGGTIARGAITAGDSG DSESDADDVEWEDADNILPSHLRSDGNEIVRTSRKKNGTWRIRLVPDLEDVMRLDVWG RMARGAKMTTGNFYITGKTSALGGGASRPVTKPLSAAAQARLDAARADFNAIFPRGYA MLPTSGNRLLCALYAIIGSIQAQYPAETAPTIAELYDHFQHPRDSMIQTQRTITGLTN MNELGSDQAASTLLDWALARGRVYQLGVYAPATSMSHVLLGIPASHGTPTGTVWIQNN AQQAGIDALIAAENAVIAKKKGASLTIAEIQAVWGSAGSSNHYSALRPN PFICI_06820 MSSPLEDQPMGPRRSARIQRRQGSTSNGQSDTREQMRRQTRVEY LERLYDSESGSRDKERPILTPIVGEPVFRDPRKTQNETQTTEPTQPEESKAVEFEEIQ TTAESDEKPWETLVIGAPLGDNLSKIEYKDREILQQKFIVEWTTRCEPDSTDAQQQLL EEMIEEKDSMEADPNSHLPFDELQAATEAPKRLNTLRYALQEPEYQNQRENIEAAIEG YESGRIQCSENYTLLYAGKIVDTCTSYQAFVVDRMERLDRYYEELGAGCLWWEPPLTG SERRAIAKKGFCLQQEKNPMTGLPKSNFNVGAWAINMRFIVDEKKVLRGPVKWGKSST YKKSRPYADELRSATFRMMLDTGATYPMLTDHDFKHLGIPDFTKNYAPQTVLDLETAN GEVALPHYELEVGIGASLSRKTWHEAARPTGWPHEAGILGSLYPVGITKHKKSSDRWT QRLSSLLPFVACYVSSAPNTGEIWMGEDRRDVVGARRLPPFQRLTTKGAWGHERPPGF AKYEDIVHRLEEPDEVIFVHNFWDPSKAGLQRHFFEHEDKATNKSTYGLSDMIGSVKA YPEAVTFGPLPSKP PFICI_06821 MAYANPDFFVESDEPQYSRVPSKEHLKMLARNRQAMIGEELSRL ASEEYLEDIMQHLSYMEDETLPDVNLIDMQREIQWFMRPFLIDFLIEAHAAFSLQPET LFLSINLLDRYCSKRVVYKQHYQLVGCAALLIAAKYGDKKDRVPQINELNNMCCGLYD SGMFTQMEMHVLNTLEWIIGHPTVDFFSQLIVAEEGDDREVEHMAAYICEIALYHRDF VSTKPSIMARASLTLARAILSRPEVNDGEWDPTSSSTLRTLLQHLHQPSATLTRKYSA LNMSRVAVTLTEFLAHQAAISRRAEPPTPPSEQVLAAKPGNIYSTPQKGHSAAVGVAE GYMTPPITPDGINFGVTDPMNKYVPPRCPVTPTPPASQASVYAPQHVQQYSAYSQDEY SGHMMTH PFICI_06822 MADPQQVEQPIGADEVEATAAIDNSGTQQTASTPFQPIYTLVNN TSTRTTHHPRVKYIFSDDDPDELMQALAQQDHANLGESASGPAPDHRAILLDLTSASD GTLNVSWASSLSPSWAVLDAQVTKISPPSSDGGGNSDPTASPTQKKPDRLMLRIEGID GGSLASDSELRLSDEKSRLGSSGSASGSGQRVTETEDYNALVDEFDKRMSLLRKVVDA GEERRRKVAEGTGLGPNVQHDIPPEAVPTSTENLRKSVDSG PFICI_06823 MKVKIKKWNAVATWRWDLPEDDVCGICQVHFDGTCPTCKYPGDD CSLLSGKCGHNFHMHCIMEWIKQDSSKGQCPMCRQKFEWGEGGLQQQEEEQRVVEE PFICI_06824 MATATLFRSSRAAFPRQSFRVRSCQFQINAMESRRNLSSYLVTP KELAEALKKSPPSPINSEPRVIPLCASWFLPNDERKGIDTFRQQRIPKARFFDVDKVK DKHSPYPHMLPSAKEFAAAMSELGIRKEDTVVVYDSKEAGIFSAPRVGWTLKVFGHPK VHILNNFRLWVEEGYPTESGELYSVECSMYPIPKLNPDDQVASFEEVKEVAKDYNKEG SEGVQILDARGAARFTGAAPEPREGLSSGHMPGAINIPFDAVLDPKTKAFLPKEELKK LFQEKGVDPEKPIITSCGTGVTAVVIETALEEAGYGSTDQRRVYDGSWTEWAQRVKPS DNLIIKDVE PFICI_06825 MADRGGARGGGFGSRGDRGGDRRGRGRGRGRRGGKDSGEKEWQP VTKLGRLVKAGKIKSMEEIYLHSLPIKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQR TRFKAIVIIGDSDGHVGLGIKTSKEVATAIRAAIIIAKLSVIPVRRGYWGTNLGLPHS LPVKESGKCGSVTVRLIPAPRGTQIVASPAVKRLLQLAGIEDAYTSSSGSTKTLENTL KATFVAISNTYGFLTPELWKETKLIRSPLEEFADTLREGKKY PFICI_06826 MPTFAALSTGWKRLLAIVAFCVFCVMLPIAFTRPYLVTEAMTPE LQQHSITPDHLGTEGHHNNSVGILELRGEMATNSPTLTLKHRDLLNDYLPDELQKRGL LGPGGIMPGKVLPSILSALNPNVVGALTSLAAAPLSTLIPDVPDIPNILPTVAVDGGA GLPLVPDLGGIALNPSELATVADDASDWMAAGISGLLSGATKLLPGNLPLATVVSEVV AHATAAADQVLNQVQNAAAQVADLAAKVETDVLSPDGALVGAGSLLDNLESTIDNIVN GVVADVADVVPNDLLDEVKSLVTGGLNSILDATNGPVAAVASIIDMNLCEAVKLVEGL LVTVTGVCGDMASVTSFSPTYTETPAAAVNGASPLNPTVTGGGGGGGGIVSASAAQSA TMPLSTHAGTLTIWPSATGAQGSVPGGVNPTQPGPPGGATQPNPTSKPNGAGSNPSAP AGGNGISQSAPGSGSGFGGTGQPGPNGASSNGGNQPSAGSGSGSGSSNPGNGQPGSNG GNGISQTTLAGSNMGTPKVTPTATGQPIPLSPEGTGPNGSWTGGGNMPSPTGQPIGSP GTVLSIAPGSGGGLSGVTVSVTITSTLTIANGAGQTQTVYVYVLETLGESLADESWAA ANIDSSSGYTTTLTATTSVYIPCPAQPPCPTTPPTGSSPSGQAGTGPCPGRGYTCDDC IDGWFCPPAQTPAQSGPNGCFGWPCAHCSSGWFCIAQQDVGTCTKPTASGPLPNPGGP ISPAPLGPASFTVIPPLPVGQPPFNTVPPQPAGALPTIKDPANGWSYSGCWADQPLFA VLDFTPETSFGAVENEKCVRHCISNGYTIAATSFGNKCFCGQYLNGTRKLDDSACMSP CIGDSSQACGGDWSLLTYTPNGIPRGWAPVGEQPDPSPRPVPTIVELVFGGVEQSVTT ADFVVGPTSGMDMASIIDSYGERYAQSQASLPDGVGPATQCSSTPGGPTGASLTAPGS GNGPTSQSVDTSPTQPGDGSNTSSSPRGGGGVAPGQQTPTSPGSISAPNVPGQQTPTS PGGGVTTPTITGGQNPSIPISGSPSPTVPGGGNPNTTPGGIGPSSSGYSGSSTNTNPG GGTNPSTPASGNPSPSASSPNGAGGVAPGQQSPTFPGGMGPSSIPTASSTPGMTPGGG NPPNTSPISPNNGGGIAPGQQTGQQTPTSPGGIGPSPNSPGGSIPNTPPTNSPGGNGP GGQGLSTPPGNNGGTPTTPGGGGGNLPTCTPGSSGINCIPPLPPGVGLPPTPAAGSSF PPTGGPLGPTPTQPGARSQGTISLPGVATPTNPNTSSTQSNPRGASMTAPGRQSPSTS STIPASSGTDNGSGSVTPGGGNLTTGPGGSVPGGPSSPMPTGNPTGPGGNVPGITPTP TTGLNGGITPGQSQTPTNPINGGGPSSYSWPPGMVPYGDHPPFTTLAHMLSWKAPDGS AGAATLKAREVSGEEEANGVKIDMTSEQSATLGKGIHKKRIRWRDSL PFICI_06827 MRFAGSFAAAAALLSSTVSAVSVSGAAEGFAKGVTGGGSATAVY PSTTAELVSYLGDDTARVIVLTKTFDFTGTEGTTTSTGCAPWGTASTCQLAINQDDWC DNYQSSAPKATVSYDNAGLLGITVKSNKSLIGSGSTGIIKGKGLRIVSGASNIIIQNI HITDLNPHYVWGGDAITLDGTDMVWIDHVKTSLIGRQHIVLGNSASNRVTISNSEIDG SSTWSATCDANHYWALYFTGSGDMVTFKNNYIHHTSGRGPKVAGNTLLHAVNNYWYSS SDHAFEVDSGAMILAEGNVFQNIPTPVLTDIEGQLFTAPDTTTNAVCSTYLGRACVAN AFGSSGTFSSSDTAFLSNFKGKNIASASTASAAKSVASSAGFGKL PFICI_06828 MSAYPKDNGAQAQPMQARNQRKIRLLGCTWESFFTQYPVRRTGS ATESVLPSDSLVDHSNPSRSTSDSSLPIDRWVDQVTALVAPVKLWPSSSISTHSSSFP PTPSSSSSSFSSPSVSDKFLNRQRGERYIPPHARHNHYSTDTNERTSSSWPRASRQSS SWTSRDMTTTAPIVLPDLLGQKPSKMAQREASNDRDSVEESDYHRIRQKYGFRPNYNG DVMNPANRPQEVPKELNCNLWITGLPADCTEGDLEVAIGKAGAIFAIHLNRPDLAIGH GTTAAHVAFMNVESARAFVDAKRKSGLFVKGHLANVGWNRNRVGPQNETNPAGKLRSR VLVIRGHPSIVNEESLTGLFRRYCKFFTTSIQIVAEEEDGSRTMEWRFARVAGQADLV AKCLYELNEQEGYGIKYHFARDPCEVDIVPSSTPQHSELQMGAQRRSTCLIPHFPHIP CGTPALTGI PFICI_06829 MEYQYTSSRSSGSSRHSSTSPASSRDAYYQEFRSSTGKDYKKTV VSRGGVTVHQENARGYNSAEPHPPYRSYGSSSSSRH PFICI_06830 MRLFLALSLVASVAVSVLAADLKIDVTTAVECERKTQAGDTIDV HYKGTLENGKKFDASYDRGTPFTFKLGVGQVIKGWDQGMAGACIGEKRTLTVPPELGY GNRGMGPIPAGSTLIFETEIIGIKGVPKPETIVTKSTESTSSEASEATEESSAGIAEN IASAASAAAAAAGTVLVDTDDVQEHNEL PFICI_06831 MGHRKEMMQRFPHLAAIRSYLPPLNFITLHYLYFITVCLVSSVI FYCSSNPRWSISYTDSLFLVISAMTEAGLNTINLSQMTTWQQVMLWLLIILGSSIWVS IWTVLARKHSFEKRFKDIARRATLRQARSQDMRRSATDIPLARKLRTLSRSKTTMQKS DGAEHELDSKRIKVQNAENGSNKVLSISGPVSMHRMREPVPVSSSNEVETPTVASSTT LEAAQPGETAARDHIVFADHEEQRASATTTGADLGTGLSRRHVHNDSAVLEANQLENE MSHRHFLTAKKIGRNAQFHDLTDEEREHLGGTEYRALKVLSIIVPVYFFSWQILGCVA LGAWIANNQPQPARDNAINPWWNGIFNGASAFNNSGMSVLDANVIPYGSSYFVLIVMG AMILAGNTAYPVFLRLWLWSILKLLNLLTYETSFRHLKDTLEYILKYPRRVYTNLFPS RATWWLLFMLFVLNGTDWVAFEILNLGNPNLEHIKTGPRIIDGLFQAIAVRSGGFYVV SIPTLYIGLQVLYVIMMYISVYPVVITMRHSNVYEERSLGIYADDVDAESIASTSSAA AQQPNPGNPSLLGRLLRGVAGRWDGVGAAPQSTGSANTPESRSTFISHQVRGQLSHDM WLLVLAVLFISTIETRHFLEDPATYSVFNIIFEVVSAYGCVGISVGVPWDAYSFSGGL YTGSKLVLCLVMLRGRHRGLPVALDRAVRLSSHEFGRTEEEDHRIRRSMSMRRPSTDF GRGADI PFICI_06832 MAENETSAQQQKPGPDDESAPAPAPAPVEEANVALDAAAANDHD TLKYSLLGPSLTKAGQDSVDQSKVSEIIYNASKGSKFFNREEAKDQILTRKIAAILAK KQRLERLDLSRELRHADQLIAQLEASRDLTQWVVHVDCDAFYAAVEQLDRPELADVPF AVGGGVLTTCNYAARKFGCRSGMAGFVAKKLCPQLLLIPLNFDKYTAKAREVREVLAE YDPRFESASIDEAYLNITEYCNEHEMEPEEVVQRMRDEVHERAKITVSAGIAANAKLA KICSNMNKPNGQYLLSRERSAIVTFMRDLPCRKVNGIGRVLERELAEVGVKTCGDIYP QRQYLRQLFGEKAYEFLIAVHLGLGRTNVQPAEEYERKSVGTESTFRDMSDPVQMREK LKWTAEELEKDMRRAECKGRTLCLKIKLHSYEVFTRQVVTPKTIYQAEDLYNYALPML AKLEQEMPGMKLRLMGLRCTHLVSTKKPDTRAFFGLKPKRTESGDSGTDVPAKRKADS DGEWETWPEEATAETTDAELEASANALLGNERDDDTDAEEYRRHGKEIVPNPTPKGAK IKPKEEEEWWDCPICSRPQPTDERQFNEHIDLCLSRQTIRDAVQREAESISPAPRQGD PTSSNKRAKTGTNEKRRGRGPNPGQADPKQRKLFFG PFICI_06833 MQPVLFLAMISGAAAAPQWWWPFGPPPPSVTNGPRPPYWGNPGG GVPPYPVIVTQSVVTVTITEEPTTEPTTSSVVSSDSSTLILDPTFDSTTSSVTETSAS ASPSLSSNATVIVEPTSTVTSTSTDVATLTTISGTVIVVPTSTETSTSTEVATLTTIS GSPIVTGNLTVTLEPTFTETSSSSVSINGTTVSVFPTSSGNVTVTVVPTLTESSVSVT PSVTGNLTITLIEPTFTDSTVSASPTTSSNSTITVIIIPTPETSVSASPTASGNSTVT LTVAPPTNTTASSTQSVEPTFEIPTDTATVTSANLTSTVTISVVPITTDTSNSTTIVS TLLPPNTTISSVEPTFISPTTLTTITSPAVVPTLTTVTVVVPTFQSYGYY PFICI_06834 MANNNNNNTNTTTSAIQYGRRLFPSYLDEIAQTTPGRVYAAIPK TANVEDGYRDVTIADLARCANFMAKWIEDKFGKSQNFETITYLGLSDLRGVALFFGAM KTGYKLLLVSPRNPPASNLSLMEQTESSRLLYSAELAPLINPFRAIAPPSYQLDVIPS FLEMLESNPEHYAYDKSFDEARDDPILVLHSSGSTGNIGSPKPITYTHGSFAAHDMQH LLPAPTGRRKRDVSIFEFKDEARIYVIFPFFHVSPTCCPKRIHTIFDTATIVIGPPHI PPHTGLLLAIAQQQKLRGVMIVPAMMEQLLHEPTGHDLYKSVDFVIYGGAPLSPEVAN KLAPLLELAEFYGSSETMALPELFKDPADYDYHEFNPNLKFEMQPYDANEGTFEMVML AKHSDRDTVALCHNVPGEEFYHTKDLFTRHPTKENLWKYFGRKDDILVLANGEKVNPI PLELAVQGDPALNGALLVGNGRNQTALIVEPKEALETNARQELIRTLWPQIEQANKLL PGQGRVAKDKVICASPDRPFERTGKATIIRSLTEKAYQHELESLYSGSSPQNGLAGLS LEATVKTTYEPAKIVSFLRHVFGASSYTAGATIEEGEDFYSYGLDSVQTLEITRNLRH ALQAQTSKPTDWITPRVIFQSPTLADLSKLLGGFLNDGIVPDMDESSQSRKNRDVFEN AVAQHLDGLDLPSRSVSAGTSSTSTVAILGSTGYLGRYLLATLLRNPTVTRIVCLDRS AEAEERHKPFLAGLGEDFALDKLVYFQIEIGKPLFGLSKSDYDFLGREVDAVVYNSWK LDFGLSLRSFDPFLKASRQLVELAAVSERNMRIIFVSSVSAVGGLAIKSVAPEEPVED PSAAIPMGYGLSKLAVERILLTANRRYGIPVSIARVGQIGGPSQTGPDHAAVGPWADQ PWISAIAVTSKSLGALPTLSNLPLDWLPVDTIAAMLQAYIVRPAEKEAQIYNVVNRHA QSWDMVVDVWKEMLGVCDTIDLAEWVAKLEEIQEPTPDDARRLPALKMLPVYQNFSQA SRLSSFATDHAHGVAQVEAPVLSKELLSLWLRDWKL PFICI_06835 MPNQFAQKILNKLGVNEHHQSQGDAQQAGGPGSHGGGDGPGHVA PCHIAEETHILSPHGGTYPRLCRLSDGSVLCASTRFEGPTHVLHVARSLDNGRSFQSW GEVSRGDGDCDNLFLCEVGNGPEGPVVLGAFRNHDLGPDRKPTHFRITVCRSNDGGRT WHFLNQAVEHSAAQSNGMGLWEPFIRMGPHGQVELTYSAELAGDNQETFRVVSRDGGQ TWSAPQCLTCHSPDERLRDGMQGIVLVQDAATGHNALVLVCETTRHGTFSVEYAVSYD EGATWGHRGVVYCPPWGRNAGAPQIARFGNGALATVFMTDEDASESDWPKHASVKAVV ASGLRNGKIDWSRPMQVSPPNSSWPGVLEVAPGQVMAAYEHDGKPVGRLLNLQ PFICI_06836 MNLTQLPLGVLAAGAALLFIILRSVFNALRSPLASLPGPWHTRF TRVVLKFHILTGGRIHHVNRLHQAYGPIVRIAPDEVAIADLEAFTQIHRIGGGFTKSA WYDSTGTGAGVPAAAYEPGVFAMRDPKAHAQRRKLFARPFSNSALRKNWEDIVRGTAE RAVAKIQADAAGGGDADVLKWWTLMATDVIAHLSFGDSFDMVGLGRRNEYIDALTSAL LASGLRLELPWLASLMRVVPLPAVQQLLKADEVVFEAGGRAVANLRQAGGNQNLFGQM LAESESQEKHGLTDLSVRLEASNLIIAGSDTTAITLTYLVWAVLKRPDLQRRLEEEVG NLSGDFKDEDLESLPLLNAVIDETLRLYGAAPGALPRVVPEGGATLAGHFLPAQTLVT THAFTLHRDPTIFPDPSQFDETRFMKPQTVAQKAAFHPFGAGSRICLGIHLARMELRL STALFFRNCRGAQLGSSNMADEVMDMEEHFLISPRGHHCNITLGNLR PFICI_06837 MSSTSGPPYMPAIAQVGGLPTVAIDVPISACLLFLYVCLAVVHM TIFQVNLRRDHKFLFSAMLFGLSMARTAALTMRMVWATHQDNVRIAMAAGILTQAGVI VVFIANLFFAQRIVRAYHSTFGWAKGTRLVFRFLLFSIIACLIMVIVCTVQSFYTLDT SIRSKDRDVQLTAGTYLAFLSFVPAPAVIIAALIPSKNHVEKFGQGRFRTKIMLLVFT SLLLTVGSGFRIGTNFAPRPITNPAWYHSRACYYCFNYVIEIIVSSLYAAIRFDRRFH IPNGAKGPGDYAKGQLAINTESEAFGPADNTDEETMHEEPSSSVANSEKRFGPGQDRA GAIV PFICI_06838 MPPPKGTKTNPLEGPADYDMTSDVHSDTYPAIDPTKANFKGKAV FISGASRGLGRAMSVSFAKAGASMIALGARGDTSETQRAVKDAAAAAGKPAPSILPIK FDVADKDSVGAAASKVRSEFGRVDIVIANAAVLETGKITEMDPDAWIRTFTTNTVGLY LLYRSFIPLMLEGGDKTIVTVSSVGAHLVGPEYSAYQTSKFTVLRLAEFACAEYGDQG LLAYSIHPGNIPTDMVGGMEGLAPGLKHIFVDTPQLSADSLVYLTSEKRDWLAGRYIN TTWDLPQLMAKEDVIVSGDKLKVRLVV PFICI_06839 MSADGSIEQLEQQRQKLEETAQRTRALLDEWRQWKQDYEGLKRE LDSVPSDAPPSEIQKVRAAYKGRLVKEQELANIFGEKNTQRRDQITSTLVNRADYVGR NISTLEKQLIAIETELSLTVEHDNDSSTSEDEYIPDDEYPEDDSGLPVTEILEELDED DNVISYSLRTPGNNQPQLMEALEKAGLKEIPSAPATVSKKGKEVERPAEKAQAPVRLP SSKPSSASVPPKEKMTAVNEEPAKPQTEKKSVRFTEETKAAEVLADTQPEYMTLTAAS IDELMREANKQEAMISDPRIPNDEDEDDAQLRRDMLQYNLSELNPVIAELTLEDGAVT DDDEDGWDLDEDEDYDTEDEDQWGRSKASYINDEYRQKMLEIEQRLRNHTFESSTKDD ASNSNDTDNMMEGIAKIRVKNEDAVPGSVPNSSASSLKPEVASDAKKNVRFASTLDVA EESAPAPAVKPAAVPPVKPQTPEVDPLSDIVERKSPAALPASAPAPVAKKASRFKMAR GDGAANGGPTFKAPVASDGSVISPEVPVSEQQFAPSGPEGQTMATAVLERAPTDTARE PDEFDADMLKQQAAVEYHKVRNRMIQKQGGFMKEDESPIQPLDEEEGGPKRMSRFKAA RLAKS PFICI_06840 MSDPTPEQEAAARAQEAKEQAALPYKWTQTISELSVLINVPGNL KGRDLVVDIKKTKLVAGIKGQEPIISGDLAHPVLVDDSTWTLTSAPDGTKNIEIHLDK TNKQEWWAHVVTSAPKIDVTKIVPENSKLGDLVGETRGMVEKMMYDQRQKEAGLPTSD EQKKFDILKKFQDQHPEMDFSNAKIT PFICI_06841 MSRKGPGATTITRPLNAANVVPEDICPVCKRNRYLNTTMTFKIN PECYHPMCSQCVETIFKSGPAQCPYASCNKTLRLKGFREAMFADLSIQREVDIRKRVA AVFNNTQDDFETLRDYNNYLQDVEDLTFSLVYGEDSERMEAEAKLVDYEQQHKAEIER NKKKGSEAEALRLKRQKEADAAAAARRAELLQQEEEAKAEEAKLNQEVMEALARGESG SAAEIQARILATKKAKLAKMNGDHFGASLEGSRGISIRGLRQKRTGPSADEEDRNKPY SPYAGIHLEPSRYALQSEYENPHLAKAKTDKGHQVGGYSLHEYTARAMFEAFAGLGVI VSDEKAAEQRTIGTSGARDAAARNKTSGQLTTGSRKVIDDVFV PFICI_06842 MSQKARDIVDRIRGRFKRMMLRRRMRKKSEFGDWGNSGPMVPDR ATDDHIADVAPDEVQTTAIAAAAGGEGGGGGGGGSRIIINEPAPNLNDVRIEYPEPYD LGTVRTSELAPNLNDVRIASFASNDLRTAVIAVHEPDPEPEPEPQQREPATTTRVPQV RFQDDHEASSEYSSLRDSEELIRGNMGTRPDVVKPLNINRENRQEEPYLSTHLGVPRT NAYQVSPGTERHSALSQTFNFDPTSIDRVDENITENPPVTHETVFPHVHEIREEQIYR DIHTYDHYHYVQPVYDLEVLPARHFAPAADGQLREVSARDLPECTGANQKWSIMENES VNAKRQAEIPRVIN PFICI_06843 MNKTKEVISNFMSKSGHHDTTVHESVNPAVQHETVRPSQHEEIN TAIDKEIHQDHYHHTVQPIQEREVLSEQHHHNLGQTEHRQLDHRDEAKTRAALDAESA KFADQSRRMETTQTQSHAPVVQGEHVHHHVHETYQPVIHKETVEPHVVHTTVPIHETH HNVAQHHATSTLPAISMSEFQQHGGTRAGGQHDHHRFEGVPDTSDASFSGSNKGFRNI LSSGHTASGNMGSSATTGTRGVAGNMGTQGGAQSAAATAAATAAMEGATYQKGHTRAD SGKDLDDMDPATAKKGVPTRNIGSVFDKVNAGR PFICI_06844 MASSFEKSVKGATKIKAAPPKTKYIEHILVATHSGEAGVAEVFR ALQNRLRDSTWTVVFKSLITVHLMIREGSPDATLAYLARHRNMLAISSFTDAQTQGRN IRHYAAYLTERARAYRDTKVDWVRTKETRLEKLSVEKGLLRETEVIQRQLAALLKCDV LDEPENEITITVFRLLVLDLLQLFQVLNQAMINILGHFFEMSKPDAERAMEIYRTFTR HTDFVVQYLQIARQYEHHTRVEVPKLKHAPVNLGRQLEDYLKDTDFEVHRRQYLAELD VKKTGASSSKTNKADAVATAKQFPDASTNGASSQGTSQPPGAAKGPAPDLIDFFDSIE QNQQPMATSPQQLQQQQQQSLPQPNIGNPWATAGQFNNMPQQTGQQFPANNFMPQQDA FSNGFQQQNTGAFNVQQQQVPQQQQLQPNFTGAGFGGYTPQPQQSFSPGGLAPIPQNS VASFQTGTLSPPMQTGLQPGQQTTNPFRQSMLMANPTGMSNQMPSFTNAASPPAASLP QRQSTNPFARSSSSPFGNNGSTSPFQSQPQQAPAAQPLQPAQTGTNPFAKNFGGGTVQ QAQRPQTSSGALMPQPTGSTNPFRQGDFMNHQTGLGWQHNQGRIGGGFDEIQSTPVFP RPSTGAAWQS PFICI_06845 MRSVALRCLSGARRRLYVPAASPVTHCISLRARPKQLRWISQTT TSEDVVPEEQNDISSGEQTLEPTATAGTPEQPVDSPQETDGKRNENHPLNSTLGQVTG FERGSTVALYGFLGKRRDKSSRLSFCNLDTGGLEDVQIVSKVREDEDKPWVKDLHQKL KATPAYSPVRVGGVLEHRPRPARKDEDEQVEGTPEPVAWDIQMNNLEVFNEFSKDIIV SEDAVWSPSQRHLQLRFDPLLRKRLALRSSVNFEARKYLMKMAFHEYETPVLFKSTPE GAREFLVPTRQPGLAYALPQSPQQSKQVLMASGILRYFQFARCFRDEDSRADRQPEFT QLDIEMGFARRKDVMKTVEGIINSVFHMLRVSEVPREVGGVLYSSAGELFARYDKSRD PDSQRSGRTKLWPLRDLRFHPLVQFSYKEVMEKFGTDKPDLRIPTSICRVEHLLSEDF QKMITSLEDPIVEAIQFKLNDTPSEHMAFIREFMENLPKTTLKFGSSSAPGVFVFDES KPLNGLSALGHQAGEEIASMESKYWPRLQNGDLLIVHARERTDFKGEGWTELGRLRKT IYDAAVQKGLIERDIGFRFCWVKNFPLFTPDIDPGEGQGGAAGVKATHHPFTAPIDPL TAQDWENIENGKPWLVRGDHYDLVLNGNEIGGGSRRIHNRAMQETVMRSILKMPESGI AQFKHLLDALDAGCPPHAGFALGWDRFISLLCDVDSVRDVLAFPKNQKGEDLFASSPS QLTKSQLDTYHLTPSDWAVPETEA PFICI_06846 MSRYLRPAARAAASVSRATPFAASAIRSVPRATSTFTLQRRTYA ESKGVKEYTVREALNEALAEELEQNDKVFIMGEEVAQYNGAYKVTKGLLDRFGDRRVI DTPITESGFCGLAVGAALSGLHPVTEFMTFNFAMQAIDQIVNSAAKTLYMSGGIQPCN ITFRGPNGFAAGVAAQHSQDYSAWYGSIPGLKVVSPWSAEDAKGLLKAAIRDPNPVVV LENELMYGQSFPMSEAAQKDDFVLPFGKAKIERSGKDLTIVTLSRCVGQALTAAEGLK KNYGVEAEVINLRSVKPLDVETIVNSVKKTGRLVSVTSDFPAFGLGAEILALTMEYAF DYLEAPAQRITGAEVPTPYAQGLELMSFPTEKLIEDYCAKMLKV PFICI_06847 MAARKVELEKQDRERDAAFNKAMHGNSAAATGGIRAMFSKGADA KKAAVDEYFKHWDNKAAKDETEETRKARTAEYATLTRHYYNLATDLYEYGWGQSFHFC RYSHGENFYQAIARHEHYLAHQIGIKEGMKVLDVGCGVGGPAREIAKFTGCHVTGLNN NDYQIERATNYAQKEGLAHQLKFVKGDFMQMDFPDNSFDAVYAIEATVHAPELSGVYS EILRVLKPGGIFGVYEWLMTDNYDNENLHHREIRLGIEIGDGISNMVKVSDGLEAMKV AGFEMLHHEDLADRPDPFPWYWPLAGDWKYMQSVFDTFTIVKMTKWGRWTAHRFTGLL EMIKLAPAGTQKTADSLALAADCLVAGGREKLFTPMYLMVGKKPEN PFICI_06848 MPRQRGPYTLVDLKVTKQESATSQSTQSKHKRQCLEAPEGEERR GECPYKCLLREQELVQSQLPNALVRRPASEKLWIAKVGGVLFRDVENVEFRVEFRKRL QIYPVVGFAKLKQRLRQGARFTTGVEQRRRFKIHGLRYELLMAPKDARESNWILEGKA PYLSLQL PFICI_06849 METAGDAAGPNSPNGTSGQKRTRAYGEELKGLTPDRESDAGESP SAKRRRRSSSQPEPEIPHADDLDDGEIVELSVAGQAAPHPETAAIPEAEVPQHDSNGQ PETGSHNEPTPGASSAEQQSADSHPAVAQTTPDASGAEPSKSKQDKDKSHPSSGGGNQ GVGLSLRTSFAKAPPKANLSKSEANSPAIVSFPMMNKDWKINAARFEQLACDNELNHG ERFRPHFWRNWMRDNLERIILAFQEENEFSLTSVSSSAKRVKLIRNALNALVGPSGGI LIGTKKDKASARSAAKPVFDELTPKMIKLVNDSSKQHEVVSGESTELVESSSKQQYTV PEDSTTPSEHQVTSPDDGEDEKSVHVDVSATEIPALTADELEHRKLYFPGSENNPLFC THCVSTKHASDSCPQLLCQFCGSAEHTRYGCPTKQRCTKCHQIGHANTTCHEKIALAR EEREPCAICGSPHTEDQCVEIWKSFNHTEVEIKKVKTIPCFCYTCGNEGHYGPECGLA TKGSDLAIDLRIWSSAIRDLYVDPASPNSAIAWIGSDPSQIKPGQNFNIRGQATKKTH IYYVSSDDSDDGFIHEPVQKSGSRGNIQINTNLSRPGPPKRGGFSSLRRQDSQRRQGQ REFSPPPPPPPAYYQANGSWNPPLPSGPPPPMPEAYTFQGNTASLPHPPPGTLPPRPS NQGSRNASSGRGHRPRRGRGRGNR PFICI_06850 MADQKQTRRRRSSSILQIYQEPQEPIEQLSDQSALPNLNAQWVN AKGAWTIHFVLILALKIFYDVIPGVSQETSWTLTNISYMFGSYLMFHWVRGTPFEFNS GAYDNLNMWEQIDDGAQYTPAKKFLLSVPIVLFLLSTHYTHYDLTYFTINFLATLGVV IPKLPFSHRLRVGLLSGIPEEA PFICI_06851 MIPRVVLVVSILIHLAGLGQASPAARRSSHRQIDLSNHGSNSSE YVEPRAILKTRLHVTIVERGSSKTVETTSCTTTTPAPQTTTSSKWEHKTSSTSSCTND GDHDDDGDGEFEEEYGSTGHHGHHSRPHGRPHKGETVTQTETETETETETETDSATTT ASITHMVTFSITTTVSSSSSTSSPYPSSGSASSSIFKLNIILAIYLVFQFIFIKLILQ PDFKFNFKLNFESNFKLNFESNFKLNDNGTKSSAGATAGRPTFSSRSSSLSASAEIPA SATVTITVFSVITSTTTIVEDQSASCAAGGSAGSETLYTSDNISASETGIFATSSEET LATSVAETASYSETVAASASEESAANTASTQNNEDQNSNTAGFSLTETGSLYPLPTIL PDPNSEVSVSPTDSISIPEGSLIPLPTILPEPSSELSQPTSSGASEEQPELTSISAGA TYPVSPLETTTSTKSKAATTSESSEPSVTPFMAVTAMAARSNSALRGGLLAALGAAAV LL PFICI_06852 MKDAKPILYSNDAVAEAVTTYSEAHSLDLPKWLIDYHADVCRNN TNSNLMISTYQARSLVWLARLIDAKRVLEIGVYLGFSTMTWSHAVGPSGTVTGLEFNP EFAQRAEAAFASNSLSNITLKVGDALAALPALETPGGEPYDVVFVDAQKSGYVAYLQT VLDRSPAGAPAAQRLLRKGGLLIGDNALRRGLVADDSAANPHRPTEPGAAEGEEYKGQ HDDVGKMREFNDAVKANPRLEPFLLPLWDGLVLARLVD PFICI_06853 MSLMMPTAGADTNVSGSPLPLQSPRNVRSRTQSLSSDRPSTIAH SLMSPPSAVTPEAAFIAASAASQIVTSDCDSSSEAWFDQFGIEPSSETAMVTVNALQL ANNFIDQLLFNIIGVAGSTSLAALRPAVSEVLKPKLAKDAISAADDELNEYLGGGEVD DLVQSAITGSPDDWDLELVWKRTRLRCMVYSSLGDMEEEDEDYHMEQEHLGVGDGDDH PESAVSPAVAIFLTSILEFMGEQVLIVAGQAALNRLRMRYEKDLKDGTRASGDESERI VVEELDMERVALDRTLGRLWRSWKKRIRSPTEPNFGRNSIRSATHSRRGSAATDAMQS LATPLEESMPREAEDSNVKPRPSQIPLPIGSNDVAEIEVPGLVAYSDDEDSDLESDED AISLAPRPKSLMFFSALAYQASPALGLSEPQAPAQKTRRRSSSVPTPAALPYKSPIAV KVDDNDTDVVVTDVNKAADVSGLKVERQVNEDGVSPLDTSSTDYLDGRSAAVEPSPVS AIVSTAAALGAAAVAGVAAMVQGSAPQTEPEPTPIDEDEIDDFGEEPEIMTSSRVSIN GSIGGRSSPSVPESGRPLSIKLPARSNSLRSVRVIDVQSPRTPSIKSRAGSVDTSDVP PSRPTSSTPPIAEERASPDNLTARAIKNALAGAAPAEGALEYRNARDYSHPQTSTYES FKPPVSNAVPAASSRMGPTSAPAAYGMKASARNQSNAGRSFLDLDSNKPEIPDRAPEH HRMPRMPVVPEQSAPAPASPTYTRKRSADRNQPGAPGSPESARAAPTKLNDSPSSSSS NKYKPMRSSEDGSQHRPQDITKNFEELLQNDQTIQYTLTPENMRNMDPVQRQTNGGPT SHHKSRRSEDVKLSEQPRSSSSMSIKRSMSVSKATGLSSHPLGSHPMENQSVGKASKL TGPVPRAPPVSMGNYHNRASAQARDARVPRESVADFADFIRSTGPPGGKPAPAKAPPS HAAHGRSASGTVAPSASVELNRSRQGSVNRARLQARDAAVSASNESSDLIDFIRRGPP NAGNNPRIPRNVAPFRTTMDSDQMQMSGAGGGKAVDAVIPDVRHSRASTTVTDTSLPS SINSNSALLNKANKPAQYSNNFDDDDMMPKRKQRRVRDPYAIDFSDEEDEFDELEGDG LGRVETQGVNHIKEEELVDDDDDFDLDLAPRPKPRKEESLIDFLNNCEPPSAPSPPRP FVTSQAMPKKKASAPNLISRLRSAGGNSSSAAKSNGISTNQPRAVSSQGGNPRGYTPI NVTIPSGAATSYGSIQPPAPRVNNNPSGGRVLMKKYEPRDASSSAGLGRTSDLASFLR DSEPPPSTMASPVAQSQEKSSSGFSRVFERRKKSVY PFICI_06854 MKFSAVVALAASASAATLQKKQALDYPIGNFVASCIPHSTYCSY NFTVTSDPSLPASHCGAFIQGPDVLPNVTAGSCPDNVAYTWSATRTEEGGLDFAIWYA FNSRSNITYCHSVAADEILTENHGAVSDERYIGPANFTASVLNCNVA PFICI_06855 MSKKWEPHKEEILRLYIVENLRLDKVMDHMLETHKFDQKKSQYE YRLKLWGARKNVKKEVLEYLTHQDRKKRKLQGHNSGAYVVDGILLSEARFNRARQRHD PGLSLARKYGRAPSPRLPPGHIVRRASPGAPEVVTDWPQSLPWIQFERRFNIVVPQSS RFMQILAKAVLGCGLIPGIEFNEPSDLARAIYAASYESPSNAANLARILPSIPSDSQS SMACIGLSEPTNVATKILKAFLFQVSNKLYNLEGAVYDTHHDRGSHLLLLFLELNNAY PVFLGQLIVSTDPTSEAILESLYEIAVEHGATALVSRLLEAGRDVNTLIPIASYFRGS VYRGRAYCKINEIVKPSTALQFAASTCNLQLAKILIGAGAETNLGTPTPLQCLCLSKK TNHPDVLYFADLLLRNGARVDARAEDSITPLEGAVLSRNISLVRLLLQCGATESLQKP CGYNAIRYSFLGIKEYELLLPHTTDTRVPSSPLQLAILVGDRSITEIFISSVMEQGHR VKSLERAFITACITGDHDLAQIFLGHLDTQLQDNEDLANSAFLASSWDRDCRVSQLLM KHEAVRNQLHSSRFPIFHAAAFHGNTRLIMLLKDSGFDINRGFSLIHGMGIRQKGWCS ISSTALCWATRMGHKDAISIMLDLGADAAGTDLVSAIETKSDRLITQVLNRCKNVDEL CDVQRGLNTYSCSQQRALDVAIRYRHGLRLIQKLVNHGAVIRGHEIIDAVRSDDQEVV NFLLPGCDVVGTNPRGETVLEAACCTGNLGIVQFYFSHGGVYGSNALFLAVNRAVEMH DYRVIEHVISNRHPGPIDEYEASALALSLRMGGQTLINMLLDDAFRASTALSIYLIYS TVARKTIECIIGPDDYNDPSIGIGQHFQVDPMRDQLSPLLVAAFMEQEESVRTMLDRG YLPDPFLVELLFDSSSSLREDIRNKIMSAHVLQISHVSDQEWHQRMLLPALSHCADLG IVKQHLARLESLDFEVRGRSKALSFSPLDVAARTGNADYVRTVLEEGATADSRKWFQA AEAVYTAVEFGNLDILSLLMEYGADINHQPHEVFSCAMLNGNFKNMVFLLDSGLDINA HIQVLRDEFTCLELAAEDGMIDAVELLLLRGVVIQGRARIHYIRSVVLAMEKCHYSTA KLLKERGGWNEKDEEIARTRRGTNDLDWFMDDDSSFEEWFGCPLETDTSSLISGSPEG NTSTTSSSRTFEAADEQLAAYEMDPRTDDLSVLDIDADLMDYEQTAEVLEWPFVSYNQ QDVELDMIVEKLLLEDGVIF PFICI_06856 MASDGDRTAMALEAMMNDLGNDRLEDLAKDNSHAGTRQLRCSMQ SMAAQAAQGQNSQGNRVLTNENARGWNVAVESGAFQDGDAREVDGLDTIANGRLHAMN RANQGGGWHTGQRDGNARFGQHSGDPINSSWEEMKTRRNASNMPVSTYDPNCPSRPLS TTPRYQTTVQTPSRAEIRTPRPTPTPPPNNATNGWNAFRAQQTSRPGSSQDTSLHSTV RSTAVNVASGAKAQEATPESNKSTTQVVDHHRSESIGVSMERSAHNSSPAVLPHMRGP PASHQGNSSTKSTATTTVTSLPGRIVSQQVNPLISAQLKSINSTMKRPPQSINGDGKA RQVHNTTGRITQGVSISTISQSSVSPKVGSALLPHQRMTSQAANAPKAIVQPQLNILY DKKIKACRAITPGNIETVTGRMYFYQHPRRHLIIWEIKWSDGWVEREDIRCFIDLFRK SPSRMIVRRSGGSQAGIRTTIVTVEEEPPAPLTATVEFEKKMKDLKSNISGSSEPFYP YTFEKHDPIEDDRVDPLTLLPLPTESVNGHTQRTRSPGRESSPQRKVSAVPKAPEARA QHSAPAISKGKAPATSLIGSHTTSSSKPLQTSVPMLDLHFDALHELSQGLGQGLNGKT SQSVQTVRADSEAMTKGSISDREVAKVLQDSGANGSNGVLLQLSEEDDKENIGSINRG PFQETSSETIKIQLETSSEQLEKELAAPTMGATRQCDENLAETQVAHQQPTHGRRTTG TEAMASFDLIDTSPFTVEAQPSSYTMALEEIAKHQDSSRMPETDSFNSGHPRSRIKEE SPESPDPAVKAESDTTDDRLQSIESEVSRDVPSSQIPSKNLINATVLANVPLGIGLSK PSLIILSALNRADYEELSKNFDESLYWLQQTGMYSSGSLNEFAAVHETLKHLGRRTQF KMLNNEDRIKVAAVVYYNLKKLKVGGVARYNAKSLTALRRLAHPCPPAITLVNYILVS SPGRRPIRQTGPIPAAPVLRSSSRDRSRSPNSSEAACQSSSKQTSSSTAAQSARSAEP VARTAQVDRPVPAHLRSMGHGPHQSLYH PFICI_06857 MGIVGRQDATSSTTPAANYASIQAVVACTIVMSILSTFMVGARM AIRWSRTHLDLEDWFIIACMPFMYAIAAAALIAVYRGGLGYSVMYLYLKDQNIIVISM QCLMAMEVLYAILITLVKLSVLVMYRRVFPTTLVLRGTYVLGALSVAWWIAVILVTFL QCTPLNGMWELFTVKTTCMDKLGLFIGNAIPNLSIDVLIIALPLYEVARLQMARVKKI GILSIFLLGGVTVVIGGLRLSSGLDLLSDPNSDYTLMVGPLWAWTVVEPAMGIVCACL PTVGGPIVGMIVKTITTSKHSEPLESTRKNKRSSKVIHIVGGSSSRGINRAAFSSKSK SGESSAGSFERLNDSGGETSPTNLWPKGYRGDRDVTVSGRRASGERTEDIPLTSITVR QEMSWTESKAAVEYETT PFICI_06858 MLQTFSRQTVEQHLKMGQLESSHKLWNITLLLATVVSYIPQYRA IQKPAAASNVSLIYILFNTLSALEQFTILAEHAMFPSELLTGVMNNPPTTGDWLNIAQ VTAVLVMSLALFIKCVRCLPSTEPKAASLAILIQFVLITLVPLATQACFQWANPKAHE GMTATIVCMFLTMHGFIVNPLVSAGVVWSYFWARAAQRQRPPRPGLSSRTLWLQALVF ALVAFSWAGRLTVNSSGMKPVTAWVYWYQTAGWATMNNLLFAFVQGGLFYHALKERRA LGAQPPEDKIGLLTA PFICI_06859 MAPSYDATATASGLVTDFASAIKDKVVLTTGVSSGSLGALFVEA IAKAQPALLILASRSPAKIEKTAASIQADNPDVRIRILELDLGSLLAVRKSAETVNSW QDVPSIDVLVNNAGIMAVDYKLTAEGIESQFATNHVGHFLFANLIMEKLLAANSPRVV NVSSDGHRASAIRFADYNFDNGKTYNKWVAYGQSKTANILFSLSLAEKLGKRGLQSYS LHPGVINTNLGTHIDWNEDIHGLLALDRSLGNAEGWGTDFKWKTPDQGAATHVFAAFA TTLKENNGSYLENSHIADPWVDTVKPWATSPIEAERLWKLSEKLVGQEFPY PFICI_06860 MPTSTRSSNNEGDAANTSPEKAAGSKHGIDEKASPEPKRTKTSD EKEQKTIEETLNGGQHSNNKKEESAQDSSAKESKETKDLEETRDSEEAKEPEQSKKED GKDAKGTTAVKDGSAEEPKAREGAVPASILEKGIIYFFFRGRVGIQDPSSVDDLARSY IVLRPIAKDAKLGEGTIGDAGNSRLIAIPKKVLPLSGKDRWIAFVEKTNASFQTLKDE FLSGSDYVTKTAGTRHTPAATPVGEGIYAITTTGRENHLAYMLTLPSELGEAQREIGL RERGSFIISTRNPDYDAPKNVALPQGAEYPQEVKDDFRSLRWTATSPKHLDYVNTQFL LIGESSGLEKATEPQKKDQEAGKEEPLEELEKLEDEDTHRMEDLKGDDSAAIYADLDV HAKDYPKLQTTF PFICI_06861 MPMKRRRSSVAEPLLEPATRSAPTKKPRLGAQGHSAPSHRDLLS PLSDELLVRILTNLSLPHLLNVAPVSKRFHRLSEDSQIWKRLYYARFVLPRALRIPGF RDGSAREGKLHYSSRRAVWADGRRGGWVDMRSEGTGTQESRDWKRQYKLRHNWSKGKC AVEELRVGEDIEAATEAELHDTPKMLVKISEGIAITADATSGLRAWDLKTKQLVAHIG LMDTESDAPPSCLALDDQNISQHNIDIVVGFQDGSFGVWKLDVGDHKLLRRYRHEKST NGALAGAAFSYPYLLTATESVLISLYTFDRPSTGINRHARGDAESETERGSDSETAYE SVPSAEKEPTKQEPSTGEKLSARSNRLPPPYLLTSLKSHTSRAPLALSIRKTASSTVA SIAYTFSTLQGWSLGIQDLHLRPSVSTSKTSTDVTMTRLAYTMPLKTGSSHGPSEQAT PIRPRTSAQSRDVETAEDGPVSICYTHPYLLATLPDNTLILYLCKSNASSLSISPGIR LWGHTSGISDAEITARGKAVSVSCRGEEIRVWELEGRPDGRSIEVRPTVHSAPESRAT QSAFDERRNWVGFDDEMVIVLKESRGTESLMVYDFT PFICI_06862 MYIQTQFASLLLLAGTSLASQVRKYNFTITSQWSSGDGHGRPVF MINGQSPGPLIEADEGDEIEVFVDNQLAAETTMHWHGIYQIDRPWNDGVPGVTQYSMQ PRDTYTYRFTVQQQYGSYFYHGHFGPAFADGMRGPMWIAPAAWRPRPYELISDSSHDV EQMKKAEKHPFHVVISDWNAEPMDILLVMYRDTGIVPWCSNSIVLNGKGRTYCHSAEL IESVGGPGRNTLGCLMQPDQELYSNEQVCEATQTDLEIFQAEEGHEWIWINFIHSGAH HELQISVDEHEIVVVAADGEFTYPQRVHAANCNLGERISILVHLNQKPGDYAIRVTSL RQEQVIQGLGILRYPGSSHGAQEAEPPATKPWVHLNGTLISDKLQQMDEMKLAPFPSR PPPPQSDHTLKFFVNMTGTGSWALNIGPHQAFRQQLPPLLWEEDSRGVTTYESDVQGG SMQNGSVVDIIFTNGANVNSQHPFHKHNNKAWVIGTGTGGFPWDTVDEAIQQGGMADS FNFVDPPIRDGCRLGNTTGDWTVIRYDIAFPAASMLHCHMIHHFGAGQQVVLLEGVES MAKIPAEMKDRVHSNFRPPLRYGPLD PFICI_06863 MADLSKRRAEYDAVAHPGPRLKPASYCTKLVWTIFISILIIVSS LYYRGFGQTKGELLKLLDLGSTKSEEQLVRKYDLQIGGRWMNPDGGRWRVMFVCNGET PCPTLKAQEGDLVEIAVHSDSYFQSSIHWSGVGYRHTEAWNDGTAGLSQYPMLPRGNY TNVHDTTGAWGLSWYADHTTAASADGLYGMIYVAPDPARPRPYKLITDNPLELRQIME AEQNIQHLALKNHMHRDTNWKLLRMRAEGSEFHCYDSLLVNGKGRNLCRAPGYDELNG QILDETGCIQPAGFPPVSCTPSDADFEVIETEGRGYIMLNLVNVGFEHEVRVAIDHHE IFIVANDGGFVEPMLGDVIYIPSASRLTILVKLDQAPGDYAMRISSTSQLQNLQGFAL LRYPAKRQPILGQPMQLPAVDENDICLLPDASVKDKCSLIDGEQTSPYPPAPPSRARN SLAEGAADFTFHLSAGVQKSQTEKFAPEYFLNGKPWQLFRGALEPLLFTNFSSPSAQS ALEKPVIHGLPMGSVVDLIVENQLNDTIPLYKHGKPAWLLGSQAHANFSHETVAEAVN GHAPSLNLHNPPLVVVHDLPPLGWSVLRFEVTTKAATMLHAVKLRYFVLGMSAPILEG ITADDPIKVPTSALERPHIEFEPKNDGVFG PFICI_06864 MALSRRSHAKSRLGCKTCKSRKVKCDEQKPECRNCVRHNVPCDF LESCAKVSALSPAPSNGLGGNLNMADLELLHHYSTYTYVTLSEQLVMRDYCRINLVQI GFKYDYIMRTLLAISGMHLAHHRKQSFDYYTSLAAAHHEAATRTAMELMKDLNAENAP MLFLYSSLTMYYALGAPRQKSNFLLVGESGFPDWMFLLRGSRLFHSYLTEDGALEPLL HDARQRWIRMFSARLPDDDPAKRHLDNIGKMIAEETTTAITAAEDKADDNEREATVSR LRHNQNVYLEAISKMEHALAGCRRTASPDDGVRRGSGVYEDGVAARMAFIWLFEVTDG LLPLLQTEPTPQEAAVLLAFFAALLQKSSNHWWTQGWPEHLIARIYALLDQNHRLWIR WPIEEMGWIPPP PFICI_06865 MSSRVRLGSMDSILLDPSADPLSRQDRWIPELGQRTQVSTKPPA SRLAPELWASYCALDDYIYIQYLKDLPDQEQSPSLPRGQRLQPSERPTYVDATLWMVY RMLDGWIFRASLSAEEAITFPLMDDVMEFQCEGGDAQPVAPKGWRWEDRELVPVAQTG SQSAGKAKKKTSKKEENLQEANETEDLSLEALNSLGVELDF PFICI_06866 METGRRAQGCWTCKQRKIGCDRGAPACNNCLRTGRECLGYGIRL AWPDQPDGRRRKSQLPDHSTQLPPSNSSYYGKQFLNVTYEDLARIRSSDTLHSLILLH LPPRPRHVFPMIPNLLESEPQLLQYYRDRLSRMISTIDINNGFREDLLPMAMSPYGSA SEGLRNAIFSVAAFHLWGSEGALPFKAKALRSLSSSLAADSVGISETQLATSMMLCVY NVFDETEGHWLLHLNGAKNILHRLMSFRDGYPRSAFLYTWFLYHEVLGEFIAPGQYYP GGPASLQVRGYLDIDPTMIVGSLGCSIEVMEIMSYINGIRAREMHTENDEFSAEEKSR LNDEVYCIESRLANLEQKMDPSYASQLGPHKRAMILSTAELYRIATFLYLQRAHNTVQ VHELRPMYLQQAFEVLRSLEVCTSPWPLFVLACEADTDEQRIDVLQALDRMDSERHIG NIRVLRDIIEVFWKQQDMHADTGRPLNPKWWDVIDLNMATPWFI PFICI_06867 MSLKNDKFPSSAAFEAINAVLSSSEADKKDAIKQGNAVFAFTLK NKAGETESWNIDLKQTGTVGKGLGNKPTVTLSLSDEDFGKLVAGQANAQRLFMSGKLK VKGDVMKATKMEPILKKAQTKAKL PFICI_06868 MSASFNPIPTPRRPSANSSSHSSVTPASSRFRSSLVPMSRASPL RSSQRPSRVAAGAPTTSNPPVVKDLFRTTTTPKPSRTTPFAPKLPLEVTKTPASVRKL ASKSTGHGMAGTASQELFKQRIPSPDPGLTGAAISEAVPNNLKNRKGTVYADQYLAHK CPPEFDDDQRRQFFCILDLRRLKFAANEIFAKKDWKLNIMNFAKEYEKSRGLIMLRYG LYEFKNVKPSEEVMRRWRKAHNLPEPAPESQKVPSASISSAAKSNSVNAMTKRKADDE APSRSAEPLNSAFNQNKRRTLDPASAEEAHRFAPTPMKSKRKADEGQDVDENSRSKLT KSTPSAARSRLEGIINNVQSGSSTPVGSPLKRPAFGASASATSGAPMTPLFGVSKATD APKSAFAVKSNPYGPPSNGIVDTSKLESTQFQPGQPLNTSSDSVLSTHKFGSSLAPKA TNIFGYLSESSANNSGAENNDESDSESDQSQPETGSQDAPPSYEPSAVASTGTATPPV TTGTGTPSLFGLSKPAATTSNPFASSFHKSAEDLSEKPAANAAKGGLFGRVSFGSDGQ PLRETSVEQTSRAPSPAKEAANGVESTKTPAKAPGDFTFNAATTPITFGGKDSSFTSA TPAPAADKATESKEAEKTQPSSSSSLFGNSLFKPTPANEAPKPLFATKPSEPAASLFG AKKPEEPAQSLFGAKPSEQPADKEQKSSSSSQSLFGAKQPDQPTASLFGAKSAEQPAQ SLFGTKPAEQPTQSLFGVKSPEQPAESAQQPSQPLFGSFNKPDTERPASSTSTSSLFG AKPSSQSNGEPAQSSQSLFGTSSKPAENDKTGATPAATSSIFGTQQPTPATTFSFGSS TPAPKSLFGESSKPAETPAAETQEQPKSLFGSQPTFGAQPTSNGFSAAQKEPSQVGFS FGTSTTETPSTPSAAPLFGGFQPSTEPPKPAANLFGNTATGSSAPLFGNNNSSAATGN LFGAQPANPFGAGSGTTSSKRSAEDDSQPAKKVMFGRADANNDAASQPSAPSFSFGAS QSTAPASSQPEKKTMFSNVTGDSAAPNSPVAGRKILTPKRLRGAAGAGAARQASPSPA PSFEASGVFGTQPPKADAPAPSNPFGGNNNTSFTFGQQSSAPSVDNNASSSFTFGQNS QANGNATGAPSFTFGAGPAPTGGSFTFGAGAGAGGNSPNPFASTNGPSQSFGGGASGT PTPSGSFNFQFGGQSSSAPAPADQSKPLFGNQTNGATPAPTFSFTSATPQPTPTQSSS NLFAPQPSAATSIFSGLQPNGAPGANSPFPAASSINTTPVNGGTPEPQAAQADGDAEP PQEQISLTEGGPGEEDEEVLHEVRAKAIKYVDVKPGEDSPASKSPWQTQGVGQLRLLK HKNTGQVRILLRADPRGHIAMNKSLLSGPEYKADKKTVRVMVSKDDGSGLETWVLQMK EAESAVKLAAAFESWKTSNK PFICI_06869 MAAAISRSAASEALHRREYFFVGGEYVNTTTGTLRQNQMYVEKL TPAANSSQPYPLILFHGGSQDGTNWLNKPDGGVGWASWFLDNGYEVYIVDEPSRGRSA WNAAAGFPTTTFSVEVITSRFTATLNSTAWQQAKLHTQWPGSGVPGDPVFDTYYASVV QGIANNTEQEQAVREAGIALLDHVGPAVVVTHSQGGPYGWSLADARPSLVRALIQIEP KGPPFREEIFSSDYTRPWGLTSIPLTYDPVPTNQSAPLSMHLVPSDSANLTDCILQAE PIRQLPNLAKVPILVETGEASYHAAYDHCTVAFLRQAGVQVEHLELGKAGIHGNGHMQ FMELNSDEIVTKLHAWILTSIQG PFICI_06870 MDALLTQELSHFIIGTLVGKLIHLNVFIRREWHKSAPTIFILHA SSAMILLIARCLVADDEAMKMVTGTTMVFLGYITGLMTNMTLYRVYFHPLANHGFKGP RYAGMTKLWHSWAARDGQNHLLLESLRHQYGDFVRTGPAELTVFHPAALAAINGPKST CIKGEWYDIFYPNMQSIVTCRDKADHAAHRREWNRAFLPDALAHYGDVFSNNLEALEV YLDSCAKDNQPCEMRDLLLWFSFDVMGELVFSTSFGMVRNKTWHPIVRHVKEGLHLIG LLSAVPWLTQIGFRLAPPVSIIRNWHGLVDYCKNIIHQRIEKNTNGQAGHDFMHYMLL QQERFGDNGGPSWLVGDTLMMLVAGRQVRYSRPSLIPHLFGTSMLFILYNLVNHPHHA ELIYHEIEGIDIDDNKALSQLSHLNAVINESSRLGPSIPTGGNRKTGPMGLMIGQTYI PPETTIVAPRYSIFRREDCFEKADQFIPERWTTRPEMVRDSTAFKPFGMGDTSCLGRT LSLRILRRVIAQLVKKYEFRFAPGEIGCHVYGDLADHFSATPGQLHLCVKIREQQ PFICI_06871 MLLPFMLILGSLVTIASSQRGGGSGGLGHGSQGFGHGSGQGFGQ GSGHGSGHGSQGNPHGPPHGPPQGPPRVPIDPCSSVGIRSWRIPATNSHNYDHKDDKW PCQHREKILYADHHFDNKHHSNVTYLKPTLLDFSLTIVIIQKNSTYRDFHDYYNYYVG LYRSGDHRDGNYNFNFDDYCFYYSV PFICI_06872 MDWMEDLTVRIHKENHKDDDYLASFMDLVQRSQKELPTDKSQIK FMKPICLRELDRKLATDESNAAEELSAEEPSQQKEGVDVPRLVFYTPYVTFGYCYSQN EKSNRERHKELLEKYKGRILHGPRSLDRFYYNTLPQPEMDERDQSQVITRGLLNLKMG DPFPKVFRKWPYLTVDQLWVWVIDEETIITSSTHREDDFDALLFEKVWDNLLQASQDG FREKLPSTVTEMSHFLVSTCADFINNLTWEDVCGENYKELPREVKDAWLKPILLWYAD SINSAAAKEKILYKSYKDRMAAAARAKEVVEKKTTGQDKNHQQDAENYDMKKDENDWK TINSAATLLDEVKDIRDELMIMRLLVTQQEGVLKGLMGPDPKSRKMQSLSFIVNELDN MVKTTDSIQKSVLQISLLAWRWIADNCQIDYFINIKQSMMSLDLSAENAKQGNILFIF TIVTVIFTPLSFLSSLLAINTTTSRHNDDGELEYEPAWMYGIICKFSSLPGCHPALIT KEG PFICI_06873 MPGSPAFGGSDSADRSEGIADAAEARLNPTDHALQRGATQNDDG AKSSASADVDGIQEAKERAILDGTHNTLGNPSQANQPTVSNQAQVNTRFLDAARDGDE NGLVAALDEADPTATNEFSETALHLACRYGHVRIVEKLLNLELQKKNIEARDIDGWTP LLSTCSENHDDDDGTLKILKALLKHQPDINAKSSMKQTALHFACSYSSAAVAELLLKQ EKIDATAQDENGNTPLNLACQECTTTEIEALWNLGSGANVGARIGVNIPNNRKSTPLT SACQFQSAKVVKSLLAIDADVEISDKDGDTPLILASRFGNVEMVRAILDRKPKNWDHK NEEGKNATDYAMSNTSAQEIIPLLLNRGLKLGDEDALEIFAGGTSRAVPATHLQDSAW LKLVRDMLTFHSPLDQPLLQTIEPIMKFASKEEKVWSILNTDTEQHFQILNTLTKDLG MSEEICKMIWRGRRDPEGALVSPGDLDLVKETLPSTEEWSNSKSELVPKTPLQWSAFY GEHQLVWCLLKEMQSRDNGDVGKALEIAKARKSARSTKGRKGTVPTDNEKENRMDSKQ SGRAKDSKKSSVDKEIKRYEYTIDILNNATSLVSIAKKQLILADPIEIKGKEDVLQQS TATVVSFYERDGQIDILRSTTNILELIYANDDKRVNAVLDMARQTFDEMTKNRQSNEE PKEKPQMRWIHLPANHVSYE PFICI_06874 MSQHPDLSLPTRAPSMARYHDQPMTLHPAALYTLVDLTDAEFQA LQDECESGCLETGHERGTSVRPAPQSRFVGQPLRAVFDYHVGLGAQDTFEPRYFIAAV EKDWRVKGVILVTLDDDDLECKVDKFRINAVDSGLSIVNLQIGNSSWDEEKEGYELQP DDDDDNGDEDNDTDDDGNDGDGPPAPIKNIPLGYYVPIYIHASLSEDQVIANLEPAFK QKSPENFACRVQARLTPGTSAPETTPTQDLIQQAAALHPQRCKKNKYLHKTHILVIDT DDPVENGMLMVKLPSWHESHSEATEKPALNDIGSQLAEATLQNIRIPYSCHDGLQTRF LILANGDAEWPSEAVRAQPLFVVFQYNTQSKELGFGATSIDPDASKRKPGEERVVYVP SLIKQPGRGLERIAWNYDEAVRRFPWFCREKRFVEGLDKRFFACVDGNDVVQTGLLLV HRSWDENVWNRTRDELLDLPVEGVKNVRVPIKQALDILEKGRQGETDGMSESLKEFFS PFICI_06875 MRNLSLLASLLGLAVASSAVNVVDRSPQAGSDPDDLMIFVCDDG LDEICTNMCYGAYCAGIGSGLTYDKPDSSTKRNRRKAAGCIASGGNRCSTKKGYDSGY QCDEYPFASSTPNNGETTRLNRCVPAAQNRKQGGIISAFYKSSYCTGNNGGKCTFSVE FSNAGNVKYCDMQNCGDDDDNEVIGPGGTANDGDSAEDGTDDDPDAGQKKKRTIPKRN GLGKRSPGAPVYRTSSGLELDLPRGAQIGQRAFVVLPRNATLWDEQAQFGNPNQMREL HGDEDEDEEDYEYMLANLEIREDTIVEEILPAA PFICI_06876 MSRIIPTLDAEQALDTLNIIVQPADVVNGDFCSDWLTFQCLGSD YTWTFFGVRDLDDPKITELIGISLIRTVTVDVRAIAPTNSQIIYQWTKLRDVAWILLR LLFRFNQLSIRLHDNQPMPRHARRVFREERFAMHVEDLYIQCDCNFLSLVSMALILPI IMELRQQRNLAEAQPRIEIIDGLDLNMAGTQSSPVAGSKYRVFDMEHPSRYLPGHDIV CQALWPRSDVYYQADDLPDMFSQLTKFHADIDDAVDEIKGIEGNLLRRERMRLHETSD RSCLSSEAQEKLQVWSRERRDRHLRIMLDPHERELPRMMVRDAWDRLPRQEISPHQLE PHERDRMRERWKQDYWADLYPRGIPPLQPLYDSLLEKASSPPEEDSQWSGEESPESSE PIAVEPVLMVTAPEPLIASIEPCEVSISEMTRRHRWDILNSHLNKPIWEREPESEGTG PESPLHRLHRRYRLVFANRQRIASLRR PFICI_06877 MGYTEKDWLAINTIRVLAADATAKSNSGHPGAPMGMAPVAHVLF SKFMKFNPKNPKWLNRDRFVLSNGHGCMLQYALLHLFGYAVSMDDIKAFRSIDSITPG HPEAHDTPGVEVTTGPLGQGIANAVGLAMAQAQTGATFNKDGFNLVDNYTYCFLGDGC LMEGVSGEASSLAGHLQLGNLIAIYDDNHISIDGDTNCAFTEDVVKRYESYGWEVLIV DDGDNDLDSIEKAIAKAKESKDKPTIIKLKTTIGFGSTQQGTHGVHGSPLKADDIKQL KEKFGFNPNESFAVPQEVYDAYGKVSAAGAAAEAEWNKLFAEYGNKYKAEHDDLVRRQ TGDLPNGWEKALPVYTPADAAVASRKLSETVLSKLESAIPELIGGSADLTGSNLTRWK GAVDFQPPSTGLGDYAGRYIRYGVREHGMGAIMNGIAAYGSLIPYAGTFLNFVSYAAG AVRLSALSQVRVIWVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETSA AYYVALTSKHTPSIMALSRQNLPQLEGSTIERASKGGYVLSEVEGAQVTLVSTGSEVC ICVDAVKELAEKHNIKARIVSIPCFEVFDAQSKEYRLSVLPDGIPSLSVEVMSTMGWE RYTHEQFGINRFGASGAYKDVYKASSIPQSFKFEFTPEGIAKRAVATIDFWKDVPNIR SPINRAFQQLI PFICI_06878 MMNGYDEKHSFNDEPEPGSFVRAFDAFPKSKPQYVQQSAGGGKW TVAMGVISLVLVWSAIGEWWRGVENHTYAVEKGVGHNMQINLDIVLRMKCKDIHVNVQ DAAGDRILAASKLQEDATNWVQWVDNKGVHKLGKDAHGKVVTGAGFHDEGFGEEHVHD IVALGKKKPKWSKTPRMWGRGGGDSCRIYGSLDLNKVQGDFHITARGHGYAEFGEHLD HSAFNFSHIINEFSFGPFYPSLVNPLDRTVNVANTAFHKFQYFMSVVPTVYTVGHAHS ASQTIVTNQYAVNEQSHEINERMFPGIFFKYDIEPILLTVEETRDSYLAFLVKVVNVL SGVVVAGHWGFTISEWIREVIGRRKRQQSMGMIGNEKGGYHD PFICI_06879 MLSLGLAILASQAAQVLAQNAEPLLVGIIYPDTSGLSQPVQHIS RGGATACVSGFLQVEAGTDANMNFAYEAPVNQSQVTQTIVSLWDSGSPLKEQIMNGTL SVNGTYDIGVTLCLPQEKQGNQTLHLQVLTHGIGFDRSYWDLAPGYSYVDVAAAAGYA VFFYDRLGVGVSSKEDPIKTIQSPLELEILNELVTKLRGGALGGNQHFTSIIGVGHSF GSALTQGVTAAYPQSFDAAVLTGFSTNASGMPSFSLAQIPEIASINQPYRFAGLPAGY LVVGSSSALQTTFCHFPGFDPAILSLADATKGTVTLGEYFTTGAIVQPAADFHGPVAV VAGNEDLGFCFGNCSYPTNLLAEVPLKLYPSVAANNTATYAAPMAGHGLNLHYSAAGA FTFIQDFLKSHGF PFICI_06880 MDHYSTLEVDHNQHSNPLVTSAPAQQDYERFPEAVTAAPGSPPH YIKSTFDADQSQKISVPEGVLAPEAVPGQNLYQNVSTGQDTTGAWTAAAAAADESLAE KKPQGKRQRICGLERRTFLWVAVIAAVLAAAALAGGLAGGLTHGSSSSSTGAADANRV NVMATSSLAASNWTDGRGYNHRIVFFQDPWNSVIARRWDSQNQTWATSNVTASAANSA SPLVAAAGTTLASAALDWPNAYNVHVYFLDPWNIVRSAYSDSPVQLPDLWKNDTLGSA QLHALSGSKLAAAWARGSTPTDVGVWTVAWQGPDRGFIKVANFSDYSAGVNAVDANKV AGNTSLALVPQYGGVQDNLGLISQSFTSETAGPFQVSSFNQTWNKNPDDVIPDVPLPA SNQHVAVTKWGTWSRTLCYALLDDGTMRGSWWEDEAEQNALSSLNFDGGPTANFSSIA MTLDAMFYGINGDEIHEYSVDGTDASRLNYVGRIYP PFICI_06881 MASSAAATPDTPITLKISFAGVTRRYKLPLRELVATTLEHKLRG FLHIQEDTQILIERYSDSAATYVVLDSTNPAVYKQLFRAAKAKQKLKLRVLAMTDSNP SASPKPVTVEDEAESSEQKEELLASSKEETPASITTSAIVSVVSQSQTPGNEDKRARS MTSSSLDKFLPVDILEQKSDPVSIQAPILDVPATETSKCPCPPFSSSLLRRPFTVCCN SCDRVVPDAHFHCSTCDDGDFDLCQDCVNQGISCYNDQHWLIKRTIMDDQITYSTTHV APKTKPITSSNKPLFTPVAPPQAPFPVSLPIRPLEPVSDALPSLQPKAERSSNFVPLY TARTCNSCVQEFPEKEFLHCLICEDFDLCKSCFTRDQHGHHPKHAFTAAVKGTSFDAS ITARLNAGRNARHNAICDGCNHYIRGVRHKCLDCPDWDYCSDCAQNAGFVHPNHRFAP IYEPLPEPHRVTSQWVHNGICCDGPLCTSSQSGSTYIVGVRYKCAVCHDTDFCASCEA SPANTHNKTHPLIKFKTPVRHVNVTTTGEHQDGKRLPVMGDRNVSIPRRTSNRSTETV PSPSEKNIAVNPVQTVVDVKPTEAQKEVIKTEVTEKEPVKVEEAPVAEKQQSPEAPEP DLIAVFRHDTIEDGTIMPPNHTFEQTWVLRNEGKVAWPAGCSVKFVGGDYMGAIDPTR PTGVRELISASESTVCYNQLQPGQEFPFTVLMRTPERDGKVISYWRLTTRDGVKYGHK LWCDVTVQTPQDAPQEIKEEPKEEESLLDAKVPEMTKSQMILPKLDHESPDSSVLVPK AESELDVVTESDTATHGDEFDEYVHDLQDEEWVEESEDGYMTDEEYEILDASDEEYLY EQQRASRK PFICI_06882 MAASRRRKIVSRRRADDEGDDDYDIDDDSLTEGSVVSDDHDLAN DSDTSNVDEASPTSPAVRKSQARAANGHAGTKSSKGSGSSATRSGSKTSQPGQTAISD AESMMNGLSVSDKTEHAQETISGDVEGTQPPKSTAPLVVSSSSAAMDQSQDHTQDRRR REHDDYRKKRDEDPAFVPNRGAFFMHDHRHAGPAANGFRPFGRGARGRGRGIGGPFAP TSGHQPYPPADPIISTPWAHDMHEAVAEPTRSARQSAQGGPVITDNGPPNGDGYIPTC DMSATPINRTLSTEKHIGNVQIRVYFPSLNKPILFSAIPVKQYTKLPDHRPPLRRDKP VRISLPDYPPRYIFPATDRSFIFIPRALRPNNQQRMRGKPRSGFGSMGGFSRRTSVYG GSYYNGSQYSPSVALSRRSSIAPEMGRDYIVSPTGSAISRPPMAMDASRPVVRLPPRA EPQGLDASIHAPAPAVGQTAIYEDFQVHGKPIHQESQPASIPMHQPRPQKTVSLENIE SPTMLQNTQSFQQAFHHQVPVQVSNGFNADPHPRHGSFARHTTGTPLSQIPERAIHAA PFQPTHFSQQQAYYPPYQMVQPQQGYYYPPPNPYPAAAPAYVPGPQPPQGPVDPAQAN GQAGSAPNLVAQEVNGMVYYYDASQLPQVPSYPPYTAAQGYPAANGVMNVNGMAASSQ DGYYYPQPGTVYFPQ PFICI_06883 MEGIFAAQTKFRQNLGLKKVKAIRNANYKAQGTKSYVYLLNRFG FQPTQPGPYFVQNKVVQRGLAPESFQKAVGGRLRHQRVLVKKTGDDDQTGEVTADDQQ NDSMYLCEVDIGTPAQTVKLDFDTGSADLWVFSTEQSKSTQKGHAVFDPKKSSTWKEL SGKSWKISYGDGSSASGDVGTDTVSIGGLKVENQAVELAKTMSAQFSQGTGDGLLGLA FGTINTVTSGSQSDPQPTPVENMITQQDIPKESELFTSAFYSERDQNKESFYTFGYID QDLITASGEEIHWTDIDNSQGFWQFPSASYSINGKSTTVSGNTAIADTGTTLALVSDD VCTALYKQIKGAKYDSQQQGWTIPTSVKAADLPDFSVAVGDKEFVIQKEDLVFAPIDE NTWYGGVQSRGDLDFDILGDSFLKSVYAIWDQGNKRFGVVPKIEDTQNLTPPTTSS PFICI_06884 MDEFRLFTSIRYDPILLDTPKQQHLNTTEWNRAVSSPWYMLDYH RDRMLKAAVHFGWGSAVAQLAGPEGLKRLNDFLEPVATSAGSAVHRVKITIGKDGKLG YESGPASQTGLQNLFPAHLPAPREEEVAANAPQRQPEFEVYVDSASTSQTEFTHYKTT KRQMYDDARKRYSLSPADAKEVLLVNPRDSSIMEGSITTPFLWRNGRWVTPPVSHIFQ EGMGSGGNDGTTRRWALERGLAVEEVVLADSLCDGEECWISNGVRGFIFGRIKL PFICI_06885 MSGASAAPAPMPPGRPIAVPVESSTWDRITNWAAENKALVYTIA GVTLVATGAGVVYYLNSDSKPKTTQPKISKKEKRKRKEAERQAEAQKAAEAAPEKPKA AVVESADELPEITAELAATLSDEKRKEYALQLKNAGNQAYGSKDYNKAIGLYSQAILC KPDPIFYSNRAACYNALSVWDNVVEDTTAAINLDPTYVKALNRRANAYEHLEQYSEAL LDFTASCIIDEFKNESSANSVERLLKKVAEVKADDIIKSKNPNKLPSPTFVANYIQSF RAKDRPAGLEDSNELDLNTGKGQLQAGLRAMERKTHEGYEEAATAFEKALELGDLGEF EALAYNMRGTFRCLKGNQEQALADMDKSIEIDPSLTQSYVKRASMRLERGERAEAADD LEKAVQQNDQDPDIYYHRAQLHFIHGEFQEAAKDYQKSIDLDTTFIFSHIQLGVTQYK MGSIASSMATFRRCVKNFDKVPDVYNYYGELLLDQGKFEEAIQKFDTAMEMEKQTKPM AMNVLPLINKALALFQQKQEFTEAEKLCEKALIIDPECDIAVATMAQLLLQQGKVIDA LKYFERAAELARTPGEIVNALSYAEATRTQLQVQEKYPQLAAKLSAGMGGGFPGR PFICI_06886 MATVDPSPATSVPGEAAPMTEHDTTTIAGATPAQQTEAPKGQSQ QQQPTRIDTAAASATAQTPASNHGSPTTTTKSPITGAMSSSPVDIQSVKGLGDATAEG AIPSQDDTNPLDFHGDVQTNNSLPTPETLRKLEQYTVLDESGKSRPFKSLYTGPNVAR RVLIIFIRHFFCGNCQEYLRQLSAAIPPERLLQLPVSTSIAVIGCGSPKLISAYLRET GCPYPVYADNTQHLYRELGMIRTLSAGDRPAYMQNRSMAQTVVSGITQALKQVKSGLI LQMGDQKQVGGEFLFEPTSRDVESPIATPHDHPVTTTAAAAAHDHSGLLHEEDCPANG HHDEPSEDKTITWCHRMRNTRDHVEIPELMDVLGLEEEEVQNRPPSLDNAAGSQKSGK HGDRWAKASRTRKGTGSSMASRMSRMSLDAKAWQRPHPPPVDEVEK PFICI_06887 MATRKVRFDFQCDRTLTFQHDVPASLVGPNAAMAPDYRDGYVQA VLPVMRAHSDECIAASNPVCAVCEKAPTKSVLTTPMSYLHLQEPLVAVLVTPVCEKPK CSTDGQRHVDAMLAEVLGMPEGEVVSRDGVGKPSKISRNAQCPCGSSRKYKKCCGAAS TDESTTV PFICI_06888 MEGAFTHVGNHLISDSAAAINAGDDDLSALDHDESLLYGTKFAG RKGLGGLGNARRRDDDDNGTEAAFDDDEISMASMPIGAMKDLAITRATPDEEKKLPAH ACAYCGIHSPASVVKCLACNKWFCSARGTASSSHIVNHLVRARHKEVQLHPESTLGDT ILECYNCGTKNVFLLGFIPAKSDTVVVLLCRQPCAASTSTKDMSWDISRWQPLLEDRA FLPWLVTPPSDHEQLRARHLSAPMIARLEEMWKDNASATIHDLEKAASIDDDPAPVLL KYEDPYQYQNIFGPLVKMESDYDRKLKEAQSEDGLVVRWDKGLNDKHLASFTFPKLES GDVKLAVGDEMRLKYKGELRAPWEGVGYVIKIPNNQSDEVTLELRKTGNDKSVPTECT HNFSADYVWKATSYDRMQAAMKQFAVDEMSVSGYIFHKLLGHEVAVAPSKTQLPKKFS VPGLPELNGSQISAIKSVLSNPLSLIQGPPGTGKTVTSATIIYHLAKMNSSQVLVCAP SNVAVDQLCERINLTGLKVVRLTAKSREDVESSVSFLALHEQVRMLDSNPELVKLMQL KSEVGELGSNDEKKFKQLTRAAEREILGNADVVCCTCVGAGDPRLAKMKFRNVLIDES TQSAEPECMIPLILGCKQVVLVGDHKQLGPVIMNKKAAKAGLNQSLFERLVRLGLTPI RLNIQYRMHPCLSQFPSNMFYDGSLQNGVSAPSRIRKDVDFPWPVAEMPMMFWSNLGN EEISASGTSYLNRTEATNVEKIVTRFFKAGVRPADIGVITPYEGQRSYIVNVMQNTGT FKKDSYKEVEVASVDAFQGREKDFIVLSCVRSNDNQGIGFLSDPRRLNVALTRAKYGL VIIGNPKVLSKHDLWHHLLVHFKEQKCLVEGALTNLQTSLLQFSKPKNNFRQRPNQLG YVSGGYARDGQHHNSAMSVQDFDSGSMMSYIPDDVSSIHSSTLGGAGLGNTAFPQMFS SFNPDQWPGLPGFNGPGGRLGGKRGARGAESVAGESVATSEYTDASASVIGGRGVGQG GASLGAGLHDALRSSRPASYSQSDRLKQYVESSGRPGYANGYGNRRFDDDEKSISTAF ASQIGGGFD PFICI_06889 MIRKQARQRRDYLYRRAMTLRDAEISEKRAKLRASLATGKPLDS SIANDKELRKDYAYDESRPDRTVNEELDLDDEYSQLSGIVDPRVLVTTSRDPSARLAA FAKEVRLLLPTAIRLNRGNLILPDLTRSAQAAGLSDVVLLHEHRGTPTAMSISHFPHG PTISFSLHNVVLRHDIPNSIRGTVSESYPHLIFEGFNSNLGKRVVKILKHIFPPRDPL TSKNKIGSRVITFQNIDDTIEVRHHVFVRTGHDSVELSEVGPRMSMRVFEIRSGTLEN KDGDVEWHLTQYTRTGRKKEYL PFICI_06890 MSYNQYQSNPYGSADQGYGGQEHELQSYPQQPHGAVTLTQQDFL QRISHLREQIGTLTTNVQAIASLHQRALAESDGGLSAQQLERVVAETQTLNGGIRDQL KFLATDASRTTDGSKGLKEQQVATLKNNFERELRAYQQEESQYRQRYRDQIARQYRIV NPDASEDEVRQATEADWGNEGVFQTALRTNRTGQATSVLGNVRARHNELQRIEQTLIE LASMFQDLAVLVEQQEVAVQAAENNAENTQKWTEEGNVHVGKGIKSARNRRKLKWWCL LVTILIIIIVVAVAAGVVCTQPGNCGHK PFICI_06891 MADPAQYTHVNQPPPPASAQFDPRSFYISAASKSDIHASPLPKP RHVFTAPVSYHPPLALSAFRRARISLVYTPTQQYDHAGLVMVLSGAQDGGSSSSSSSG ENEQQQQGRQHGSSPPADAKHVDRSTSWIKAGLEAKDGAVYLSVVVRAPGGAWCDWSL HVLRPSAAGDAPFSSSNSGQLGFEVGAAVELVRKGNALLVHYIDEAGQPVLLRKVPWV FLDGEERVLPENAGGRAGGPVAWVGAFAARPDPEGRAADDALLVGFRDFEVEAV PFICI_06892 MPRRQKLRNGTWIIPGTGERSRRQFTLRQNSYGSDADLEMHSIV EQETVKEKVQAALHNSLVALNNVWLWLKSPKGRGTIKCSVAYLLASLWTFYAPFAALL GPMDGKHIVATIAVYFHPARSAGSQVEAAAIAIVAVLYAMFIGTLSMATSVLVGSVWD QVKLSYALILIFFIGGGLGFIGWVKQKLNNPLVSVGASIASIGIITIITKENSVHTGV FTNQKIIQSLKILLIATTTTTAVNLLLWPVSARGALRNTMRTASTTLGEMLSMIAKGF LSGAEEDMNSKGFTKTSSSFTSNLTAMNKNLRESKYEYYMLGREQIYKQDKAVVRCME ALSQSLGGLRSAANTQFELLKEKAGGFSDQPLSPGTDTFAPAFGKASSHQLKSGSRFA VLSAIDEAVDERGDREGSTFSESSYFTERRLMTPGSTRTPSDIFEVFIHRLGPSLKSL VHTLSEILRDPPFGAPGAPITVNENFKQSVSDAISLYNSQRGKALEELYKTIELEKSR PENIQADYEEVAAACGHFSFTLLSLADEMQKYLDALDDLKWVTEMDKKTWNFLKFWRY IGSLFKTRVPDPEEANLIKPLRRSKMPRGIPQNMTKPRDTFLWNAEPSTNVVQKWTFQ HLLRFFRFLSREDIRFGLKVGIGATLYAMFAFIPETRPIYQHWRGEWGLLSFMIVCSM TVGAANTTGFARFVGTLMGAAFVIIAWWLSDGDAIPLAIFGWAVSFLAFYITIDRGNA PFGRFILLTYNVSSLYAYSLSQKVEDDDDDEGGLHPVIQTIVYHRVIAVSLGILWGLI VCRVIWPVSARHKFKEGICVLYLQMGLIWKRGPLAILLRSDATDSYMKVGEQAAMQRY ANQLQTLRNAASNEYELRGPFPSQAYGRIMASTQRLLDAFHAMSLVTQKHGRLSEGEK ALLYHTAHERAEICARICHVFQVLASSIMLEYPLTDAIPSVLGTRDRLLGKIFRFRKE HNAEWEQVEARSVQSQTANVGDSSFMVGAMGKVPKLGNIVIEEPDYALLYAYALVTGQ VAKELKVVENEVEALFGRLDEDAMLLE PFICI_06893 MANLPAQHPSLALHLVDRSLTPLITSAQNQQQAQTLVGLSQTAL GAHETAQRLGLGLPQRIMVEHASNGPILLQSFLNPGSAQPAPEGQSSVNGQRTRGTTA QDSTGHDQFSTLLAESEATEAEDVNAPPLLISTVIAPTSDAVLESRRAAARLERVGRE VQTKWAELQNPDGLDGK PFICI_06894 MVVLNDESRLTLRTDIALEVIVTLAVLCRFACRWGQRAAFSWDD GWMVFAWAAYTAYTGLSMASGLLDGRGNDTKIGELEYVAMVMFETAHVGAKASLVCLC WRSLAARELKFWRSAILGLCVAWYISSVLVTLFHCSPILVTWSPIESPPKCIDIVMFA LGYEMGSIVCNLAIILPNICVWILGPYDLRSEDKMFIQSQRSSRLFASVQDMVHGVGG IQHRLRKPASIRATSRDQERAEPVFWQAQQ PFICI_06895 MPLRRSQAVAILALFGLAAAVPKKRDASVCTEVRSRVPWTSLTS EEKDAYIAADLCLINAPSKLGIEGAVTRWDDLQWPHVVQSATVHDVGAFLPFHRYYMT AHERLIKDECGYTGRMPYWDELADQGNMSSSEMWSTQYFGGNGRESDYCVVDGPFANL TLRWLQDGSVSEHCLTRIHNDSLLSSTSQANIDKCNAIDNYTEAWECWKGGPHSGGHA AVGGIMLDGTLSPGDPVFYLHHSWLDLLWWRWQNLDIETRLTDMGGPNLPNVGGGPGG PGGSSNTTAVAASPGVPSNPSGVIGGTGPEFTDYFGDGGNTTTLNHRIYMAEIYPNVT IADLMDLNGEVICSEYLDD PFICI_06896 MLEVRCSGSPHEIGETHGRLAASQIRGSIAFYTKLFQKTCSLSW PEVLREAEQYVEPLETLTPRFIEEIRGIASGAGVDFLDILALNVRTEINFGLFTGDAA AKVQVADTPSDGCTALSWLTDEDTQGGEQKSWLCQNWDWMRDQAENLIVCYISQPGTG IPDIAMVTEAGIIGKIGLNSSGVGCTLNAIRCRGVDRSKLPIHFALRTVLESNSRDEA VKKIKAVGAAGSGHILVADPSGSVGLECTSKWIKELPMSSGGQICHTNHLLLPHDDVE EPPWLPDSPARLARIRELVASTEKPTLNAIAEMFKDTEGYPSSINRKEMGSTLTQTLF NIIMDLGNKSARVTFGRPTEYREMTVLSF PFICI_06897 MPMDARELSQRVKTLTSSLGKNDPAADIIAQLELIKKEPAPTEE ILRSTKAGVVIAKLNKNPNKDIAKLASDIVLKWRKSVEAEKKARANKGQPSPSPAPAT KPASPASKLSAGAGRKFEGDLEKRDFRREGVDKNRTGNAERDNVIGLLWNGLAYRCDE SADFVLQRALEVENALYKLFNGTPMGYKEKCRSLFSNLKGKTNAELGQSVMSGNISAE RFVRMSSQELMSAEQRKITAELEKENMNKAQVPMAEKSISEELTCGNCKQKKVSYSQA QTRSADEPMTTFCECMNCGKRWKFS PFICI_06898 MSLSSHPKAYGTAAVAVAFVVGVCVTLGFKDFYPELERRYQQSK RRTARKSSRRLSLATEADTARRRSSFFWGPVELEDHDDKADATPPHLLPPTAGPVVGI EGCIGNTPLVEIKSLSDATGRTIMAKAEFLNGAGNSPKDRVALSMIQAGEAAGLLVPG RGDTIYEGTVGSTGISLAALARAKGYKAHICMPDDQAKEKSDLLHHLGATVERVRVAP ITSPDHFVNLARRRAKEHTADRRVESNGFFADQFESGANWLAHFNTTGPEIYYQTGGE ISAFVAGAGTGGTISGVTKYLKEEAKLDDLKIVLADPQGSGLYNKVKYGVMYSATEKE GTRRRQQVDTIVEGIGINRITNNFNAGRDYITDAVKVSDEQACKMARWLVEHDGIFVG SSSSVNCVAAVAAAMKLPEGSRVVTVLCDSGTRHLSKFWKKVGESGLEEQEDTDDLFG FLGLSPAGK PFICI_06899 MDSPLVTQLFRQLFRQQHSACRARRTIAALPSAALRHGRGGSTV VSPSQEQRRGMASSGRPGARGATKQFGKANESDWQQRTELFSFDMSEEYKSYPLVTAE ELESRKERPRKVKMLMRDFIDDSLYNPHYGYFSKQAVIFTPGEPFDFNSFKDEPAFAE ENSRRWTEFEDKLDTKLTEPNDTRQLWHTPTELFQPYYGQAIARYLMANYIMKTYPYH DLIVYEMGAGRGTLMMNILDYIREEEPSVYDRVKYKIIEISPSLAAIQNSQLMRDAAS KGHADKVEIINKSVFEWTERVPSQCFFIALEVFDNFAHDIIRYDLKTEEPLQGMVLIT ENNDFYEFYEPVLDPVAARFLRVRHAATNGRYQVPYSNSKAVRWLKQQMPFAPNLSDA EYIPTRLMQFFDILEKYFPAHRLVTSDFHTLSDAVKGLNSPVVQTRYQRRMIPVTTPL VHQGYFDILFPTDFRTTEAMYGAVTGKLSKVMSHEEFMRRWAFVEDTTVQSGENPLLS WYKNASVMITTN PFICI_06900 MSSIAKDASANTAAGPLAQVEAATVSTNTAQEEETDPHLVTFDE PFDAENPQTWPSNRKWAVTNVLSATGFNRIMVSTIMAPALPALAAELGMSTTESVMSL SIYVLATALGPLLIGPLSEMYGRQIVLHASNAWFLLWNIVCGFANTKELLIASRFLAG FGASAIYSLAGGVLGDVWSAEQRGRSLGLYILIPILGSAVGPIIGGFMAARTTWRWMF WATSIFQGLMIAVSLVVFKETYAPLILKRRAEQRRRETGDARYYTAFERRDEQRSLLA VLGRTLTRPLRLLAFHPIIQVTAAIEAFYYGLLYIVLSSFASVWTDQYGQSTEVSGLH YITCALGEVAGGLVGGPLMDFMYRHMLQRAGNGEHLPEFRLPLIVPVAILGPIGLFVY GWAAEFRVHWIVVDIGVFIYMFGGQITGMPLQAYVMDAYPEHTSSALSAAQFLRSMAA FSFPLFAPSMYAALGYGWGNSTIAFIALVFGIPAPLMLWYWGARLRAKATSSH PFICI_06901 MSPSAIDDAPSHLDVKPSKANIGVYTNPAHDLWINEAEPTVEDV QNGSSLKHGEVTVAVKSTGICGSDVHFWHAGCIGPMIVEGDHILGHESAGQVIAVHPS VKHLKVGDRVAIEPNVICNECEPCLTGRYNGCEKVEFLSTPPVPGLLRRYVNHPAVWC HKIGDMTYENGSLLEPLSVALAGMQRAKVQLGDPVLICGAGPIGLITLLCAKAAGACP LVITDIDEGRLKFAKELCPSVITHKVERASAEDAAKAIVKTFGGIEPTVALECTGVES SLAAAIWAVKFGGKVFVIGVGKNELNFPFMRCSTREVDLQFQYRYCNTWPRAIRLVQN GVIDLAKLVTHRYKLEEALEAFKTAADPKTGAIKVQIQSLD PFICI_06902 MSSQALTRPVLRQSGALRMAARRFESTTTSKAADAAKETASKAS SSASEYASKAQQGLSKVTSAAGPAISGAARGVASSLSKVGGRTGRLVAFVEKQIPQVI YYSKVGAELGKIVFRNQKMSPPSLETFQSYWQKAYKTALNPSALAQTASQTASKAAQQ PASIVERVRNVNRAQVIAGGVVAAECLGFFTIGEMIGRFKIIGYHGDNGAAHH PFICI_06903 MPQGAFNYNMEPSRPPLPPPEPQAPGQSPNAPWYAENGRFYATF HPGQYLMPIDENELDRMDIMHKFFTVARRQDARAGGLHEAKISSAEPRILDLGCGTGI WAIDMADSYQSGRVIGLDLNYSQPESIPATIEFRRQDIEEYPWGLEPDSFDLVHLQML AGSIRDWPTLYKNALRHLKPGIGMIEHVEIDFHPLSGDNSLPQDSKLRFWFNELRSAF EQAGMPLSLEPAPESWLKQAGFEDIKRNIKEIPYHPWPSSELQKEIGRWLNMGMVQGI EAMSMAPLTRYKGYSAAQVRSLLDDVKREICTRSFRSHCTM PFICI_06904 MSNSQVGQIYQQIIEDVLDSSRVDLEENGVDESILEELKLGWQK KLSAQNLAAFPWDPKPDPPAPAPAAAPPPQQPSAPAPVHQDVNAANGVGIQQQQAAHQ GAPAYAQPSNGVKNEPGIKTEPGLEQMPMQQQFSNPEVRDRVINNLQTQYGSRADATI NKLQESIGSASNRPQYPVPPRPAGQAVPQQPRPNQMANAAAQQYQQQMAAQQRAQQSV QNGNAPKPAPSQFDGAAEEAVLVHQDATGQTTELGRVEIDRMLHAQLEARAKAMEGGG LMVPLKRAAKSSDVSYHRTKTTSGPGRFDGGDDDVKSEEDEDAINSDLDDSDDNAEDD DDDDDGGQIMLCMYDKVQRVKNKWKCVLKDGVLSVNGKDYVFHKASGEYEW PFICI_06905 MKVVSKEEEQAHYNQVLKGGFIGGSLFLGLGMVGVWGASRRYPA FRGLTLPFRSFLVTSSATFGAIVNAERYSNDFHMRNNPMNFYKDETERAIELAREQET PVERFTEWGRKNRYSIVFGSWIAAMGLALGMVGRNKYLSTSQKLVQARVYAQGLTLAV LVATAAFETSDARSGQGRWETVKVLDPNDPEHKKLIEKRIHKEEYEGQDLWKDMVAAE ERRIQEREASRKPKASEEKKEGEKQTAH PFICI_06906 MNWLRGGSTERPASMSSSGSGCRTSTSPDTPTGDSSSAVAPTPS SSHSVDSPSPSPQPATPDDTTAFPARSHYFGDTASVTASSKPVNIAAPGLRSSASASP PVTRILTSDGRVKEVEATPTTTAAHQTSTTTTAAPVSITFDNSANLDQDVDMTTGPSL EPAFGRSRQDSFVSAGPKPISMNPNRDQARARRESLAGSLMGGMSWGGVSVGSYIRDD LAMAGTSPFVGAQSPHSNSYVPKMEAQFLSNFFCCDQRLKDMHELLRHYETQHAGGAQ QQRTSVPTGHRLSVSRPSISNGSGRRDSHGFNSQNFGFGQQNRGSTSNPGFGFGGMQM GRQQSGLGSGPKSSGLVDISDEMDAVGDMEMDDAIGPLELDDTSQRTIQQTRQMFGQQ QRPQLSLNLNGTNMAQQGLRTSQPSTPGANGFGFQNNPTVSSVNTPTLTTTQTQNGQI GADLNGVDDLTGLANNMNLDFSNMGNNLTSFIADPAKSLYSPNNAVAQQRLQQQMAQF GLDQAQFGEQFSDPHQIAILQRALAGNTGTLVIPPEEDKPFKCPVIGCEKAYKNQNGL KYHKQHGHQTQQLHENGDGTFSIVNPETSQPFPGEMGMEKEKPHKCEYCNKRYKNLNG LKYHKQHSPACEAAANIAAMRAGWAANAASQANINMASLSNMSLGGGLSMHGLPGIGE EMQM PFICI_06907 MAQPSTPGADGLPSLFGGPAGTSSSITLLPPQALPPPPPASAAA ASAALYTPMASHTTTPLSANSSSNTFVMPNSPLKNRPIIDGNYRPKVIRTLGQRPACL VNASVTYCSNNSIYAFGGFDQYTDEVYNHVLRLDLSSHQWSLVDNYGDIPGVRMGHTA TLYQGDKLLVFGGENEHRTYLSDLIIFDLKTAHWTQPQVAGPVPKGRARHAAVLHEDK LFIVGGITGHDNYVLDDICYLDLKTFTWSKAWRFVGRFDHSAHIWNDRVWVFGGLSED MDKIGDLWWLDLKGSPAFDTPPQVGSSDRHTAMGRATGSPRQPYSMSGTGVIGASGYA ANSRTAQVSTPSFQLKSYAPMAPGAISDLKFVSGPNVPSQGSGVHYHLYSSGTLLDFV TPAATITSKDCSLSALDLGSLRWQKLAEGREIFRPGYRWHYCTMNEDGTKAWLLGCPE TSGEMGPSGLEEFLSDIVEIDLRRYGFLGSNVTEPRPELSSRPSSHRVVQQPSKGLGH DLVRLFNQPPESGSGTDFIITALAGEYEDDDMMSSGHVRAGETEQNWLAPDAPTSPPI HVHKLILQARWPHFARLYNAQMAEFHTKKMHIPEPYSVVVAFLRYLYTDSIHVDDGVT ELADVAGLLVMSNIYNIPHLRLLCVNRLSKELDVVHACIIWYCAGLANEEWLRKRAAA FCLTNWGRIVRTPGFLKLPRNALVELSQEIDMEGRVIGGEEVEYVDGVHGRYDNCMSR KDSMSSDRTQALPSDMDDSEGMDLA PFICI_06908 MASVAVSTPLKSHTGLFSSRTAGGRMPLTPSPRQRQNKAATNAS LESSPFTPEKKSTAATRSVYGGGNLAAHFAKASSRPQRDSTKSNIARGVSTPRKALEL GVSDFTLVGTGNTKESANSKKTAAKQKASKTTLTYAADRFIPNRSNSSAIANVGSGKL DVQEKQRTKTSTSESSTVLSSAADDALAALESLNINDDEDDDNTTYSRPSPNSVAYQD SLASACGVNLNTRILQFKPAPPESSKPIDLRQQYNRPLRNPASSAQFRRRVATAPERV LDAPGLIDDYYLNLLDWSSENQVAIGLERTVYVWSAEEGSVNQLLETSADTYVSSVKW SGDGAYVSVGLGTGEVQIWDVAEGQKIRSMFGHDTRVGVMGWNKHLLSTGARSGLVYN HDVRIAEHKVAELVSHTSEVCGLEWRADGAQLATGGNDNLVSIWDARSLAVPKFTKTN HKAAVKALAWCPWNANLLATGGGSYDRHIHFWNTTSGARVNSIDTGSQVTSLRWSTTY KEIVSSSGFPDNSLSIWSYPTLVRNVEIPAHESRVLHSCLSPDGQMLATAAADESLKF WKVFEKKAGASASVGASGSSSKAQMTKQMTIR PFICI_06909 MAPERIHRARRAAGNRDVRGAGWHRSGYRDGGQMWINVNEGTYT RGRDVWECQSLADFAHDLDGWFFLFLSSPLLSMEKLVLVLPGQYKCRSITNAPFLGSH VELPDERQEGMWVDVFFRKKSLFDLAEEYPNDLVENWFNGGETVYRKYYDPEGFELKN TGFRYQPTAKRAHRLRSPPFDPHVAQRPQPRTGQQQAAGVRAPARDPAAGGMSLADCM LGAFSELARCRRMTPAQWRAHSQAEDDRIQAIADGIMEEHQLRRQGEAEAAARATVQR QRAAPRAEGGVTRARLHQLERRRARAVGRTPQEIEELEAALDARRRRRLLTR PFICI_06910 MPRLSSLVLSSLIASVSAVLPTVQVGCNQYAGYSISSGITKWLG LRYAAPPVGNLRFSPPEDPVCNSGTQTATAHGPVCIGTGGSANNTKLSEDCLFLDVYA PTNATTKSKLPVFFHIQGGGFNQNSNPNVDGTGLITASDNSIVVVSINYRVGAYGFLT DGGTALKANNGLRDQRKALEWVQRYITQFGGDPDHVVLGGESAGAASISLQMTAYGGR DFGLFHAAAAESISFATVLTASEASYQYDILAIRLGCVGSDTLACLQSKTTAEIQAVN TNSPYPGNSAAPLYMYNPVIDKDVLTDYTYNAFKTGHFIKIPLIAGDDTNGGTVFAPS TTSTLAESNMWLRTQFPRLTLEQLGKIDELYPNPNATACPSSGCYWRQLANAYGEMRY MCPGLFISTALTKYGVSNSWAYRYNVEDPKQVAQGLGVPHTVEADAIWGPDYVDSSIS VPSSYLANGTNAAVIPVIQGYWTSFIRSYDPNTYRYPDTAEWEEWTGGDQQRMLFETG GNTTMETVDPGLWARCNFWSSIGVEILQ PFICI_06911 MGVLSFFRSIYDLDTLDTRFTTSSSTPYKTVVESRGDVSASKER AARFSGSTTPSKWKTPEFFLYYLIIGMCIPAMFWTAYTASTPSNPQYKRFEPYLAPGW IPGRKIDLSDAQYFTFRKNIPYMSALLLFHPLLRRIYNAVIHPVASNARSSRPTLEEA DHRLKQRTSFDFGFAIIFLLALHGVSAFKVLAILYVNYQIATSTPRKSIPAATWIFNI GILFANETFSGYKLANMAMFVSRSVTGDLAAHKSALVSWAEWLDSYGGIMSRWQILFN ITVLRLISFNMDYYWSLDRRSYSPVEKKQVDPASLSERDRITIPAQAKDFSFRNYIGY AIYAPLYLTGPILTFNDYISQQRYQPATVERSRTIRYGIRFALTLLVMEVILHYDYVQ AISKANPTWGQYSAAQLSLLSYFSLILIWLKLLLPWRLFRLWSLIDGIDPPENMLRCV SNNYSTLAFWRSWHRSYNRWLTRYIYIPLGGSSFATPQSIVRSIFNYILTFTFVALWH DIKLRLLIWGWLIVFFMLPEMTARALFPARKWENRPTAYRMISGVGAVVNIFMMMMAN LVGYAVGVEGLQAIIRGIFKDISGFSLLITVCVALFVGAQVMMEQREAELRRGIALKC PFICI_06912 MVKAFKFGDDLWDPSHRFETSWILPPYALFACRAFFSLYAFTTL FTTIGYQCVHPAAGSTYACEASAASFSYFTVLTYWGLAWYFLFASIHTFTYARWNVAL LDKWPRPLQAMHAFYHTTITTYPFLVTIVYWGILYTYGSAWFPTKYAAWSNLSEHGFN SFFALFEIFVTRTNPAPWIHIFWLIVVLALYLSLAYITYATKGFFVYSFLDPTVMQHG LVAAYVFGIAIIIVIIFSLVKGAAWSRRWLTESKLGMEGKMAHGGLPGTTRSAASSWR DEQDVEMAASSPGLTAGKEDRRT PFICI_06913 MAATAGFPMYLHQDETSMLNLSDSEDESAPPTPREPLRSPETSR ATSRADSLDDWDEEEARRKKTQDAAANVPLHPIAAMQSAFAESLAEATANPPVPKPKL RFGDARERRARLLETDVDDELYSDLWRYRPGQTHHELFKLMAQISFGVYLLLNGIANS NVQVVNILQGHIDEVDEFLEITMEDVKMATEDIQERIDFLKLPMQNITTFEKMLEDRA FRLQIVTGNEKIEHIVNRTAAALEASVKDVEEGLKSTDEFAAYLNRQEHQPWKASRPD VIDIFEAMKGNAEGWSKAFVELQENAAILDTLLIKLGQTVADMDRRAGEVSRRTTVSP MSGAFELSLRASALMPLQFSVPFSPQQATAPDASSRHSVLSPQSSRKSSQRSSSSRAH SASFSSSRTNSLRTATRSPSEDSVLSSPNVRDTMPDFQFKPLQLRRYSAPRKAPILEI ETAVVMEEEKEKETPRPSPIEEEGLYILQPRTYTPQPPEPAPSPLIQRPPSPRLPDST YVPQQLSATPRQMRTASPSQQSLQRPASPPRPTSARQARPITPPQDWQISKQDWPNSS SQEWPTSPQHDEALPKRTSLRQRLSLKGSHPPEAIQVPPRNLNRPKYQSPRVQYAQQV QGPDSAYASDVDTRPPLNPLADALQEFPSPPVFINNTVPSPLSDQQFFRPVQASPHSP LQRPWTSGPIAVPRPHTSATQYQHTGYLRNQPSAMGMSTLSNVTNMDSEGGKTLKKKR SAFGWLKKAFSLDEEERAAFEAKKRQQAAGPYYEGRSPKFLDGRRIDGYGRY PFICI_06914 MEQPSEQVQSELDSFREKWRAEVSARNKKASADSQASTLKASSV ASSSSSAGPSRRQYDQNQKRLPTRDDVAHHHDAEDEHVRTMAFDDDPAKGKTVQSSLE HAPAADKEPETALEFYEKAVDKETSGKLGDSLHLYRQAYRLDNRVDRTYREKHFPGVW KKKQQQQPSAGAATHSVGGDTTNPSNAAATVPNTAHHSQESAPVSLSLPDLLASFAGL SLQGAPPAVEGMPPPPCPISELPDEILVHILRDVAVLDVADFVRLSLVCKQFAYLVAT ENQVWRRVCLGSEFGFGGMVYHWQRGIAWEELDPQLELAEEDIFSMAELSQRRAEDAE STTHELYDAGVYPSWQRMFRQRPRIRFNGCYISTVNYIRTGLASSNHITWNSPVHIVT YYRYLRFFRDGTVISLLTTNEPVDVVHHLTKAHVRQHENKAASHLPSSIMSNALKGRW RLSSSIDNPDIPLAAAEGDLFVETEGAHPKYTYRMELSMKSAGKTKNNKLTWKAFGLY NKLTDDWGDFNLKNDKPFYFSRVKSYGVTGE PFICI_06915 MRTSGSLTIGERVLTVAPEQSFGWYDRQAGFGAPANWTWFQLHF LGSLIKASIWACDLFVLDYNLKADWENTWTSYKTNITYSQSWQLVFENGDRLEVESLR PYQETYGPNAIGDSVYAGTILDRGSFFGQRTTYGLVEMITISA PFICI_06916 MSDTVPSRANSPRPLACVTCRIRKVKCDKKIPCSNCVKANVKCA SNTPKQRKGIRHHEDLRQRLARCEDALTKISAQTLLPTEKPNAPEAGTTRTKHYGQLV DSSGSPHLVENELWATVHNELHAIHGILDNAELVDDSSRESSSTTSSLETGIVFQQAA PGDLIGCYPQSVHIFYLWQVFLDRIHPLTRILHSPTMQPIMMKASAGVKQISASHVSL LFAICLTATVALKDAECTCFLGVTKQEAIHQYTKGLRAAFNQIDLSSNHDLVVLQALV LYMIAITGRFDSHGAWIVFGFVIRIAQKMGLHRDGDHLDLPPFEAEMRRRIWWQIVLL DTVSALSSGMSSSLLLREWDTKKPSNISDSELVPSMKELRPENGPTDMIFLLMYYEIG KTLIEQPTLQSPLNWMKNDTLSSSIQDNTQKPQSTVTQLDAKLQDIFNQYGNPAMGAV HELASEMRHTLWSRVQELTQLANGSTDKASTKHSSPMDIIFNIAIVMGEHDIRLYQAT EKSGCFSWFALSRFQSGMFSFMVGQLATHKPDESTKRAWEVVNFTYTHHTELFEPAVK LHLGLAAQVLKAWDAHGNSVQNAPASLFDEPDYIVRLREMLEPRAPTWNTTVDDSLDQ INIEADSSGGGAFMNQGLDPFASWPGNAQMWQMPMQNPQPGEDFNFDPSFVLRSS PFICI_06917 MLNVAIGSSDLMTRIFSLGTLQSNKNWPRSQRDGPKHYELSPPL GYDCLRTNSNIPYWLITITIEQTFRILTPPKPPLSSTSELPQRATPGYPGFAQTMPTS QSIDAQDHDRLSIPEDAFAGDTMLSSKDDGAEQVMDISAGQKMLSAISGSLLTSLLVT PLDVVRVRLQSQATPQAYTADLSKLATSTSTPNAFRPSNLGVTACCREVFFMNNGAEL CVAGPRIDALGGSAIAGDCAVEQTQKRAFNSTFDGLRKIARNEGVTTLWRGLSPTLVM AVPANIIYFTGYEWLRYNEASPISKLKSDIYAPLVGGSVARILAATAIGPIELFRTRM QATSGSTATNHFANTFDSMKTMLAEHGPRSLWRGLNLTLWRDVPFSGMYWWGYETIRG KLTDMREERRGRSITREDGSRRRVRSRSQSQENHTATFLDSFTAGAVSGSLASIATMP FDVGKTRTQVFRDSARKVATDGSKTVAPEEQSMARLLWHIVKTEGVAGLWKGWIPRTL KIAPSCAIMISSYEVGKRTFRSINERAAMKQNGEEATSGR PFICI_06918 MSSTLKRKAASSVDASKKVKTGGGNASITSFFGAPKVVSSSTNG SAPRVESPAPTFDKAKWVASLKEEQRELLQLEIDTLDDSWLAHLKDEVTSKEFLDLKR FLAREMAGPKKIFPPPQDIYSWSRLTPFHSVKCVILGQDPYHNINQAHGLAFSVRPPT APPPSLRNMFVALKKDYPSFQPPANKGGLLTPWAERGVLMLNTCLTVRAHEANSHANR GWEKFTQRVIDLVAQKRTRGVVFLAWGTPAGKRVVKVDKKRHLVLQSVHPSPLSASRG FFDCGHFRKTNEWLVTRYGEGSEIDWNLEPTAAPKNEKDDKKKKEEETADQTKKEEPT PVVTVGKVEQKNGNGVVEESKVLEDEGEEGAVETNGEKN PFICI_06919 MPSLFSRIRGKDGQGKPKKKKTGLDDLAGHADAKPRWDDAYART TVEPEEIANLVRCCTLELKARALDHPFLLLPFRPTSDPSAVRIFIRHFFDANPTPQGE ALAQELRMTEPMVISGVLKWCWSRVQGGVVGWDAYELFKVGEQDSNLARDAFTTFIPL SVENEARSSIIFDYFDLMSAVAAHGKTNGFSGRKLSRMASWWAFEYKDTGNGFDGGYR FWLTAADATSHMFFAYLRSLAPQPTVGGISMLPRSLQKLLQETEYPPHNPSLMQSSTY RVNMIVDTVSPTPFALLRRANHFQYRDTDKALHEFSEYEDPIQALTEECRRVLKAISL ANQSQVSSSKHSTGLRDASWSRFEDIGFGGALEEEDDDDELPKSQPTRGLRTTPASGA QGGGRPTTPSWADFLSSGFVDDSPHSPNMLLPPDKVLPPIDAGVRQRSAQSHRPRLES NQHLEPGELASITRFDLDDAFWWVWMSSLAPEETAERKSAFGRCAVIETVIRSGRWLI MEETVKGAAAEPDANAYLAEKKGFFSWTRRNKGVSRSKSTGKQTLDKHNRLKPGATNG AGLSKTSIGPDQQAKIQAAAQQLQAKQAQEQQAATSLPERRGRTDVEVMREKTNSVLT LQPVIMKEASPAMKWANKYDKDAIREAYLGNINAGRGLGQATMQTNGHADYDAATNGN RLPDLPEKSPSAISLAKPQSPVIVEPEAPQQLVEPAVQPEVPSKPVRDVQTGGTGRDS PLPPPPTDFDTMRVAMASPEPGSSPDNKKPKKLHKEEKRGGGGLRKLFSRRNRASKLP ENATMDLNTMLAQDTVQPISAQADIKASSNAALAAPAEVKEEDITPVQSPVSQAPHEA AYEASVDENVSRIDSADAHHANDEFSRFDQGPLTDQPAFAPEEDDSEDDATPPPIARH APDHSPPMADERLSEEGAPSPTVPMQDRWAQIRKNAADRARHSEEQSRGGYSKTTDDD ENTSGEETIESRVARIKARVAELTGNMEGTTGPGSYTPPRR PFICI_06920 MVSSDKAADAHTELSQYIARILLERSNWSLESLDHPVLRIEAHL EEESSQGERTGQSRPVFSHVVDLSATKTSEPRTRQIKAAPVPPRAAPDRAAACPIEPE DRPEEPRNTIPGKSVTEGADDAEEENCSEAASESHHKGTGGLRLARSDSNLVEDTDSQ RQIHSGDKFPKRRKVKPEGHFLQPSTLDKLIGGIWEQIHGTVSLDPQNLVQQLQLRGG DDNNTGGTTIESPIGGFLMAPPVGTTQLIADEAAKPRDDTRTAIPEMSDAFSRSNVFC RQVTQASRACRSLEVIVQARWVEYFDAYVDGWARANAGVPRSRYNKAALMGACADFGW SEKELRNKMYVWRGYKEIKDAGGWVALVFAGMGIYRFCKYRIGFDKESMQRLRNLRPA MEVAADTLHPQWRQLLTVLGESSEKLYHGHQHDWVVQLDGTNPIPLRNTYLQWDPQFS YRQLDESIVDEATWGCDDPRWSMPASDVVRASALPLCETCEKEQSDDPKLNNCYCFPT LFGCAVTSPSPVLVFRTPDGKNNGLLALCAFERGAPIGEFVGLVTKGFREVDVMESTT SSSGSPGSSVSYQIWQGRQGNFTRFVNHSCKANSQYQRFTWLHTQRIILVSKGIDAGT EITVDYSDKYWKGLNKACLCGESCCRYRKADSDKR PFICI_06921 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELSVLCSVDVA VFIFGSNKKLYEYSSADMRELITKYQYHGGPNEHKGPADFNGGADDDEDDDGEGTPPH VHEGSVEPHMMPPQYSAQHVPFPHLRGHTPSASPPINGAPFAPRGHTPQPPQMGSRPS SRNDYRRGPGMPQPVGPPGPQPNGYAYMPQPHIYQPPNQTMPPNVQHSMPPQPQPQYA YQPPPHPQHPQHPQMQPSYVEDQRRASVPPPQMQPYVDEQRRTSVPPPTYPPQAPPPP PAQTHQRMSPPQSHPSQLPPQPVPQMSPPQPQPQHLEVPQIHRRLSQEPQPPAPMEPK PEPNSEKPHQPFLDTASAIKKMPQRKQHSIFTPIDENRSILSQHLASFASGLKEESGP NGSRAQSVDVGSVSRTNADSSPPLSQRHNIPSLGRNSVPTIPETSFTPPSRSNSLRDG APRPRLRVQIPDEQSEAGSNTAETLTTTSPRNISESAVQPTRRNGSDSQPTGGVVLPP PSPSASALLSAGATGPPNPFARPISQSQQGGNMNIDTPVSALPSRFLNTEFLPSPSSF YPEWNFSRNDSNTLPSPLNFATPVHGTGPSFLRDENSNKRKSPEINTAGPASDGPDEI KRVKIDT PFICI_06922 MAASPDVAEANGLEDHSDLFGDIEPDEMEVDDSTAKQDDDDLQK ILNTLATEGNVNGLEGFDSKEIDQTDKADNAIDFEDLSDDDLASDDDAASPAGPTAAT SQVPGLTEDNGSHDADVEGDADLDDLFGDRDGGHTDLPPSSPVHDNAPAAPESPVEAL KAPPAAVAANVTDDDWNTMSWEERFKLNFPQQEPNSISDWITDQTQHQDPSIPVPPQN IDELLRHKFPAFEEHKVLNFREIFPEWPADYVYKEPPKSPPPLVPTKLELEIDVDTSK LFRIPDSALAATSKRSQQQQYGDSTPWSNITGPALMNFDDGLSDSDDENELVGGYSMT EIATICEDWENINDPITSLQINGHLTPPEEEDEPMDDWEREFLGDRKPQPKQKRRYEP GLPRLPAYETHGISNFLESTRRNAQCIVLDQNDPYLLIEDMQQERPAKKARTEEKMRR MANGQLGRDVMSRFNHSNDAAYEALKANHKSKVRATLSNLDVEHSMPAQKLAFPFYRT KLNVEFWEHHRPKFDIARYIKYQIKFKKPAKVKRKELKGKPVAEVYKTSRDLSLNDNA NIVLFEYCEQSPILLNKFGMGSRIINYTRRQDGDEEDKLPKAELGETQTLLPEDKSPF SIFGTVDIGEVVPTLHNALFRAPVFKHEQRNNDFILGFSMTARDAPHYYLRKAFSVQV VGQQNVFQEVPGPHARMITSTNKKRMRAICDRMARHRPTLDIDIREVTSHVFDKVDPQ NRYPPGGDYYSHGKIDPNDRQKMKEFYVYDKESKTWKYKEGDAPMDERTIRQQIKPED VVLIEATQVGSATLQNAGYDPKAPSFADDDDELGTDIPLVAKLAPWELTKNFLDACQS KAMVALHGEGDPTGHGLGFSFIKTSMKGGYINAVQGPLATSADAIERERKANGGHSYN VKKQNEMYTAAIKEIWERQKLTLSDSTTHEDADVLDTANEDDRFNPQKSADHTSAAHL DDGRSQLSRFSQNSRSGRRKLKITRKKKAEDGSIYTETLVLDDPQVIRSYIKQRRELD AAKRDIYAAKPTGDADTDRLQSRLIEQELSRLEKNADRRQVREKQGKGKRKNAQANIA GSPSASGPVDKTAGGTTRKCANCGQIGHIKTNKSKCPLLNGTISTDNNAAELGGFGSF SAPGAANDDD PFICI_06923 MAMYPSKDLLRAKYVGKNLFQVATPSVVLDLAKLEANCNLMLEA ADRLDLSWRAHIKTHKTAELTRLQVGDRSTAPVNIVVSTLAEAENVLPLLKEYQGRGR QVNLLFAFPIYTSSVDRLAAISAQLGPNGLTVMVDHTEQIKHLTSLASKSGSPPQVFL KIDVGSHRAGVIPGSPDCSRLVDQLLVSEAVGSCLFVGIYAHANHSYEARKDWEALNF LGIEFSSLQTVARAVRKERPNHPLVLSVGATPTATSLQHPSFSDDAEIASNLDGQELT SHMSALIKELKKENFTLEIHAGVYPTLDLQQLAAHSRDSKFLNSAAIAISVLTEVCSL YAGRGPNGTTEALINAGTLALAREPCADKGNPPGQHYGNWGIVTPWNCERQVVPGPEF PAKHGGWQVARVTQEHGILQWVGPKTDDSQLYVGQRLRVWPNHACITSAGHSWYLVVD SRNRGREDEIIDVWPRWNGW PFICI_06924 MGNDEDDKPPQTRGSFATAPRYARISTSRSSRSYGSYETGESST ANGDASAVQDPSLTASALAEHDASSKSRHIAKDGTRRRSHKPRPTGGFLLADPIYDGK LGHSTAVDRDDERRRSRIPIDGRRAKSPMPATVPDELASRRTYSSDYDVDMADMIEGA TEERHAGKGLSSATSRIPTARPKQAVDLDSTQIVSMALNLSESRRMAQRRNISSPMPP RLAHVADSPVGGGLKQHLQQQRRTSRNLSPKPEKSGPAPRTVSVSHQRLTNPLQASFD PDSTYTYHFSSSTLNRAQKAKEHFELMAQYRRMLNFVPPLQAKSQASRPSTSSPPTSP NGGQSSASYPFPRTQVPLGRPYNPLQYIRNRKVRARERKVIDGEAQGFSDVNRVTDWV DQAATMAAASPLQPDNAPTIPMYPAAHNIMDPEVLDLAQISSASKSKRPRIDWFFEPA DLVADLYWVEQDDNKSLIEDRHYSRIFPYPKKSLSISRPMSPSKNETMTTPFPPTPMS HGEANASEASMDRDHSDSNAVSRADTETSHVSARDRARQKLQELKGLHHWHNSSHNHH DFLHMRKSSFSDTSDSDGDRRKRERSGTVSANSKALLEKQMNEMLAREALAAKQKSTQ DTSAAAELRPKPFRTSLMTPEKSPGPSVTDNAGSDARMEGSDIDRSDRAPLRHGSPVR SGRPSLEVPRWTNRASLDFDSSAPTSPDLRATKNGGHHIPAIGMDLSPPGSRPVSPVR KPFSKVKNIFRDRSRDRAAGATSGRDEHPDSPVDESGMLLSSPVSADDLNSTVRLRSK SPAPRIPRVETHKSHKSIGSLRLGKDEQMGLRNILKGGAKIDGIIRGGVSKLSDLVWK KDQESDSSSSSTSSDESEAEPARGRLRTPAALSDSSLRKTDEAHQGRNYLDAMPVFKP TSETDRTTIADSNSKLVPLNNPSQVAGRRSSRFDQLKPPRIDVSNASPTSSTGPLPDF SDKNATISDSDSRWRDSGVLVDESHMSGLDALLSLPAPLNRPQRSSSSQTRHWSISDR SPSPQPSTQLSKREVARLRALALSSGIKAMEIARRAAEPQPIISPSGSKTILPVPWDE LRPLVADKKMPATVSQLEVYTTTAQVLASSIRYTDTALEKSAAAFANGEMRQVHGRVD TMHTRVATDLIDMTRRAADEADECSRDMVDSQRLKVKRVVDIIDKMLRRRRRRFRWVR RGGWLMVEWVLVGFMWYVWFVVMILRIFLGIGRGVWAGAKWLLWL PFICI_06925 MSLPFRDINVQVATDSYTFTSPSSPNAPALVIDRPTGDLRLSEG TPYPNKRVSRVSSIAGILGIIKLRLDKYAIIVTKAQPMGRLKGHMVYKVVATELLPMR ERQIRDPDEDTFLSLLKTHVLPGPMYFSYSLDLTNSFQRQASMDSSTPLWQRADDRFF WNRFVSTDLIDFRKRGSRSQPGPQVAADPFILPVIWGTLEIRPTSLKGTPFTLGLITR KARYRAGTRYLTRGLDEDGHAANYNETEQILVLNDTGSGLGGFAGSNDMQSGKFGASD GKEMQILSYVQTRGSVPALWAEVNTLKYTPKIQVRGIESALPAARKHFEEQIRIYGDN YLVNLVNQGGREKRIKDTYEQVVEKLVSNPTSGVESNTRTDEKFHVIEPTASRQSLDR LHYVYFDYHHETKGMQMQRAMLLVERLQDALIAQQYFRGVDMPANGGLETRNFQTSVV RTNCMDSLDRTNVVQSMLARWTLDRMFVDLGILEKGETFAAVDRDFYFLFRNIWADNA DTVSRAYAGTGAMKTDITRMGTRTNAGKLQDLKIAITRFYLNNFRDGPRQDAYDVFLG AYDASSANIGSALVFADRRPILIQSIPYILAFSVFVILVSMFTRRAPDAAVLPLRLFV LAWLVVAAWCTQFILKNGMLYVNWPKLNPRPWAVEGYQETISKVRKDKLLGPFVAKHE RGLSTARYLSAEEGKKRIE PFICI_06926 MASRGAPAGARGLNSRFAQFKLVLLGESAVGKSSIVLRFVKDQF DSYRESTIGAAFLTQTISLDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDI TQSASLDKAKAWVKELQRQANENIIIALAGNKLDLVTEQPDKRAVPVAEAEAYANEAG LLFFETSAKTAENVRDLFTAIAKKLPLDQAGPRHTRPGQRAGGKPTSAKGRSLNALML RTSLRSVRAVGSKPIAAAAGRQWHPAVARSARVAGLRFYADEKKPSIEDSQPVKLPSV ETNTAATSAPALKVEAPVVKPEDIPLTPPAPEDVAATPVKKKGFFRRLRNYIITLVLL GGLAFGGGVWYSRINDNFHDFFAEYIPFGEQAVLFFEEKDLRRRFPRPVGSGSLHAKD AGEQVKIGPKSGASWRVADSGEQAPRMSSAMREVAAKHESAAKTDIPAKAEKAVKAAA KPVAATSAVEANNKAPEEKTSKPSNPAPAFKAPDVDEPSRFPPASPIDPLSVKDAEDP VVQNLVKMLNDIITVVNADNADDRFSATIGKAKNELNKVGSQIRDIKAQIEKDAASKV STKVNEFEAAANELVGRVERAMAAQESQWREEFASELKRIHEVYDKRAKTELEREKLV NEQKLENQLLEQAVELKRQFNKQIKESVEAERNGRLGKLDQLSSAVRELEQLTTGWND VVDTNLRTQQLHVAVEAVRASLNDSEHPRPFVRELVALREIASDDEVVNAAIASINPS AYQRGVSPPSQLIDRFRRVASEVRKASLLPDDAGVASHASSWVLSKVMFKKEALPTGD DVESILTRTHTYLEEGDLDRATRELNGLTGWAKTLSRDWLAEARKVLEVQQALDVIST EARLQSLKVEQ PFICI_06927 MHDYFDEEPDVLLSEDDLSSAHSSDEDDLDEGPPNPPPPISQNF FAPPFYGRPPTPLPPSPSLTSLLRPSRPTTPDASDDEGALEPVPRASPKVPTYEYYGF VLYLFSSLAFLIYLLWAYLPSPFLHALGIFYYPNRWWALAVPAFLVMLIVYIYVALAA YNTEYLTLPLGSLETVVDEAAKVACVDSRGRLRPSKRHDEAAAAAATKVSESRALEID WRAVWNKGTDGVMDVPLAGVNEVLYGYGDHDDDDF PFICI_06928 MAQPLTSANAPTDIYGGDEVSAMVLDAGYVQTRAGFAGEDVPKS VLPSFYGSANGKDVFGDECLIPRADFEIKNYMSRTESVVEDWDAATKIWEHMLIKRLQ PERETPPEKNGLNDPAPDGEGDVSMEDATAAATATEDQEKPLAETPLLMTEAPWNSSK AREKSIEIAMESWGVPAFWLTKTPVAAAFAAGKASALVIDVGGANTSVTAVHDGMILK RSIQKSPVGGIWLSSQIRSMWDSNEPKVEVVPTFMVQNKTPVDAGQPAQAKLRKFPFE ISPSFRAYEEERLLTEFKESVVEVWRGPGKYNNPGNEELVKSQPGRIFEMPDGANQMW REQRYRASEGLWDDAAQLPVSGSDPITKAQTIPELIRACLNNVDVDLRPNLLGNIVVT GGSSLLSGFNDRLNNELTAMYPGMRIKLHAAGLTTERRFGSWIGGSILASLGTFHQMW ISKKEYDENGAGIVEKRCK PFICI_06929 MSSRDIEHPRTADESSPLLANTSPSQGQQHQLDRSSDEAPTQIR IMAILTTLAAVYGGTAVALGAFGAHGLKKRIADPARLQNWNTAAQYQLVHSVATLVVA SLAPQTRATRWAGGLFIAGMTMFSGSLYLLTLDPQKYRSMGPVTPLGGLCFIAGWAAL AVGSRGRLGLGTLGAR PFICI_06930 MAQKGTRAASHAGSWYEGRAKVLSKQLDGYLADVPTTLDSSSLP IPGARVVIAPHAGYSYSGPCAAWAYKALDLSNVKRVFVLGPSHTYYLKGCALTRYAKY ATPFGDLVVDEAITKELRDTGKFSDIRTSGGEDCDVEEHSLEMHLPYIYKRCSQTFGS ESEYPTIVPILVGDNKGPEEKAFGELLAPYFRDPEAAVVVSSDFCHWGRRFRYTPHTK GSPIHEHIKDIDHQAMDAIKGGRHDDFVENLAKTENTVCGRHPIGVTMAALEVLAKEK AEETDKYRFKFVQYQRSGLVEDMGDSSVSYASAYAII PFICI_06931 MQKGSTRSSRASMASSSTSARTGVPARPGVRQTTTRASSLRPPS HHAILAAKIDRAAAPSPAESLASTATAGLKRKEREFDISAIGEETNINVVVRCRGRND REVKENGAVVVQTEGAKGKLVELSMGPNALTNKTYNFDRVFSQAADQSMLYEDVVKPI LEEMLSGMNCTIFAYGQTGTGKTYTMSGDMNDTFGILSDNAGIIPRVLHSLFKKLEGE GIESSVKCSFIELYNEELRDLIAREENTKLKIFDDTSRKGHAGTIVQGMEETHIMTAA QGVKLLQDGSLKRQVAATKCNDLSSRSHTVFTITTYVKKKGEDGEDYISSGKLNLVDL AGSENIQRSGAENKRAAEAGLINKSLLTLGRVINALVDRNAHIPYRESKLTRLLQDSL GGRTKTCIIATISPARSNLEETISTLDYAFRAKNIRNKPQFNALINKKTLLKEFTYEI ERLKSELIATRTRNGVYLSNESYEEMTVESESRRIQTEEQAAKIGTLESNLKNKVQEL FSLTSNFMGLKKDHEDTRLQLDDTKGVLNQTEVVLAATRKSLAEETHIRKAHEKTEQE MHIIGDQLISTLQETVSHVGGLRAKNKRKSDLQSLNRGTWEVAQDQVADVTSLVENRV QEFQGEQEEHIANISQRMEYFVQGEVQKLSSTQAFLNANLEQFLQSRDSLVSQKEESK KEMDEVLEEIKEVRDNIKERVGESLQSIAAAAEKIAQDVLSELGTFHNQLHASYSSLG KDFKTIFEALMRHITSQREESDSLREQLKDAGDIILRSNEGIAAKIQQVIEQERRQAA EERKNLLLQITSLISSQAELQESRLADKAADIQRSVRETNTEFEGSMAVYSQAMDEWG GRESQLMSDVSKSRETLKTKLKDDWNTANEHSTSIQGTTKSVHAETVRVVDEQMRDLD EQMTALDDFVTRARSQNAAHHDEHVASFTKLSGTVEGSYGNIRSHFKDTCSRVEDLAA DMEATIDTAMDALEPLDENMCRPLATLREEISATKLQEYQPTGSTPQKMVYEYPTSLP HTKSHSALINELHGGSSPSKSSVASSGGVFADADMTITEDRSPLRPGSSESSASRQPL SMSLREVHPNVNGASTFGFEQASPVNATQTFSASIGPGIISNTPSDHDSTPPLYKKSK IMRTANLGKRTGAVLPAEGRENMPPVDGAGEIFAQSSSRRKSPRLAR PFICI_06932 MASLFTATLHPGLGLGPFVLGASLHDILTRLKAEPQRFPKLNLM HNPQQPVTEPVVLELPANGIRLRFDGSEQRLRLIEVIDFTKNRITYGHPEKDIVKPPT DQGVASPRLGGGGGESTTGPNFRTIYHRLMGPTYAGEYIPPSDDSSQMGTYILSYPGV AFSFPLPVSGYSPDKDVVSLLASTQSQTASSMAIFNGKSWAEARDALWTEVLPSINST YLFNKSKDVVPDEISLVKIHGGGKLQLFKKWTPSSVWITLGETTPQDLVGELGPPDAI YRKSDQRMYIHKDRTASHSRSRSTGREYKRGDDLTDTDQSSVHTGSDETDEDTLEDEF VGNVSKECFYNYFYLGFDILISPPVTPSPAPPSQETSMNNESYPNDKGVRTESPDRLV ASKVILHANIPGSYPFNRHRRCRWEIAYLSTLSSDAPSADSETKFNDLEERLREEWKS TYPSDSEAHKRQRGMVLNRGWGDSTGSSCELLGGWEDSSGGVAARKFDGNEDSTTTLY GFPGLVFEVLKNGYVSAVTVF PFICI_06933 METTLPLPFLVGVSAPPDLAPGEGLTSNELSCLGSVFFDVTQQN LDEVLNFLAQHNSSHQTFVDATDLDSKDSVVSVLDHGAKKVFVQPERLSDFADFKGRV APAVTKTSELKAATQYGLLLKDFDQTRCDVAEFLEKNKTKKTPPIYIKPAAERTVYEH FVDICAQISAIPILPSTALTTDKNDDKKLFVSKLLSGSWSSDRSDKLMPTVVCDERGV ALGLVYSSEESVAESLRTQTGVYQSRKRGLWYKGATSGDTQELVRISLDCDNDALKFV IRQKGRFCHLDQFGCFGDLKGISKLEQTLVSRKQSAPEGSYTARLFSDEKLLRAKIME EAEELCDAKTPEDVAFEAADLIYFALTKAVSAGVSLADIERNLDGKSLKVKRRTGNAK GKWAEKEGITNGTADKAQEAAKQAPAAAPLTSAPATEAQTAPSSDRITMKRLDVSKIG AAQVSEALKRPSQKSADAILGIVKPIINDIRENGDKALLSYTHKFEKATSLTSPVLKA PFPKELMQLPEETIKAIDISYENIRKFHAAQKEDKPLRVETMPGVICSRFARPIERVG LYVPGGTAVLPSTALMLGVPAMVAGCEKIVIASPPRSDGSITPEIVYVAHKVGAESIV LAGGAQAVAAMAYGTESVTKVDKILGPGNQFVTAGKMFVSNDTNAGVGIDMPAGPSEV LVIADKDANPAFVASDLLSQAEHGVDSQVILLAVDLNEQQLQAIEDELHNQAMALPRV DIVRGAISHSVTIQTRDIDEAMRISNEYAPEHLILQLNNAEEVVDKVMNAGSVFIGQW TPESVGDYSAGVNHSLPTYGYAKQYSGVNLGSFVKHITSSNLTAEGLRNVGQAVEQLA KVEELEAHRRAVSIRLASMQK PFICI_06934 MATTASSSQPNGVPPASSAGPSQSQTQPSQSTTTATASQATATG SGAPAAAPAPANGPTSPTTSAPRPRDARTIELLLTSQGVTSYDQRVPLLLLDFAYRHT SSILSDALHLASDPYTTQAGAKPSGASGVVPSAPSNTDASVSANAINIAIASRQAFSF RGGSGAGGGGGGGASKDWLLELAKERNKVQLPRVMAHEWGVRLPNERFVLSGMPWGLR DTWAEGSDDDDDDEEDQMEDAMEGVEVVKKEPDTKDGAEPGGLDELLGDDMEGDEDME GME PFICI_06935 MQYVRNISDSVSTAWNSINPSTLSGAIDVIVVEQDDGTLACSPF HVRFGKFSLLRPYEKKVEFKVNGLKQEYSMKLGEGGEAFFVFETTDNIPKSLQTSPLV SPASSPPLDPDQSSPGVLQEPDLLQLDGSPGKPRSLTLTRPGPAPMLAQSLLSPNATS PELSHGRPVLNDLRDRRTPHYSDDVLPSSAMSYSNNEDSPSRTGRGATPDASSHRSIS PPALRPDEAVQRAKKVSEELSAVNIPSHVTDTGDLMLDMTGLKSSEEDAIKAEILARD ILAKEMEGDYDIGALFGMDEEGNLWIYSSEDAKEAAMKKTVASLRPSATLPIDAASDP GYASDDSATSAPEPSHRRSESDAGQMSVGTPPTTPGSSTHAGDPNRNYAKTLRLTSDQ LKDLELKPGENSMSFTVNRATCQANMYLWKHDTPVVISDIDGTITKSDALGHVLNMIG RDWTHAGVAKLYSDIVANGYNIMYLTSRSVGQADTTRAYLNGIVQNGYRLPRGPTILS PDRTMAALRREIYLRKPHVFKMATLRDIRNLYGPDSHCFYAGFGNRLTDQISYRTVDV PRNRIFTINSNAEVSLDLLSLNKLKLSYVNMTEVVDHYFPPISTLVKGGGEEYTDFTY WRDQPLDMDQFSFSEDEDDEAYDEDADEDEDVGNMGDSYLSRGDDDEATESIFSGSGV YEDEQQMMNSMLQGEDDADDEDDEELEQEEEEAEDDEEGINEEEQLTQSVEMGDALLD VEISRGNSGSDAPSADINAEIITGLQNLGVEKDAKPNGIE PFICI_06936 MRFFATIVLAMTAVTAGAMPVTADANSYFISKRDCPGGSVTNCA AGLDAFCNIECLSADGDLGCLSSCINNNFSLCQAQCQ PFICI_06937 MSERESDLRIPPMQPPSVETAKHQRSITGLRWILVCTAIFSCNL LYGLDNTIVADIQAPIIASLGNVNKLGWLGIGFPLGAIGIILPVGKSYAVFDVKWLYF WSLTMFAASSALCGAAPNMDALIVGRVWAGAGGAGMYLGNINMLQLLTTPSERSVYMA GAILVYGTGVILGPVVGGSLADSSSSGWRWAFYLNLFIFATMAPIYVFLLPSMQPQMG WTLAKKFRSIDWLGTILSFSIYTIFAMIFTFGGSIWDWSDGRMVALYVVFVMVAVAFT LTQYFAVLTTKENRIFPVQFLREPTMVLLLTCCTALSGALYVIIYYLSLFYSLVRNEN GVQTALRLLPFVIFYVFGVMLNGIFMVRWGYYMPWFLVGGILITIGGALLYTSTIDTT NASLYGYSVLVGLGLTPFQASYFVAPSKVQPHEITEAIQFINIGQQGSILVALAICNT IFQNVTSQRLLTILVPAGYSEEDVTAAIFGARSAVLQSAPAELKQAALEIIVDAIDDS YILIIISGAILVVSAALMKREKISIQLQAVG PFICI_06938 MTWTPWTTSVPTVLVVCAVVAWWFTEPKTVHLNLIVVIGCLLFF WAIAPELAQHSPAWLLDTSINVVTFLRLDYLVLHHTNMLVTGAAILWLVQRTWQTLRK PVPELISILGVDVPDAPDVSLAGIRPDAATLHWTRPRANRPVSKFLIQVNGISVGESA PTETAITVTGLKPDHYYNVVIIAVGHNNFQAGSRVIRLCTFSKDGRPQLGDGRLPSNF DPSEQAQAEITTHDDDGTPRNPAAGVETASVSKDSLVPPSPAGASSIARRNTLTRKHS PSTTSMDQSVREVLSKIPAESLQKLGEKFENIRKEMEDIQAQIGKDEKEHKDLMDELA DEKKSKRRILKEKDDTTEKLKREMGSTDRAMRSSQQKKTQLEKRLKDKQSELGKLHDE IAKWDKDITKMHERQGAFEEDKMTAQNEADQEITTLRVDIDALQASLALEERELKEKG KELKEAENQRKKLPGGEESDEWQERDRLLRREWDLKHQDLSRRLGFAKVRIRNQKQYE QLLQQQLMAAQQSGLAFSYNQANSSGVDFDIATQNQLKRRSRTGTSVPPNVTGPSPVS SFAITDRSYPPSMFAVSRAPTIPPGFSEGSMPQLPGMPTYGDFSIESNEDFARQLDDQ SLAALTGGAPLSPTAAALLPAGMLDMVDDDEPPSPESRPARQGTFGSNISPENDPQSP VSSGRSLSISSPRSSTQHLPFSQYTGDTSERMSLRGEMGTASPGAPRTTASNRFNLLP WLNRGEKNTEEPPALGSLKSHQSQSLPRQNDDGSVLPGRRRISLSGGGGWNMFNRNSA GPGTLETSGSGGLVSRHLGLFANAAGGGYSERDPSSPRPVSISSTDLPRPSTESGPIW GRQHQNRIWSPEGGDPWSSRNPSRRPSLHGSPSALKTTLADADDVILDKDDILRRPSV VGVIGSRAPARTLTQRLNPAAPSFMLSFRSRDRESNHSKDKDKGKAKVRDKTVSKDKK ARESITSASEITDMASLDDSPSDHRMSRDAFSIETPSISESRESLSLDQSFSNTPSEP AVGSGLKEPESGFRKLLRKGSSSKFSLSSIRGVGGKKGPGSVANSDKNQDRTSFDIDE LLEDNGTMAAAALGRSYDSVSSSPGFGSSTSTGRPGTKEGRTGWGRFSIKKKPGKERE SLEVDHDDSVPPTPSTTTDDDSRA PFICI_06939 MASPSTGAGASSSSGNGTENMAMPSRNPLPLSASQEAQVREVFN QRVRRACADEVRAFAECAKQHTFTVSFACRQLTLAMNSCMAAHATQAEHDRAREEWFA GRMARAKERERKERRKLEQEKFHREWWGLPQKDPEAIRREEEKLGRAERIGGFRSQRP PVAGGGDERTR PFICI_06940 MASSQNTTSDTNHGTNHSVTDEPSTASLQRENPEASDNDLINLR LAAFLNESMEHGNRNVMLPDQTADPSVTIKKAEASMAKKLQAILGCCNIQ PFICI_06941 MQLSLSNILHFFTAYLSPVVAATNDLPITHITARKPTFGSGSFQ NPGARVRPKFRYWIPDASVEADVISQDVKQAKEAGMGGLELLGYHLYGGPPSNGAGRG KFAPVDWAEYGFGGERWYETFRSFAEAIKNNSLVMDFAIGPNQGTGVPAPDDDEGLMW DIYIDNQSVPLGGSFNGTLPGWGRGTLLAAVTGLEINTTNITAANKLQPDPEGLPGDY YLSRTQITLSNSSLIDVTNKVDDQGQISLEFPTSETTASGYNIFAIYVALSGYRAQQG PEGLGGPQTTPESWLQNGSWAQYILQNGTRELLREIGSYAWEDSIEIVSNVYWTRNLS ASFLADHGYDISKWLPILFHRNGKSSNNPAVWWVTDEPDGGNSHIADYRSTLAGLYRE YLTALNDWARDYLGLGFSAQISYNLPMDMLASVPWVSVPETESLDFSDLIDGYRQYSG PVYLAGHGIVSSECGAVRGEGFSQTLPELLWHVKRSYAGGVNQFVFHGFPYSGYYGNT TWPGFTTFNYQYSDMHGPYQPGWQYYREYMDFVGRNNFVLQSGRPKIDIAFWQKKTTY PGHVELRTYPTTDLESVGYTYQYLSPDDLFLATVEDSVLAPNAQGFKALVLQANDSLT VDGTTKLLEFGQAGLPIIFAGGVPSSYVGTFGPDEIQQANENLQELANLPNSHITSSL EVGSALADIGIEPLTRISSNGTWYTQWHWDPDNRVDYIFVYSDAMHTPQGEGETVGTI EFESTGIPYEYDAWTGDQRPIMQYKRLANTTLIPFQLAGNQSKIIAFHPRTTQDTSRY QASWEFGDQEYPYINSSTFPELGRYPPTINTTLSPSRCDSFELQNWTLIVEHWEAPID LYNYTGGAYRYNTSHELESLQSWQEIPGLQNVSGIGYYSASFHWPPSQCINHSDISGA MIDFGHVYHTLQASVNGRRLPAMDVTAPTADLRFSLEDGSNVVEAVVSTPLGNTLGTI WSKLQSSGEGPASPDAGTVNKPRVGQYGLKQQVKIVPYHSNALWL PFICI_06942 MDDHEQDYDQDSTEQTPLVQNGQVGRNNNNTRHQRRPPHRPMLS VASIASIASVNVPKTHNGNTIVFIFCGILLIVSCADGFANIPLTKIVENFLCDQYYEE AHGIRAGGPDLVVDPRCKIPEIQSKMAIIFATVEACNAVVGSLAALPWGFAADRLGRR LVATIALLGLAMSVLWIMAILYFLQTFTVTLAATTSLWRVVGGGSAVLVAILLSMISD VIPEDQRATAFMRIHVSSMIGSLVSPALSSAMLRVAGPWPVMFVAVGCILAGAVAFMF VPETMQHKPSESAEQPHSDDSPQGIRGHLDHAAKQLRHSMSMFKSASLIILLLTCLTT NPIAGSVSQFLVQFVSKRYEIPIESTGYVQSGYGIFQIIHALLLLPWISRFLLRDKTP SFLRMPNEQVRDLALARWSFGILGFAFFIMTIAPTLWMFIAGLCILALGSAATSLTRS LMSLYVDQQHRSRLFSLVGIVDTVGGLYGPPMLAGLFSLGMKQGGVWIGLPYLGVMVI AVLVVILMLFIRVPKQNIEERDTSEEGRTHQD PFICI_06943 MAISTACRHGPNCPKCQGRIARSIGPVQKTPPQANVQNKSQDDA FRAIILHHLSVNDTQRSPGKSRLYTLPQEVVNMIARHLPYETLLLLHTLSKTLQRIID PQLASEASKISLVMRAEKDGTHNFGRRPPPRQQMRDTATAATWTDMQPRLGCFCCFRV LPVGEFAAEQLARDPFRPATAPASFLRRYCVPCGLARQWHYPGEVIERRDASTCWVCQ CRRAWDKSTTLSCRYCGS PFICI_06944 MSAPEEVAQMGPTSNLLSLENSESHSNNHETECILGDQIQKDGG NSAIPTENVGNLSVQEPIEPSMASGAKPLPYVKLNRDKDPKGVAFLTNLYKGLQTALE DGSESDNDDQNNAAKGADTALRSKCWTENSSSRVSETSTDEDRPEGPTHQGHPWESDE EDDSDSEFDDDEDAAGKEHRETSLVTYKTAFGPITLDTTQNAAIMDDNCSVIVQTHQK PAATTPGVDLITDDTTRESVTMKEDHSEINQTLPRSVSAPIGPMARPESFRNEFYEVK QSATAGYGAFALQKLVEGQTILIEKSLFHAHDKTLRSEIRKLSPELRRAFDRMHGNGI HEHSTILERRDAKFKTNTFMMNQQDQGVFLTASKFNHACVPNNNVAYTYDKQHDCMVF TVERDIEAGDELFITYGKDPEDLFKQYGFICRCRSCQSLTHKQIEKIRNYGL PFICI_06945 MTQHGALSSLETTSQDNSILLNIPPELRFQILELALDLPPGGVS LGRPDRTNCQDAMSIFLVSKQIYAEAAPIFYRTARIDLRGCSSFSKTSPEKAVRRFLQ ISERPRRHIRDLSVSLGMADCCECESLVGLQLEKQLDLRSLNVFIGPDYAYPPVGCAV HPPTRPRHFFKSHLDSGEQVTGPICLKETQYQAFLQFLTRANFGKIVVKVHRFHVHFL CQFHVPDKGRNCYGEWRGPEDWVIVDHEAMIEALTNVQVDDSVAAEKGCVVYSRRV PFICI_06946 MLKRPPTTLTLTSEDISVYEDKRSREAAVRRTNQPQFAPTAAAS FQQQSPYARPQRRTILDPPPQQATSSSSALTPVGPSTGGGGWTEPATEQDLRNFAAQQ RHHVQQQQHLQQQQRRQQQQQQEQQQRYTRSGGAHFTPVNRGGSAGPSAHETPSNGAA GAGFGAAAAATGDDSDFPTAALSSPPEAPALLLPSSSDVEKDDDGDDDEEDDDGDESP EDEEMVDYDTPGQMQSLPRSARPASYHQAQAQRQQQQQAQQQRRLHHHHQQQQHEHYA QQPPSNVSSSFTTPVNSASAPPLGGGPPPPPQQHTPVPSNHHSTAGASQIPQAPLRRT HGRTVSASARPGSGRGATSEAQQHAAAAAPPAAPMRVTRSRDERLGTGTRRPGR PFICI_06947 MPAPLLEADPAVAFDAEQEVFAEPEVLVDDTPARPYTVFHEHVA LDIDLHGKSIKGHVDIFISSKEALKEVFIDARQCDIDVRNITVGYARAHATYNDPYEK VDTPEGYLWSAGQWPLRKQRIQPLMHRHRKNESSAIQYEDELNCHTLDGSIKIAIPPI KDIQTSMAKQRESRASTQGHEVLDQWVDQYDKMVEQNMNQLYKITIPFALKNIRDGLH FVGIEEGDTRYPHVYTRHSVEPGLACSIFPCIDNPGCRSSWRVSLTFPRTLGDAFHQR LVTQQQPVNGATAANSHKKRKRGEEESQRSSLALTEEDKMLELTAVCSGKLEDEVINQ RDETKKTMVFSCNNKAARHIGFALGPFEHVDLWSEFRTEEDDEKLGSNATKVHGYCLP GRAELVRNSCAAIVNAMDHFALTYGRYPSDNYKMCFVDDMVADTVPLCGFSLCSNRLL FPREIIDYEIEVTRTLVHALASQWFGVNIIPSEKSDIWLVAGIAWFMTDLYLKSLCGN NWYRYRMKDQGDHLVQIDFSRPSLEDLGNHLHLGDFEMNFMNLKANLVLFILDRRLSK SSRSAGIARIIAKMIQKANTSSQSADEILTSDMFRKACEKHGQTRLEGFWKQWIKSAG CPRFDVHQKFNKKQLCVEITIRQIQDIAHGKARQLEKDDFWREVVEDNHGVYAAELQH CFTGPMTIRVHEADGTPYEHGVDVRDDTGKSIKFNIPYNTKYKRLKRSRRQKERQTAG NAANAGEEETTDEPLIYCLGDVLQGDEDIGRWGLADWDPEMEARMDQESYEWIRMDAD FEWICMMVTNMQPYMYVSQLQQDRDVVAHQDSMLYLDRESQRRPHPLISTILTRTLVD ERYYHDVRIMAARTLSQLGVDNLKQIGLQHLIHAFDHLYGIGEGHRPRPNDFSDKSQY LVQCAIPGSIAKIRDADGNSPKEARHFILQQLQSNNNAENAYSDQLYICKLIEALATC LIPDEKRAKKQTMSFSFGDGEDEDAEDDVDPEPQQFKESALEEIDRVRRTDEYSPSFD NCFTIAALDALYRLMKAKVIPIDPLTFMQYLQDWTIEGVRIKAFEALVELQFMAKPTF LRFFLIAMATDGSPYVRDKLFKIFCRGLASIATGEYEIEEQDVPAPSDDLVVEAGAGI IEAKQKLKARKEKLPDARAALKDATKDDRELQMAVWNALDSPALTVAERRNFLELCSI LFEEDDRLLVKFDYPKIWTCEKAPKERGRCVVNFNRHYRTESRKTFATPVISRPEPPK RTITLNLNKASSFKETTPIAVKPPAGVFHQPAQTTKPGLPPAKPLDKTTTPVVAKSTL PKPATLPKPAMSKPSVIKRATSPPPPKEIRKDSIAVQTPRPSIEGSGPVPERKVVPAP HAKPQQNRESLLSKGLIQKTQTSKIQVSKPVIPMVPKTAPPKAPTPKALPPKPTLPPL AKTSGDSRPSNPVPSAPKSLKRSNTESGEGERPSKIVKLNTKGIPISVLSAIKKRSKL VKLPFKKWDKLKLRKPPSSATSEAGTIRATASKPLPSQREKERSKSVAIGSPASTGSP RSATPTLGSGSRPSPPIHNVKKSATPPVYSQNSGGSILKAPVRKPLPGSGPAGSSGGG SSSSGGGGGNGHGTGSERKPLPIGGRTSLPSALSQTPSNSVTSAPIPKSVPKLKIMLK KPASKPPGP PFICI_06948 MPTTRRSTRRDLGGSLQVQDMVQRHENGGAKAQLAQHRRRSTRN YRPSSTPKTTAAMTELQHEIAESATDPTTTRPERRNSARMKRPLELIHPNPDPTKVKR SRIAVEIKSLAKLEPPSPSRTIVVRPPPPSSTVDVTTQQNKPPPPPPPPPSSLPTPPS TNAAPAAEPATQPKTAQKEPTVHQKKVYNGIRHELDRLQPSLADAGLAKESGGGRKLR SQEATRFKSELSAYFPDYDEVIGNDAKESHVLNVDTPILVVDSVASPHHNVRASHPRQ AAATTASVSSKQNHHAKLGADTTTGSALWVSRTENYHVKQYGDDLYSNLYDAQRIQLP GQKAKPDHLNDPLPDSLYETAHRRAERLEKTIRNTEKGRAQHERDQIVRLLGELQGPD WLRTMGVNGVTESKKKGFESARSHFIKGCEGILEKFRWWAQEERKRKLERDRALAEEQ DDDEDDDEEEEEDPDEDQVADSDADEDGEMLDVDSANRDPADDSDGDPPDSSDVDAHI AKQLHEEALARVKRPPSVSNKRQKRDLSPSPVPQPPREFKSFFDKRHDRDAALSKVRR SRRVALAWGHAIPETIDEDFELQPEYRDEETMQTRERRKRRDRRSNHR PFICI_06949 MPSYKQLLKASVSLGSLGSNIPDSVSLTGTSGNQLLGYFSGWTS KLSLSTSDCDGFSFKSLLTPLTVFTSTQEPVTCDWVQSQVETYKTHDDVYEESFMKTV YLQGGSSYNNDISSCLAQVYGTELVFFDEESSQKYAIVCNDTIPRGPYIAYMAGSDIT LGPVYRVYHDELQAFMNGILPNGTDGAYRYAGVNTMSDATVGIPVPSRLYSANTTTES RPFEGLRVTVKDIIDIKGIKTTNGNRAWAKLYEAANATAPVVQRLVDMGATLIGKTKT SQFANSDRVTADWVDYHDAFNPRGDGYQDTGVSSAGAGAATAGYDWVDVSICTDTGGS IRIPASKQGVFALRPSFGATSNDGVMLEGQYFDAVGYHTRSPYTLQSFGKAWLADSNL TDGYTAFPRKLIVPGNLFPVAKNASQAVYGAWIDKLADHLNATIVTTSIGEFWNTTAN KPGTEFFSYMQMVAANLNWKNQVEKVIDPFKADYAAKFGGRQPFINPYPAARYATVIN TTDEDVEESYERLMFFKKWFGENVVKASEETCSESLFLIPMFAGEESYRNTVYSPPNV SSWSAFLMYYYSTQSQGPETVFPIGEVPYFSNITYMEEKLPVSIDVLAHKDCDLMLLD LAKDLADSGLLEEVKTGSTLW PFICI_06950 MTENPVTLNDDQTGADPATPAPSPRQPAATTPPTQPLLGSLPLT PPQSPRLGSDSKASLDTAASRRSTRSHQEYLDSLQPPSELEFERLAKVQQEREQEHKR RNRHLLQQSHTIAQQSADAEHEFAEANQHHSLHNFLAHARRSSEGRAMAAAQADEETG NVQSKAAALIQRNYRGYRVRREMKGLGIDANTRWTHVIRDAQWKSMNTPRSRGDSLLS PGNTAVEADADADSAKPRSSQSAARQNWKKAAIIARRAGGDEDSDHSDDDSDSSDVET NPNMTAEQRNALRQRRASLKEKRRKHAKMMGLQYFLEMVDLKHRYGSNLRQYHEEWKR ADTHENYFYWLDYGAGKNIELASCPRERLERERVRYLSREERQDYLVKVDSEGRLCWV KNGLRIDTTEEWKDSINGIVPIDDDTPAYVAQVESHSQNQDGVPRPQYHPPEHVDDDA ISEANSDASESELEAARAAKYATPSLDDAKGFKKVKHVSAATIFNKLLRKSVKKNTWI FVADTSFRLYVGIKSSGAFQHSSFLQGSRISAAGLIKIKDGRLSSLSPLSGHYRPPAS NFRAFVHSLKDEGVDMSHVSISKSYAVLVGLEAYIKTRRKGKEALQKMTHGKEKILDP AAAVKRKEAAKDKSKSAELERRHLEKESEAREENRAGAKFMQKLGLRSRASSVQQSSG IAS PFICI_06951 MSTEEVKKKPVGDKQPELGGLEGETVLAVESGVQINAAGYRDQL KRQYNLVGLAGIALTVDNAWAALGSSISVSIANGGPTGLIFGLIVAVFYYTFIGLSLA ELASSVPTAGGVYHWATIAAGPKWGRAVGFFTGWINFYGWLFDLAALIQIASNILVQM YAIYHGGYVAETWHVYIGYILVLWLSTAVVIFANRLVPYTQHAGMFFVIVGGIITIIV VAAMPKQHASNTFVWNSFDENNFTGWPGAVAFLTGVLNGAFTVGTPDAITHMAEELPQ PKKDLPKAIGLQIGLGGLYAFVFAITLGYAITDIEALLGDSNNYPLATIYAQATGSTG ATFGLLFILLIGTLCCCVGTVLTCSRIYWALARDNAVPFSPLFAEVNESLSCPIYSTL FVSVVATGLGAIPLGSPTAFVNLTGSFIVLTTLSYAIPFVANMVTGRKYFPAGPFHLG KFGYAINGLAVLFIAFFGIFFCFPYAYPTDAETMNYNSVILVGVIALSAIWWVLHAIR NYPGPKVMHLYIHDDSVAVEQTGLSEKPPRS PFICI_06952 MFTPVYGPGPPRMSSSHGHRYSSSSRHSSIQHLPVIEEVPPPAE KSALRPLVSAAFWFPASPPPTYHFGVGSDSSGVEDNVENVWVPPMNGPRRTRRRTRLW YRRPAWLAGRGGWRRLALFATFVLVCVVGLILGLTLGLRRNNPDSPDSVMAANQFPAG SYAFKTTLASTSTACTTNADTFRCYPHTSRNASATGSEATFLWTIALSSQSSSSSSSS LQQPEYIVSAAASSSPFMISFTNVSVTVLDRGNDAERLSFQIPMDLGVVPTGDDGGGG GSATCWFNGTATLGATIWTRRPPSLLNRSSSSGGGGGGDGGNGSSSSNGTEPVGIVET ARTATSASSTSSFTPWPFAVEIERSAAAAPDVPTCVNTKGNTLGQFGVAAGGGSCICV YDNLGTAS PFICI_06953 MAAQSTLRHSASQDILAAFIEKYKKLFQDRIRRTSRRTRILATI ALATTIILGAEGGRRWWKKRHAEKEQGKKLVRTNSWLFNKDGSRTIYVPYKDSTSKIV IRRTKPTTYEAHRRLFLNPPKVSGLGDGTVPSAQTKPGLNLAFLHQFLSLMSIMIPRW SSKEAGLLVSHGMFLMLRTYLSLVVARLDGEIVRDLVAGNGKQFLLGIAKWCGLGGFA AYTNSMIKYLESKVSIAFRTRLTRYIHDLYLDENQNYYKLSNLDGGIGQGADQFITQD LTLFCASAANLYSSLGKPFVDICVFNYQLYQSLGPLALTGLLSNYFLTASILRRLSPP FGKLKAVEGRKEGDFRALHARLIANAEEVAFYGGADMEKTFLNREFKSLKNWMEGIYM LKIRYNILEDFILKYSWSAYGYLLSSLPVFLPAWGGSGGAMEISNSSQKGSRERGRMK DFITNKRLMLSLADAGGRMMYSIKDLAELAGYTSRVYTLISTLHRVHANAYFARPSGN ELYSLSDVQGTVQKGFDGIRLENVPIVAPGLWPQGGDELMESLSMIVRRGEHLLISGP NGVGKSAIARVLAGLWPVYRGLVSKPKTVGEDGIMFLPQRPYLSIGTLRDQVIYPDGE LDMRLKRKSERDLQAALDAARLGYLPDREGGWDTRKEWKDVFSGGEKQRIGFARLFYH EPQYAILDEGTSAVSSDVEGLLYETCKEKGITVITVSTRASLKKYHTFNLVLGLGERG DEWEFERIGTEREKMQVERELQDLRERLAQVEQWKQRREEIEKELAQVWTEGGESLSP PPYVAEETQNDDSDGAEFVEAESG PFICI_06954 MSDLEVHPQQSKETFATAEASSSRGQRLLRVSSRSSSQKIEPSP TTTGLSGATASGSRDSIGGISKRSRGSMSGRRRNGSASSNRSGAGTTGTSGPNSPAGA APRRKKGGLLSLLGCCGVPDNANTLEGGEEPVPSHKLDKIPQRQLTSSRRTITPSDQT TGSKTQVSEKEPQTAPAESTQDASKTTKRVSGSTAQDQSTLGDRESESKQTTLGGQTG PSITVNPPVVETHEAGEPSEPVSGKDVDGDVEMADAGAGDEAAQTSTASEEQYQKQVP PPPPGPVPDASQPLPSQTEVAVAAPEPVPEQKWLLPPIQPQHKGRKCLVLDLDETLVH SSFKILHQADFTIPVEIEGNYHNVYVIKRPGVDQFMKRVGELYEVVVFTASVSKYGDP LLDQLDIHKVVHHRLFRESCYNHQGNYVKDLSQVGRDLKDTIIIDNSPTSYIFHPQHA VPISSWFSDAHDNELLDLIPVLEDLATSDVRDVSLVLDVTL PFICI_06955 MQCYTELTPPTAVTHSIVLPFTSAHAHNLVIARASLLQVFTTKS VSAEVDNANNAAHTQSSKAPGHYDSRINDDDGLETSFLGADSMLVRSDRSSYTKLVLV AEFPLSGTVTGLARIRRQSSKSGGEALLLAFRDAKLSTVEWDPEKNTIYNTSVHFYEQ DDLQKVPWAAPLGDYVNFLAADPGSRCAALKFGARSLAILQFNQSEEEDIDMDDWDEE LDGPRPAKELSTTIVNGTSSSNEDTPYSSSFVLRLSNLDPSLIHPVHLAFLHEYREPT FGILSSTMAPSAQLGRKDHLTYTVFTLDLKQKASTTILSVNGLPQDLFRVIALPAPVG GALLVGTNELIHIDQSGKTHGVAVNSFARQMTSFGLVDQSDLGLRLEGCEIEVLAPEV GEMLIVSNDGRLAVIDFRIDGRTVAGLSVKTVSEQAGGLLLSSPASTLANLGKTSLFV GSEDGDSVVIGWSRKQAQTSRRKGRLHDTSMDADIDDEDLEDMDDDDDLYADESAPAK QATVPLESSTSKSGELLFKVHDKLVSLAPIRAVSLGPGTATFEEQETKSTATMSDLSL VCAVGRGNAGSLAVINRAIQPTVIGEFDFPEARGFWTLAAQKPVPKSLQADKSNALMG ADFDATARYDRFMIVSKVDLDGYETSDVYAVTGAGFENLTGTEFEPAAGFTIEAGTMG KNKRIIQVLKAEVRCYDGDFHLSQILPMVDEETGAEPRVVNASIADPFLMLVRDDSSV FVAKIDKNMELEELEKEHENLISTKWLTGCLYEDSTGIFANVQTDHGTKSGENIVMFL LSGHGALHIYALPDLSKPICVVEGLPFVPPVLSAGYSARRGSAKETITEILVANLGDL TSSSPYLIVRHSNDDLTIYQPFRVSSGEESEELSKSLHFKKITNDHLAKSPAAVADDE AEQQPRMMPLRKCANVGGYETVFLPGASPSFIMKSSKTIPKVISLQGDGIRSLSSFHT EGCERGIIYADSHGVARVCQIPVGDTVYAEIGMPMKKLPLHIDASSVTYHAPSTTYVV GCDSKEPFELPKEDDYHKEWQNEKDLAFKPMVERGVLQLVNPINWTVIDTVEMEPCET ILCLETLNLEVSESTHERKQLIVAGTAIAKGEDLPIKGRLIVYDVVTVIPEPGRPETN KRLKVVAKEDIPRGAVTALSEIGTQGLMLVAQGQKCMVRGLKEDGSLLPVAFMDMSCY VTTVRELRGTGLCLMADAVKGVWFTGYTEEPYKMILYGKSSTTLEILTADFLPDDDEL SIVACDSDGGIHLLQFDPDHPKSLGGHMLLHRTTFSVGAHMPSRSLLLPRTLPPGHEL IHDRSNMNDNGTAAAPAPPQILLLASHTGMLAALTPLTEQEYRRLSSLASQLSNNLAH LGGLNPKAYRAPVTSAVVGRQPPAVDAGVGRSIVDGAMLSRWNELGAGRRAEIAGRVG FAGVDEVRATLEGLVGANGLGYL PFICI_06956 MGGQYFRFFDLPGELRTAILEHLVVMDSDIPIFAMRETCVQSSP MLLTDLLLVCHQMNREASGLFYTQNKFIVNLGSRRMYSEITQDGQLFSPEVMDARRRI RSLSLRMRRISGDFERLVVPAVKDMILNGSLRVLDVGILAQDTSAQKTVVCDLRPHSV SRDLGAASVVKTTPFQALLQLLVDPDLEQVTLWVSLIHWSLWCPYHSTIKKHAKLDSY KDGVSIDWRRLVEDFSDGSSITKVQRPRFA PFICI_06957 MSAVTFNTMDIRKMDRKSPMVFLPLAPTTSGTSSVSQPAVAERP AARRTSSLSSTSSASASPPFRFLQLSPVQNGEDAEQAIE PFICI_06958 MANLTFAHSDAPLKTVEEIQFGLLSPEEIKNMSVAHIMYPETMD ESRQKPRVNGLNDPRLGSVDRQFKCATCQQSMNECPGHFGHIELAKPVYHPGFIKKIK KILESVCHNCSKVLEDRSNPDFLQAVNIRDKKRRFQEVWGVCKDKNICSNEVPKDDGE YEPGNKAPKNSHGGCGNNQPKIRQTALQLWAQFEQKDEENNKVKDKRLLTPEMALNIL KRISDDDLIDMGLNTDYARPEWMIITVLPVPPPPVRPSISMDGTGTGMRNEDDLTYKL GDIIRANGNVRQAIQEGSPAHIAAEFEQLLQYHVATLMDNDIAGQPQALQKSGRPVKS IRARLKGKEGRLRGNLMGKRVDFSARTVITGDANLSLDEVGVPRSIARTLTYPETVTM YNQEQLTACVRNGPTEHPGAKYIIRSDGSRVDLRHHKNRADIVLEWGWKVERHIRDGD YIIFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQTEETR AEVKELCLVPINIVSPQRNGPLMGIVQDTLAGIYKMSRRDVFMTKEMVMNIMLWVPNW DGIIPQPAILKPRPRWTGKQIVSMVIPAEISLYNKPGGGSAWNPLDDEGICIQSGELL FGLPAKKIVGAAAGGVIHLCYNELGPEGAMAFLNGCQRVVNYWLLHWGHSIGIGDTIP DKSTIEKIQAHIDAQKAIVAELTQQATDNELEALPGMNIRETFESKVSVALNAARDKA GTTTQKSLKDINNAKLMADSGSKGSSINISQMSALVGQQIVEGKRIPFGFKYRTLPHF TKDDYSPEARGFIENSYLRGLTPSEFFFHAMAGREGLIDTAVKTAETGYIQRRLVKAL EDVSARYDGTVRNSLGDIIQFIYGEDGVDAMIVEKQFMDHWALRTVDFERRYRIDVME GGSAISEAIENAGNIMIGDAEVQELLDEEFEKISQDRDFLREIKLKSEKLEDAIQLPL NITRLIESTKKFLRVDDSKRSNLDPREVIPAVKGLLERMVVVKGQDPISKEADHSSTL FFKALLRSRLAFKQLAMHHRLTKAAFNHIMGELESQWSRSLVHPGEMVGVLAAQSIGE PATQMTLNTFHFTGVSSKNVTLGVPRLKEILNVAKDIKTPSMMVYLKEGGQNQEVAKR LRSTVEHTNLRAVTEKIEIYYDPDIQSTIIPDDGDIVDSYFMVFSEGQEALSLQSRWL LRITLDRQKMLDKNLIVDDVAARLKEDYPKDLAVISSDNNADQQVIRIRTIMDAKGEE EEGEQDVMLKKLGEHLLNTLTLRGVKGIEKAFLNQAPRPAIDNNGALIQKKGDPLCEE WYLDTQGTALREVLAVEGVDSTRTTSNDLWQTVEVFGIEAARGSLLNELRRVLAFDGS YVNERHLALLVDVMTYRGSIAAVTRHGINRADTGALMRCSFEETVEILLEAAATGEMD DCRGISENVLLGQLAPVGTGHFDVMLDPKMLDTVISDNSRMGLMPGMMVKGGQVDGAA TPYDMRSPIADSGLMGFTDPSMGMAGSFSPITGSDSPRGAGGLSEYGGFGGASPYGGR SPGATSPFGASATSPFSYSPTSPGAGYSPTSPMMGGSRFASSPQFSPSSPSFSPSSPG FSGTSPTSPSYSPSSPSYSPTSPSSPRHYSPTSPTAGSPSYSPSSPNYSPTSPNLAAS PSYSPASPNYSPTSPAHNYSPTSPQYNSQSPTSPGYSPSSPKWSPKTPAPGGSTSPKF SPTSPKND PFICI_06959 MNLLLSDDYLLQDYPENITNTIRSGHTTCLRFNRRGDFLASGRV DGTVVVWDLETIGVARKLRGHRAAITSLSWSRCGRYLLSACQGWRAVLWDLEAGTPYR EVRFRAPVYTADLHPWNHHQFVASIFEQAPCLVDVTDPIDVKHTLPSAPRRHNEDADT ATKEKHAKEDAKQQTTATVYSATGDHILAGTNKGKLNIIDTNTHEVIWSEKICSGVVT TARLTASGRELLVNSQDRIIRTFNVPNLSAEDLDPDTIQVPLEHKFQDVVNRLSWNHV TFSATGEYVAASTYNNHEIYIWERSHGSLVRMLEGPKEEQGVIEWHPHRAVLAACGLE TGRIYIWSVTSPQKWSALAPDFMEVEENVEYVEKEDEFDIHPQEEIKRRQLDAEDEDI DVLTLDPRIKGYDDGATVNGTGFRMPVLYDLGASDSEEEFVAVSTGTVRRRSMGEAGE GHDDVEDKTAAGSKKPASKAAKPRRR PFICI_06960 MANSRFEYVKAFEQADYLLPNTWIVVRIDGRGFTKLCTKYGFEK PNDRRALDLMNAAAKAVMTELPDITIGYGVSDEFSFVFHKTCTLFERRSSKLVSTIVS TFTAYYVHLWSTYFTDMPLSPPFPSFDGRAVCYPSVQNLRDYMSWRQVDCHINNLYNT TFWSLVQLGGMSGTEAEKFLAGTVSADKNEILFSRFHINYNNEPEIYKKGSVVIRDYE LVDPGTHNAAEVADDIAEPVKQSKTQEENDRKRRAKARVMVEHLDIIKDDFWDRRPWL LSNKPGKVPKQT PFICI_06961 MSRLDAHALLTSQGWRGRGHSLHPTSDDTGLKHHILIKREGSDG RGLGSKKDHKAEAWWLNAFDQALKGIDTTSGSMKQTVGGGKLDIEKITARGAKKYTGT RGLYTCFVSGGLLRGTVDQVSGLLTPPDSGAGTPAAEERQQETKEERRARREAKKMRK AEEAAKAAAAEKAKLKAEKKKQKKAAKEAEKAGETKEQRRARRTLRRARKEEKRRKAS TG PFICI_06962 MTDPAGSDNNLLDRLNALKPTTVNLDGSSKSVAPADTIERAKPA TREDGLSDRLKSLRQQADSAIPAPVQTQPQSIPTVTDTDIGTQGPATSAGSAREHDSA DDLLDTDDQTLEELLADLHSDEQWLEEVAAEVTRSKDDEHRRVTALLEELGTASSHEQ EIKTPGYDEDRAGDGNSEDDSDREDMQRDVDDVLARAMDEVDWESTNAPEEKSEVIKS SGPPKDDQNTTSLDPFNLPAVPTELQEQSDLPETSEKDADFEADITKRMAALNGLGDD SRTLPSAPTSQVDELGLPVAPTFAPADRPVPGIIKRHGYTDEDSKTWCTVCLEDGAIK CLGCDDDVYCARCWREMHVGPSAGYEERGHQWEKFVKGR PFICI_06963 MKPLNTLLAVTAVSQCAHAAAVPSSNEVELASRSQAHDNSYSYS ERSEHDDLWKRKGGGGGGGRGGSSGSSSGSSSSGRSGSSGSSSSGSSSSSGSSRGSSG SSSGSSGSSGSSSSSSGSSGSRGSSSSSGLGSSSSNTGGRTTTGSGVKPSYGSGGKYY AGGATQPYKAGSASVTSRIVPGLFLGSALGFWGAYWLAGAYHYPYAHPYSYYNHSSNQ NETKPVECLCKTDEECGCEDNSSDEEYMNGILGNGSYDGLNKSVVTVANVNGTDTIFI NGTLANGTTASGGTEDPNAAGSMKSLLQAAGWWPLAATALALAYVA PFICI_06964 MAKAKLGEPTPALESTPSFEGRLRLNQFMYTSSDNEATSLRRSS RLVTPISSTISQARSPRASSKTSSPAPRPIKRKHGGPGEGAGESSNPDTSSQTSTPSP KKKRSNRPKSSYAPPSTYAHLPLLPDVLGPDLLILFVGLNPGIQTARSGHAYAHPSNL FWKLLFSSGITPVPCRAEEDGTLPERFALGNTNIVARPSRNGAELSRAEMDEGVAVLE DKIRKWRPEVVCIVGKSIWESIWRVRKGRAITKAEFRYGWQDETEDMGIPGQSNGKDV ETSDLKGARVFVATSTSGLAASLRPEEKERIWKELGDWCKARRRERQAEDLTNE PFICI_06965 MAPKPFTPENVEAQKATYEKAGQVQVHAFYDSLSESEKETLYNQ LDGFDPEEINQITHRALNPPKGDDKPAVVEPLPESATASILDSKPEDIQAWYEHGLKL ISENKVAVVLMAGGQGTRLGSKAPKGCFDIQLPSHKSLFQIQAERIRKVQELAQKKHS PKDNVVVPWYVMTSGPTRGNTQNFFEGKSLGDVLPNETEEDRKKRGVYFGLNKEDVMI FEQGVLPCISNEGKIMLEGKGKVAVAPDGNGGLYKALYQAPIASKFGPEFGTVLKDMK KRGVEHIHAYCVDNCLVKVADPLFIGFSAAKGVSLATKVVRKRNATESVGLILSRNGK PDVVEYSEIDAATAEAEDPKQAGVLKFRAANIVNHYYSFKYLDGMDSWMSKLPHHIAR KKIPCVDPASGDEVKPSKPNGIKLEQFVFDNFPMLELNDFACLEVKREDEFSPLKNGT DAKEDNMITSKQDIMGQGKRWAEAAGASVSNGASEGLEVSPLTSYGGEGLEGLKGKTI SELAI PFICI_06966 MRFLNSFVPSLLLLAAGAAQAASSWGFDEGSITVGAKKSDAAVK EKFSEKNPLTTPVTLGASDTLKIVITAKENGKGKRPHQAFLVLQESETGLEAPFPLTT KENGKATVDLKQSELPIQLLTSSKPLKASLVLASFGTSEGYNKPVFDVEIKLDPNATP PTYEKPMRYGKLEEITHIFRADPQSPPKVISLVFSLAVLATIPALFLGWAILGGNVTH LQKAFSTAPVSHGVFFGSIIAMELVFYLYYVSWNLFQTLPVIGLVSVVTFLSGTKALG EVQSRRLAGER PFICI_06967 MAFVLGLVGGAVRHAVKRERENQSSYQDHQSLLRNVDGYNYSNN NNSPYHQQPPCPCLGTHLPQYPCDPYRSHEAHVPVSRREFKRDLRRARRTEQQQQQHI QRAEACRQPGYSSAVPAVVYTDHNLGNTEGSQRRGVIRGTARDLSQNMSNTTAPPAYV GNRRASSDQGDLQDESDAPPPYEQIPVEARRRQSVEVLTSNSRERKMA PFICI_06968 MKGTPVDQLVYEYMFPKPRSTDPQHFHSLLHRHLILEVRQEVHS FYGHLDTQEAKYPGLDYCHRIHRIRLSRWPWHRRLFRAFDGLRLTNSEISNLTKWEGT KWAKERFERDAGVVIRDTAGDEVGQWVEVEDRPRADRIPQVVEPEDEDEEEDEEEQDE VDEDMDDSDGELNSVGISLNQRLLARREAGDATAPIDEEWEQWLKTAIDSGALPFLSS SSDPASALIPQVLFPPSMLSAARANQWDQVPEFLHDLLRRTLATEAEARRNREASPSR IEGSARSARNILASAAWRRPYSGLSLPHGDNGANAGSDNVRYNRTAQPGA PFICI_06969 MTKDESGKRKATDEPSSPTASKRTKVESTEPESKPAMKPIPFPE KLGVIEERNGEIEFRVVNNDNKRESLIILTGLKCIFQKQLPKMPKDYIARLVYDRTHL SIAIVKKPLEVVGGITYRPFKGRQFAEIVFCAISSDQQVKGYGAHLMSHLKDYVKATS DVMHFLTYADNYAIGYFKKQGFTKEITLPRSVWMGYIKDYEGGTIMQCSMLPRVRYLE MGRMLLKQKECVHAKIRAFSKSHVVHQPPKQWKNGVTSIDPMSIDAIRESGWSPDMDE LSRQPRHGPNYNQLLHLLNDLQNHQSAWPFLIPVNKDDVADYYDVIKEPMDLSTMEAK LEADQYSTPEEFIKDAKLIFDNCRKYNNETTPYAKSANKLEKYMWNQIKAIPEWSHLE P PFICI_06970 MDPSLVAQWDAPGSPFLPTVGKGTQFLVGFSLVLIGLALFGGFA LNRSLVNIPLIGVPASLALAFGTVYMFCAVGVYV PFICI_06971 MASTIASMPPEQPLFRAITSSTRQIHQLLKCISFTTKAHVQITQ EGIRFSADHSRVMQGVAFLDKALFTKYTLNLPDNDDDTSLPQFQINLAAFLEALLIFG ATDMAARQAKADAEPYRSNLRNYRPDAFSNQTLGIQGTCSLLYEEDGSPLSIIMEETG VKTQCNMMTYTPDRPDDIPFDRTDLTFKIIMQARWLLDALSELAPMSPDRITITAMPT APYLGLASGGNLGSASVDFTNGRDLLETFSVREKWTQGFKFDLVKSASEAMRIASKVS FRGDGQGVLSLQFMVEVEGSDVSFLDFRFVPYAESVMEDEEDAGPDEADDEFE PFICI_06972 MAHIPATVTTFHSKEAKTQTIKKFRVFVSGFRKQRYDAVPTTEE EPAEKYVHVPTEAAACFLKTATPLHMKRANEVL PFICI_06973 MSMSGFSIRRLVSKRSEQRSSVDLRERECSGDEGFQDPSNPDSK DTRKESWFTTSRKQGKSWSSTSKFSFRPRSLPYNISSILLPLSSTETSASSSASSLCS CDRHGSPSLAGRSRSTLCSLGSRDESSELSLHASSTTSYDGNATSSPLSERDNDTDST PTQSRLNSSRTNVDQIQESMPSSAEDKDKDTSRPGSSVLDATDTGVRHSVEEFIQEAN KAFKIGNSFREVNATRTSFNETPRLPPAEANASAPRRRASVQRKPKKTYRFARSTATT PISPANSVRRKPSVKTVKRKKSTKARQPMKSHRKSLGPKPASKNNSKWTENMSDLLSG KLFQKIEADEMLTPAQLEAYKLRRLSKLQLAAREAAASETSLALETETVDTPVEPFHM DDLPSRIGSSGVKLTASTPIEERPDPAVLKGTAKNVIVTNENNNDELFLGQTKATGSR VLPSSDPAAKISTIQDDHPNQHSQTTSPSRYILRKIPELPTISENTTVRGDEELFLTH GPAKGRRSDSAASITTTTTTTLDPDYVYLRSTPYTLTAPRFRHGPIRLARADLCPEPQ ILGGEDGGLDWTAFQMAILGGAGDMYFFNNDNNERLARQHAADDADAICAWWDDWGFD DGYGALVASPSVDKDHHQHRNHYRSSSRLFPVSSSSRRPSDTTTSSSSSSSCDTSDSA GYSNPEDTQLYQDIGRDNPYSPKHKWETLRRKAVLEGRSMDLDVGDGTKNGRKGRRKH RNHKLSNNSSVGAKKKSGGGALDMRESLASMPQSPMLDLQVMTSDNGDVDIVPMGYNL SHDLGDFLSWGNENVHLGNVHYDDNFI PFICI_06974 MNLFKAQSLILAISSLVWVAAANTLACETEYTSGLPSANFTPSI YARFCAEVDKYEGRQSVSWIVDMTGQMLVDKLSQNPAASPSVDTDSPFLGYTIDLDYN PTNKGVACELPTACAANFEALRSRCTRSGSETNLMTYKGSQGSGCGIFTYYITPPRRG DGERRCYPLNCFGSHHMRVQEWVLDRLLRTKICTANRPRVIANEKSTWLQDEQIWINS VPYVFGVYWLDGCYQKQMDVDFNFPIDENKDINCYHLLWDDYAKCLSNDGIGGNITIG CVVYEYKTIDQG PFICI_06975 MASQVTAAPSIGSTGDVGGALGPRKILPSSSIETPPSPPATETD TETDSETSQRNHGNGSGSAPAEEEDDAPVISPVTSPPYWYQSHPTHARSPSRASEESM VGAIVMRDNENSSFDERNHACWAKSVEITDYVIVNGSATNIGAFVVWNIRVETLSGSY MNIRKRYSEFDDLRWRLMRTFPNFEAAVPELPPKSLISKFRPRFLEKRRAGLQYFLNC IMLNPEFSGSPVLKEFMFS PFICI_06976 MLRWYQARLAARPLLTQSITTAVLFATGDITAQQVVEKKGLEKH DLSRTGRMFLYGGAVFGPAATTWFKFLQRNVVLRNKNAEIVARVACDQGLFAPTFIGI FLSSMAIMEGGSPRDKLEKSYLPALQTNYLIWPFVQLVNFKFVPLHHRVLFVNFISIG WNCYLSFLNSTG PFICI_06977 MPWRQDSRQQLLWQWPLAGLLAVLLLCIALFPSGSYAYQPVSDD TLRLIPNGGDDFDIHSGALLSPILIPRVPGTPGSAAVQRHFIEFFRVHLPDWRIDWYN STSKTPATGNREIPFSSLVFTRDPPWALPGDVGRLTLAAHYDSLYRPEGFIGAVDSAA PCAILLHVARSIDAALTKKWEHMRESGEAGSGLEEDRGVQIVLLDGEEAWETWSATDS LYGARALAETWERQVYPASSARRSALSSIDVFLLLDLLGAADPHVPSYFANTHWAYEH MAGVETRMRKLGLLHSTEAKPFLPESEKVPNQFLRGYIEDDHIPFMVRGVPILHIIPN PFPVVWHTMDDDGKHLDIDTVDDWAKIVTAFVAEWMELDGYMTSPKQAFRRNGVKASD SARHREL PFICI_06978 MGPSKKVAAAECISDEALIHLKSYKYSAVDKSPVSNYILRPYWN AFVELLPLWLAPNMVTLIGFFFILGNIGLLVLVMPDLVGPGPSWLYLSFAFGLFMYQT MDNIDGKQARRTGTSSGLGELFDHGIDSLNCTLASLLETAAMALGTSKSGVFTALCPC LPMFFSTWETYHTHTLYLGYFNGPTEGLLIACLVMALSGIYGPGTWTEPIVNLVGPKN LFGYDHLIGEHSIRDIWILIIVGSLVVGHVPFCVYNVVKARRRNKLPVLPVFLEWTPM AVYTLSIGAWLYSPYTTLRTENHLVLFCLTMSFVFGRMTTKMILAHLTRQPFPYWTVM LVPLVGGAILANLPRIGFEPLSAKNELIYLYGYFVFAAIVYFRWAFLVTTSICNFLGI NALTIPYEKQLENKKKLQQANGVANGTANGKKEL PFICI_06979 MLSFKSTALAAVTLLAATARADYYIDPDSVSLSTRTAWCQQELT TCPIICEQVEPGTTITNTCDPKTLTYGCLCGNNLQPNVSEYSLTLPYFTCTEWGTQCV KACGSDSSCASSCREDHPCGAQNPQKTNATSTGTATATSTSTSTGITIYTGLDGSSSS SSSSSPSGNAAAPNLEALNMAGLFSLAASVAVGFIFVL PFICI_06980 MRDYADIEQWLRGGDGEETPGDTTAGSGSTDDLEKVQYWHEVRL LELEARKKKTICQRISNFICPPRIDHSTALDMQYNHECLTLTVESTPDPFVVRAHRQY YMTFTKGNRIEIWTSKYLASFEESARKAVIWRPPPCTEHSADLWAPELHAIRGRWYIY YAAANPARGSGSHRMYVLGGPPANEDPTLGQWEFLGRILGMPDQWATDGTVFELDNTL YFVYSGWPLDDHGHSDLTQQLFILKLDDPTIAASHPVMISAPEHTWEFTSDDNGAHGI NEGPQFLESPDGKWKGIVYSAAGSWTHQYKLAVLHYNGGDPLNPRSWPKSQQPLLQAS GHSKGPWGPGHGNFLSVGDETICVFHGTDSQHDGWENRKARCQRVVFSKHGPYMGNYC GRDSTAKQVKGSLVSQLRRRFSKPQLELNPEASKSSLKLLLENY PFICI_06981 MDASASSAEQPEAPAANLNKAEAESYLQSLINKTLRVYTTDARL FVGTFKCTDPQSNLVLSLTHEYRQPSQQKLLEAAAASLESDTLRAEMTSRYLGLVVVP GEHIVKIEVEEFVSQMKNRSILGRPDIYASA PFICI_06982 MGVNGLWTVIQPCARPTNLATLNRKRLAVDASIWIYQFLKAVRD KEGNALRNSHVVGFFRRICKLLWFGIRPVFVFDGGAPALKRQTITSRKQRREGRREDA VRTAGKLLAVQMQRIAEEEGDKRKRQRELEKQGGERPEVVEPTEEIPDADKLVYVDEL GMSQQERQKNRKFFKQDAYHLPDMHTSIEGMGKPDDPRIMSIAELEEYARQFNSGEDI NLYDFSKIDFDGEFFKSLPPADRYNILNAARVRSRLRMGLSKEQLEEMFPNRMDFSRF QIERVQERNRLTQRLMKEAGMTGLDLTINGAARIAGEKDREYILVKNDGVEGGWALGV VSKEKDVGQMHKPIDVDALEFQYQKKEDEDEWEDEDDFEDVPIEGVNRLPKIPSHVRE AAERRQQLYDSRREENGLEVPLEDEDEDSLFVQDPSKSIDSLFEADEEEDLDRAIAMS MKSQHHVESSQEEGEDEEFEDVPAPVWKQKAVDQAKPVTAASGSMIAHIVNNRANASI RKRRRSESVESDDEMDLSVALSKAKKKKTMRPTKPVAAPMFLSKPNPFGGPLPFEKLV WKSSIFAKKPEPGKSNTDGTAPRETLDDELAGGFVADDDMAGGFERDEEHEEMDNEAP RPLPPWMEQEDTDIRESMKTQRAREKEINEEDREAALEAEKRRQKEAIIEIESDEDDS DVEVLDAPPPQPPTAQEKPRLSPATLTRVDPPVPDPKALKDGELLPVNESDSKAVHDV EKASPPRSQRKTPSRQASSSPEPDFEFEEVDILGPQDTATEASNEQVESPEPEFEEVD VAVPAEDPIATEKVATEPTTHDVTSTTEIAGTSAKVVVDENGDMFIEDNEVEEEEYSD PEDKELLAQLAEEAEEHARFASQLNNKSEKENIEAYERELKALRTQQKKDRRDADEVT QVMITECQALLRFFGIPYITAPMEAEAQCAELVRLGLVDGIVTDDSDIFLFGGTRVYK NMFNSNKFVECYLGNDLEKELSLSREQLIALAQLLGSDYTEGLPGVGPVTAVEILSEF PGIQGLEAFRDWWKEVQLESRPKEADAGSPFRKKFRKSQATKLFLPLGFPNPAVFEAY IKPEVDSNPEQFQWGVPDVEGLRRFLMSTIGWSPERTDEVLVPVIRDMNRRDAEGTQS NITRFFEGSVGVGAKEAFAPRQRTQTSKRMVNAVNKLRANVSVDGQSLEAPVEVGQGT PASGRKRKQRNNAATAEDDGGEDGTAVENDDIDDDYEDGTGTGPAKSKRTGRSRKTKT PFICI_06983 MPSAELSEDRLSATTWLANESMVALNEMLAVPPRAELRPLTGPP VRPASADGQRTPTRAWADNISREQESENGRPKTAV PFICI_06984 MRLPPASVLASWPTPNYDHPITRGPAGKIVAITLTVLVALILVM RVYTRLRVVRSFGLDDILILIAFFPSVALTVCSIYSEFELGWDVHVWDLPFTKYSPSS QMSLVTFVLFDISSNLVKLSTLAMLYRLVHTTESRLTVIVVSIAVFVVVSGLLFMFIT IFQCSPVSDYWTLSSQPQKCIDEAAHLLVAGTINTVTDFAIVVLPIKMTAALQLPRRQ HIILYALFCTGFCACAAGCVRTYYTWILTTKYDKTWYGWTVWISSVIELYLGIVS PFICI_06985 MSSYTVPFVINGQERRPEKTFDVVSPDTGKVLHQCGIATETEVR DAVDAAAKALPKWKATTPIQRRDIILKAAEIFDKRREELKPYMQEAVAAAPGWAAFNI DTTIDIVKDVAGRISSITGTIPTLADPNVSAMVVKEPYGVVLAIAPWNAPYILGTRSV LFPLAAGNTVVFKGSELSPRVFWAITDVFREAGLPDGVLNFISTDPAHAAEVTTALIA HPEVKKVNFTGSTAVGRIIGKLAGQYLKPVVQELGGKAPAIIWEDANLDIAAEQCTLG AFMFSGQICMSTEKILVHKKISQEFKKKFLEKIDAVFSSSGDAPVLINTNGVDKVKKL LQDAVDKGGKVLNGDFNAEEKSKTRLRPLVIDGITSEMDIYKTESFGPSVSLIEIETE EEALRIANDTEYGLTSAVFTEDLRTGLRFAKGIESGAVHINNMTIHDESALAHGGCKS SGYGRFNATAGLEEWLRTKTITWRN PFICI_06986 MGSGASKTAQSTARKFPTRAPGGAAVPPSSRPAAPRAAAPPPAS ARVPKASTTKDEAITTDGGDPDHMTSPDFSQRLRQMGVATPNPTLSNSSIATPASPAQ GVSAQGPRYPVASQNQTLTVLQARQRLEEQIELQQENPATGRNFADVGTLRQALVMKG LGVPNTDIEKRLRLRNGVVARIESGGVISPLN PFICI_06987 MTAITPQFARGASSVVRSVRRAPRLTLAQGAIPRLSAAASCRAG ASSSYLPRTFSSSSRQQDREPIKNSPRALLPEFSLKDKVIIVSGGAQGLGLVQTEALL EAGAAVHVFDRQPQPGAGSKYEKVAKRAVGELETTLTYHQIDVRTGVQHINSITEEIA EQHGHIDGLIAAAGIQQETPALEYTAEDADRMLGVNVTGVLMTAQAVARQMVKRKTEG SLVLIASMSGTIANRGLICPVYNASKAGVIQLARNLASEWGEHGIRVNTISPGYIVTE MVEKLFVQYPEREKEWPTHNMLNKLSKPEDYRGAAVFLLSDASRFMTGSDLRIDGGHA AW PFICI_06988 MSTFQNPVIRGFNPDPTICVVHGKATTDYYLSTSTFEYFPGCAI YHSTDLVNWDLIGHALTRRSQVEMRTVEPGAGSWASTLRYREDEKRFYLATGVFQRYR PAADERIFPRGFYIWTDNIWDSDSWSDPIYFDNPGFDQDLFWNETTSKVYLSTTTRLK DRPPGSKQKDFGIHVSEIDLATGRTLTAPTVIRRSPYGLAEGSHLFRRGAFYYLLTAE GGTEAGHQEWIFRSRADRGGGGGVFGPWEDRGQALWYNGPTEEVQRTGHVDMFEDGDG EWWAVFLGVRPVRVQDHKDQGPQGPGTEEFLEPQMGRETFLVKVDWVDDWPVVNEGRN ITLETRGRGQVIQALEQVKSGDVKWRADLEREDLELGWYQKNTPLKKCYSLTERPGHL RLYGNCYDLSWPEAPAMLLRKQSSYTETFHAKLQFRPDQTGYEAGVVLWWSQYSYASI GIALVQLQNGQRVRTVVCCQPTTLTTTTGEQQPGVLHRSAPLIEDHLDGGSTEAAEVS EVPDTAELKIEARPREYRLSLQSGGHNSKPLEFSVPAVALTTMPPVGGAFCGTMFGIY SIGKGEPVLVPADFSGIFVREGDGRA PFICI_06989 MSSAVVGTMASAGYVQTSLIWVTYAVAVVLALFVGALVTFTWQA HRDRSAVVSAVTMISLTALLATILLLPVDIALVSSTTDTALGVKKDWATSTRIHNILN TLRIVYYSLYSFDALLCLVVIPFSYFWYEEYDEVEEQEGNQTFGSRLWGAFKYTIAFI LLVVIIFLIGFFVPAAGNREGKHLDLDFFKRLLDENHGEKALTFGIGLLITLGTLLYV LYTGAGLALLPVSFIKSAPSISAPQLSENTASQLEQNRERQRQLEMRNAGRADGMPQK DRRELDALAREERTLVRRERLAAEAQGEGQSFLVRTWTKICAIFRPIKLIGGILLILV ALLTWVSMLITGIDKAANSVCKQHCGYILAHINVFQPINWIFVQSARAFPIDYILMAL LVFLFFSSSIAGLSSIGIRFLWLRIFQIRKGRTAPQALLVAAVLLALIVLGINYAVVM LVAPQYAAFGTQTFCDLPPRHADEQPNCSKNPEAVKPCSEWVDRHFSTQTCTPTFMSS FLNRITFNWPVFGAIDFWAQFAFLAIFLIVFLTSLFRTPKLNLSEFDEDAEIDEEEGL LASTSRRFGATWQDIRGKTGASNGSGSGNGEGSHN PFICI_06990 MAEEVYDGAIGIDLGTTYSCVATYEGNNVEIIANEQGSFTTPSY VSFNEKERLIGEAAKNQAAMNPRNTVFDVKRLIGRPFDDPIVKKDIESWPFKVVEQGT NPMVEVEYLGETKTFSPQEISAMVLTKMKEIAETKLGKKVEKAVITVPAYFNDNQRQA TKDAGAISGLNVLRIINEPTAAAIAYGLGAGKSEKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDNNLLEFCRKTFLQKSKKDISGDPRALRRLRTACERAKR TLSSGAQTTIEIDSLAEGEDLFLQITRARFEELNAKAFQGTLEPVAQVLKDAGCDKKA VDEIVLVGGSTRIPKVQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSED TADLLLLDVVPLSLGVAMEGNIFAPVVTRGQTVPTIKKRTFTTVADNQQTVQFPVYQG ERVNCEDNTSLGEFTLAPIPPMRAGEAVLEVVFEVDVNGILKVTATEKTSGRSANITI SNSVGKLSSAEIENMISDAEKFKSSDDAFSKRFEAKQQLESYISRVEEIVSDPTLSLK LKRGQKEKIEQSLSEAMGQLEIEDSSAEELKKKELALKRLVTKAMSSR PFICI_06991 MENKRKSSIANGDNVPGTPDRAAKRRRLEEEFGDLSKGESAEST TAYGLNMLETIRATTDKNGRAVAPIFEKLPSKTSDPDYYKKVRLPLSLELLEKKLKNH GYPNLSTLESDFKRLVQNAKEINPRTSEVFNDAERIRKAVSNLMVKTNPAYKAGNYQA VPTPLPPSPGKEGGGDEEEEEDEEEEEQEEEDAPGEEEDVDMSDAKAEAEEEREEEEE EEEEDDDEEVEPEPTPVKRGRRAGRLSRSAAAPTPKASRSSSAQVIDQVGFKGLTFQQ AQEKIIADTMQKTDQDDYLYFQPFINLPPRSLKDYYEIIAEPLSLKGLQKQVRGQRGR NDATGVSDFKSWSQFEDQASLLWKNAYHYNEDGSEISELAKELETYFKGLLKEAKQHV PEPPQPKIKLKVPQNTQTPSHSKKITIHVAGGKDSATGSPAPATATPTEGETTRTGTP VARNPFSGPAAANHSQLDKTRSMSASAVPPSPSVAGVVKPEDATRQSPAILPPGPAMI TQQQFAPVVQPVPNGVVAPPAPPPPPPKLTPAEILEGQKYRPHAIKPCETLINRFTIK SHPALAVDNPLDITIAGSTTEMVQEVIMNCPSTYFRLQLQPHVAQFLEEQQREWRLNV THNYQRLYPSHHPPTDKRHLPVFEVTLHYGVNRFEVSLLAARPKGDRGSDDLDMDLEK IVVHFNLLKHQ PFICI_06992 MPNPMNIDMSRRNKSPRALTDNERARLEEYIDSIHYSARYSDSE YEYRHVQLPKAMLKAIPKDYHDAAHGTLKLLWEDEWRGIGITQSLGWEHYEVHEPEPH ILLFK PFICI_06993 MNLLAYYFLTLLCHVFVVASGLDSFSSSRSNCHISNSIPGQITP GSIISDACVTYSNLEELNTRVKPTIDDLIRNTDFFSHYRLPLYSKTCPFWNDDNGICG NYACAVSTIDDEKDIPLAWRADELSKLQGPKAEHPGKKAQKERPQRPLQGSLGEDVGE SCVVEYDDECDERDYCVPEDESAGAKGVYVSLVQNPERFTGYGGESAKMVWDAIYREN CFNKASFPESAGLGMSSSNSMGPAAQDFRAVLQNVGRQQALTQTESSLAPMTTVEDEC LEKRVFFRVVSGMHASISTHICWDYLNQTSGEWQHNLQCYEDRIHTHPERISNLYFNY ALITRAISKIGPYLEDYTFCTGDPSQDNATKAKVAAVVQAALKQPEIFDESLMFKNGE GPSLKQEFKDNFRNISRIMDCVSCDKCRLWGKLQVNGYGSALKVLFEFDNQSGEIPVL SRTELVALFNLYGRLSSSVNAITKFREMLEAKTAAEKHIEQAAKPDTIPDRAKKPHAV KLDEVQSNTQPKKAAKEATETNPDEWAGYDATASPGSRQTRKPKQQGSLGDSVMDEYT RVMAVFKYVMSSFVFLPKFCWYSFLYEADRAYRYYVGLYLPPREPYFIWPDLQDIVGG NVAQPQAPGREREL PFICI_06994 MALVDYDSDSESSSSPSSVASDHSRKEKAGRDPGPASKRQKKLS DAPSSSSKKGDLPPLPSAFHDLYASTVRLSNTDDPSLHQGRRRQIPHIVGNWPSHLYI EWHPSGPEHKALSSLLATLSSRLESNGAGAEAIKIHGFLTSDLGAPLPLHISLSRPLS LPTARKDDFLERVRDAVSRSGVRAFELAPDGLEWHRTHESARSFLVLRVRSCSSTATS LSTSTLGENNARENADKTRQKNMELGTLLRHCNMLCKSFDQPELYAFKPTEDQGPARD VGDAFHVSVAWSFSEPTNELKRVTQDVFQTIQHGKAMKELRIRVDGIKAKIGNTITHL PLAVAGNGDSKGPRKRRALFHAE PFICI_06995 MELPPIPGPRLRPYKSPDGIVTPHIEFIQELGQGLHSIVWKVKM NGKAFALKLFKRMSVSDNMYRADMPGSGKMDYFGLDWDTITYQCLPFFSECRVYGRLK ETRNEDLAVKCYGYLILDESYSESMRKAGIKERCLIDDDYFWQWPEEDAPPSPYPARA LVKELMDPEVTFLPGDVARMKRDLVRLHRIGIVQGDIKQDAYLNARLTDFSRSRTVPH FLLDKALAYLSIKKIEAHTINDYFHFDEQLDCYDLGGGPRIDDRILLQTSRYNLRKAP SRAEMERRSIKFFADRYKWKPSPEDVVAFGLKKEKSTKWLRGKGNDKTEEVPCEAREE LVSSSEI PFICI_06996 MSTMAARPLDQIRKETKTAQRTPHLRKKNFTRPDQIDSLDNITG YAYHHDGPYDATLASRNKDPKYAPVEAVKESNMEALKATPVEHIQDSLRKKVPLSGTA VIPPGEEDFAGRTMNYEEGADLMREEDAAGGPYRRWKDYDYKYSPEDLKGKAEPGFTM DRRAKTNGRMEGMEGTGVYEMQPSGTANSQGHKGEDVMVRQRSYSSGAGPSSPRGTGS GLDRSNTTGKRLSMGIKRRIGSLRRKSPEA PFICI_06997 MHRTYSMRQTRAPTASQIQSPPPPTSSTKSGRLFGKSPFGHALR GKAAGAFGPDLAKKLTQLVKMEKNVMRSLEQVARERMEVAQQLSIWGEAGDEDVSDVT DKLGVLLYEIGELEDQYIDRYDQYRVTMKSIRNIEASVQPSRDRKQKITDQIAQLKYK EPNSPKIVVLEQELVRAEAESLVAEAQLSNITREKVKAAYTYQFDALREHCEKVAIIA GYGKHLLELIDDTPVTPGETRAAYDGYEASKAIIQDCEDSLTNWVTSNAAVSSKLSTR ARTLSTRRRNNIKARSEGLDLSGQDAPLNDRDSWVAAGEHKGHEYDDEDEDEQLGSGM DSDGGLNGEHRRGRTEVVA PFICI_06998 MNRSGRTLQEPIDLTGFTSDESDAVASSRILPKPPNQSVRALQP GSYTRGIINPPILGRNLLFPGPSEHAQMLRHAGTEPSSTPRENPRDHMPSMTSTARTN NKSAPRFSQEGMSSFQIPVHSSQKRVTHQTPRRLEWTVPQIEEALRGLSNDIGIQSAR RTNRLLLTSWREATPQNVYTPTKNWFSDMQPIPVEAGKDTLKLKAKQIGPGRTGKQEK REHYQVTGIKTNKEAVPKYDFHYVEISKNILSPNTMLGFVPHLRDLADHEESKYRLWL KELESMDKISGFQTSSRQDKVRKTFQKERATTLLLHLETWLRKLSIDGCTKAALIQHM ASQTNAVTPQQKSSILHSYGDGSDSHLSKAVSLFTEAFDKVFNDQNAWEEGAVSLRDV LLLDSSVDSVVDSKKNLKDTPSQVRHIEEGTSVESLLETHALLGCVVCCSYSCEHGEY GLDNERQRFSVEAAGLTSLIRKKATAGGATQGLPQTPCSEDCYLNKRPDIQTNGWSGK ETALLRTAIALLCDTSMPAQCLTATFINRPCWDVNRQMEMLNLHMPKPLPKSPRRPKP VAWYDRHKKVLIGDWQEQTMTHEHQMKEHFDPCNHEGPCTRENCGCARNNLMCDRFCH CTAETCAIKFTGCACHSFGQSCLPRQKGEKGEKPCICVQLNRECDPNLCNSCGATERA DPRNAKNDWLYTHGCQNCALQRGKFKSLLPGKSTIEGCGYGLFTAEDIRQDDFVIEYV GELISQDEGVRREARRGDIFDEQQQSSYLFTLLEQEGTWVDAAIYGNLSRYINHQDDG NIMPQILYVGGEYRIKFTAQRDIKTGEELFFNYGKEFPNLTKQLLDSQKANNEAHEQD LRGGIEERIDEPREARRQKRGRRPKKSFAVLDSDMMDEVDHDGEDEADHEGDDGAERA GEEMQTPRRGSGPRSRRRVAASPPAVDVETPSRTRRLFSRAQILRSSDNAPTTNQFFQ PMENDEQTETTSPGQTSQPGPKKRGRPKRLPQDGAAATNKRGKSGSNKVTRSRVDKSF DDESDTSSTDLISRSRVRKRPARYND PFICI_06999 MYKTQLKRWKLFKNNRAADVAAILRSQCRRNAVGKGSIAVRNGR RVNTETYLRRKGLSADDLLRLAPIETSAGSLPLYLRSVTPPVLRPPSPMQGVLLLGLA TPGLVIKEAVGSWVLRECERLEEQTGTAMVIDSGVDCETTQQDRPLLSYYESTASKLT AEYYDAIW PFICI_07000 MIILALPSGVDAATAAATADIHRKLWDYLSSYARIRLAPDHIVH HVLRQLSELFSQQQCSGNNIGGGDGDDRYDLLYSVVSDIVNMLGSRKDKNPSGNQTMY FDLVSLWYGETLRSHRGHRQHGYHPRLHLAEDEMFAILNSGKAAGASAASSSSSSPQW SGARDNVDPLLDPNSMEDVDFDLDVAAINSYLLLDLGWQTAWHDRSIPDACAALLQGK EYTGAPPDETEVNCLTAMALYERAQCTATGNLFPCPPSTATVPLTPDGARETEQRQRR LVRAQWLLSEAVSLDWDLSGASIYNFEGLLLLQELCAEIGDWNGLEAVRARSRECLDI LFGEWGV PFICI_07001 MSSKQGAASLARSTAELFKKKWSRAYFIVAVVQLILCIAFEGYV FAKFNDSLKDTEQSPYNTDEKVQSQLKTIPTFLALFIFGFLYELVLVWDALRVKNTIQ VIGICIANLALLVYTSIQVDQIREAVDILITQNAVADLTVWNDVQGILIAIPCVIGVG TICYSFVAWKLYQEFAWDILKHIGADYRMKKRFLHYQIYIALLKFDFFFFIGFTIQFL VVVANGSKGSTGGSTRAEFGLTCAAIPVTIAILLSAAWFTRREWKWGMVCIMVLYLGA LAYFIFKLSRIYDPVKGPYYAPVKKSMTAFAVITIILIVITIVNCMVCTMNFGAGLKQ HLLSSPRRDMDKDQVSYSMNDVKQSALPTRMTID PFICI_07002 MVHSRFLKISHASKRYHDPEHARLANLKIAAVLTQWLQIAHEEF AIPNLPPPAPVVIPSSVPHPPPSDSDTENFLPPLPSSPTNPPSTTSSQDTNKENTPLV TRALPQVTSAPAPHVPAPVPLPPGTLPIQIRELLDEITRTLTDTFPANPPHTIQRLAE LVLTPKHHYKSLPTYLHALDRVVHVTSGLNVYPLPPAIPDMSTTGGLLSNGVSDGSGP NPFATPGSDEALGGALLTPIPWLQPHGPETNALSPPNSSSSQGDSSTGSDRANSGSGA PDSTPSESPELRTESTETIDGPNGMGSIETVTVTVNGLPNMGTRGVGVTQGELLRQEQ RAGVVPVSQLASHLSSHHHHAAAAAAAAQQRSSQAATPSSTAVAAGSAVESGEVVGTV QGADEDEKPHARGPEEIGAEDMGPQPEGASNTNYHVGGPGASLEMQGIDVEAAVGRKA TESHSSPEPKVSAESASNGETKDAEESDKMEGVETPSARSATPKREAEDELEGERKKI KEDSSATPADAQQSGADNKTTEAETEDAQKDDSTTSIEKEKGSSVKD PFICI_07003 MSKIDKLSIKGVRSFDPHISQTLQFHTPLTLIVGYNGSGKTTII ECLKYATTGELPPNSKGGAFIHDPKLLAQADVLAQVKLKFLTPPDATHVVNRSLQLTL KKTTRSVKTIENSMETRRLGERSSITAKNIDINSLVPKTLGVAPAILEFVIFCHQDES LWPMSEPATLKKRFDEIFEAQKYTKAIDNLKVIRKKKGEELNRWKTEEAHCKTNKEKA ERAQRKSEQLVVEIDRLHKDIDEKKREIEQVSQEAKEKHEQANSFLDIVNDLRNKESQ LRTRKEHIEELRQSIEELKESDAWLEDTLAQYEAKVARYEQEYKENQTQYGEHRKDLE ATRRSLAAKMSEQGRLQSDKERYERQLESRVELVHGAAQTHSIRGFDGDLDDRQVKQF VERIQKMLSDKKRELELVQRENAEEQDKKIAIITDLEGQKLSWAQERQSAKNGIGDLE KKSNRTQTQLSSIDVDEGSKAVLDASFGEISQNLQKAVAELQTSDIDVKIQQENENLI KAEAENDRLGRELVECTRLAQDRAQLDLRTKELAEKKRKLDTLKNTWSEKISSILGAT WNSDTIDKDFQQILQNKAKILQDATRVRDDAIQNHKQVQYALSGAKDKTKKREAELEN SKKAVQDALRDVFPDEAALIEDLPEKIEQLEETILDLEKEVSLFDEMKSFYGKCQKTM TKNNKCLLCDRVFSAVSEKSKLAQRIADALDDSHKVNAQRDLAEHEEELGKLRLVRPQ FENYIKLCAEKPALDKELQDLKGQEEKLVAILEEKDHVVRAKSEERQDVESMSKTVSE ISHTHRDIVEAEAQVERIVSQQQSGGAIRSPDEIRELQDACNEQMRASKNKVNKYQGD KQRMRDTVNRFELEKAQLQNKVNSATQQLERKKDFQNQLQSIRDDISNQRATIQKADE ELAAIEPQISKARAIRDDVIHRGRDKEMKKANERDELAGSVSELKMVEGDIRDYLDRG GPANIATNERAIQGLNQTVQRIEGDMADLTERTNKLKAEISDSGRRKKNISDNLNYRK NLRLIETLKEEIRGLRSRNASEDYDRLMDESRLLDNLSNRYNSECGSLIGMAKSKDLE LAGLMADFETEYKDANDQYRKAHIMVETTKAAMEDLHNYNTALDHAIMQYHTLKMDEV NTIAQELWREVYQGTDIDTIMIRSESESSSTSRRAYNYRVAMIKNGTEMDMRGRCSAG QKVLACIIIRLALAESFGTNCGLIALDEPTTNLDSDNIEALARSLHDLIDKRKGQSNF QLIIITHDENFLRHMRCSDFTDTFYRVKRDVNQKSTISNESILRIDE PFICI_07004 MATSMDDNLMFGNFDPNMPMMDIDDMALTMPTQPIYPSVSNAQN VQSNGMVSSNEDTPRFSTNSAEDSPAPPSSTQTQPPGSNTLTEFTKRRNWPAKVVEEL KDFLQILDAHGRIKYVSPSVVSLTGFQAEDIVDNFLKDLIHADDVGTFTSEMNESIAS GNSMRMFYRLRKKDGTYAIFESVGHAHIASAKFAPNPDNQTPFCQAVFVMSRPYPTKN ASLLDSFLEHKIENERLRRRIAELRREEEEEEASQRSWYEGQDASTTNVTASEDIQSQ TGSTFQNQDSAAMPPPERPSSLNANLTKENLEGAIAGSRPDSLRDKMSRLGGASHADT IEMLTGLRYGEGERSKGLTTGNHSPVLIKGDAGIAIPVDRDPRTGEKKKKIKVAEEYV CTDCGTLDSPEWRKGPSGPKTLCNACGLRWAKKEKKKNAGGAGAAAQDTPMTDG PFICI_07005 MTSPQHPLSYNDYAIPQLRLEHHPASSPVPTPVIKVLLDRPERN NAFTDTMVTSLVTAFGLLSSDPRVKVIVFSGTDPRNKFFCAGMDLDNASDATGSSARA PPPSKQELDRRREAHRDGGAQVSIAISQCTKPVIAAMNGHSVGVGVTMTLPCNLRVVS RDAKVGFVFARRGINMEATSSFFLPRILGTGRALHLVTTGSTYAPSDPLIRDLFAEVV APDEVLPRALELAEEIATKTSGVAIKAMKDMIYRGASSPEEAYQLESRVFFDLFRGSD AREGIRSFLEKREPDFRGVWDREHPAIWPWWEPKGWDVNNNTNGLLGWLKSKL PFICI_07006 MPALFGGEAHGGSYPAGGYGQEDDDLRGAAEHASRHAGDSGDSS LFSTLLGALNEKRGNLAQEDVDEDDAVQQHKRFFGGNDDDEKATSSGMGSAAAMQALK MFSGGSAGNSESANSQSAFIGLAMAEASKLFDQKANEGKVSGEASKESAVMQAGEMAL KFYMKSKGGDSSSSSGLMSLASKFM PFICI_07007 MEAMEAAFSGAFKTKRLVFRAIEETEAHKDWIFKNLWNDPVNFG LATPCVFTPQSRKGFNKDFESIMNNTLVAVFICLPAQKAGDQGAKQSDEADEKGEKTE DEEDTKIGMLVMNKFANLTFRRRTGIGIQIIEEHQNKGYGREAINWAVDWAFTFGDMH RVDIGTVSYNKRAAALYESIGFKPEGVKRETVFMNRQWYDLIDFGMLVHEWEKLRGLD GSEHGRTELAK PFICI_07008 MLYDLNLAWSPSTSAAELTRTLKFSANLGYNVVALNHTLSLPVP GQITNPIPKFDKKASASSLPEDDRLPTVLTRCTVPVSDTSVGHHVPRLVNAYDIVALR PTTDKGFQAACQNIDNAHIISLDLTQFLNYHFKPTSVMAAVRRGMRFEICYAQALSAD ARGRATFIGNVMQLVRATRGRGIVISSEARSAMEVRAPADVVNLLAVWGLATEKAAEG QSVNPRGVVVNEGIRRNGFRGVVEIVQVADKPKKNTANDNNGGKMEGVEHHAAAAAPK AEKNGGQKRKGPDGQEQTGAPLSKRQAKKNKKLALLEGNPADQKA PFICI_07009 MQVTNVMSSGRSAGISTRTVVELGTTVLETISAGNYNSSGSVNI TGWDLTQPWPGDFSTWSISTYTAADIPATLIEDDGTQTSGYVTGTSTNVRQLDESQEK RTMSLRRDTSNSTTGWTVCAIDLGRVEAADDEGLCKEALGEQCTEDLVVAGMRTYNSE KSCHGSFVMPSSCEDLAGHNILSSKWLKLTRHSLEALNLGQNVSDFTIYTGIEDGDRS IHQLGNYTAYDRAANYAHVTMLIWAGTNKTVTSSQMVGLACPRSTTAVRDSQIPNTSM SEHVSFRLFLGFMLLSSLSMVL PFICI_07010 MCLIFTCGEHTFRKEVEGYEGIVCQCHNCGNYSGKVIKSNPWFT FCFVPILPLSIHGYEEVSCHICNFAQPLENRQDVQQMKGGGGQGIPMQNQGGPPQGWG GQQQGGGPPQGQQPMQYK PFICI_07011 MKMRFDILSFAALGLLSFVQADSYHYYDKPAKRDILGDILGQLT GNNGKNGGNEGDQKAKTVTETVRQTITVGAAAAAGGLNATAAVKTETVTVTQSAETQK GGQNAGNQEGAAQLSFVTTTVFGTGAAPTVTITALASTVTQQVTTTMMQLIACGTLQG SPVAASDAAAIASSAAAVASSALAAVPAQSQVATTSAAQATTSVLTTAKSAATSVATS AAAVTSVATTSSSAAAVVSSSSSTLASVVSTSQVTTSTAAAATTSATSTSLTTSVAQA ATTAAAASSVALPVGGNPAVAAPAVTTSVQNANVIQGVNQGPSIDLSNVSLNSIINLG ALIQQPTPAAA PFICI_07012 MLGRKRTIFIGSCIMIVGAAIQAASFSLGQLIAARLITGFGNGM NTSTVPTWQSETSKAHRRGQMVMIEGSLIVFGVMLSYWIDLGFSFLEPSTISWRFPIA FQIVLAIFIVVLIPGLPESPRWLILKGRDEEAVRVLCALSELPPEDPNIQADYKAMKD VVFEMEKGSFSDCFKRNKSRNLHRTILAYVNQMFQQISGINIITYYAATIFENNIGLS GFLSRLLAALNGTEYFIASWVAIFTIEKFGRRGLMLFGAAGQAMSMAVLAGTTSHVST ASGIAAAVFLFVFNSFFAIGWLGMTWLYPAEITPLQIRAPANAISTTANWIFNFMVVM VTPVAFANIQWKTYVVFACINAAIVPCVYFFYPETAGRSLEEMDEIFHDVSGAKGAFD VVHVARTKPRRYGKKGELLINYDDTPEAQHAARRRSSAVGAPDAEKAHAEHVGHGSNG GTNDSAV PFICI_07013 MRRYEGLWHKRQLVPCWILQCLAAGVFLIASGLLLAAAAYVKKN ETDLDYSYYGYSTDQLLTYAAAAGSVILVFSVCTIVFDIVECVLYARRVLSPVALLVL AVLKTAAWGAYFILAIVSAAQGSASWLDLFLSAVLVSTALSQLILGARFTHRLRKGTL DNRGNYSAAAAQHVEGGMAPPPAGYAYGGPQLNPFNDTSYRSPSPNSAVYPQKPEDPN YGVEMQSQRPPHYA PFICI_07014 MRSTTSLAAIAFLATSVYSMPNIRARTTDGLTPWVTVDDSGTAS TVTPYVTTVDGVTSTVSAAPNDITGTVFTQTSYGKITTSTGSAPIATATATDGAGSFA VCNNKDGTDAPWCSPDDGSNLYPGTTYYFVWDSSYFTANTTVQIQGNYFNQTTGETTT QAFQSDKMVASWGFWALTVDSSLMQGKSGVNISVAITAVNTTSSTSSKSYKGPTILVT KTPTYHAPAAQLPNGAALYIGLPVIFGFVIICLFGTCLWNKKQRRITLGNVMSRTRHG HGLGKAPARGLTKRQKEQKAAERVQLMEREVVAGGGQVYRDEPNPRYSDLPRRDSDLP RRDSDALGSLAGTPVEDKSMEFQQSGTRDGRERVADGERNYFRDEMRRQEQDRL PFICI_07015 MSADDQQFLDVLSSLPNQIRRYSGDVADYVDKSVEKAAEQVRDS LASAQWLPEYIRPTPPSRPAPVAIVPLTVYERVHGWVVRHKILTGIAVAGVGYFTYKA VRASKTMRKSRRAKRARNGARTEVVVIAGSPTLPLTKSLALDLERRGFIVYIVCSSMD EEMMVQNLSRPDIKPLSIDITDPPSAGSSIERFASYLQTPHAAVPKVKPNYLTLTSVI LIPSLNYQTSPIATIPPSSFADLFNTHLLHPILTIQSFLPLLTSRITPPGEAPTSPKV LVFTPSIISSINPPFHAPEATVCSALSAFTDVLTGELSPLAIPVTHVQLGTFDFAGFV PTRHSNLLPAMETTNWPESARHVYGKNYVAQATSSISAGRIRGLRGSSLRGLHNAVFD VIDGSDKSGVVRIGLGAGLYGFVGRWAPRSLISWMMGIRRVDELSAWSDSSYNGSGSE RSLSENGDASEFIAVARDEEGGANVWKEDV PFICI_07016 MATATSTTAASTPKELAQQILEHRAPTYTFTFSPFLRKTYGHGL APNRPVCKAFAATGACPLGTDCPDRHEAPNAASSTNASYNSLVCKHWLRALCKKGESC EFLHEYNLRKMPECNFFVRNGYCSNGDECLYLHIDPQSKLPPCPHYDMGFCPLGPNCS KKHVRRTLCPYYLAGFCPDGKQCTEGAHARWSKNLERPVPKSEKAAEDEAARREREEQ AFAAGGDDDGGFRRGDRDDKDDGRGFRGGRGRGGWKPRGRGFGRGRGHR PFICI_07017 MARSMLALSAAYVLGLLALVQSQTSTTTSPGAVFTIPASADEGQ PLIPNIIDPQAVDPQSVCPGYTASNVVETANGITADLTLAGSACNVYGNDIEDLTLTV EYQDVDRLHVEIQPRYIGQENSTWFILPEEILPKPGIDTDGCASKSDLYFTWSNDPTF SFTVTRNSTGDVLFSTEGTKLVYEDQFIEFKTGLPEDYNLYGLGEVMHGLRLGNNLTR TLFAADVGDNLDANIYGHHPVYYDTRYFEADNAGQLTYATNTNDTSKTYKSYTHGVFQ RNAHSQEILLEAPGITWRALGGEIDLYFFQGPTQDAVTKSYQNSIIGLPAFQQWWTFG YHQCRWGYNNWTELQEVVDNFAKFEIPLETIWNDIDYMNQYRDFDNDAIRYPYDEGAE FLERLHANNQHYVPIVDSAIYSPNPETEQGQYPIYDRGVEQDAFLLNPDGSLYVGAVW PGYTVFPDWIGSIFNGTGANKWWASEFTTWYEKVKFDGIWIDMSEVSSFCVGSCGSAN LTLNPAHPPFLLPGEPGNLVTTYPEGFNLTNGTEVTTTSTSTVAEATTTSSSTSTSYL RTTPTPGVRNINYPPYTINNFQGVDLAVHAVSPNATHHGGTLEYDFHNVYGHQILNAT YHALLEVFPGKRPFIIGRSQFAGSGKWAGHWGGDNNSLWAYMFFSIPQALSYSLFGFP MFGVDTCGFGGNTDMELCARWMQLSAFFPFYRNHNVLSALPQEPYRWSAVADASKVAM KIRYALLPYLYTTFYLSHSTGSTTLRALAWDFPDEPWLAAADRQFLLGDAILVTPCLV QGADTVDGVFPGVGSGTIWYDWYNQTAVTGVAAGQNVTIAAPLGHIPVYVKGGKVVPL QEPALTTADVRKSPYSLLVALDGEGKASGGLYIDDGESLETESTWIDFEATSSSLEAS PSGSFAETNALANVTVLGVQGNPATVQLNGEDVGSLFAFDAESQKLSITGLDQLTQEG AWAREWTLTWS PFICI_07018 MKTIGIIGGLGWPSTAIYYQEINKRIGAHLGGNHCAKLIVIQAD LEEILELEGANEWSRIGQLMVDMAERLKAAGADFFLLACNTMHKSLVPFKHTLPLPFL DIVDTAAKKAIAYQKVALIGSKPTMTDNYFLGPLITEYGLKVLVPPVAQQDAIQTALH GELVKGLVLESTKMMFAKVVADLIDRGAELIILGCTEFGMLLQQTDISVPVLETLAAH VEAAVDFAVN PFICI_07019 MVLAGSNLYAAHPLDPTKQNIRTLLLCPGTDGQQIFLEIQVTDL DVPSPDYIALSYVWGVSIALEKVSLAGQEIPVTENLLSCLRHLRHRDDALKIWVDAIC INQNDLEEKSNQVAMMGYIYSRCLAVYCWLGAPTDPMAAEVDPFQILRHFAEDKHYHQ LPGFSVDEETFVRFEENHEFTSNWRGFLAVAESPYWTRSWTVQELILPNASVVCYGYW RISFETLILARRLRNAHLWHREDHCCDKSYQAFPASFKKPFDIFLGQVEWIERFRQIH LPEDHPLSSKGQPRTYPDIDYRPFSELQVTFSHRLCSEPRDKVFSLLAMAETANLRNY RPDYTKDLNTTYQEAFQLMLEELENDFRCMIGPTFASQNPRLPSWVPDLSHHTPIGDV EFILRRILLSSLYKASDKQIGTFGQGHASELHVAGFQADTVATIGPLLELDSTSLPRV LADWRALCENVMGSSADNDAIRTLMSRVMCASTVDDGTPLYGHYRGWRRYRATDTPSP PFICI_07020 MSHLTYTSYEGYGQRSQKTLWYSQAVRVGDIIECAGQGGWDRET DKIDPNVVVQIRKAFDNVDHALKLAGSRGWEDVFFLRSHHLPCNQEAMETMVACLKQY CPNHQPTWTCLGVPRLAFDDMRVEIEVRAHAPVDRK PFICI_07021 MMFKTQSLILALSALVAASPVPGDDGYGTCAPKTVTVTSQQYGT AKTITVTSFSTVVSTVTGKGTTQTVTLPGKDTTITYPVTVTGPGTTQVITKTADCYPV TKTDTVTVTKTGAPVTSIVTVTGPGKTVTETGYVTSTVTKENDKTITVPVTVPTTVTV SGKPVTETKTVTITSAGYVSNPLDNFAAQTLFEVIRICSYASVTSVSRDPLTMP PFICI_07022 MSQTPDHWSSEAYQKSASFVPKLATKIVQWLDPQPDDVILDIGC GDGIVDFQIADVLSKGSGRIHGTDSSPSMIESSKKAAAEAQNDRCSFEVIDALEIVNT PSLQQSSYSKVFSNAAMHWILRCSREKQETFFRGARESLKPGGTFAFEMGGRGNVAEM RAGLLMGVARRVGLERALAADPWFFPDESWVVQIMEEAVGGWKVERVELESRPTPADA GGVDGWIRLMGKQFFDAINDAQEREECVKEVVEVLEHVCRNPSGGFNFGYFRLRVLAR KV PFICI_07023 MTSYFQHKRDCRFKQEERQRRLLGLTHCQGPFTSFDKEVIDRPI EGLVQDVKNGVLKPVDILRTYGRVAVKAQEKTNCVTEIMVPEAEEWIKNGSINLKGPL AGIPISLKDTVVVGGFDTTVGFSSFVGTKGTQDGAMVRLFKDAGAVPYVKTNVPITLL SFESTNDVWGRAKNPHNNKYSPGGSTGGEAALLALGGRIGIGSDVAGSVRAPAHFSGI YSLRCATGRWPKLGVLTSMPGQEGVPSVFSPMARTLNDLTYFSRSIIEMQPWKYDPSV HTLPWRSDIGKEYAAKSKLRVGVLRTDGVVDPSPACARAMKMVEVALKAEGHEIVEID PPSPYEGLQIGSQLLNADGCQMFRSFFRTGEWNDPGSAQMEWMMNLPRPFKYLYYLWV KYIRRDDIWAGLVRDWHPKSAFENWQLVAKREAYRVKWFEWWNSANVDFLLTPPNATP AVPHDGMKDAVSSCGYTFLFNILDYTAGILPVTHVDKSLDQLPKDFKIKKLNGVAQGA YKLYNADAMHGLPVGVQIVGRRLEEEKVLAIMKRVEDSLGDNQYKHLDLD PFICI_07024 MSAAAAADKPEYDPEQSGVYLVCSMCGTQFPTTDRDALKTCYIC DDPRQYTPGAGQSFTTLDEIRSGGKYQNKFQPLFSAKDGETGDQSAFISIVTEPKLAI GQRAILIRTPAGNVLWDCVTLLDAATEAKIRELGGLAAIVISHPHYYSTHAAWARAFG DCPVYLASEDRRWTTLACPQQVFLAEDQTDFDVPLPGSTSSPGIKILKLGGHFPGSLV LLYQSRLLIADTLYTTPAGLGNWDIDALGAAREGGRPPGLNSYSFMWSIPNMIPLAPD EIERMWSVLKKHGYISTHGAFVGMDIIGKDTAEMRKRILDSMHIQIRYMGYGNHTLLA EK PFICI_07025 MADATEASADSQVTFKVKTSGEGNHTITMAESATVLDLKTKLST ADFENIPVERQRLIYSGRVMKNEEPLSTYKIKAGNTVHLVKSAASNPTPAPASTSSQQ APPRPQVPTNMAAGTANNPLAGLTGARYAGLTGLPSADLFGPDGGMSGSMMDEEGLSR MMQDPNVLQQMNEMLNNDQFIDMMIQQNPMLRDQPNAREILRSPMFRHMMTNPEALRS AQRFGRMMRGGGGPGGQGAFPAPGVTDTTPQDPNATGTAGNSNGAAQANPFDPSAWAG LQLPGGGGNNANPFAGLLNPFGMGAFGAPPAAGAGAGAQTGADSQATAGSTEAQRTTA SGDSGNAANSTSPPPNPFAALFGGAQPNAGAAAANPFGLPPMSPEALQQVMQLMGMGS PGGASPAPADNRPPEERYAEQLRQLNDMGFFDFDRNVAALRRSGGSVQGAIEHLLSS PFICI_07026 MRGIQLAKYVKGPQELKVTDLPDPKPSPEQYVIQVHAAATNFFD ILQVQGKYQHQPPFPWVGGAEFAGVVLSTPASSKSPKFPVGSRVFGASQGSYATKVCA TEVQLLPVPEGWSFRDASGLFVTAPTSYGALVLRAGVKAGDYVLVHAAAGGVGLAAVQ IAKAFGATVIATAGTERKREVARDYGADHVVDYRDEKWPEIVKKLTPKGRGVDIVYDP VGLVDKSTKCIAWNGRILVIGFAAGTIEKVAMNKVLLKNISLVGIHWGQYAVHEKESI PLVWKGIMKLVEQGKFRGTVFTDKEFVGLESIPDALVALGGRDSWGKVVVQVPQGTES KL PFICI_07027 MANPKPANASRTSTKSSAPRTPPLPYQAKVPVSATSPGAKAPGP SAPELPESLRAPIPKRIDPSSPAYKAASRKYIGFMVAMPILLVTSYVLFDRLALGHEA KSLHKETETPAKDVV PFICI_07028 MPIFHSYQITPFGVTSRPLENSQLVGSRIVIQQADRQVDEMFEE MWTTLGRPSVMLVDLRPVSPPMLLVTSHSIAEQISRPSQQLPFSTPKSSTWTHMIPII GKTSILGKEGQEWKDLRKKYNPGFMPQHLLSLMPLILAKMEPFWNHLNRSAAANAEFS LEELIANLTFDVIGVTVLEVQLNAQHLNPSEQDQLVHLFRPLLQTYKDDKNNFPWWMV PLTTFKRHRLAKKIDVLVKDKIKHKYSDLKNEAEENGSKSILALSLRDTEALTPQLLS EMSDQVRTFLFAGYDTTSSMLQWLLYELSRTPRALKAVRDELDEVLGPQTDPERICAS LLENGHQLMTRMHYINAAIKETLRLYPPASTARMTGPRSGFTISTAAGEDYNVDGLVM YSCQKIIHRDPAVFGDTADDWEPERWLGDAAKSIPAGAWRPFERGPRACIGTELANLE ARLIVAIVARKYDFIKTGLGASALDKEGQPMLDEKGQYQVKSKLYNTRRMTAQPVDGT MMKVKAVVWPKD PFICI_07029 MSALLPLAGFWAWGQAEKALFRRALAAYKPVPISSDSPYTTAKV SILVCTVNTLLARCLRTWLDNKPLEVIIVTIAEHHEQIRSVVENAELSDSDLAKVIII ASAVKGKRAQMVAGIQHARGDIIANVDDHITWHPRLLENMLPCFEDEFMGAVGPAIEG VIPDDRRNEKVITPYEVAAMRLIWDRNPKHKVAWSAGRWSWGVTGASYLIRAEIFKDP DFIKEFIEDNWRGKKLDVGEDTFISRWMQTRDWVISYQSVGPETDVFRTVKTTSDYFS QMFRWERSTIQSHLRQTQLPQVYRSFFVARKTWGRLMRPGFTTAHIVAWIVAFRCNPF LALLFVAWYILEAYPSYKAFFAKYPYMRRFWWAAVAQDYFYIVQDYYCWATLKNTSWE SRSVSVEDQNRLK PFICI_07030 MALRVRLSRAAAVSSPLGTSLLASSTFQTAIAHRAYTTGRDDDR AQPAQIPLFWEKPRTPGKEEADALLSSLRSKGGAEPWASEEDEILIAQLVLWPTTGEE QLKNLAMKLQRPPESVTERLKTLASDPKLDGKLAFTDEFLRSDLSAKEEQILLERMEY IIETLLNNTIGVDYLWKFNLVYQSDNSFTDWAHLILDLIPLQVKHILAASRPPTAREL LTLPWSHTQSMGVYGRIFIPRNAEAYQSGHFLYVGTAILDQLGLQGRRIRDKKVDRDW TSDGEKGQNMNGKVRFFDLIVIDPAGKDFDGIREASHLILLAEAALSIWLGALPFEKP GYESWKNIFPWTSEGGYGYAGTFSDNPLIEGTLKHSARRRAKAAEADSVDISSV PFICI_07031 MAETSSSLLSQPVAPEDLQIAIATFQSVLSDDQRRELKSMGGNP NTATVMEFTAKLDLMNRQRKGRSFSSRLYSLLSSIGSFCNIMDTYVSARPEVAALLWG SVKLTMIVVTNFTSYYDATSNLFLKLGSLCPLVSEYQSLYPESTRLQKSINKFYASIV YCCKHVLEAIKRPWQMQVAQALRMSFDKEFKPDLEDIERCSNNIKEEMIFAQAQAIQR HQKQQVIAQREAAKGRSKMNRFFSRTDRSLHKMEDRQLQNDKQNMRKKRQQLLDSLST HDYMRALKQSSQKRYSSTANWVFETPQFQQWLEGESSLLWCSGKIGSGKTIITASVIQ HILQIKEDGNSSLGPVSFFFAQFDDSESLRAEIALKSILRQRLEAMDIPEELYETSAA DWHDLSKPLERYMSVPQRSFILVDGLDECSKMDRKELLSKLAKLCSANANIRVFLASR PSLREEIQKYFRSMEYLTLDCAATNEDISTYVEGILNEKHEDGDFKVGDQSLIEDIKN ALVQGAQGMFLWAYFQLETVCSQHCDEDIRQVLCDLPEGLAETFRRALGRIENGHHGK AARKVFPWIAGSKRPLSLLELREAIAIDIGQQYSRPDRLYNDMDSIVSWCQNLIQVDE ESHIVQFAHSAIKQFLMGTSTTSTLEDYHLKVEEVDHRLGEICVTYLNFNDFKTTLAV RPKPLVLDPVQIVQQVLKPGSRRAAMLSQWRPKSSASKHDLRTSTAISPHVSTSVSII DDEHPFLKYASIHWIYHTTQFKKEKSETWGIWANFVMGVQSLGRAQTPWQGDLPTSKY EIWKWSFEHRHYAMMHLLLSRFEPVPEAKTAFEKAAEENDLEFFRMLAGIPELGEVAV VALQAAVKNGHLQVVDELSARRANLKTEPAECNTWVALQAAAAKGHILVVSSIVAAMA AAKARQAWEPGGTTLQLAAERGYSLVVEKLLKTGNEVNNIDGRTALQLAVSGGHLVVV GQLLAAKVEVNTINSDGRSALQLAAEYGHGEKIDRLLAIHAGVNAQFHRTSALQLAAE RGSRAIVDRLLAAGADVNAGSPGQTALQLASVNGHSEIVDSLLKAKADVNAASYGQAA LQLAAKRGHLEVVDRLLQAGANVDAAHDLGQTALQMAASRGHLAVVDRLLVAQAHVNL TSRVEETALQLALTGGHLEVVARLLEAEADFDTETYRVALDLASKKGDLKLIKTLLNG PSRRGPRVGTSISGAGRHTVLHR PFICI_07032 MAGAAPDPSKVKGNAPTITTTLCIVLAIVILIGVCIARKTNTLA AVREVATRTRSTLRGRGLDSSTINSIPIVQYTSTNRRNRRSSHEFERGRLDTIPEGGV AGRDSNEATPRPSVPHRVCDKLKEWKALIPKRPTPRLQKSQPERLSRQEETSCAICAE DFFDGTDLRKLPCGHLFHPRCIDEWLAEFNVTCPLWQVVPLRFVS PFICI_07033 MIARTSNSPHVLIIGGGLAGLSLAHGLKKNDIPFTIVDRETSPR GRNWGVTLSWGHAQLEKLLPKDLWANLQQCQPDSSLNVKTSEKQCILVRDGATGETLN AAPFPGIRRLQIQKTKKNWARGLDIQYGKKLVDIETLEDENDNDHRVLAKFEDGTSIA ASVIVGADGGVSNVRRWLLGEELAAQEILPYTFMNFSFTLPADKALWLDHEMNPNVDV APHPKSMYMGIFLLDKPDLEKPETWVFYILTTWPDDNSLEQDETSADRLRRLRRQMEG WADPYKTIVKLLPDDVEIAKNQLRIWHTRPWDNHGARVTLAGDAAHSMTFHRGQGGNL AIRDADEFVNCMIAVRNGTKTLNEAVAEYDRGVVERGQEVAISKEQTKAFHDYENFLN SPVVKMGIKPSAK PFICI_07034 MDWYSHPKAKVRAADWGKQDVAELKRKLITANHILHNQDVVDAY GHISVRHPQNRDVYIMSGYMAPALVKSSDDLIEYYVNDSSTVDPNAKKGYAERFIHGE MFRVYPEVNCVVHSHAEAVLPYVAADVRMMAVFHMAGFLGSEVPVFDVGQIYQPGDQQ DMLIRNTRLGTALAKYFSRNPHQEAPSLDHTVVLMKRHGYTTHGVDIETAVYRAIYTK INAGVQSNALLIGNVRNSEHPGSSPSALPKPMSQKMLEDCETMNEGTQDKPWALWVAE VENNSLYVNKG PFICI_07035 MASGAFEQAVSVVRTNPLATGLITTAAISIAWTVKDFSDWKSFG TGGTPPTWAGYWRMTKLRVKALLANDDLLDTSVYDRSSGPLHLTELPTRGVPRPKLMP RILPQRQVPDRVLSTASRARLHDMVTVLAAEHPDLLEVKPSHTEGKTTDGLYAKRDVS SLNPVARDKILDHEIGHAHPSDDSLHVWLSDRDAIEVVEKGWGQRFCLPFVNRGWVMV YAPRNMEEVEVVESILKAAVQYLTGVKV PFICI_07036 MAKILPQDLLELQLSQIDLLLAMYPEDAQLDSNSDASRTSLIEW IEGASEMPTRVSSTLSISLNLDLSSEIDEPVGSRSIVLELIVPIKYEETEPPAEPSPV RIRIIQPGWMSKAEVTNVQTDIPDEDLLGGIEYVREACVQHIKSNRDAAAMTVNTEST SEPLVRVWFYFPSISTRSKRDDLINHGPSYGLSGFLLAGKPGILCLEGGSKSIDDYMK FIKTDSWGDIPAHHKKVSERHRETGQLQRVFDGMQEITDLLGERRGERANRNDMKAVE AWLEQRGLGDAFKKVLM PFICI_07037 MESRTPALTHKASQETMISLLQLDPQPIAESPSQEKPLPPLPEE NLDESTGSLNSQSTFGSSSLHSSALGLSGSNHGAVYYLTRIQRYSFYTFTAFAGLHMV NTSLIPLIYQNVPYSEPFLLMAREIYQTSVSEPLLVGLPIAAHVLAGLSLRLVRRSQN LKRYGGATPGMYALHRSKTSSTSSSDRSKNGLRIWPQLSNVSISGYILLPPLLAHIFM NRVLPLMVEGDSSNIGLEYVAHGFARHGIQPWIAYTLLLAVGVGHMAWGWAKWLGVAP PVGWRRTTVDKKLRKRRSRAWWSINSIAALVGVAWAAGGLGIVARAGAADGWLGKVYD GIYARTGQ PFICI_07038 MPPRHQTLPSAQTASARAARQSFYCELCSKGYSRMNDYEAHLSS YDHSHRQRMRDMKQMVKDPTAAARARKAEQKSDGLISIKLGEGGSGSASGAGGGFKKG GFKKSGFKSAFAPSGGEDEKSVSDAVAKDGIKEKRIVSLQDESDTEDEGYEVYDPRKP TD PFICI_07039 MVASLAEQLSIDEIGPGEYASRIPPERMGNALPIAYGGCTLGIA VHAACKTVPPTHRLYSLVGHFLGPASTTEKLYCTVHSSRNTKTFATRRVEVSQIRPDG KKRVCLELLADFQIEEPAMLTYSTPPVLQYSGPEKSRSFGELAREAVASGQMDEKDAA KVIESFALGDSFFDTRLCPEGVSGQNVGGANKQKQTTQEHLPITDKTSGDWSRTRAPL ESRDERAAAVAFLLDGGLAFLPLGHSHKWLDDSAACSSLDFALRLFSSDIDLSSWHLR ERRTTAGGLGRTYTEARLWDEKGHLVASMTQQSIMRPWPENKTKGKL PFICI_07040 MDMAIDDYSTGKHILLLRKWLEQCDRHHDDRCKAVSIADRPSWQ VPDWVIDTNQGCIVPGSSIKQYAALSYVWESPSPEGSRVTSERLMLCHDNIEEFQRPG FLSADVKKSLPAAVQDSIVLVLQSGVRYLWVDCLCIVQHTETTGDRVKAMSEIYYGAY FTIVAATTGGGLFDRKPGDNVAAKARRALLWRRGALWFTANAQRLYSSLLASHWATRG WTFQEQLLSKRSINFIDEIVFWDCECSVWWPSLAELENGSGDTSNPKPGPEFDCNSMK YDTFGIANEKYIEAQKHKELSQSLKSTSVPNFRLYMELICRYNHRNLTYPQDALPAFS GVLRCVAEASSSRFICGLPVLFLDAALLWQPLWKAKRRLATDRGRNIAPSAPLPSWSW VGWQCLIDPESMVSGLDYEVGDVMDGISDPPHRSWKTSKLVDWYILPNKDVQTGELLK EHQWMESCKLFQSSPYAEDLPKGWSRKLGSEFVSWEEAGDAAILQLWKTHVGETPMSE DSPGPASKPVEWYFHGVQDDILYYYPIPTSNASSPTAASLSDAPFLSCVTTVAHFKVR RMLVC PFICI_07041 MTLEDDQGRWSGLLRVMDDDAVIESGQTIQLVAISKGSTSRREA AQTYEVYVDNCGYYNAGNVQYQFESVASTWRISEESIDDAIGSAVPSQKERSHTTCVG SENEKTTPDLGKLNSKNVESRKLSGWGDEIYFFYNVLWVETIDGVMYRKAAGRIPEDI WEQTCGIPQRIVLG PFICI_07042 MATLNTFHCFLQLPNELQNGIWTAYSDYRSGMRHCFSYHGDTVL YAALSSNNYSLLGNLVADFEGLDAWTHLRRKGPPFKMVKPLNRVSVLAELTHQRAIFA DWKPSKKFWPSHLRSLPVRKPPLPHIWVNFEQDIFYFDIPDTPAEQSWFRTFFPDTFI KPPEDDYWLFKVRKMALQVPTLMYLYERGPNFRIPRQLDALILRRMKNLQVLQLIVSI HDEPFMIEWKESHWADDVFIPEHYLDNLSIRSMGNSDNASFDGELTHRYSRQRRWQRG QESARDIAKALRRLGITIAIEIMFHIC PFICI_07043 MLSRSSSNASTRSVRAGGKSKSTTAYICKHESMNSRLNSVVSGI PLDMKTKKCPDCQTATPVGAIRLLAVLCKEEVMKDVDAATRRKLAESLFERLADRRRS VLKDDWDEISMLWATLCYLYVPIAELKHVCGTLRSRYGAGMDKLILQTLARAACVQEN VWDIFEPKDTPMFSTANHIVADLRKKNGQVERFSQVEDIFIVAKELGGLCDTTVAVYN KVSLRLDRWDNSRK PFICI_07044 MNGTQGPVSKLPQLHQFYTGHNDEGKAIVAEHQDFKWTRYADGD MGFSVVYTTSQSPPDLNGDKDIAQHKSTMAAGTLGLVNPNGSVLRCVDFAPGFKCDMH RTQSLDYGIILEGSVEMVLDSGERHLMKRGDVAVQRATQHQWINTSETEWARMMFVLQ DIKPLYVGGKEFGEDLGEKPMVPPSRRS PFICI_07045 MSIAAFRKSPEHEDLAKLAEEHMKHDLEPSDREVLQKAAGKVSV PATIGTLVGLGLGIYAAFRLRKARVSMFNAFRATEKPTHVVFAGGRTESVPDITPYLR PTRLGDWATYIFFGLGGTIVGGELGFLLGTWSAARTIASDPQRKKRIETAYRKFKADY LRQEAKRLDEGGSVFS PFICI_07046 MVITGLWGTAYSFMAFFPCFPPSAYWDLSFDKEGLCYGYGALTP DEFYATYSSASYSNMFLDIIILAIPIHLYFKPGTDTRSKLGLLGVLTMGGLTNGLAIW RVATIVEHKAATYPTLDPTWYGPISILLASCEVDLATICASVPVFWPVLTSSLDRIFI TREIKIERNHRFSSIDENHRSSSLERIHRFYSLGDSEAGRPPSVLTEWGAFCAEHRRE FLELKEVKANYKNEHYKDSYVIAQVDPLSDEGKVESSVVAERPKKRHPNWI PFICI_07047 MSSIALESIYIYIPNKGAPVAFAVAFLIVAVVHAWQSTRYKAWK WTVLHPLCALMFAVGFAVREVGAFNYEVTRPNINIYITSTCFIYFAPPLLELANYHVL GRTLYYVHYLSPLHPGRVLTTFGFLSTLVEMLNGIGVSYSANSYLPQGYITAGHDLMR ASLILQIVVIACFALLATVFYRRCQHAGVLNRRVKTPLQILYVSMGLILVRTIYRTVE YFDLSDASTASVTNVDELNPLLRNEWYFWVFEAAIMLINSCLWNVFHPGRYLPVDKNL YLDKDGVTEVLGDGVDDKRSWLMTLCDPFGLLNGPRGKRRPDGSRTMWMKLYDPFGWL ESPRGNKSRMSPESYQNNAHSVV PFICI_07048 MEPGLKPNVVVAQNMETLAMASPNLDRPTMITPGAAGPKTDFPL REKRHCWECMRRRLVCDSAPGACNKCKTRGVVCPGYDDKKPLRWLTPGKVKSKPRRPK NAPEPKPVSKKSSKEKAQTNDESTIPVVDMAQGAVERYKWQNDVSDIVQAVAFYNNWI YPAWDSTREIASTSWITPLPLGVLNGVEPAISHSLTFCTLSYRFTQLSSPTSIGRMED DQLAIATKMYYHRWVTLVLSLLLYPILHGSTASKARGRAAYMRPFDVTRIGRGHPPQV HSREAVSAVTNLILAFTRDIAVRALSEALSDPKRKTNNVTLLAVFIFLMVDIAQARMN WRHHYDGLATLIRIRGGLTKNLLPDPYHTIVMAYYFVTGVIGNTTSPAHDLAEPFMFI DNMDMIAEYYGDGRFPTLMCPPDMFLSIARVNHLRAQAAQPIASQVQTLKPRAEALVK HIDDFQPEDWAYSLGSSEQENLLLLARIYQSATMVFCLSSLQSVRVLPRSAAVQTCKA RHHQRLLQDLREGLCKRSLRFRDVLIKCIMWPLMIAGTELRNGSLADRNFIERELENM SPTIGSYLPVYALSLIKRFWQSDEVEWDECFDEPRAFVT PFICI_07049 MMGSWSEHTPASTPQVKFENSPAESFISTPCADMYSLFSTPAPT SMAPVEDVMTPESIDDADVKDEVAETPDDEAEKKTTKKRKSWGQVLPEPKTNLPPRKR AKTEDEKEQRRVERVLRNRRAAQSSRERKRQEVEALEKRNKELEALLDQHRKQSAMLM EEINKMRRSGVTSSTSPLLDLEGTLSQPLFPASEVTETKPSMMNDFILMPDNEATVDP ASLSPELTPVSDEAISKPATQTTAPATKAPTTSPDVTQHPAAVFSAEPATGASDAFDG LFGDAFSLSEPNPADSWCTEAQFSPSSDPFNFEYNHLDGHASAELPEFDIFSQYLDDD LSGAAQGAIAGHASVSYEPEPTLNLFDSETQVSSENLNLQSHAGASSKGCDAGPIAVG I PFICI_07050 MPRSSSPSTTSTESSSSSEEDSSTEDSSSSEEDSSSEEDSSSEE DSDQDQSSVDTNVPGGGGYADNWGSNTPTTARVVRFSHGIHDIGSLVADHQPRIIQIA RPSSRRRHFRTVRRLYRTARRVWRGSSIPTERYYIVYPPTRRLSGSHMTPLSGSRTTT AVPHQDRGFINHMVPPGGDVIRTATPPPPWSVSGSVASSGYGSDKDQ PFICI_07051 MPRAKKSTGAAASKSPADILSTLQILQESHELSIIRLSEPITAS DPAAIPAGNQPRTSDVSNSSLNAPTPASLEADLTHYRELFAKLRFSYVEQVTKEKFIR AIVGDPPLIVSPQENVELENLNAEAKAVLKALKIEVADTVKELERRGAELARRYEGVK LETARLEELPDKIKGLEERVAELRKENEGRLNSETPELNLPLARTVDLVNQKKRQREE LDRQLEQLKSQVPRKKKELERLQAELAPLETKRTNSTAAAKEAKRRKEAALGGVEDDL EERGRWYRAAETSLKQMLEI PFICI_07052 MSSTNSHSDEWVADLLSQEAADCALKYSTMGMDAYTKSAKRPAN HPKPNTRFLNNIVKATNSHNQSLLEQERADSRARLKDLERTKRAADEREQRRRPGAAD TRNRMLGDIKAILGGSSKKRKTEDTGRDSDLISESKRSRKDDSEIKIRGRGHTSRRDS DREDSKTSNTRNSEKELFADHGPKRGLPREERRDERRELREQRHRQDRHTSRADRKSR DRVPRETRKEHRGHETDSKGRLEESDSDPLEDIIGPKPPSPVRKRGRGTTSGSSAMDT RFASNYDPKADVSLEQDEEDDDWGSALEALRDRERWKQQGADRLRAAGFTDDQVKKWE KGDQKNEEDVKWSKKGDEREWDRGKVLKGDF PFICI_07053 MHATLTTVLTCAAAASAAAVVQQPRQETGILAEITAWKAISSCA DDANAPDLSFYITNSSVAGCVQLPYVVESIQLAALHQGPYLVSFYTDYDCANVTRGTT VSEVGNCLHSNIGPWASLEITTY PFICI_07054 MTSNLMPIASLRVSKHQIPAHNLIPNTSIQNKPLMHYHGVFPPT IGASAIESHLGSLGVVSPQWRYTMYSTTHFHSTSHEVLCISRGKATLCFGGEDNPGKV ELEAQRGDMLIVPAGVGHRLLRQAEGSDGGFEMVGSYPPGYNWDMCYGQRGEESQVKS IENLPWFTRDPVYGDRGPALDL PFICI_07055 MAPGRLLDSPPASFSTPVSTRADARLPVTLLSGFLGAGKTTLLE HILTSPDHGLRIGVIVNDVGALNIDAALLSTHDVTRKEEQVVAMQNGCICCTLRGDLL EEVARLAEDKMVDYLVIESSGVSEPMQVAETFSEEFAEMHIQAGHDLEAEAAQTQDDD SKGNKRLAEILKSGGLSKVARLDTCVTVVDAVNFMKDFQTADFLVDRQTDVPEEDDRN ISDLQVDQVEFADVLVVNKCDLVSKDEVNRIRGVIGKLNPDAHILTTTKSRLDLKEII DTKRFSYEKAALGAGWLKSLNEEINPETEEYGIGTFIYRARRPFHPERLWHTIKDVFV VIQTEYQTEEDGPEEDEEMDDDDESDVDMDDEDQPQLNPQARLESKIRDETFGPLLRS KGFLWLATRPKMFGEWSQAGVMLTIQGGDLWRCEVPEAEWPKDAMSRAAIMKDYQGEW QDRRQEIVFIGQKMRSGGEERLRAALDACLLNDKEFRAWEKAMRSKRLQERLDKLFED GFEEWPEEVHGGHDHDHAAGESCPM PFICI_07056 MAAPLTDSRPFASPVQYSAHEDLPATEVPRTDSFVGLPPIRRTS TFGPLGDKNGQSDDGESADADSYRAGVQDVIPPVPAIPSGMMQSAKGQHDSAQYSQVS HQQSQGPAAQYNPHEGHLVNGQKGYHLPNGAYPPAPGRNGAPVQFPGIPVSQFSQYNM NMQGRNGFPSPTGLPGIQTGMQSSSAQPAVRPGAASPPSAGGNPIHRFPPQGQWKLEE SQLSEPLNTSRKTQTPPAQQTGYYAGDKETESLVPVGGPSQLPQRNPRNNSQPPVAAQ RFPGLFPAGSSNQSPVHQDHIQMAPAAQQAQQHRRDSMGVSRSPTRDLEDDNKRQQSL LTQIGGKIMPTRARPDSISKDGFQADEVSVSETSIITEDEPERKHKRASFFGMGNSGN TGAQSQPGHVDTAPQQFQNSGPGEKKKTFFGASGLAKQMTGMGGGKTDNASGGSDTNS NPGSGAKKRLSEFRSIFKSGPKDDAQPNKTERPTSGRPSMQSSIRPSTEDQGFHTGQT QPNAQLGMMAPPPINRGRSSTQGSVQGTPMGLPPNMGQLRPQHTGDGPEKRAPNGALA SAGGFLGNLLGNKPGSSAKDPTNPQISQMGQPGYGPMSMGGQQPQRGQFAPSSYQHPQ QMGPHGQPNYPPGQLQALNNRMRQMSNPGVPQQHLSPGQPSGPPQQKLQDQTRALNHD ARGALDPNRITPTGEHQRILAAPANDDSPRSSQEFLRVNRKPVGSGSSRLASGSMIAP PAATSSQPPSKSEVEPSRTPEPSNEFGGDGQRPSEIYQSHQSSHLGASTQAGHIRQPS LPTPSQSPAPPPGSPGANRISAQSGQSSLSPYVQQQGFNDAAQIGQPKTVSGTNGGSM PFQPFPGQQVPGMQGPPGHAGPGQQPPLQGPGRLSPQPTQPWGFTRTATQPPMGPASS GQHQQMYPGQAGGAAGSVQEQKGTMSKLFGAGRKRNSTSPQPPIGGFPMQTKEKESTS SKLLGAFKRSSKQQDSSKMQQPVLPQAQRISGRAEAPDQPIQPAQPVQANQIGQPGQH SGQPDQSSQAMPLYMTPARRGQSPAPGPMPQGSLGASGQPPRASPGLAQPAQFNRGQA SPPMPGDRGQLPSQTTRVGHGQISPAVLPMMQSNRSQAQAPRSEPQYAAVPIPRGYEA VHGYGVPNMLAHSPYFVGRGSPPQHFGTQFQPLVPQYSGASQQFQPVMPQHTGSSQHV QQMVPQPTGNNPADPQRPSPTPSQQTQSAPGQPQNQIPPHSGVAANSEQGNQLLRVDD HAPPQQFMTRQGGSPDSHQQVPAAQESSARNSPQPAPGGDAFLSPPSHENLQQRPSAQ DQIVAPVTRNDDAQAPRTQPQQILTSMGQLEMPRNASPQNQPLPDSATTFSPVNAATG RLSDPPLPPVTSIYNGDQQSVHNASHTPSPPMGAQSAGRFGLHHMTSSQSVAEDARHQ SYGRDVDPHRHFNVSPEPPRSFSVPAQQLSNPNLIVNVNKANSHSSDRDDLYDATPRN SHPSQPQQVPMQEPVLQHPDEPARHDGYGAAMAGGAVAGVFAGDVTSSTISRTSSIQA EPSQLVAPAQAAPMEPEEKILVDQPVELAAVNDDDDGMPMMSATSYPGQEWNPYGAGE FGDFD PFICI_07057 MDQSTYNNIYRNASPMTPSTPSNNTFQTNVNRTKTRKWVEAKKQ NYAGDDWGNEFDDDEEPEDDPPGPAQQPATFRPLGQGAQLPGSQMSGNRAFSQPTAAF GHFRGQSFGRNPSGPPALHVQTQQSSAPAQRFEPVEPFPAVDQSSFDGAPDYRNSENV TSPQSGRPRPFGAAGAGYPGRQEYSPATQDRGITSPASATGLSSRFPPRKSSMGHQDA PTYRDTSRNRSGSRPGSSGRPWPEQRSDSPGQQAPSAATGAPNKPLPFIRPAEIYRRM EEEKEKERRSMESAGRPSLDGIQSPGSERSTSPAYALRSPVEQRRRLSFDRDGDENLD SVRGLKTTLPPVAERRSEYGLDHLINEHKAPAPTQTSANAESSIPQQATTSGPLAGAA PQSGGSQLLGDPRRKSISPRLPDLARMSGFGPDFFSGSSGGFSDGDRSAQATPPEHKL ATPNDEHMQTVTGLGLSSAVTGPSETITEDSDEKAAPSLIEGPVLAEQKEESSNPDTS LLEPQPAASDEATIKAQPRPSRPSIPGGWVSESTNIASEVPTPMERPEPTISQLATVK DTAEEPATIGGEADDIEPTTVIKQAQPLENDAPAVIDSKDVQQDNDNFGTTVGQHDQA IADEVLTTAPAALATSKALPPLQTPDPLTASSQTETVDQQPQAPGSGSPSYGESPSKY STSTHPLTATTSSGFSPTAPLNPQRGGASDSDFIAPGPLARNLTMSSMDNASPHESDK LREDIIKSLSPLPIDTPSFPPMPPTADRSLTTPASDIARESRYLSGVYDEYMGFPEDK SLQGFNQEKDEMTVVSPAPLQSSSPARTVVPSEPAADAAENNLTRTSERGPRLSRRFS WEELSENVTPNEQPQIQTDANVHVPDGPTSDATEPQITTSPDLREDTASPTLQLEPQK DGEISHTVSMISNNAPGGLGVSGIEPPSPISVLSSPRPVESTGSRLSLADEKVLLQAS SHPVSPNLDSEHPALSRTPPESPAPENDTLVAPPPKPVNITSWREILSLPTPALRIER FEEARSQYLAMDSGLSNWMEHMKASSEDPALQPSSTSPTHQGPGSAQTSPTGPPAASQ QPYYQQYLNASNPNVMAGAPGPARQRSMSQQQQASGFGTSKNQAAVKSKEFLHAAGAF GNKATKAGMKSGMKLFNRGKDKLRGSGDKSFQ PFICI_07058 MAVASIQDRTAEFRTVLNQVQKRQASSKVGAQRRSLLTDQQKAE ADGDARPRRSDFARRAAEIGRGISGTMGKLEKLAQLAKRKTLFDDRPVEINELTFIIK QDLSTLNQQISGLQSLTRQQHPKADQVGEHNKNVVVMLQGKLADVSANFKDVLELRTK NIQASRARTDNFISTVSQHAQPAIQQSASPLYGTPSRGTPSPGGDTLSLNPVMGDQQV LMMEEAQPQNTYIQQRGEAIENIEKTIAELGSIFGQLATMVSEQAEQIQRIDANTEDV VDNVQGAQKELLKYWSRVSSNRWLIAKMFGVLMIFFLLWVLIAG PFICI_07059 MAPSSFKLNTGAEILAVGFGTWQAPPGQVAKAVEIALKSGYKLI DAAYCYANEDEVGQGLKAAFDSGIKREDIFVVTKLWNTYSTRVELCLDKSLKALGLDY VDLYLVHWAVGMNPNGTRPDGGDADRFPLLPNGERDILWDHDHVETWKLMEALPQTKA RAIGVCNYSETYLTNLLKHAKIVPAVNQIENSPLLPQQEVVDLSKKNGIHIMAYSPLG STGGPLLESEQVKSLASAKGVSPGAILLSYHVARGSTVLAKSVTESRIKENLKIVDLD SKDLDVLAQLTKEKAPVRYVYPPFGINFGFPDKQEGKALKNGVSA PFICI_07060 MKSQQILLACATGGVAAKNSLGYMVDYGRCQWENFLGNFENPFC EYDDPSAFAQVSVAEPKSERTAHAVETPFISSDAQPVKVAAQKPAEPVVEKNKVKEEK GKEKEAKEEEGAADPKPRKAEALKIKNDKLIANELWPISSTCAGHHNETEEFCIFSNP NFAGGRGITILTTPAEAVTIAKSPAFTERDLYKSVKDFNAAASDKWHVEEVPNKGMGL VASRNLAMGDHIMSVSAAIMTDFDIWDHVSVDQVRRMQTEGISHLPKHHRDIFMNLST HDGADSHEEQIYKIILTNAFDISDEEIITRPKGAKIVNFFTVFPEVSRMNHDCRPNAH YYWDPDTFTQNVFATRDILAGEEITITYVELLLPRDDRLARLDETWHFPCACTACTQV DRIVKASDDRIAQILDLQGHLTDYTGDSYATPEMAETLVSLYEQERLYSRLYEAYTYA AIEFNAVGKVWEAIKYARLAIQHSFVVAGPNNDDHYELAALAENPTTHWSHLMRRKDE SESVYRSVSNPITTSI PFICI_07061 MCGGDIRASVLHGAKDLRVESRELTAPEAAEVQVEVKATGLCGS DLHYFNHYRNGDIIVKEPMALGHESAGVVTAVGSEVSTLKVGDRVALEVGLPCEQCDL CKEGRYNICKGMRFRSSAKAFPHFQGTLQDRINHPAKWVHKLPETVSLEYGALVEPLS VAFHARDRANLKPGSTVLILGAGAVGLLCAAAAKVSGAKTVLIADIQEDRINFAVQNG FADASIAVPLGRPQTIEEKLAYAKDLAEKITSTQVKGEAVGEVTVTFECTGVESCMQT AIYSTQAGGKVMIIGMGNPIQTLPLSAAALREVDLVGVFRYANNYPDVINMLASKNAA LPDVGKLITQRYSGFDEIPEAFKMAGQVKDEKGNLVLKVMVGM PFICI_07062 MSNLFSLEGETALVTGGTRGIGAAVAIALAEAGADILLVQRNTN VTDTQKTIESLGRKVSVYTADLSSPEDVRKVVPAVLADGHKIRILINCAGIQIRHACE VFPDDDFNKVLQVNLNSVFSLCRDVGAHMLTLEPSPVTGRRGSIINFASLLTFQGGLN VPAYAASKGAVGQLAKSMANQWSDKGITVNCIAPGYIATDMNEALLNDPKRLASISER IPAGRWGSPDDFKGSVIFLASKSSAYVTGHTLVVDGGWMGR PFICI_07063 MGTRTDKQTRNLLIRTNIALIQREIREQSNWYNTPRVAIRKRSG KVILSRQGASNAQSRNPSDLIEDYVLVEC PFICI_07064 MAEQGLFSSDLICPDVAAALPDGYKIRALERSDYDAGFLDCLRV LTTVGDISKEAFDQQYESLKKKSDVYYILVIEDSSSGKPVVVGTGAVVIEQKFIHALA KVGHIEDIAVAKNQQGKKLGLRLIQALDFIAEKVGCYKTILDCSEANEGFYVKCGFRR AGLQMAHYYEEKSKTH PFICI_07065 MDLGDDDFDIDAMEVDMAENAWNRQDDTEPPRDATASTGRTLLP KPIASMVSLATRSTSFALRLGTVIGGYGLGAAKFTTLSSLELGRGMLEGILSRAGKDA LTRSNSDLGKESAETILEKSLESLHHTMMHMIFWTTAGYHITETTVSSASQISQLLLS TLDQFFGSTDSSRAIASIITLVRHEFRNPATGVNGERVGVVDLILGLCGLAYLQNSCS RIIREESQRLGHEEVIWDVVVLNNGTRADVHQDGLNGARRDLHPTEGSNGQLLEALEK RGAYDSSSDEDLAEVQLRKQITETLPKDARVSISTSTTTTKIITVEVQGGGQFPLLAP PGADLIEREITTPDSHLLQSEEQSPAYRLVYRISRERQRNSIVQPTEVDESRPFIELN EGGDSEAGYDSDHAEKPPPVPPKSPKTVPKSIQTRHQRSSSSTSTSSLKSPQSPKDRT SQIPVPKRSPENAANQKKTRQPLTSSKQDSHAAIGVKTQPGNQSSGNAPASLEKKTSF KNVLKKSAVTGLAGRWGKDSGSEESSNKSKPNARTATKGSKPAEVRSQGGKIHSSTPE RSIGSRRDVPRQPQRGNPNFFSSRDLGVIGADADFHKSQSRNDVSSRQHRRRNSIISQ TDTISVHSIESRPGSPSLFRSGYMSKSKPGDDFLEPTANSPQRHKRARSQLGLYSPSI YTLPANTSQTSLVLSSYHQKSAYSDSEAVDTLRKTGMVDGMFPEFHILRNITRYSRYA SAAYGSHFLNLMGIAKDQQGRTARDETHHDIRSFAQHTQLPPNSVLLSSFVDPQGGSD SSGSTETGVPLVHTIAVDRESKAIVLACRGTLGFEDVLSDMMCEYDDLSWHGRAYKVH KGIHASARRLLYGGDGRVLFTLKEALEEFPDFGLVLCGHSLGGAVAALLGAMLAEPAT TGTAFVTSAQKHRRLLAGDVVASDVHIPVNLPAGRPIHVFAYGPPATMSPALRLATRG LITSVVHGNDLVPYLSLGVLHDLQALALAFKTDNNEAKAEVRRRIWQSFQTGLSDKWY NNAPKLSTDDDAQWSYAALKTLRASMMSNKLLPPGEVFAVESSPALRRDAFVHTGGEF IGRTATRIVLKYIRDVETQFREIKFGASMLTDHSPGRYEDALRRLALGVM PFICI_07066 MTPPLITNLYTADPSAHVFNGRVYIYPSHDRETDIAFNDNGDQY DMADYHVYSTSTLEPPYADVVDHGVVLRTEDVPWVGKQLWAPDAAEKDGKSYLYFPAR DKAGVFRIGVAVGERPEGPFVPDPEPIRGTFSIDPASFVDDTDGAAYLYFGGLWGGQL QCYQGGHDKFDTAWLGPQEPSGADKKALFPKVARLSADMRSLVDDEVRDLVILAPETG EPIAADDHDRRFFEAAWMHKKDDTYYFSYSTGDTHYIVYATSKSPLGPFTYAGRILEP VLGWTTHHSIVEFQGRWWLFHHDCELSKGVDHLRSVKVKEIFYNQEGKITTEKPE PFICI_07067 MFWRNTHVVLFGVASAAAAHLPPRPADAVRDIAPRQTDSTLSQL LDFANDLGLTACIPQALPLAAELPTIPSGLLNNDLFSQALSQTTLALSDVCQFSITGS DGPKFTSFLPEVYSWYDEHSSQVASIVSGCPSASPLVQTVEAYGACSQVTGGAQLPAS ITSPGDLSSSGSDALSIQTDTETFSIETDTVISDSTLSITEPTASATTESDTTSSGSA SATETATESSSSSSVSQAQAPRETGFVVAAAAMAGFIGAVAAM PFICI_07068 MIAPTLPPVPRRAATAAAVATAQPYLAERQVLITQTITSGDSVY TTIVTLGHGDGSTATEAPGEASQPQATAAPPSENSSSPASSGGLTQQQIGIIVGCCVG VAVLLFLAWFCLDLARRRKLREKEKPPVPVVGTTGFDNWSFSYISDVTQPEVAAWTQY HRVRPPLHPEYVAVDPRYQSPPPPPRTRRNHRRSSRRGHWTSSGSSSA PFICI_07069 MRFAQTVASGLALLSSGVIAHPGHDLTQEIAERRAFLGSVKRAD LSHCASKLKARGLTQRNVERRTAALNRARAKRGLKKRDFDTILATSHNATSQGYTLNT DAATLFAGNASCVLTPEVTQGPYYVGGEYIRRDLREDQEGVDTVIDYQVIDVDTCEPV PNVYLEMWHCNSTGVYSGIVASGNGDSSDETNIDKTWLRGIQKTDTDGVAQFETIFPG HYTSRATHIHLMVHQNATQYCNGTLGNDVVASHVGQAFFDQDLISAVELTAPYSTNTQ ELTTNADDSIASEEAATEGVDPFHEYTLLGDSVSDGVFAWLAFGINTTESQSVTPAAF YYESGGVENESSGSGMGGGSGGSPPDASGTGSAPAATSTA PFICI_07070 MRFSIVFTTLVATVVTATPVAQRGGNNNGNVNAADPAPPPPAQT QQAQAPPPPPPSNRRDHIIEMVARAPQQQNRPPPARPATGGNGNGDRPPPPPQNRRSV DDEELDIRDLQDRQNRPPPARPATGGNNNNNNNANRPPPPPPRS PFICI_07071 MSPSAIEEVTKVATHLKEKALPEKKGNTDTSTQADAAKDTDELP ELLTGHQEPLKLSGALDPFEQFDVTPIIGREYANVDLAEWLRAPNSDELLRDLAITVS QRGVVFFRKQDNITNDLQKELVQRLGELSGKPATSKLHIHPVHNAGRDQEKDNEISVI SSRLAKKISADKFLNYGKNQSQKGLWHSDITFEPVPSDYALLRLTELPKTGGDTLWAS GYEVYDRISKPLRGFLDTLTAYYAQPGFKNAADANGFPLYTAERGAPENVGEILEAIH PVIRTNPVTGWRSVFAVGHHVQRINGLSEEESRHFLDWFVRLIVENHDLQVRLRWQNP NDVAIWDNRSVYHSATSDYGHLNLGERSGSRAVSLGEKPYFDPQSSTRREALAKDFVN PFICI_07072 MDHNDPFGTDDYSPDDREPRHRYSTFDTQLFALGSNASPAQAKR ALEAHLAETDRRMEEAGKLGTALVQQRKQLTQRIEEIEKLHAEAELSQDLREKLAEIE RDYNDVARESAKAFLPKPRVPSNEAAAASGERRSVSPSKFEAQGGSPTKLTLPNRKLR NQPANRVHDIEFAAEISQSLIVQVRNLQALLSEKEEELKDVKIEKSKLEYDAESFQQR LKSLDESQNRYKDENWSLETQIHELSAAQRESADREKKLQQTVSILQAEKNTTQRELD EVKLNHSKLAEEHAAAVKHHDTELGAAKRNIVIAESERTALLRKVEDLTGQNQELAKA VSAQRGRALDRPEAHGTSDEDFQTANDNDTPEHSPPPSPIKGTPRHSLLESETLKTSL SHAQRTIQSLRTNVHREKTEKLELRRMLQDARDELEKVRADPMPSSKRERPSKATSRD FKKPPRLLGALRSARSEIFAPAEDPEWEDQTPGSSPDQTAAIKRRPSQVSPVIESVET DNFETANETSDQAFETANERDVETDDFHTVAEEFSSDDTQTETESPSKRATLRARPPL QPLGHGRNNSIHSTASTEDEYGFDDSRPSSSHFPPLQTKFPLRLGRGARRRSRQGSED TIFRGSSSAFANESNRTPQPNQSLAAELGDFDGSDNDSNLSATPSKRSIRLSRPGSMR GPTASPPPPMPYQRRNTMVESGTMTEPFIEFAPSFSFNAATSARPASAHTVVSRVSDI SDTGTAYLDESHAKFPSSPSSGKRDFAVSNVHANDLAPIEETDMHIAELEQLREAHAK QIEELHSERNAAHVSALAALEAQHADLIDRAIAEARSSHERELEILKSDHSNDKSGAL AAAHEAHARELETLRSSHADDKTTAIAAAKAAHLHELEKLQSTHNAKIAQAESDYQAA HDRELEALKASHAQQLEVATNASKESHAAELESLAAAHAAQIERARKEVSDSHAQAVE SLKAGHNAQVELAMQSSDAAHAADLERLKASHLDQIKQVRDAMNADIEHLRTSHLAQL EQVRGDVSASHAQELEALKATHTSQVEQLKKDNDAAHAAELAALAALHAKQLDTSNAD NEARLAKELDVLATSHREQLETSRTEADAQLTKQLGDIKAAHADELSALRTESDAKLV AELSALKLAHSNALESSQAEAENKLAQEIRTLQGEHARQLENVKSEEAARLALETESL RAAHARNLEELEKEKDKAHASELAALNKTHAAQLEQSLAKRDAAHSAELAALASTHDK QLAVARSEAEATLARELASLKGSHASELETIRSEHAATHAKELETFEAALAKQLESTK SEGNAAHNEQIQALKAANAEIVDAHKRDSQQALEKALESARASHDRELENLRSEHMAT QSRHTDELSSKHAGELAALEAALVAAKATELQALSSQHDQHVASVEDEHVKAKAAELS KLTERHEKELESAKAEAAATKTKELAALTSSHQQEIELLRAEGASSKDKAFQELVFSH QQEMESLQATASASRDVDLKALSSRHEEDIAAIKAEAATLKAQDMESLKATHTETIKS LKAEHEDAVAKLAAELAASHALALETLRSEHETSRSADLGELDAKHIQALDDLRRDHE VSRNQALDQLKSEHSAFLATVRTDHQSSMDAAIEDLQTSHAKAVASLKDGHATSLQEA LSAIQFSHATELASLKSASDAALVDALEKANTEHAHALEAQQAQSLAERDRLLASHAQ ELEDLRKALTLIPPTLGYSAMSSIETEPIAEPESLRSPKRDAFILPRDLTHPQTPPYS TTGVMGKKSKGTDTPIIAEDETRQSPTATNNSPETPESQRPFKEMSTNTDVRPARRTV LPTADHGAQTALTSDGIDKLLSKTQRRGSQDSMTLGATAAEIIITPSDERSYLGIYND QPQDKGKNIAGAANSVPARRPGSSSSARGSQQTAPPLPANHREAIEAARTGSSHGTKT AMGPPLLPASAYKNPRDRPQSPLSGRGTPTPRATRTGSTQGLADVQSSAKLSVRSRQS SVSSFASEIESRFNIRNEMGIGASSFAGPNTDPRMIQAITQTMIGEYLWKYTRKAGRG EMSENRHRRYFWVHPYTRTLYWSDRDPAVAGRSELRAKSIPIEAVRVVADDNPMPPGL HRKSLVIVSPGRTVKFTCTTGQRHETWFNALSYLLLRTGDESHADAEDLAGNITQEDV DEFNPPYGASAVNGNRRAPPSLSSYNSRTTRNESPNLEASMTIPTLTPTRERDSAVRP GTLGRLSGYLNRTSTSGSIFSTLRSRSHGPPAAHGSAIYEASEVNDSAEELRQIIEQQ ERESDRLENVRACCDGKHDVGTLSRSSKRNRSAHGHSHNHSHSHSHSHSHPEQSTTPT PMSTIKARS PFICI_07073 MADNSITDAAANVDAPIAPVAIFKKRGAKAKANLRKRPATPPPA NSDSDDSDYSSSEDEQGQRVKRRKKNLVVTASSKNNVTSQHESATVFSADRNVPITST NDATKQSNWYDEDANLLGKTRPVPQPGLNSDTSRSAPDGTYKGMANRTTFIQKNPNAP NRTVGPIKAATNIRTITVTDFAPDVCKDYKQTGFCGFGDNCKFLHAREDYKQGWQLDR DWENVTKGKKNMGGTVVASADRDQTSKDDDDAVQAALLEKIPFACIICKKDYRSPIKT RCGHYFCEPCALQRYRKDPSCAACGAGTNGVFNAAKELSKLLDKKKVRAERLRQEAID AGEDPDKLEEGKQK PFICI_07074 MTTTQLPISDSERYDYVIVGGGTAGCVIASRLAEYLPNKRILLI EAGPSDYMDDRVLDLRQWLNLLGGELDYDYGTTEQPEGNSYIRHSRAKVLGGCSSHNT LISFRPFEYDLKVWQSMGAKGWTFETFMRVLNKLRNTVQPVHERHRNQLCLDWVDSCS KAMDIPVLHDFNKHITESGALQQGVGFFSVSYNPDDGRRSSASVAYIHPIIRGEEKRP NLTVLTNAWVSKLNIKDGVVNGVDLKLQDGSPRTITAKKETVLCAGAVDTPRLMMLSG VGPKEQLSSLGIPVVHDLPGVGENLQDHPESIIMWELKKPVPENQTTMDSDAGIFLRR EAPNAGAKKNPSNPAGIPDGDIADVMMHCYQIPFTLNTTRLGYPEIKHGYAFCMTPNI PRPRSRGRLYLTSSDPNEKPALDFRYFTDAEGYDAATLVHGMKAARKIAEQAPFKDWI KAEIAPGPKLQTDEELSLYARKAAHTVYHPCGTTRMGDVASDKLAVVDEKLNVAGLKR LRIADAGVFPTIPTINPMLTVLGVAERCAEIIAEEAGYSAKL PFICI_07075 MAQHHEGDRHTFYAGKPQPSEAAGTFDTVDPSTGRSLCTIHTSS TASVDKAIEAAQAAFPSWSATPPIERARILQRAVALLREKNDELAKVETLDTGKAYSE TSTVDIVTGADVLEYFANLVSSGGLNGESFRLRPSAWVYTSKEPLGVCAGIGAWNYPI QIALWKSAPCLAAGNCMVYKPSEFTPLHAQYLANVYKEAGLPDGVFNVVYGAGEVGAH LTSHPAIAKVSFTGQVSTGKKVAGSAAGGMKYVTMELGGKSPLIVLPDADVEQAVDGA MLANFFSTGQVCTNGTRVFIPKSMKKEFERIILEKMQYVRAGDLFDMATNFGPLSSKS HAEKVASYIKQGIESDKATLLCGGPGQPAGLPASLKDGYWVKPTVFTDCTDDMAIVQE EIFGPVMSVLTYDSVDEAVKRANTTELGLAAGVFGKDINECHRVISQLEAGITWVNTW GESPAEMAVGGWKQSGVGVENGRRGLEAWVRNKSTLVEMGGSVGTVFSKL PFICI_07076 MHFQENGRTILLRGVNLADAKFPQGRPTYRLDSLDDAANCSYTG APLGLDEAPSHLRKLRYLGFNILRLPVVWEALEHAGPGIYDDEYIEYIRNLVQICVDH GFKVLINPHQDLWSRHAGGSGAPLWTLHACGLDPDHFGDTHAAIRYCEWPPDSKDKDP KAIPPMMWTTNHNRLASSTLFALFFGGRDFAPKCQIDGVNIQDYLQQHYFAAYARLAE KLGDLPFGYDSMNEPEAGYIGLSDLGKMERDDTAKIGSTPFPIDCMRLGMGMAQEVHE YRLGSTGPHKTGKMSIEPRTSCWLKQEDPRWKWQRSPDWPLRTCVWGLHGVWNLETGE LLKPDYFAQLPERSHAATRHENDAKGQLSFMSSYWQEFHKQWSTTIRQHSSRPIIFIQ PSVFTPPPPKQDPLTAYSPHFYDGLTVMQRHWHERWNADVVGLLRGHYKSKVFGLRLG KDNVRKIISSQLGELRNDLDLPTLIGETGIPFNLDDAKAYQDGDYTEHNKALDAILSG CDDQLLNYTLWAYSAINNNEWGDQWNGEDLSIYCQETGSFPKHPILCGFRAAASWCRP YVQSLAGNPVSMSFDFKSSAFALKIESEEPGDALIYLPWLHYRQSDESEELALDVKTS LGEWSVQGQLLTWQYPRGGEIEIRRAEKALTPEQLGTLVKR PFICI_07077 MSISSRTKLDDNYSTTVSYSRQLNKLHHERTFWFHLKTLYLFTK SDFKTVVLPQSLFAIASVYYSKGFIGSPPQIALRITLALVWIWTHLLAEDVSNQRLPD AILEDAVNEPWRPLPSKRLTAAEASLLLRYLLPIAYGLSVLLGATAPSTTLMAAIYLY NDLEGASCGPYFRNILNAAGLACFGWGAAEILLAGVTTDIGMPVKYPYEWIGLTAVIV ATTVQAQDLPDIPGDEARGRQSMPLLYGELWTRASIAVLVVLWTMTCLVYWEVQSPTV WLVLLSAALSIAILTLLWLGQDWDEIVWKLWCIWTTMIFTLPLFSSAPI PFICI_07078 MVKPRDYIKREPLDELPPQNVTTIDRALVKPTREDSGSSSPLGS TPTSARERQLQPDGRQRQDHCRIKEENGRTQQVRQGGNATPVKMESGTQALNSRAPFQ SRIKSEPNTPHIKSEPSSSRATTTRSAPRAAPACLNCRAKIKIRCDKCQAAGYCRQSC LEADAQRHFDFCVGGVYEGQQDLGPDVWLASRSTSPEPAATASTQKRSCLNCSSSSKL LSPCSCCFRVFYCSLHCEQIDRPRHRASIAGGVSDPGAGTNNTHRDVRSSNLGSERET DESSSIEPDYDGAGLVVNSDIGLEHDTGQSGLQPWTNNPDTVRLIEHLASLPDIDVPP AGRQRTPHALACELQPHQKVGLTWLMRQEKSIHRGGILADTMGLGKTIQALALILAHP SKDQAHKTTLIVAPVSLQRQWKTEIEDKIRPGHKLKTIIIDGRKRKTVTMASLLSHDI VLTSYGTILSEYSPRKGASNKKLITAALYHRIILDEAHNIKNDRSRTSEAITSLRARY KLCLTATPLMNNVRELYSLVRFLRISPYDEWAEFNQTFVKPTSSRSDFERQPAMRALH TFLQSILLQRTANSRIDGHPVLRLPPLIHETSTMEFDDDQRRDYSAVEQRMRDKFQGY VESNTVLKNYCNVLVMILRLRQFCCHPQLITDHGIPDGIRLKPREMITLALKLDEAVV ESIKQLEALQCSLCNQTTENPVIIHPCGHFLCSVCFTGYVYVQEAAQIADDGEDEDYP LCPSNSCGEIVDPRKVLCYSHFLTAYGLDNEGGADEELAEEYLSTEMSDDSEVDEHGN VRGFVASDEDESSASEEESHLDNLAQAGPSVSLEPIESINSDSDSDESLPELGVLLRR TSPGALPFRKRARSSMGNSRANTEAENSADIFAKRNNFLDRHMETLSAAKSKKSKTSG SNGSHSFTSQRQKSSRFGYSDDESLDETEESSRKRKRSHGKGIARGEEPASRRLTASN NNKGKKTFVSLADLKAESNKNAAAKEKYLAKLNQEYEPSVKTEEVMRILGDITKNKPG EKTLVFSVFTSFLDILEKPMRDERYNFRRYDGSMARRAREVAVHDFMKRPEVKVMLIG LRAGNAGLNLQAASNVIILDPFWNPSIEDQAVGRAHRFPQNKPVTVYRVLINETIEDR ILQLQEVKRELVGQVLDNQAAGSMSRLSIRELASLFGLSLPQRSRRGLAGPLDG PFICI_07079 MRSSIIAKHLANVALVVSLALLGLVDFTAPTFVRLAIFTVRTTD PALYNGAWPTVSYGTFGYCVEVGADFTTTKGRALKPGFAICTKRSVGYDASSDVNDIY GYRVSPIDDEVAHKTSQLTSILVLLPIATLACAGGLGLGLWSLWRPSIRKTVTIAVIA CVTFAMTVVSTVCAFTAFHDIQLALGEDDGMTTGQFDYGMAALVAASVFLFLGTALPI ISSILARREEGVQYGAIDK PFICI_07080 MHTPYGLYVVVLSFFVQIQHVASVTSSGNATYAIIEKDVVIIGG GASGAHAAVRLREDYGKSIVIVEKQEDLGGHVETYIDPVSGNPYDYGVNSYTEYGGAE EFFTRFNISLQTPVRPTLTTTYADFQTGEVLDGYEAPPSANVTAGLQKYLEICEQYED LILPSYVNFPETNAPEDLLLSFGEFVTKYGLEAIVPRVFQVTGLGLGNIVDELTLYVM QAFGAPIVRTFLGQKNSWVPTTKRNQDLYDNIAALLGNDVLFRSTVVESQRTNDSVQV LVQDSEGKKTLIKAKRLLISSSPTAENLATFDLDQTEQDIFATWGIGAVFAGIANHPS LPVNYSIVNYPSSVVPDNWLELPQTPFIGRFEYLGDSNFRVLATGTDHYDEKQAQALA QAAFEKLAAAGTVADTQSQPLEFPAFAAHIGIHPHVPVEKLQSGFYKQLYALQGRRST FYTGGAWAADFTTILWEYNNQYLLPKLLESFV PFICI_07081 MALCNMRLAEERKQWRKDHPFGFWARPVKRADGVMDLKTWECGI PGKEKTMWEGGLFKMTMTFPDEYPTKPPKCKFTPPLFHPNVYPSGTVCLSILNEEEGW KPAITVKQIALGVQDLLDNPNPESPAQAEAYNLFKKDKVEYERRVRRVVRENAP PFICI_07082 MCGLFGCHHHPDVQKFKPTALRLAKQIRHRGPDWSGNFISNNTI LCHERLSIVGVESGAQPLTNEDDTIILAVNGEIYNHRLIRKNLKTPYHFKTHSDCEVI IPLYMEYGLDAPKYLDGMFSFMLYDKKLDRTIAARDPIGITTFYQGWDSKNDNSVYFA SELKCLHSVCDKIRAFPPGHVYDSKTGETHRYFQPSWWDGSKIPETPLDLKAIRTSLE KSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRMKALAQAQAAQNGETAEEDADK GEGLVGIDDHNDLSTVTYLPQLNSFSIGLPGSPDNEAALKVAKFLGTKHHVMTFTIED GLNALSDVIYHLETYDVTTIRASTPMYLLSRKIKAMGIKMVLSGEGSDEIFGGYLYFH AAPDKKEFHEETVRRVKNLHLADCLRANKSTSAWGLEARVPFLDKEFLETCMNIDPAE KMITKDRIEKYILRKAFDTSDEPGEAPYLPDEILWRQKEQFSDGVGYGWIDALKDNAE MNVTDEMMKNPKPEWGDDIPDTKEAYWYRTMFDEHFPPHCASTVMRWTPTWSQQSDPS GRAISTHQAKYDNAA PFICI_07083 MSVPAFSDISKSANDLLNKDFYHLSAGTIEVKSNTPNNVAFKVT GKSSHDKHTSGALEGKYSDKSLGKSPTQGSSSSSSNSVSSKDKIPRHKRSRKKPPSFS VSLGRIIVPGPRDYPLNLAIILSNSHPATVLTSFHHLGLTLTQTWNTANALESKVELA DSIAKGLKAEGVFSFLPTNQARGAKLNLHFKQNIFHGRAFFDLLKGPVANVDAVVGHE GFLAGASAGFDVQKGALTGYSAALGYVGRGYTSSITATDNLSTFAASYYHKVNSQVEA GAKATWNSKTGNAVGLEVASKYRLDPVSFAKAKINDRGVAAVAYNVLLRPGVTLGLGG SFDTQKLDQATHKVGVSFTFEG PFICI_07084 MPVRCSSLPTGVRLALLNRSSTSRQHHQKQGFHTTSHLRHDAAA IDSSRNHYETLNLAPGASPAEIKKSFYSLSKTHHPDLNRADPSSSKRFMRISEAYGTL SSQEKRAKYDRDVLGLHRGVSAGHPSGSFSSTNPAGGRPATGLSRRRTAFRGPPPSFY RSGGWGAHEAKRSAAQEESTGGASSGKGRTRDHHNDGSWINENAGSAGFGFGAGGMGP GQDPFGHRGDVPHFDRESHEKTQRNTEEHRTRSWRLRMGGRDRIEIETDRGPTGMFFV IGGVLLISFMGPYFFSRSWADRGKKKGTARS PFICI_07085 MSEPGPKQVPVDITREDFQRKPWKYAGYGAYSGVVSLDDFLILR RFDVLNARVALLLQDKVVELETQLEKLDDFYSRQATQDLDNGTFRGDLQNRSELLEKI AVALKRYNDWLLQQSDLRKLAPAPAHDIKQLQKWHENHQFVAIEKQEFRYLERKDDLV RLVPENKTNVRRLIVKSNYLSTLSIWRKRKEHDSECNDQSIQYYSEKRLNNFASGIIV TVGVIMLITPLWILKYLDSPVSKLVVITVFNSVFLLVMSFLMVAKPFEALGATAAYAA VLMVFMQVGTS PFICI_07086 MKEPLCEFHCFSRLPTEIQLSIWEAWREDAPPVRHHFMLGASGT EYVAFDCGTQSWMSAEAALGDHVDPIYHQIRFTNKINFAQPTISRGAMFPYAQSYFDD PGADYAGDYCRTAHAWVNFEKDIFFIDDIRLESRERLHLLSRDVHRQQPATFQGNHWA TKIQRLAFYVNIAGPAKAWVHWDKTDIITLANMSSLKEIILVIRCKGCCYFYGDEADS CGFIQKIDRQDNSPASPKFFCKWYHECITASLGPWSPLKPLCTTLAKRAHELEVEVAR CLARAGRSTVEVKVVTDGVDKHAYIVKESRQ PFICI_07087 MSASSASPETLVVHVIKTWLSSSHQRIESFWVGAQWEKWARYEL FMALEEALGSQCLVVHSMSDFFEDSDEELDILIEPRENDQAVIAIKLRCEKVNENEGS YERFRDQMDADMTKIQGLGGVQSGFEGAKLLLVGFGGEPSAEDADFRTQSQPEHLVEG EVHCWIMKKEYPLET PFICI_07088 MSSLAKLGLGLSLVTKPIPSGTRGIHISTRPQRLWSLPRIPRRS VPVYPRISQQPARTFFHTARQQWQRHDPNEALRNAKPLFTNSGMRRVARSPSTHTVIV VAMLGAVAFYMWNLQTVPVSGRRRFNCYSPSTVEAVSGEQYKRIIYDVERQGGRFLSD WDPRTQMVKRVMRRLIPVSGMEDSAWEVRVIDDPNQANAFVLPGGKVFVFSGIIPIAR TEDGLAAVLGHEIAHNLAEHIGERMSGQIGVNILLGSVVLLTALWGGALLGTQLFGGT LLDLLFSRPMGRRQESEADYIGLMMMAEACYDPEAALTFWQRMEAHSQGSPPEWMSTH PSNNNRIEKIKEWLPKAMEKRYESDCQGTANWADMFRQAMSQGYRLE PFICI_07089 MSKSFSKKEVADHKTEADGIYIIVDDGVYDVTKFIDEHPGGSKI LKRMAGKDSTKQFWKYHGKNVLEKYGPKLKIGTVKEEAKL PFICI_07090 MLIGIVGSKCAGKATVAQYLVEHHGFKRVHLSTENSQQNAVTNG ATASSPVTSSSPAKQPSLSHARISQPAHAFDNVTTLLDFVTKSWRSRWVITSIPSEAV LEALSRRPFFILFSVDAPLTVRWERHQSRSAQPTTLEEFVNESDENLYSPVNGAMTMM SRAAVRLLNTSSDLAHLYATLGKLDLTNPDRLRPSWDAYFMSLASLAAQRSNCMKRRV GCVVVGPSRRVVSTGYNGTPRGVRNCSEGGCPRCNAGESSGAALSTCLCLHAEENALL EAGRERIREGSILYCDTCPCLTCSIKIVQIGISEVVYSQGYHMDQDTAEIFRSAGVRL RQYIPVSLTSASALPEGQKNLQSGNELTWNSPAASKWIDTY PFICI_07091 MAAASGSGSAKDGVALKFATFSSEIELPFYSALFSSKLEHDKLS DSARPVLGLYEPRPGVAADDSVKMQVLGSALTSHHVPAGTVRAEGIIRNLNTLDAFKS VDKAEVIHNAARQIWDAIKDGTIYSVPSLLASYVILSYADLKKYRMTYWFCFPTLPSD PQWKRTGDIGRFTADESTALVDRVGTWRYSVDNREYGFFLAKKVRGKDAEALRSSLDN PDEENIGFKWEVGSLRDFETGFFNSIPEEDRYVSFVDASNYEEHPAWPLRNLLVLVRQ RFHLSKVQILCYRDIQSRRHEARSLVLPLAMDAVDSMDTADMPKPTGWERNPAGKVAP RSVSLAESMDPTRIADQSVDLNLKLMKWRISPELNLDIIKDTKCLLLGAGTLGSYVSR NLMGWGVRKITFVDYGAVSFSNPVRQPLFAYKDCLNGGAPKATRAAEAIKEIYPGVES EGHVLSVPMLGHPVTDKTQGDFEKLKALIEAHDAIFLLMDSRESRWLPTVMGKAAGKI VMNAALGFDTYVVMRHGPEPREEGEKTLGCYFCNDVVAPGDSQKDLTLDQQCTVTRPG VAAIASALLVELMTSILQHPKGAYAPAPIAADGAGKSYQRDPPNHALGLVPHQVRGFL ADFNNMVIKGESYPCCSACSKPILDAYRNDGWAFLKHALENKEYVTELSGLAEVQRQA AAMENDLDWDEEDESAKDGDDEAVLL PFICI_07092 MGLSNLFASRLLGGRTESVHDYAGVLVSLEEAHLHSHSARTGKC EFEEVREDDEEQESDRLVDEEGAAKDGEHEDNEEGAGMLQMSAAEYSIEGLRRAVRKD ERGQKATEYELKSKLINKAIQDIGMGKYNWQLFVLCGFGWFADNLWMQGISLTLPSLS QEFDISEKRVRYTTSALFVGLCIGSFFWGLGADILGRRVAFNLTLLITSIFGTWAAYG SSWGWTCVLFACMGTGVGGNLPVDGALFLEFLPDASSALLTLLSVWWPVGQLASSLAA WYFITTRPVDEGWRSFVFAIGVVTFVMFLIRFFIFHLLESPKYLLSKGRQAEAVAVVH AIAYRNGAKTWLTEEILDAVAETEATAEPVTRLSTRNIIRQNLSAFSGDHLRPLFNNR KLGMATILIWFCWATIGLGYPLFNAFLPQYLSHGGASPPSDTDSTVAGADSATTYRNY AIISMVGVPGSLLAAYTVDHKSPYLGRKGTLAIATLVSAIFLFFFVTFGTTPNSQLFF TCVEAFTQNIMYGVLYAFTPEIFPAPVRGAGTGVASFLNRMTGLVAPVLAATIPGDGT KLPIYLSAILILAAFGGMCLIPIETRGRQRL PFICI_07093 MSNNLLSLLGWSFLPNLATSWIQSIYYSVTIRAGDPKPAPNSPR FNEHRRRIHILVVSAYLLYTLYETDHELTRASNFYADLGVPFGASERDIKSRFRRLAA LHHPDKAGASSTADGGDGGEVFMHLKTASDTLTDPARRFAYERFGPDVIGWRHCVTIR DYVLRGCQVLLPYYAVAAAVMYGLGLFGYLEWGRYWRWYTLVALCVFELHTVMRPGFP PLVERVVNPLLTAWTDHAPYLPFQVITLARKCSITLYIAFSQIGPLLQPPGQQGVKPG DASDKVLRQSLDRLEQASRMIDTDAGRLMDLEMAPYVGDPELVNSMRSKLKEWLIQNT IKSDPMVRDALGRGLQKRRVDAPAGARGTR PFICI_07094 MKTTWTDLIVKTQIRTLVMHGWSKIEHDMTYKPPEPRIISEGQV CLHDLISSTVTTGEPALRQLEAITERRRNQYAKDKEAFAMSGHGFGCWLEKYGVEANH VKIEGDWDYLTQLFAILKHARDHQLDKIQQILEAIEFKGAIADILFDHARFWATQSMY SLDMVAFLGVT PFICI_07095 MAGPAGHPVISYQPLRLLFQLAYAGTVVIRLPLWFVVAFVRPFR PHPNWTAKQALMSRVAYALFDFQSRTGITQKLTLKAGKDGDRFQVIEPSPSSNYIGPL ESPVRPEAVGGKWYPARPPTSDLASKTVIFFAHGGAFVIGDGRDGLADFAGNNMIEGG AADLVFSLQYRLSGWAGQSPFPAALQDALTGYLYLTRELGIPSKNIVLCGDSAGGNLM TALLRYIEEFGTQLNIGLPKCMAVVSPWVAPFEYETTKLNNYNQDFLPKSLLSWGAHT YAGDLPPSNKYITPLGNPFATSVPIFATVGTAEIFQDAVQQWAGEMKQIDGNKVVIDQ VDDALHDIFLVGEIMGFVDSAKGIVAAIKTFIHEV PFICI_07096 MSSSESDSSVDYSNDGPGALIGCAILGFITTVIVGCRFWARRLV STTWGQDDWLTVVALVAHHGVLIAFGVMIVDGGLGKDIAVVATVPGAVTALYKSLFAA ELAYGMSSSLAKLSLLAFYNRVFPTQRVRISCIVLGSICIMWTVAIQITNVLQCRPLR AFWDPALQAASTTKCIDTILFFLGNSIVNCVIDLATLALPIQEILKLHTTKKKKAGIA GVFLLGSIAFAASLVRTIFTATMYNEGTTNFTKQFVVSGVATVVEVYVGIISGCLPIM VPVYRRIRYGNALKSTTRTGSEGYYLSNSGNALAKSNARSKTNRSRGEGPFERLDTNK DDFASSEFSSDRHIMVSSAAVRDGDVDHKSNDSIPLDGIVVRSDVQWKVSAKSHA PFICI_07097 MTADIRVSIDRGGTFCDVIAHVEGREPIIFKLLSVDPANYQDAP TEGIRRVLEIVEGKKIPVGEKLDGTRIASCRLGTTVATNALLEGKYEKFALVTTKGFE DVCVIGDQSRPKLFDLKVKKAEALHDTVIGVDERVTIEDYDLNPYPLDKSASLNDPDL VRTPSGEIIRILARVNEETVREQLLALRDAGYNSVAISFMHSYIFPDHEDQVAKIARE VGFTYVTTSAETRPVLKYLNRSTSCCSEACLYPVIRRYIENFESGFRVLPRRVDFMCS DGGLKQSQKFRGNEALLSGPAGGVVGIATSCYDVEQKIPIIGFDMGGTSTDVSRFDGK YDYLSETVIADRTISMPMLNISTVAAGGGSILFARSGLLVVGPESAGAHPGPACYRKG GPLTVTDANLFLGRLVVSSFPSIFGENADQPLDQDVVTAKFQEITADFNSQTSQNLTA EEVALGFLDVANEAMSRPIRNTTEARGFAPEKHNLVSFGGAGGQHACAIASKLGIKRV LIHKWSSLLSAHGISQADLQYESFEPLSLDFGMDLNGFIKERLSLLREKVAAGLLAQG AQESTLRFDESLVMKYFGTDTTITVTTPDDLDYGAAFEALHLREFAFKLNRKIVIDSV NVRGTGSAVTLATEEPPLKALARVKSVATTAQTTEEQKVYINGSWRKVPIYRLDQLSK GCAVSGPAMIIDKTQTIFVEPRFNAYILPDHVILEESNVEDTVTRQAVSAEEINPLLL SVFAHRFMSIAEQMGNTLQRTSVSSSIKERLDFSCAIFSREGKLVANAPHIPIHLGSM QMAIRYQHEAWKGKLKPGDALVTNHPLSGGTHLPDLTVVSPVFVNGDVAFYVASRGHH TDIGGKGIAAMMPESKELWEEGISIKTMKIVSGGEFLEDEIRAAFDKAGSFPGCSPTR RIADNLSDLKAQIASNQRGIILLGNLCEEFTLPVVCTYMEGIQANAEFAVRRFLKQLA KKHPEPLTAIDYFDDGTPIKIKIIIDPETGGAVYDFSGTGPQQWGNYNCPISITHSAI IYTLRCLVDVDIPLNEGCLTPIDIRVPYGSMLNPSPAVAICGSTLASQRVIDTILRAF RRCAASQGCASSFSWGMGGRDPETGKVLPGWNYGESLGGGVGALPGYHGESAINVHST NTRNTDPEVVEKRTAVLVTKYAVRKGSGGRGQWRGGDGVTREIQARIPLKFSILSDRR VYRPYGMEGGEAGHRGQNYVFKFNQEGTGFEQINLGGKAVVVLNPGEKMQINTPGGGA WGKPEGDADGYREEDQAGDGI PFICI_07098 MVEFVEINGAQLAYRICGPEDAPLVITLHGGRGMGNHQSDFKAF SPLGDSYRILSFDYRGHGQSSRTKPYTFEQIVDDIDGMRARFAGPEKQVIILGGSFGG FLAQQYAIKYASHVSHLILRGTAPSHHHEEGAIKTLEQRLSKVPSFSIEMLKDKVFGA FDSDLEFRMVHLVMSPLYSESFDANAALQSCLNNVYNAESHNDLYSEKEKYFDYTKDL HRITAKTLVVVGDKDWICPPENSKFIAKEIKDAELFLVENANHSVHVEKNDLVVKKIR SHLEK PFICI_07099 MSGPALEVAAAKTAEQGQVPVLAAAVRGDTKVSGDSIHAATTAV SDGDNQSSTMSGKTAAGDATSPASGSGSGGWFHWHEPGTSKAEKKLIFKLDWFLLSYS CLCFFIKQLDGNNVTNAYASGMQEQLGFGPGNELSWMNTYFNIGQIIGAPFANMIITV VRPRYWLPACLMTWSAFVLGMYRCETAAQFYVLRFFIGLFEGAAWPGITYTLGCWYRK SEMARRSALFVMSGVLGQMFSGYLQAALYTGMDGKGGLAAWRWLFIFDFILAVPIAIY GLFCFPDTPHKTSAWYLNSWEREKAVERIDSEGRKPIGKLDLSVFKRIFTSWQVYAFT LGYALWSLTVGSYVMQYFTLYLKATKEYTIPQINNIPTALGAVNFVTMLTTGFVSDKI GRRGPVCLAVGCVLIFTYSIFTAWNVPHRLLMAVFILNGVYGCYTPLLAGWVNECCGG DQQKRAFILGLMTSVGGAVVIPFQQLQFPSSQAPQFKQTHGWPSALAFVIALTCWTGL GIPLLQRRMEKQAKRNEAEHEA PFICI_07100 MWTARLSSIAVLTQVVGTWADTITLNWEITWVNAAPDGFHRPVI GVNGKWPCPPIHATVGDTVVINMKNSLGNQTTGLHFHGINQLDTNYMDGASMVNSCPV VPGSSMTYSFTADEPGTYWYHSHNMAQYPDGLRGPLIIHDDNDPFDGEFDNEVILTIS DWYHQQTLTLVQNMLVSSNDQWRPPLPDGMIVNEGGNTDIELDIGTTTRVRILNFGAL TAFMLRFGSRDMDVIMTDASYVQRETIHQLRIAPGQRYDVLVSATKKDKGKNIPYLIS MDLNRDFTVSGTWTFNQTGYLITDAKAPCTAKEVVQQWRPFDEALFTPLDEMPLLGPL DRTWTLNFALCKDMNNIPRMCFNDQTYVMQKTPTLYTAATVGNANTDPSVYGAVLPFI IEYGDVLEIVINNLDPAIHPFHLHGHQFQVVERPESGSGSFDGSSTANPVPPRRDVIS INGGSYARLRITADNPGVFLFHCHIEWHVEMGLTATLIEAPEMLDGYDIPQAMIDSCK AQGYPVAGNAAGDTINVWDDSGYLTDPPSTYSGSQWPVPKGGNSQKSRPRRKPSGQSS QTRQISNMSGEFQHRITW PFICI_07101 MAEQMSYLLFGDQSLDTHGFLADFYRQGNPSILAKTFLQRAGDS LRDEIDRLPRCQRDRIPQFRTLQQLNERYHQQTIKFPGIDSALLCITQLAHYIDRSEK EHQDVTAAENTYLSGLCTGLFAATAIASSPSLSSLLPIAVQVSLMAYRVGSHVASLAE RLSPSDERSESWTYVVPGAKETDAKPILAEFHETEGISPAAQAYVSAVSASNIAISGP PATLKSLFSKDLFESRPTAIPVYGPYHAPHLHAAANLDKILRLDDEAVTAAFDGSKPR SHIVSCVTGQSFPETDTKSLLKAVVHEILNEPLLFHKALKGSLNSAKEFKGSRVLVIP YGPTQAASTLANLLKAQTKLEVVLRTPPQVSRESNGASIGNHGSSGKCKLAIVGMAGR FPDAASHEKLWELLEKGIDAHRVVPADRFPVETHVDPTGKAINTSHTPYGCWIENPGL FDPRFFNMSPREAFQTDPMQRMALTTAYEALEMSGYVPNRTPSTRLDRIGTFYGQTSD DWREINAAQEVDTYYITGGVRAFGPGRINYHFGFSGPSLNIDTACSSSAAALQVACTS LWAKECDTAIVGGLSCMTNSDIFAGLSRGQFLSKKGNCNTFDNDADGYCRADACASVI VKRLDDALADKDNILAVVLGTSTNHSADAISITHPHGPTQSVLSRAILDEAGVDPLDV DYVEMHGTGTQAGDGTEMVSVTDVFAPANRHRASDRPLYLGAIKSNVGHGEAASGITA LSKVLLMMKKNSIPPHVGIKGEINKTFPKDLGARGVNIAFHKTPFQRKDGKPRRIFVN NFSAAGGNTGLLLEDGPRYKTAEADPRSVHVVTVTAKSKSAMIRNAEGLVQWMEQNPS TPVSDVAYTTTARKIQHYWRMNVAAGSLPEAIQAIKERLKSTFVPVSPEQPKVAFMFT GQGSHYAGLGKELYAHYAIFRDAIDEYDQLAGIHGFPSFLPLIDGSEPDVQNLSPVVV QLGLCSFEMALARLWQSWGIQPGAVLGHSLGEYAALHVAGVLSASDTIYLVGARAQLL VNKCTAGTHAMLAVQGSVETVKEALGARAESTNVACINGPRETVLSGASSEVAEIAEQ LGGAGFKCTQLKVPFAFHSAQVEPILDDFESLARSVRFETPKVPVISPLLGKLVDNEP INPAYLRNHAREAVNFLGGLVSAQQSGMIDEKTVWLEVGPHPVCANMVKAAFGATTIA VPTLRRNEATYKTLSSSLCTLHSAGLNLDWNEFHRDFDASVRLLDLPSYAFDYKNYWL QYTGDWSLTKNRGALPASTKAIEAPKPKLSTTTVQKVVREEVKGDIAILETESEMTRD DLRLVCSGHMVNGTALTPSSLYGDMAITACEYAYKLLRPDAKNIGCNVSHMEVPKTLI FNGKAKSQVLRMSVKANAAEGFADLSWTSGEGAQKTEHANCKVFFGDNEEWLGEFERV NYLIKSRIDALRAAEQRGDASKIGRGLAYKLFAALVDYDRRYRGMESVILDSETCEAT AKVVFQTSPEDGTFHTAPYWIDSVCHISGFILNGSDAIDSREQVFISHGWGSMRFAER LSAEKTYQTYIRMQNVKGSKMMSGDAYIFDGDKLIGIAGDVRFQAIPRKVLNVVLPPQ GAAAAGSAPARAPAAAAKPAAKAAPKEKKQVTSANLPAVNKSLTKNSVVAQVMEIIAK ETGVSHDELADNIAFSDLGVDSLMGLTISGRLREELELNVDSHAFNDHATVGAFKAFL AQFESADAAMVEENAHSSASSDSADMETESNFTTPSDDSEKDEVKGDAPAADGNVSEL QDIVRSTISAEMGVEVEEVIAAPDLAALGMDSLMSLSILGILREKTGLNIPSDLLGHN PSLKDIEKALGIEDKPKRAAPKSAKQEPAKPEPKVQGEAKAHTNPVDNYPHRKATSVL LQGNHRTAKKQLFMIPDGSGSATSYTEISEVGSDVAVWGLFSPFMKTPDEYNCGVYGM ATKFIQEMKRRQPEGPYAVAGWSAGGVIAYEIVNQLTKANEEVSNLLIIDAPCPITIE PLPAGLHAWFASIGLLGEGDDAEAKKIPEWLLPHFAASVTALSNYDAEPIPADKCPKV TVIWCEDGVCKLPTDPRPDPYPTGHALFLLDNRSDFGPNRWDEYLDSKKMTFHHMPGN HFSMMHGPLAKQLGGFMRDGMKS PFICI_07102 MSPPHSEHSNVPSDVAAGGGPKKSPPAGTQKLRAPRMRASCDGC FLAKVKCSKGKPMCQRCMTTGLACKYSPSSRSGKPKASDNGNILNGAPRHAKREGLQF SSPVFEGSQDLMAGPAQFMQNNWGSNQQAMWDLPTHQDPGTNFGSGPLNESLGQDIGI MDGISHDGGVMPFSENLADTNPWQSQNPSSSFSQMLPGSSGSMIHGRSQSTDMMISLV AANFQDTNAQAGPSHGPPLGQMAGPSHGPLGQMAVPLHGPPLGQMAGLSHGPLGQMTS PPNPAHARHHSDPAIGTGHVLSCNFDCTHQSLQRLNFMLATSSWPSDMLMTANENLCD AWRVIMRCPNCMGSGARRYMALAFLATSMQIQSRRLQAFLDTVKPNHDGVFPTVSNPA EKLNRLGTAITQIDQAYALLLRSFNNEMIEHPGVAQALANGVHKSLDAAVSLLLRKRT ELAGTN PFICI_07103 MSPSAIHNITSDLPSSQQHRPFAGKVALITGSGRGIGRGIALEL GKRGASCIINYAKSAGAANEVVAELAKLGSKSIALQADISKPADVAALFEKALKHYGH IDFAISNSGMEVWCEETEVTPELFDQVFNLNTRGQFFVAQNALKHCSEGGRIILTSSI AAQMTGIPNHALYAGSKAAVEGFARSFAVDCGRKRITCNALAPGGIQTDMFDENSWHY VPGGYQGMNIDTIKDGLAKMCPLNRVGTPADIGKIVCMLVSDEGEWINGQVLRCSGGG V PFICI_07104 MLMISNCSRDLLQRHFSNYHDPSASEAPVAGAGPSVAGKTPIAC LNCSQAKTGCNKEVPCQRCQDKGLHCVQRYARRTSKLAARSQAAATAAAAQASRVAQV TPVTVQQSLPNVSVSIEPSQTLSQIQPPLVHEGGASVTMDPAILEMPLMNSFMKQQDP EVHDGSSPANSITFPLPIHLKAESPRQRTASVDLNFNNMNSEPSPPSIEPMEDQSWVN SLMSNDPNFGPGNMFSSTYDLGYQLGPSYADPTTDFSQMSQSMLQHDASMSSMEFAGS PSGVSPFGDLSTSNSEPSSSSWGSSHTRATSICSAHCLYDQSGEFDVTSNSAKQGLPI STDSDVILTEAAWPMARCTPPIYSGACPRTALGHLQRLEQKSSYQGARPFAWHTLERE LSSLNWDNADLASVVPMNSQTRDSLMSISQRFHARALDIHRENDPGRDKSPLGSNCGP MSFLNLPSSKVLEFFMKSYVRSLTSFYSLVSEGRIDPNQMHRNDPASIILMLLMIAQG ASAVDSEDARILSMGLIETCRISLLDIIDKNVEMSADPTALRAALLFAHLGAWSGDKW LMDIAMGQRGMYISMLKHAGMLTAQPPICPVLDGDQGQKNSWRLWLQVETKNRLVYDW VMVDQELSLFHDTDPQLDVSELRASLPCSEKLWKSSTVEQWADAVQCYLSKGNPHPLT PPSLYHLYREFLEQKLVDGRVGLTAHQLRLLLHPIQKMLCQQRQTLTCFSDMFVPDQP GHVSFSKAYVMRQVEVVRSLLSRWHDLAMRCLNMNPDCTIMRTNMVLYHLISLNAVTN FPEIESFARQERYDGSYWGSRHQRCIYNRQQAVHDCGQVFSILRNLPTDRLPTWWSAA IYRATMILWADSALQSQSQAHASLSPPEATEGQYAMNAPQDFNHTLDYNVIPYVTRSD GTPFHLDRHSEVLDYAICAIDQGASSRLGEGIKRKLIALGNNWH PFICI_07105 MNFSPDTDIPDLSGKVIIVTGGSSGLGKESVLQLAKHDPAVIYL TARTKARGETAIEEILQAVPKAKGKIRFLELDLGSLASVKMAAESFLEQSERLDILMN NAGLMASPPGLTVDGYEVQFGSNYLGPALFTKLPLPILAKTANLPESDVRIINLSSEL FKQAPKGGILLSECKTPLLNISSVARYGQSKLADYYHTRSLSQRYQEITFVAIHPGVV NTGLLDDLKKRRPWLGGLIGVVGRLVLTDVHNGARGQLWASSTDRQSIKNGAFYGNKL REYKDTVLDDEKLMRKLWDWTEEELKRWE PFICI_07106 MEKALLPKHRWYPEASLMHADGGNSGSTDWPGPVGLNTSSSSSA LDAVGVLLWGSNHTMVVGYVDMTTNPITLGLVVRNATTLQVLGQWPAPANETLNLAYI ELIAGDASDRVIVSSQQGRIYSLSISHCPGSAKFTMDRKIDLSLGDILSEGETLLNAM VDTDDNIWFTTGYINGTSGLTGGSTASPNSTTLGFVTPDNAIKRIHIAGQVVENGIAV SGTTSFIVMGPTDGINATGYLAAYRSDEPSNGGIDQVWKLPYSAGSTTKPGAFSRGSG STPALLGDQFVAVTDNADGQINLIVAHQNTTTNQLACKVPLFMPGSVANDFSATVHFD GTLYSVMLINNYGAPQIFSGQGSTDINGSFNNKTMMPGGLTRVDVDTTGAACGVRWVS NITTTAVPILSTATGLLYVYSQDPGLALKGEYVWYLVAVSWDTGDVAWKVKMGSGGIF NDNWLSATLGPDGTFYQWVIGGLAMVKDFGSFY PFICI_07107 MSVLCRKCQVIQINDAEHNGIVQTSASGKQYVSIGIGDDGDHDF KKRLLLDYELSDTLPDLPNLSQSAADGCALCSVIKNEIIRFLGKNAKYTQLLIHKVGY YLNPDPGDKTKPGRNWLHAMFVYFKLQYGEEFAGEDAAYALRLEVQASSTGLERLKKL ADTASSVALRDTDNLQLPTRLIDVGLRGPTREVEEPRLVITADYPPLKNEDGARRYNA LSYCWGPPEKAKKQLKTETSTLNDRLCQIPLSSMPAAHQDAVLVCRALGIPYVWIDSL CIIQDDKVDWEREAQKMGSVYANAFLTICAAQGDSCLDSFLRRTVPSQVVDVPFTSSI DPSVSGRFSLFVAPDEDPYKHIARDYFGGGCATDFLDPWKPLPTPYRFATSSYDPYNM DIPNCNWSRRGWTLQESILAPRALLFGARMVHVCIGGLWLESEDGSSSDRPFWPPIHL RAGVDEKSAMADTQVEDAWRFLAREYSSRSLTYRSDTFPALSAIAQVWHQMIKGQYLA GLFSSNLHLGLLWRARFGMRTPKQFLEAAAHEQYIAPSWSWASKPYQVTWVFHVRTSF RPKFELLCGAVTVDGVNPYGRLTSGHLLLSTKICKIPSTRLRRVSVFFGFIFPYELLT DDGRRLAHVVFDWRQEYASAPKDPETEEELEDGPIDCLSMVLVSSKATDAKAKIGKDG DVTYQDLLIGLLVLPTGKPGEYKRAGLFFTEESGLCGSIFWDSLEHQKVRLV PFICI_07108 MDDTNKIEAMTSEQIKNPLKGIPRDQLMRDVEAFAHEKGLEEHI PLLRKGALVAQDPTNYENIDGAEALDEDEKLALYNEVAHKWHMPWKLFLTIATCSIGA AVQGWDQTGSNGANIFFPEEYGIDGDDARSRLILGLVNAGPYIGSAFIGCWLSDPINN WFGRRGVIFFSAHFCIWPVIGSAFCHNWEQQLACRLLMGIGMGVKASTVPIYAAENAP ASVRGALVMSWQMWTAFGILLGTAINLAVFYAPHNWRLMLGAPFIPAVPLLCLIYLCP ESPRWLMKKNRYTQAWKSLVVLRNNRIQVARDIYYIHAQLSIEMQLTRNSTYVKRFVQ LFTIPRVRRANIAAFTVMIAQQMCGINIIAFYSTTIFKEAGYDDFRSLICSFGFGLVN FVFAFPAFWTIDTFGRRTLLLFTFPQMTWTLLAAGLCTLMPIYKADGEANTARTALVA LFVFLFAAFYSPGEGPVPFTYSAEVYPLSHREVGMGFAVATCLFWAAVLGMTFPFLLE RAGTAGAFGCYAAFNAVAFVMIFFLVPETKQRTLEELDYIFAVPMRKFASYQVTKALP WWFKRWVFFQRNAKLEPLYHHDNEETEELAPQRSPADSADEKKAL PFICI_07109 MESFQKLKRRTTDLVENNSWNLPSMPKVQMPNISMPSMPNMPNM PNLPKFGSDSSAMKATWQYVSVPPLPRSSHSLNVVGGSAYIFGGEVEARNPVDNDMHV ITLPSSGAPADYYTVKAKQSAKNVPVTETEDAIAEEGEPLSEVPLSSPLPTDSSEDKG KSPDLLSAEVPSPRVGHATAVIGSRIFMFGGRSAAAETLDENGRVWVFETKTNTWSFL DPHRESPSAPARSYFAAVATDKPREFAPRGPRRTESWKEWAEGDSADAGIPQRPIVGN VAAHASDEEEAGFGTFIVHGGCLKDGSRVNDLWAFDVRSRTWKELPTAPGKPRGGAAL AIAKSRLYRFGGFDGESEIGGQLDVLELGVELFDDRVSRGEVGICAKGSWITLTPSAP QTQGEKGTLVPEEWPGARSVSGMHLVMGGGGREYLVLLLGEQTPSGAGHEAAGQFWSD AWAFQVPPIGMTAASFKDAFLQATGRKTGEGKWSRLDLEPYDDEVEADARGPGARGWF ASAALGDQDETGIVLLGGIDERNQRLGDAWVFRLGEAKAYTG PFICI_07110 MASKMTPLLFRTAVRSVARAPRPQIRAFTAASARRSDTLSVHRN TPDNNPDIPFKFTPQNEAIIAEIIKRYPPQYKKAAVMPVLDLGQRQHGFTSISVMNEV ARILEMPPSRVYEVASFYTMYNRTPVGKFHVQACTTTPCQLGGCGSDAIVKTIKEELG IKQGETTQDGLFTFIEVECLGACVNAPMVQINDDFYEDLTPETTRTLIRALKEAGTSS AAAAKVPAPGPLSGRQTCENSQGQTNLHDEPWGIETTRADL PFICI_07111 MAPELRKRKAPPPAPEPAPKKASKASKASKAVSKVKEAVVGKSA KVDTTPAASAKTGAPAVGDVITLDGFGGEIETNDGKKTTLKALVDESKSGVVLFTYPK ASTPGCTTQVCLFRDSYEPLTAGGLAIYGLSKDSPKANTTFHTKQKLPYPLLCDPSAT LIGAIGLKKAPAGTQRGVFAVDKSGKVLISEPGGPAATVNAVKALVEGSAAGETKDEP AAEKPTEEKPAEEKAAEDKPATDDAAADKPAEAAPALAAAPETNGDTITEKKPEEAVK DPVD PFICI_07112 MSFRGFSKSVTRAPQQFKAKFNLGEQTKDPVYIDAERRFKELET ETKRLHDESKKYFEAINGMINHQIEFSKAMCEIYKPISGRMSDPDSLVVHGNPEGIRA CEEYEAIVKDLGEALRPELEMIDSRIIGPANELLDVIKAIRKTAVKRDHKQLDYDRHR ATLKKLQDKKEKTAKDEKAMWKAEGEVENATQEFNYFNDLLKDELPKLFRLEREFIQP LFQSFYYMQLNIFYTMHEKMQHCDIGYFDLTQELEEGFNQKRGDIQEQAEKLSIVKFK TTGQRRPPKYGQKPAALTGPNRPAAITAGPSSSSSMTTPQITYGQDAEADQASASAPP PYSATSKPAFASAASPPLKSPISPGLAAAAAAKSKPPPPKPKPSRLSAAPAGAETVTA LYDYSAQAEGDLSFRAGDVITIVQRTNNDNEWWTGKVNGKQGQFPGNYVKLG PFICI_07113 MANAAASALRQASRLGLRQTLSSSARATPTLSRVAAIAPSRASR RSYVSETKQQNAQVNVETAIKLDKKDFMNEKGEMIMPGMGSAVSPAGSPVAEVLSQAT ILEGQRPIYLDMQATTPVDPRVLDAMLPFYVGLYGNPHSRTHAYGWESEKAVEEAREH VANLIGADPKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIITTQTEHKCVLDSCRH LQDEGFEVTYLPVQNDGLVDMKQLEEAIRPETAIVSIMAVNNEIGVIQPLEQIGKLCR SKKIFFHTDAAQAVGKIAMDVNRMNIDLMSISSHKIYGPKGIGACYVRRRPRVRLDPI ITGGGQERGLRSGTLAPPLVAGFGEACRIAKEELPYDTKRIKALSDRLLNGLLALEHA TQNGSPDHFYPGCVNVSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALGNS DESAHSSIRFGIGRFTTEAEIDYVLKAVQERVTFLRELSPLWELVQEGIDLNTIEWSQ H PFICI_07114 MYLPCTYRYERQALEEEIVSKNPVDILQFCANYFLRRLENERTH NSFSASQTSFPGIMSSTFSSPFAANSNPFGADGKKNEAPPAGPLLNVVEEDETDTITS PTSPSFTSGFSNRAASPFRGVFGGDGPTDGPPSSLRGPPNPDSYPPLYNFGRRTSVSA ESLKPAGDGNDNWSPPQIPKTQDQLARLKKAIGGNFLFSHLDDEQSALILGALAEKSI PAKDIKIITQGDSGDYFYVVEKGTFDVYINRNGAPGPDGLGELVSTIKAGGSFGELAL MYNAPRAATVISAEPGCTLWALDRVTFRRILMESTFARRRMYENFLEEVPLLSSLTPY ERSKIADALETQKFPPGTTIIRQGDVGTSFFLLEAGQCDAFLEEDEGRQLVKHYNKGD FFGELALLNDAPRAASVVSATEVKVSTLGKSAFARLLGPVEGIMRRTEYVKSGVEDVD PLHS PFICI_07115 MSTRSAVHESLPYIDTEPTPDQRAAAEALIAAELQNSEADTSSA SLPPLREPNFSPLIAQELERVAAKKPLEAIDLKRYEEQEEPSAAASADERQAALAQAY TANTYLTSRHTHLSLLDSFGKNAWLVGNWQTEAELAALERELADTKRDIELVNNARRR AQQDIAGELKGLEETWKTGVGRVLETEIAVESLRQQVLERRRAGVAAE PFICI_07116 MRPTIRSEKAGPSHRVKDYVRGVWYQQQWGSAEPAREPTRRVFL WVVMIILVPLLLFAILLPTGLSHRLNIWSGIYGHTSTANAEAAQHPLEGHLDEAGRYL LGVGKADITGPCVEINMMGYADPNQLGTGLRQRLYSRAFIVADPGRPADRFVYLVLDT QSGDTAVRYGILSGLQGLGAEYADYGHHNLAVTGTHSHSGPGAWLNYLLPQITSKGFD KQSYRAIVDGAILSIRRAHESLDRGHLSVGSTKVFGANINRSLFSYLNNPAEERQRYN ISSADDGSVEKDLTLLKFTRASDGKDIGVLTWFPTHGTSMLGNNTLITGDNKGVAASL FEQSVKAGHNAAENFVAGFSQASVGDTSPNVLGAWCEDGTGQMCSFENSTCSDGKTQK CHARGPFFRANDEGTSSCYEIGRRQFESARKLYDESEKLSPIHGSWVKTFHRFHNMSN FHFQLPNGTEVETCPAALGYSFAAGTSDGPGAFDFTQHDGGPNTTSPVWKVVSGLLKA PTKEQKICHGSKPILLDVGEMTSPYLWTPNVVDVQVFRVGQLVIVVSPGEATTMAGRR WKEAVGDKVVSMSGSTTPPVVVLGGPANSYTHYITTEEEYEIQRYEGASTLYGPHTLA AYINVTLSLVPYISASSTRLPSPGPSPPDNSNRSLNFIPGVVYDRPPLFKKFGDVITD VDDTDIHRGSSVSAVFVGANPRNNLRLERSYAVVEKLIETEGSMKQKWQTVRDDSNWH LVFRWRRTSELLATSEVTVTWETEEWAEPGTYRLRYFGDAKSLSGSLTEFEGVSGEFM LS PFICI_07117 MAAAAPTPGSAAALLPHLFPERKPITPAQIAAGVEAALVVQRRA VTFGKRPFAAVLLGPDNETVLLTHQSIDQVNHAESSLARLAYTHYTKEFLWQCTLVST WEPCGMCTATIYWAHIGRIIFAGTNDQLYELTGPGNKENFTMDWHTKDFLKGCPQKDV EVIGPLEAEGKIVMEESDKYWSTTRIASL PFICI_07118 MRFATVLTNSFALFTAAVLAAPQHDVASRSQDSHQCEFDSKNSP QCWGDWSLSTDWYKEGPNTGVIREYWFNVSQHYAAPDGVQRLVMTINGSVPGPTIYAD WGDTIGKPWPNQFIVLHVTNNLITNGTSIHFHGVRQNNTSQDDGVASITQCPTAPGES STYTWRATQYGTTWYHSHFSLQAWNGVFGGVVIRGPATSSYDEDRGVLFLNDWYHQTV DALWPQASQGGPPAADNGLINGTNVYRKGGKRYQTSVTAGKSYRIRLINGAMNTMFRF SIDGHKLTVIGADLVAIKPYVTDSINVGMGQRYDVIVTANQKPGNYWLRSVPQTACSA ANVQTLNIKGIFNYDSVDVKTPKSTTSVSKDDCDDESDLVPYVELDVDQSGVQDVFNF GIDTSSGIFRWTINGSPFQTDWDEPTLQQVIDGSTAYEKSQQVVHLNEVDQWVYFVIE SVVGLPHPIHLHGHDFFILAQKASSRWTHRSELNTVNPPRRDVAMLPAGGYLVIAYQA DNPGVWLLHCHIGWHTSEGFALQLIERQSEIAATVNAENLNQTCNKWNAFKDKYHIVQ DDSGV PFICI_07119 MADLKANVEHASAADDSPPAYPDGTKPAAEAEAGLTGSESPRRG GLQPPAIIANMTPERRQELEKHLRKKIDLRLLPTMIIMYIMNYIDRNNIAAAKLANLE KDLGLPSGSTEYQTAVSILFVGYLLMQIPSNLFLNKIGKPALYLPTCMIVWGIISAAT AGVKNFGGLIAVRFFLGFVEAAYFPGCLYYLSCWYTRKELGLRTAMLYSGALISGAFS GLISAGITANLNGVRGIAAWQWLFIIEGVATVGIAFISYFILPNFPRTTSWLSEEERA LAIWRLEEDIGEDDWIDSEHQTFWQGAKLAFSDIKTYVLMVLLFCFVASGSVTNFFPT VVATLGYNNVNSLLLTAPPYVLCVLTSFANAWHADKTGERYWHVIWPLVINMAANIIA ATTTSLAPRYLSMMLMVPGVYTGYVVALAWISNTLPRPPAKRAAGLAFINAVSNTSSI YASYMYPNSAGPRYIVAMSVNCTTSFIAICAATVLRFMLVRLNKKLDRGIHVEGAINA APGAAVSNGFRFKI PFICI_07120 MTTEDKAKVQEPTIDHSIGELDDADRALAEMGYKPVFRREFSMW SCFSFALSISGLFATVATTFSYPLYAGGGAAAIWCWLIAGAGALCLALSISEIVSAYP TSGALYFTIKYLAPEKHVAWIAWIDGWLNLIGQIAGSASSEYGAAQMLLAAVSLGSYD GETYHYTVEPAHIIGTMVALVIFHACINTLSTAWLNNLAKTYAVFHIAVLFSACVALL VMQKDKHDAKYAFTYIDPEPLSGWTPPGFSFLFGFLSVAWTMTDYDATAHIAEEAKNP AMVVPKAISTALTFTYVVGWLFNIVLVFCMGDPATILSSPIAQPVVEIFHQVMGKGAA IFFAVSAFMIMNFVCITALQAGSRTIWAFSRDQMLPGSRIWYKIWKKTDTPVLAVWFY SLLCILINLIGLGSYTAISAVFNICAICLDWSYCIPIICKLFFHKFERGPWHLGKASP FVNAWACIWTAFVSVIFLFPTAMPVTPDNMNYAVVILAFVFLLAFSYWFISGRHYYIG PRSEAHVVNGGVVPNKDVSPDQEKAVNASGREI PFICI_07121 MSGGSGGGGDRSSIPETLWDLHVPLYITHTSLPNTPFVTTVPRF SYLALLLPRLTSYFGLPCSSFHHEHVLLRNLAVGLLVDLYSPTLPWRLTVGDGTSWDI GDTFLNSAKEADFVRNGNAQQIMSLSKEHTTALWNAVRDNDYTSFAKVNSRLLNTSRQ LRNVPIRVYIPQSPSADGGGNGSGAGSFKVVQSLVNSRVHDRTPQTLGAALRGLLPML FPSSRDPVLANVVLHGAPVPFSAPLEELMRDAAYPDGWICLVVVPTDV PFICI_07122 MEPGQSEAKRPRFNNPPSPWPPSAHQEARPVLPPPQPSQHHQPA LSPYQSHNLYNRQHPEHPPHPGHHHPEERRHHEQDAYPPSLPPPPLQDHRPPHSPAHP AFPPYPRRESIVKRENGDDASLPQMRRPNSTGTAPDGMPPHSHPPPYPGAHMDDHRRP TSFDNGGSIPPSPIYRPPQPPYAPPTPIQHNQYDASPSGYGPPSSVPDMYPNIAITSA KRKAQRASQACDNCRQLKAKCDEQRPCKNCKDKSLQCVYRDLPTKQPDKVSADILELM SSLKSEIVNLGQRITSLEHSINTNSNHSSDTKVESIEGDQHPNDYGLSAQESKNATED EQQSSPRRNSDDPDKKQMVQHAAKVIEEMDQDDIESNPGPPIMPGAPSLPTNHTTLAA LLLKWPSIEGLVKPVLKREGIHHINEFPISQEQQRGVLRVWGRGEGYILSNAKHESKF GQVITDVTQVDDSPGDTAHSAPSPYSEREGWTDREAWGTVGGGPTSTVEIHKGGVLYA DGTPDFDRATVKQYVQSFKDNVLNMHPILIPTQLDAMVTMFLDSLPQSTKSAYKSGAK FVQSVGSSATPLGPEPGSKRKRSPAVEEPNIHIHRTGVPVSRSIHSALVLSVLALGKI CLHKTRIPEPVSDSDGAVYHNSPHVRNGGPVSSPSQGSPPGPLPIQASGLPSPKDYND RNMASRRASLQGSNATLRGQNYKRNIDVIPGLEYFALAGDILGSQISGYTLKHVYVEL FMGLYHGQLGRVIESWSYIASAGRTLQVVLRPSLSRLNDLRANGRFPYSTRDNQLAFA FWTCLQLESDILAELQLPHSGILMYEEAIPFPNLSTQMNNGYSQDILTSYQAQLWVRK QLNQVHHQLYSLDLPDGGPAMQAKTEDLKRVLAKSEWVPKEFMFEDSDMPANDFLAAR LRAKYWGSQVILYRPYIRICLHTPRGDGSSSVHKIDDKQGFHVPPGMNAQALNYARLG ISALIQSTKAFHGLEKGHRILVTNVFTTAHAQWGNLLVLSACYVDEVLRQFIDRHELQ ELFLRTMDFFRIIAQSTSPLKIDLNILEWLYEQLRFPPTDHESGTSSSVSSMNSSAHA ATGPHYPAIQNMLPPPYNSS PFICI_07123 MVTELALAPKPGSYLPTPDHRPTLADDPSFLLEAERSVEEQSRR RSQQLDGSWLAGWCIVVVVIAVVNVVVVAVRTRDDRS PFICI_07124 MASSNLRQRNYLVPPSSSDTDSDSSAAQLAKVERRKKRKRDANV YDAVAGRVTTTRALDDGSDSETLFQRRHRSTLRDPTLAPEEVLFQRARAPIRYAEKDI YNAHERLPEGGREVLPDSDMLKAIHGYAAHFYSALADSSVRRDKHGVSGTQRSIDEGS MDETALVAFGILLEEAARDALGKKGDLVFTEGADANPRSSTGLAESQTVGFLDVVDAG AHSTRGRTRS PFICI_07125 MNQLLFERSTGNVGPNVFARLQTTNLLKSFCAAPRFRFDGGERG TAIHQDEAGSTLRDGIWAHQAGVNAIAVERFDGRILVSGGSDASVKLWDLESCGNPSE SHTYKPIATVKRGENGHRFGITSMSWYPFDPAAFLSTSFDQTLKLWSTETASVSGSFA LGSKVYTHAISPIADHLLVACGTQHPAVRLADLRSGSSVQSLTGHTNAILAAAWSPRH NHILATGSVDGTVRIWDIRRVGGAIGMLDKEDSLGLYYNGLLGSDGQVRTRQSAKAHS GPVNSLTWTDDGNYIVSAAHDRRIRVWDAATGANTLANFGPSIKNSQLGTVPMFTSPI GVTHPKDEFLFWPNETEMLVFHLHDGRIITKLRGTGPTTAGVRSGNGSERTVQNRVTA IAWRGAGGGGESSGVVTGGSNMAGAIFSSHLDGQIRAWLPELDGLDDEYEEVGSSEAS EDKAKKRKALDDVFKNLMGKQITFT PFICI_07126 MPEEAEVAVPKEIEVAVPKEAAAPGDAASEQNSAPEETVSSHET SATTESATSEETAATEETTVTEETSHPSEETTAPEPDEPQVEATAGKEEAPVNVEEPE KPSEESAKENEGSADDKAASEINAPPHIEEPEAEADVKTEVEKAAPSSAAEPSPSTDD HAKEPETAAVEVEPAEKAVEEVPAVQDAVQEAPATEKAADIPSASEEIAGETSAVPDS TEEAPGTEKATEESPVVDTTVADAADAKEDAADPSAAEASVEQVAEDSSAVDEKAVEP QAVEEAVTEPPAGESDANKATEESPVVDELSSEAPAIREEISEPPTTKAPAEEPPAEH APTAGEAPADEQIPATESTPPAEETLAVDVVPSVEETPAAEEVPVIEEAPAAEEATVA EEVPVAEEAPVAEEAPVAEEAPVAEEAPVAEEAPVAAEASAVEEKKFEEASAPPEVAE KTLAPEEEKQSEPEAKNVEASPAAGAEPEPTAEVPATDATPVAAATESIPEPTPEEKS ALPAEEEKDVKSPEDEEEAKDKVGPMPSSDKGSKGKQQVHIRVANPSGVMAPESGGSG PESVLVEEDNPQDELIAQRVAEVETTEDDLDLAVPDIQTKTELDLRQDPVGSEPLNPI LDKAQQSQDLDLLASGTPSGALFGTHESGNRETDVPQSIEIAQPIISSSNVDSLGHGG VASIAAASEPGSDLHPSSIAASQDLDSAQQEPAAINTVVDEMSNQDTFPGKVSQDRAH DDAEGPDSLVVAMESDIIQVQGSGTPANQDSSEVVGLEEFPETADQNTSGSEQHDKNI SLPVQVSRTEQRSPPEVTMAQLEEQKVQSLEAPESDLSHTPSHTAAVTDAETDASVSS SWHGKVEEPSDLAEQIPTLPDTIAESGSAADKVAPLDEVTPIGNSAKDESLPGDADVP HKQEQRHLDEIPTLVGSGEVHLSEEPVSEPSVVRSAESDAAAPHQEPEALISATTQLD AAATQSTDLLEAQSPFADQDSQIEKSLQEADAETPASVNFPTQHTTILEPPEPVSALD TDILEPVQSNDHESQSKPQDKLLATDSEPVLEPEGSSTLISPTEEVSDTSQQDDSMQM HSALQESEPLLETHQLEKGSVSAQETSVPISAVSVAIPEEPQAQHLPGETALEAPDSQ VVHSTNDSNDHDADPEAAFVGAQEPEAETAHAVDAISVPAAVTLDEATGIEQHEMPAS PESSDLQKSEESIDKQDSAPVSEPEPALPEAAIENLEDVNPEDEFAAEPVQVSGAAPI PSEESESEVGAVKDANNKPPDASVPEESAQDLPLVQTEEKELPESPAAAIPEASVSLK TPIEDVAPSNSELKGNDSLDTALHESLSEPTITQESKSSVEEGPIQSQIREDSPLPEI TAASEDATVEPAVPEHRLDNNMAVSLEEPSQEMTKSSVVDELPGDHDLSPVEQLKLES KPREISHSASLSVDEPSEPVQSAVAQQAHEPLLEETQNDVPAMDDTTETVSEEPVVQE SAIVQPADEQAERVYPISQAGDAAIESLEAPDHKPHLEAPQEEVLPPVKEDLSPLPQE VETSASTKDLQEQSDAILHETSSILPASIERDESEALGDEPHTLDVHDDQEQLPGDTI AEDSQLAKESVPEVSSESHLSDASVPHVETENLPEDSDNEQPRLVHNDDHQALEEQET IVTEQEAEHPSPDQAEAEDHKGLAAGIAAGGAALTAGAGALVNKLSKATQPSEESTQD DVPRHLKEQSQRDTAPVVPPRSPARSVSSQLSAEEENYTLDDREALDHSDALADAAVP RSLSKTSIMSQFPPKAALGKNRQVNAAYSPLESSINRNQIALEETTKGSLTSPILVHS GTQTEQDSVSRLSGQTDLGDTLLSTRSLTPGIVLPDLADANAKALGRARSLRRSRRRT IRMNEETVAAAVVIHVAANNLSSSLGHQLGSSQINENDTRTRELGAGSEVGVQGVELT NIDNSVTDNHSTDDEGKKSSERHRRKHRHSSSGSGESRWDESKKDNHRKSRRLSEASS KSDTSDLVPPKRTDSGPSESSRGSGRHRTPEQIAAREKRRQERTPEQQAAHDKRKEEY RAAREKEREQEAKAKDPETPQSRRHSHHSHRTSRRDSVQSTSTQAERTPRSVETPSTP PKKSFFGFKGVQSAVAPNFVSRASEPAVPQASKETPRPDSTRRSNTTHETSRRSEDVA KPREHRSSRDHDHHRRYRNRDRSAERSKPVSRPAQEVEDSSPSAGASRPRESRAPREH RESRGPKQEHHSRRDERRKTRDEQAKKQASQQAAPTGIKGMLKKIFA PFICI_07127 MNDSNGVTVCPIRHDPFTHVQYADFSQVQYLQIVNSEDEEAQLN EFEDEEELDDDDDAYDVGEGSSAAAEAESSKGPPTGPTLPEQGGDLDIDDEWPEPAAD AAPRSPGKRKTRKDKKKKSKHASVDENTPAKEECTVNATDTQETTKSSPTEDPPESSS SKKSKKAKKHAKKAAQKEKADKAKSATNGKNKKSVSFADPPTVEKEETPQTSEKSDEA VPADEAAAEATSGAEKSTPEPSSTEVEATEAAPDAPTESVPEEQPSAAETTEGSKEAE APSEAPSEAPAEAPAEAPAEAPAEAPAEAPAEAPAGAGSGAEAKSDDPEPNAGPAKEV DNVKPEDTPTAPSDEAPVESASTDSPTPEPSAEDAAPTAPEPADEPVAEPTTGDTAEK PAESESKTESAPEAEPETKPDPELGAVSSGAEEAETPETTTNDNAGETSKDDAPPEEA DESSDQPNVAVETLNSTAADKKPTEEKTEPAEYTEAPTAAEDETKTGENISELSSEAA EASLKSEESSNLPQMAEDEPQPNVEEGKEEATEASKSNDANETNAEPDVSESDKVVAE GAAQTPAETSDAPGEEADESKESEATESSAAPPPEVKESVVEDTSRAEDTASAPEIAT SEKEEVQESPTPSGPNDVAKPEESNIIEAETAENKSNDTDSTERGAVDVAPADEAVSD APSLSEDKAEDQTSTAGNADSAAQVVKEQTSLEPSDDIGNEEPSSAEEKPESEIKSEA SSPESQDSANAKAEAEAEAVKDETTSGTDAAGEIDSPTPAETSVDDEAPQSEEAPVET ETPADNSVEADEKASTSTATEAATPAPATDEEAAPTEPEAEASGKGGSEDVTEPAEAV AATVVPDDNDAETQPEPSDHATVDEEKPQPEAEPSESADKAVLAATQEATTSDIDETS ETKDTGATEVITEATSPETAVLEAEAAAEAKDAAPADNEVEQTAAAADDNTPKDTEAV TAEDEKPIDVISPGDEEPASEKPIATSNVVDNSVAAEASEESVTDATPGVSGEAEPLK EDQEDAAPAMTGEPEQEKEISSSAEDIADAPPEPKDVDVEAPLADNAEPESTAAGVDD KDATATEASEIETQKPEDSANAEVSETADTVSNEENSHKEEAAAPEPETAPTEENEEV SQSTLAIEDVSAEKQDDTPAQEEPASAAPVTVAEETKIKEPVALEEAAKES PFICI_07128 MSGVWGWFGGGAAQKKKDSPKNAILGLRSQLDMLQKREKHLTTQ MEEQDSIARKYVNTNKNAAKAALKRKKAHEHSLEQTTAQIGTLEQQINAIESANINRE TLAAMQKAGEAMKSIHGKLTPEAVDETMAQLQEQNALSEEIVNAITSNQIGEPIDEDE LDNELEAMQQEQLDEQMLRTGTVPVSDSIHRLPTVANGKIETPAAEEDDEEAELRKLQ AEMAM PFICI_07129 MTLVGNLRQFISGTRKDASSKPPILLSWRSSKFFIVTTVCLALF TDIFYYALIVPVIPFSLTVQVGIAEEQVQSWTSILLACYSAALFVSSPLAGIYADHTS SRRWPLLLGLLALAASTLLLCFGTSIGLLVLGRLLQGLSAGIVWSVGCALLVDTMEDA VGMAMGYVATSMSVALLIAPTVGGAVYAAAGYYAVYYIAFGVVLVDILLRLLMIEKKV AKQWLAPVVSESTPNAGTDVEKTAATASQRGNQEDHQAPADTRLIPAQANMATGALPD SADQSGALARHATGSERSYLRSKPIWVLLKSPRLLAALYAILVQAGIMMGFDAVLALF VQSTFHWNSIAAGLMFIAIFLPGFISPLVGWLADKYGAKWLSFAGYVATIPPVICLRF VTEDTIGHKVLLTVLLAIIGVSLSFSNTPLMAEITYVIMEKAEANPGIFGEKGAYGIG YGLFTMAFAAGGVVGPLWAGYVNDNAGWGTAMWSLGVWAASGAAVVVIWLGSKSPQKQ DNRPPAAAEGGREATAPPVVEGV PFICI_07130 MAEPTGQDDFSVLTLSIPPLPSLPIPATHSVYLRRNAKIITKDD TRSLFLVNVPTDSTEAHFRAVFTSLVGAGKFEAITFEQEAKAAKTSHEPGQAVRLAAL GKRKREEQEAQNKKDEAAAQLPAIWSRPLRRSGSTAVVLLADEKSVDLVLKAVKKTQK SKKFPVWGEGVADKVPALGSQWLKVHNKLCYPGNDAMQDMVDAYFTVYNRKEVEAAQL AKAMQNMPDEDGFVTVTRGGRTAPARQEEAEEAKRKMLERQQKKKDETQNFYRFQLRE KKKAEQAQFLRRFEEDKSKLRAMRDKRRKIQPDT PFICI_07131 MPGKQKKGTNKRADRDLLTSSKAPSKSSAKQAQQEAAASAAAQE QPAKATPSAEELASQQKLLSIFQSAYSEVLSSPAFSQTLQEVKAALYDREFEKAFARE EYLDVYAARWSPTRALGYAAVLDGLRDWLDGLALEGDDTTWSTPAAVETEGNDETTER LADLSLANGSTNTGVSEATDVAPSESEECKDANGDDQGAESEQQTATTPANNNSNIKT LKVLALGGGTAELAAFVSFLSKSPGVRGDLTLVDAGPWTDTISKLHKALTAPPPIYKY ASAAAKAANRALADATTLRYTFLHRDALSLTAADLKSSLSVTGSGERQQQQPDQQPVL VTLLFTLNELYTGGGIGRTTAFLRMLGRTLAPGSLLLVVDSPGSYSEAAVGGDGKNKK KYPMSWLVDHTLLRAGEGRTKKKPQDQDGGDAESNAGDKTKAQAQQEDGCKWEKLDEN ESIWFRISDELRYPIQLENMRYQMHLYRAVSTAEKTN PFICI_07132 MPSSLARKLLICAAVDGLIIQPLAPKGQRASQPVKVKYGDSSVS SLSRDQLPDISKPNSTFEAFGIIGLFTVSRLSYLVTITGRQQVAQIRGYPVYVVTDVA LTPCTSQAEAESAVRHTSIQLRRAAQAKDHNGTDDELSGSDTEADVASLLGHDEVDEV QSDRESVSGEREGRSSIAEDVIKRKGSYGRFAERWFSHRGWVQDQKRNMGISGAAAQS SEAPVDMQAKKQEKGEKKLSNTVIAETASDKVGNAVSTGESLIPKLLRMAHIWFGTSQ SFYFSYDVDITRNLENQGLSTTGDIPLHRAAEPLFFWNRHLLQPFAAAKEDSLLLPLM QGFVGQREFTVDRHPPQTDSDKGSAGMEMNDFTPTASGTASPTPEPSAGKSAGLRSTE KQFLITIISRRSIKRAGLRYLRRGIDEEGHAANSVETEQLLSSPVWSDSRIFSFVQVR GSIPLFFTQSPYSLKPAAVIQHSEPANLKALTRHFDQLRKRYGGIQVVNLVEKHGVES VVGGAFEQNVKKYNDDKQADTEKVNFEWFDFHSACRGMKFENVSLLIDTLGAEVESWG STIQDRPDAKNNIGAGTLTSTQKGTLRTNCMDCLDRTNVCQSSFAKYMLDSQLKDEGF DMTIQADQTTTWFNTLWADNGDAISKQYASTAAMKGDYTRTRKRDYRGMVNDLGLSLT RFYNGMVNDYFSQAAIDFLLGSVTSMVFEEFEATMMTKDPAVSMTKMREQAIETSQKI VIENPDSEDVIGGWTLLSPQQPDTIKSFPFDEVVLLLTDAALYVCRFDWKLDKVASFE RVDLADIVSIKVGTYITSTMSSTQADEEKNVGLVITYEPGKNHIRRFNTRTLTSTAGS APSSSDAKSGSANEDLKDGAGPSVSGGILAPIFGSSSPTKSGKPSQAQKRIALKALYA QSSENDAGVARRGSRVLSEQEQIEVLASEIERQVFIAQPLNDTQGNAEKKSIVERQDI ISLAEARKNTGLLETWGHAVKKLVWA PFICI_07133 MSDQNQSAAWPLADAALTQELLDLVQQASHYRQLKKGANETTKT LNRGISEVVILAADTQPLAILLHLPLLAEDKNVPYVFVPSKIALGRATGVSRSVIAAS ITTNEASDLMTQIRSIKDKIERLMI PFICI_07134 MSEAPLGFSEACPVYAPFFGAMGCTAAIVFTCLGASYGTAKSGV GIAAMGVLRPDLIVKNIVPVIMAGIIGIYGLVVSVLISDGLKQQLSLFTGFIQLGAGL SVGLAGLAAGFAIGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALLMNSK AGFDVSC PFICI_07135 MEFAPNGDLDRLIERIMGSWDRPKQELPDRVLWRIFRNLARAVE LMDSVDRTMLPQTSPPPSSSSPTSSASGSTNPLVAAVFASAAAKANKLVHFDIKPQNT LIGDIVPGGDPLVPVVKMADFGLAQFIDESE PFICI_07136 MFNLEAFDEEARPRAKEISFQGRTFESFGYYLHETNDKEAKEKK QEWLDIDPSLRSLVAHCLALEPKDRPTVGELQIAVMNGLATANLAPNARNPKPNYADD QEIQQLIRKLFYEVDAMSDPLAGWFAPPETPVTDETQYWDAGIDYDAAWSGNQYLG PFICI_07137 MVGHEGLSVSISINGGNGRRSLREYDAPGEEPGKRSGGLKKLLN YGAVRRGDFIDITDIAYKVKYIEAVLGHRFSFHFKKDGGFQRGCHHLGIQWQIDGNNS NIHHEEIDSKQPDTSWIVECDGIYNGVYKHLFKFGQAQNGARLPQPLLPLSLTMAADG FLVDNGRSQDEITQSYGTLRLFVYKMNNPPGQSTHGGLVVPARLTFEDPKEALKSKNL PLSVHFDVERRPALSLAQTVDDFQDKRQLPFAVFEFRYNSTGQ PFICI_07138 MQKGIPPDPPIKNGTNSRFKDGDEEEEDVILIKSITRQSPLPRS APRKRRRQDTAGQSEAKLYTETVQSDGTVVIEFDSDNDADRGADSDSETEITKISSVA KPSPKLPFQDPDGVSGLNDQPFVKRRKQFRTEAKAHSFGKSKKAKDEQVHQASRRGPG RRVRCETVEDEGTPDCTDNTVIHQYEIHPRSMDISESKDAEVDWQSSAYTLA PFICI_07139 MYKGRLKAWGLLKNLKSKDADQIMGLAKSGVATGPLVIRGRSMG SKKWQKRLNRVTAPDELTGAAMGRHNGIVVALPLPGHLAAPDTLRLTEAGLIAIRDFA TLQFNTQAWDLSGLPYDFDSDKTDNWSTGIMLAAQNLVKDRTSANNFAILNKCFDQYA AVVEQASPMLIPSTLNNVIRLLPVPEIAQSLLSYAANLISIRLGEDHPLSRLLSQLHI LGAAQVPLVARTILSAYFDIIVSNSHPANRWRSSVYPHYAKLMQTWGAMPGEVVTTVF HKTIHGIEQSLADEALVPPGDAEASEHREQLLRHLQEAKMYFALYLIDTKRFLEADTI AEEMSEWLRSGGAARYPEQYEQWLRTKARILVGLDRPDEATPYFVQTYEARRDRLGYA NHRTTRAISELEEHYRSLNDDEAAEKLHREFEESYEDV PFICI_07140 MRIAIREQLAVLVLLAVLVSLAILSIPTWVFVNRFVVSVETNAL SLAASLKASSVAAELELLQTTTRTISTRILIQNAFRTFYLGNTTEANWASASTDLQSA LNAVSGGSTNLLQVKLYSRNTTGDVHGLFNLTGTDLGSILLPYGDPDGSPIYLGDTEY GYPTRLYPNITYYNSTTPDPLDTSTTLQVARPWPDIKIDNPSNGLILGPLVINTSYAL VSLTVPIKDNLNSGVVLGYMTMIAEATALLGIVDSREGLGNSGSLLVIGPDTGWNRFS KYNLPANSTNDAASNISDATVRFVLPPTIISGYADRHSDRNFEQHTWATNFQLKEYPA VLAAFKNRNAATNNASSYMSTTNEQGVKVAVGYARPQTSLVNWTLVVEQEKQEAYAPI STLQKIILGCVFGTFGLVIILIFPCAHFSVQPIRQLKSATEKSVAPPGYDYRDELDFD DDDEVDPENPASTGISTRSEKGWLLSLKRKMRGRRRPAPSEHDSDPRRRIFKIPGRVK DRKHYITDELTELTDVFNEMGEELLKQYGSLEQKVAERTSELEVSKKAAEAANESKTL FIANISHELKTPLNGILGMCAVCMEEDDVVRIKQSLKTLYKSGDLLLHLLEDLLSFSK NQIGQQLRLEEKEFRLGDIRSQVLTIFDKQVREGKIDFSVTFLSTDMDPGKSPERESM EIQDPKLPAMGPQGVGRLKDMCLWGDQHRILQVVINLVSNSLKFTPSGGRVSVRIRCV GEVEHQFDESRTSSFSRGSGRAGRTRHRMGSGSNRSNHSGSSKGAATPQPYKGGTALS INPLDPKATPHIHIRERSPTPPPPGARSYLFEIEVEDTGPGIPEHMQQRVFEPFVQGD LGLSKKFGGTGLGLSICHQLAGLMGGSIGIRSTVGVGTTFTVRIPLKYTRERASSTAS SSVKSRPPSVVSAPAEAESHRNSVGSTTTAEAKVTVLENQPRLIGLSAPFFATSPGPS PTPSKKRQLEAIDRARAAKAGGKLRVLVADDNSVNVEVVSKLLRLEDIYDVTIAKDGQ EAYDIVKASMDNNIRFDVIFMDIQMPNLDGLQSTRLIRKMGYEAPIVALTAFSEESNV KECMESGMDEFLAKPIRRPALKQVLKRFATIPEEPEVASLHNTKMTPEKTTPDKMTPT AETPPGSEQKVTPGGPEVSYREVSETNGNN PFICI_07141 MADIKIDGKLFQERVNHFITSWKTDKRAGDQVFNGVASIVIMMG KVEENPEFHKNNAMHFWLLGYEFPTTLMLFTIDCLYIVTTAKKAKHLEQLKGGRFPIE VLVRGKDAAENEKLFVKLADTINSAGKKVGVLPKDTSKGPFVDEWKKVFGDKCKDAEE VDIAPALSSAAFSVKDETELRAMRTASKACVALMNPYFLDQMSDVLDQEKKVKHSALA NKVDQKLDDTKWWKTVELPNKQKLPSDFDPSHLDWYLGPSIQSGGKYDLKFQQESTND NLHAGIIIAGMGMRYKSYGSAIARTFLVDPNKTQESNYKLLFAVHNLVLKEIRDGVTV SDVYKKALGLIKSKKPELEKHFLKNVGAGIGIESKDPTLLLNAKNSRTLKDGMTLCIT TGFQDIENPQPQDKLSKTYSLVITDTVRVTSSDPVVFTGDAPTELDATSFFFKDEEEE KPTPKKEKKDPRVGAVATKNITNTRLRSERTTQVNEESDKKRREHQKQLALKKQKEGL ARFAESTSGQNGAEVKKFKKFESYKRENQLPSKVKNLEIFIDEKNGTVILPVMGRPVP FHIHTIKNASKNDEGEWSFLRINFLSPGQGVGRKDDQPFEDPGAQFVRSLTFRSLDGD RYEEIATRISNMKKEATKKEQAKKEMEDVVEQDKLVEIRNRRPQVLDNVFIRPAMEGK RVPGKVEIHQNGIRYQSPLSSQQRVDVLFSNIRHLFFQPCAHELIVIIHIHLKDPIIL GGKNKKTKDVQFYREATDIQFDETGNRKRKYRYGDEDEFEAEQEERRRRAELDRLFQN FAQKIAEAGKSESIEVDMPIRELGFNGVPFRSNVYVQPTTDCLIQVTEPPFLVVTLED VEVAHLERVQFGLKNFDLVFVFKDFTRAPAHINTIPVESLDDVKEWLDSSEIAYTEGP LNLNWPTIMKTVTADTHQFFADGGWSFLAAESDDEGEEEEEEESAFEISEEELDDASE SSEEDSDFDDDASADASEEEASDDEEGEDWDELEKKAKRKDRESGLEDEDRKQKKRRK PFICI_07142 MPSPVSKDDHAREDEEIPQVASDLIEVNVDNQESGKHADNGLSL ELNTNPKPSDEAPKKRGRPSKKGKKLPSTPIKTSSPVLEKMSSKKATPTVVRLSEGDN DEDDSGNDSGEVNVEFDLPAISELFSKEKRARELSEDDNAGELEVRPKKRGRTSKNVK TAIVEQENEGSQPARKPRGRPRKSASAAQASDGAKKSAESISLSKKSDKSASPAKVGD HAPRRSSRAASESAKVNMSEQTKKQKQPAIKTDFLSSKGKNITQQPARRGRGRPKKET FFAVEKLVNKKVDKGGVTKYHVKWENYADTENTWEPVENLKGCMHLVEAYDKKEARNA KRPKKTKKA PFICI_07143 MASVFAKTLPSSLSARTLFVKCSPAPSSFTERRAVLKTLAAAGT DTNIEVFKRLEDSSSFIAVTTTPESARSLLSDSPYERIVHLQDAAKASLFSTAAWGAS FRTTITDPVNVQPVEQWRRRGSKSTGTGDTTAEISMGLISKTFTIHVFPANASFNHKR AVELSPLHGPWPRNDGYDTFVSLALKKSIPGSRMSPGLRDWHTGRQLSQDPMGHEDEG AESLLGSKSRRSYFIERRIRKAENEIPDVMRSLAAVAKSSKTPSSSSSQESIAEQKGK LSHEPTLPNKSNWNDAQSVADDTNKRENSHKDMIKDKPISPSDFHDLFHGS PFICI_07144 MRQTGFFHHIGSFLLFSATILLIITCISAPVVHNLSVLKVNLAN NDNDFSPDITFGTFGWCVQQSGSDDDCSSTHVGYDAAGVLKNRSSGAFDTSDFAGDTA NGLTRVMVLHPVAAGLSFISFLLALGAGVVGSFLAAIVSLLTFIVTLVVLITDFVGFA ILKNAVNRSDTGATSEFGACMWTLLVAAICNLLATIVVFFTCCSARLHKKRHSSAKVE RYDSPPTRPARRRWF PFICI_07145 MPAQICRQRPHGLKRLFTSSSLGSLGPNSPSSPIHFFDRPSEYQ KEMAQSMGTLTGPAKLAAVTELIDKLNKDLETVSLLPHQRDAALEELKIYGRDPRNAE PIFTKTGIETLARHAFNSPSSTTSRNALRCLCNALLLKAETRQIFIDLKFEAKACLKL KNDNRDDEFLVSRILFLTTYAKANLQDLIDQHHIAESIIKNLERHAKHAASGAAPTDP MEAMALIETLKLLFNITHYCRDKTSSFTQAIPPIVTLLCTGTYSNTRPKPLDAPVVNL INALLNLDLGSPDIHSSLYPAAEPRQFSDRLIDLLKSSSKAYNDEELENNVTALIGVI RGIHEYAPGEVKTAIRVALLPTADDRKEVLGRGTTIASWLLRNSTNPLTPQLREAISE LLFDMSDKDATTFVDNVGFGFASGFLYNRGLPVPNSAQEAFADAEGEAQPVNPVTGQF LNSEQAPNVPEMTDAEKEREAERLYVLFERLRANGIISAENPVRVAQQSGRFEELSDD DELD PFICI_07146 MVPDVVVTSTSLETIPPRPPTPPREKPTDPHKQNEKQLLARPSL DPRRSLQTPPDYSPYSSDLSNPTSRRTRKKVGFSSQADYSDAPSGRCIAGTPASTVST ASPAQPLKSILKPSPPTISNPLDPSAGTDEATRNATITTMLESTTKQLAGADRASKLD AYSMLVRALKTSNNLPDRIALQDKMGLFTQFIQRDLTMVHEESKELDSSLSNHASTLL ITFLNFPAIASSISNDFRVFIIDHCIRSFDNATVPKDVVRHLMQVVASQDFPPKVMTS DRVGRLVSSLHRIEEHMKGKSIIMSRILIYRRLIKQSKPHMVVHSDWLLDLFTDLLSS IKEIRASAIALGLEASFSIAKEKQLSRRVMEILELAIDETKYIQYYAGRLKTMLKSKD KNECMVVPQIWSVIILLMRCPVTKWDFFGPWLEIMQLCFNHADLQTKLEANYAWNRLV YCMQLNDQSFAKIIATIRQPYESQLRRKKLSDELRKVVIGSLCNLYYYSFKPNTSSDH ISNFWDSCVPQLMAAMVSPATNSKKTTQTQSAWPDQLPQAIQIMAALLNSTTPRIWKE DRIADSPLVKFAELPALDPKWVRRNASKVFSVLEPMLTKTFLDLADPTSATARLWRSL VNSVALAASKEIKVSSDTAVFMAHAFTFLLRIWSHGLSGLTTEDQSWSRFYDAVATLV NELIGSLGILPFTERQLSIGNQNTFLPVATPSHRAGKSQGMTRTPLLHLFSILSSLPG GAPDDESLLDLLRTIFTPLISTKPPKARVELGRELMDCMPMGTLSPFGPWIFVSEIFE SSVEVCQTSESTLSAGSQPPVGPEYREFVKHLERGLRSTPKLPRENWLSLYNFIASQT IEETGEAGCAIALIEPMAKCVLDLLGNKPSSGHTIYLFLSGIGLISDARYPRDKAAID AARRRLWGTSISGSRSATFDPFDHLYKLINHLLVDSYQHYQERNSSGVVAPLLNEVAT FLAKCNKLLVFKSLVHLQTGIGLWIQDKEVRYSSRQSPHVSDAVKSLWNCIRNIFILA DNLDQVQLDTIEPLLCSAFESRHKHIVNGVAVMWNQAFCEAEEVIYPEKLKATLLSLR PHVDIVLPGIDVSSLESSGLQPQFIDSQDETDAAVSSITPAHKATPPIDRRLSSRRSK SNTPTPIQLSVANHRSVQSTPTSALTKTRRGAAARLRHDDSQIQFAAIESSSPIRIND ESQVLTERQKEIKERQRNTAALFPEMRSSGEVPSKKQQEIDQDDGPDESQNEKIVTPK SHRSFEDYVSSTPTPRRGQASLIDENDQEMTDDIPSSPPDPRRYPLVPEIIQAQSSSS SVLDEWQFTSSPISGSPRIDQTIASVRQVKNNHANQQSLVDNSRMLGIEKAAPIVSDD DCDHADIEDEGSDAPMIDNDPVGPVLSDNHQKASTPPNARSIKVQETPKSDHEVFVDA LTSPEPQTPRAQRALARAAQAAAVQTEKAVSYQNPSFDASDVDERSLLRLVVELDSRK CSPLPDGPERRLLKASHAGLSDERGGSPVLDCITVSADADESRKSDDSRLEATSSVIP STPFEASSSRDAGRKFKRKRKRTSDTSEEANSRKRRSSQDNKRGAGAVPSSQLTQAND SASLELFETSGGERQTSSSQESFANRDDETTEVPLAYTHGDVDTSIDELEAVNLQIVQ EASQNTDQGAAAELETMGPVPAAMEIETSTDGQNEGVVAERDFEDAVKAVSVDQVEVP KATFELSPMEKIMEALKAGLTELRTATLTRDEVNKVEDMFMDMKRELYGAELRGRK PFICI_07147 MVDRPNKPAALATTPGLPPSAQVNITHDNSRVNAVLPSGESIEV LLYGATVLSWKDRAGNERLWLSEGAKLDGSKAVRGGIPLVFPVFGTAPNHAATSKLPQ HGFARNSTWEFLGSSTSESTGKSDNSVKLDFGLSQNNLSEESKKLWPYAFSLQYSVTL TSDNLATGLVVTNQGDQPFEAQVLLHTYLRVNDISKVTVTGLEDSEYVDKVAGTPNPV KQSGSITISGEVDRVYTPSKGPSEPVVVSEGGEAKYSVVRDNLEDVVVWNPWIDKANS IGDFEPKDGYKNMICVEAGAVKGWQKLEAGDAFEGAQVISV PFICI_07148 MASVNGVQTNIPINTADNWKPDSWRSKPIKQCPEYPDIKALHKA TAELDQLPPIVHPHEIEKLKQSLKEVAEGKAFLLQGGDCAELFDYCRQDAIESKLKLL LQMSLVLIRGTNRKVVRIGRMAGQYAKPRSSPMETVDGKQVPSFRGDILNGFDLSERE LDPQRLVKAYSYSAATLNCIRAAISSGIADLHRPMNWELGHVQDERLKAKYSESVGFL TEALRFMRTIGADKARNLETVDIYTSHEGLLLEYEQSLTRLLAVPRYPAEGETQKFEY YDTSAHFIWIGDRTRQIDHAHVEFIRGIANPIGVKVGPTTPTSDLLELLRTINPSREV GKVTLITRYGAAKVRELLPAHIRAVESSEYRGTVIWQCDPMHGNTRSVSSGIKTRRFD DIFSELQETLAVHKEEGSYLGGMHLELTGDAVTECMGGSQNLDEGDLSTNYTSHCDPR LNEKQALEMAFLVADHYLEERKVDGTVQDQQ PFICI_07149 MAEPQPPNVVEGATTGDVEDEVQAKAKSAEDRKAAAAMSRLDAN DEGSSSAQVDQDAAKKAMQSLGGAAATKTEVKKVKVDAADVALLVNELDLTKVKATEL LKAHEGDAVKAMRAFISAP PFICI_07150 MWAIKQCGAAFATLLLLESVAATEQKPLLGNDASQGTTDNFAAS ASAWRLNFSSTAPYLFSSVSSLLQQWGNTFFPNGHNIVPCEIPAYTLFYHGRLDGDQP PSPEWLAFDIEMSYGIMGSTRNSHMLTYQTTKPVKALYFDGESATLMGMGQMDTQMLH VFGNISGPPHDGWGLYLEYARATGLCDWLESAGLRGDGWGYEGIIRMNAGFEMIWCDF TSPSLRLLTHLNVTAPQLPKEKDNDRIAARKAESQESSYYPLPPLPTRTDHSTDPTDP PRPPNWRWEIDREPFLRSQGWGWFSSATYHYGKSRQGPGLGEVRAKASNCGIMSYYSP RFANLTRVRAEGEQELYNLTAQGYWKGEGPEGNRTTGLADLRRRRRYHHLGDVTSEEA LLMRNNSQHALKNLLKGSNDCSGADWVYISNEITQNTGIHLKEMTQLLDSFSQHADNE TAIESWMSTMRAQSHSFYVAFLEYPSSSDSSDWTVESRLYSQTYARCRYRYTRLLAPI EGFELTPEEEDLRWAIEETYGAICSVLLTIGFQIEGLWAEDFNKADRNLPSPAVFQER YTQVARTWNDGLQELMAWLGWEDEFTGCSEVCQWDERCYIPMWPLMALGGPGGPRPPR RGNGTFPGPHYPPHGGYGGYGPYGGPPHRGPSPPGGGPDRNRPRGGGSWFMGDETELW EPTCAKATHFMRS PFICI_07151 MISRQAYAPTPHSYVPNSNLSATIDLDEEVKLAETRAERDLQDS LAEIFSIIITLDELEKAFLKDAIPEADYTEICERSLKQYKSILADETVAKRFVGLEEF KAEWDLEVPRATERLKVGMPATAVDASAGAAPAPAASTSNKSGALILEATQEFITFLD ALRLGLLAKDQLHPLLTDVIQSVNKVTDRDFENRGKIIQWLITLNQMKATEELSEEQS RELESDINSAYMGFKNVLT PFICI_07152 MAGAKKNFKATKKFESKHLKGVLDQRKAAAKIKQRQQVKNKKQS RRASDAEFIKGPAEGEAPKNAKGKSTTANVGEMSVDDFFGGGFEIIDKKQPGKKASKK LGKRKRDEPAEEDDESGSELPSEDEKPADDDSDDSGDEDEDQDVGMSKEAMDALAKDD PEFYKFLQENDPEALEFDNADLAEVDELSASEEEQPKKKRKKEKKVAKKDESEDEEEE ADSNELTQAMVAKWKKSLTETYSLRAARQVVLAFRSAAHLNETDEENRTSQRYTISSP AAFHDILIVALKQIPEVLQHHVPIKETAGGKVQVPTDSKKFKVLSLLLKSYTSAILHL LGTLSDDATLKLTLAALEPLVPYLLSFRKLLKNLIKTVVDFWSQSTSSEPTRITAFLV LRKLVVVGDKGIREAVLKATYQGLISGSRVTNANTVQGINLMKNSAAELWGIDQNIGY TTAFNFIRQLAIHLRNSIVHNKNDAYRNVYNWQYVHSLDFWSYVLAEHCNSLRESELG KESQLKLLVYPLVQVTLGAMRLIPTAIYFPLRFHLTRSLLRISRSTGTYIPLASALLE VLNSAEMRKSPKAATIKPLDFHVNYKAPKTYLRTRVYQDGVGDQVVELFSEYFVLWST NIAFPEFALPVIIMLKRWLKQSRKKTGGNNNNKLRSGLVLLVQKLEANAKFIEGKRAK VDFAPRDRSQVDAFLKDFEWEKTPIGAYVVAQRKAGTEKARMLEQARKEDERKRKEEE QEARHANGVEDDDDDEDEDVDMEDEEDEDEDESSEDEDEEEDEEDED PFICI_07153 MPVMSGSGAAQEIREFEEAHNIRRAVIVGLQRHLSSSHLPDYFN GGFDAIVISHSSIKFFYEFLCGPPETNIFVQYGCLTEEEQRWYSQRYERYHVGCEGSL TTSYGVQTPGLYTSVMPAQTLPRYVTARRREASIFSFRASKYQLTEDTNENMAE PFICI_07154 MAEFVSASNSAAIPSAFENLRALQSFTETVHGDTYDFISPKNAN LSGRSVLITGASKGIGRATALSYAAAGCSKIAIAARSDLSSIEKELKEAAGPQWPAPQ VLSLKVDVTSEDSVRAAAETVTREFGGALDVLINNAGYLEDWKPLADSDPTEWWKTWD INIKGTYLCSKYFIPLLLKGNLKTNILTSSYGGIVHWPGASGYQTTKFAVCRLAEFIN SEYSDQGLVCFAIHPGSVMTELATNMPKETHEYLTDKPELCGDTIMWLGKEHRPWLGG RFVSVAWDMQELEAKSKDIAERDILKFRLTM PFICI_07155 MESTPEIPIDAHTNITSLKLKIAKAKEREARSASIDLKDHISGP IPANRQVPVNVARRNDRVVSPDPPPDSRPAAKTRQKPNNSRGRRKGTLWPGGDGDGDS DSDPEKTGRSDTKDAQVVTKKRGVAKSEDVDHSNVIDDSFARSLVIEENERVKQLRDL REIGEKWEEMKEERGDHERKGHQMREMVRALEQSGPGGPVRQRLLDFDGRLREYLNDI LYGVIKDNGWESAAQILTEEEEIVQWLEMSVLGRCVEFDGWQFSNKPLDERISLALKS VEEQCWRSKYFALALEIRQEYLESVPQPPSSDPAHEPAEPWTKDMEFAIKEYLLWKCS KSQFYRKPRFIDELAESFWAKIVKQMVNSATTATSLSTPDGEWLQRFEQSRESGHLKA AYIHWVIGDADPIKIEQLCKEWHRVKREDALDRYHRSMGSIKLKVEQVFCPGIVRDGE VSLLLLKGGFPTGIRPVSPNELKNLGWFRDEERRGFNFWRCERIFEDGTGNWLKVCMS GKVLGAFCLENLGSALQYTDDGKGGCVLNRRPLSSAERLAIFGNSRA PFICI_07156 MASCDSRQSTLATEQEIELRHSLDTEPTDLEVQRKPWKYVGYRR YANFLASDDDLFVLRRFKDLNIRVALLMQDKLTELEEELNELDEAYSQRSAVDIHNGT FRNDAEDREELLEKISQGIFRYNQVLLQQSALQKYPQASNRDVKNIRNWHENHGFEAI ARCEQNYLSLDNDLVSVSHKTKTPLRRVIDGSFRLRTLSFWKDKKREPSLPLYDDKYI SYYSDKRIDGFVSGFIVCVGLLMLIAPIWILQSLQTLPMKLAVITIFIVAFLLIMSFA MVAKPFEALGATAA PFICI_07157 MTGSTIKLSSGYEMPQVGFGLWKVDNKICADTVYNAIKAGYRLL DGACDYGNEKECGEGVARALKEGLVKREELFIVSKLWPTFNDPEQVEPVVKRQLSDWG IDYFDLYLIHFPGALKFVDPSVRYPPGWHHDGKSQIVKSKTTIQDTWRAVEALVDAGL AKSIGISNFQAQLIYDMLRYARIPPATLQIEHHPYLVQQELLNLAKEEGIAVTAYSSF GPASFLEFGFKHAEALVPLLKDDLITGIAKKHGKEPSQVLLRWATQRGLAVIPKTSRP EILAQNLDVNSFDLDQEDIDKISAKDSGIRFNQPSNYFDTQKMWIFG PFICI_07158 MPATTEENVPQSPSRHIELVEDEAHSERGDGNASPALTDSKGWD GKLRVDRAALIQNPEALSDPEYSDDENVLPGEELAADEDLLNDEDPETEDIALIQSRI GNIPALHLERFKQVTRLCLRQNLVQHIEGLSPLGPTLEELDFYDNLIAHIRGLEDLTN LTTLDLSFNKIKHIKHIDHLTKLKDLFFVANKISKIEGLETLVNLRQVELGSNRIREI KNLDTLKNLEELWLAKNKITEIKGLSGLPKLRLLSIQSNRIKDLTPLRQAPQLEELYI SHNALESLEGLDGNPNLKVVDVSNNMIQSLKGLESLKEIEEFWASYNQIGDFADVERA LKDKENLTTVYFEGNPLQLRQPALYRNKVRLALPQVQQIDATFVRTS PFICI_07159 MASKFSVSPIAMAFLAGLGSAADVLKLNAPTSLAGASIEHLPSF SPLSIEPAFWVEFVGNASEPNELYMKLISNIVERGGQPIIRPGGNTMDSMIFDSNGGD PVRTMGTAGEVYRTTVGPAYYESWANFPDEVLFISTLNFGNDSLQIAQDLAVASVKYQ AERVKSFELGNEPNWYPSTRWNYSTDNYVSQWKNWTASIDQAIDTAAEEENIAFPDTR WVASSATTDDASIQIRPVNIIPAGIDSENQVQQYSIHSYVYNTCSAAGAAISTIENLL NHTRIITFAVERVLPSATAALESGKQWIMGEFNSVACSGKPNVTDTFTQALWVIDTEL TYATLNASSVHLHQGATLVLQSSTQTNTPGYSAYSWVYPRDSDKWGEARALPSYAAML FLAEVFAQPKTRVLSLEAAQGVDQDRFSAYATYVDDKLSKIILLNLEPYYSNSTSDFT INLDISEFLPAGNASASVKRLTAPSVDEQDTSQVLWAGQSFKYGDASGNLTIEKVSAS KIVNIRGSEAILVEL PFICI_07160 MHSIRQRAVTALHIRPVVQSPLTTPPPPRIVSITCRRHFHLEGL ALAPLYFGGLFVALWTWKCCMLVTFQNKIIYMPGLPPNARSEKIEDYASRCAGISWRE ERIRAADGNASSTPPRLPDISSTLAMLKRNLHDDSRSVRLTFVCLSYRGYWTSKGRPT EKGIRLDALSALDWISRQHRNGKNIDSKALAIPTDRTGRLVLWGQSIGAGVATNLAAE ASVPQNLELDSIILETPFTSIRAMLEVLYPQKWLPYKYLWPFLRNQLDSWKNFGLISE RYYKGKARPRMLILEAAKDELVPAALSQKLYDRAEALEIPVERKAVESAFHNDTMFRA EGRRAVSDFLARRIRE PFICI_07161 MAAAANNPQLLPVSKLTCGFCGFVSPHFNADPDNVWAPYYRAVY AVGPRDSHEPPRLSGVGFHRHHQIDNYLPARTEERWDDVVISDENAQGLDLTAFGNQT GPAQLVRIRDIKPPGPEVPTHEQYDYAWGFLFHEACWSVLEQALKPSEVDIAVLWRIL CSVPCGSELPNWGHNYGGLYMGTMRDQARGEHFVLLGRNSNLVIPSTFSNPFRVPELE RLVLQNRIVTSPAAQNRDDGNTTEPDRQVSGVATPGARDLFRVLPPELKEMLLCYMKS ADAAALRLASRALASTPLTQHFFQSRFWPGREMAVFFDAFLLPAAEMRGTDWRRLYWQ LKIRLKYNRVGLGERNRLRLWTSTIRPLADAIDHIRWGMSELKGGPDWRWTGSGEDAG AALDADWKICRTAKLQAPIVFGEIRRVVYRAEAELPVGAIVTGVYVSFIDFFGARYIT GLRFVFQGVDDVELGYIMRNSEEYLPILSGCLAGFHCATDECGFRALALAEGKNVVSE YLDWAGQPEALSVAPLKTAAKDGLQRVRASFDVSDSALALNLMHD PFICI_07162 MSGGMSLGTLIKKQFTASKLIFHFLFWTFHWGIFAYGWYKQEAD IRLAGLNTLQYSVWLSRGAGLVLSVDGMIILLPVCRTIMRWVRPKLKFLPLDENLWFH RQVAYAMLLFTIIHTSAHYINFFNVEKTQIRPVTAIQIHYTQAGGITGHVMLLCMLLM YTTAHTRIRQQSFETFWYTHHLFIPFFLGLYTHTTGCFVRDTALPFSPFEGANYWNHC IGYLGWRWELWAGGFYLIERTYREIRAKRTTQITRVVRHPYDVVEIQFNKPSFKYKAG QWLFLQVPSVSGWQWHPFTITSCPYDPYVSVHVRQVGDFTRALGDAVGAGSAQAKLYD GVDPMGMYEVALQNGQQMPLLRIDGPYGAPAEDVFDNEIAVLIGTGIGVTPWAAILKN IWHLRNSPNPPARLRRVEFIWVCKDTGSFEWFQTLLSSLEQQSSEAARVPGSSGVEFL KIHTYLTQKLDMDTTQNIVLNSVGAEVDPLTELKARTNFGRPDFQRMFETMRDGIMDR TYISGLEGSMQTTVGVYFCGPSAAARDIKKAAKAATVREVNFRFWKEHF PFICI_07163 MGISRRPKKDAGQAAGGAQSGGGAKPKKATFETSKKKEIGVSDL TLLSKVSNEAINENLKKRFEGKEIYTYIGHVLVSVNPFRDLGIYTDQVLESYKGKNRL EMPPHVFAIAESSYYNMKAYKENQCVIISGESGAGKTEAAKRIMQYIANVSGGGDAEI QHIKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLQIHFNTNGEPVGADITNYLLEKS RVVGQITNERNFHIFYQFAKGASESYRTSFGIQQPQTYAYLSRSKCFDVDGIDDLAEF RDTLEAMKIIGLTQAEQDEVFRMLAAILWTGNITFIEGDDSFAQVADQSVVDFLAYLL EATPEQVVKAITIRVLTPRSGEVIESPANPAQANATRDALAMAIYNNLFDWIVQRINQ SLKAKQATPNNIGILDIYGFEIFEKNSFEQLCINYVNEKLQQIFIQLTLKAEQEEYAR EQIQWTPIKYFDNKVVCDLIEQVRPVGIFSALKDATKTAHADPSACDRTFMQSISGMN HQHLTPRQGSFIIKHYAGDVSYTVEGITDKNKDQLLKGVQALFRQSQNRFVHTLFPAQ VDQDNRKQPPTAGDRIKTSANALVDTLMKCQPSYIRTIKPNENKSPTEYNVPNVLHQI KYLGLQENVRIRRAGFAYRQSFEKFVERFFLLSPETSYAGEYTWNGTEEQAVKQILKD TSIPKEEWQLGVTKAFIKSPETLFALETMRDRYWHNMATRIQRMWRAYLAYRAESATR IQRFFRKKRTGAEYLQLRDQGHKVLQGRKERRRMSLLGSRRFLGDYLGVNASSGPGSH IRNAVQISSNEKALFSCRGEVLESKFGRSSKPSPRIFIVTNSKFYIIAQVLANNQVQI SVERAVPLGAIKFISTSTCRDDWFSLGVGSPQEADPLLNCVLKTELFTQMQRGMPGGF QLKIGDAIEYAKKPRKMQLIKVAKDAPTALDFYKSGAVHTQPGEPPSSTSKPTPKGKP VPPRPITRGKLIKPGGPGGRPSRITNNRPAGSNAKRGPASLQAQTPRPVPTPATTVLP SHTRNQGSTSSAARVPPPPPPAAPPAKASKPQAKVLYDFAGQRENELSVKAGDIVEIV QREQNGWWLAKNAQGQAWVPAAYVEEIKAPAPAPAPRAPPPPPAANGGAARSKPTPPQ PPAKRPVANKKPAALQSRDSGIANGSDSSRSSTPTPQAPLAGALANALKARQTAMQSK EDDDDW PFICI_07164 MAFLVGASAPLAVQKLAIPFVCLLIAFLGYGSQLLFALSPDLDP GPPTQFQKWVFNALLLCLWWTYYQACTVDPGRYTFPPPEKSLGKEANAPAAGEGEEDS SKQLGQKGHRQKRWCKKCRRPKPPRAHHCKTCARCVPRMDHHCPWTSNCVGLQTFPHF VRFLVYTNVSLWYLASLLWARFAALWSNRLLPAYLGPTTTQLAWLTIFTFVCAGTSLA LGILLLTTLKGWLFNTTMIEGWEIERHEAVLERRAGGGSYGNDGDESFWPDARARPDG GGGGDSFVDAVEFPYDIGLWANLCAGMGTSNLLLWFLPFAGHPRVAGNRDGKMQGTGW EYEENGLNDAEDMWPPADPAKTRHAKVWTRQRRQDMQEERERFENEERWARPEDQREA FRQRQHRDLQRWEGKILGELEEVNDDEGYDFVDEAYAPRGGIIVDEGKQGWVNADGEH LGDFGVDEDAEFDEPGDADLVPGDEDDDIPLGELIRRRKVKTRDEDDNR PFICI_07165 MADVEMDVDEPGSPQATQAKVGDMQTHAKATAVRSIEGWIIMVT NVHEEADEEALQDVFADFGEIKNLHLNLDRRSGYVKGYALIEYTTLAEARAAIDGAHE TKLLDQTIYVDFAFVRPPPGKNNRGPRQSTRGRGGRSRSRSPEAGGKDDEDVQ PFICI_07166 MSTRDTKDVRQDAQDHSGVGSDEEATVFKGQIDPVYEAKAIVLN KAIQEIGMGRYQWQLFAVVGFGWASDNLWPIVTSLILTPVINEFHVEKGPLLSLAQNI GLLAGAIFWGFGCDIFGRRWAFNLTLGLAAFWGMIAASAPNFAAIGVFAAFWSFGVGG NLPVDSAIFLEFLPGSHQYLLTILSVDWAVAQVIATLVAWPLLGYMTCQQQDTDCTRQ KNMGWRYFLVAMGGLTLIMFLCRFVFFQIYESPKYLMGKGLDEDAVKVVLEVARRNGK ETSFSIQDLKACEPEGYVHQTQASEAIKRKVQLLSLEHVRPLFATKKLAWSTIGLMIT WAFIGLGYPLYNAFLPYIQATRGADFGDGSTYITYRNSLIIAVLGIPGAIIGGILVEV PRLGRKGTLSISTAITGVFLYCSTTATRSQSLLGWNCAFNFTSNVMYAVLYAFTPEVF PTKDRGTGNALTATSNRIFGIMAPIVAMYANLSTPVPVYVSGALFIAAGIVVLTLPFE SRGKASL PFICI_07167 MGVYLLASIALASTVVAQQPAWAQCGGESYTGDTTCVSGYTCIA LNQYYSQCQVAAATSTSTLVTVTTTSAASATTTTAAATGATDIQFLGRVNPATRELSW PGSGLGFTFEGTSASIALTSVTGTNSVDLYIDGGDPVVISNVNGSSISTPSGLSSGSH TVELRKRSEASFGSIFIGEVTTDGTLGTYDVPDRRIEIIGDSISVGYGLDGVNPCTNT AAVEDNPLTYGAVAANTLGAEYSVVAWSGKGIIRNYFGDETELMPELYTRYGALDADN SYTFPAATAPDAVVINLGTNDFGYLGNRDPIDVSAYTAAMVDFVQSIQAHYPDAQFFL LSSPMLSDTYPTAEDAQKTTQTNALKDAVSQLGSAAHFVDWPTQGSDVGCDYHPNAAT HAAEGAVLASAISEVLGW PFICI_07168 MARQSIELDRVESPSQVQNGPSVTSSNTSQADEAGHLGLNPSRH DFGSLPPVDGGKDAWLFLAAGFVFEALVWGFPFAFGVFQDYYTTTPPFAGSQNIAVIG TCAMGLMYLLSPLVLGTCRIFAKWARFIPIVGLLIMCVALVASSYATTVGGLIATQGI MYAIGGSVAYSPCMLFINEWFVARRGLAYGITWAGNGLAGVVLPLLLEFLLDRFGHQT TLRIWTGVLFAISAPLVWFIKPRVPVGPGPVKARPWDLRFWSLKAFLIYQVCNVVEAT GYFLPTIYLPSFARSALNAGSLTSATTVLLVNVASVFGSVAMGFLIDRFHVTTCILVS TLGSFIGVLCVWGLSSTLATLYVFCVLYGLFAGSFVSSWPGIMMEITKVGGDKFDHID PTMVFSWLCAGRGVGNVVSGPLSEALLSSGSGWQANAGYGSGYGGLIVFTGVTALMGG ASWAWRRIGVL PFICI_07169 MYCQKCRNPLKLDNSLSELNPAAYALLVGASSQQEQKSQPVLPP QYPQHEERRGLYDKIARNAPTAMFRRQGNTQRPENSFVFLTDSQLGPPRLPNQREQPI PRQPRRGSSLSDADGHDGKPAQLHEAERINKLFEILSSRSDIDHPVCVECTEMLTDGL QKRLDGAIKERNLYSDFLKQQQAEMPAEEEVAASQKALEKAQKDEQDAFNSLIELEKQ REALDSEMAALEEESRELDAQEEQFWRGRNAFSAKLSEFQNERDSINSRFDHDSQQLV KLQRANVYNDTFSIHHDGHFATINGLRLGRLSTIPVDWPEINAAWGQSLLLVVTVADK LGYKFENYEPLPMGSTSKIIRYDHASPSSSRLGSHRSGPPPAPKKHVLELFSSGDLPL NLLWHRKFDTAMVAFLELVRQLGLYVQNQTKVTSDRDGYTTPPLALPYKIEGDKIGDD RIGHYSIKLGMNTDESWTKACKFVLTCCKFLIAHASNVSHAQNNGRYST PFICI_07170 MDFPAKRARTARRSLWDEDDDAEANDDELTLSASQFDARQHPMY QLEKKRAKSAFKLKSRFEDIFEKYSKDFDGVGDEIDLRTGEVVVNNGHLQSLEDEDLN KSEDEEEDEEEALGVKRKDKIEHSDENRPSRLVSHSRNGSFLPGGAWLHADAPPSMFD SHSPLFPGNGASDPAWQIPELQLPPRQNIHGSGSPFDQSYQSSFGAASFGMGFGAWGT LGQPYRRFTAAKDIGPRAEVDSDVLEHISGAVTDDSGEEDALCSEIANPSVSIPRSRT MKRFEAVTAPFAGLNEAVQDRESAEESQPTRRPVGRPRKKLEQGSHNTMPAETLSPKL LPTSLPSDAANNTVLPLRPISMPNTSAIAEVCAGEQALLIDDSSLLIDDSSDSQGRRS ARVRKPIEHYSQINWTRTGDQASQILTPPPDENTRQTLPQSSPLRPNDQPTERLAVEF SSSSQNRRPEVIEDDEEYTRNSSPANIGADDPKPSKATQNLEESGLSIIENETDGAQL SNSVFDVSKDMLEKSVERSGLLLDADGRGTNTAGTTLETLPFVEEDVEGDTEESVSKS AQLPQHDEVVLDSDNTPDNLQPHTYYPEPNEECGESHHGDESIELGEPIVCDSDPLRN INGDTEDIDAARQLHTEALEATATYSDLGGEDMSSPSRSLATEPVSPPFKFVDDPGTN PSPELLQQSLGHSPHHDSPAKRNRKPDSEAPFTQSPTRPGRRPAKRTSPSKLQPSTPK KTRTLASLIPNSSDDDDEDELSILSSSVPTTPTSNSLLRVSFVRGASQISSDTPAALQ SRQNSALFSTPRHSTHGSTRIESRQGSPVLPRMPATDTTAVRFGLKRKRPATTTSLAQ SSPLARTVLLRTPQKDTARKSSRSIQTSSPMDDRDRTTGGTARRCGEDGFACHRDFCF TCCQ PFICI_07171 MSRVYAEVNQNMPRSYWDYDSVNISWGVLENYEVVRKIGRGKYS EVFEGINIVNYQKCVIKVLKPVKKKKIKREIKILQNLSGGPNIVALLDVVRDSQSKTP SLIFEHVNNTDFRSLYPKFNDIDVRYYIFELLKALDYCHSKGIMHRDVKPHNVMIDHE NRKLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGQSNSDQLVKIAKVLGTDDLFDYLDKYEIELDPQYDDILGRFQKKPWHS FVSAENQRFVSNEAIDFLDKLLRYDHQERLTAKEAMAHAYFAPIRDETTLKNYLGGAV VSSES PFICI_07172 MASTINSQAVFQEPVVSQVSLAVCSWYTRDLANPVQSEIEAFHS QHFSNAAVELFASDFLQPQPSQDDQYYEEYYEDYYDEEDDGLGYYPDGVKRTLTDEQI AIFRHSEVEALRRAEEKKSAHGTRKPIEVGGDGHGESEVVRGTSLPEADTLEDGEEGE IESDTPKPSTTTTSKKKKKKNKSKKNRQSTASNSADPQRGDPGWFKRTVKPDLRKRTW DVVETGMDSLDYDELEGNAGTNAGQTAQRRRISYDD PFICI_07173 MSPTHTPQRSPFKVSNSQSQEDIKSYELVDNLQLDEDDQDDEDS MSVEKSSPQSQIKQPRPQTSAEDDQDMEVEEDIPSPTPAPLADSRRQQARTPEPSQRR SQKASGQLWPGSPGALAPFDWEDFESRYEKALTEADQKEAELVAEFEHLVQYFNVWAS ASSSHDSERAVKRLQTRTRYVHLSENRLKQKKEHLAEVVKAFKGALHLLSTERS PFICI_07174 MESRTSNMACDICRRGHHPKKLPFYCAMDARNALYEGRMAYAST MIEMDELEQRGSALVAACEPSNTTSASDRTRSYVEGCTAKQQMAIKRTTEIISAAEKL QQDIDQARKEIEQRKAAIARRKADLATASQGITARRNREFEETKTSIRKLKYHWDREH EATAQYRAALCAEVAKLYRLQRVKKGNPNRYEYKIGGLEVVDLHLLNSTHPEHISASL GHIAHLLWLASHYLAVRLPAEITLPHNDYPRPTIFSLASSYHHGQVGFPGTSPLPVDA LDRQYGHIPHPRPLFLDKPLSSFSKEESNNYSAFLEGVCLLAYNIVWLCRTQGIPVGD NSNSFEDFTYMGRNLYNLLNGSSLQRNQPGQPIIDANTPPPTHAGDAATGENDDITKS APKMGLWSHGAAHTSLNSTTGQEFTRSFKLPNPIKLADKLKARLAQEVPVPEWELIED DEFGPNDLDDGVLIGGTPQSRSRNPRFGLESYMSVNTVRSHGSADARSSLAAVNDAAT KNRERSSNGWTKIKPR PFICI_07175 MTNLQDGVPVLGDLPLLKDTYYSVELYAEHFVPERNRTILFPQE EDVYWSEATGTWEWVYGQQTEFLLITPPAGGDKAKTLGEL PFICI_07176 MRGSILGSLSGGIVLLSSLLLSDVTNATHTTPQIHTLPPLREQA EIVDGWTADRRALVPEMLRKYDIDAWLISQREYAEDTTFWALKDFVQFSARRRTTELF LARPLPNGESNETSYSWIDNTPRVWEELRDVLAAAAPRSIALNTASELAFSGGLHAGE RDAIAAGLGDEWAGKFVLEPMLGIELIARMVPDRLSWYRKMMETAWAIIEEGFSSKVI VSNETTTEDVVWWMRERIQSLNYTTWFQPGVFVLTEDDLPAEHESPLVSPDHVIRYGD IIHTDFGVTALGLNTDTQHLGYVLNPGETADDIPQGFLDGLKKVNRLQDITRENMKIG LTGNEILKNILAQAHAEGIEGKIYCHATGEFGHSAGTVIGKSYS PFICI_07177 MGRPEEASGALSKQGDDVPPPPYQESSDATSSQPLHQQVRSTQN ANFSAAQPQQQAYINRQFPGKFSMYSQSGGFGTTYYLGEHGSHPLYIVKTHTGWSGSP DVVLHSGPSESSPPLAGVSANTLSRSATVELPPLPGSGRTAAEEPLAFSGFSHGTFTF SIEVGSVGRRESFEWRHSHGNEVDSLGGSSSGWKLVRLATDAPGGQGKGSHFVGGGPQ SSDAKEVVAVWSNARMSMSKLFNFQFLGSGVTGALGERWAVMAVITALRIWDKERKAR SAAAA PFICI_07178 MLVEDVYKSLQGQKLAIPDLRPVYSNWKTAVNIHYPKLVTEINT YLDKWVTEEAVREAYKKADLAFLPSRLKTMGLFTAAVFVMDDSIDKEFSGAEDDYAAD YVAADELRKDCVAFMREQLRQSTKRPFEPTPAPKEISGFSEVAKALLKSDPRQVQLPM LAKDLEDFIEGSAPEQAFKLRGSLPTVEEYWSFRHFVGCVLCYSTLHQYVADTNLPME LAWSEEVMAMRTETSFQPCVCNDLFSLKKEICERSVTNLIPIMMAHSQKSLDEVMSEL IGQLYASAERFDAAAAALRTKGQRYEPAVQSQLERFIQTFETFQTGCFTFYVRSRRFK ILDFKKEDESFVIPL PFICI_07179 MKNFTFTRIVGLLAMLTGIVVATDLQDKRDYVTTETYSNGGVST YTLNGLTTTIIIGASVEGEQPVTPAAAVPTDSADDFPHDKWTYTMVQDPTTLTVTQQA RTFTDFLGHTKEVPAATVTETFPAITYTTVITPLVDPTPPSNEKRDYTTTSFWTETVT ETLSVPAVTFSLTTTTETLPPQTYTNTWTRIVTTHITVIEPTPTTLNTVVTQTVTN PFICI_07180 MRFNDLFGHVMEPQEKKEGKDTLEGSNWTATTKNYQRDTKGPSG SELSGRPSAHHPDFPSQWRNGFDPILPSLQSTPLRWLERKFPRKRQKVLLFLGLSLGW YLAFAILSDTSIAPVKVDNMYLPVKQLQCTDSFWMPNYGCGLNGENCPVPPEEPVAFH CPANCAAIKVQEKPHLVGPLSIVDQPLVIGGPIYRADSWICASAVHADLLDGSRGGCG LLTRLGQTNSYTASKFNGISSIGVRTYFPQSYRFKIESGFDCHVSDQRWLLPYVSIAF TAVVFLFSSSPLLSFWTAVGIGFVQVRSLSTTSVEVGGLSVIDEVSYMQNLVVAARYI PAILCLAMVYTRSVKRALDKLSAQLEKTAFWLGACWLGLFQGYTLFDSRLTIPCLAVL IILHQWYDLHRKNSYIYFRLYAMFSGLVVLSFFVDAVPTNMLILALLVLPGSAMQSRS NLVYQGLLVGLLVSGLVKNMDLQPWASHVGESVSVAPDNTAALIPPPPAVHEPQINIA DSFANITFTWVTPVPEHVDGISMLINDVERGRIYFGAAGADNRLVWIRGPQAVADYFR FAWVRHNKLPRYGDVGVWKVNGEWTGLGGDGK PFICI_07181 MSLSDSFAIPHVSDSDNDDIDSLPSTTTDSFSSEDEEYDAQREW ERSLEQLQLLLTMILVPFAGKYFGRKFAYWSWGRYMEWFHNVEINWTNKKTFKAAGAV EAASSL PFICI_07182 MASTEEPKETAQSHAPTDAGDAPTEEPSLDLSTMKKKKKKVKTE DADGADAPAETGEDGLALPMKKKKKKKAPKEGEDDLAAKIAALEVDGEDGEGEAEAEQ EEQSGDMDKGTGIWQHDGTKPISYDLLLGRFFSLLSQKNPDHASSGARSYKIPPPQCL REGNKKTIFANIPDICKRMKRTEEHVTQYLFAELGTNGSVDGSRRLVIKGRFQQKQIE NVLRKYILEYVTCKTCRSPDTELNKGENRLYFITCNSCGSRRSVQAIKSGFTAQIGKR KKMQG PFICI_07183 MAEHQLLPGQSGLPVPNSTKSHWHKEPSEKLFGHRTTPELPHSC DCIIVGSGITAAIAAHTLKERRPDLNIIMLEAREACWGATGRNGGHCQPAMYAAKPHI ASFELRTYSYLKSLVEEYSIPCDWHSTSGVHAFFSKPLFQLAQEVIQSLAERYPDLAA NVAVVTKGEQGAPSYLIEGERKEMTLEGLRIPTAEGAVVQWNAASLWPYKLVAFILER LLAAGNFNLQTNTPVTGLQKVEAPSNLASPTSPSSEKKGIDHPTWIVHTPRGHITARA VLLATNAYTSHLLPEFSDLIVPTRGQVAALKPPVEPAPGEPPLDIGHTFYILGEEGTE DVHREDYLVQRPPPNAELVLGGGRQLAKGYAVGVWDDSTMDEPVKWWLRGELTKALDL SLRDAPQSPVKHDHPSSAANKQDLEPTHEWTGIMGYSRDAHPWVGPVPASLGGGSGLW LSAGYTGHGMPNAALCGKAVAELMTGADEVDLPEEYELSEERVERARMRATVKEAEEK GALVFDLGAVKV PFICI_07184 MAGTTKTTTTAKEKDRRKSSTGKTSIVTLKVSPDRLRKLLDPTS VKEESPAKASPAPSSNTPAEPAVPAEAATNGDNASTSNPGTPAPAGTPSQTPMGPPAD GPKKKGVKRSAPGANGAEPRARGKPGPKKKPRLEDGTIDPSAKNAGGAYHKLGPKASL GAINAGLRALDRSGKPCRKWAKGGFRLKTFTGVMWEIPRWTAPPKPSPEESQEVPTET SADGSNKENKEESQMKSENSASAADGEQRSQPPSLPADSSPAPMPVAAAS PFICI_07185 MANPYNNPLDTSQDGIYGAFVPRNRSSFSATGALPPASPSSLSN IMPLLPLNPPQGSYSELYTTHHSYIRTGSGAADSDGNAMPSEGAGSGLRQQTSHFPSF SRAFEMFINPIGDSTWPTRHRNNGLFVPSYLANSAYIQKLEEVHTSKQAQREAQTQAI GGSGITAGQVAAPSITSKPTTHLGMTYDLIERAPMLEDDDAVAPLPTRWNKEDKQGQL EVMADGLEVKYTGQKSPGERDYELYSIRADHPVPSQAGIYYFEVQLLSRKRDETTVCV GFGPKSAALTRPVGWENDSFGYHGDDGNVYIASQSPKHYGPGYTTNDTVGCGINFLNK TIFFTRNGVNLGVAARDFKVKLYPFIGMKRNGEHVRTNFGQTPFVFDIDDMMKQEQNK IRKEISEANVAKLAGPKTGETDLLQQLVLQFLQHDGYVETARAFAEEIQSEKQALNLD ADVPVEGINIKDDEDANHRQSIRKSILDGDVDKAFKFTQTFYPDVLKENQQVYFKLRC RKFIEMVRRSSHLSNNHNRSFSSKKSNGHTLDDNLEMDLDEDGYSDEMDTQDTLEAST EDEAAAYLAQTIEYGRELQEEFKDNGSKEMSKTLQDVFALMAYANPLQEKELAHFFER KARVLVAEELNSAILLSLGRSSRSALETVYAQTSVLLDYLRENGGPGSFVTVQSVIDE IPKSPY PFICI_07186 MNAAPISSPIARHGSVSAASSTASQSSPSQQVFVPPQPQQHRQQ SGGTETFLRDFNLVAEAAKRAQMACLMRDMESCGLS PFICI_07187 MSQPTSEPKESPAAAAAGSADTTPAPRRASRTGWFDVPAPLARL FRRFPLVTYPANHLPVRSPTHRHLPTLYVFSSDYDAVRGLPSFNPSCLKWQTFLKLAG VEFRIISSTNHASPTGALPFLIPPSSSGDSGSQVPVPSNKLERYALDHGAQKVPDIDT LRLEAYQSLLDHRIRNAWLYTLYLSPANSQLLSKLYVTPVSATGLVQMTVRYQLQRAA EAEILQSVGAVKVDPEVLYRDAEQAFDALAAALGSGDWFFGNSGPGLFDAALFAFTHL LLDESLPWVDTRLGDSLRKFKNLVDHRDWILAKCWPELSAQ PFICI_07188 MELNQLFDVKDKVVLVTGGAKGIGLMISRGFVANGARVYISSRD AAACESAAKELSSSGGPGQAFALPADLLQLSECERVAAELARRESRLHVLVNNSGIAW GAPIEDFPDKQWSRVLTLNLQRVFTLTQLLLPLLEAAGSPSAASAAKDTPAAVINIGS IDALRVPVFENYSYSAAKAGLHHLSRVLSAKLGPRGITVNTLACGPFETKMTAFAMKN AKAEIEEGNPMGRIGKPGDVAGACIFLASRAGSYINGATINVDGGVSNLSKM PFICI_07189 MFYKPGETSHGLPHDPFKACVVPRPIGWISTVSANGEHNLAPYS QFNNLSFDPPLVMFSSNQTLQNARKDTVNNVEVTGVFCWQLATHDLREAVNITSEAVP AAVDEFERAGLTKAWSQSLRTPVPMVAASPVRFECEYVQTVRLPGNPPMGTVDVVIGR VVGVHIDEKVLTDGKIDVRKTRPIARLGYFEYGVIDDTFEMIIPGDRAGLYGLEGNAA ANRQEDSNQKQAGKQA PFICI_07190 MADEGPITLSAHFKDQPVENHAERWNALYEPGLNYTPWDRGGPS LALVDVLEARPDLFGAPDSTTPPRKALVPGCGRGHDVLLLASLGYDAFGLEVSPAALQ EARKNADTAAANRDAAGSEAGASPPGKHHWVSGNFFEDGWVQDAGVEKFDLIFDYTFF SALPPSVRPRWAKRMAELLAPGGRLVCLEFPRTKPSSEAGPPWATPSHAYVAYLGRPG EEPATDEHGGVLEDQVVEQPEKGGLRRILYQAPPRTHPAGVKEGQTIDRVSVWEHC PFICI_07191 MSMPSHSQDQGRALLPPAPVSPLSTNSTENRSSYGHATPSIGHH HDQYLGAAGPANFGPAVNSFAPHNGSGYDAAYSTIPRTDEHHVNGYNENRNSSGLSQS LSDASTPSEQENKPWTGKPWNPFCLRKIVLLLLSVTFLLCLLATALMYHFSQQYNGLS AEDEAKHYGWTYGPSAVLTVILYLWYSIDLSVRSLQPWHELQRGPKSAETTLLLDYIS PLLTTSLWRSLKNRHWPVFLTIVGRLLILLTIAFSTALLVLSPTEVKIQNIPFTVSGF DTESFKLDNVGPVAADMYYGVNFGNLAAPIGTTADTCVPPLSRQINKENNNIPSNAEL RATVTGLSFDVDCEVLDIQDSALVSPSRGPQNDASSPGDYMVVNVTTPSCNIKGAIVG IGPNSRTVTGFNPNQPIHDHQARVDDYVCNLEWNYHDIYDASLGAGPLVNVSSTAWTN TSSDHRILLTVSDLSLILLEGNINLESITALLCKPSYLMNDNAVVYNEATQTAKIESS EMIEAANPDLPGFPRTILGSAVLSTVDQVNTLDLNDERSNYSVTIPPFLGLMKMHSNV SSMSQFENTELLQESFLNVFNGVAAQLAHQMLLNASDEKNSIVGTATFTQDRLHVTEL STAIMCAALGILSIMSAGLIFLVPKDVVTSEPGSVLAIASFVNLSSGTVGILQGLGHV RDKTIRRALAPYIFTSAWPQERGKLIIETSTRPQTQSTKPPGALKFRLEWWRPLGSQN WFLFLSFAIPLILLGLLELFQRRSDKYNGFVTIRSQWGQMVANYVPAIISIIIGAIFS SIVAASAVFAPYASLSKGPTPPSRSVGLTYISRVGPRLGYTSLKHKHFGLSIITLAQL IASILTIILSGLYSTVEVPFQEDVLFQQLDKFNISDTLSVANPNAGLITKLITYYDLK FPTWTYDSIALPQVSVADTTSIDGLSNATIRSRLPGIRGDLNCTSMPSRLFDYKISDQ SSYEDRGREDFPLWSDYVPKNGMDLLLTISRPLPAKLLCDDAGENDNRTWTWQQHFYV RNDSTPSPFGKASRIYYHSDSSQIDDLMRRSIDEDTELPRLHGCPTIGITLGHITAES PHNVTDSYNTTTIEWNATNADIGTLLCWPKFKEFDVDITFDGPSLEISDQSPPVIDES TIKVVENPTTGLSTWELDYQGHDYNFWDSLKNTNDLETLYGLLQDDRTTFDPFILALI TGKYKVPLEQISGENNTEALLREAGGLWGRYMAQSISQNMRLNMTASASAPSSRLAMT RENHPLSILRRADSSAVTYPATLAAPSAGSLRRLKQNASSKVILQVMLGVMSLCVVSM RALSSFSKVLPHNPCSIAGTASFVVDGNLQNMTASGSEKHLGSKKGQAVYTRLYPSNA MDDETSETGQKYSMGWWDDGENERFGVRRV PFICI_07192 MAGKMVLYKLVVLGDGGVGKTALTIQLCLQHFVETYDPTIEDSY RKQVVIDGQACMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSISSRSSFSRIKRFHH QIQRVKESVSSSPTYPGSPIAAAAPSPNVPIMLVGNKSDRVTEREVSTQEGHALAREL GCEFVEASAKNCINVEKAFYDVVRILRRQRQAAARPMAPTRGSKENGTHQTSGKKPGR RGEKSSGRCVVL PFICI_07193 MAFNSSSDILVESSIGPSAPYRFDFTLAFESIILSIVPSALFLI VAPQRLFWLIKQPRKVTKSTHSVLKVALIGIYTALQLVDLLYLALGSSVITTAQIAAA VLVFVNGLLLLLVSHAEHTRSVSPSTLINVYLFFTLLFDCVNVRTLWLLQNVDILARL VTSVAAIKFLVLAAEAWEKRSILLSQYRELSPEVTSGILSRTVFWWLNPLMKAGFGRS LTEQDLFPIHESLEATRLLERSQSAWGSANRSKKNALFRSTLIACKWVFLSGVFPRLC LIALKYTQPFLISRTTDFAGDLSQPDEIGWGLTGAWLLVFLGLAVSNGFYYHMTYRFV TAVRGSLGGMIYCKTLDLSTTALDESIAVTLMSTDSENICLTFANLHEVWASPIECGV ALYLLYRNLGLAALTPMTVTIIATVSIMQLARFMGNAQRTWIRGTQTRVDVTASMLGS MKEVKMLGLTDLLTQTVQKLRVKELNLASKYRGLLSCRVFLGSSTVTIAPLATFATFV IISKGTGQPLDVASAYSSLSLIYLLSDPIATVIRTIPMLSAAKACFERIQNFLLSESR EDMRQSLSQSSSGNASSIFEEDMSSRNSVSIQLQTLRAPSEIHHGAPLMMLSNASFGW SASEAPTLKDLSCTIPAQQLTFIIGNVGSGKSTLMKALLGEIRPIKGSIHTVSRDVAL ASQEPWIQNLTIRENILGAAALDKAWYERVISSCSLERDMLELPNQDATKTGSAGVSL SGGQKQRLTLARAIYSRKKVVFLDDIFAGQDGRTEEHIYQSLFAKDGLFREMGTTVVC ITNTIHRLAYADHVIALDESGHIFHQGSFEELQANTNYLHGLQVKQNGSDQPEDTSGH QDMDMKTVPRRPTTDEQLPSTTGFIGELATYGYYLGSSPLWYSWLFAILIVFYSAGFK STELLLSFWTGQSSTGQEINNFYLGFYGMLSGISIIGITGGAYFYLTVMVPKNSEVLH ARLLNAVMEAPLSFFSNTDVGVTTNRFSQDMSVIDTELPYTLIDFALNITTVVMAAVL MCVFSGYFAATLPPIMLFCWGKFSKFYLKTSRQIRLLDLEAKSPLFTQFLDLLQGLSS VRAFGWQTRFKERYLELLDASQRPYYLLFCIQRWLTLVLDLMVAVLATILMVLVVHLR ADFSPRFVALAVLNVTAFSQTLINVIKEWTQLETSFGAVARVKKFCTDTESENLLGER APVPESWPLNGHISIKNLTAAYTSNGEPVLHNLTLEIPAGSTVGICGRSGSGKSSLVA CLLRMMEVSSDSRIEIDGVDITTLSRQAVRAAVAVVPQHPFFLKNTNVRDNLVPLGGR QTDERILAVLHRLEMRETVERMGGLDSMLDMDRLSQGQRQLLCLARAVLAGKRIIMLD EASSNVDERSERLIRQVIREQFVGCTVIAIAHRIGAVADFDRVAVMGGGKILEWDNPR TLLGRDSEFKRLWDLGSG PFICI_07194 MAPRRQSQRHPSHAHSVAGPVSFLNPTKAYIKPADARDGQDQVD PSAKSEGADAKPGNGGPIKPTDAAPAAAATGVYHLWRSRDNRKGRHAIIVPPRRGHTA NGPTAVSHPDVSNSARGVMRGLWKMVACYPVWDVSYDVATIFTLGSIIWVFNGFFVWL PEQSPDTEFGGEVDYGGGITAFIGATIFEIGSVLLMFEAVNESRTQCFGWALEEALED GLRLRPRPGNCSHHHAETKSLLTTHRGRDTPASAENRSWAWWPTREELRTHYFREIGF LACLAQFIGATIFWIAGLTGLPPIYDALSVPVANGIYWLPQVVGGSGFIISGWLFMLE TQPNWYRPAWGTLGWHIGFWNLVGAIGFTLCGALGFASENESAAYASVLATFIGSWAF LIGSVIQWYESLNKYPINISKKASAKYDSPDEEEESQDS PFICI_07195 MPGYQTEPEDMLSSDLAAATLPTPSDTPFRTPSRSSRRSRRSAT PEISSSPPPPLPSERNDRHSKRSSRDVVVDESISILDPRRFTPTLHANLVSEILSLRR DQEEKLKFIEGLEATLHSSREEQESLRSNLLKNAKESRSLKRQLALLEGGTSSALGEL SQERDEAVDAITDTKKRLEVAQKKARSQEEDSQRTHELWVKEKDEWEEERRKYERKLH VADTRLKAILDEVAQYQASQSQRQQQSDPDPEDGAKDNDAASIRSLGTSVRYSVVPDK MNGHSLADELNFDDDDEYETEPDGRQSVMSQQSRHHVRNFSRESILSKTAQKTHRRNL SSESYARPGSVARGRLYMNQTVLDRLEGGIREDDETPSAPAPKPEYTDTGVQFSPPPS PKMAPVKAMAESIKTGKPLEIDGAPKAEWEANQSRKRVHAAKPVPIEPPPVLRSMVST SSQTLSEPLSPPATPVMPLQVVSLPTVEVKPLEATRPPMITSGTQTDVYPSPTQQSRS ASPLLVPSISIHPPTSRASSPRPHRLPQYVKDFGCQVTILEPVPTTSTAMQTDEIRVD TRLDKLPAHLHPSAISSRPVSPNPPLAMPNEDLKTFTPLPGNLPPRNPRRLTSQPSFT SDLVASRPVSPEETRDAYPGNNDNGSLSSKKAPFKRPHRISSLFTGFEEASSDEDDLA GSDSEFRTALSSPQSKPSKHRQTESIVTTSTIDQAPAILKQARNTIKQMSAYSAYNGF SMGDERKSHGRKPSVNKPSSYRTGVMRKSAMIQNGIASHQGRSRSPSLQNMKDPPFPI PTRASSRQPGINVNNFSDGQRSPTRFGEPRHRRSARGSRSYRSNSIRKARSAAALPRG SRYRQDSRSPPPMTPSEAPESPGLPPLPRNDITTPRRESRAPRARSHRYQPSVTTAYT DDNIAVESVASSQPSQPASGVVEAIAQTMVGEWMFKYVRRRKSFGMAETKAEENSNDR HKRWVWLAPYERAILWSSKQPSSGSALMGKAGRKLTIQSVLDVKDDNAPPRGAGQIFN RSILILTPQRALKFTAVSAERHYLWLTALAFLAHSQQAVPDMISPAAPAPTGPTAAKL APAPTLAPNIGPPPSKAKKPGIRDSIMLKKSRSPTTPSDSATSPRQLGEAIDFKTDAL SAALNHQRDLSRDVAEPPLIPRFHDRSSERVHERANQAGLHGRKRSNTGGHIPPPLSF RGFSSPQPSHHRYTDSTAGNSVITTGSSDLYQPSTNGHSTWAMSSAASRSQRTSDASS RPGNNFFEAIGTIRMEAFISPLAFPRVDEYPEDEELRYRARRRSKEIRRQRSRSRQRD NYGPRSARGTDDYYGGSRAGDEEDYFRDDPFKGF PFICI_07196 MDSGSETLEAMQARHRKEQRDLQSRITNKKKNATKKTRKGVNDE CAELERVLREQQGEELAVLNGDKRQEVDDREELDQEEATQQAATNPETNGTTAVQDEG RDGLADELENISISNGAQTQGGGPGKKRNRQQERMARRRAEQEAAAVAAEEEASNMTD HRAVEKKYLLREFEANGLVEEEIRPDGHCLFSAVADQLSQKGMALGSSGASNADGQPP YKTVRRRATDYMEDHRDDFAPFLEEDFEQYVRKIRDTAEWGGQLELKALADAYGVEIK VVQDGRTETIEPGTGAAPGETPRIWLAYYRHGYGLGEHYNSLRKAS PFICI_07197 MSLTNASPVDAAQAAKSASHILATLPASARNDALTAIHSGLTAA RDEILAANARDLELARQAASNGELSQSLVARLDLNKKGKWEDMLKGILDVRDLEDPVG KVTLRTKLDDNLDLERVTCPIGVLLIIFEARPEVIANIASLAVKSGNAAILKGGKEST ESFIAISKVISQALEQTQVPNGAVQLVTTRDVIPQLLGLDRYIDLVIPRGGNELVRYI KSSTKIPVLGHADGLCSIYLEESADAKLAAGVLVDSKTTYPAACNSVETLLVQESALA TLLPVAAEALIAKGVTLHCDDKSKDALTSKLSGADKFIVDAQDADYDTEFLSLDLAVK TVSGIEDAIIHINTHGSKHTDAILTSSQELAERFMSAIDAAGVYWNAPTRLADGMRYG FGTEVGISTNKIHSRGPVGLEGLMIYKYKIRGAGQLTANYGEGEGQKRFKHERLPL PFICI_07198 MADPSTSPPLTRASVVAAHDLIKPYVHHTPTLTNATLTRLASTP RTEAELAGSEWEEKKKNKDGSTTTSGGAKPIMRLWFKCENLQRIGAFKVRGAFHAIER LKREPGWEAGGGVNRGVVTHSSGNHAQALALAARTNGIPAYIVMPSISPPPKIAATRG YGANVIFSGSTSVEREAVCAQVIQDTGARLVPPYDHPDIVLGQGTLGLELQEDAARMM AEAEKEASTRSPPPADGSGSAKTTTPDGLMQRGGGKKGLDIILAPCGGGGMLSGVALS CEGTGIRVFGCEPSHEGADDAKRGFESPDGRRVEVVKTLTVADGLRTPVGAVPWSVIR ERGLVEGFYSVSEVEIKRALRLVLERFKMVVEPSACVPLAVALYNEDFRARIQREYGD GGANVGVVFSGGNLDLEVLAKIVMEGKTETEKKE PFICI_07199 MASKSFSKALRSPMARQLAAPAVQRRTFVAAASGAVRATAAKAA ARPVVARQQVRGVKTIDFAGVKEDVYERADWPKEKLLEFFKNDTLALIGYGSQGHGQG LNLRDNGLNVIIGVRKNGQSWQDALQDGWVEGKNLFEVDEAISRGTIIMNLLSDAAQS ETWPAIKPQLTKEKTLYFSHGFSPVFKDVTKVDVPKDIDVILVAPKGSGRTVRSLFRE GRGINSSFAVYQDVSGKAEEKAVALGVAVGSGYLYKTTFEKEVYSDLYGERGCLMGGI HGMFLAQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMYEACSTTARRGAI DWTPKFKDALKPVFNDLYNSVHDGTETQRSLDYNGQKDYRQKFEAEMEEIRNLEIWRA GKAVRALRPENQK PFICI_07200 MLKIWSMKKEQQKADNADALSGKKRKVKAAELRVHKDLAELSLP KTMKLEYADPDDILNFKVIITPDVGYYVGGQFSFDVAVPQSYPHEPPKVRCEPTIYHP NIDLEGKVCLNILREEWKPVLNLNAVFIGLQFLFDHPNELDPLNKDAAKDLSDSKRNE SSRRGKTSFEQNVATSMSGGKFGGVEYTRVLID PFICI_07201 MAYNGAGGGHEYGGGHQLQDMPAGSTYHLPPHDQDEDETERHLL GQAPYQYDQDRLGTGTPPARPVSAYSLTESYAPGASTTPVPPQYSQGYSGDGSYGVSS ELGDGGFGYGRPASTVDGDEAWVRRQQPGGPSSGGGGLKRNQTRKVKLVQGSVLSIDY PVPSAIRNAVQAKYKDVEGGTGEFTNMRYTAATCDPNDFTLKNGYDLRPRMYNRHTEL LIAITYYNEDKMLLSRTLHGVMQNIRDIVNLKKSTFWNKGGPAWQKIVVCMVFDGIDK ADKEGLDVLATVGVYQDGVVKKDVNGKETVAHIFEYTTQLSVTPNQQLIRPMDDSPQT LPPVQMIFCLKQKNTKKINSHRWLFNAFGRILNPEVCILLDAGTKPGAKSLLALWEGF YNDKDLGGACGEIHAMLGKGGKKLLNPLVAVQNFEYKISNILDKPLESSFGYVSVLPG AFSAYRFRAIMGRPLEQYFHGDHTLSKILGKKGIEGMNIFKKNMFLAEDRILCFELVA KAGSKWHLTYIKAAKGETDVPEGAPEFISQRRRWLNGSFAASLYSLMHFGRMYKSGHN IVRMFFFHVQLIYNVAQVIFTWFSLASYYLTTTVIMDLVGTPTAPGPVSSERHGWPFG DTATPIINLVLKYLYVAFVIMQFILALGNRPKGSKWQYILSFAYFGFVQMYLLILSFY LVINAFITNPDINLGDDLAQLLTGKSQIAIILLALLATFGLYYVASFLYLDPWHMFHS FPAYLVLMSTYINILMVYAFNNWHDVSWGTKGSDKADALPSAQVVKTEKGEAAIEEVD KPQEDIDSQFEATVKRALAPFVEVEERDEKDLDDSYKSFRTSLVLAWLFMNALLIVVL TSDSFNTFGIGATTTARTASYFQFLLISTAVLAFVRFVGCLWFLGKTGLMCCFSRR PFICI_07202 MSPNVGVAAEGFPTLFARQNGCVQCDTTSLSCPSCADNESCAFS TQTCSECPKAYCAADDSSDSDSSSGSGSGSKATVSSGPNVGAIAGGVIGGLAVIGIIT YLVWRFCIKAKRDQWQNEPWAQDGPRSKEGSDMDYASRASQARQSTHSVHSMASTVLT RASNIIQIAYIPGVTNRATPTSPTLLVPPVPPIPMSRSEAGTPSPYEEQHFFVPGNLR DSSYSGISGYSDRTSYARSYAPRSSVASTIYGKNAVVSPMPAQKGTLLKPSLVSVKSK GASSGSSTPPVPQVDYDKYDQPPASPAFSIGATFFKNANTTTATAMRPQMVQLGSSGS NGVKTIDVKKASPSVSVNETDSDSTMEDADAHKDLNARGATPSTPITTAPREEQSPFS DPPQNNRTSKHKSSNSLSAIITEATQRAASRTSSASSKVRGSSPFSDDHALRD PFICI_07203 MPTEAQIAGGHKANLKNANSSEESKQHSRQVLNDEFNGGDVPKA SDGGNKNPGNVAGGLKATTKNPNVSEEAKQSAKQRLEQME PFICI_07204 MSAPSADESQPPAFNYVLGFLLVGIAWGFTTPFIRRAARDHDPP AHPTLDRDDVKSSWLKSKVYGAFFAVLDLLKNPRYAVPLLINLTGSVWFFLLIGQAEL SLTVPIVNTLAFLFTVLGDWWVEKKVIDRNTWMGMILSLGGIALCVHSKSG PFICI_07205 MRAAVLLASLATAVTAGPFRVQAVEGYDWSVTNWQAGCDDEGCH YDFNVSAPADDNNPARPAFLAYCTGGEEGGPYEECDLLDEADVARRVVAKLLPSTPSN TSSDVVAHIELSFKYTDLETSTTWWNFTGDGDVSYNEDTTTPLMNFTIKPDSITAAA PFICI_07206 MNVLKLQRKYPQFQQGDIFALSDAFRKLDVDDKGYIEEATAIKA TQQSEHQPYDVVRQALKEVELDSSRRVELEDYVGLIAKLRDSSPAQKRMNTGGGAGAA APSPGIVSQRTGGGHASKGSIGKIHVQGSNANITHTINEDERTEFTRHINAVLAGDAD IGDRLPFPTDTFEMFDECKDGLVLAKLINDSVPDTIDERVMNVPGRKIKKLNAFHMTE NNNIVIESAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYR LLEEDETLEQFLRLPPEQILLRWFNYHLKAANWPRRVNNFSTDVKDGENYAVLLAQIG HEYGCSRAPLQTRDLLQRAEEVLQDADKLSCRKFLTPKSLVAGNPKLNLAFVANLFNT HPCLDPITEEEKLEVEDFDAEGEREARVFTLWLNSLDVQPAVVSFFDDLRDGTILLQA YDKVIKNSVNWRHVNKRPAHGGEIMRFKAVENTNYAVELGKQNGFSLVGIQGADITDG VKLLTLGLVWQLMRKDITLTLSALAQRLGKREMSDAEMVRWANDQSKKGGRNSAVRSF KDNSIASGVFLLDVLNGMKSSYVDYDLVTPGNTEEDAYMNAKLAISIARKMGATIWLV PEDICQVRSRLVTTFIGSLMATSEKMQ PFICI_07207 METSDRSSEAVSLRPALDGVIESPNNNLGLRTPSESPSEEDYDE AEGKRVNDMLRRRSRKDGSNHVAEDTSGNTTSGAASDYPNDNPGSRGTPGRPQLRNGM QSKKSYVIASDDTELRDVLRRGLEREKEKTRPSRKEKLRSMVFTRQFSAFDRQNRNAA NSPFQGFYVLFWIAVAFLIIKMGAENWRKTGNVLGTNEIMKYMFRRDVLVLLISDGLM CLLTGFGLFLQKLVLKDWIDWNRTGWIIQNVWQSTFIGAVVGLTLIRDWPWTHTVFFV MHGLVMLMKQHSYAFYNGHLSTAYEKRKQLGERLKQLENISPVDLPAPQTNTASFALS TSHLSRRPSKHEYRERRLSITRSDTADDMENISQAIESGIPLNMDQIQLFERMIKWEL DALTDEIKGRATDPAHCYPNNLTVYNQYEFICLPTLVYELEYPRSETINWAYVAEKVS AVFGLIFVMIMVSQAYIYPVVIRAVNMKDEGWTLGERFQEFPWMLSDLVFPFMMEYLL VWYLIWEAVLNTLAELTHFADRSFYDAWWNSVSWDQYARDWNRPVHNFLLRHVYHSSI SSMRVNKHTATLITFFLSACVHELVMWCIFKKLRGYLLFLQMTQLPLVQLSRTKLLRN RETLGNMMFWIGLLTGPSLLTSLYLIL PFICI_07208 MTVGSNTSGGKVLLTGGSGFIASHILDCLLAEGFEVVATARSKQ KGHQIIGGVEAQYAKNVEYAVVEDIAQPGAFDNVLQSTPFDFIIHAASPYHLNVVDPV KDFLDPAIKGTTGLLQSIASHAPTVRRVVITSSSAAMLNPGHHAAVYDETFWAPASWE MAMDPAHTYRCSKVFAERAAWEFIAKEKPRFDLAVINSTYNFGPVQRRLPSLDAMNTS NHRIRDMVLGRMKDKIEPTAPVYTWVDVRDVGEAHVRAMTLPEAGGNRFYIVGGHFSN KRIADIIRKNFPQLNDKLPPVDVADDLPDDVYRFNNTKSRQVLRLEYTGLEKSVIDTV RSILEHMDHDI PFICI_07209 MLAPPYSTNASGSPSSPLHVAVIGGGITGVTLALGLEARGISYT LYERTAAFKEIGAGVGFSPNAEVALAALGRHLHAAYKRVAMANGEDYFQWVDGSATDE VIYRLYLGEQGFQGCRRSDFLDELVKMIPEEKVQLGKAAEAIEEVEDGGVRIRFRDGT QDMADIVIGCDGIHSSIRQLVLGKDSPATQPTYSHKFCFRALVPTKEALKTLSNDRTS TRFMYNGPNAHAITYPVTQDLLNVLLVISDPKPWKTEDGRHIARGGRKQEAVDAFADW HPAVKAVVDLMPEEMDKWAIFDMMEHPAPFYGKGRVCIAGDAAHAAGPHLGAGAGFGM EDALVLAELLQAVNEASDQRFRADMCRDMLKVYNDVRYARTQWLVKQSRVACDFFQWT DPYVGSSTERFSREITRIFHQIWEFDIEGSAKDAVSTFREGALSGVAKN PFICI_07210 MKEIEGDYWKAGSNWIALYVFLACLPIVVITIFVVSHLRYRRQL KRESEAFLRGTAWTYPHTPRPPLGMSPEPEKKKVTLLHRRGRNLLRIYTEDLEKEERL RMQAERGTEADFKTPTKTPINKSPLKMS PFICI_07211 MSGNNFFFQQRYYLLDKEMAKGQKLRNKPSPGDQNSISSNKSKT SGGAGAPHRDGSIEKTGFGENIVAKDPPATSIPALRQIQNTGETYRPPLGSITNKAQA APRNGQNVHTRTGEPQQPRYIQQSVGTSLESKLSHIVKVLDRESERMLTSGRIKNRQR VVFKRVFEEVSEAAEMMNQLQTPMTPAQDKLVNKVRTLMRQVGVLLREYGDVDLNKEN VPPVQEVAN PFICI_07212 MAAEVAPLPQLKLPQGPSPVTAEHRYWKTFKNQLRIPSPTQYPI THITFPAVSANSLSSVSNDLFAVTTGTRVQLYSIRTRKLVKTITRFSDIARSGDVRRD GKIMVAGDDTGKIQVFDIHSRAILKTWAGHKQPTWKTQFSAADLTTLMSASDDKTVRL WDLPSNNSTSTFVGHSDYVRSGAFMPGTMSNMLVSGSYDQTVKLWDPRVQGKAVMTFK HAHPVEDVLPLPSGTTVLAAAESQISVLDLVAAKPLHLITNHQKTVTSLSLASGGKRV VSGGLDGHVKVFETTGWNVVAGIKYPSPVLSVKVITSGANGDDRHLAVGMQSGVLSLR TRLTGPEAAREKEREKEMAALLAGKIDSHDKSKRKRKGRIAEANRLDLVGEGADVVIA GNSAARNKSVKEKPWQKDLRRGHFVQALDRVLDTSSPNYTPLTVLTLLVALRHRSALR EALEGRDEETVQPILNWIQKHIVDPRYVGICVDVSMHLLDLYSEYVGGSKELEDNFRL LHKRVRREIETAQMATQTGGMLGGLLIGMA PFICI_07213 MYALQGYSHFKHFNVSSPSEFVAHVEINRPEKLNAFYEAMWVEI GQIFDKLSYDPDVRAIVLTGAGERAFTAGLDVQAASESWINKPPPVDGARVANSSRRH ILEFQECISKIEKCEKPTIAVLHGISLGLAIDMSSCCDIRICSKDVKLAVKEVDIGLA ADIGTLSRLPKIVGSLSWVKELALSARIFGAEEALAFGFVSQVHENKAKTVEAAFNLA GLIATKSPVAVQGTKELVNHARDNTIADSLKYTAVWNSAMLQAKDVQDALLSGLKKTK PRFEKL PFICI_07214 MSFAGSGNNANLDNDGGDGSGSAFDVGTSPFAWILVPICILVVA AIIITVFRYRRRNKQRNSHGMVALQRDLEALGPNRVRRSTNTRWQWAGDGPRNGRRVG IGIGSREEGLNELGEAPPAYSPPQKNPNDDVELHDYVASPTVHEPSATPPSQVLPAYG EAQHGHNVPNPPGNTLDGASPSAPAPAVLPVR PFICI_07215 MKFFAGLLSLAVAATAQQPLYAQCGGLTWTGATTCVSGAVCQVQ NDYYSQCVPGTAATSATSTTAATSTTVRTSTTTRATTTSTSAATTTTAATSTRTSTGA ATATGFPTTDGTLFNLDGVTKYYPGTNSYWISFLTSNDDVDSTLDDLVDSGLKILRIW GFNDVNTIPASGTVYFQYLSSSGSTINTGADGLERLDYIVSAAEARGIKLVIPFVNQW DDYGGIQAYINAFGGSKTSWYTDAASQAQYQAYIKAVVSRFVDSPAILAWELGNEPRC NGCDTSVIYNWAKTTSEYIKSLDSNHLVTTGIEGFGLPGDGSYPYTYGEGTDFVALLN ITSLDFATFHLYPNSWGEDYDWGNDWISTHGAACVAAGKPCLFEEYGAPTNHCSIEAP WQATALSTDGIAADLFWQLGVTLSWGQTSDDGNTIFTNTSDWTCLVTDHVADIDAL PFICI_07216 MSWGQTDTHGGGDQWVGGDDTGAGYNAADFDGGNDGFGAGDHEG ADRPRGACYNCGEEGHNKADCPNPRVEREFTGECRTCGKEGHRAVDCPDRPPQMCNNC GQEGHMVAECQNARVIDRSNVRDVEGVVAWDKMVEAAKIRDMDDVKEGFQEYVKASPD LTYLDVEKGFRDQDAGIYIIAIEKPQLIGALTNMDLQGNLGKKYTITFRFDNKPLRER ERQFFPKDAAENLERLSDAGEPVDSRKMKCSNCSEYGHISKNCPEDKVEKEQAVVKCF HCGEEGHRVRDCPQPRVDKFACKNCQQPGHKASDCPEPPNPANVDCRKCGEKGHFSRD CPQGGGGGGACHNCGQEGHRARDCTEEKKIICRNCDAEGHTGRECPKPRDYSRVKCSN CGEMGHTKVRCKQPVPTEDADGDAGDSFGGASEAMNDFAAGDQNTGDFGANQMGHGYV EGPTAGDW PFICI_07217 MLLLSSIPLHLLFNSLIFQTDQRDSEYQLAIASEGFFTGVPFYV PGASLNPPGWMTTSSGFYGGGGYGLGVILPSNNSSVYHRNVSAMASEALIWDNLTAQE CYNDYFSCGGIKGHRNVIAVVDQKDGWIRDEVWNLMANQSEFWDPIVPSNETNSLWWA GPCAMMAEYVKEDGVVCRDTCTSSWGHDGYMDSPTSKKTNNSPWVLSFFNDSRVMWLV NNTKGPDGTYLHQASPNEYTNDITPGASDLTVNYCLAEPIDHFCYVSISNVLLLAVTI CVLVKTMMAIIVTVVLARRDQAPLVTMGDAVVSFIERPDRTTVGICTIGQRDIRGHSN QYQATQLAGPRAWAARVSRRYKVVPVSVWFTSYALFALGVGVISYFLNMAMTFLDHHL TGQVLESDTNPFLDSESWSFASAVLVANSPQLLLSFCYLAYNNLFTRLQMAKEWATYS QGYYPLRVTDPKGEQFSTYRLQLPYKYSIPLIACSILLHWLLSNTIYVFVSAGGYFDN DLFTYQRGYDSSLPDGTIVSVGYSTWSLIVMLAVSVTLVTIPIALSFKKLPSGSLIVG SNSMAISAACHVSSVSNVATRGALYSNESIKSNRRSWKWLPSRLEAPPPPYSPLNDAQ KARRDSLQYVQGDDQSLLTPHGRPESDHFEMRDLSRHTSRASLLSGRESPMTKEDVYE QIARSKVRWGVVTMPPEFYAEYEQEQSYGHLSFGVEEDEVTPPVDKVKYA PFICI_07218 MAPKLSLKLGDGRESPPPPPSSEKTQQRPIEGAAPEIMMVTPGK RGPDPEKTALGLPASDTAPAPSGIVGDPAALGDFGREDEEYISGYKLFAALFGIVSVF FIVLLDFSIISTALPYITSDFHRLQDVGWYGGAYQLSCAALQPLTGKLYTYLSAKRTF LVFVAIFEVGSLLCGVATSSTLFILGRTIAGLGTSGLENGALTLIAGAVPLQKRPLYT GIVFAIGQIGIVVGPLIGGVLTQYANWRWCFYINLPIGAVSILFLCFTHIPDETLKPP FSLALFRSIIPNLDLTGCALFAPATVMFLLALQWGSDEYGWTSPIVIGLFVGSGVTAI LFSFWEWRVGENALIPFHLVKKRIVWTSTIHNCFLFITNSVGANYIPIYFQAVKGVGP SLSGVYTLPSILAQLLSLVISGALVTKLGYYLPFGVLGAAVTAVACGLISTWTPDTIT AEWIGYQILFGLRGMALQTAVISMQNAVTPAQNAVVMAFLVFVQSLMAAISNIVGNAI FTQTLARQVSILAPSVSPEAALAAGGSAETVRALLPSGSPELGGLLLAYSKSVNAVFY MLLAVGGVCFAASWGMGWVDIRKKAPEEDEA PFICI_07219 MRVPYTPDPPTTTDPAELEIVDRIRARRAPRPLQPLDLALLHSP VVADGWNSFLGAVRTKTTLSDAVRELAISRVAVCNRAWYEWGHHAPLAVKGGVSPAAM ELVKAEDLATVTAAQRAEVGLSDQEWAVLRLTDEMTRKVSVADETFAEARKYFSDKEV VEIVATVSCYNCVSRFLVALDVGERNATGPNDPLH PFICI_07220 MAATNPDPPTPLFWTLILIITALCLTLVVAAQIAARYSSAYMAA PREIVTFIDTIDDSIHENESYDRDVARVQRLEDKLRLGRLLREIQKSGDDLREALNGL LVDTDEHTTELRTSARILWAGHRKELEERIRRLDLLRMRFLTVYMGIIATSLSHRDKQ AKESERMSIAARNIPPPPPLPRSLSENLKTIETMKKKPSLRRLRGPSIGHSEKVAPPQ RMGWMGVVAELQRSPILQRRHASIESAMRSPLLTPLGSPVPTFGNNDSAPPPFSLDEL TEKGG PFICI_07221 MAEPIPAPVPDPSPPEPSIHDFPLPKALTFPAATEPILITQGAE GRLYKTSHLLPSIPCALKHRPSKPYRHPVLDARLTRHRILAEARILQKCRREGVAVPA LYAVDEANGVLAMEWVPGAPVRVRVNEWLRRRREAREQRGEDGAAEVAADEGVHVEEL VGLMRRMGEAVGRLHKSGIVHGDLTTSNMMLRPWERGKGPSNGYATTAVEETDENASE VAGAEVLDGEIVIIDFGLASQGTADEDRAVDLYVLERAFGSTHPKAEGLFSAVLDGYR GTFKGAPVVLKKLEDVRMRGRKRSMLG PFICI_07222 MTRLILSTGNIISGGPSIIRKPGAYRSNLELTNSLRSSFLAAQQ DYGAAALSDANGAATSNGTAPVPSHVNGDKTATDVWTEKVGDILYVPRIDWSLAGLQE DREAYEITLKLFFLPTAPVSQRPEYIEAALQLVKKELGVKNVDLLIVSFPGIAFEGDC EWEADKLNATMGSEEDEATTWATLEKLHERGEVQRLGLAEFGTEKLSHFIQKTKVRPA VNQINTRNCCNVPPPLIELAKKENIELLTHTDCTDVLPGGTLRELLGQGLQGAGILAD ESSSEGLKGDLMPQWVVKYTAFVKDRGVIENKGYFAGAQLLGE PFICI_07223 MAINYLILLSRQGKVRLAKWFSTLSPKDKAKIVKDVSQLVLARR TRMCNFLEYKDTKIVYRRYASLFFIAGCDSDDNELITLEIIHRYVEQMDKYYGNVCEL DIIFSFTKAYYILDELLLAGELQESSKKAVLRCIGQQDSLEDMEFLPIRDFDTVTSAL NFTTPDLRVNGGCDLYTTKAAGSDRKLYKSIDQSLESKHAALLKFGASLSPPQREQMA ASLNLSRSSPFGPLSDIQSRRTFAYLIATLNASHPDYDFSHVLRPSDFRRERNLRRAI AAFDNTLNNVRPPSLLTPAMSSSFRNYGSSPAAAGATAISSPPWGPQMWAMIDKEMKL KDCVCFSYQPATDPFDGEEAAIWRMHYFFFNKGLKRVAYMYVRGVPSIMHSSPALKPN GMRRGSSSVLSPSLVSSNGANKRANYWLGDSIADRVIESDGDNDEDDTEMEIWDPDED IDFDPLTAAESKENSEDEYLQSESEDEDEDDEMRQSPVRGVSEDIAARMEIE PFICI_07224 MAGYQHDEANGAPPNLLGTVFPGRYVYQRMDAAESQADVDMAEL NSLDHNTTKGGMVVNSSVPMDPISPATVPNSRSGTSHGAEDVSPITPGQSEVAQSPGG TDSNNSSAPNAPAAAPAVRRPSRKSRFTELLRRSLSSSVSSNDGRTRSLSGFEPAREM TQQDTSYNPTRSQSSAHQLDPIRENEPPGNRDDVDNFSLKYSQAPLDCHSRRDVHVRR RSWLYIMLMIFSLYSTVLSGIWFVVAIIQPRYGKGISSSNGAGVVAPSTASLVTALLA KTTELTFVTVFVAFVGQVLTRRAFIKGSQGVTLAEMTMRNWIIQPGSLFTHWDSIPYT GGTFLGVLTLVATLGGIFYTTASDAMVTPKLIFGDWEHRAISGRVKASYANPYYVLDS CTTPINKTIDEWNAGPSCLAVYYSGQSYRNLLEFMATWQNLVNSSHTASTTDIYARPG GTALLYDNTTLYPAWVDGEYSDPAKQFATAKRVINNVSMSMPHPGIYQAATDPTNGIL QPSDLSGVGEYQIRASVASPSVNVLCANLNRTELEPLIYATWPDARTVGTEIPFQRTG VADWMDDVPVASEDEWLNSTVVDDIFLWGEKYGRRPPVFPMYPIDYNMITNTSVISSD SIYILAKSGLVEDYTVCQLRSWLSPKCSTQFNISGIAGAQMRAHCEDTHDENAYSHSQ PAMPETGNMDWKNMVDQWRLSMDLNGGSTNSNASNARILTNFILNSTRLEPLLPSMGE ALAVLASSTLVIGGQDASFRQYWDASYGAQELPNGVYEEFNATIKMQQYASAHTEDWQ AIFYPVLGLVFVVNVVCLLYLLFVYGMVTDYTEPRNMFALAVNSPPSRQLDGSCGGGP EARELVVPWRVGYAESANHYFFEEASDRPLKGKWKNSAAASRTSGLNLLAEQTNEGGR YRSSYQKLSASRMFL PFICI_07225 MKYATSILIAAFAATNVLAHGVITEVQGANGVTLPGLSVADGTP RDCPTPGCGSEADTSIIRNKELGTSKASALGRTNGGGPVDAAKMVAIFMDGAGGNSSS TKAARALHAENKARYAKLAVRASNGGSKTPKGTSETGVKAATGAASDGMPTTADDGTL TMTFHQVNQDGAGPLKAAVDATSGGTDPSAFKEAEVTQNVPGIGIGGLSGATTTDFPV AIQMPAGMTCQGSVGGAENVCIARLQNSALAGPFGGSVAFTQSSAAKKRAIEYNLAKK RFARGLESSQ PFICI_07226 MPILSRWTADIPGGSLQQWTFGSSFDPLPETPQYIDPERPDTHF LTRSQYRLWSKRLAIGLVEAGLRPGDRVLLFSGNKLFTPVVFMGVLMAGGVFTGANPS FVARELAYQLKDSGAAFLIAADTSMEIAVEAAAEAGLPKSKVYSFDATALDPTPGKAP LGAKHWTSLLAPIEKAEKWDWYEPSDPKTATCCLNYSSGTTGVPKGVEISHYSYIANG AGVMYIQKLKSDYEDWARRARQLCFLPMYHAFGQTYFITIFPRMGTPVYIMPSFDFVK MLEYVQRFRISALTCVPPIVVALAKHPLARKYDLSSIEGLGSGAAPLSMEVSAEVEKL WPSGDVLVHQGWGMTEVTCTCIGWDPNTSFRSIAVGEMMPNCKGKIMALDGSGEITAP NQSGEIWVSGPTLMKGYWNKPEATAGTIHVDADGTRWLKTGDIAFVEKYGPGGLWHVI DRLKELIKVKGNQVAPAELEGVLLDHKSVVDAAVVGVTINGEEVPRAYIVPNPEAKVS EKEIAAWMQNKVVRYKWLKGGVVYVPEIPKNPSGKILRKELRDRAAKEVGDRKPATSK LA PFICI_07227 MGSSFPSFQSFYQHEVSQDADCPQHQKCHTGDGFTGQEISLALD PVSKSWSPNKSYHKQAISLLKTGPGYWEITGRIVNFIRPGKRSNPQLSTQHQCLILLS DETGVLSVRMVYPKPFDFDFIFGQRITIYTTSITSSYDSEPGDIPHVNFCTTISPGRN SATHIIFHQDTTFSDHTCRTPPPLHFQPGLMCLRSFISSGFDVSDVQILVCVRSVGPQ KNIQRRKREATVDLVEVGIFDDTATAVLILWGDHAASAKSFIPNQTLLLISQPTCNSI TPSSTKGQPAAELSIGYNSMVFIDPKCPQSKWLSTRITEISRRDSIVTIIPESIWDAV DIGSSSATRGFLTIAEADEQVRQDPGRDFMGTMRLVIMEVNIIALCRKAMFCCAEW PFICI_07228 MEEDPNGEVMTTDSTGSQRPEDGTQLPGQSATTEMATSCDGATD TPKPIKEEFQSQMMPPSKRTAADASNMDTNGRQFKKARVEEIVDQVVGADVMETDPVG DDQQVDLQPPTEITNGIKRAESQQDMENTKGHYNTTMSQPDAGSDERQVNKPKSSNKG ISDSEARQVTIKQEVDDTVEAGTNDNLNQINEQNSVQNSNSDNCIPEALVEETKEHPE IEMMDVDAANDHRQADVRQLESTSSEKPAEKTGEASNITERRENIHNKDRTAKVSDIV IKQECDANIAEEALNNAPNQTQDQHGAPVHYSDNLTKEARVEEVFPEPPYSNRSNSKN NKVRADAGMQQLPHKRDNRRVLNKSNAANPPQSCGAGDEKNITEIDPFKIKGATKEKQ FVAFREAADPSCPKNQVSRDIADINAGLKSFGKREFVGYQDKWHHRTLNFGLYNDQMI GVARMKELEKSGMGGLLADQMGFGKTAQIIALIVTTPAPMQQLGASSGTKGISYVNSN RQDDSNDGNNDDADEDEIDHDDDEDICPLSHCTLIIGPPRSSKQLLHECKRFIKPGKK VSITPYARKHENDGFDLNIYDRSDFM PFICI_07229 MVLLSEHELTTDDDWQKELTRAGVQLPVLYALKFWRVVLDESHQ IRNMRTLRSKACHALQRVKSWCVSGTPYINSIWDIYSQLNFVGDEHAKTRVKFKARYC NTEEGDLISLNEKIGPLMIRRTSRDSRFGKPIIPEIEIERIVIKIPLSSGEKAFTKVI EEFFKDWAEEAKKAKNPVPGLALLRLLRLRQNTSSPFMLESFMVRTIPKARLKILKSR LAELEVEMRDVLPNLSREMDETQALSTDKDRPLVEPDSDPEVGEADMGELFDMAIAYK TNICGTCSRQCVERQVLECGHVFCKPCIFPNAPREAELTLWAQCPGCKNLCPVVQPYD QNNEGTDQNQSGIDFQSLPRGIEEEKEEEEDDDSGGYWTSVVEEHETGEKKTSKSKKS KKGQDKPPERRPGYDYRGSHPSLRKFETHWLQIGDKTHNIPLIRRGKIGPCADLCDRI LKKQPGDKIIAFTQFNQEAAMIGRLLQNLDIPFLYFNGKMTPRQTDEALKEMAENSKM KVMIVGLKCGGESLNCQFANHAILIGPYWNNAGEEQAIGRIARLGQKKKVFVYRLDAS GTADDEVNLIQERKRDEAAELMGDVPAQYHAPTKD PFICI_07230 MAEDRIPATLMDVCMRIPHFFERLIYVECDASSRTAFALTCRSI YDSLIALPDAAVVGGPNVAPLLTRRGNVNRMNPEIEDLLTILERDVLFKDLTYCHLCQ KLHSPMKPVNSMEDPRIPYSRQPRCARWESLPTVNGHAGTFPPSFNLLLLYTVYAAKQ ITQNWNPIAVERSLDVTMRPDEFPIPLQGSVSGPFWTRTRFTASCPQEGIFIRAVKTL QLPGSFPSHLETPCQHTDIHFSRITGGFEIKQVQRVPHASTWLTVWPHAVTVEQIKGV VSNQGQAQVHRSERGFWSTVHHCRACNTEWIHQARQAMTNARVPGMLLLRIYRCLGTK DDIYNAKSVYQNSTRAEIMIRTGSTASIPATTCARVFGDLMGGSS PFICI_07231 MHPTQILRGGGETPLGKHGHFLGGWGNFGGLKQKGIVTYGLSSN RQNPVAGAAHDAIFNTWRRFSKQVLYIAPPFVAFYYAMEWAIHRNHYLNSKAGRAEFG DEE PFICI_07232 MEARILNTVSRYAFPAFAGIALFQSSIYDVRGGSRAVIFDRLSG VKEQVVSEGTHFLVPWLQRAITFDVRTKPRNIATTTGSKDLQMVSLTLRVLHRPEVQA LPKIYQNLGTDYDERVLPSIGNEVLKSIVAQFDAAELITQREAVSNRIRTDLMKRASE FNIALEDVSITHMTFGKEFTKAVEQKQIAQQDAERARFIVEKAEQERQANVIRAEGEA ESADTISRAIAKNGDGLIQIRKIEASREIAQTLAGNPNVAYLPGGKQGGQFLLSVGKA PFICI_07233 MASKAVAKAAGGVMSVSQKQTLQSTGIWDRIRRVLAVDPNRSSG VPLNPYYRNPNPGSNDPLAYDDPVTIPAGDIADNPYWKRDARRNYPKLSFVNQADAVA LLGVGSAASPKQELIGEAGEQALVAAKSEGVEGGLAKFFEAKGPATAQELLVNGLPPL PSGQALKKDGSGLWEAKQYELVEEQTYPDDAYPCRVFK PFICI_07234 MSVDPFEEILNLEEQYYEQGYTQGYEDGDRGGKIEGRSVGLKTG YEKFLEAGRLQGKAIIWANRIPSLRNPPKKNDGVQPQVSSEGPNVEASRLPALSSNAR LSKNVSSLHALVEPGTLSTENNDDAVNDFDDRVKRAQGKLKIVERMLGEDAEKGSSKE SGAASRNEI PFICI_07235 MANPNVILPQKGKKNILVTSALPYVNNVPHLGNIDGSTLSADVF ARYSKLKDRPTLYICGTDEYGTATETKALETKQTPQELCDEFHVKHKAIYDWFEIGFD YFGRTTTEKQTEIVQDIFLKLYKNGYLEERTTTQPYCEHPDHKAFLADRFVEGTCPKC QYDDARGDQCDKCGNLLDPLELINPKCKVDGTTPIPKDTTHIFLKLDKLAPKISEWFE KSSKEGQWSSNGISITKSWLTRGLEGRSITRDLKWGVPVPLKGFENKVIYVWFDACIG YPSITANYTSEWEQWWRNPDDVKLYQFMGKDNTPFHTVIFPASQMGTGDKWTQLNTLS TTEYLNYETGKFSKSRGVGVFGDTAQEIGIPPSVWRYYLLSTRPETGDTQFLWADFVA ANNNELLANFGNFCNRVIKFVNAKLDGVIPEFSASYKDETFDFPAWIEEVNTALKEYN DLLEGVHLRAGAKKLMEISSKGNNLLQYRLDNAALAEHPERTKTVIGLALNLCNLLAS VASPYIPSTSESLVKQLNTKLAFIPDTFEAEALKPGHKIGKAAYLFSRIDEKKIVEWK EKYGGTQASRAAEEEAKKKKQEDKERKKARKAEKKAAEAAAAASSEPKIDGTVKNLPI REKIIDKEGPLTTGASTVGESTAPKTE PFICI_07236 MARHWLSRAASLAGLGVMLLLSEVVADAQKPGYDFVDPLIGTRN GGHVFAGASLPFGMAKAVADSTEDNQGGFASNDSPISGFSHMHDSGTGGALSLGNFPI FPYPGCRGDDINGCVFPKANRSVVPVINSVSARPGYFTVDLLSGVRGEITATNHTALY KFTFFGNATGNATVDGPLSPLFIIDLTDLPNTRQSGSAAVNPETGRMTGTGRFEPSFG LGQYDAYYCADFRGATIRDTGVFINTRAGNDPKNITVAKDNNNPPLPAGTWLWFEASG NEPVEIIARVGVSFISIQQACSNSETEVPDFDFDATLQAAEQVWRDKLSIISVDATDV EDSLQTIFWSGVYRAMLSPQDYTGENPLWESDEPYYDSYYCIWDSFRSIHPFITLIDT HSQTRMIRSLIDIYRHEGYLPDCRMSLCKGFTQGGSNADVVLVDAFLKNITEGVDWDI GYEALVKDAEIEPQVWDLEGRGGLRSWKSLGYIPADDFDPDGNGLFTRSISRTVEYAY NDFCIAQIAQSLGKQDDYEKYLGRSHNWINLYNPSQTSSINGTETGFAGFLQPKYLNQ TFGVQDPIFCSPLLNFESCYLNPGGHETYEGSSWLYTFFAPHDHATLIATLGGPDEFV RRLDYLHESGLLYIGDEQAFLPIFQYHYAGRPGKSAARIHSYIPSQFNTSTAGIPGND DSGAMGSFAALSMLGIFPNPGQDVYFITPPFFRKISITNQHTGKVATIRGTLELVLGP KENTAWGHGANGVPPSYS PFICI_07237 MYKFTEPSSPATPQRNQRGAPSALFSFTGEHPSTTPAGPPPPSS APSFTPAGEPSPSFLQSSIGTAASSTNKPKPPNFGRPNLSSSMKPRSRGPLASSIRGK GALGQPSGLSKHSYSILDDLSDESDEEDDALPAPRSGTYGMNFDDSEEEEEDDEELDE DAEHDEDDEEVDAEYDDEQDSENEPMDEEDAEGEEDDEYEDEEEEDADYDIEEELLQE IAAEASGDPFGVDNMDIALPAATERMQREADDIFRASAMRSISGPRREFNFASVAKDL YSQLGYARITEPAEVIIPTEDMISQLFDEGVGAEDDEERLDNTLAMATEQLVDNVWEP YHVLLPKDDQEHEAKVGPGPNQPDFEKASWIASMVFRIHHTAPLRNQFGASQVIPLPL VLFKWQDEYHDPSRNQILSIRDHLPCPAAHSLFWQGVYMSLIRGNVQAAATLLRRADW ESVKKGTRAERAYTGQVLTNINRVVEDVCSIMDICPGMRDENWDIRSSDWTLFRIKAK AAKENLINFAEGKDRPHRPANRFVDADLASPQPTLTGLARKAESRLPWDIYEALQSLY SILVGEAEAITAAAQDWCEATVGLFGWWDDGHSNRKLGLSLSQSLGAPPVGDDDWLDR LALSFRAAMDSDFHFNTIDPVEVCIACVFESNVEGIIGFLRAWSLPVASAVAEIASLG RWLPVPEADLIDIETFSQDDLEVFGFNQITDSKDKDGIKDTTLIRYARALNEIKQLTG SIPKKNGTATNVTREGWELAVQVVGRMDDAARSEELVNELLQSSLDKLEPDSQETVNK MWTLLNQLGMINFAEDTAERYGDILKEDTYRFGDMLWYYALAHRPGKIRDVLNGLMSI SLAESTTYPPESELDERLRSILKERTTVLEQFALQDLEAAELLGKMLSGYATLRKFYE LRDGNGKGNSHSLARRQQAATALSFVIASADDNIRGGMYDDTRDAVVSEDFILALLGE ASVFVNQKPTVLNQDQLDTLLKAVEDIQTVGSRVYEAADAFFQMVMSSGHGKGSTPAD LMKKSTTSLGGSFVMTGSSMVASQLHKSIRESGVLKGPIKRSWDWRTSVVAGSTSADI LKKIRLGLTKDIANIWLEQADSIMM PFICI_07238 MADTVGKTITCKAAVAWEAGQPLSIEEIEVAPPKAHEVRIQIYY TGVCHTDAYTLSGKDPEGAFPIVLGHEGAGLVESVGEGVTNVKPGDHVVALYTPECKE CKFCKSGKTNLCGKIRATQGKGVMPDGTSRFKCKGKDLLHFMGTSTFSQYTVVADISV VAVQQDAPMDRTCLLGCGITTGYGAARITANVEEGSTVAVFGAGCVGLSVVQGAVVQK AGRIIVVDVNPSKEEWSKKFGATDFINPMELKGQSIQEKLVEFTDGGCDYTFDCTGNV GVMRAALEACHKGWGQSIIIGVAAAGQEISTRPFQLVTGRVWKGCAFGGVKGRSQLPG LVTDYLEGRLKVDEFITHRKELPDINPAFEVMKAGDCIRCVVDMRKI PFICI_07239 MAPKKIRCKHNDCKEAVQRMFGDCGFCQRQFCAKHRLPEDHTCS GLDDCRKEAHAQNAAQLNQERTQTIKVA PFICI_07240 MPAAPVSPPRRITRGSLARFAYSDGTSSKENVTPSITDIEDAVV SNATVASTTRKRKRVIKSSPPTSVKKEEVLKEEETTITDSKPAATPRKARKPARKIFD PATKTETISAPSDWEEMYDLVKAMRLDGGPAANAAVDTMGCERLAAPTASPRDQRLHT LVALMLSSQTKDTTNAVAMRRLQTELPPHEPGAPPGLNLENLLAVAPEKLNELIWIVG FHNNKTKYIKQTAEILRDRWDGDIPDTIEGLTALPGVGPKMGYLCLSAAWGKTEGIGV DVHVHRITNMWGWHTTKNPEETRLALQSWLPRDRWREINWLLVGFGQTVCLPVGRRCG DCELGLRGLCKAAERGKVLKGRKERETKIEVGEDGDVVKAEIVEKEEVLVADVVKNEA VETGAVLVKEEGSDELNLPTPAKRTRKRI PFICI_07241 MEYTGGGTTAEHLCVLVHGLWGNPNHMRSVAKALREEHSADKLY LLVAKRNTGSFTYDGIERGGERVCLEIEEELETIKKKGGKITKLSLVGYSLGGLVARY AVGLLGAKGILDEIEPVNFTTFASPHLGVRTPLRGWHNNVWNVLGARTLSMSGRQLFT IDNFRDTGKPLLSIMADPRSIFMAGLAKFKRRTLYANVVNDRSAVYYTTGIAKTDPYT DVSKIKANYVPGYDDVILDPSHPVSPLTPKNEPVSLVSVKETAVSYLKNTPVIVFLAV FIPVGIIGYLINSVIQNFRSSKRIKLYESGQEGIQPENYRVPVMIKEIRGAVEDVYEN LNSSQHQQYLAPSDSEGDDSDLDDGERRTLKLERRQSHPQWPTLALAPCQFDMVRALD DLGWRKYPVWIHKVRHSHAAIIVRSERPAFSEGYIVFKHWLKEEFLI PFICI_07242 MAASLGMLKNLPCPAGSNCTAFQCLFKHPNDPAVATDEAVKPAQ SFGGNDNNSSSSVKVDSSPRKRVKLSTSSPDAKSATPEVLGSTAAKSRVPDNTSVVTA ATSLSTRPISPPPSRRTPVQRTDALVATAGAKNDSALPSQTTANSSAASQKTSNAAAT RPPTKTVPAKPETLNPRHLAKAPASHNIRLQLVKLLHAQYERLNNELKKAATSDESPL IMSQQQLIIKALDEEERAAITKPSIHGNVVKNTIMRYKKMSLEQWKKERAETLEKASG DISRPKETPKTIDTGLTPIQEVNLLSRLCSPLTGLEQFGYVSTVPKDEDVEKARRAVE ASGNIELCDRCTRRFTVFPGRREEDGALASGGPCVHHPGKTYFIEGPSGDRSSSPKKW RCCDQTVGDTDGCETGKHHVFKTTDPNRLGSVLQFAETPPNPNAPKDRAVCFDCEMGY TVYGMELIRVTAVSWPSGDELLDVLVYPVGEYIDLNTRYSGVRPEDMAEAQRWKPGDD ATPTIIPSSDDSKPPQRKLKIVPSPKDARDLLFSLISPETPLVGHGLENDLNALRVVH PIIVDSILLYPHKRGLPIRNGLKWLTETMLGRKIQVTSNNDNGIQGHDSAEDARAAGE LVRLKVRNEWRNLRAAGWTIADNGVLTSPEEGWTYVGGKKAKRA PFICI_07243 MAPSPLPKYVYKIIPSAPPTPIPDQYPLSDLDKADGFVHLSTAS LIPETLSLFFQSASSVWIFKLRFTFTDKVTYENGDGYPHLHGNFGAADIEDTKEFTRS EGQDWKAATKNYAEWLV PFICI_07244 MEQSGENIELSEASPRSSSSEAWHDARDAQESPIETRALNDHLP NLQKLWCHMMKCNKPAMSAVSILTICGFPLLILGTWAAIAAIRVALWTQWKDSIEWCE KHNWNYTGCDSLEGNPLTLPSSPPTTKRFVTALRNEKESCNFLGGIGIAAMSVIGSTL YLLVVIVLVLVRVPCQGRNDSSNVRIQNSSGVQHDKTIDESAAMTRIKSLEDELASWR AEAVKAKMEHDDLSIQLEIAAISLFGGKEKMYEEVADRFRDRLRELEAELQYEKARND VRDLVEQTSVFVGSRAGNDLDSHRDGISRDLSAHNEGIRKRLVVMKSMNNVQ PFICI_07245 MPRDPLIGLVGKPSAGKSTTLNSLTDATSKVGGFTTIDPQRAIG YLQIDCACKRYNLQDKCKPNYGGCVDGRRSVPIELLDVAGLVPGAHEGKGLGNKFLDD LRHADALIHVVDASGKTNAEGENTRGYDPSQDIAWLRGEIVAWIKGNLYQRWGSIKRR HVAIKASPVETLQGQFSGYGSTSSLVARTLDKLALKEPLEDWDEATVDKVVSAFTDEK FPTVIALNKIDDADAYTNLMKISKMYKDSPIIPCSARAELFLRKMAKQGYVQYVEGGD SVWTRQDLIDDGDPEGGGLKELDEKNRDIIDEYKDLILYRYNSTGVVQILSKAAEILG LVPVFPVRSTSTFGSGSDTRSVFRDCVLVKKNLTVGEVARKIMGDVTIAYIEGSNGTR VSEEDSVAVGKNDILSFKVGRA PFICI_07246 MVAFSKIAVAALAGFAAAHPGEKRDEQKMKREIVARDHHARNGA RSLAKCGDADYAKALKTRSIQRRVEKVQSLRKERGIKSAARKDKRTLEDLEAYEAINH NMTGTSDNGIFTPLEEVFSADTSCILAPYVTDGPYYVAGELIRSNVREADFTEGVDLY LEVQYIDINTCQPLPKVAVDIWNCNATGTYSGVESGQGGLNTTFLRGVQLSDHDGVVQ FETIFPGHYDGRAIHTHLLSHTGASFESNGTIGVFDAAVAHIGQLFWPDDLREQVEST YPYTDNTQALTTNDEDMWSILQADENFDPIPEYVYLGDSIEDGLFAWIQIGINGSADY TDDDYYGIAAYLAEDGGHSTGYTVGGGSGGDAGGNGTAPTGTGAMPTGAAPTSA PFICI_07247 MRRGDHLAAIKAFPQWCAYNDAQLLDIVLATDSERGNHFRPTRD LGTDEGNEEPPLLLTVPKDMVLSAEAIQQYAKVDKNFRDIYDAAGHQSHRMDTLLFLL LQYIYSSPDFQGHKGGASSWTWYFDVLPSRIPVPTMWSDEQLIYLRGTSLEDAVPAKL QALGREFDLVRTETEKIPFWREFVHDKEIITAGDWIYLDALYRSRTLELPRSGESMVP ILDMVNHSADANAYFDETEDGEVRLHIRRGHSIRASLPEYSLTGGGDEITIDYGQGKS AAEMLFSYGFIEPGTSAKSLMLQLEAMDDDPLAKAKLLLYHNHEGAPRLKIEDSDDGV PTWSAPFVYLMCLNEDDGIEFRVLQTVDGDRQLKLFWQDEDVTAKVADFESLIQGHEL EPIFRLRATMVILSLLGAQMENLTAPIDYAMEQSDPGSFSPTASILQLRGAELDLLSR TLAVLEEQRDGLVENDRVKAYLGSMEASPNEEVPGQPTNNEDDFS PFICI_07248 MPGILPMKVIKVGTSSQSRIAQACDRCRSKKIRCDGIRPTCSQC ANVGFECRTSDKLSRRAFPRGYTESLEERVRALESEVRELKDLLDEKDEKIDMLSKMH SHHHIRRISHSPATSPAASVARESAREPSPAKEDTFRVQASPLLLGVENSDSYFMGPS SGRSFIEAFKRKIQENGKACSDFNPEAFLHIQGCYPLVTQTPSSSIKIPPRLFSDRCV NIYFQEWAPLFPVLHKPTFLHVYEEFVADADKLKSHHKIAQLYLVFSIAALSSDLPDT EQIAACEVQWQKALDAILMENTMNTLQCLILALMYCTIRADYKRLIHYKGVAIALSHR LGLHQSQKRFSFGALTIETRKKVFWTLYTLDCFSAAMLGLPKVLKEEDIHAEYPSDCD DEYVTEKGFQPTLPGEYTRLSSALALFKVSRILAKVLEKNYPAATSYDLSLQQISMLD SELSEWSENLPTHLKLTFAQDKPSTDVTGSRSPVLALALYYIRTLIHRPAVGSSLGPK AAPSLIALGESSKHMIQIVQLLEERSMSFSFCLNKTDVLMLCSMTLLYQTLDLKHESK LVKDAERLVNGVLKGLIKSKAPGSANLQRVASLLITVDAPMSPPSARGSPESVASTAQ SLRASLQQVNLSRNKPLYSLGRNSIASVSETDLLSQQEKLRRMTAPQSTMVRPELYRS QSRASFDDASTPRPHEHRQHRFSLSQIQQSMMRMSSAHKKRTNLDYLSLSNTPATTVP PSPIQSRTAVPQPQLQAKTQQAQSPVYPSSSIAPPKGTSSNGMSNSEWESLLGALDGG QANLYDAIYGGPALSLETPPPVHTNFPDWSPDSWNLSGFNLGDVNSSAPPPQSVLSFS DESLSSGDELPASDVYFNMNDDYRGSLLHPNRPSTDGLILIDGLPLDLGL PFICI_07249 MTVPNESTRLLNSNYKSMGSTTPAAPFASALTISSQIAAEQAAD GTTTNGDGSAAATSEASSIRLPQAIAHRGYKAAFPENSLAAFRSAVEIGAHAIETDLH LSRDGVVVLSHDASLKRCFGIDKKVADCDWSYLSTLLTLREPKQSLPRLIDLLEYLAK PDAEHMWLLLDVKTHDDANQILAGIAKAIASVPSKRPWTDRIMVGCWDANYVRLSLKH LSGFPLAYIGADLGYASALLHAVPHMNFNLLQTVVAGPCGRRFLLKARRHGRAVFVWT VNTVGWMEWDIRHELDGVVTDDPKLYLEVCDRWKDQPNGQSSGPVERKKVEQRAKRYA LWTPRMIFEMSLLTLLVVIFLIFLRLRYGMPKKRVEKSLSG PFICI_07250 MSISIEKILAATPATSRGQPTQLSTDPKGERLAYASGKSIFLRS IDNPSECKQYTGHTTATTVAKFSPSGFWVASGDASGTVRVWDAVEAVNTKGEYHIISG RINDIAWDGDSQRIIAVGDGRERFGHCITADSGNSVGEVSGHSKVINAVAIRGMRPLR AATVSDDMALCFLHGAPFKFNSKHSGIHKGFVFATQFSPDGNLLVTVGADKKIQLYDG KTGEPTRTFGEGEHTGSIFAVSWSQDSKKIVTASADQTVKVWDVEAGQVTQSWKLGEG ASVADQQVGVVWPHGRSDGLIISLNLDGDLTYLHEGKPEPSKIVQGHNKSITAMTADS SGQGSKLLTGSFEGRVLSWEVSSGVGSVVDGQTHTNQVSQISSSAGRGYSVGWDDTLR IIDESATTFAGESLKLSGQPKGVASAEGRTYVATLSGVEVYVKDTLASKLDISDAQPT SIAAYGSSVAVGLSNNAVRVYQVDSSNKLSQSAEAKNSTAQISAVSFSRDGSHLAAGN TSGKIFAYKTADLSIATDRWSAHTARVTSISWNEAGTHAVSGALDTNVFVWSLSKPGS RVKALNAHKDGVNAVAWLTGDKVASTGGDAAVKIWTTSGLQ PFICI_07251 MDFIPHPTDGLEPMDVPFVANLQYVYDADFWSFPQAYGAVPDGD WRELGAHDLAALAQSWLYFGVMAEFLGKPVDFRHFEYTGEMQVEEKVLVTKLVTAVPF KTLLDEWLRFKVAPVLNPAFMDENATIDSGLTEDVLWSDFQRCYEFLGKVLNTCDELQ RLPQALEVDTIPRIVLSITVLCTTLRGILTEILTPSPGIMILLAGGKRKQLDWKHDGN EKENENEKVPPVSSVELLLRQQMRQQGWCPFKIKHILSSHDYATVYYFSRLFKKSSQL NHGSCTETECVANNVDMSNYVTRHVHPGCSCSHLSFPDDEMRQIIRAGGIPVVRAKEV AVGEPPVLEVTRMNTATRYVAFSHVWSDGLGNPKANSLPECQIRRLQEYVDASKPPEE SSWYSYPTFNFDFRRTSSLNKPANYFWLDTLCIPVGKEFDDLKFRAINQMAAIYSSAY EVLVLDSSFAHTSIQDSKICEQIARLEVSPWMGRCWTFQEGCLAGLLNFQFSDGRLNP LRMKVGYSTWLGEHLESTLLHLTRELASAVLFKLFVKRRLRFNASMLYDVETAIVATI SRPLITRLSTNTNNTSVALLDPQIQSEAQVAFDQLVRCWNELSVRTTTKREDIHVILA NLLRLGAHTILQMEKPQDRMRVILNSLKYLPLSLFLNSTIPRAGPTEKDRDRWLPLYP AGNMLSDAPRLKVTEGGYYLGPGEGQENGVEFLMTERQEPPPIRFIVRYPSQDKIAII ELHRRPGDTFAVQEAGLLCFSFQISSDRACRELLEGTDIACQKVSGAVFRANRITYEQ LDSSNSEKEVSEPDHTARADGVDLVSTRPDSSALDDLLESKSGKLRIVYDCPMTATLV DLDVHDVQSISHDLAQMPAREVKALPKSWGIHIERGKHLLLPTNPGNNAPVINFSFAE IMEDEVLEHQRPDPLEGTGALWVLLAVCNVAVPLLLYFGTTGLGIAILATTYAKLSAL SRAGVILKLVMNFFAIVQSFFIHLAWVWNLAHLITIALFTIGRFPAGGGDGNLTALDR AFVGYGFTIHTVLMVMCPLIMKVARSRMSTEYMKTFDPAWTPEKQSWEMKGIQWVKNR MYDDFD PFICI_07252 MADPAIAAAEPEHRQPFRTMFVTIGSIASFKSLITEVLSDKFLE TLAKLKFNRLVVQCGPDLELFERIRPQRGFDSHWIDIVGFTYTDKMKDYFLECAPSTA KSGEAARGRGIIMAHAGAGTILEALDIDARVIVVPNTSLMDNHQLELAEELERQGYLL QGHLGALHEDLERMETYEPANWPPKPPKDSKYRHIGEIIKNFFPYSRHVSEAGEEEAK QMPLEQQMWRDMLAGQPFPDGDPRHVFLSGLHSQEKESMFQEWRSNWYAHRKIKEDLE QEGKRQSDEVSTGERKIESG PFICI_07253 MVAAVQSLRLCARACSRAPTTTTTTQRIAAASHFTSSRRPFSST RAWRSDDVDREQQSSKPEGSNAAAPSTKTPVPRLRRSDKVVLALQEGMTEDEKDAFKR ILEYTDAHDMKVPPRRGQRATLDPEELEAQADKIEEYFQNVNKLPKEADDALRDLEQT FNKVDEMASPVMATVRVGKNSFWGEDEEDQDYLTNDIDEDDFGEEDMMSMAHGKLEEH REYREYARIAAWQMPLLSKLARPFEPPTQEECLRFRYTTYMGENHPAQSKVVVEFSPR DLGLTSAQQWKMKKLLGARWNPETKIAKMSCEQFDHQAQNKRYLADLVNKLIVEAKDE TDTFRDVPLDTRHHKIKTKPKFPKEWYMTIDRVKELEDQREKALLLDQAKEDAGSLVD GSKVIETHFNKPEMIAISARPRGAPSLSPRRR PFICI_07254 MARFRPLSIAKRRDHRPSTPDTLYTMSSMSSSGSHCSSNGRPLS RDYDTGFPELPRTTTWRHPEANTSPDACISAEDIDPAKALQRHHKHFLHSKYKRTTSH GRITSEMELEFLKSQEALNSTDSAIGLEDDHILVVDDAAARPSSRDGAESIVIPTDGF LKAVERPSSPTDSQYSRSGESSRRRSLFSRLRHHKH PFICI_07255 MLFKTCTAILLAATQVSGLACKRQSNDRKVFAHYMVGLTDGQSS DQWAQDISDAKSAGIDGFALNIGGSDSWTDTQLPLAYAAAEAAGSFVLFISFDQATAD SFTVDQVVGLINDYKDESAQFKVNDVPFVSTFEGPTWSANWADVRTATGGIYLVPDWS SLGPTGFGDKLSLIDGAFNWGAWPYANSKTLSVDGDTEYQSTLGDKTYMMGVSPYFYT DLPQYSKNWYSTSDTLWYDRWSQVLDVLPTYVELITWNDYGESSYLNDPVTSQIVSGA ETYVDGYDHTAFRFVLPYFISAYKAGTADVALPSGEGAVAWYRTTSKSVCSDGGTVWG QGGTASAADGTDDVISIIALSDNPGQDISVSIGGGQSVTVSASGTVGKASFYETSFTG STGAVTVTAGGKSATGPSISADCPSSGQVNFNAVSFQI PFICI_07256 MALPLAGKLAIVTGASRGIGKAIATKLGSNGANLALAYTSPSSK SLAESLSHDLQSKHNIKAFTVAADLGTPEGPKQLIEAAREHFSKDGAKFQIDILVNNA GIAANNPLPKITLNDFDSSYKVNVLGPLLLTQAAEPFLPRDRSGRIVNLSSVSSSTGF IEQSVYGGTKAALEAMTRTWARELSERATVNAVNPGPVLGEMYYKNSDEFKREIKGWI EHSPLMKVRKGVDSDELVEDAKTSGGRPAYVEEVAGVVAMLCGQDAAWVTGQVVCANG GMLMLH PFICI_07257 MDIRLLRSSDIPLIQHANLENLPENYFMKYYLYHALSWPQLSFV AVDVSRPKKTPYDYPKIVGYVLAKMEEDPVDGIQHGHITSLSVMRTHRRLGIAEKLMR QSQLAMVETFGAHYVSLHVRVSNKAAIHLYSKTLGFDREKTEAKYYADGEDAYCMKLD LSTIREQIRDQRELEYPDDEGEPVGDVGKAGEEKDLALRGKDGEGKEAKRKVKVGRAL GVSALVEKDESSKA PFICI_07258 MEPHDVPPPPYSETDIYAASSVDHQSNPAATHSHISQADDASSS RSNIVYTPPDTPQDSHHAFTGAEDCQTTASAQVYFDVRSAADHLRASAPIVHTISVSD DPSPADFPFPNWAASRDVNQQDWRTFINYLIPDYADKANFGIMDRKLRSAESDSPTPS TSARETAEPQLDDLKSSSLRRRLTNTVKEWNGGFFGPRGVSIRLLEETSTGEPKARMP SGRDSAFDAGATERVAPAASSPAAASGPIAPQGRGGWREQLYQRLGMDINERSLRMGP LTIDGDRVAFGSTLEMDSRGVRWHGRDIAEQFSGGHDRDRHAAGSRGRGTGRWWHDGS GHPARRGGGPFDTNTWGSIRRRSQSRGSSMSDSSTSSSDSNSTISSIGSLPDWDDLRD SQIPVVKQSVEAWLAHPDQIVTKAELKRAKSEIKAAKSLPQPAVASDAQQREEIKNLL SRFNDLKKHQTANLKAARKQSKAQKRAERRTRRARDRGEKKEQRRHRREHRRAERELG RQQRRHGKTPEVPVRDQAQPQQTSHPVPPNVPGPHAVPPFPMPNEHPYGPSPFFGPGR SGGPGLSFSGGWGRGHHQWQHPHAAAESSRAQAEAAREQAFQARVQAHEQAVQARARA HEMAVHQRAIAHEQAARARAQAAVQRDLAQSQAAAVSAAAQTQSQVQTSAAAHERYAA KYRAAEALEQEMEAKRVALLSLQESAEAEAIAAAKAGKGDQKRAGPSHMELNAEALER ELEDLGCRIEELIFEADEEFAKTLEQEDRDDKSKVRWVE PFICI_07259 MAPPKGGYAPTLEKFLDTLKSKPVEASVESLISLLKRRQIQGAE SCALATAHILLQVVARDKWLNVDQLLERVQSVGRRLVAASPRELTIGNIIRRILGLIR DEAEEDRNEETGNATPADSPDPPVASPLTIDSAVAKVIRPAFPTAQSTLTRTQSMFNI LADPDSVPPGWMNSTPLASSGASTPLGHNFPTNTSALRSEVLDGIEEIMDEIKQVDDQ LCSYSDIIIHPGDYILVYKPSRTVQRFLTRSKRKFTLFVVTDPSAQSGPDPYASLRKS LAANGSILISIMNAGLMAYMTKVNKVILSARALTGTGGVTADAGAASVARAARSQGRT VIVLGGVYKLSPDSQVTQSIATEWGNPSAYVDFSDGQLVGKTTVKNAVSEFIPAGDIN AYITNLGAHSREHLHTVISDHYKEADVALDLYAKIRK PFICI_07260 MNSLNIISARVSPSSSPTPSRTNSLSHVGLAASSSDHLTTSQDG ASQSDTIHLTAEPDEYTHDNHDHDDYADEKSPLLGGNSDLKDSNVRSHSWHVLPSRFA NSFINSLRWVLSTIAAPGVYLIACFYDERGNFSPWRQLRRLFGSYEGDMDKFSEDYHE HVATGGEKQSSLRRASQSAKGKPASTAALRAVPSSGSSSSGVSSESESDIAVGSDTSR RSSGSSGRHTRSKTSEEIAPARRSIRIKLHSEDALRQRKHKKAQSAGGGDSPADLSAQ LKSPTSPVSALTKYPKTPAPPRPLIPQRQPSYIPIETPDPAHLKTLIIDLDETLIHSM SKGGRMGSGHMVEVRLNTTYVGAGGHQTLGPQHPILYYVHKRPHCDEFLRKISKWFNL VVFTASVQEYADPVIDWLESERKYFTARYYRQHCTFRHGAFIKDLSSIEPDLSKVMIL DNSPLSYMFHQDNAIPIQGWINDPTDNDLLHLVPLLEGLQYVSDVRALLALRGGEDGS HI PFICI_07261 MSLHYLPPVKPSAIALGTIFNHSVELAVLTPLFGQTYNRAKAAN TKEEFLRSKEATGAAVAWGTSLVGSALQSYGVGALINATGTLSYKGAAYLGALIFAAT SAPTYLAQLFTEKRPSDTVAVGAVAKLFETVGLATFLTWWGTRTNPFE PFICI_07262 MGSTKPEKKEKKDKSSKRSEADGVSKSSKTKGDKKEKKDKKDKL KTAVLNAALDDKLQADAAAQSLEKAPEVTEVEVEEEDGEKVTKHVVGALVPFARPLAE EKGTKKILKTVRKAAKNKTLKRGVKEVVKALRKSPASGPTNQSFPGVVILAGDISPMD VISHIPVLCEDVNVPYIFVTSRAELGAAGSTKRPTSVVMVTEKRTGGKKGEKEEAVDE DFAETYKDLVKLVQKESKQLKFT PFICI_07263 MSSRYSLRQTPRKKELFDGMVETPGRRTSKRNGRFPSVDNASDE GDSSSAAETTASKPNPPRRRVTSKFSENLDEDFDNSEAKKEFSAITEKVSAALNGNGH VETTQNGHANGHTNGHVNGHANGHAKDQDQMVDGWKPGMDPKVDHSGHFEFGGSFGTL GLMTGFPLLMYYMWIGATYYDGKAPFPAEGQSWAEFGRHLFDLAYTGAYPHLRAWRIY WGFYVFEALCYVFAPGFTAYGKPLPHEGGKQLKYHCSAFISLYVTIAVMGALHMSGYF PIYTFLDEFGPLMSVAIISGFLVSFVAYFSAIARGAQHRMTGYPIYDFFMGAELNPRA FGVLDLKMFQEVRVPWFILLGLSCAAAARQYENYGYVSGEVWFLVMAHYLYANACGKG EHLIVTTWDMYYEKCGFMLIFWNMAGVPLSYCHCTLYLANHHPSEYAWNKYALGALFV AYLGMYYIWDTTNAQKNSFRMMERGTFVKRKTFPQLPWQEVHNPKIIKTSTGDSILAD GWYGYARKIHYTCDAFFAISWGLITGFKSPFPWFYPVFFCCMITHRAIRDIQRCRQKY GDAWLQYEKQVPYLFIPYVI PFICI_07264 MHFSKSGVLAAIVLLSSEASALNLKHRSLYQRPQNARAIQASYS AIPHGSAPAAPSGSIIPSAASSVSPEATETDDECLPEETEGSTYTNGTSAYSPSGASS VSPEATETEDECEPEETGASSGTDNSDTGYGSGSSASIPLVTGTGSGPYATVTGIAPF GNTTTTATADAGAVTTLTVGVTNIQTVISCAPTVTNCPAASNTAAYSSALATLGSEAI STVLVTSVIDITTTVCPVTAASSIHSSIIDSIVSSSATLTSTDSAGSIVTMTITASSG AATAVPIPTGGSTGGSESGNSGNSGNNGGSSGGSEGGNNNGGSGSSNGGSNGGSNGGS YSTVTGTDGSTTVVSNDAVTASATPTSAQAVETVVTVTIGTQTLTSSLTVTPTYAAGS GVASGSPAGPQTVVVTIPADNGEAATITVTATAASSNDAVQATSSANAEASTVYVTAP AATPSTVYVTASGAAAEASTVYITASGAAGGEASTVTVTGAGAGAETITVTAGGAGAE TVTVTAGGAAAATETVTVTAGGAAGATETVTVTAGGADAETVTVTATAAGASGLCSYY PSENNRRRC PFICI_07265 MKFNSVAAALVGLSAQAVNAASTFSPARPPAAPIAVKSPYLNSW LNAGSDGGNGGYLAGEWITFWDQGITAWVGLIRVDGVPYTWMGAPPNYPQVVDQTDFS YTSTRSTFIMNVGGKVGMNITFMSPVTPNDLKRQSLTFTYLDVAVESIDGASHDVQLY ADVSGEWASGDPAAVITWDTNTADGVRYHTFQRQDQEEFSEVRNQASWGTWYWSTADT DGITYESGIDVDVRAKFNNDGVLSNTKDTNFRAVNDNWPVFGFAKDLGSVGSASVSTL FSLGLTQDNAISFLGEGSSLTSVPSLWKSYFSNDLDAVTFFYNDYNEASSLATDLDNR VASDSLAAAGQNYLTITSLSVRQAFGALQFTNTDSEPLIFLKEISSNSDIETVDVIYP SIPLLIWANPKLLAYLLDPLFINQENGHYPNTNAIHDLGTFPVAKGYPDGSDEPMPLE ECGNMIIMALAYAQRASDTAYLNTHYAILKQWAGYLVDEALIPANQLSTDDFAGTLAN QTNLALKGIIGLRAMSEIAKLTGNSADEKTYGDTATSYISQWQNLGINSAANPPHTTL SYGDADSHGLLYNLYANSLLGFGYDFVPQSVYDMQSNFYPTVALEYGVPLDTRHTWTK SDWEMFAAAIASVETRDLFISKLANWINVTPTNLALTDLYDAATGDFPGITFHARPVV GGHFALLALP PFICI_07266 MEQVIDVSWMNHGKDKVAKHNAKPISSSPPKHDSTSGLPLETST PSKVNGKGAPISPPPSPPLSATPPGAKAIPQRPQGVARNTSADEKKSPGPNGTPPQRR GSWFSKISAPFSSSPANAQQSTPAPGQADEDDVAPLPRISPNKNAVLPHATRQSGDGP YVPAPPRNGQPGFFQVFRRKSSSSGSIIPGRNNHGLVERRVLNVDKHRERPKLTELNQ AKLRRVAFCVDVEIAPMPKYTDSDGSSNKSDEKTQKRKVTEKGEGEALKRPQEVQDEK EQDGVVKATGEVLPKEPAAEGQGTTENGTNGQPKEGIIVASEVPKPEKENTRKKEKKK KSEAERKAKKEQKRKEALEKGAIPMEIHLDSDSSIEDAVVPQIPRPAKYAPTTNPGRI YRRCCQLRETDILTKITSQLPKSVVGNPDGIVEKLDLSDYFMSLPDLVTLGDFFAVVP VREVVLENCGLTDEGVRVVLAGLLACKKQRAKNRRSITKPADLCPQGGVVERIVLKNN KLGVDSWRHICLFIHMCRSLKCIDLSSITFPAPAEPPKSTPISQHFHHHAASVAQLDI SLLLSKSLAERLAGSELELLNLGGTGLTNVQLGAVIDGCLKSGISRLGLAHNDLDTQG IGHVARYLKGGKCQGLDLGGNDLRDHLQTIADAIDENNESLWALSLANSNLKPSSLCK LLPKLAQIKNCKFIDLSHNHELCESQPSAIGLLRRYLPKLDALKRLHLADIAMTSEQA IAVAEILPEVSTLAHINLLENPELVRLADAKTEEAQEEACALYASLLAAARVSETLVK IDIDTPSAESSDVVKALANQVLAYCLRNLRMAPGLRDSANGEQILEKIKYPDVLRHIV GYEEDAAIVDLEDIDANAAPDEDYVIGGTGVVKALACCLNNLDQSSRRNSGEFIRDLE TGTSAPQAKVPAGKAKNMTKHLLASARKIRVRLQPALAQAKASSRQDMNNYNRLLFLD QTLVEIIGRFEDEFPETRQDPGPVMAPNYAPPAPPQRGASFSSLEADPVISDTEELET ELRSPSRSRSNSIMSHTSKQLAEEEGRALRIGHKFRRGFIQSHYNLLTGAESEIGNDP DHKRQIEHILEDLGTEAEDIRKKVEAKGAIATFQEERTEILRRMREKDPAQWDRFIEA QEKTRANLQLDKEGPLKASDLPDESAISD PFICI_07267 MVKNNAYYSRFQTKYKRRREGKTDYYARKRLITQAKNKYNAPKY RMVVRFTNRDIITQIVTSEISGDKVFASAYSHELKAYGIKHGLTNWAAAYATGLLLAR RVLKKLGLDEHFAGVEEADGEYTLTEAAEVDGEERRPFKAFLDVGLARTSTGARVFGA MKGASDGGIFIPHSENRFPGFDIESEELDAETLKKYIFGGHVAEYMETLADDDEERYT SQFQQYIDDDVEAEGLEDLYTEAHSAIREDPFKKAESDAPKKSKEEWKAESKKYRSNK LSKAEKEERVKAKIAELRE PFICI_07268 MSLSGQPKVILFDIGGVVVVSPFQSILDYELSLGIPPGWINYSI SKTAPNGFWHKLERGDIPMDDAYFEGFSRDLHDSARWEAFYKTQQGKDAKLPKDIPPL PTLDARWLFNEMMTASTAPDPWMYPALKKLKESGKFILAAVSNTVIFPPGHSLYQEDY FGGPVRGLFDVFVSSAHVGLRKPDPKMYQYTLAEINKYASKQGAGGPKAVNSDIKPED IVFLDDIGENLKAAKKLGLRTIKVNLGRAFEAVDELEKVTGLQLAGDHPRIPIQPRYG PVKAKI PFICI_07269 MATMPQAFFCLWQDEHIREILFDLLPKEDICNVRLASSACCNLV TKRLFLRTNVTFNPNTFTKQSRIQALSQIGHHIEHLTFYFPHSDATFLPPLIHPETGR EISFLYTPYTSMASAMARPKFANSELGEILTQQYPPLFHAASNVPSFINAMRNLSNVR HITIKTPGQDPKERYRRDIVDYALISLRISLERAPLPKLMKLSLSSVHPSAFTYLRHV PGFGCTPSAGRRWKQIRKLHISAEAWDFYGPSPGLDHLKIIEDYIRNFSDCLEKLTFT WIGRKGPCPIALAQDPLFAPPRSSQKLFQEVTSPMSPLPPAPSRKPIKFRKLRNLSIR NATMNAPQVAELISSHQQHMREFDFENVVLINDGSWDDALAPLMDDSSRNETWTRNSV ATFSEAASLYSTPTVDDLPLPSPAMASFTDEIMSVDHIEGLDGLSFYQEPEVYDHDLP SDLESARQASVCSSTVKGKRLTKRRRRRKPGHEQTEAVEETREEEPHTPKASRFFRRQ KSEASLEPAHDLEVRSPPREPPRPVHYRSRSTLFGEASSIHSSTSSQRLYGELESPSA SSSCTRLFGEITPSLSSTHTPTDAGSIDEYFRPITPIRESELEISAPILNTQPSMPAL LHPTIYDPTAELCGEISAVQRDLEAEEAQRALAEDPDMRMTALKKAKETVMTKLTEQF AGKKQPRKENTVTPAAMAAMGVRFQERLFGRTGSPPPQTTFDHQTMDVPILFTQ PFICI_07270 MDGVIPARHGNGAPVRYTWDEEGAVRNIEPLEKVRERTEGLSSH GRHTARDSRSRINWPFHHHTTSEVPSTSYTASHFGHFRRATAQTKSWFKDAGDSASVL SRSLVPDYVVHYMRGETPETLARKREQARWGEKDIILTPQRERLRSQQAFFEDPFGSQ INLAGNYGGYGQGQRGLGRFMVGWRGGVAFNALMALIFLLVAVVCFALVSSKIKVFGG DYILLSGFCSTVSSYNALLHALINILCIILLAGGNYVFQLLSSPTRDELTEAHDKKKW LDIGIPSIRNLPHISGLRATIAAIVVLTVVATQVIYNAIIFTSQTAAETCDLNTSSAL LGIVALLNLVTFGSIVAVMSRSRFKPLATLGDFISSFLCHPDATTKSACLLSKKDVKA GSWGYSEAKYFSPSTHLWLFTPSALRWLLMVFSWLLLAAPTVAAIGLFVPTDPDGIST PFGTSTSYTTFPLPTSITKVQMAVLACLPQVLLAILYMVTNSHLTTYYLSHELSLFAL GPRPLRVSCDARGAQVASLYMTLPRPISWGLLAFFAAMGFVLSQAVFPDVITETSLTA TANQVPVLAVAFSVQALVVLLALLGALMMIIVGLGLRRAPGSALVNGRERGNPLALRG GSCSAALSAKCHPAPGENEPWTQELTWGVVSDGLGMEDSCCGFSALSVGAVDVGRAYA PFICI_07271 MASTDEVLIIGAGVGGLCLAQGLHHRGIPFRVFERNESLAAKSQ GYRFRLVNPGIEALERTLSPELWDLLERTHARDSPPDLLRMDAHTGATTLASPAEGAT DRERRCYPIDRPWFRELLRLGIEDRIEFGKAFVSFEYEDGGSEGIRASFADGTLARGS LLVAADGVHSRVRRARFPDLRPHLDVRRTVMWGRTPLTAEFERRFARPDILANHFAYM VDPTNAARSCLFAPIRWPGDVAALSGGRLSKTSDYMFWALTSEAPTTRDVNSTPEVRA GYALEITENWQSNLRSLFEMQDADSLYAVNVVSSTPDIHVWETDSRLTFVGDAIHAMS PTGGSGGLTTIQDVADLCDALVDAGFGTKVIDPEKSKQCLQKYENKMRVRAKAALERS YRGGKAIWAGQEWYEYDYAKS PFICI_07272 MASMMSKRQQARNEKALQDLVHNVPGNNSCADCYARNPAWASWS LGVFLCMRCAAIHRKLGTHISKVKSLSMDGWTNEQVESMKKVGNNASNKIYNPDNKQP PVPVDADEADSAMERFIRAKYVTKTASANGHGRTRQSVESDEGIPPPPPPKTGGFFKK TSSTFPLSFRSKKSSHHVEEHPKELRNKPSKVFGATVDDGADDMARKLTQLRDMGFTD DKRNAMVLKGVNGNLEKTIETLVRLGEGNGAPLGAMSGRKDSFQSPDALPIHSPGPAT PTTEGYSRTSPSTTNSPAVSNNPWDISPAPPQSSHSTGTMPHSNPFYSTNPFGPPSTR QSDFSNMNQSMQNLSLAPSQPQQLFPHHTGGLPAPPPVQQSMYQQSMTPPIPQTQNFA SFLSNGNQTYQQQQQPQQSYNPFLQPSQQQTLAVNTSVAQSPGIAANNPFAKSPTRMA SPLGQIPEQSQQNFYGAQTSPSYGNNPFLTASQPPQQLQYQQVQQQRSQSFDQAMLQA QSPQLPQQYQQQQYQQQYQQQYQQQYQQPQPQPQPQQLPQQQGIQAQPSYQPPRDKAS IMALFNYPATAPSPLQAQGQNQATGMSTQLAAPQQNQPVQPATPQQNVAMPGNKNPFL NGTSSPPQTAFAPAQNSVSFGSARSRESMNLGMEMAWNNGRHSPDAFASLSARGT PFICI_07273 MSSRGRSPVEAVGTSLRTGARTSTSETSSSTPLLTPCTGMGFNF WQGGLGNSAASTPRILVDDLPGAATVSAGRHEVRESVELGLVDGLNHVHIFSAGDGLS LSELESAINGISTPDASRSRSTSQPTTPGTSEHLSPPLLPASAGRRRSTPRVRQPPHR VSDEEPPRDRFHDADFQQAVSDTKDIVAKLQDVLGSGSLHLEPDSTVQRIYRNAASLA EYESPTKRVVGFVGDSGVGKSSLLNCLLDFPSLARTSNSGSACTCIATEYHYHDSNAF AIEMELFGQDALDDMLKEFLHSFRQFELHGDDMVGEEREDCSERSKVAWSTLQAMFTD RLGNSSRVLTAGAEEAILETLRIWSRDSGTDDLSDRVVFDTLEECSAYLARLTSDSSL PHERAIWPYVKKLRVYSNAHVLSKGLIFADLPGLRDLNSARRNITERYILECDEIFAV TEIFRAVTNEGVKDVVDLAKRAGLSNISIICTKSDDIKPSEAIRDWKGSKAKHIQKLW DQVDTTEDKLAEVKEELEGLADDDDEELNDEELALCHQLNKKQKQLSLKHYLVTSRNE KVTKSLVDRYQNELSGDTELKVFCTSKDDYWSKHDLPRDEALPLLGLSGIITLRRHCV SIVSEGQYQSAVRFMQHDVAALLADVELWIQLGAPSLDAEKKKEIRDTVDAIERRIKT ELVGRSSPLRNFSRIFMSTFEELVFGDDSPFDEWNRAALNASEDWAGWHHSSYAAFCR NHGAHCTPAVGARNWNEELSEAMVSDLGQPWSRLVNNIKGKQKNMTNRVSQMTDRVTE DIDSAIQGSQDVADVLTDAFVSRHNVINDGLRSIADVLSSELTILRTDVFSGIRTSFL GQTMEPAYRGCISEYGPGSDRRRKGIMRNHISRNMIFEGILRRAKDCFRELVQKCEAD VRVLLTDQFEELQATLDIIRTENTATDGEQDPEFRDRVQLTAHAAREILGRARSVTTT EDS PFICI_07274 MSSQRPENSSRANETSHYNAANQTGEQVPTPMDGISLMNYPFTF EQNVDDAVTASLRIEADPHPSQSNSPDTHSITSSISRYRVENGRTFHAYKDGSYVFPN DQEELDRQEFQYVVIKHLMNGKLFFAPWTDENPPRNVLDIATGAGHWAIEMGDKFPSA KITGTDLSPVQPRDVPANVRFFVEDSRDPWNWYGENDQLDYIHTRFTLGCWENLKDDI LQKSFDQLAPGGYFEAQELLSSILCDDGTMQPDYELKVHIDDLEDATATIGRPLRTAN QWKQAMRDVGFVDVQEVAYKMPINGWAKNLKYKQLGKMWEHNWLDCIHALSVGPLHRV RGLNDKQIQMMLVPVRQAISDHTVHAYNKFFVVWGRKPFPNEQVQPPNSETSASAGSG DTEMGGLA PFICI_07275 MAAFNGESAADDFLQRAYTRIRSSVTASTTDAAEPAVLPKPERL EAGRASLPQPDDVRYLSGRGDEATLDHLLNDIVPALNGQNLSGRYYGFVTGSTLPIAE VADNIVTAYDQNVQVHLPTQTIATEVEDAALRMLVALLDLGDAADWEGRTLTTGATAS NTLGLGCGREAVVQAKLRPQGTVDGVGELGLLAACAQAGIRHVQVLTSMGHSSLYKAA SIVGLGRFSVKELPHSEDEPWRLDLHAVERELRREGIASIIALSAGEVNTGRFATTGL EDMRRLRELADRHGSWIHVDGAFGIFARCLPATPEFARLRAYAAGIELADSITVDGHK LLNVPYDCGMFLTRKASVLTSVCKNPNAAYLSSAPGATIESPLNVDLQNSRRFRALPV YAVLLSEGREGLAAILARMVRLARGVAEAINASSDYVLLPHGNCAIEDTHIVVLFRAK DEALNEKLVGSIHKRGEWYVSGTKWKGATAVRVAVSSWRVRVDEDLEAIKGFLARLAD EHKST PFICI_07276 MAQGTVKPKAFASKPVKPNPAKTKKGARVAKPKKATSAAKIQKK YSAGLVHKTEKLLGERAGHLEMIGKGRDRSGAKKETEQKGGSKKFG PFICI_07277 MAEVDVPPTFGAELKDGFRPASAWVANGIAWLDDIQQYYRERSA IEKEYSARLNALAKKYFEKKNKKSSSLSVGDTPTMTPGSLESASLTTWTTQLTTLESR ADEHEKYANELITKVADPLKFFGSKFEELRKRHVEYADNLEVERDSSYATLKKTKGKY DQACQELESKRKKTESSFDKAKAQSNYQQQLQEANNAKNTYLIAINVTNKQKEKYYHE YVPELLDSLQDLSEFKTLKLNGLWTMAASLETGMLQQSNSLVENLSQEVQRNLPHLDS MMYIRHNLGSWQEPGDKGFEPSPVWHDDDTMIVDEAAKIFLRNVLNKSKSQLGDLRRE VDKKRREVEGVKRTKQRVRDGSEAKDEVLIVTQLFALQEELHQVDRKRVTAEVETSTI TSTIGDVTLGAKNHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCEMK VPAECPGELSKEERKKYKSERQTAATTLLKPSGTPDHVSELPDLSRSNTMNSLSSGYA ASAHRSVTGSVSTAKEPGEDAPPEPGPRPSIPSASTSTRKPRLMAPPPSAYISELPGS SVNGSGASKPEQKGKILYTFEANGEGELSVHEGREVTVLEPDDGSGWVKVRAGYKEGI VPATYLETYPAAPAPIVPQDTGSTANRPGSTYSNSGSSIGGAPTGKKKGPAVAPRRGA KKLKYVVALYDYTAQSDAEHSMAEGDRFVLVKEDPGDGWAEVEKGGITKSVPASYVSA DSS PFICI_07278 MSDLASRITKPEGDVAAVPEGADGAGDVAGTSNLQQVDYDVEVS LNQLQQDQDHPLGSAKSFEELGLPDAVLKGLLALQFHKPSKVQEKALPLMLADPPQNM IAQSQSGTGKTAAFVTTVLSRVDMSNPTQPQALILAPTRELARQIQGVVQHIGQFCEG LVVEAAVPGNIARDQKVEANVICATPGTVMDLIRRRQIDASQFKVLVIDEADNMLDQQ GLGDQCARVKNMIPKTVQILLFSATFPDVVHKYATKFAPNANQIKLKVQELTVRGISQ MFMDCPSEADKYGILCQLYGLLTIGSSIIFVKTRESANEIQKRMTEDGHKVTALHGAF EGAARDALIDEFRSGRTKVLITTNVLARGIDVSSVNMVINYDVPMKGPGDREPDAETY LHRIGRTGRFGRVGVSITFIFDKKSFEALQNITERYEIDLIRLVPDDWDTTEAEVQRV IKSSRAQAGFNPSAQ PFICI_07279 MALKPLLGLLLIGTSVSIAGAYDAELSSEVSAFIPSCAQGCFKA FVQENYASSSCGTSASLQCLCSHESAANLTVGEAAAECIGGSFFFGICTADDGKQAGV AYQMCSGQISALPNTQPTPLSAAFVLGGGSVVISTSTSTTSTYTTAPISTSHGTTSTM LTTSVSSATTASSTSLVPSPTSTTTRPTETASAQTSETPTATSTSLNKGQIAGITVGV AGAIILALVSIFMARRARKRRYPGLDEEGFMPMEEKSSSGGRSAAARLTKIFHISPPI LRNQRPFTPETPPSGSEYPNVDRNTIGLAISRPRSEVVPKPQSPVRERRMSKLLPPKP LRPSKPNLTLNIPTAVHPALRTHSSQPQTDRTSTMTNMTAFADLDTEAPEGGQIWRPP PSDPQSATTYYVADKWGNWVLNNTNRQSQLAGVAEAAELDTYTPLTKSPIEKQEEQAA TALATAISAASAPELPMPPQVLLKPDGRDAYMSQASSVYSQNSAFRNSDRPPMPTIRR MSSSGQRRTSRSDSKASMDSATTIQSSSSAGGPFDDSPPVEEAEPSRLSTLSPVIESP RTPTGRSPVTYPKIPGRLDLATIRMVPPPKRPSFTSSPPGQPSPTLGAVVPIKGSPSA YPRPLNPRRGDQTGIINAPNLRPSHQSTGSGFSPEQPSIRQPPATPPNVPLRSEMRLS RQFPPRLDTYNLPPPPQTRASSFVSPTSAVTTSSVASSLLAKRVGNEKAAALSLENNQ KKPTAAWKRHGPGGLLSPDMAAMMSPGARGLGSGGLPATPTWQPKLTPTRRGDDLFLN VQ PFICI_07280 MGGAMLNPRQSLRLRQIVIICVGLLALYLFLPKPEASLDSSRTL LGELPENWKSASSSSSKATTRLSEELLNNRFLTAQQCASAFPGLTREIDDAVAKGPFV LEKSGPLGPLIAQVKNGKLFILHAASTRDLSRDMILHRTATLHQIHRALLTAPSPLEI PDTIFAFNHHDNPTARTFSYSRPADPARNGPSAPYFPIPHFGFWSWPLPFIKSLPDAA SAIAALESGLSWSGKDPRAVWRGTPHFNSPSTASAHTRQDLVLTAGHRPWSDVEALEW TGPNGRNASNALPIADFCGYRYIVHTEGVTYSGRMPFHQLCASVVLTPPLGWMQHTSR LIRPVFSYNLPGDGVQRTLRPGRDLGGGVVEKVSLAPYPSAWVAAAWGGGYTVDEANM VFVAPDWSDLEAVVGWLERHPDVAEGIARRQRETYHGAGFLSPAAETCYWRAAIRGWS SVVDYRDLEVENMEAVPYEEFVITQNTK PFICI_07281 MIVTPYSRRVRLFSVITALSILAIYIHIRPTWRERTYGYARDIG QTVSSYLASNDVYNNTLYQEGNEATVTQYHNFSDPCANFPNTEGVQLVMKTGATEAFD KIPTHLLTTLQCLPDFLIFSDMEQQIGKHHIYDVLSEVDESVKAHNDDFDLYRYQKEC PVSQKSCVDAREEGSRAWNLDKYKFLPMMEQTWRLRPDRDWYIFAEADTYVFWSNLIE WLRTESGLNPKERIYLGSRSFIGGTPFGHGGSGYVLSGTLLRHLIEHHPGVVKQYNVK GSGECCGDLLLALALDQYENVKIRQAWPMFNGEKPSTLPFGPGQWCEPILTMHHMNAE EISSVWQFEQTRKTNRTLLIKDLYHGLIAPKMQVQRQDWDNLSDDVCYINPDQSAQAK AGNLEKGRQKNKNDMTKIEKEAWKSPDHCARVCEEEDVPDDDEWELGQKVLPRDPADG ENAAEAIDTEKAEDSGPSDEAMREQWKTTMRERKKSRTCFQYRWHDEVCCTAKSFKLG APKKKPDQDKPKQKWVSGWDLKGINDWINAVGECEIAWKTPEAS PFICI_07282 MADPTPTEIPVLSAIPSTVASATASAVGTPQSLPPMEEEEREKS IKVSLADLTAKATAFYAQKKYEEASEVYARAAEMQAEFNGEMSPENADILFLYGRSLF KVGQGKSDVLGAAPGGEKKTAKAAAKAQKDSAESSTARVSGDNATSEADQIAEEKVAY VAKNVTGSGLEDGDKLSDAKKPLFQFTGDENFEDSDEEAEGEGEGEGEEEEEEDDDLA AAFEVLDLSRVLFEKTLEQLNESEGKGKEAAQEDTPAIKHIKERLADTHDLLAEISLE NEKYSEAINDARAALKYKVELYPEESEIRAEAHFKLSLALEFASVTSDAEDKDDAPKT VDQGLRDEAAAELEKAIASTQLKLQNEEVNLATLHAPEENEESRKKIAEVRDIIADME QRLVDLKKGPVDVEGVLGAENPMTGILGAALGESPAEAQARIEEAKKGAKDLSSLVRK KEKKKTEPAEPSPETNGKRKAEDAADETEESKKAKIEEAAPAAES PFICI_07283 MAEPAPGVGHTDSMNSHDDPDKLADGKKKKSRRPANTAFRQQRL KAWQPILTPKTVLPLFFAIGIIFAPIGGLLIWASSTVQELQIDYSTCNTDAPNATAFG DTTDFNMADSLITSAFKSDTKVNAQWRHELVNHTYHGDYVVQNVSKCVISFILPDDME APVLFYYRLTNFYQNHRRYVSSYYDKQLLGDDVNTESSVSDSSCTPLTVDSDRGNLPY YPCGLIANSMFNDTFFSPVYTTDNNTPYVLQNSSNIAWSSDNELYGNLPDTQDLSKIL PPPNWQKQYPNNSYTESAPPPNLKDNQAFQVWMRTAGLPTFSKLYARNDTATMKSGTY QIEILSEFPVTEYQGTKSIVFTTKSVIGGKNPFLGIAYVVVGGICIVLGAIFTVTHLI RPRKLGDHTYLSWNKVSNNAKPGSSGPSVGMSTGRDLA PFICI_07284 MAPAADIDLDAPFKEDIILEVRSGRMKTMPGLTIQSGIDKTKCE GVVTVNHLGIDGDEHDPTFHGGRDKAVHGYCSSHYPQWQTEYPEASSRFVPGGFGENL VTAHMNERNVCIGDVISVGDPETGLLLQVSLPRQPCFKLNHRFRLKNFAPQTYTLSRT GWYYRVLRPGPLEAGMPIALHERRHPKWTIERLQEYLHRNQDDAEMNEELSRIEEMGD EARGAFVKRVAKAKAAATRKERAATEAAEEWKNYKIIEKKRQTDRIASFILEAEKPDP DATSTLLGAHARLKLPSGLVRSYSVVSGTANRFELGIALEEPSRGGSAYMHQVAAEGT ILPISSQLTSGVPISSASSTHTFIAGGIGITAFLSMVSMFKKVHLNTTLHYAVRSDSD IPFRDTLDSLSQPAPNKDGKIEDSSVRVVLYHKDRGERMDLDKIFGELGWNGHAYVCG PSRLMDAALVASKKAGLTEDDVHFEAFGADTTGDPFEVEVLNRDSKMLKVDAEETLLE VLRREFGTAQVASSCEVGNCGTCKVALKKGRVEHRGSALPEGEKDDALLSCVSRGLGK ISIHI PFICI_07285 MTSNAGQVNTAPTYRKQHGFGPEWRVALDEFFKSQPGSVVQENY WEPRSMSSSISHEQLRRFFNGHDMPFGKDKLLYREKFALWAIDEALKEYPSGIVDPAG DIFQGKFVSHHGDKGNRPASEWYENTNCTNGQGGFEYKNSNSTTKERGDTEPLQSLYG AAQALHYASPNCLGPPVGPAATYLQMEKVGTHGQSSLSLYNSPLFPEVKYENDASANR FKGMATSGPASDRWAELFAVGTPQAELRRPKQTKGFLDSQVTGIVWILSRLLGELPQL KRLENAREREIRRKLRGPKYGGAILADSMGLGKTLTAIATIELMASHSLNVDHDEKTG MRVYRPILILVPNLAVAGQWTEEILLNTSSKSIQRILVAGGDACLFSQDPRVSHLPID SFKVWPHRYKDVWDTDSPTAARTIIISPIDTWAKRTLYTKEESSREDGEDCDKEGDKG SKKDKPKKSWHSILTAEGRGFSMVCVDEAHKVKSTSTNVHKSVSLLERQFTLLITATP CTNSLGDLRGLVELLWSSALKQVNKSDVTREAHQDIETLPQLKEAIQGRPLYDDMQLL AGCPDLLSPLLRPYASGLDPDIVSTRTFLRFFESLAMLKRGPGSFLFEDFEQTRRIPL EGLYPGVKSRTVNIEFDPSTATAYESKHLELLIWCLHSLNYWSSEAEDDIDKPSEDSE DCPRTGQVHRKWQIACSSMDVFKLETLFDANGYGPRTKPVLLMRNAGVTFIKLADFLL DADEPAPKTALDYLKLAIRGSPTLRYILYDLKEHVLSKRIGKKIKKVLITEDVPMLAY YYELVLQLIGINCRVLHSELSPSQRKELIADFNNGAMDSVQVLIQMYKVGFAGTNLQK NCSRVIVAAQAQSLAVQWQAAHRVIRVGQTQVVDVVRVKVLNSYYAFRESQQVAKILP ELSVRAQGTMSHILVQLLNNFQYEIDELWNIEEGQKILAKAETDQSAFGKRPTKKRKL GFHQSKSMFRGTHQSDDHEDDSDGDSVSSNDGQGLASAGHLARASSWNRTTREFQDLD WMQTATSSHAQDSTSPRTRSTYYYEFKKFPAPSREFFNHKGHLNQRLLRFRKAGYGGL APSTWTIADLEEPAILERALEIVLRVISGSSHVELVPFPQIDFSRVPDEVLVRVMKVI AAIKEGKSNLVSIMNGEVHSSEDSKDSGEDDSADEEEDEDDDDSEEECKEDSDDAEEK EEDSDKVKDFEEDKKLLQETTISFKDEQSPTLAKIQKDETMNDELKDGVSLKEDVLVK KEDCSAESLGNYHGSANFPQEPKHEDDGKALIKQEPDIAIKTEVKTEPDTGSVPKRDS ATLNYTQQGMGTRLSPYVTSPGTLSSPIDLTIDFTIDLTVESAREAPRPSSFSVQAAN QREIIKIEDDDSFEVITIDSDSNIGHDDDDDIIFVSERWN PFICI_07286 MASFVSRAASALLLSVPLVSAAYSIASDDDIKATTRTLAADLMT YYKGNQSGQTPGILPGPPPAGDYYWWEGGALWGTMIDYWHLTGDSTYNDVVTQALLWQ VGPNQDYMPPNVTASLGNDDQGFWGMTAMLAAEENFPDPPKDQPQWLALAQAVFNTQA DPGRHDDTCGGGLRWQIPFSNNGYNYKNSIANGCFFNLGARLARYTNNETYANWAETT WNWVRSVGFMDDEYNIYDGAHIETNCTDINKAQFSYNNGVYLLGAAYMYNYTNGNETW KERLDGLIDGTFRVFFPNDIAFEVACEEHMTCTTDMLSFKGYVHRWMSTITQIAPYTA DKILPVLKTSATAGIQQCTGGDNGRTCGFGWASGTFDGSVGAGQTMNVLGAISSLLIG ESKVPVTNTTGGTSGGNYNAGQDSDSFTNSLTPITQGDRAGAGILTVLILASAAGTFG WMSLGM PFICI_07287 MSDPQAPTRRPKHQAAPAETDPLLPGGGAEPTRTTTTTTSDRPA SASSLVGSRGHPGGHVEGRHDLVLRIAAAMFAFMVMGTIQSVPGVLIPHLESYYGLSD TYVSIIFLISPVGYFLAAYMSSFIHLRFGQLGIAIIGPACQLIYAVGLCAHPPYSMVL FLSLIEAVGTGLLDGSWCAWAGAMANGNTVQGFLHGSYSIGASLGPLIAGTMISVGKT PWWSWFYVLSAFCVVMLVSSAYAFRAQDGKRYRAEKHDRNDSAIPHDKQDRFAIFRYS ATWICAAYFLAYVGIESSITGWIVVYMLRARHASGYLASMCSALFNIGMAVGRLALGV VTDKLGVRLAVVIYLSTTIILQALFAMVELPAISAVLVTLIGFFLGPMFPSGVVLITR LLPPHLHVGTVSFVASTGQIGGALLPFALGAIADHVGIGAFQYIILAQLVATLFIWLC FPRLAVGVVGVSRSDEEDVMDRSHE PFICI_07288 MPDLTNDKIFPTFYTLPTTTDQVEQQQDEQRPNDDDDGHDWYMV AQVKNNMTITKPTLIVTDRSGMDFAVTFEEDRGWDLKARGLKKGNVMVIPRARRLEKG PGRKDVLVVEKQDCEAVKAGRF PFICI_07289 MKLLSTLQFAVFCLNALATASPFGDHAVHEERRGISSRWVKRNR VPASKRLPVRIGLTQSNLDRAEEYLLDISHPKSPNYGKTWTSDEVVAAFQPSETAVQA VREWLASHGIVEVTHSDNKGWLAFDAPAAKVEELFQTEYYEHEDRNTGGLVPSCDKYH VPQKIQEHIDYITPGTKLMAPEGIVSDLKVKRDAQRTRRGNRLKLPAKQKLSENLHSL LSSNASDLSTCDVAITPACVAALYNIPPGNSSVANNSLGIFEAELQYWDQEDLDLFFA NFSSWIPQGTHPFDEEIDGGVAQTDNISLAGGESMLDLLLAYPIVYPQTITVLNVDDI HYQTWENDTYTWGFNTLLDAIDGSYCTYSAFNETGDLPNWDPTYPDPGPDGYNGTLQC GVFEPPNVLSLSYGGQEADVPISYQKRQCNEYLKLGLQGVTFVFASGDSGVSNYPEPY GDDGPTGCLGPDLNIFNPTWPNNCPWLTNVGATKVYPGYTVFDPESAVFDPGRVNYSS GGGFSNVFPVPDYQKAAVNVFFEDHEPSYPYYEGLVENASNYTLPNITALAGNTGGVY NRIGRGIPDVAANGDNIAVFVGGEFGLSGGTSASTPIFASIINRINDERIAIGKSPVG FINPVLYEHPEVLNDITNGTNPGCGTDGFSAVAGWDPVTGLGTPNYPKMLELFLSLP PFICI_07290 MSVILCTAGYDHTIRFWEALSGICSRTIQHPDSQVNRLCISPDK RFLAAAGHHTVKLYDIKSTNPNPLLTFEGHTGNITGVAFHCEGKWMVTSSEDGTVKIW ETRSGTIQRSYNHGSPVNDVVIHPNQGEIISCDRSGSVRIWDLAENNCSHQLIPEEDV SVSSVTVASDGSLLCAANNAGNVFVWALIQNYEHTSLLPVTHFSAHKEYITRILLSPD VKKLATCSADHTAKIWEVIDVDPTQQVPLPISNGTSTNGMHSVLNGAAFPAPSPLTPD DEQDPNNPSNQRKPKPYPLEATLQGHQRWVWDCAFSADSAYLVTACSDHYARLWELHS QQVIRQYNGHHRGADSDKERSRAAMPNQIVTVQPGRTPVPAFTGLTQPVQDSGISGLG QSFVVVSGSNLAADHTPYVPIPGFTSASLDSQAVASSSDEEDE PFICI_07291 MNFLKSAVASAIAQGPPFPYTFGDRVDADASIWTLYNGTRREDG SNCSIFAFEINPSTKSRLPLAKNALKKLRTMRHPGVIKILDTVETETYIYMATERVVP LRWHVKRKSLSQETIKWGLYSIARTVKFINDEGASIHGNLKVASVYTSESGEWKLGGF DVLSNVKDDDAVIYTFGSLVPDNGRYTPPELARNGWDAIKRSPHSAVDSYMFGAFISE TFNGDFLGSDQAGQTKGIPPTMHTSYKRLVNANPKARITVGAFLEMGKRTGAFFDSPL IKLTEGVDNLGMKSEEEREQFLDDLDSLSDDFPEDFFKMKVLPELLKSVEFGGGGPKA FGVVMKIATKLSSDDFEAKVQPVVIRLFGNPDRAIRVCLLDNLPVMIDRLPQRVVNDK IFPNIVTGFTDMAPVVREQTLKSVLVIIGKLSDRVINGELLKYLAKTANDEQPGIRTN TTICLGKIAKNLGTSTRSKVLIAAFTRSLRDPFVHARNASLMALAATSEHFTEEDCAS KVMPAICPSLIDKEKMIRDQALKTLDVYMNKIKKAAASMPDTVLPPQPASEGAGPRMS TPQASEASSWTGWAISSFTNKLSTAAGEIEPSASNGTNTPTARVTSPTPGANRLVPST SSASTLHRQAVSPRPSTSLSRTSSTSNAEAYFKDPEPVDEDTGDAWGDMGDMDDGDAW GDDTADTTPASFTAAASKPVKKDVSSPAPFDDGSEPDFAGWLAAQANKKTGAKALPKG LTKANSTGTVKKVSSVASSKPKPVVAKKLDMKPKETEDDDGWGDGW PFICI_07292 MAPYHVKTIAFLASFIAATAASETCDQVASLYPNITIDQPLSLE YTEAQTEYWSTACGDLKPACILTPQTTEEVAQIVQVLLTNNETFAIKSGGHNPNNYFA SVDGGPLISTKLLNEVVLDNATETVRVGPGNRWDDISAALDGTGYTAVGGRIGNVGVG GYLLGAGLSFMSTEYGWAANNIVEYTLVLANATVLTVTEDTYPDIFLALKGSGSIYGI VTSFVLKAHQQGQVWGGNLWFDANSDTTPKLLAALRDFTEYYPDEKAGIILTAERTLG TILDIWILFLYYNGPEPPVGVFDNFTAIAHTIDTTKTQSMNELVSGNNWAVVKGSVYT IGTESTPLPSEANGAEVLGAYYDTWVNVSNSAALVPGLIASIAFQPLPKRIAQIARAN GGDMLDFDDDVDRIIMELDYSFLFNDQYPTIDQTMQDTYNGLKADVEQFQAAGKLESD VYLPLFMNDGFYRQDYFGRLRSEKQDLAKSVRAQLDPEGLWRDRTGGFKILE PFICI_07293 MESFTIRTPCSSANIGPGFDVIGLALSIYLELKVTIDRTKTSSQ EPLNCRITYEGQGEESGEVPLDPSANLITRVALYVLRCHGQRAFPVETHVHIKNPIPL GRGLGSSGAAVVAGVMLGKEVGGLDLSLDRLFDFCLMIERHPDNVGAALFGGFVGTYL KPLTPEDVARVEIPLSEVLPAPAGGVDTGESPPEPPFGIGHHIKFPWGKEIKAVAIIP EFQVPTAKAREVLPTHYSRQDVTFNLQRIALLPVALGQSPPDPELIYLAMQDKLHQQY RSTLIPGLQDIVASMSPKTQPGLLGVCLSGAGPTILALATSNFEEIANRIIARFSQEN IVCRWLLLEPAEGTKTIRD PFICI_07294 MSARAAAAARVGSSSRGRNRGHGDLVQTSYESKDVDIPSDYLKS QPYDAQPITLKAINFKETVLPEFDGGYAVVLDNVLSPSECAKLIQLAEESVLEKDKGA DGDPWRPALVNAGGGFEVLVPDYRNSERIIWDQQEIVDRLWARMERVPGIQERLARFN ESQLLGYTKPSWKPHLDWEFHRLNKRMRFLKYGPGQFFRPHCDGPYGEQKDDKTILQT HFTLHLYLNDSKAEVGDEAELEGGATSFLSSDEKRKLDVDPKAGRVLIFQHKRLYHSG DDVKAGIKYTVRTDIMYELKREQ PFICI_07295 MYWRYLSICVASVLPLVSAVDIDWNDDSSIKDGAAQIAYGLLQY YTGNNTGDVPGNLPDPYYWWEAGAYFGTLVDYWAFTGDEQYVDLTFQALQHQVGDDGD FMPENQTLTEGNDDQGFWALSAMTAAEMGFQNPGADGVQWLAAAQAVFNEYVWRWDNS TCGGGLRWQIYTFNNGYNYKNSVSNGCFFNIAARLARYTGNTTYADWAETVYDWMETV GFIDDEYNIYDGASDDEGCVSIDQAQWTYNAGIFMEGSAAMWNYTNGTSDKWEQRTTG IVNRTATYFFNESVMYEPPCEPQSNCATDSFSFKAYLVRWMAKTTQLMSSTYDTIYPL LEASAQGAAKQCDGTGEGTAGRTLSGYACGQHWTWGAQNDGTSGVGQQMSALSAVFYT LLDGAPTPYTSVTGGTSTGDSSGGTAKSESDVRGTKPITTGDKVGAGILTALVLGGLV GGVCFLILT PFICI_07296 MSEFKLKDVTALTLQPGEKREVDVEGIEGAKVLLVNAAGKIQAI GPKCTHYGAPLAKGVLTKSGRITCPWHGACFSAKTGDVEDAPALDALPVFKVTEKDGA VYISGDEATIKAGRRQPNLKSSSGQVEGDRIVVVGGGAGAMGLIQGLRENGYSGHVTM VTNEGNLPLDRTKLSKALIADASKLEWRNADWYKSASVEVVQDEVTGVDFSDKFVVTK GAGKFTYSKLVLATGGTPRNLPLQGFKVLGNIFPLRSVKDTKAITAAIGEKKGKKIVI IGSSFIGMEVANATASDNDVTVIGMEGAPLERILGKEIGVGLQKAFEGKGVKFFMNAA VDKADPSGSDPSNVGSVVLKDGTKLEADLVILGIGVSPATEYLRDNKVVRLEDDGSLK TDENLSVVGLKDVYAIGDISSFPYHGPGGDGKYIRIEHWNVAQQSGRVVARHVANPTL KPEKFTPIFWSALGAQLRYCGNTAGGYDDLILEGKPEENNFVAYYTKGETVVALASMG RDPVMAQAAELMRRDKMPSKSQIKSGLDILSTGPPE PFICI_07297 MDADEKKSLPDTAEKRPEVDIEAGATELQTSMGDSAAAAATPPP DALILDRQLEKQVVRKLDWHLAPMFAALYFVAYLDRSNIGNASVAGLNTDLGLSDPQY STAVSVFFATYVALELPVVLLTQRVGPHRAIAVMCLSWSAVTIGTGFVKGFGDLIATR VLLGLCEAGFFPCLVLYISMVYKKEEQGLRQAYLFSAVALAGMFGGLIATGITYIGRV HGLESWSWLYIIEGCLSVLAAFWVFFGLPARPAEAKFLTAEDKKVMAVREAQRAQYLG NLHFEWSEVVKAVVDPKVWFTAFIQFFQDIILYGFSTFLPSILKLDLGYTSLQAQYLS VPVYALGGISFLTAAFLSDKWRRRGTVLLTLDIFAVIGYAILLGVPNRPSIRYFACYM IAIPIYCGPGLNQAWVGNNMAPHYRRATAIGIQQTIGNLAGVVAPQVYRAAPYRLGHW CSLASALISMGLIASQIGYLYMLNRKKSQICRGDRADDRTETSGEGDLDFRYVY PFICI_07298 MAEPYSSALLEVGAETGVDAESEHHQQQQQLLLAPALVSARSDN PSLKCCCGSAECVFLRHSNSILDSVEKDVHNAARIGKALLARHESYMADAERDHLELT SRIEQLEMDNKDLEAKNARTIEENRTLLDQLESLNTTVSDSEAHIKSLEASLLSSQQI IRRLETETARAESLERQIAFLEQEQEQLQTTLSTKHEEARTSMARWQRAERGIIDLQV QLERMEQESKEERERHAEVMGRMEKQRELEKELNTAAGRLKGAAAAKTMGGGSGSTVV SHFVRDLLQDNTSLQQNIVELREMLMNSNDEIQALREELMYHQPADDGDARTAPTLRA ELETKKSPERPVPAVSQELHIHHHYHVTPKVESKKTKKKRQVLTPGGFIPPATPPTST WRRGPRDSINSIMSSRLSIMSEQASDFAPSSAPSSPRSNARNSLFDPASTDSWPASPV TSVDPMSPAWRGHRKLPSNLSARSFQLPSAYSLDVPNHAHTHTIVEEGDGLEEVPDLG IVTDESGADDETSSRDPNTSMDEVDIYISGETEDDRGPQRGHRRAVSHESIMSLTGGL DIHTLKSRPTQMTLRHISNATSITASSTITASPMLSRSPSQMGSLVLRERYAPSVGSL RSVSGPTPNGPSKISSWVGWRPWKGGASEGGTLPKPKEKPSAKDFGRSPGINQIGAIP GFHEYMAAHQKRDPGKVNPDQVDHDALLEGLAE PFICI_07299 MQVFNGNRQYNSKLPGYEFKMVQVFEPRQFHTPTEDYQAIAGLA EEDGVDGLDRFGDHDIADLAAYTTPAPAAFPSPETHPDIDLPHLPTINTTPGAALPTP KDDTDLHAATHRSKPIPKPDRDIRKNALGKYECTWPDCIEEQKSFNRKCEWNKHMDKH ERPYKCLAEGCEKLAGFTYSGGLLRHEREVHAKHGGPRNPLNCPHLNCKRHSGKGFSR LENLNEHLRRVHTNLGPLASGDEAAEDGDSVTASSEPGQQALPTSIQFPTAAQPQALP SPQIASVLPQKRILEDPEDDGPAEVKRLRKSEETLTRINAELSRQLNLHKQQAEIAAS TQESQQTEIEAQKRQIMAMMGEMQALRNQLAQADQQNTVMMQEAFH PFICI_07300 MEAEKGMEVDGMEDVNMADFLVEEIWQTLNYDMPAHDDATLGLA DTSDQRLPSDPTQPWDLHQRMTPTGYEATPDAFLEAPASSLNNDHQGFPLGTCDSDRG GYPSGVAIPNESPPYTASPLNLCHGLPYIQAPIIQGGARDIRVNRPPIPAARSTGLLP SGSTHYVQESQYTSPLPTGAISSVAPPQRQQQRLWAYAFDVLPSSLRK PFICI_07301 MSRLPKTKEEILATGVIDPEFKKVIEKTPVPRGAFYQLSDLKEI NELSLPWTQKRLAASRPPNLAEHERYITMKEGHRLRLLISHRANLGPEARCPLVVMFH GGGHCVGNPESQVPLARRLALEHNAVVVLPTYRLAPADPFPASIHDAWATVRRLAAET QKPQDPAAPLLPRQCDASRGFIVAGTSAGANLAASIAHLARDEKLDPPLTGQMLVAGT YISHKHIPKRYRPYYLAREQNKDAPVFDLDLSEMFQSAFQPDHESPLWAIFDQHDPRD ENYEDSEDPGVKYGHMDLPPAYFQICGLDPGRDDNLIYERVLREECRIDTKADIYPGY PHVWWSNFPELEASKKRDDDAVLGVKWLLGFSS PFICI_07302 MDYGQYIVGDGGLAAKSTSILCIITSILAVFIFQQIDTSSIPLL NPRKAFETSDKRAKTEFVQNGGNLLRTWFKANPDKPARLIGDVGNVIVLPPHLAQEIR NDKRLDFAKWTFKSFHAHLPGFDGFREGTSGKNLAQMIITKDLTKLLKWHTVPMKEVV LRIVAQVSSRAFLGTELCRHEGWLRITREYTVNGFEAAEELRMWPSLLRPLVHWFLPK CRNLRKQVKEARQVINATLHKRRELKEQHRAQGKEMPRFDDAIEWLEQAAKGVSYDPA AAQLGLSLAAIHTTTDLLCQALTRIALNQDILVPLREEIISNLRETGWQKNSLYNMKL LDSVLKESQRMKPTEMVSMTRLALEDIKLSDGTVIPANSGLAVSSHRMWDEQVHTHPE KWDPYRFYKMREEPGKQNISQLVSTGPNHLAFGHGLHACPGRFFAANELKILLIIIIL QYDWVLPEGSSHRVFEHGFTLVGDPFIEMRIRYRPQGMDLIRGK PFICI_07303 MGSTDNKLILYNNDTCTWSNRAKVALLELGLDFEERIINVDGPR PAEFLAINPRGLVPVLVFNGDIIIESAIVCQFLCDVYPSPLCPPAASVEGALGRARIA FFTDAYWTKFHTVLFRLFEAATEADTEQIAVDAVAGLVREVEPLLVDARPFFGGSEKL TLAEVITGPFLIRAMALSRHGVYPTSLVELIAEKAPHFHKWATAVSSHPSLTSVFDEN VIVERSWNKRARMRKAAGLSDWVKP PFICI_07304 MKTIQLDTTLAELYRNHGIKGPFIVTMYCVFGHLDLHPNFPREL TFPEDEEPVPFSEDANEQDRLKRIILGLKPLRRAFGIGNMDVIFFGPNATAAGMERAL SQLEPSQRHRPRFLDLEKGNVPQQLLDASKNKKLLFWRPQGWMKDHDCLIDPQEGYDI NSKKFLVTSGIKTPRSIVVNLQDMDLDDPEMILNQRPLPFVLKLCRAGCGFGTFIVTN ETNRGNMMESMRTYKKRGVTEVLVSDYIDLVQDLAVHFLIGAADSERNHNDPLILGVT VQKLTADGKWVGGHIDYSAQGELHDLVRETVRDTARRMPRSYVGWAGIDIVVDRQGQQ WVVDLNARFTGSMPICFMSGHFWKRRSLPLAQFGAFGYSGKLDSIYERLRPLLDFGQV IVTATAEIYEGDNMADIVWGGRDAADLQRVEQWIKERLAQVELPTVV PFICI_07305 MSYGVFQEFFFANWTLQGSREVTGIIGTTLNGVTYLAMPLLFAL FTRRWAHWRRSAALCGAALTASSFLLSSLSTDVWHLVATQGVLAALGTALMFSPTTLS LGEWYNDGNRALAYGVTLSCKNIVGSACPFLMRVLLDAYGFRTTLRIWAAVAAGTGIL AVLLIPTHPSALVSASSESRAPRRIPWSFLRHRTFWVYSAATTLQSCGYGIPQTYLNT YAHEVALLSQTSATLLLTLFNVPGIASSSFFGYLSDNRRFPLSAAAVTAISGVMSGLA ALLFWGLTSPGSMALLVLFSVTFGFAAGGYSATWGGLLNEMASEAAQRNEAIDTGMVY GLLNGARGIGYVVGGVVGVPLLNAGSHHQVGSFGYGTSYGPIIIFTGLSSLLGGWGLL WKWKRLICF PFICI_07306 MAGNQSDMDVEGDRKVPHQTSADADTVTAADERGQNAGGVPAPI EYDVARVERVYRKLDRRIIPAFWVLYFLCSAIRSNIGLAQTMNTASGHNLMQVLHLSS KDVSTALALFYVSYVIFDMPSNLILSKLGPRVWMARIVFAVGVIGVCFTAVKAAWSVK LLRFLLGVVIAGMWPGMSYYLTLFYPPSRTGKRIGQYFTAAQVSAAVVGLVSAGFQKM DGLGGLVGFQWMFLIYGLCAVVLGVVLLWWLPDRPLPPGETRVRKGIFKWMPKTPEAL TGEDALVHYQDLKRVYHPRPWTLKDLGYVLIDWRLWPLTLMYFGVVGVGIGTQLYGTV IIQGINPNFTGVQLSLLFAPIWIMDLIAILIVTPIADRFHKYRPFFFAGATLIQIAGL LIVTFAPTTNQWARYGGLLIVGFGLGPTVPNCMAWTNEIFQKRHGEVGVAAAAALVSG LGNLGSITTTYALYTGWPEDAVSGPHQYRRSNLTMIGILCISIASSVVMFIAIRIFGN KPHHRNISSSAASAVDSSDEYQDGAAQREREQRGFGRSWFSKRS PFICI_07307 MAANDYYNTRPSQPQYYNTYDDNDDLRHHGTATPASSVYPPSYT TDAPHAHPDRQPTVSPFQTPFDDHVYPTGSHSAADAMSSQHSFAQDTRYHSPAAGEVS PVGDDIPLRQHPDATNSSNNKMGTPGYAMDSTDHVYDTAADGRLPPAERSAGRGRIRF GELGMLGSGKRRIPIFVYLFSIVQIGVFIGELVKAAQLTGSPIQTQPSFNPMIGPSSY VLINMGSRYVICMHRVQNVTNYDGQGTINWPCPWSTTNDADSSDNQCTLSQLCGFGGV PNPPTANATVPFNEAPYPNQWWRFIVPIFLHAGIVHIGFNLLLQLTLGKEIERAIGSI RFFLVYMSSGIFGFVMGGNFAALNISSTGASGALFGIIALTLLDLLYSWKERHNPVRE LMFILLEIVISFVLGLLPGLDNFSHIGGFLMGLCLGICVLHSPNFLRRKIGEDHFTGP SYSTVSSHNNGISSVAFPPFLRNPVGFFKGRKGLWWAWWLVRAGFLVGIIVVFIVLLN NFYTYQNTCSWCKYLSCLPVNGWCDQNSPFSS PFICI_07308 MEPSDITGASRASAQAATSDLIEKIDISQDAVADPADDQDESCH YQPLRIMAEARSRNTLSRAQSATSTKSIGRLRSNNGHGCAELDDSADETEALDRVDGS SKDPFEVSWDGDNDPFCPRSMSLLHKWTIVLIVGFGSLCVTCASSIYTSTYSQMNPEF DISTIVGTLGLSTFVLGIALGPLLMSPLSEFYGRRPIYLVSSRTMFTIWIIPSAVAQN AETMIVARFFDGFAGSAFLSVAGGTVSDVFRRDAIQGPMTIISLSPFIGPSLGPLIGG FINANTHWRWTYYFLLIWAAFMLVCIVFLAPETFHPVKLREKARALRNETGDDRWKAP MERQNKSVANSLANSLLRPFQLLLFEPMCLVLCLFSAILLGILYLFFGAFGLIFGNVY GFNLWQTGVSFLGIMVGMLAASATNPIWHRVHNKLVAENGGVSEPEFRLPSAVAGAFL VPVGLFWFAWSAYPWVHWIVPIIGSAVFGMGTLLVFTGIFTFLVDAYPLYAASALAAN AFARCAFAGE PFICI_07309 MTSQPNGVNGHTGPTRINILGTDSIVVDHGIWQTFVASDLLENI PSSTYVLITDTNLHGRYVPTFEASFQETAARLGNTESRLLTYTIPPGETSKGRETKAE IEDWLLSQQCTRDTVIVALGGGVIGDMIGYVAATFMRGVRFVQVPTTLLAMVDSSIGG KTAIDTPMGKNLIGAFWQPKRIYIDLAFLETLPVREFINGMAEVVKTAAIWNETEFAF LEENASRILAAVRSKTADPSERLLPIRPALKRIVLGSAGVKAEVVSQDETEGGLRNLL NFGHSIGHAIEAILTPQLLHGEAVAIGMVKEAELARYLGVLRPSALARLVKCIASYDL PTSLQDKRVVKLTAGKHCPVDVLLQKMAVDKKNVGKQKKIVLLSAIGKTHEPKASVVE DKAIRVVLSPATLVVPGVPKNLNVEVTPPGSKSVSNRALVLAALGRGTCRIKNLLHSD DVEFMLNAITQLNGATYSWEDAGEVLVLSGKGGALQASEKPLYLGNAGTASRFLTSVV ALCSPSTTTSTVLTGNARMQVRPIGPLVDALRSNGVAVEYLNKEKSLPVRVNANGGIE GGLIELAATVSSQYVSSILMCAPYAKNPVTLRLVGGKPISQPYIDMTTAMMKAFGINV TQSADEPHTYHIPQGTYENPAEYVVESDASSATYPLAVAAITGTTCTIPNIGFKSLQG DARFAIDVLQPMGCKVEQTDFSTTVTGPAPGTLKGIEHVDMEPMTDAFLTASVLAAVA SGTTRITGIANQRVKECNRIAAMRIQLAKFGVQSGELEDGIEITGKNYTELVEPKGGI YCYDDHRVAMSFSVLSVLSPNPVTVLERECTGKTWPGWWDVLAQSFKVDLLGIDAEPG HEEAQKPDEGPSVFIIGMRGAGKTTTGGWMANILNRPFTDLDTELERRAGKSIPDIIR SSEDGWARFREAELDLLQDILKNQSHGHVFSCGGGIVESAPARELLTAYGKNGGVVLL VNRDEEQIVEYLLRDKTRPAYTEEIRGVYQRRKPWYQECSNHEYHSPYLDGISEAPAD FKNFVKLICGQSKHFQEVKKKQTSFFVSLTVPNLSAAIDVLPRVVVGSDAVELRVDLL QDLSQEFLVNQLSLLRSTAKVPIVFTVRSKDQGGRFTYQSEDELLPLYRTAIRMGVEY IDLEMTLSSETLDAISSIKGSTRIIASHHDPNGALSWKNGGFQSWYNRALQYGDIIKL IGVARTMDDNFACKNFRDRMAAAHDKPIIALNMGPTGKLSRILNGFMTPVSHPDLPFK AAPGQLSAAEIRAGLALLGEIEAKNFYLFGKPISASRSPALHNAMFKQTGLPHKYSLH ETDDASTVQEIIRSPDFGGASVTIPLKLDIMKYVDEVTDSAKIIGAINTIIPQTTAEG GVHLVGTNTDWMGMVYTLGRAGVSSASKSKPASALVVGSGGTTRAGIYALHSMGYSPI YIVARNSQSANDLCQSFPSDYALEVLTDASHVKVLPAVIITTIPADKPIDPGMKELIG TVLRQPNSIKMSRVLLEMAYKPQHTPLMGMAEDSGWRTVPGLEVLCSQGFYQFQLWTG IIPVFSDVRSAVLDV PFICI_07310 MILAHNHNDNNHNYPRAAGLIVLNEGIGSHNDNSNNNNIYYNPY SIEASALASYNRYNTNNNNNNNNNSSSSSSSTPRLQSATSFGAPLRSNTLFPPANLFT TNTNNTAVQGLNQVPDLMPSTAEASSWYDSHYTPRGARLGHNRESSLSSLNSNGPASP YNASTSNPHIAITDSSDAFHDLGTGESNYSYSLGKPMGSENYYTSVQGMGSQTQNLPD MLSFSADSLPTTAMSSQQPSSTQKRFERGLAPSADVSHPASVASSTVGGDSQPATPSF HEPIEDETRRRKNGEPSSSIHDHIVQELLDSLAAHGDGQAEIVSEEIALQEQLSSYYD TGCANPPKLDRTMTDVYGDELYSPHFAITAASPQPQAQLAMSPNNGVFAERLALANNQ HLSAAQSPVSSSSRTDSPFRHGSPLAPSHNDFSSQSSAPQIRLNSAQQMREKQKQDRD AKALREQLARSANRQQQGTPSTISPKDAMLDFAEIDEASNFPLFPPQETNNFDLNQLS KVVPQQQQQQQQTHQQPPSQQMQPQQQQQQQQPQSRMHQPQVQQRTPLQTAFDFSMPT NLQMPQQYPFISRPRQQQQQAITPSVSSFSRMSSSDTNGSTSNDGTVSRPARTMADGG TYTCTYHGCTLRFETPQLLQKHKREGHRQANALTAATRPQVAPSPGVPDSLLGSQAGP HRCDRINPSTGKPCNTVFSRPYDLTRHEDTIHNNRKKKVRCDLCTEEKTFSRADALTR HYRVCHPEVELPGKHRKRGVPSDAI PFICI_07311 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G PFICI_07312 MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVESYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERN PFICI_07313 MNISQPVSSVIDSVDFDFLTPAEIKNISVKRIENPTTFDSLLNP TPGGLYDPALGAWGDSLCTTCNLNQSSCPGHAGHIDLPVPVYHPVFMDQVMRLLRAQC AYCNRFRMRPADLALYRCKLRLLQFGLLDEAILVEEITPKTKNIEGVDQVDADDDVED ATHMTLLREKYTEQAIRRHKLSTAEIRKGKHEGAYEARRELIKAFLKDMTKGRNCQTC KGISPNYRKDRFVKIFEKTLSSKDAAKMAQGSFKRSNALAMRQQAKAAPKKKTLETDE GVADVESAPEEDDVGFEEEEEEESDEGDGEELDENGDVVMADAALKAPKPKKNGVLPH RYVSTSEVLARLELLFEKEQDMVALLYNSRPQTKSSKTISPASFFLQTIMVPPNKFRP EARQGDGGIAEAQQNSLYKQILNASAKVAQIHREIAAAAKAGADFEGRNRNFSELHEA CVALQDCVNSLIDKDRNPVRGAAGKRNEDGIKQKLEKKEGLFRKNMMGKRVNYAARSV ISPDPNIETSEIGVPPVFAKKLTYPEPVTSHNFREMQQAVINGPDKWPGAAAIENENG QIINLKKKTQEDRVALANQLLAPTTHNQIGMRGKKVHRHLGNGDVVLMNRQPTLHKPS IMGHRVRVLPGEKTIRMHYANCNTYNADFDGDEMNMHFPQNELARAEAMRLADTDHQY LSGTAGEPLRGLIQDHLSVSVALCNKDTLFDKGAYQQLVYNALRPEHGHITSDRLELV PPAIIKPVRRWTGKQVITTILKNIKPIGFGDLWLTGKTKVPADRWGNKSEEGSVLFVD GEFIHGILDKAHLGPSGGGFIHSIHETWGPETAAKLLSCMGRLLTRYLNMRAFTCGMD DLRLTQVGEENRKKQLEAASKAGLETALKFVSLDKQGDIDERDPVLLERLEAVMRNDS QQENFDALLNAACSVVSSGVTKACLPNGLEKHFPKNQMQSMTISGAKGSGVNANLISC NLGQQVLEGRRVPVMVSGKTLPCFRPFDTNVRAGGYIVNRFLTGIRPQEYYFHHMAGR EGLIDTAVKTSRSGYLQRCLIKGMEGLKVSYDTSVRDSDGSIVQFLYGEDGLDITKQR YLHDFGFVLKNRASEVAQLSIDERGWDQDIFASKDYAQKYMKKALKESKANDAHARDP ITAEMNPNRNAFATSEKFYEKVTEYIKENKDGLLKEKGDKNKSTDLIARKYAEILLHT KYLASLAEPGEAVGIVAGQSVGEPSTQMTLNTFHLAGHSAKNVTLGIPRLREILMTAS DHISTPAMTLIANAELGTEKLERFAKAISELPLSHVTDKVTVKERVGKGAGYRLAKTF DIRLEFFDSTDYTDTYGIKKSDVLNVVSTKFLDRLEKQIKKEIKARGDKDNGAVPEVG AKAGNVEMAAPDAERVRDEDDDDDDEGDDDATNAKQRANRAEAVSYGPNDDDDDEIQQ QMEREASPDIDDELPDDEGFGGSPEPESEDEEGTDKANKATVNKTREADVMERVKSVS RFECDEVRGGWCDFSLEFDASIPKVLMLNVVQDAIRKTLVQKIEGIRDCTFVEEETVK DVRTGETSKIPVIHTNGVNLVAMQSYGDFINPNKTQTNDIAAVLRTYGVEAARNNIIQ ELSNVFGGHGISVDNRHLNLIGDYMTRNGGFSPFNRNGLKGNVSPFTKMSFETTLAFL KDAVLDGDWDDLTTPSGRIVMGRLGKVGTGAFDVLTRVHPKNGKNQWV PFICI_07314 MDRHGSPALGPQNASARVSKGSESAAERLAALKARVAAAINTSS TRGPAGAVPGPGLAPNPPPGTGPAQVPAKATSGLNVPLHPALLGDIGGSSRSNNRSSH SQQHRSSQNRNDGAKPSTQPKRKQLDLSGPPPEDVKANPYYDPTIQGPAPRQPRQLQF NDPGKYIAQANAIRRQEKLEELKKKIAASTQKAADEDADVQKNFMVDAPPEVEWYDEG FVKDNNYDLINMDEIHALIQHPVPIDPDSAKQLSVKPMYLTAKEQKRVRRLRRAAVMA EERAKQRLGLLPPQPNKVTLNNMFKVLGDEAILDPSAAENRVRREINERRDKHLQENE ERKLSKEEKVEKLARNQEKDVAREVHLLVYKINNLSNGSIRWKLSKNAEQLGCTGALI LCPKFCLCICEAGPYGARKYRKLVEDRIDFTQNAPDKHKETTHELKEWLKATDAEGNL KDLSANEARLVFAGEVKAQAFSKFSSRVCETEQDARSFLARVKMENFWNLAKSMA PFICI_07315 MDPSLESESLALDATSSAEWHATLLAPSSSADVPQNILASYDTY TGYDTPLHSDYHGIYTTTQAPAAVGSLSPQPFPRTLSHSPAMVQRPFYGYRPSASPQP RVKMESSSEYPDPEVSRYPSPHAGPAMPVEIGGYSSAASSTGYLSDAPSSSMPRSEYA LECSVYPSISAAQPPPHHQNALPYHRMNRGPRPKTRKLTSKEDANYQCEVKGCGKLFS RSYNYKAHLETHDENREYPFPCTVGDCDRKFVRKTDLTRHHQSVHMREKNHRCDYCHR SFARKDTLRRHMEDGCSKRFDIGTLDVRSEGYDSASFGPRSSATNLIAPPPTQLPPMT NTIPRSPNANMLEPVSALMRRGY PFICI_07316 MKFFIDDLPVLFPYPRVYPEQYAYMCDLKKTLDAGGHCVLEMPS GTGKTVSLLSLIVAYQQHYPEHRKLIYCSRTMSEIEKALAELKALMKFRTQELGHAED FRGLGLTSRKNLCLHPSVKREKSGAIVDARCRSLTAGFVKEKKEKGENVDVCVYHDNL DLLEPHNLIPNGVWTLEGLLRYGEDHKQCPYFTARRMMQYCNVIIYSYHYLLDPKIAE RVSKEFSKDCIVVFDEAHNIDNVCIESLSQDITEDSLRKATRGAQNLERKINEMRETD RAQLESEYQKLVEGLRDQDEARQEDAFMSNPVLPDDLLKEAVPGNIRRAEHFVAFLKR FIEYLKSRMKTRRVTSETPTFFLDHLREHTFIEKKPLRFCAERLTSLVRTLELTNIED YQTLQEVATFATLVATYEKGFLLILEPFESDTAEVPNPVLHFTCLDAAIAIKPVFDRF SSVIITSGTISPLEMYPKMLGFSAVIMQSYTMTLARKSFLPMIVTRGSDQAPVSTSFQ VRNEPSVVRNYGNLLTEFARVTPDGMVVFFPSYLYMESIISMWQGMGILDQVWKYKLI LVETPDAQETSLALETYRTACCNGRGAVLLCVARGKVSEGIDFDHHYGRTVLCMGVPF QYTESRILKARLEFLRETYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGIMVLADRR FQKKRDQLPKWIEQAMQEVDVNLSTDMAVLAAKRFLRDMAQPFRSKDQEGISTWSIDD LRKHQAQMDEEHIAELQREHEETMAQRVRSQQQAAVMALDDDYDMDEDDEREMMEIDG VA PFICI_07317 MSAETFGAAIGKPPPDASRNLETNLPGPHHDNKDVEKLTDQDEG TDEQPRPSLERWNDPQINAWRFIVTNYSFILMGMNDACVGALIPYIEPYYGISYTLVS LLFLSSFVGYFLAALTNNLVHHHWGQRGVAVLAPLARLIGYIPLCLHPPYAALPPIML FPGFGNGIEDSAWNAWIGNMENANELLGFLHGSYGLGATIGPLVATAMVTKGGLQWYQ YYYLMIGLAGLELILTTTVFWGATGAVYRATHAEATGGVRTTTRTVMQSPVVWLVAFF LLGYVGAEVSLGGWIVTFMLVVRGAEAFQAGLTVTFFWLGLAVGRVILGFVTGRIGEK LAITIYLVLSTALQILYWLIPSFVASAVFVALEGFFLGPLFPAAIVAATKLLPSDYHV SAIGFAAAFGGGGAAVFPFAVGAIASSKGVEVLQPIILTILVFIFLLWITIPGGLRRG GLEHARDTNEKLGHQLHKGYQWFRQKLGKR PFICI_07318 MAKKKPEGRSPRERALDDLVMSTNSSSIVSKRSVERIYYPDEPH FFRFFVKKFQRRSPLINRGYHIRLHVIDVAVRNFLRKPSLKRKVVINLGAGFDVLPWQ CMTRYPDSCKNARFIDIDFPDLMEKKRKVIQETTELNSMLTRLDVSKDPLVFLQSDEY IQMGCDLRDLDKIQQSISQLVDLEDCEFIFVAEVSITYMETVAADAVIKWANSLGQAE FCLLEQILPDGAEHPFAETMLRHFEKLKTPLKSVLAYPTLQSQRDRFTHLGWSNVHVE TLWSAWSSATYLTTEDRKKLNGIEPFDEWEEFAVFGGHYCVVSATNRLKQSQGQTECA ADSLAQSSSNLPSVEVETEFGETPRTCQRRFGASMLLQDYTGQEYAANLFGLGNTSRL SSVDLYGQDSATVDMKPTGPPSRMCHTVVDLGLHGNILIGGRASPSAPLKDSWVFDKV RKSWRKDRDLPVPLYRHATTRLGHSSLALTIGGKTGPSTVYEGCLLYVPDKGWLECEI LGQPHAPVFGAVVVSITGNRSSAFGGILLGGLLEDGVLARQYLRWNLSITNLEKPTIC FSPITAQDEQYGLLDRFGATAVAYKPGRLVIIGGIVKGQVLDHSHEILLVGISDESLS IVSTCQIDVSSTLPRPVLLGISTVQDAQGRVLLTGGGATCFSMGTYWNNGYYVLGFND GYTGTLPAPVEQLPKWTFAQTLELTSTPVQYRTADSSARDGRPEVQTLPRVKLASSAE FDKILKAGQPVVIEKANLGSSVSTWTPEYLVSKIGRGRSVVVHESTTAKMDFNSKNFK YVTKEFGSFVDEVQKGGRMYLRALSEEKPADRPANLAEDFPSLAEDFVLPPEVSFVAD NTFSSVLRISGSVNMWLHYDVMANIYCQIAGTKRLVLFPPADVTELSFAPGASSSSLD VFAELDTASLANTRPHEAILEPGDILFLPPLWLHTAAPVSGLGIAVNVFFRNLEGGYS AGRDVYGNRDLAAYEKGRQDVTKMASAFGKVPVEIREFYVKRLASELLQKLHE PFICI_07319 MASSTPTSLNFITFNQDHSCLAVGTGKGFRIYHTDPFSKIFSSD DGSVSIIEMLFSTSLVALILSPRHLIIQNTKRASVICELTFPSAVLAVRLNRKRLAVV LEDEIYLYDIQNMSLLTSIPTSPNPGAICALSPSSENCYLAYPLPKPREDGGASDRRP AHAPPASLYTPVTSGDVLIYDTLTLKAVNVIEAHKSPLSCVALNHEGNLLATASETGT IIRVFSVPRGQKLFQFRRGTYPSTISSMSFNATSSLLCVSSTTDTIHIFRLQQPGPPS TAEASRDRVTRGRSYDSGNDSPSSNTGSPRSDAAEASNPPPTNRRQSGSFSSILRRSS QIMGSRVAGVVGNYLPQTFNEMWEPQRDFAHIKIPRPPQRQGAGRSVVAMSSSSPQVM VVTSDGGFYVFNIDMEQGGEGYLVKQFSVLDIDDKLDP PFICI_07320 MATIALPRPIAPHRSSSGIVTLPAPITLDTQQQQQPVPNKHLPV CPTGPALSQDPNTPPPSPLQEAESRQASLLYPPDKYIRVDSGPLSLYKINAADVAAAL DYQSRQPLPDPSLVFPWFHGLHPSNHVQQAFFIARRKALRRTPVCLRGVTIVKADGDL TCAKLKGAIAPADFLQPGSVGQFLDVDPKEGFSVRNFQIQTAKSAMTSDVIIYGDNAN TLRDVAWKVASAQAKWRERHEDQRDTIPLYSTFICSSPFSIFEKDHAEIVSIDGAGEL TGDVLDFFQQERLEMYAMTKASEISSNVWLGPTPEPSSDEERQYDILIECSDLGRLNP SPLHAIANGSANCTSQIFLDFPSSGSILPPTWSHADADGILETCKWIWHISHGTLPSK NEIDDEGDTSMAEDGSIVLSPPGPKKILIHCADGYTESTMLGIAYYSYSTGQPVPDAW LNLHTTEKRNFFAYPTDVALLTAISPRLLQESPLCADKSISDINDMIRDEPKWLASLD GSYPSRVLDYMYLGNLGHANNPDLLRALGIRQILSVGETAMWRDGELEAWGSENVKIV QGVQDNGIDPLTDEFESCLKFIDRGRRNGTATLVHCRVGVSRSATICIAEVMRELGLS FPRAYCFVRARRLNVIIQPHLRFAYELLKWEEVLQSRKASSTDHRRGLFKRELEWSEI TREIALMNRPYAR PFICI_07321 MPAPTRNHPRALESHNYGPKCRLAPVVRPFAPGGGGGGVDAIPP VAAQFFYTSPIPIDDPLSTAKIAGSSDTKSASNKPQPFSEGDNNALEKAWLGLASDDY RRNHNQARRNRSPSPSLAQANATRLQEIVHDLAVKHKEKHAREGPGRENMPGVVDTLP DADTVLPLCCSDLLPDVGIQLRTSFCAVARRQYSVLDRDRVAQDVMKQMESLETDLTA TVINQQGPTSDASRGRGRGQGRGRSLAKGPSWLQENHNAPLERLPRSDSRPSSRMSTE ASSRQGSRARAQSWLPSQTSLGDDAHGALRSGGQDDGITGKPFLRVGSPEVMQFPISN SLPTSSSDVKATDKEVKDDPVTDVRASHPSLPRLPSTLPERETRTTRSGTTNVPVGVS RLHEVSLPALQMKPIYWSPVNDIAAVLRATWFYKDNMEPIDPTVANQLEAGYQDLKPY TETWNDELRCAVEVGPLGEEKVSHPLWSRPPQTKPKTKEKDIVQEAVISSNPFCAARC FKGEAAAQGSLLHSSNEDNSIEIESHRKYEQYHVIYKDASLAFLLKPSLRPSAYYGRR PVAKIMKNFNIGIPVVRGFDREEYEKIHAKKTTPHRTIPARSAASEAPESTGQDVCPA CKHAKERGQVTDLILVAHGIGQKLAERVESYHFTFAINTFRRMINVELGSEAVREVLR DGQNGIMVLPVNWRQNLSFEEGGPMKERDKAEYMPEGFGLKDIEPKTIPAVRSMISDI MFDIPFYMSHHKPKMISALVGEANRVYRLWCRNNPGFAENGRLHLIGHSLGSAMALEI LSKQPTITPPLNLDSNIRLDRFEFDVKNLFLLGSPAAFFLLLERGALIPRKGRQKPGA DPSDTSSTDVAGEAGLFGCLAVDNVYNVLAREDPVAYLLNGTIDPKYSASLKTAYVPS VNTTLLQSVGHAVRSWVPGAGPTTTNVDNPALVKPPTLRLPSQLELEVHDFTREDIAE KKAFLLNDNGQIDYFLRSGGGPLEIQYINMLGAHSSYWANPDLIRMLCMEIGRRPGRA HTLPAMRAVKAGKRALPSAPS PFICI_07322 MLSSSLRRCSGSLLRASNQASTRCASAARSSRCFSSVSAARRPL AVSATQKRYASAQAQSPDPNDNFLSGSTANYIDEMYMQWKEDPQSVHVSWQVYFKNME SGDMPIAQAFTPPPNLVHSATGGVVSSQLGGVAAGQGSDITNHLKVQLLVRAYQARGH HKARIDPLNIRGEGKGFSDIKPKELNLDHYQFTEKDLDTEFELGPGILPRFKREGREK MTLREIVAACEKIYCGSYGVEFIHIPDRAKCDWLRERVEVAQPFKYSIDEKRRILDRL IWSSSFESFLATKYPNDKRFGLEGCETLVPGMKALIDRSVDYGVKDIVIGMPHRGRLN VLSNVVRKPNESIFSEFAGTAGAEDEGSGDVKYHLGMNFERPTPSGKRVQLSLVANPS HLEAEDPVVLGKTRAIQHYNNDEKTHRTAMSVLLHGDAAFAAQGIVYECLGFHSLPAF STGGTIHLVVNNQIGFTTDPRFARSTAYCTDIAKAIDAPVFHVNADDVEAVNFVCQLA ADWRAEFQSDVVIDMVCYRKHGHNETDQPSFTQPLMYKRISEKEPQIKIYADKLLEDG TFTKEDVEEHKQWVWGMLEESFAKSKDYQPSSKEWTTSAWNGFKSPKELATEVLPHNS TAVDKKTLEHIGEVIGTAPEGFHVHRNLKRILQNRTKSVLEGKNIDWSTAEALAFGSL VTEGHHVRISGQDVERGTFSQRHAVFHDQETEDSYTPLQHVSKDQGKLVISNSSLSEF GALGFEYGYSLSSPNALVMWEAQFGDFANNAQCIIDQFIASGEVKWMQRTGLVMSLPH GYDGQGPEHSSGRLERYLQLSNEDPRIFPSEEKLHRQHQDCNMQIAYMTTPANLFHIL RRQMHRQFRKPLIIFFSKSLLRHPLARSSIEDFTGPDAQFQWIIPDPEHQTGAIKNPE EIERVILCSGQVWATLSKYRADNKIDNVAFTRIEQLNPFPWQQLKDNLDMYPNAKTVV WCQEEPLNAGAWSFVQPRIETLLNHTQHHDRKHVMYAGRNPSASVATGLKAAHKKEEE DFLEMAFSVTQDKLKGE PFICI_07323 MAQPKGTAVYKKKDGTIIISDDQKFVTWTPAAAPGGPPVVSLAV ANITNLQQTPDSSPKVMLKIFEKAPGAEEPTPYLFNFNSAGDPRGEANAIKELLSRLL ADSRSNDPNVPRPNASAAAGPSATSSAAGSGSGSMTFASAVNAKPAIARVFDDSQLKG DIALQQSLMKADRNLHEMYMEARSTKPETMSNATFNAQFWSTRLNLLRAHAIETGQKK GPYNILSVAKPISETSADPNQPDRQKLSITVEQVQLILNQHPLVKRIYNENVPPLTEN EFWERFFLSKLVKKLRGERPAGNEQPDKVFDRYNEFENITAFSSKLLTQHVPHIIDLE GNEENQGGYKGGNKKDAEMRPRGWKYVPIIQTLNSISGKLLVNVTPADTEMMDPARPE DDEVYDQLALRDLRGDTEEQRVILNVREQSRFFSAQRDANTASDDAYGKQDPSQALRG VKRDIAQLYRDDSMGVDLHAAIGINDESESDEESAKRVHVGSRSSRRDAHKQVLDSMK QRRAQLFGSTSDESTPMGLPVDIAARCNLTHATTMEFVNQFWSAFLSGDPDRAVEVGY LVESLKRCKERIDVVAAEAERAREDIIAKRKDEIIRVYERTKKKIKFNPKTIKGGRDA VEKLMQPVVHSLNLAISDYQRALASEGVQASTES PFICI_07324 MPIHKSSAIRLLQSSTARAPPLNVLTTPLPPLISHRIAAKLQAS TMSVSTASEAEIKRNPHPDFKKVEASRPDWDTNASFHYTKTAEPEWKFGGGANKLHDG ANAKHVNIDPYEPGRPAPFNYKLLISSIVPRPIGFVSTTSPDGTVSNLAPFSYFNMVN HDPPLFVLGFASGIERPKDTLKNLLESKECTVNIISEGFVEAANATSVDAPYGASEWD VSGLTPVRDCRDVKAARVGEAVFSVECRLESVREFESRATPGKKTGCLVVLEGTNFWV REDAVNEERNLVDPSILRPISRLGGITYGRLTEAMEIPRPVFEKDVGGQEGYEKLKKA QQ PFICI_07325 MRYLLISSLFIVLISSPWPARAVRTMIPKTSFNSQADFDADWDY LYPWGSDHNGGARMAKSQVSFGSGQLTLTAKKVSGQPDASHGGKAIAIHYLSGAIHAR EHFNVSRGGGYDFAGEFRATTTKGTWPAFWLTAVDGWPPEIDMAEWKGSGKISFNTFN TSSVLSWKDVTYPSPGDWHSIKCELRDINQKDVQVKFYMDGVLQATQVGGGFFGKPMY LIINLQMEGSSGSPGPTSDTLYQVRNLEVLTYNP PFICI_07326 MLSYIQIVTTPTADTPGTCLILHFDTQRYVFGNISEGTQRAMVQ RKVALSKTGDVFMTGQVNWHNAGGMLGMILTLADVLATQKEERTKKGVPEPDNGTLTN LRLHGGKNLTQFLTTARRFIFRKGMPLRPNEIRNDPRASNPGANPDWQDSNIKVWHMP VEAEGVQSIASSRKRSHEEMVHEDTAPAPTQQHPSAEETNQKALSEIVTQMFDSNWKL DALVETTLHQARLPAKLFVRNAKGHIQVYKGPLPGQAENVPDIPVLVRQPWPAAMIPN LPPTTPSRQSMSYIVKSHDQRGKFNVKEAQKYAIQKVDYKVLVAGGTVTASDGVVVTP EMVLGETVKGLGFAVLDVPEVAFIEPFIKRPEWSNEEILGGINVFFWIIGPGLIDDPR LQAFMQKMSSVKHIICSGDSSPNMLALESAAAQTYKLHQIDPERFPLPHFSNVETLSK SPAESLPSPLETGRTGKTIQLSPRYLHQDDKIIQFPDIPALAKKKEVPESELLPLIET ARQKCSNPEFLAMIEQVESDIPNRDAEVITLGTGSALPSKYRNVSATLVRVPGYGNYL FDAGENTMGQLRRTFGDELPSVIRDLKVIWISHLHADHHLGTASVIKTWHNETRESNP MAKLHVASHVHMIDWIREYSQIEDIGYDRLTFTSIKQFDAKTRICETGRMTADQQQAY GLEKIDACYVDHCHGALATVFTWPSGLKIAYSGDCRPSDAFVQIGQGVTLLIHESTFD DELRGDAIAKKHSTMSEAIGVGRRMGARRIMLTHFSQRYQKISALDETMEIKVDGEVN AREKAKLDEVILAAFDYMRVKLGDFRKAQAFLPSIQRLLDDAEE PFICI_07327 MQPVVQSNELGTLKGVEVRSKDSGKSIYYFGGVPYALPPLGQWR FRRPRPLPGGHRYDGVYASKTPVCPQPEWLGTRHESLWGEDCLRVNIYVPAETAPAGG WPVFFYIHGGFLQFGSPNMAPEALAPLLTETAFRGIIVQPGYRLNAFGFLASKELQAE ATADGQASEWTYKHIGAFGGNANNITVGGYSAGSHSTFQQLAHELFHVPPEQAIIKRA IMWSNSPGIQPKGIEEQQKQFDELLSVLGIPDSLSGQEKLERLRRVPARDIVDVQAKM KITEFRATTDDSFICPDHIAHITSGEFARRMKSRGIKLMNGECRDEHNLYRAWRTSED SYEGVHVRLCADYPEIVVQKTLRHYCGRSKSLPRGYKSWQDLFGHIYADLQVHLLERG FHNTLAPLLQPGVDLLRYRIEWRAACSPYPPEWEVTHATDMAIWFWYPGLTEQDKQIL RPWNKAFAQFVQGGAVEWGTAALSDIKRLRPDGQTDVVHVDERWDEGLHVWKLLNESD NNGKL PFICI_07328 MVSFTSVLLAAAATFSSVFAAPTSLAVRAPGELVARTASSTGTS GGYYYSFWTDNESIVTYTNGDAGKYSVTWNGSGNFVGGKGWSTGSTRAITFSGSYNPN GNSYLSVYGWTTSPLIEYYIVESYGTYNPSTGATYKGQVTSDGATYDIYTAQRVNAPS IEGTATFTQFWSVRQSKRSSGTVTTSNHFTAWSKLGMTLGTHNYQIVATEGYYSSGSA TITVS PFICI_07329 MLLFFLLLRDISWAIRGFVIASTTVASGVIGLRVLYKIRGQAGG IGWDDYTIVIGVVVTLIAAIGQFLTAWYGMGRDQWKVPTEHVTKVYKCFFVSAVGYKL GNAATRVSLLCFYLRIFGKGIAYIIIWVCIALTIIIGIVFALADALQCKPARAFWEGW DGELWGQCGSLSAISWAHSILNIVMDVATLGLAFWIVHKLNMNWRKKVAVIAMFLLGS AITLVSFLRLQALPPLSNTRNRTWNLAPVAYWSAIEMFFGMVCACLPALKKLGDATCG SGRTTARTTGYEQQPPSYPSQGHSKNSRTDPTMTFSEWNDLGNPASRDGGVSDTEMAT LAERKDDVFVVETQQTWDATKTNN PFICI_07330 MSEQLQHTLWLWPEGMYPRRVTYWLMIKGLVAHTADVKAGMTTK TNLRISLIQLDMKKGFVYVDAEDPAPKGASTPCLRITNTTTNTTDYIHESSAILSYLE KTYSTCGPTLVPLDPLGSALVDDLVGAINLAICEGGTYLKHAVPQATMWSMLKNEDRS HAAALNGQAFMVKGLLKVQQWAEETLRTTGWLTPGITGPGVVDANLAAARRYLELTYE WDIFENKELGPLAKWYSRFRILPWWDELEERDDVHPREMMFGKECREV PFICI_07331 MRVGHFHRALGFVLAAVVLPTTARPSQPPATIPSHSDSFPPPED VFNVSSMLESPLELSRRQDGMVDLRILNLGASIVYGVGSSTGNGYRSFLRDQLRSTGW NVNMVGSKRNGDMKDNDVEANPGDTVDQVATASRNSMAYQPNVVLIQAGSNDCAQNID TGNAGVRMRKLIEQIFATPGLEKTTIILGTLIPNFDNNIRYCEDDSNAPGGGVNAQYR ALASALRVEGKKVVLAEMNPVAPHPGNGWITRDDMSDNTHPNDAGFKKMAYVYWSAIE VAKNEGKLEAPNDVDFSVAKPGCEKKAGDGVYAGGLTQQGSGVDDGIYYHESVPKDIV LTISSGWDRNQWRFARLFSRAKDDLVGWFEQSPSVAAYGVWKNNGDYNTARFDKIADM TVDDNCIPRGVRFIDINGDGLDDFVCIAPNGDAFASINEGNGNANTPPTFHSIGLWKE NVGWTQEHIVLADIDGDGRADYCYWDDGGNIWCWRNGWIDDVPKYWQNLGMRFPAKGM GDAHGVRFEDINGDGRDDWLWVRDDGQTTTWTNARSCASGREGDGLNVAWRQGFYRGA SEGPTHNGMGSFASTGLRDRIHFARIFGEPQDFGLLGKLDYVFMEHVADGDRHKFNVR VWKNVGGGGTKLKADGVKYCNMMGHPNGNEDYVWTWSKGQMLMYPSRGVGRISPGESW WGPVVDPIWTPPFDIDRRDLHLTDWDGDGACDIVWADSNNGNRVQVWRNKYPDTGRWE WDHYANPAPELTCGQKRGLGIHDVPIRFADVTGNGRGDYLCMEPDGRSSGWVHNDAGA WERINQFKFTEGKDRANLRFADANGDGRADMIWVDKFNGEGWVWYNEGRIPAGGSDFT WRKPSEAAYSGYKAGTCTYYVDMDGDGRADQHSILGTFTNQAETSLNLCGGGGDSSDD SAAQSPSLPYMPGTTPGESGGDSGNSDECAAGTGPGDFEELCGFSCKFGYCPPPCTCT RYGTPEAMPEASDTIGYPANDHDLSYADLCGFSCSRGLCPDYVCQSFFPGGGFIELPN CDKRKWQCHSVDSCGVSDDIFREPKERWDYVDAGLVLSDIQSDWKAGVGLPNSQNDYI FSEYASVRYGGQDDITQRWLGPHPFQCQSLSEGSCTTAQIYCGLTDYVALDLMLISFS HVNQAFKALYDTFNDVNIKGIVNKLSEDFGPDDDEGDLATIKTALEWFDIILGFAGMK TWKDSIQRIVDLGGDISENANFFVGLYETIAGEAAEGKEKEMEGEKNLDNAVNLFIET GKGVADAALVHLFDGSDDGTEQLNALIKDGAFLLPYRSSPSAFNITKNLEAAMRHRLI PAAWASNSDLTPVVIAWEDTGETGRSESPIHLWPWGPLTGEEENTAWGWISDETARAT LIDHDGWLLWLVVYFNIPKKSEEDPRWTFREPKGFDKLGPDNTDYNGAVWQDIAVSAF EGWRAADRAQDYSVVKKAGPSGFFYEEDVKTPGFISGIPVCGLDVIHHAHQYEELDNR CSTWPCCCYEAWDKPLVSCSQVPDGFSPYGGTVTEAQMESSSETTNSFAMSESDYEAS FLIAKAHQQELHGYDTTWDGTWSS PFICI_07332 MISPSKICALLLVSSATAHYPPVSGHDFDFDVVYPSGLSFSTSN PPTEPLPLNEIQNNATLPQITLRNVESASSNELTRYISFAVVTYVRPRLDNSSVNLRT PYFAWVRANQTVSENGLLGGGGSDANNGQSDQRDMGDFKNASLHVWQQTDNVTDYLFK NNVAGTLWWELGDIWANATRIDGKPPSLVGYDFLRATVDFNLRNDTTNTTTGDDESST ATRSISSGAVMAFCIIAILFGI PFICI_07333 MSSPMEMWAAARNPPADPTNLSFKGKTVLVTGANSGLGHQAAIK YAAQGEEAKAAIISATGCPPDIFIIETLDLARFASVRDFAARIEATVPELHILQLAGG IACLEFTPGPDGPALDLEVDALSPTLIALLLLPKICATAERMTAAGRDDYCYISFVNS AACLEVKRDDLPPGQSLVQRIEDRAKFEYRKQYFLIKLAAWYLMRGVANAVDSQGEVA KARVIVNASCPGMCKTNWLRGAPIFQRLMMNLTWVVFGRSAEEGARTLVGATGLGPEG HGRLWTNDKIAPLSELQDSERGTELFKETWDEAMGGILRDYLGAFQPNRFDGFVEKTQ GSSITSHAKLRHRRFALHSNIFHFKRTLLPSPPNAVLPAHGTAIVALRTLLDADVQEY DQDAAPFPKWLRASTSSERPWFSICTMTHVRGGLTGRALTLETGTGPPTKTNVGLSSP ISYQVLPLMTTSRRDTYSALQGDIDIFLLCAQQGVHAAYEWVTVADCLSSPSMPTLIA RGGESDNLGPKIDGVCWSLTAITAIFLGVRLYVKVTQSKLWWDDYVLLVSWLLLVTAV GISTYSVALGFGRHMKDVPVDKIWELVKLTDITSALTLVGAACSKTSFALSLLRLTSG WTKATIWFIIITMSSVLCTNAILPYVRCCPSELAWNPHAHGKCFFDVQISIHFSVFAA AYSAAMDWILALIPWPIIMRLNIKTKEKIGIAICMSLGFFAGITSVVRCSTIPLLVSH DTTYFSADVMIWTAAEIATTIMAASIPVLRVFLRRMVTVRRSAGSNTNHNSYHRSAAD GTFLKSIRAESEDTGCTTKCTSGARGRNSGVIEDSRRTSRDTRKITSPSAPDSRRKIV KIEEVAIRSETRSHQIGGSSRTSTGTRSQSRSSIELQDLQR PFICI_07334 MEPVYSKELDDELYPRNSLSCDIPVRIHRNSQRVIRGARKAQAD WSALVTPSNNFKGIIGDLFSFIEVTVPECLPDRLEITSYVVEFAYLGDDTLEKYLAAK NNRAESQMLQTFGAEFDPDSKLKEDAQKQLQSQIFSKMAAIDLQRATVAMKSWATFIQ QAKNIRKESFQTLQEYIPARIIDVGESIWFGMLTFAMGLTIPDDEYELCRSLARPAYT AMGLTNDLFSWEMERDMAERLGQDHVFNAVYIIMNEHSVNEDVAKAICAKEVIKLIED FKRNVSKIKDNTMFSKDLRVYTEALLYSYSGNLAWSPATDFFRANDALRAKEFAAFVT SVVALKMASNKDDREQLDDVWERLKSNEAVSKKTLNWIENPAEEGLRSREIGDQIELP IRQVALAAGAPHPLGDGANPEASFSSAKQNATVFSAKFGEKKIFALELRTVTTPWLRR RRLLLKDDGLEFDPLRLAGDGSPAAYLCKPIVAQDLVLDYFDEVDIEDLIE PFICI_07335 MAEILGVAASGIAVATVACQIGSIVFELRQLWDQVQNVPEEING YLRHIECLEPLLSESNTRFYWDSLPHNVRNNPVMVRTTQYCRESLEDMKEVVYQLDTE LKSSRRKKRITTLKVILKRNVLTRLEKRLENAVRLLGLAQNNYLFAITMMQPEVIIQK FQALKLGYEVESPEVDRQHMDQEAGSRDVVSPQLWRDEVKEDHPSQTGKLSVAFDTSG FKAALQMPLWLSRRAWEMQSFKALGHWQFSLRSYSIRPDTAAVFATAETGTPLQLELM FREGSGSPHDCDVHGRSLLYYATRGACIANIGYIRTFGVAFSGTEHFSRTREGGYRDW SLGNSIKFFKTLPTQREDIATDIAEANVVAGNIRMARQLFENLTSPICTCTSCYVSVP LFTVSQQFECPRHSTCSLESRIVRAFHIAYNWGIPDVCVSVLEPEWSVDIPAVCRLSS SIFPLICVVAYRLGTAYSSRHEKQYYDSLVSWYTIAEIIIRHTPDIHVMCPRLEVSCK FEENFTIEPMSALLTIVHSCTQIQYASTSAFYLEAHGRLSAWIRACLHAGVDLVKYGQ RENESLARMGERCSMGVVVKGGGTNSVTQKTRFVLKNFKYGPKLEDWDITWLEMVASR SDPDESASWPIPGSWVDDGV PFICI_07336 MSGSIPPFEYEPLGSGEIRLLTPVTRESDTQVPQWRLQTVCLLD LGDGGLKGFDALSYTWGDLSETFPLVCNNQTLRVHKNLYEALPFLARRRSSQPLWIDA VCINQRDETEKLAQVRLMHRIYRQASKVWVWLGDSKECTEAAIALLPRLAQLGQTLQK SPPARWSNSHLTFASAGLPDHKSPIWEAIRAILCNDWFTRVWTVQEFALAKQVSFLCG LYEIESERVTGALMYASRLEALRDSEGRSLPLLGVSQNVGMARMQRVIALERGKHATT DVRPCPNHLIGTVYSMTLNHKCSEPKDRIWGVLGFLEEQQVARMQLKDDVNVCDLYTA FSHYIFTHTDRANNYFWCLLDRGTLDGKMAGLPSWCPDYNRLVDERTRNSISQLRSRG HEPYSASNVSSFFGSGKATSQLVLKATLFDSIQHVYPLTPLPPISIRQFAMQQLDLAV VSKLVSDLRWLITMLDDDFFSNGASSSADYDRSADQRHIGSTGDLWRTLIGNISVQSD YEITAATFSRFLASIDEFLSLARGHENMQKG PFICI_07337 MDTPALLLQQPTTATVIEFAGLCLVLLVTRTFWTYRDRGFRSSS SRNSKLIPKLKGKPFIGSAHELQTQGSASCKAWYRLYQQYGPAYEMTVPFFRLHIINH PIYLEHIQKHNSKNYIRGAFTRNMFATLHRTGIFVADGQEWQVQRKAATRAFSKKNFE THITRSLHYWLGILTRLLSNLAKEGTQFDFQALMGRLMFCLFLRIAFHEDELALDILS EHPRSLESIPPYVEAFDKATHLFDRRRRDPLWRITEKLSGEDKTSQRAVNLFYAQIDA LIAKRFDLIKNGYEPDPDAGVDLLDMFIQSTNDQYKLGGMVFAFLSAGRDTTAYTISW LMKEILHSDNRHLNAVEKIRNEAEQSGLDNTFLTYEDAPKMRFANAMWDETARLDTVS PAGQMEAAGDDTLPAIPELNMPARQIKKGDIVSYQNYVLSRMPEVWGDDAATFDPSRW FKKNGESISYSPFKYHSWNAGPRSCLGRALATYEGLAISTAILQRFDVILADDKRTYE PLAALNMGIKDGLLVTIRERHPAST PFICI_07338 MASLATSAAAVRDIAKTSPGRDNFTAVAAARSVPVTRSHPLPTP PNSISPALPPHGLKAQLQKASRLEPIDSDLDLHDGTDSESVGSTSFESTGAISPALLA KYHLPEILLNHGPMPIRYIMGYLTTSVPGFAGIPPPKARRLVVAALEGRGQGHGAEGG GVNGDVIFEKIGWGRWDAKRRGHPNRDTSSRRSPDAAAPYPAGIPIIKGPRGFDRTRL GARPSSFGNSAAFSHDDRDVSMLENEADKMSLDSLDGPASASCSEAGDDDDMIMNDDP EDATDDEDWAAVGAAALRAESYSSPAEARHGFSHVYNSGNFRSFSGAGMLREPRLDNI DLAALAASPNTQEREAIQALLQLGSV PFICI_07339 MRILCVAEKPSIAKAVAGHLSGGQQQTRNTPNKYIKNYTFDYDF GQGWGNCDVTMTSVLGHITTTQFPAEYKDWRFPPPDSLFNAPVVTTTSEDKLDVAGNI EQQAKYCQGLCIWTDCDREGEHIGHEICEAAKRGNNRIQIKRAKFSNIERAHVLNAAR HLVMLDERQVNAVSARIELDLRIGYAFTRFLSNNLKPMGEPLTAMTLSYGSCQFPTLG FVVDRYFRVRNFKPEPFWSIKVMHKREGIDVNFAWSRGRLFDRASVIILYERCLAAKM AQVTKVQEKPTKKWKPLPLTTVELQKLATRFLRMSGQDAMTAAENLYNKGFISYPRTE TDRFDSGMNLRALVQKQTTDNRWGGFAQDLVDGGYNDPRKGRNDDKAHPPIHPITYAA PAVLQSENEKKIYELVVRRFLACCSDDAKGMATDIDILFGEEAFHAHGVVVLERNYLD VYVYDKWTGNVQLPKFTVGERFEPFEAIMTEGKTAAPSYLTEADLIALMDANGIGTDA TMAEHIQKIQDRQYVSVVPRSGRQAGGEGEDDDDSPAPARGARGGRGARGAGRGRGGR GGSNAGGSRGSVMEFIPTKLGVALIQGFDGMNFDTSLGKPFLRKEMELKMKAICDGRT TRQAVLNESINQYRQVYNQSQEKLNVLKTVSFQPTSHQSVAA PFICI_07340 MALPHFLRLEDCAEWSKTVEPFLPQLYELPQRLMAHITSPHDLL ELYKQTNPLVSGFAFSLFAGVVFLVVSEANRNYSQVDRMWSLLPTIYNVHYKIWAELN NISSQRLNLVLLWSAVWSARLTFNYWRRGGYQVGSEDYRWELVKKHIGSVPFFILNVT FISFIQSVLLFLLAAPTYAILLASQHEQEISGVDLMFTAVQLGLVLTEWFSDQQQWDY QNSKRQYQATAKVPRDSPYTREDLDQGFNTSGLWAYCRHPNFTAEQTIWLVLYHWSCY STKVLYSWTGVGAMGLLMLFQGSTWLTELITAGKYPDYKHYQRQVGMTIPSLTPYKRP APKVIRTSELEKRQAQKEKAGKQK PFICI_07341 MSALTQAFSYITGFFTPPMTTETPTSYSQTIAGQPKKPVEAGES YFPESATRRSSLSSTSTGSDDSLPAPKLPRSQTGDNPLDAMMRQYPRPVQEIDVARQL ALAPPKHSLHSSLKRAATADRAIVIEDAETKARKMAAAKAELLALAGKP PFICI_07342 MAGSLFWTPGPYHILSYGTLLGTQVFHTFINATAAFKTLERPQF AVLQRALFPAYFGMQTVLPVAIALTYPGGLMAAPSGIQGALLDETNRWSVAVPLVTIF VTGLINWAYCLPVTNSITDKRRAQETKDGKKSWDPAPHSQEMQSLNKQFGKIHGISSL LNLVTLIATITYGFTLSTRIL PFICI_07343 MADKEAVVYIVDLGSSMADCHNGRIESDLDFSMRWIWDKISTTV AAARKTWNVGVIGVRTNETNTGLEDEDGYDNIAILQELQPMDLAKMRYLQTKIKPSDS DTGDCVSALIPAIQMIEKVAPARLKFNRKIFMVTSGEAPLDLDPDDISSIAEKLQDNK IQLTILGVDFDDAEYGFKEEDKTEQKATNERLLKSLVDAVGEDLATFGTIAEAVDELD MPRLKQNKPYKTYDGDLVLGEHAESMRIHVERYFKTKRAAPPTASNVVLKVNHGSQST EALDGEGMEGVEYEKGGEFAAVKNARTYKVNDPDGPGGKRDVDFEDLAKGYAYGQTAV HISESEFNITKLETTKGFSIIGFIQQDKFEPFLKFGESCVTVAQKNSDADALKLSSLI HSLHENESYALARLVKKDGNNPELVLLAPHIDVDFECLYDIPMPFAEDVRQYPFPPLD KVVTVQNNVLTKHRFLPSEDLLEAMDEYVDAMDISTYALDDEGERTLEYAAVDDTFSP PLHRVNQVVRHRAIHADKPVPPMPPILVKYRIPDHDLVEKAKSKLDDLIATAEVKKVE TKAKGKRGGKQEPKARKPLSGLDVDALLREPANKRTKVDPDNAIPSFKQLMESSDNDD VLQEAPKQMGAVIRDLVSESFGDLNFDRATENLGVLREYMILFEEPQRYNDFLKDFKK RLFTGALGGDRREFWFNNVRKPKLGLIDTTESEFSKVTTEEAAEASTRTWCISGLLFH ANILQFLKSNLK PFICI_07344 MPNFSTGFYGPLSNEDDDLAIHDLVEQTQDLTVTEDHHHLQVEE FVDGCNDDLCHDHTAEASNYYDDNDSDDSDIITIHDLVLPGDIRARQNSSGLACK PFICI_07345 MAAAPQLETAAKVSRPHDLEFGEEAEPGLSWCPFKLLRGFPHQH VKKESEKVAMWFRANIFTSRKWDLYCISDLNGEKESILMVPTAQFERMIADANKQLNL DLKIPTDSKDGALVNVFDGPILPRFVRRIDNQTGLSNAIELSKLIQPGDIAKGSFSKD EVIAYGEKIELIYDHLSRHNSKADKKEARRRKAIVRQKGWGQQLKRAQRYLGMRHKTI FGFDEQPHIFTANETQSVLFQCLHTPFPMECMVRLISFDVEAAEFSSTTITEIGLAIL DTQRILDSDPMKTSFADWGEFIETHHLRITEHKDIVNKTYVKGCPDDFLFGTSEFVGM KDVHGRLSELIRGDENFVLVGHDVGSDVKYFESLGIRLRDLAGYRDEIDTKDILRSAQ RCNDARSLEFLCRELSVSPSSHFHNAGNDAVYTMQCLLKMVQRKSAGEKISNSERDGW EPEGDPRDWSDGNEDDGGLPLVLRRLKN PFICI_07346 MASTLAIGAGVAVAAFLGRAGVVAWRRSRGGVGALGKAFYKGGF EPKMNKREASLILSLNESAITKDKIRKQHRNLMLLNHPDRGGSPYLATKVNEAKEFLE KHS PFICI_07347 MVRIETCYFCSRPAYPGKGITFIRNDAKQFRFCRSKCHKNFKMK RNPRKLAWTKAFRKAAGKEMTVDSTLQFAQRRNVPVRYNRDLVQKTLKAMERIQEIRT KRERVFYRKRMAGKRARELADARKLVQTHSHLLPRLRGSEKRRLALEQGLSQEEIARI EEQNILPTETKKVFGKEKMRQKVRTDGGVEFAPEEADETMEVDSE PFICI_07348 MGDVYRKVGRGGAGNFYSQKDVEEHLAQSSSDDVEAQKPAPADD VPSDPAIEQQQQAAPPTDNGGGGNSDAAQQPVVYGVRTGRGGAGNFIDPQTAAAAAAS SSLDSTTAVAGGGGGGPAIRQHAQPRPPTVHTTGNQSFFLRQQQQEAESGSAPPRLSG RGGAGNWGGGPSEAERRRVDEEQERKRREALDQHIFDDVRAGLKEPGRAHTRVQPHGR GAGMKGFEDS PFICI_07349 MSTATTRPPLSTHRTSISGSTNPLAATRLPSHSRNNSHTHSALS GALNAAHRVHRRKSITSSGANMAAVAAALTEAGDKMTPLPMTINGRRGTSAISKPAVS RSSVAGSLPSPPASLPTHKFAMTGKPEEQGSAIDDDSNDMSAEEGEDGLQKARVRRAS DGQPLTKDGKKSNRIELHCDKCGKTYKHSSCLTKHLWEHTPEWSLTSKLLISKHQQVQ LLEAASVLVQMNVNKDEATTPPDSARDFGSEPESAASPAASVYSDLHDGRSSADTTPP PTSEPYHGGFNFRNAKRLSNGSTFARSYQSTTSNGFLSGSISNGSGFGHHRHGSADRR PTSSGLGSSGQEDKDLAAAVELLSCSFNSNGASRDTRLPQDAPPVPPLPAQYLDHAAF TSTSFFNSYSAPQMPESFTRGQYPHTEDVKMEDSEMDNDDDDRRSRVRSEDDDDGVFG RMEE PFICI_07350 MRTRRQDKIDARATETASVPSESNPSSPKVLSISHVDAPVVKSL TSKSGEKKSKIPTLIQFPLVIILSLAVSSVGYSVTYPFTKAAIAVHARSLDTWGEYAA LMGWRTFELALGWFGNYDSYDLAALNLLSHGPPLYLLHAFYKVPASALVLTLAIETFA TYLPFRLLRNLSRAHGDPEHAPNAELLTDKPITILTTLLSGAIYTVTLFAAYATYLPT ALIVHYDSLPSIQAAHESTYVNLLPVTLALGFAATNFIFTPAEAEPEAPPRHFEPANA TLQETVSWNIWGWSNRTKTVIRRTLTLMLVTGVSTSMQTTLTVAGAEPLGAVAWASPW VVAAAITGASLGAVGSE PFICI_07351 MGMASPSDNILRIASASGSVTDRRHALAELAKSEDVEYIVGDWM SEYNMTTRGGQKIDSKGESDEFEETFLEALEPALPYLQFRKIKVVVNAGGSDTQKLYK AVTDRIKAAGLNLKVAWVGGDEVLEVVQQAIKEGRDFESLTTGKKLRNWGFDPIYAQC YLGAFGIVEALKRGAHIVLCGRVADASPTIGIAAYHYGWARKDYEKLAGAFVAGHFIE CSTYVTGGNFSGFKSLPGESLDLGFPIVEILPDGHFYITKQKHRDGLVTIDTCKSQLL YEIQGPWYYNSDVVAVLDGIKIEAAGNDRVYVTNIGSLKPPPTTKLGITAKGGYQAEA HYFLCGLDIEEKAQFLERQIRAQLDESKFHCLKFRVNGRCPTNPRNQDSATVDFRIFA QARQEQDLATSKFLRPVTDVIMQSYPGATFAVDTRQAIAKPYYEYWVALLPQSSIEHI CHVPSEGLEIPIPPPSDTQDFRYEQDTYESSNAIDLSSLGPVTAAPLGYVVHARSGDK GSDCNVGFFVRNSDEWDWLRTLLSEKKIRMLLEDDDTGKPIFRFELPNIWAVHFLLKD HLDRGVASSSTYDVLGKNLAEYLRCKIVDIPNKFLERGKI PFICI_07352 MSHAISSLEPTGSCSPRGVDIIQADKTCLLSVIDTTCSLTVPAE TLVEPIIHGFELMNLPTLSFLITYSTSRRQLLFDLGCCKAFWDLQQPIINVIDTQVPR IRVEHDLADVLIRGGVDIARLEAAIISHHHYDHTGDAATFPDSMDLLVGPEFISYFLP GYPTNEGSPVFEDAIKGRCIREIVFSADLLVAGFEASDYFEDGSLYVLDTPGHAVGHI SALVRTTTDSYVLLGGDICHFGGINLPNKYVPLPDEFTEQQLG PFICI_07353 MQPLEHSQLSEQTNVRQSPERRVQRAIKISVFFNKKESIDHDSF FRHWETIHADLVVATQAFRNNIVRYAQHYQTPEMKEMARSLGEGVLEYDACAQLWVNT WDDWLAFSNSPEYTTALADDCKNFMTLPMTYMIGYETVVVGEDYGGVSEEAI PFICI_07354 MASSKLFKPVTIGKITLSHRIGLCPLTRHRASDDHVPSELAVQY YKQRSSVPGTLLITEGTFISAADGGNINIPGIYNQQQIHAWRKVTDAVHANGGYIFCQ LWALGRAANPEVARREGITPVSSSPARLSLEFPIPHELTIDEIKEKVQAYATAARNAI AAGFDGVELHGANGALTSMEAISRIAPVFAIEVVTAVSEAIGPEKTGIRFSPWSRFQG MRMNDPIPQFSHILRNISKLGIAYIHLVESRIAGNADVESQDSLEFAYDIWKGPLLVA GGFKPDSARHLVDEQHPDKDIIVMFGRYFISTPDLPFRIRNELSLNDYNRDTFYTPMA SGGYIDYPFSDEFLAQKATA PFICI_07355 MEQNHPSAQADNPDSCIIASTNQKNQRAADGRLPLENSSSIVSP TSQPPTQAFEMDPSEMYSPMNASSLAGVSYSNLGSMATLPSGMERDDPSFVFPQVASA PGLQLGISTPGPESHPNDSDGDITNLLAARMGSLQIAEDGQLRYYGPTSNLHVHHSGF QSLSRSHIRHVATEGSEVLKRHGLDQDVPPELELYLAKLYFTWEDPAIHVVDEETFFT EKRKWMTEKIASCYYSETLNNAICAIGANLAAGEDFAGVGIDPEFFSSRAKALLDIEM DSPNVATVQALVIMSASEAAFTRDARGWLYSGMAVRLSADLGLHMDVTKHRESGLLSQ HDVEMRSIAFWGVFIHDNMWSLYLGRPWGIGMRDITVSRPPTHLDESIGRSWSAFPAI GNDMPKQEIFFPLESCTDANIILCEFMRRINTTLYSGCTVAIDTLLKFLIKTKHELMN WLENIPARLRIDVNDAEATYIPAILQLHMQFYATLISLYRPYLSSQIFRYCQAASSLD DRVVISGVMTDCIAAANHLSEILKCYQKLYPLRRTNIQIVHIIFTGCLVFVYDICTRS GLESQSSLRNLRFCCHALGDIGQIYGNATRALEVIILIKAKWQQLARARPVGGLSMKR PSFSISADGQQERGQGQEGDRAKRHHGYADRVTDAIESSVFTLPPAPSSFQSNQHHLP RGNINIEPPGVESNFYDAWQLLDSTEYNIADMNPSIGGLDEQTLQDAFASLRQDMDHR SLGLYTGASGKEASLPSDFQHTRSAD PFICI_07356 MSTNASDLLCTASTFASILPANATVEKIDWVSSGSSYGEGTLNA GYPTNATNLPALCAVTINVTSPQSSAYRFGILLPQNWNSRLLTVGNGGYAGGINWVDI AAGSRYGFAALSTDTGHNSSASDMTWALYQPEKRLDWGWRSVHGSVELGKKLVAAYYS KPASYSYYSGCSTGGRQGMREMQNFPQSFDGVMAGAPSWWLNGIVSWLAKVSQYNWPA DTPAHIDWKQLPGLATAVMKQCDGVDGVTDGIISDPRKCTVDYSALTCGNSNANSSTC LTSPQIDLLKKVYEDYVTESGVYVYPGLITGTESGMASVLNDSTGNPYGLGYVRNFLD MANFTIDQYTDSIALQALELDPGQPMADIYDISQFKDRGGKFVLYQGLADPLVPAKGS SLYYDRLVQTMGTNVSGEFFRYFEIPGMDHCSGTGVDAPWHVGQVAASDSYSVSGFED AQHDLLLALVDWVEKDKPIQSIVATTWKSPLNTSSGVLRQRPICPYPHVASWTGVGEI NQPTGWTCRPNAAATTPALTSGVGIEMGVMHHWISFMALILVFFTTF PFICI_07357 MEDATKVQGNGRIEVNLTPAVYRRLSRLFPDPKPAPSDNEGHEE DPPPSYDDVTSTRFPTPLNIVIQVVGSRGDVQPFIALGNELQRHGHRVRLATHDVFHD FIRNSSLEFFPIGGDPADLMAYMVKNPGLIPSMKSLRAGDIQHKRRMVATMLDGCWRS CVHPDPDTGIPFVADAIIANPPSFAHVHCAEALSIPLHLMFTMPWSPTRAYAHPLADI KIKTPHISPEAANYFSYGVVEWMTWQGLGDVINEWRESIDLEAVPFSEGPSLVQTQKI PFTYCWSPSLVPKPFDWPGHIDVCGFFFREAPIFEPNKQLRAFLDAGPPPVYIGFGSI VIDDPSRLTAMIVDTVKRTGIRALISKGWSKLGFVDGQEVQSESIMLLDDCPHEWLFQ HVAAVIHHGGAGTTACGLRYGVPTTIVPFFGDQPFWGEMVAAAGAGANPIPHQALTCE ILADAIRFCLRPETFAAAKKISDRMRAELGVVRAVQSFHAQLPPVEKLQCDILPEQPA AWNYRGRRQDLKLSKVAYEILKEHLKLGRKSVTLYETKPVTIEPRRWDPVTSTTSAAI GYGVDMTKAVTDVVAKPVRVYRKAAFARKQQRSLEDTTAVAPGTVQQTTLNDLGKTQA ASRPELPRPRSCGAPIGAAAVACASSLGHILTLHTKGVFVDVPLATTEGLRAIPKLYG HEVRDHGPVRDWKSGAEVAGKNFAYGLTEGIVDLFVEPVRGGRQEGALGVAKGLGKGL VSIGTKIPSGKFMQLICIMAYN PFICI_07358 MTDILSAHGGHDHSLFRDLFQNALMMPHLMDQLLAISALHLSTL APQLSRKDMRLCQAAQLRDRALEAFQTEIASENNGLYTSLFYTLSGLHSLCDTLSFRD EFSEVLDRFVLFIRSQQECRRQVQQYSNLRDSPFAPLYQTIIAAAVVVAQECGGHDYD ELLCRLETTGLNPINVEVCKDAIKRLQWVSDMRRQLHGHTDGAVHLLLAWPALISDSL INLIDQRQPEALIILTHYAAMLRRSGFWIFTSSGAILEQLISRHLGSYWQDWQEDISA IDPVWPGRATS PFICI_07359 MAAASNMSLAGQCTSATFGTPNVFGAEVLAIQAALVTGYSSNVP QAYNFNHGPITVTNASFCNVTNWNRRLQAQGGGGWVSGRFLLSDYGMAGAIGEGYATV TTDAGVTHDLTQTPAKWALNSPSNVNLYGLQNLGSVSLNDMAIFAKDLIQSYYGERPA YSYWNGCSQGGRQGMMLAQRYPDAFDGIAASAPAFNWPEFIGSGLWPDLQMWWAGKAP DLCELDAIQTEAIIACDSQDGIPDGLLSESNNCIFNPFSVVGKSFTCASTNSTMVISE IAAQVANASWTGATSTEGELLWYGPNVGTDLTGHTYGEGSGIVTTTCTNGTCSVTPYS LAEQWTTYFVEKNASFDVSKMTAEDFQTAFHQGVNQFTSMIGSNDPDLRAFHKKGRRI MGFHGLADGIIPTKGTEHYYRRVTEIIAEVQDFYRYFPAPAVGHCFGGPGGVPTTLFD SLRAWVENGTVPEHLPTTFTDSSNKTNTRILCPYPRKSVYDESCGDSTIAECFSCV PFICI_07360 MAYNATDKLLPYIIQSIFTLLPPVLFAATIYMILGRIIRAAHGE DCSLVRVSRLTKIFVWGDVISFLVQGNGASFMAIDKLASMGQWIVIGGLALQIIMFGY FGITAITFQYRYDRRSSKSSGHSWTGWRECLYMIYGVSALIMVRSVFRVLEFVLGTDG YPMSHEWTLYVFDTILMAGVMALLLIYYPDSLQFFSELEPVDSQEVLGLPHDSMPEDI PIPLKSARPY PFICI_07361 MSNLCNPYWRTATYSFYRYEPSVAAAVIFCVLFLATTLVHFWQM YRTKSWFLGALVAGCFTEFIGYAARTSSARQEPGCWKMMPYIIQSVFILLSPALFSAS IYVILGRIIQLTGGDSHAIIPNRRITKTFVIGDLICLFMQCAAGGLMGGSRALPVLYK IGNGVVIASLILQLLWFAFFVVVAAMFHRSMRRAPTIDAQRPNVRWENYLYTLYIVSS FVMVRSLFRAIEFIEGSNGYLQKSEALFYVFDSLLMFLAVIYMHWKHPSEIGALLRGE EEPCTNGLKLILMKPDTTVWSKFRM PFICI_07362 MFSIVAALQSSMPAFSSVRLALSSEPSIASLNFSTFELSSSVPV ATIDYGIEGAGYPFLDVESVTGKVQVEIKYSEQLAGLYENFSDGPLVYASGLTNTYRV ETLEISLPGRIEALQLQGGQRWQSIRLLTDESITFRDVGFIPSVLDIDRSKAPGSFRS SNEHLDEIWRLGARAAALSCLEEGSQKDLWEISHEGVFVRGMKPALTSQGAFLENYTL RFKANIQKGGFAWSIAQPLASPAKGIQLYLTGSTRNDSAFVSVNSTLLPPNSIVLGYG FSIVNITTLASYYLDSFNLPFEVEEDTWNDVKTILTKTGYLAVSVNGQQVFNKSLDDY YVGGQTIPTRGSFGFGGWQDQASLFKDVEVHDTTNGSLLYENDLTDDSVLSEYGVQSN TASVCLDGPKRDRLVWLGDFYHTVRVLGASTGQFRAAKGTLQWFLDWQIKEGLIPYAS PIGYSPQTARDAYAYGGGGQLKGYPVWAIILPDYQILGLLSFCEYVRISGDVDFANAT WPQWQLLASWILNQTSTATGLPTLVGGFLGPGNGSSAISCATVQAFKSLANVADAVLD NQSAKTYQTAAETMARNINDRLWNAALGVYAVSESNTVDYSIAGTAFCILGGVANATQ ASSSIAALDRLKVDFGYLDSTLVNASNPDTTISPNTNGFLLEAFASQNASGSTQSLLD SLWMRMITNNKTYTGASWEYISMSGDPGLGLFTSLGHPWGGAPTYVLPEYVTGVRTAV GADGFGYKRWTFSPQLGLDMGLEEASSETMTVSGEPIRVSWKLSGRTLSVVVDAPNGT TGTFQINGTTRSLVGSFTHKFDVEL PFICI_07363 MSAESSMFKIGDVVTSSSSGASRSELDVEELRLPKDFYWGAATA AYQIEGGTDQDGKGKSIWDAFTHLDPSRTNGENGDVACDHYNRVSDDVELMASLGLEV YRFSISWTRLIPLGGRHDSINEKGIAFYSNLIDQLLAHNIEPLVTLYHWDAPQTIYER YGAFLDTTEFIKDFAHFARLCFCRFGDRVKRWITFNEPYIISIFGHHSGVLAPGRCAH VGTDTKTEPWLVGHTIILAHATAVRLYTADFQPTQRGAISIVLNSHFYEPYNVRSRAD VDAAQRRLEFYVGWFGDPIFLGKDYPSAMREYLGSRLPEFTPDDLGLLQQTARANAFY GMNHYTTKYARALLGPAASDDWTGNIEETAINSEGREIGPASGVQWLRTAPQGFRKLM NWVWDRYHLPIIITENGCPCPGEDKKEIAVNDRFRQNYYGLYLDAISRAIFQDSIPVE GYCAWSLVDNFGSFAPYHYVLFETIVYFTVVTNTHQSGLLVTGLGLVSCMLITRPRNE RPKALPFTSKEQ PFICI_07364 MPPPPSRTSIAVRACDSCRRRKVKCDASAICTNCQISKISCRYT LVPRKRGPKVAAQNPDVRRRRGRKALDGTADPQRYNDANEAQLPAEATPAPAPQPDCV VGSRSYRSHEQVVPSSTAPSCEAIRNCLARSISAAMPSLVLRDAVSRCVDLYIQYVFP TSPSVHESSLRAAADKSFPDVCVTNPLYTEDWQADLEHMRSFALVTALCASVASLMPA SLLPYGMAIAQPLLTASRDMLKLFEDADVENPCSTSITTRILHCNALQHVTGKAALAN HVLGHAILLIRTMSLHSEKALARFNSLEAQTLRLIYWQMYAADKASACLKSRAYYLHE LLFEEEPTTNVCTEFQVPMLDTSNCWYEKQFEERILVGFHLIPRLWSTAANLIFEMRT YAKGDQASSKAYLTEGYMGFLGIMDGFPAWLQASRLIASGDDDDVARFRKSSFWVQRC TILVTFQCLRLVILQQCILSKIWGVMGLSDDAFTLAMTQISMVHEFLQTLDDIPFVYL QVKGEPMVRTPYSPSMSKSLTPRPLGGKNPLGWESASPNPR PFICI_07365 MTSTQNSAMRTQKPNRTHEKAPVAIAHHVEADAAATALVAATAV MKPRLFSSGMVRLWIICFFGYMVSTINGFDGSLMGSINAMEQYQKAFGLDGAGASTGI VFIVYNLAQLVAFPLCGLLGDGLGRRKTLAIGCLFVIIGTAIQTPAQTMAWFIGGRAV LGFGAAVAQAAGPVYIVEIAHPSFRGIMGGMYNNFWWIGNILASWTTYACNKTIDSSW AWRIPTLVQCFMPAIVLVAVFFFPESPRWLIANDRLEEAELFFAKYHADGDATAPIVQ LQVDEIVQQMHTFRDDNPWWDFRELFNSRQARYRTFMVVCMAFFGQWSGNNVVSYFMP LMLKQAGITNTSTQLLLNAINPIFSMIAAIFGATLLDKLGRRKMLLGGLFGALGSYIM LTIFTAEAYDNKSLVYGVVISIYLFGIFFAGGWTPLQVLYPAECLENRTRAKGSGMKF LFLNIANMTNTFGVAVGIGVIGWKLYLVFIGWLCLEIAVVFFFFVETAGKTLEELEVV FNAGNPVKKSLEKSHVTFMPDGQMKVDSMEHV PFICI_07366 MASKFPNSKPDWNNIDVIHRNVLPSRSYFFTCNTEEDAIRGHHS CLLSLSGTWKFQYNDNPFEAPDDFHHPDFDTTNWSDIEVPGHWQLQGWRKPHYSNVNF TLPVDPPNIPLNTNQTGSYITTFSIPQDMSPMAHQLRLRFEGVDSAFHVYLNGHEVGY SEGARSPAEFDISSLVTEVNNVLAVRVYQFCTGSYLEDQDQWRMSGIFRDVLLIGFPK NRYVQDFYVQTILDRQYRDAELAVQVVTHGSGKIYLKLLDGDRVVLTENKEADGVSTV RFNVPVIGPKLWSAEKPFLYNLILSFGDIFICHHVGFRQVEIKNGLLLVNGKRIVFRG VNRHEHHPQFGRAVPYEFMKKDLLLMKQHNINAIRTSHQPNDPRLYSLADMLGLYVMN EADVECHGFATIERIQLSPEDQKKSYAERIELIYGKAARWTTDNPQWERHYVDRGRQL VARDRNHPSVVIWSLGNEAFYGCNFRAMYKAIKAMDDRPVHYEGDRKAESADIVSRMY EEVETLSKFGKETSTKPFVLCEFLHAMGNGPGNIKEYVDEFYKYPRLQGGWVWEWSNH GIKTRNNAGIEFYGYGGDFGEELHDRNFCLDGLIFSDHTPTPGLLEYKKAIEPVQVEG YEASGKVTIVNRYDSIYLEHLTCEALLVGDGFATSLGPVSIPIVAPHARALLELPDFD VEDIQGELFLQIEFRLKADEMWASRGHLVSASQVQLRGPTNFGLEKSNYPCPRLLATR NELDILTNVSHWRFSLVHGRIVSWEKDSVEMIHSDLGPTLSFCRAQTDNDRRRDGLDW EEKLLRLAFSSTRNVTWSTQDSSIQVLVTTRVAPPALSWSIDCVTRYIFSGDGSLRIE VQGTPQGSNLPATLPRVGLTVALSKNFDTVEWFGRGPGESYKDKKLSQLVGNWFAPVK ELSVGYEFPQENGNRTDVRWVRLCSTNGPTTVGQTSSSILQASFGNQEGFSFSASHNT WKDLEDARHPFDLIERDYVELRLDADHHGLGSGSCGPKTRPEYALDTKPFQFELELR PFICI_07367 MLPNIQLTLIQAAATLLAVTAQPLSQQLSVSGGLAEIPSPPSPE PIEISEVPMPPVVQGNASCSTSLNHRGTGCISQEPGLTGVSFMPDGHHLVVPMVFAGA PSAPDPASIYTGNQLVLLKIDGSTFSNGDTWKCITCGVPDENAVGSATSILDYPQAFR DGKRVLAGTNIIECGDFLLAEDACTP PFICI_07368 MPLSPRYDVTNVNLLIDSAGSLVIVVKGDSMRINRSAIVIGETR GFSGDGLEVTYIGYNFESCNVDVFAVHLRTGMVRRLTAYPEYVDPVDILPDNQWHVVE DTRLTGRQMFLAVMRGIPPIIDLLVSGAVLFTRNNGERRFFQPWLLDRYGDRGSYIGQ ELNGASNGTPGSGAVDDPEWNARADPKWSLDGTQIVYFQRHTISPECGGINPLPCYAS SEPGGRIDRIMIANLTSRNPLPIREVDPISDNIPWAIPYTPGMSFSGYQISPQSGVYN LKGAKSGEAQVVYNSGDNENAAPWIAVTYTNYSDDGLSTLGGYENATLTTTGVTSILV DWYSNITQTGEVKGTKVTSHDGFHLAIDIMTNIFSTNGTLTTTINGVSYYQPADGT PFICI_07369 MMMAEEANGTASATSDTVDDIPVSPTTKTAIAVGLPQSSALESL SMRADPDAQATVTDYLDFTEYLPADVNRSLTLIGKLDQAYIDASAQVHELTTTWGKLP SLPANQRPAPAELRADISRNLSQAVNSRISSHAEAQRMSEYVNRHVNRAKTILAKLQH MRDNYPTEEQKSPVQTRSPQLTRTPKVSLPLDKDGNRIRRPPRITVPGEVLAPYDVDF NAYTSSSEESSDEEEYMSPTRTTPAPRIKLVKGSKVSKQKVPKPPRPSRQLPNDGLPI PSTSSALAKLKPPPDDAVLGGPDLPWLQLTPYELAKLRKRMKKNAVWNPSDTMIAREL KSVGRGVEAYKEAQQKAKDEGKPFDAPLPTLVTDGSGVQHPPVGAISTEALGAEEVKL SNRGMKLNEAKKLKREQMAKQAADEAEESARRMKALAGSILWNHEGSPSVGAGVIEAP NPQPAQTPAPTPAPVQSTSKAQAKKRKRDSVTETAVPEVKTESQENGDSTPAQPVKPP IKRTKTETPVPPPQLTPRPTSVPPAVATPVPPPQIAQSATVQITSATPVPVPVPPTTQ TTPQVALQPQPPQPPPAAAAASTPAPAPAPTSAPTPSPAPAPTPASAPAPAPAPAPAL VPTQTMSQAGSQATQLTTVLPERPTASPSTSTSPAPPPSTTVPNTTTTTTTTTTTVPT KPPAVTPILPPKTSTTPILPPANHLTKRETRKDAQKNVQPLATNTKQPSTPRQNTPVT TPGPDQPSMNLRRPSSRGQGSSVEPPPTTLAAERPRRTSTARNTPAPEIRQPSKRIKR PAPGVISTSAGGAGSTSAIGKRTAAPRKKQRVKKTTQAEQEPEIEVDDEGNIIDPHEP RYCVCNGVSFGTMIQCDNVDVSKREDSSDSESDSDNVPLSNARSSRSGCKSAATASKS SANKNRKRKRYGSILTKHPTQNCKQEWFHLECVGLTEIPARTTKWYCPDCRVLLNIGG RGEVTSRGVKL PFICI_07370 MGQAPETQAAAPQYHAESASTPITTPQPAHVTAEDHAGQKPTSY YSSPAPQPQPAAGGGQPNYFHNAHATAYSETTPLHLLGPAPAAIDCPYCGRRGLTRVD ESDSSMTILAGIGLGVICICLACLPCCLHWFPDHDHHCSHCGRRVAHVPQSSGVAQVV APPHPQQQGYQPTPQPHYGGQTELQQKEQQQQQQQQQQQQQQQQQQKQLPPAPEHNQF NE PFICI_07371 MATEIPASLKESVDNSKCEYRRLGKSGLRISVPILGCMSFGDPK SLSWALPEEQALPLLKAAYDRGLNTWDTANMYSNGVSEEIVGKALREYQIPREKVIIM TKCYWGVGEQPEVRHYVHRAEYEASKDYVNQFHLSRAAIFNQVNASLRRLGTDYIDLL QIHRFDYDTPLEETMKALHDLVESGKVRYIGASSMWAVNFARLQFTAEKNGWTKFISM QNHYNLLYREEEREMNRFCDDTGVGLIPWAPLCRGHLARPPSDFGSTDRSRLEKDAAP GSHGTVEPDLTIIGRVQELAEKRGWQMSHVALAWINKRVASPIIGFSSIARIEEALAA RGKVLTEEEEKYLEELYKPKEIAGHA PFICI_07372 MMVEDRGIQLEAVVIALLALCWLSVTLRCYTMGFLLRRFYPEDW LAIITLFLYSAYSAFCLLGVHYGLGAHVEDVPIDERPKALFYKWAGQVSYVVIAMLVK FIVGLLLLRLCVGKKWQCITLWTLLVVSGIYTVFYVFMVVYQCQPVPFYWYRYDPNPP ITGNCNGTALAIIPTYISFIISVVSDWILALLPISIVWNAKMDRRSKISVACVLALGS IASMATVVRIPYAKQLLSDPDYLYNFTDLAIWSTVEIGLGLMASSLATLKPLFRKLKI LVVTKSSTLPYHHSRPGHSRARSGSIFHGKNKEIKKLQKSPTIAHPALSGWKALHDKP AAPQEAPYELSLAAETSSVRTTITANHPSLDGGSGGASRPSPPPPTYQDSYRRTSVSY QFSHVDPRQLDHGGMF PFICI_07373 MSHSKPAILFIIFKHGAYHPPSCYSRLTEKLETAGFELVTPRLA SLGEGKVGITLDDDVAVVKTAAKALIDAGKEVVLVAHSYGGFVTINAAKGLSVTELQA QGQEGGIKHIVYLSACFKEKGATANEACNPTCAVPPDFDDIFAIHMVGEKVSCSLKDT ETTRRYFLQPASDEDMNAALAQLEPMCVDIFNIPSPTGPSELSIPQTFFVCGKDHVVL PETQEKAVADGGMRAIRLPESGHAPYLNLRKSLQTRLSR PFICI_07374 MQVSRNTTDLGGYSDKSCTHEHVDFTSKETATITSDKTATTTIA TVTTTSTAVLSTTTTTTISSTSTSTISVTVTVTVTMTQSTTAIATETTTAPTTTTLTS TETDITVLTTTETDTDTETSMTITTISETDTDSTTATTTATVTSVASILQINGPSCNA PDSSLNPGVGGCSNNCYCDRRPYGPPGICDTFNPDLGSTCGPPCAMDIDCPDGYGCSN GAYVVGVCGGVVCVPFTGCSSSFVPAKKRGLLSEVISDISPRDD PFICI_07375 MPPQRRVSSFLELLFYANPTWIDYGLMLLGTLCAVGAGVPFPLM GILYGNLVDNMNDATCEADSSGTSQQYSGEINQKVLQLVYLGIGAFFLVYGYLLFWSL ASQRLSQRIQAKYFSLLLRQDAEFFDLRSAGEISSRLSGAIQAIQAGTSEKVGILISS MSFLITAYAIGFVKYTKLTGMLTYLIPSMLGSSYLCSYLSQKYGSQMSDAIDAASSTA SEALNHVAVVHAFGAERPLGQKFTENMTKAKGWGTKKSIYVGAQSGFLYLLAFTANAL AFWQGTKAIAASYQQNGEAVSVGDVYTVVLLVVDACTMIGQVAPLLPILATATTSFVK LKQDMEILPKIDVPGEKGACLEPTIDPRMEFQNVSFRYPSRPDVEVLKSVNLSFPAKS HTACVGLSGSGKSTVAALLCRLYDPEQGQILLAGHPIQTLDVANLRGFISLVPQEPSL FDMSILENIALGLSSAIKPELRDLRQALLGSSLARLAADLRKGVPFATASEAYGPDIS KIARLVVESAELADVTSFIQRQEFGYGTIVGQGGKLVSGGQRQRIALARALIKDPSIL ILDEATAALDSASERRVQAALDRAATGRTVITIAHRLSLVTNADNIIVMKDGAVIEQG KHAQLMAVGGQYARLVSLQNLATETARPRMPRGSIESRASYESSTIETLYTDTASYKE ITTKKQENEEKEKSQSSSDEAPKGTAIGTMARILRPHLLWLTLAMVAAFIVGCTYVAS GIIFGNTIGIASPCTPSAIISSKGRFFALMYVILAIAEGAANLGSWSAFGYVAESLVC STRVKMFQSLFKQPLSWHETGNRNPSTLLSLITKDASALGSLSGSIVGTCMAVIVNVI VSLIVSHIVAWKIAIVCVAICPIFLAAGWMQLRILSRFEKKHDDAYAQAIGISVASVN SIKTIAALSIEEETIERYKRILKGPNRDVMKASTLSNLWLALGFSTGNFLYSFTYWWG SNLIIKGEYSQTQFLIVLVSILVGAQMWGQLLVLIPEISRAWSAARRIFNILDFKDSW DTPQDNIEALNGAPNGTDDEKLVGSRTSANPSGRGMAIKFRDVCFSYLGRPDIEVLHD VCFEVTAGQFCGLVGPSGSGKSSILRLLQKLYEPTSGGIEVDGRTITESDNSEFRQAV SLVPQDCALFDGSVRFNVGLGARRNQTLTDQDIEEACRIANIHETIMALPRGYETECG ANGTHFSGGQRQRIAIARALVRKPQLLLLDESSSALDAESERALQISLRRAAERTTVI AVAHRLQTVKMADVIFVVDEGRIAGRGKHEELMQTNETYRENALHQMLS PFICI_07376 MRPRLSFERLSFDTSTERDGDSSTDYDSYEDLPRVASMITDIDR GEIERIANTLSWRESVAVDTSLRRISTLGAHDHRLEPSHKDFDIGRWLRRTLQELQSR GVSIKKTGIAYSNLKVSGTAPALQLQDTVSSMLGAPFRPETWDFGKKDHKVILRNFDG LVESGELLIVLGRPGSGCSTLLKSMTGQLYGLSVDEGSTVSYNGISQKVMMKEFKGET PYNQEVDKHFPHLTVGQTMEFAASARMPSNGGGDMTREERSKLLAEVVMAVCGLSHTY NTKVGNDFVRGVSGGERKRVSIAEMILAGSPLCAWDNSTRGLDAATALKFVETLRLTA DLTDTTGIVAIYQASQAIYDLFDKATVLYEGRQIYFGPASHAKAYFENQGWYCPPRQT TGDFLTAVTNPSERQARPGMENQVPRTADEFEHYWRHSAEYLHLHKELQQYHLRHPMQ ENSESLAILRQQKVASQAKLVREKSPYLISIPMQIRLNTKRAYQRLWGDRAAVTTTMI SHTIGALIMGSLYYGTPDSTAGFTSKASAIFLAVLINGLHSLAEIATMYDQRPIVEKQ VSYAFYHPSTEAIAGVVADLPIKFFMAVVFNITFYFLVGLRRTPAQFFLFFLVTYIGT FAMSSLFRTMGAITKTAPQALAISGVMILMLVIYTGFTIAIPLMHPWFSWLRYINPMF WAFEILVANEFHGGEFSCDAFIPAIGRVGDSFICSVVGAIQGQETVNGDAFIGESYEY FWSHAWRNLGILIGYTLFFMFLYFLASELNSTTSITAEALVFRRGHVPAHLLHGKSGG HDEEMMPVSGAKNEEYSSGSDMRAIEPQRDVFTWQDVVYDIEIKGEPRRLLDHVSGWV KPGTLTALMGVSGAGKTTLLDVLAQRTTMGVITGGMFVNGKPLDAGFQRSTGYVQQQD LHLETATVRESLRFSAMLRQPKSVSKQEKYEYVEEVIKLLNMQSFANAVVGVPGEGLN VEQRKLLTIGVELAAKPKLLLFLDEPTSGLDSQSSWAIVALLRKLADAGQAVLCTVHQ PSALLFQQFDRLLFLARGGKTVYFGEIGPNSRTLLDYFESNGARLCDDDENPAEYMLD VVNNSWDGRGNDWHTAWTESPESRAVQREVGRIHAETKSVPLSAGPGSDARAEFAMPF STQFIEVTNRVFQQYWRTPSYIAAKMALAIGAGLFIGFSFWHANTSLAGMQTVVFSAF MLTTTFTSVVQAIQPMFVTQRSLYEVRERPSKAYSWQAFLLANILVEIPYAIVMGILT YACFSYPVFGIQASERQGLTLLFCIQLFIQASTFAQMTVAAVRDAQTSGAIVTLIVLL CLIFCGAMQPPSALPGFWIFMYRVSPFTYWIDGMISTQLHERDVVCSAAETSVFNPPA GQSCGDYMADWLNTVPPPPGTLQNPDAMADCRYCSISVADQFLAGNQMSWDHRWRNFG ILFAYMIFNIAITIGTYWAFRVKNWNSGTKKNKKA PFICI_07377 MVRVSFAAASLASLTRLAQAAQPFLGSGPLPVSQLRQQAEQPDD FSVAAVAASYPTYNFSVPVDHFHNDSKYEPHSDDFYNMRYWFDARYYKPGGPVIVLAA GETSGVGRLPYIQKGVVAQIANATNGIGVVLEHRYYGGSWPVSNLSTESMRFLTTDQA LADTAYFAQNIVFPGLEEYDLTSNSTAYFAYGGSYAGAFVAFLRKLYPEVYYGSISSS GVTEAIWDYWQYFEAARIYGPKAGVEATQKLTNIVDNILIGKNGTEYPKKLKTLFGLG NVTKAADFASAIANGIYSLQSLNWDPTQSSDEFFVYNAIVGNDSVVYNSTETYRATVE ELIEVGGWGNETDSLSNHFLNYVGYVRRSISASCSGADQDECYGTDDPEFYAQDDISQ TWRSWPYQYCTEWGYLQTGSGAPADILPLISRTIDIPYSAAICVEAFNITTPADVEII NKYGGFNFSYPRVAIVDGEWDPWRAATPHAIGLPDRPDTIEEPFWLIPQAVHHWDENG LFPNETTAELPPESIKEIQAYEVEFVTEWFKEWQAEKSLLGIVKDRAQELTYGLYKQY NY PFICI_07378 MADHNLGEKEKIEAPHTNKIPYWRIVFDQAGVTDAVLNHPYAGS GTDEDPYLVQWIPHDPRNPMGFKTSTKWFITLIVALATLAVALVSSAYTGGLKQIIME FGISQELATLGVSLFVVGFAVGPLLWAPLSEIFGRQVLFFITYAALTAFNAGCAGATN SYSLIILRFFAGAFGSSPLTNAGGVIADMFPAAQRGLATSLFAAAPFLGPVLGPIIGG FLGESAGWRWVMGFLAAFSGLFWIVGSFLVPETYSPVLLRKRAAKMSQMTGKVYVSQI DADRGRVTLGQSLKTALSRPWILLFREPIVLLLSIYMAIIYGTLYMLFAAYPIVYQQN RGWSQGIGGLAFLGIMVGMLFAVAYNIPDNKRYIKTEQKNDGYAPPEARLPPAMIGSI ALPIGLFWFAWTNYPSIHWMASIAAGVPFGFGMVLVFLGILNYLIDAYTIFAASVLAA NAVLRSLFGAAFPLFTTYMYNDLGIHWASTVPAFLALACVPFPFLFYKYGPAIRSRCN FAAESEEFMRKLKRQQDEAAAMEEEPQTPETEDGEDDDSSNTLTQTHTGATRASKRSS LARVSSNHLHRKATYEGNPYDIDRVNTRESFARAASRPHSRASRV PFICI_07379 MPFIPNTPESLLGRSDSLNPESTCRGITSNGRPCRRPLGASPSG SPAASRTKVPKRKKNLRVDDPADPDLYCWQHKDQASLSAKSSPGPGRETTPILEQRES LDTLIDRLGIVEAQKRKKKPANGGMASGGRVSQAAPGSVEKPPMPKPKTKKKEKTFCC CFTIATYEEELPARPKPRPIQPHPSAAGGSSKPPSNQYLSPSSAQTSRPGAGGKSPRR SSAKSDASQTSQYLQLIPPSASPQTASQLMAELAKPISQQDEPGYIYIFWLTPESQPK APPVDAARDLLAPPSRSPGRQRRTSDVLQSYATQKDKSSKTILLKIGRASNVQRRLNE WTRQCGYNLSLIRYYPYISANAPSTPRKMPHSHKVERLIHLELAGAGLRVSDKGTCDA CGKSHREWFEVEASRKGIEMVDEIVKRWSDWDEGRL PFICI_07380 MCGIQSPRPSQPLGGALAVLNAPTCSSPSSRLRALSGHMVFHGL PATSSSSSSSSSLSTPSNMATHITYTPSLLKEEDYDDATEKHPLDGCVIGIHSTGDLR TTRRSTTPESESEDEQDEDQYEQMLLLHRLDLSAACPSLVRTARSTELRLEGPLEIGV GGVGIIGRKVSVWRDSGNGRGVRIAEGIVGFN PFICI_07381 MDFWSRLIAHTPLASATSSKDAARDPVRRRQRFEKEYSQLLHIW RNTSNIARDVDAAENLEIRLQELSNILADESRRPLPHPCITFSAVKQIYVPIAKIATT SYNEWIIKEAVTFFATLIESEEEAFVENEAFSASLTNLLIRITGANSIRLGAETEVRV VEIAFNITTKIRLEPHILPAWFKSHQHESGQDGKPKDEREKFAGKTQKQDFPLFYLLM DYIHHEGKVGDFARTGLLYIIESASNSAELEQWIVESDLSTLMATGLGALYSQLSRKL VIDHPPQDLPPVLALSDYQHPSSTFEIVSSCSPDFKLHLDTFLAHLLFWQDVLNHCRS TEVKSTLLEHFQVIFLQQLLYPSLLESSDVDGGSSVAVLTYLRRILEALDHPDMINLI LHYLLALPDAEMPKPSKPEAAISAARKRKSMDLATMMAASKIASEYTPLLFNLVDLIQ SCLKSKNQQTVCVTLQLLAVILKRHHRYAIITLLHTEGVHGSSSQRTRGAHEQEVEFL TVLAGSVGGQDNFDEIYDNIVKDTMTRLEAHPCSLRLVAPKVSINNHKLPAIPDSLPG APRDVPHHSLSPEDPLLNSLLDLLETFFVNPVETNLSLTETIVDMAVCGYITMEGWLL RSPQRYSFGEDDELLPEPTLDPSSDSFTEAQKLKAIERCRRRPLWQASSLPRVLNILE DLADQVASFKGSIPRFEELLQQRREAFQTADTIVQNPLPVRPATATATPVSERSSFDK SSRPTSPARPGGLESFAQRLLSELSTPTRSSGTRGRKNSRATTSAGTPGGTPSSRPEP PKQFPMNHQSPTRGGLSRENQQQETPGSGERQGPVASQAAAFAAVDQSILLRKVKLPA KESDVVESIPLDFGKKPAAAEESAETSVDEEGHESTPKVEEQQGDNDAADPTQTPSET ATPDGEKAASVSHVLTNTIIFQAFLLELAALVQVRAGMFSEVRFI PFICI_07382 MGFFASRKRQQPSSPSSPTLKVDHLGYPIGSSRPQVTQPQDSQV QPPSQPQVQGGYAVQPPPYPEPQRPYGPPIIVNQHYYLSPVPPYSAPSTCSLTHLGKH NLGSMVDLANKLVPYEVVHQVIDDGLPRWHCHASQLLNQTAALYDQIQTKFNEVMTSI DCDRFSGHEGDLFQYQSTPHQASSTVSPPAATGDKSRAKKKGKKDTTKGQTTAVVSSL AQGGYFAKVDLYANSRLPDNLPPLRLYIPTWPLICLASQYSERVYETPRGSERDAYVD ADWRTGTKAMCIKSVPMDHMHTIVFAIRGTATFMDWAVNLNTAPTAPTGFLDDTSNFC HAGFLSAARKMIKPVAARLRQLLEENPNRSSYSLLITGHSAGGAVASLLYAHMLSTSK AASSELNILTGCFKRVHCITFGTPPLSRVPLQKPERFELRKSLFITFINEGDPVARAD KAYVKSLLELFASPAPNLTPTKTDGKSLSSPPNPPAKQSKSKDKKSKTTLSSKKPKNA VKPPQTKAPRPVWQLPPSTLSNAGRIVILRSGDPKAKLKGKKTVEERLNEGVLAQVAS DEQLRNVVWGDPVCHVMKLYAGRIETLAVAAVTAKRWLS PFICI_07383 MVDEQYESRSGYKFSSPASAQFENSSGLFSFKKYIEDLENRLAT VEHDLRRSGLAKDDASTEASSSQDKEPEAQSLCEGRSSIGLDEETSRNQSLISPSAST QRVLPQTDLPAIELPTTDCPGMNLQYWQGCAMRRKDMRAHTRFDKFQQQVFAPLFAEE PNQVFIGPVFDEIMAPFPFLDWVLFKTGLTSELSSFCSPSWRACVNAVLSMTVCFRAA KSAFAELQGDAWAYFKTAFGLLPQLMAEGKDLEAVEAMTSMSMFLRGTPDAQNLSLLV SSASRLYQIVSMRRNKVSDAAHDVPEPQRSSHLLWALHSMDQELVFRHGLSPVLDMRH QFLNELESSGSLDTPMINLFRKRTSLAVIQSQINNLLYSNTKPDLESAPLAGQIYKLA TGLQQWSSEMHLVIPTAARASTINELELSLLIHVDDLYLAHYHTILMIYWPLRGFQDD NIINAPQIISSSDFTSLRDLSRTKCADASQETLLLIGHFYSLPFMSLWSFLSYFVSAH LTLFAAVLAQPRGLVAAGHLSAMEVFMTSLKLIISENGFDIRRVASACAGMTSISRAV TKAATAINSPSQSETDHITQQAETALDLVNQATSPMYIAQNWMSNLKNKDYDISVALA RVLGIPWDLDQRYGPLVPEALMPETYAFGFAESKEYSMYRK PFICI_07384 MQFKLLIVSTLAAFAAAAPQVGGGNDGDVTVGSAGDVCGQDLEL SCCNKGNTDDHSTDVASGLLSGLDIAGDLLKGAALFDGCSKLDLGLGAVAGLGLTDLL NQQCKQSVACCQHSGGAPQQGLINAQLPCVAVPLL PFICI_07385 MHFTTHLGLLGLSSVLAAARPQQLAGPQTVTVCGSECASVITST VTVFTGCSETSSVPVTTISSSTDSSSLSSQSQSSTTDTSLTWTSRITSSIIITVSPST STSTATLTSETTDSATSSTGVTTSSNSATTGTGVSSSTESTTCTDTTETIPGSTSSSW GSSVTGPTSSAPTSSGVSSTLTTVSWSNTTSTVTETSFTGTATSSTATSSLISDTLTS TITTTDSTTTATRTSFTWNSTITLGTSSTDATTRSSTWSTWITLSTTSSTGSSTWSSS VSESSSTPASTSSTWATSSTGSSDIPSSSSIGTSYSVIPPPPTSSWITSSSSVSSSSS GSITSSESTSTITITSSSTLATTNTRSTSSSEVSSVPSSSSTTTESSSTESSSSATQT PGTSSSLTTYSVSPIPSGSTSTDTVTSTTTTGTTITDSSSISSSVQTESSTSTLTITL TSSTLVSSSSSTFSTDTISSETGSISSSYTSSSATAPTTTTTFYSVQPPPPPSTWSTS TGETTSSNDAIGTTITTITTSSDLTSTITVTSTSTPIETLTMSSSVTCVYQSTSYGGP PGTYSCYTVVPTPTPSPGTTTTTTGTDTPSWTTSYHVTSSSVRVSSSNDAITNPPPST SLTTTTSSLSSSSPVVPTPSVTTTCVAKDYGAHTCYAVTVDPTAISTTTTAATTTGIP SSPSPSPAGPSPPWSWWTGGRYGSGAGSHERPSEHKFGDAHGGDFEVSH PFICI_07386 MSSILNPEPASAPAPAPAATSTPSRAAELMSFSNILSSAEPLPK PNRPVIMAEKKEKPARKSKGRESHLRNSENKKVSRRSSSGLNDTASRGAKRQSNGTVK PKQLSAEKEKKIEKVLEQLEAQADDVDLSELEIEKELYDDRSKRRRTELKRIEKSDNK LRRDEFANYTSKKLGSQAVFGKRRYDDLHYEEAMTEVREREVYAEKERKKDMQRKRRR EKSMQVTIEQKEAALARALAAEDESERQKHLREAERASKKAQQTKLILQKGIKGPARN IGSSDINLEGGTQSVFLLGSDAEPSSKSKSKRNGTRPKKSKEQKQAEKDAAEAAQAAI DAGEELPPAREEQKIRIKLSKSSSNLKEAKDKDKDSEDAKETKEKAVDDVPANPLETK FQSKGYNQIYDQIWRDLARKDVSRTYKLAVDSYATKASNLKKTAILASKEAKRWQLRT NKGTKDLQARAKRCMRDMMSFWKRNEREERDLRKAAERQEIENARKEEAEREAARQKR KLNFLISQTELYSHFIGKKIKTDEVERSTDNPEIAPAADAATTEKKDVAEPTGPVGAK VTNFDNLTFEEEDEEKLQAAAVANAQNAIAEAQQKARAFNKKDTDMDEDGEMNFQNPT GMGDVEIEQPKMLNCQLKEYQIKGLNWLANLYEQGINGILADEMGLGKTVQSISVMAY LAEVHDIWGPFLVVAPASTLHNWQQEIAKFVPDLKCLPYWGTAGDRKILRKFWDRKHN TYRKDSPFHVLVTSYQMVVSDVAYFQKMRWQYMILDEAQAIKSSQSSRWKCLLSFHCR NRLLLTGTPIQNNMQELWALLHFIMPSLFDSHDEFSEWFSKDIESHAQSNTTLNEDQL KRLHMILKPFMLRRVKKHVQKELGDKIELDVYCDLTYRQRAYYRNLRNQISIMDLIEK ATLGDDQDSGTLMNLVMQFRKVCNHPDLFERADTTSPLFSGYFAETASFLREGNNVPV GYSTRSLIDYNLPRLVATEGGRLYKAGKDNEKAGFRNKYLNHLMNIWTPEHIRDSSSG ADAFSFLRFADTSAEEVYKASHQDVYARSVDIVKKGNRLGHMNVMYDDPEDQNYTPAH ALFQIRARADRQPLADITQEGILSKLMNITKSDRQFSGLSRLEQAALPGATAAPIQIS CEGTRAPLVERDDTLFNVPMRKALYGPNPLEEKALVTQNYPLERYPPTKMLPPPDNEK RRFTNITVPSMRRFVTDSGKLAKLDALLFKLKEEGHRVLLYFQMTRMIDLMEEYLTYR NYKYCRLDGSTKLEDRRDTVTDFQTRPEIFIFLLSTRAGGLGINLTSADTVIFYDSDW NPTIDSQAMDRAHRLGQTRQVTVYRLITRGTIEERIRKRAMQKEEVQRVVISGGGARG VDFSGRRPAENRNKDIALWLADDEQAEMIERREKELRESGELEKQTKKRGGGKRKREA VSLDDMYHEGEGHFDDGNKASGTATPAEADTPAAPAAKKRRGGGKKAKTAKQRLAIAD GEIDM PFICI_07387 MVSASKEKRLAAKAAAGGGKEKKTVASRSKASSKAASQNVSANG SEAGDENPSDVEDVATDGKKMEKVKRLADQMDKFGLTDRVTTGVLASTKASKDVKIIS TSLTFHGRELIKDSTLELTMGRRYGLLGENGCGKSTLLKAIAAREYPIPEHIDIYLLN EGAPPSDFGALDWVLNEAENEMKRLDELAEKILEEEGPESPVLMDLYDHLDKMDPSTF ATRASLILTGLGFNKTTINKKTKDMSGGWRMRVALGKALFVKPSLLLLDDPTAHLDLE ACVWLEEYMKKWERTLVLVSHSEDFLNGVCTTMIDMREKKLLYYGGNYDSYDKTRREQ EVNQLKAYEKQQAEIAHIDKFIASAGTYANLVRQAKSREKQKEKILENLVEKPKVDRE FRFKFGDADKLPPPVLAFDDVTFSYSGESKDDLYRHIDLGFDMDSRSCLVGPNGVGKS TLLRLMTGKLSPTDGSVRRHTHLKLGLYSQHSAEQLDLTKSALDFVRDKYREKSQDIA YWRQQLGRYGVSGNTQTALMGTLSEGQKSRIVFALLAIDGPNMLLLDEPTNGLDIPTI DALAEAIKELLDKIAKEILVCENKTVTRWDGTIGEYKNSLRKKMIANGSV PFICI_07388 MSAASFSPDSNSTSHSGRRIGAHISRRDSGCYSDSCSSEPYPYD AFYKDKPTPDYLNSQAIDQQISGWTIVQIGLRIISLFLSGMVAGCSIRGYLTGLILWL PLVIVIVLWEVAELLAFAVQRTHGLPRKAHVIVEFLIALGALAYTGLSVWEIFVFRGY RSIVEFAVVTGLCAVIS PFICI_07389 MLLPNLTRKHLVVLLTGACFSLLLIALSLSDSITLSPQRLYNYY GGGTAETSIANGVPLRIMTLGASVCRGDVSNGTLGFRKPLREKLVSMGNKVNMVGSAR VGEFIDNDVEAHGGNRVDQVHDWATYSVPLTKPNLFLINVGSNDCLQKWDTPNYHVRL SNFITFLLEQSPGGTVVLSTLLTNTVPNTEPCILDINEQIRALAAHLREQGTRIVLAE MHDQFVPEGDTLERPHPGNITPDGTHPDDAGYAMMAKIFYQGILEADRHGFITRAIDN GVAEDGEAGREFREEERLPGQVEGLTETA PFICI_07390 MGSQLNAPRFRKLQRFDADYAPTSITQYESERSGMQVIVVDRKG PKVNGYFTLATEIFDDSGAPHTLEHLVFMGSRSYQYKGLLDKLASRAYGTTNAWTATD HTAYTLETAGWEGFAQTLPVYLEHLIVPTMTDAACLTEVHHIDGEGNDAGVVYSEMQG VQYTSTELMDIKARHLLYPENVGFRYETGGMMDALRVLTPKRIRDFHKEMYQPRNMCI IIVGEVDHDNLLRILDDFEESVKDDIPALDAPFRRPWIDSAQPPALKETVIETVEFPE EDESTGDIIIGFFGPDCSDTLQSTALNIILTYLCGSSVSVLENVLVEREALASSVGYW WDSRPNSVIWFQPTGVATDKLAFVEQRLVTLIKEVLDKPLDLKYLLDCIQRERRQVKA QGEGSESYWSNNVITSYLFGDRAGSTLKDLGSLGEYDKLENWSEEQWKDFLRKWLVDA HHISVLGKPSQKLADELKAKEVARIEKRKQELGPEGLEKRRKALEDAKKQNDVEIPDA VLEKWPVPGTESIHFIESLTARSGLARSLGLASNSAQKAIDDAAPGAPLFVQFESVPS NFVHITLHIGTSDIPLKYKPLMPLFTDNFFNTPINRDGKRVDFEQVVMELEKDTIQYS IESGNRLGDTESITLRMQVEPEKYATAVDWLKTMMFDSVFDVTRLRAAISKQIADIPE AKRDGRTMANEVEMAIHNEPSAMLVAKRTLVKAVYLKRLKKLLEKDPDTVVSWFEQVR KSLFTFANIRVLVTADVANGKLPDPVKTWDILVDALNTKSDSIKPIVKPHTLLSEEGK NPGQVGAIIIPMTTIDSSFSVSSSKGITSFSDPMVPSLLVAAQYLETVEGPLWTAVRG NGLAYGVYFAKEVDGGYVHFKVYRSPMASKAITAARDAIAALANGTVPFEKPMIEGAI SQIVMTMADEQATMASAATQNYIIGAVRGLEPEFNTKLLAKVRDVTEDQIRHAMTEYL LPLFEPGKSNVVVCCAPIMLDTLQKDLQDMGYKTQVKQLADYHEAYGLEADEEDGEED DEDEDEDDSGSDGSEGSYESEEDE PFICI_07391 MASSDGASVGRTLVEFALQGSFPEEDISSRHVGAQDFGSALESL AVAKSELESEIHTINEETSADVQSWVTNAKAVEDDISRSRTLANEIIRRAEAPEVSGQ TIREAEDKVQFLQRETIYNRQVYEALTSIQRVNQLLDQVESMRNERRVLESLHLLEKS WSAIDEIPASKSCRVMRILNMRAFELKSAVHDVFNHVWNSLVQVNVEKGQITIQQTQQ GEPMNLDEALVGLKAYKEVNQRMALLWHGLDDAIVGPRTNLKNSTLPAIEIHDSSLLL KGQADRSIAALFSDLEQIMGYLSHRLPDELVQALSNVMMPDLVPRIKSVWLASVVPAS LKEIDEFQAVLGTVRAFCVSLGKLNYTGYEELQDWIDSAPRVWLTKRRETALDTVRAK LADGLGDPKEVERVETQTVSRVEGAKLAANGAPAATDDDDWGAAWDDGTDNQEKDSTE IPPTNAGQDGNDEDEGADAWGWGDDDATEEQSTTAPAPTSSVPQPPTDDDGDDWGAWG DDTAGQAPVQPASQQPNSQPQTREMTLRETYKISAMPEPVLALIAAILEDGAFLVCSE GNPVAAAAAGLYGLPTFVLAMFRAVSPYYYALDASGGGNMFLYNDATYLSERLAEATA TWKAREDLSARAINMLRLDNDIKMLKSFAARAYSTEMTTQRTIVRDLLGGVQNVLQQD GDASDLIMQVEAATSRIRSVAAIWSDILSNSAWCQAVGSLVDSLAGKIIADVLDLSAI GQDEAFNIANLIAKITELDDLFLPPGSSNSGVPTTSQYAENWLRLKFLSEFLQSNLNE VKYLWMESDLSLYFTVDEVIDLIGLSFADSPRTREITREITSNPQPRS PFICI_07392 MSQNLQTIAQEALDTMQIKAEENTTMRGEDKLNSFSSGNEFGTS YEHSTGDDKSSQAPLEEHHTESGRMTPCPIDAGTPPTQMEASEPSTPAHGAEVPEVLD QKDGASSLDFTAPTVSPISAAHDETLDALFEIDEDYENQHEKQSANPSNAISLPTGPI NTPILQLSTIRDSSVDAGIDGGTSDGNRGPRSTSPTTSIASSEDITVETQLRSGSISE KVATQDLDLGSPQEDTNSNSITLSEPAVSNTENSKGSGVEYVDHENDQTVKEEHETTE LPEFGEIQNTTRYDELETRVPVAFMEVSPRDYIQPSDQDSHKDHDILVSPDADLAQQV VHDSAVDNPKSNSMTDTEINDRKDTQLPDTDVNGDSEPQLTPPKLEPDANVGQESAEE PREYQETLSRKVLDKEEIQKPEENPEPDEGGLQPTEQPDLEIEATKPKVRKPLPTAPV KSNAPKKAPTPKGPRKRKLGIYEYSWESDPESYPKKSKIACPQPDAKGKKPPATARTG TKKRQREASEAEGAASDDEALAGKKAASNKKPRVPKVKEIKADKKSAPEKKTPQKRGP KPGSKKTKKVEEVKPVVDTDFENDSEFVVEGDEEGDSEDD PFICI_07393 MASFFKEIKPLMNSTIEASQAINRTAPILAWTQVLMIVLLTGIF LALFGLLVTLNPDLQAERQEFITPAVRILLEQGVALGRLVGRARGHARQAAEAVSASL PGRHVGAKTPSPSDSEKSGSKKSAKKNS PFICI_07394 MNRTRHRAMRPIRDADGEYINKSDYIGAPPQRREGKRGFLGAFR RRQTAQQPINPDMLPMHQQPGDVQTHQVPAASWGEVSSLHDSDRLYFNDGDDRDEHGP PVQIKPTTAPRPAADVYAEGDVSHMDYDLYQPPPNGKDLSNDPSEIGRRHETRASRIE RLVES PFICI_07395 MGSSSGIAGLKCIQWVLRGVQFVCSVVVLGIYAYFLATMIKGKM SVPTGVKAVEGISGIGTVYTIVGLLLVCCCAGHAGTSFIAMVLDTGLIGGFIYVAVAN KDGASSCSGSNVNTVFGSGDAAATPSDAEGSIVGSVGMSTFQMTCRLETVCLIAGCVA M PFICI_07396 MLPPISSTGLERSDSFLIEVWTLYGIGILVFVARFAVRLKTVGF RGFQGDDLFAILLILLYTGDAVLVDITYWTGTNVEASTFQRTRTLSDAEIAQYAIGSK SQWSAWFTYPALLWCLKGAMLCFYQRMTMGLWQSRLVNWLMWACGISYCAVVLTVCFS CYPTYLNWQVVPDPGPKCTFRKQNFISIAVLNVITDMAILAVPLPILWQLRVSIKKKL LVGMLICTGFFVIAAAIIRVVLTVGSNPSAVNINRWGVRETIIGIVAANIPILSPAFT KTFWRGPAPTRRFSRKTNSNTISGQGGARTKSTWNGAFTPWGMTQTFAQSLNTRAKDS ISGGSFHGSEEFMLETTTPAVQATSHDVVVETSYHVSSEENTGDLGDPWTLQSIPGLQ PDAPVDKSPV PFICI_07397 MQSTRIPFDVPDRWRPVPSLCGIPVDLRLMIYEYAVKLDKAIVP RQVADCSNKFTWGEREQVPVHNHPGNRDYLWESRDNERLAVVSLNLTCKQFYEELRSY PVFYRINRFQFDLQDLVPFLVAVTPERRNAIRHINVTQRSSIGTPRARQITTLYKHAV TVLRNCSSLRDLPAVLEGLDRSMPANIQTTAPRRDDAKFWKQAVDFARLDISGADRSC GFGPVSLSTHTRAAVLQQSRLDRTVDYRLGTLRKYLLPRYDAMGRLLWRVQEITNVRW FLEGPRCEVRWWNTGGHAETTEWEDAHALMTPEGLELFRRFYDDAAARYHNVVWANVG RGTGGPDDIRFTTPMRDLDAELERLRATPMPNEIQAMGDASLFRKRALQSLWRRLQRD YQNTEYMLRAHRNLATEREQMDRENPKKRAAKTTQAEVWPRKRVKHVGSK PFICI_07398 MGSGRSSKKGNEASAPLPDSATYHGTQRQDSFASDFSFSHEWAY ESPRHSSSSSHFERATPTRQDSSSSAKSSKSTSSNKNRHTHCGRHSDQWLLGGFSMTG AIKSAWKKE PFICI_07399 MPPNKRKRDILDFDPNKSDSEDENFQPDVDRPARSRKKSRPTKS GKGPSRTRRNKYRGSDIEDDEEESESGGEESFDEPEEDDEDEEELPVNQNTGRRMRKA AVKQQTYRESSEESADSDSSSDGKDELQEQGSNAKSPRPSKIVVLQVRKTRAGSTKAM PTTTRRTRAQTADADEELVELSNSGKHVMPARGSKSISPEAASRITRATRGGKGLMRS PPPPIEEATQESSPRKGNAENGEEQEELKVPEVELLSDMDPAESTVKDEDHPMETVEP EEADDEDDEVLVSRRTRGNRPTVADDAEEEEPGNTRSRRLTRKSRSKKSLQEPSSDFE PGNDSGDDNESVANQAQNVADDGNETTPSRGRKNTRGRGRSAKASQRDDSGDEEVELD RDEMAEELEDLRGSSQSRRPRRRRQRSPSLRAESVRGKRKRNLVNYSIMPPRDAVEEE EEAQAPNPSPARRGRRGAAAGWDRTLHTIAGPFGGVNINGPGALFSGPWGTGATGGVD SDSSDDEMGGRSGLTGPTAGDVGKTPTMAAPPGFLGGPGQALNVDGGASGLTAAPNVG KVKNQKAYADADPLGVDLNVDFTKVGGLEGHIDQLKEMVQLPLLYPELFMKFHVTPPR GVLFHGPPGTGKTLLARALANSVGIGGKKITFYMRKGADALSKWVGEAEKQLRLLFEE ARRTQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGATNRP DNIDPALRRPGRFDREFYFPLPDVDARKAIIDIHTKDWGISDDFKRSLARDAKGYGGA DLRAMCTEAAMNAIQRTYPQIYSSKDKLIVNPDKIDIQMTDFVLSKKKIVPSSERATT SSAASLPKPIEPLLHDQLRHIQTILDDILPRKKKVTALEEAMFEPYDDEDFGFAREAL NEEFERSRVFRPRLLICGLPGMGHSYLAAAVLHYLEGVHVQNFDLPTILGDSRSAEQV LVGLFTEVRRHKPSVIFIPNVDTWYTTLEGPALTAFLSMLRSIPPTDPILVLGTSETE VQHLPSDLKRDLFGFSRKNSTEIARPTKDNRRKFFSMIISNIQRFPREFPDPANRKRR VLEQLEVAPPPPPKVLTKEEEKAEWLKYRQLLNYLKVHIQPIMEQINRKYKIFRAAPI PHSHYQYLFDEQDPNYVLPDVEGAIDRPYLIAKDKDGIQGLRETATGKFFYNIDVQTI EERLANGFYTKPSEFLRDIRALEKDAKASGDRMRILRASELLTNVEVDVSDIEKVRLP GVPWDELYEAEKRRRAAKAERARKKLAMQSVVDNATSGTGTGTGQGVETPKLHTTTAR FQVMSPLPNGLDGSSGSHNHTNGTSIPSGVVSEDVQMTDAETQLEFDGSPMQPPSQWP KMPPRALNLSTRATTGGTTQLSQVSAVQSLPAGVSPSALANDASTTKTSDPSNRSSNW STQVTNGLPQEQMSPEQLPDTQPYQSQTTSSDEQWPHSQAHGLARGVIQAPEYSASSH NNTSLGAARSSNAASVANLLNDPLPDEPSQSQRHSGGSSASQQQVEMDETSGAIFLAQ LTARTSGCTIEQLEQLTREMMSEIWRTKGEHNRMKVLDAVTRVFNESINDIETMQSVF QLSQ PFICI_07400 MPGSFVGRKVLITGAASGIGRATAIKLAAKGASLSLCDINEDAL AKVAAELSTEGTHTVFQCDVGSSARCEAAVQHTLSELGGLDFVFNCAGVNPTALAITD TTDEYFDKLVNTNLRGPYNITRAAVPHLKAGTAIVNVSSQAGIRATNGFSIYCATKFG IIGFTKAMALELGPKGIRVNAVAPGPIDTPTMAGNVAGGDANERLVADIALARLGQPE EVADVVVFLFSEESKFMNGSIVEVTGGSR PFICI_07401 MGSETPDRKKVHLNFFETACTGNHECAGQWSRPGDNSRTKDSLE YYIEMAKMGEKAGITAIFFADTYAGHDVYGGNMDAVFRAGVQVAQLDPLVIISAMAAV TKSISFGVTGSTSYIPPFPLARTFSSLDHLTKGRIAWNVVTSWSQAAADAFGKELVPH DQRYEIADEYMDIMYRLWNASWADGAQMWDREKHIAYEPSKIKKINHDGKHLKLHARH QMHPSPQRTPVIFQAGTSKVGQAFAAKHAEAVYIGGLVPSQAAYQIKAAREIAQAAGR DPTTIKFFAAINPIIGRTMEQAQAKFAEAQEHADVVGALAQFSGYTGIDMSKYPLDEE LKLDTSNPKESAVQGFLGNFGDSQKDGDVWTPRKLGLKIAIGGFHPSPVGTPAMVADI MEQWIDEADVDGFNMAYISNPGSFEDICELLIPELRRRGLLFDGYAVPGGTFRENLLR QPGQKTLRSDHYGSRFKFEDEPDEPQMAKDVAT PFICI_07402 MAETKEKKALESSVLDQDSSAPSIHDGGILSEEAEREKHEVFKK TEGGVDFRTVTWQRACLIFLKIQISTGILGIPGALYSLGAIGGGLSIIGWQILNTWTA ILMAEFRNRHPECHTLVDMCGKLWGSIGKEFVGVMFIVAYVFCTGSGILGTSIAFNAL SEHGACSVAFSFAATVLVIAVSSIRTWGKMTWPLTAGFVSVMAGVLTVTIGVTFRDRP AAAPATGDYELGWYGIAYPTFAAGMTATATIFISSSGGPGYLPVIAEMKKPKDYKKAV IIVNIIVGAVYLSVSEVIYRWCGAWVASPSLGSAGPLLKKVAFGLALPSLIISAALFN HTGAKYVFVRILRDSPHLQTNSFVHWGTWLGVNFVLGILSWVLAEAIPIFNYLIALAG SICFAPMSLIFPACFWIYDYGYYRKSGKPRQVAFYYFHILIILIGTLLLIGGTYGTAL SIKDAYETGAIGGAFSCADNSGTVA PFICI_07403 MGSQRDEMVDENDEDEGSDESYEPRRLRHKAPRRRPRRTIDWRY EICTFLDKPCDVPDNDLLDAFEEASGTLGEIERLRRQASDQHIPPRYQVVHEIICHHD RTERGIFLERPSVVNYGPHGAHVRSSRGISNKEVFLERNKEITFLVWRQYECCGIEPP DKGLLHQGGTDDLNIKALLKYEYIEIISSDLREAMIALGRRLEDIPMPDLEEEEEEEE KIDAPYLWWFHQRSQIQVATLAMQPAFQEHIDVFARYLLESFGNEWEIVDQLLANKNI TVDLIHYLFVPNQVIVSKSAGSDVASLEGFWLQEWAQVSKPQSGKDGLSINLESSHWT FDGNFEKRETALTIDKFPSMLEKFAISELTIYPRRFASGEIVRALRERGRMFWKCRER HYVMKTNQSDSSLQETIKAPTRFMVDRKAYYQWHRGQRQHPRAHDEGPNCLDAATMVE DDPDLGDNFFMCLPTNIFGFNMQTHVWENLKVAEIQDVEWNENAFKLLVLEKETKELV EAVVKYRIMAGGQTDVIQGKGNGLFLFGPGTGKTLTAESVAEVAKRPLYRVTCGDIGT KAEVVEDYLSAVLKLGKAWECAVVLLDEADVFLEQRHLHHLERNALVSVFLRVLEYYD GILILTSNRVGTFDAAFKSRIQLSLRYNNLGKEQRRKIWENFIERLEGLAHSTEAGCD FRKNALGTDRDIDVGIDTAGIREHLDELARHEINGREIRNAISTARQLAMFRRVPMGY EHLQTVIGEANKFQQYIKDLNQGFTADQLSNERGERYH PFICI_07404 MQPSSTDRLLESLMRIDSRHLEDPLWVYVNITRELVCLEDAAVW AVRDQIREIETDKRPVGKPEPNYRRLHDLARHAIHVTETLDVVTHTMERMLAQHREFR SDVLNKHAWMAVHQKLLFSKHMIESLQCRSKSNQKRLQNEIQLAFNIVAQYDSGVSMR IAQAAKVDSAAMKTISLLTLAFLPPTFTCAIFSMSFFDYSGDSGWTVSGRIWLYFVFA IPLTLVASMVCYFWQRIFPAEAFDESVPGINPVISA PFICI_07405 MTSEDDLHLVICEIDRQLHVLSEQTDSTNITLPEKVVIRLPEDG NGYGDGLKRLRKVVLDFPIDNGPPVEPEKHRFSADLSSFLWKILAFSSPSLSFIQPEI MLQKELAMCVIRRQGNDILESTCDSRVESALSTWASREKSSIILIRGSSQSTNSLRYL SCEVVNQLLSQGYATACILNNADIREHFKDLGAGEVLRQIACQVLRTVSANLTMSLFT EILRQFHAASTDKDWFCILELMMRKLASLFIVIDASVLQNVATVAGLWMAEFDDIFSR LANTSTACVKIMISTNRKIDIASKERMHAHIEASISRGLPENTSRLTQSQRRIRLPLP FFSPIAARVGYEIDTKVSPQHPDDGGESIKGSRQPLKDDAQCCGGNTSHSSVMFKQSD IMPLNVQPKDEKMILNVVSPAEADQSMRHFRMMHPIEYQRRQEVHVAIMCALSWEADA VEVLCDHVYDVHDFGNAPGDSNIYSVVQIGRHRAVILYMPAMGKSSAAASGMNCLHSF PKIRLTLLTGICGGIPSPYTGAEILLGDVIVSDRLVQYDYGRQFPEGFKRKDTNLDNA RKPLRSIAAFLSKLKTRVGSDRLSTRMYGHLHRLRTKSQYGQWATYPGISSDVSFEAT YPHKHHNGDCEECDTSTRSVCAAARETHCALLGCDAQRTNSRKRHQVALQASVGSHCI QPAVHFGAYASGDIVMKSGEDRDKIGRREKVIAFEMEGAGVWDDMPTIIVKGVCDYAD SHKNKDWQKYAATTAAACVKALLEDWPTQPQRLLDVA PFICI_07406 MYRRWVAGSDGTQRPGGPSASYQAPQTAGTQPNNTNIYSQSVTQ NTLKKGRERPKRRLITQFKRRDILSRDDPTSGAADIYAHEEVPLDLGLNSQETSPRGV RTKALHLPNRSQQMKRGRPYMLPAGLSSEQSKGEQSRGSPNPMNLMQGGNMEPSLAGN QTAFFKTKSGMDSGMVNGARIGRRWQLQRSSHSNSPLIHQVNPQIMATRQSEGGQAGS NQMQWRSRPRSGSIPPPSPETGSSAPTSPPDVQQDPAAFVGGILPKDSREQVAARNPV VAAQWEDMQLQQLLAKQQEVKAMMMAQQQDMRGSGDLPVSEDFSISSMDGESRRPVDA APSIFVPRTISRDNTAGEDDQMSQCMAILRLKHDRSWGPGRAAIHKNGYKK PFICI_07407 MSSVTTFPQFMFLPLEIRQNIWQDAASTGPMRLDLSKYEARLDQ DYVMLFLSTECRNHVAKHQRQRFAVYMASEEARHECLRHSTLVLTDSIQLYLGEYSCI CVEEGYVDPRKCFRTLLIDWRSDLVVMEFLNPDLFDARRDSFCPQFPWASITRLGLRN DVFMASRTFPGRRLEVQRRKSKYWLECWSSVQEITFVLDDCVERRGYLAPSSAPQLDW RAPLEVTYRTSNAFEKELKSMKRNVLDALYTAGIFREEMDKLGRKAKVETVLELSWP PFICI_07408 MASADENGDQASSRPPSPDERKPSHNYDSDDVGEMSFANESTAR ASPKQKPSRRSQGANAGGGFPTIGKIRHLKKEDGEPLWRKDIQYDFLRAIFDNEKKVF VNSYDLQSKEKQTFADLYIDTMARSSKTSKVLRDKLLSDREAAKSMAMVCLLVNVGRM NTTLNFFPEMRAQLRTYHAIPSLQAYQDASAYKQLQDAPRLKSILKGAAEDRSEPRDL NNFKDLPVPRTNPVNIIFLICQQAAQIAELHFPKGSEFHDLIMRTHFSSASRANAFLW VVWQYLESDFTEEGCEENPFGAGVDYGNGLANQGIPRLKEMTEAEEALENNDPPLEIE FGHEKQAHRAKIIAADQAYLQEHQSKNRNQRGKLMNDDSPSATILPRIRPSKHESDID SARSTPPPRALSRMAASSAGIPRRYGTSYKTQIDPSSPAGPGFDGVMPRKPRPPTAHQ LAVERNRNQRVEYILSRDLRREHHKARKLRRQEGVIVRTKRRLEQMHDPLADSDEEDY RYLDKSSEFRGKGLGGLVPLAVETDDFGEELATYSASLRRTNRRMKRWEQDSDTRVVR PVKNPRLQSLRYSQSPVLGNGRASKAASESFRSDAGRSGSQGNGDIDMQDADDLDDVD KELLGLAGEEDDGGDEELDDVDKTLLGMGDSDSDEH PFICI_07409 MSGRLIAAAGRLPLTRPAATQAARQYHHLPSGGLLRADAAVRAT RQRMWRGGNAFHNAVVVRNASFVRFLPKLAAKLIRIPAMMGGITVAGLAWVQYQATQA GNYAWDVFSTGKDTVVSTATSMFDGVKGIADQTMKGWENTKEQAELPEWMQKILRLQE EVGTGKNGGEGGPGGEEPPKQSRTGAAVTAGASAAAYGYEQSPEDDTRSDDEIAKDDQ MMVLTKKMIEIRNILSKVGQASTLNLPSIVVIGSQSSGKSSVLESIVGHEFLPKGTNM VTRRPIELTLINTPGSNAEYGEFPDLGLGKISDFSSIQRTLTELNLAVSDAECVSDDP IRLSISSPHVPDLSLIDLPGYIQVVGQNQPLELKQKISELCDKYIQPPNVILAISAAD VDLANSTALRASRRVDPRGERTIGVVTKMDLVDPIRGMSILNDKQYPLRLGYVGVVSR VPTTTGLFKKGNANLTSAITKNENAYFSAHPLEFGPNSDVSVGTTTLRKKLMHVLEQT MSASLQSTSDAIQQELEEATYEFKVQYNDRPLSAESYLAESLDAFKHSFKQFATEFGR PQMHELLKNELDQRVLDLLAARYWNKPIYDLSVPVVEPDNLADLPKADPDSPYWHRRL DASTSSLTKLGVGRLATTVVASAIQSHVDKLVNQSTFVNHPFAQEAITGAASSILNDR FYSTSDQVENCIKPFKFEIDMEDREWAHGREHVQGVLKKELEACEGALRGLETNVGGR RKLKEVMSFVDKARKGDVIVEGESSTGAGGFSAALLTKGREAVFLRDRAEIIKMRMYA VKSKQCANMKNKYHCPEVFLDAVASKLASTAVLFLNVELLSEFYYNFPRELDQRLGRH LSEEDIEKFAKEDPKIRKHLEVIRRKELLELVLEKMQSLRQLEGREREDRNAPKKKAG DKQKGWGIF PFICI_07410 MISQTVQKNSANASTNDRHSLLRRHAHAFCQALLSPPPPEALVK EFFIPEADGKNPTIREHGPSWATVHLPFLGRDFVGFHSTIEYFELLARTLKMHLDKDS FPGEDGFVVDTNANRVAVVGKGKFESVETGRSWDEKFSYVLSGWDEEGRLGRWDIWAD PLSAWAAVSDQDINGWHKGEHRSLMSVVQHMRA PFICI_07411 MRKTQKNKATEYHLGLLKGKLARLRAQLLEPGPGSGGGPGAGFD VSKSGDARIALVGFPSVGKSTFLSKVTKTRSEVASYAFTTLTAIPGVLEYGGAEIQLL DLPGIIEGASEGKGRGRQVISAAKTSDLILMVLDATKKAEQRALLEAELEAVGIRLNR EPPNIYLKPKKAGGMKINFQQPPKNLDDKMVYNILRDYKMLNCEVLIRDDEATVDDLI DVIMKDHRKYIKCLYVYNKIDSVSLDFLDRLAREPHTVVMSCELDLGIQDVIDRCWKE LQLVRIYTKRKGIDPDFSEALIVKKDATIEDVCDRIHRTLKDTYKYALVWGASARHVP QRVGLGHTVADEDVVYIVSSFKA PFICI_07412 MSGHVLSEKSVNTHNLQQMDASAGNGKANLKSMEYHRQVLQKKM EQEKDGEKYVSPSDGIMSPCTAKLNALRNKQVGKAKPKSLFALASAKKFDGENVFGAR NASQPLGQ PFICI_07413 MSAQIPALVADRVSDKAKKTLDIVAKFVEEECIPSDPVLEAQIG EGAKRWDHHPAIVDDLKEKAKKLGLWNMFFPKGHYKESPGFTNLEYGLMAEWLGKSRV ASEACNCAAPDTGNMEVLAKYGNEEQKAEWLRPLMDGKIRSAFLMTEPDIASSDATNI QLNIRREGNEYVLNGSKWWSSGAGDPRCKIYIVMGKTDSSNKDVYKQQSVVLVPANTK GITIHRMLSVYGYDDAPHGHGHITFKDVRVPVKNIVLGEGRGFEIIQGRLGPGRIHHA MRSIGAAERALEWMLMRINDPQKTPFKKQLKEHGVILEWVAKSRIEIDAARLIVLNAA VKMDDLGPKATLKEIAEAKVLVPQTTLTVIDRAVQAFGGAGVSQDTPLASMWANIRTL RLADGPDEVHLSQMGRNENKRGKAVTEKILGQKQKTEQLLKQYGVQMYQPGSHIKSRL PFICI_07414 MATENDDQALVAQSTEVAAPAPKKVKKIIRKKRPARPQADSAFT EEPPPQTGTIFNIWYNKWSGGDRDDKYTNTAAKGRCNIAKDAGYTRADKIPGSYFCLW FARGLCPKGQDCQYLHRLPTIHDMFNPNVDCFGRDKHSDYRDDMGGVGSFMRQNRTIY VGRIHVTDDIEEIVARHFAEWGQIERIRVLNTRGVAFITYSNEANAQFAKEAMAHQAL DHSEVLNVRWATADPNPMAQAREARRIEEQAAEAIRRALPEAFIAEIEGRDPESRKRR KVESGFGLEGYEAPDNVYFARGAQAVNPAGREGHDLEYEQRLMIENGEVDGNHDGQAL PALEDKPEEKGGIFSSSTLAALKGAQVKAAPKAAPKISSGPLVAYGSDDEDDDE PFICI_07415 MSPMAHDETRDSLKNEIAELEARLQKARQRLSAQASDTAHSSAK LTLPHRNGVSPSTTAAIGPIINTAASSAHHYLLLLSDSALPLGSFAFSSGLESYKTHD GHGASFNTFLPLSLSAYASTTLPFVLAAHRDPLATAELDDAHDAAVICTVGRRASVAQ GRALLGVWEKSFAPAVPASSREAIAHLRGLLSSPGAAVGGGGDASSGGCGPAYCYSAH LAPLFGAICNLLGLTLRQTAYVFMLSHVKALVSAGVRAAMMGPYVAQRVLASREVQDL ISAMIDREWETKYEEAGQTVPVMDLWIGRHEMLYSRIFNS PFICI_07416 MLGWALKKGVQGATGARNAPPDEGKAEPRIHNIVSPVFADFPGD TTQIEVPDTPAPVFAARAIRRAIFGTPAPPKENFPEPVGTITDDKADDAPLRDSRSPV KPTGILLTPGTATARRKRVSFGRDVKAGTNSETDATAAKTNPTGNRRKSIQQRLEESR SNKAKKANDDKMEDSVPEPTPATEHPESVEEGTDDEWEDDICNHDMTVDLNEPHSRSG KYWKSEFSKYSDDARAEMEKLVKYKHLAKCYAQKKDAEAAELNQKLKEEREKVAQMEK TMTEMTTQVPRVRGRAPDPDNDLAKKLAEKTSQAARYKERVEELEVLLFDQDESGGKP QHNKMDTSPRTEKTLLETSRELRRVRNELKQMKKIQEENERLKSERLASDGKQKSTES DQAPLEKLEKQLHDAEAEMQRKDRELKKLKKEFDTLKENAKSSRSQALQVLKEKNDKI SELETDVNMLRKRDNMAERRIAELEKEVKTLLRTNASASRAAGMDAPLGQHNATTRDV RPGIAAPNRPSIHEKAKRGHLKRAVSAEDLTLDFTQHSLFESPSQRLNLQRFSADVTD SLRDIEAQLKQERLERMESRRRDRDLETLDLDVRQNPLDTDRFSRATQSTHNSRHAMP EKKHETLRHKTRQSTSESRATSTKREREIPRDALDDIPNSRYNSKTSAHAPSPTIEGF DLLQNRFAKLGGPNPNDTALTANASRCTLPADRLAAAKARIAQKRREKRNITGKENVR P PFICI_07417 MPSTGKGTAGQRKPALQKTRSSDDSNYPGLKQLSSPDPSKTQYT QVQEDEILVLQAIYGEDFIEHKAANTAWQRSEPAFDVRIKALSDEELTVTLGVVLTAS YPKSVPLLSLKDDGSLGQSTLFKVQAFIESKPKELAASGQNEPMIHDIASGIQDILEL AAEAKAQGRELPSLEEERAVHEAELARKAELEQSEQNRKLQEADAEEARMMENMIQEE MKRQRAKERESKKRGKPPSLAMAYSEDSSEFESGNVNFDEPCRITDSTGNDAYFTTVT GRSEYRQGMIASTFAVRAVLLDRRNVPPLALKEVELKQNSRDASQFKKHLLSLENKLK TVKQLTHRNILDVIDFRIDRKTQENEASQTRIVRILTPLADKGSLDELLELTEKVAIG KVRSWTTDLLSGLGWLHTQGLVHEDIHPGNVLLFRESSGHVVPKLADASYQRELHTIC RKSRAVSLLTSARSTYWLPPELDSASGALNQKTDIWDFGVVFLQMVFGLDVFEKYEKP SSLMSRLSPSLHELVSKFFKQDPGRRPRASDLRSSEFLATDAPVYAEDFHTSLTPSHS STSLPKMDGFRRRLDSTALAPSFSRFKNEFTEEGRLGKGGFGEVIKVRKKIDGQTYAI KKVVTKATKSLTSLLKEVSTLSALSHPSIVRYYDAWTEQLPDSPDTDGDATADETSTQ RSMITGSNEIDIQFATSTGGLDFMSSANVGDDYYHDDDDDDESEDYDGLEVVVEDEED EVGDDGILFAASTADDDSSSRNHLPVTPKRIRSHTQSGWKVLYILMEYCEKKTLRDLI SQNLFENQEEVWRLFREVLDGLNYMHTFHNIVHRDLKPENIFISSGTDGVAHVKIGDF GLATGGQVVAENRASGLGDSNGMSNVGTALYSAPELERGSGSDEAPPKIDDSRKLDMY ALGIIFFEMLYKPMGGHERITVLNDLRAKHPTLPSDFKPYDKVQTEIILSLVTHNANQ RPGSSELLRSGKVPMPMESETLQRALAEISDPLSTHHQKLVTAMFGKPTDKTKDYTWD MSVPNVSSTELLYQGIVKDQLKSIFRRHGAVEASTSPLYPRSSHYSQNVVELLDRGGT VLQLPYDLMLGNARTLAKHTGTTFVPKSFTFENIYRDRHNGGQPSKFGEVAFDIVSTD TLDLALKEAELLKVLDEIIDSFPSLNQMCFHLGHSDLLQLIFDFCDVDHSARTIAAEV LNKLNIHNWTWQKIRAELRVSGLSVTSIDELQRFDFRDTPTKAFSRLKTILEGSKMLE RAQPIITHLREVVGFAKRFGVHSKIYINPLSSFRERFFVGGMLFQCVYDKKYKDVFAV GGRYDSLINEHRPRMGHQSHQRHAVGFSLAWEKLAMIPKAAGKAFLKKADVEPQGMFS TKRCDVLVASFDTGILRSDGIELLQTLWAHDISAELARDARSPEDLLTKHRDESYSWI IIVKQEAVLKIKTMGRKDVSDAEVPTTQVINWLRAELRERDRYLAHRAVVDPGGGSSA LEKGGGFTNAEQKVHVLVAGTRSKKFNRRTVVEQAQANAAALVQNFLDGPVAAVETSD AVLELIQETTLSDGESWRSAEQSVNMAERKYMREIHDMLLTWRNVWQSKNGSRHAFVY NFRTTRCIYYDLGA PFICI_07418 MKHYTPNLLSLLAVAPISPVSAFWRMTCGVIQTGRVDPIVSPGA VAGHAHKVSGASNFYFNETFASLAASQCTSCEIQADKSIYWTPQLYYQHGNGTFQEVP NGGHVVYYLGRGDQRSNIEPFPPGFKMLSGDSGARSNDTTTMTYNTSSVRGRLQSDRV SFACLDSSGSMPEQNYMFRTDCNQGLRAQIQFQSCWDGRDYQTDNSHVAYLSQIDNGV CPPTHPHILPHLFFEVLYGVNDIVKTPGGRFVFANGDTTGFGFHGDFMNGWDATTLSD AVQQCVNNDNINGQISLCPPLAKSQTPYASTNCPERPPLVNEAVHGLLDKLPGCNNVT SGPARAPQGICPTQPSTNALPADSGATMFDPAAGARLFAGSPWAYVGCAAEGNQRTLS GYSFSASNMTIDYCTATCKSKGYPLAGVEYSKECYCAASLTSGASYTDSATCASTAKM VCAGNATQWCGAPSLLTVWNDTSFSIPSSSGSGSGGGSALGPANGTAVYNGCYAEVSG RLLNKDSYANSTVTVDQCISYCQANNYAFAGLEYAGECYCGNTPPPSAQAAPESSCNM PCKGNVAQMCGGSSRLSVYNNTLYAPTSNPATVQVGGRAAYNYAGCYAEGASGRALGG SGSTSANTANNQMSVENCASFCSGAGFQYMGVEYGRECYCNNGGPINGAAVAASEADC SMTCQGNITEWCGGRSRINIYKSVSLA PFICI_07419 MARKPYFGMKGAWLTFWVTVACATDMTLFGYDQGVFGGVIVTPD FLDLLGIADDAKLQSTVTAIYDVGCFFGAISTIWIGEALGRKNTILLGTTIMSIGAIL QIAAFGVPQMIVGRIVAGIGNGINTSTAPVWQGETSKASWRGKLIVIEMICNIFGFML SNWVTFGLSYVSGSVAWRLPLAVQFIFIFILYATVPWLPESPRWLIAKGRVDEADVIL ADLEALEPEDALVVTQSKDIQWAVDYERKNTVPWSHLLRGKTGPNGGTSTIRRLILGM GAQAMQQFSGINVTSYYLPLVLIQSVGLDEKLARLLAACNSVSYLLFSTIGIPNVERW GRRKMMMYAATGQAFCYMIITICIRYSEDPGTGSTANVQWAKASIAFFFLYYVFFGIG WQGVPWLYPTEINSLSMRTKGAALGTATNWIVNFMVVEITPVGIASIGWRFYIIWTVF NASFVPIVYLFYPETAGRTLEDVDRFFQENQDILIFKDPDAKSSKRPERYISLERDEM RRNSSIRPADLHAVLNRQSVADRANSDTKDEEKGSTSHAE PFICI_07420 MRVTDEHRSIIFVHGLQGHPQRTWTFARKTDKTKKRHLFSRRKE EPESAGGSVYWPSDLLAQEPELAGARILTWGYDSHVTKFFDANNKQNISMHGNDLMVA LEQQRRRNPTRPMIFIAHSLGGILVKVALEDSTRAHHREIYSQLLKSTRGIVFLGTPH SGSNVAAMGIAASNFIRLGLQDSNQKVLQGLVYNNELLYRLNASFLDIVQQGSFRVHS FYETKSMTGVYGINDMVVPFESAILGDKSHETVRGINANHHEICKFSSKKDKGYRDVC GAIIDCLAEARKHRTHGLYQSRADDTDLQSITVHESIYESRIDQDPALHLYVEEIKHD IEYKTVSDGTETSIEPPIADRNLDWLFESPEFREWELGSSSSMLWLGSKTPDENTYAA GRILQRVFNRRYNAFHVLGAANYLPSEGKSLALEALEIVKKLLRQIIDQDVNRIYAVM DTHPLSRIRKPRPFIFSPTEWKLRQLLDLLYSALATGTTTTCVVINGIEPMNSHTYAF ISQLLGLLKDQTANPTIAPVKIIFATSPRAFPNPMSEQDRELATIPYIEKGQELKDCL TSLHTSDFDARPSAISDANETTFEWLWKDGIFNDWVQDTSSSLLLIQGKPGSGKSTLA KRILGGIPHPFEMHSPRVMSDYDTDSTYSSSSDSEESHHSDVSRKTVMAPRTIMAHFF YSFRGGNIETSHEEMLRSILYQLLKQEPSFYALFRDHFRKMRRTSSTALWTFPILLSI LESLSCTDQALRVYIVLDAMDESDSHELPQILQAMANLCTSAGESSFKGLMTTRPLNS ALKVKRLEAYTPLVFALEAKNQEAINQMVDMTIGNIIEDIVQEDPSVDLSPFHDIKQY IKSHADGVFLWVGKVLTEVKVWSDRGWTQDNLDDLKTMLPTELMALYKRITQRIVEQK SSSDIALGKKILALAAFSSRPLTIEEMRDAIIVPSEIELEEFKLHPNCFKSRINLLER TIPRVCGDLVETKKRTVQLVHETVREFLLHKDHIADPFDMDWTKSTISMAYVCVRYLE LSLSKLTLEHAGVKALPITSWEDDDYQAFARHLESRPFFHYTVTHLQQYLGRTGNASI LGDLRVALLDMMEVDATRYFLVETDLPILAGVPIDHAEVKLARDFRGRVLITAARLGH GRAIEPLMLCRTDINFIDPVSNQFPLLVATISGQKDVIRRLIELQVGLDTMDEDGETS LYKAIILGHHDIAKLLIEAGAYTAFRSLSRETPLHLAAVRGYLDIVEFILERYEYRAR DRDQFGETALHGAVSHGHIAIAETLIRYNANVNANVSWQGTPLHIAVDRRDMQMVKLL LSLHADVDMTGSYGATAIHSAAARGDEDMVALLLDHKAETSIKDRHGWRPLDWALRNG HDRVAQLLMHHGAARSDSPEPVIGWDETDRLPVLGLRHLFM PFICI_07421 MLVELPGFSLRSPVLRLGLSTTHGVVCAPSQAEKAVYLETQQVW RRAQMNQDYLDKENLGIALHAVGITPGSVMPDDLSSKALSYGASLSRQGWEALSSTSV PFICI_07422 MDVHLLVYDLSGGLARQLSMSLLGFQLDAIYHTSIELDGVEYVY DGGISTIRPGSSHLGKALERIHLGKTELPIEVILEYVDSLRSIFTPEAYDLFRHNCNN FSNDFATFLLGKGIPAHISNMPQAVLDSPFGRMLQPQLEQMVQARKAQQGGLLGIQSD PRVNSSASSHTQSSAINGHVTKTNTVKIVTSLQELDRIMEAAKKSCAVIFFTSATCPP CKTLYPLYDELAAEMGDRVTLIKVDTSQAFDVGQRYGIRATPTFATFIHGEEQERWAG ADAARLRSTVKLLVEMANPRHPHEGLHVPQFQNLEVSPVLYTKTPPLDKLISKMGSTS SNPSVHGLKHFIEARTTQGPAQATLPDLRGFSALLRGSELPLEVKFTVVDLFRCALVD ARVSGYFAEEAGHETVTAVLGYANSQGSDCPYALRLVTLQMACNLFSTPLYPEQILAQ PKLRDPIIQLVSTSFLDDSHNNIRVAASSLLFNIATTVSRQRRAGPSDTTLPESDQVE LAASVLEAITQETSSSEALHGMLLALGYLVYRAPLDGELVDLLRTMDAKDTILAKAKQ FPKEELIKEVGEELLGRGLKHP PFICI_07423 MRRTSVSLPTKQAARDPHEKPARYESGHHHRADNRGGILEKLQQ AAWMNQAQKARWLKTTAIVVFLVFLFYFLSPKGADIYQESSTSVSSKSNIDVLSTNQA NLLAFFSGSKSSGGQTPSDSSYGTKECTKSFSKDKPIVQYVVMIDAGSTGSRIHVYKF NNCGPTPELEGPEGFKMTEKSVGGLSKYKDDPQAGAQTLDALLAVAMEMVPDKLKGCT PIAVKATAGLRMIGAEAAEKILETVRNHLETKYPFPVVSKEENGVAIMDGSDEGVYAW ITTNYLLGKIGGPEESPTAAVFDLGGGSTQIVFEPTFKGVPGAGMPQHMEEGDHKYKL NFGGRNFELYQHSHLGYGLMAARNAIHLTLLNDIAKGYDGKEWLHKPIINPCINAGQS DTVTVDLGDSSSLGSGKIELNMTGPSVPAPAQCRQLAERILNKDAACALAPCSFNGIH QPSLGKTFAKEDVYVFSFFYDRVKPLGMPDSFTLRELHDLTSTVCAGESQWDVFRSIP GALDELRDRGESCLDLSFMVGLLHTGYDMPIDREVFTAKKIKNNELGWCLGASLPLLA KNSGWQCKVSEIH PFICI_07424 MADEELLSKIHGLGDLDLAALLCLTSREHCIISTEPEALDDLVQ ELQLVVTETFNLKAATVSLTPETTLDDFAASILLNSAGSRAESPLLRSRTPVQDSYFY GALRPTSHASHHSRVALSPLSQISPSGGPQQNAAPQIANVILARDLDKAPKVVQIQAL ELMRTRRIYTRTSVQTAPKQFLFIAVLGAASGGEARVTDHLNDFFYLAHWHDPLDGFA HLEEQDGASMVEDEFGTRPRSETEDSDSDASVVRRPSRTSTPHHELFARSPSRGPSND PALASKGPLITEADVTTLSLASREVNVDVEVLRYQMNLISFMRMHQAVTGGIIPLATK HFDTLVRSMAVLHGLDYVTPALVVLALRKIYLHRIRIVRDHSMERSMQWGSEAAAVEQ LLEGVGPEEVMEDVIDMVDAPL PFICI_07425 MASLRITNALRAVSGAACYRAPAAASYRTFTSTSRCLADQGYGD GKGSPQGENPSKQPGASQAQHASEHPGPSPPDVGKGTGGGPTKAALNKSMNKAAESQK DPADASAASGGSRSKEAQEKGSSPTGGSVGGGGEALKGPQGEGAPRPKIHNQSVPSAK QGLSEEQKREVEEHNKDFEKRHDRAAPAGEDKVDKNYWKGE PFICI_07426 MGFQKKTGKGRLDKWYKLAKEKGYRARAAFKLVQLNKKYGFLEK SKVLLDLCAAPGSWCQVAAEAMPVNSLIVGVDLSPIKPIPGVITFQSDITTDKCRATI RQHLKTWKADTVLHDGAPNVGTAWVQDSFNQAELALQSMKLATEFLIEGGTFVTKVFR SKDYNSLLWVFNQLFTKVEATKPPSSRNVSAEIFVVCRGFKAPKKIDPRFLDPKTVFA ELAEPAPNNEAKVYNPEVKKRKRGGYEEGDWTQYKEVPASEFIQTIDPIAILGSYNKL TFSQAANGDIALAALDKMPETTDEIRICCADLKVLGRKEFKMLLRWRLKVREKFGFPT KKTEAQKDLAQEAEESAEVEDMDEELKIQEELQALKDKDNAKKKREKRKENEKKQKEI VRMQLNMMAPMDIGMEDAGPRGADAMFALKPIDKNNAINKVTKGKMAIVTDADARKDR DSGLGSSGETDDESDEEEDRLERELDGMYEQYRERKSAADAKYRAKKARQEGEDGEWE GVSGDENASSDDDVEFDQDSSDDSDDEDSTSAKKLVTDLDNVPTEEGALSKRAKSFFN QDIFKDIPGILDDEEVEAEENSDVEMSNAVVKSKPEAKPAQPAKKDKKTKQKIIEPDS DSEDEEDKEDEDAGFEVVKNKEKEDDWEDQDKRLPDGRLNIDIITAEAMTLAHRLATG QATKHDIEDENWNKYALRDRDALPEWFVEDEGKHDRPHKPITKAAAAAIKEKLRAYNA RPIKKVREAQARKKFKTAQRLEKLKKKSDLLANEEGMTEKEKAESIAKLIAKAGRKKP KEQVKVVVAKGINRGVKGRPKGVKGRYKIVDPRMKKEMRAMKRIAKRK PFICI_07427 MTPTSPIRRDTRLLSPPRHNNGKERRNPSITPRKFRRFFTPRTR VSSHLASGHISPARRALRDLAAPTLNNRLQTPAPSSPLKPSSEYGQDDQDENAIEDDT RAKRRKLQHTPESSPFQPHHLEPLLPLASEKSSVLLSPIQSIHSSQTTLPDLDESDCE NLDEEPVRRLAPIPSRGFAGQLLQRELGGRPCAGRSYMSYPASDWKTETADFYSRPAN VHNCTSHDGPGRCIPFCTATCNTNSLVAVGDEEGRVRLLDSSGDASQPFSNIHVSFPA HSNAIIDLAFSNDDYLLATASGDQTGRVIDMITQKPIAILQHHTASLKQVRFQPGTSN GSVLATSSRDGSVQIWDLRCKGPVSEISSDDGLSTGLRYVRSTAPKQGCAVNSIYDAH ARTARQVKQATAGPGDTPSRGELPGRIGDVSVTALQFLPEGREHLLLTACEADACIKL WDIRSTSNRHKTSTPISATAPPTSHSYWRPFGIPSLALNTDASRLYALCKDNTVYAYS MAHLMLGHAPELSSREPARRRHGNVTHNGLGPLYGFRHPSLHATSFYVKCAVRPAKDG HSELLAVGSSDACAVVFPTEERYFRDDLSGAMSSLSLDQSTIATSTLPPSAFTRSHRP LLGPPLFQRTASSSRLAAMREKEDIPMHRNGTPLVRGHDREVGALAWTDKGKLITVGD DYLIRCWSEDREQAKDLRTGGETEGRRWGCGWANVGEDWEGDAEDDDDE PFICI_07428 MEETDRSLGDSINVATRSIHARLNKLIIARLPLAIPPQVKDPSN YVSGLLHITPIYGTFESLWKSILELPSSTENDSTKDGHSCEACKPSSAIHHTSDSLDE PHQPVVCTRIQSLLQHLHTNDLERVASLKKDIAFMTGWSPELLDEQLTSAAESPFLSA FVEHIRRSVQERPQVLLAYAWVLYMALFSGGRFMKASLSKIDPGFWTANTMATAPPKQ DGLPLNFFTFDAPNEGDEIKLAFKKRLAESESLLTQDEREDVVMEAQRIFEFMVEIVG ELDGVCSTGPQDQEQDSDEGIMWRMSRLLGLRARDSVAVAKDRRAWAKWLEKQQTVDE DGEIKDESRPADEDDDDADVLAACWHGEISDDNRHLTFTRRSESGSDGP PFICI_07429 MEDKQPEIEKSGNQAGSLSDSEKGPKQTNYIPQSDEEYNVTFKT WIVVAILSFSYGISFWIIPTLSACVAQVATQLGDVSAQAFFLPIYTLTITIAFMVCGA NSDLFGRRWFIIFGNVLLFIGFILGGRAQNPTQMIAAMAIIGFGAGNAQLAAFAVPEL LPNKWRPVAVTLADAGVVFDVIVGPIAGRSAIELSYSGWRWLFYVPAILVVISFTGLF FYYYPPAHPRGLPFKQAIRELDYGGAILFIMSTVLILTGIIYTTTLPSSDPRVLGTLI AGFILLAIFALYETFMPLKQPLTPQHVFTKGGGRELTAPFIAGFVVTMFYYSINIIYP TMIFVFFTDSTTGWRYAMVLTLPQNLGFLLGVLLLVTLGSKIGHYRWTYTAAVTLMVV FGTLLALGRPDRFGMMIAFVFLAEVGFGFAQYLSITFIQFGTKQEELGISGGLAGVAR FAGGAVALSVYTTILTNTQTAEAARLIPAAATGAGLPESSVSQLITALSLGASALAEV PGITDSIIAAASTALQQSYVVGLRTTALSSLAFGIVGIIACACCQEISHKMNNKIEIF LENDVNAARNTHH PFICI_07430 MVNKGKLKMALAAEKGVDFQKLHQKKAAKAASKKKGPQSEPESD DHDEEEDDDESGSEDEDDEVGQSMPLNDESDSDSEVEMEEKIERKPKPILKIANAKPS KPGAPKDDDEDEEEEDEEDIPMSDLEDLPEDEKEDLIPHTRLTINNTSALLASWKRIA IPTDKSVPFATHQCVISTETTADGIEDVQDDLKRELAFYKQSLEAAKQARSLLKAENV PFSRPNDYFAEMVKDDGHMEKVKAKLLEEASAKKASAEARKLRDLKKFGKQVQNAKLQ ERQKAKRDTLEKIKSLKRKRQESGGDVGQNEADLFDVGVDNELQKHSSSQKRGAREGG PNLKRQKKDQKYGFGGKKKHSKSGDAISTGDMSGFSAKRMKTGTKGKPMKTARLGKAR RNAGAQKR PFICI_07431 MSSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTREVLVDDRQVTMQLWDTAGQERFQSLGVAFYRGADCCVLVFDVNNSKSFDALDSW RDEFLIQASPRDPENFPFVVLGNKIDVEESKRVISSKRAATFCHSKGDIPYFETSAKE AINVEQAFEGNALVIARNALAQEESEEFSGDFQDPINIHIENDRDGCSC PFICI_07432 MASTEPFMPAEVEPEVERARRRSLEPQELPVDDMSASFMSRRSA GSVPARKTNIMNVARRTLGLTCLLVTVFLWTASNFLASYIFSDHTYDKPFFVVYINTS MFALSMIPISLRYVHQNGGLGQAQEMLRQAWKEKSLRPLSAERYKTQADESDEDVTAG ERLLVDDEASLEALDLASPPAPEKLSLRETAWLSLEFCMLWFFANYFASACLQYTSVG SVTILTSTSSVWTLVFCAWMKVDPFSVRKLIGVMASLAGIILISSVDLSGKDNDDNRG NFPHKTQQQIAIGDSMALVSAIVYGLYVTVMKVRVGDEDRVSMPLFFGLVGIINVLTL WPLFFILHFTGIEPFEMPPTGKVWAIILLNSISSFISDMSWAYAMLLTTPLIVTVGLS LTIPLSLIGEMIQYQQFSSFLYWVGAGIVLLSFLFINHESHEDDDAKKPSALDSDSQP LPA PFICI_07433 MADNSKIATLDSTIVDRLPTYSKTLFDAKATKKLTFEQIATELG RGEVAVAALFYGQAQASPEDITKLSGLLGVPQAQLEASMGGFPDRGRAGPMPPVEPLI YRLYEVVQNYGYAFKAVMNEKFGDGIMSAIAFSSKVEKEVDQDGVAWVNITLRGKWLP FTRF PFICI_07434 MAAYSPLPTDEKSPAALSSNSESSTRRKMIPARRLAFGLLVMMV AAFGLTTASLTERQPSSGGDQESSMVQSDSGFSKMLSSASPDCIHQLLHTYFPDTFKD GHDDDSLSSSLGELAKRQNSSSTANSGAATVTSSGASTTAATSDDTTSATVAEESTTT PTGKTTTTTAQGTVQVETTTAQETTTAKETTTVETTADGTTVEVTTTNEPTTTTTSTT TPTAAGTTTPTGTSTQITSSTTSSRSSTTTLLSSSTTSSSIETSSSSSSSTSTSSSTS SQTSSSSTSTSTTSTTSSTTTSTTSSTAGTTLVTTPSSSKTTAKATTTSFHTSTFTST STMPNGAVTTLTSTYVVDAASSSTAAATTSESAGQLQTGSYAPNMQIPILEVVIAAAI GFAGFLA PFICI_07435 MPSDDYTAFSGGGALKLKGGKVSKSKKKKKDKAAGLEHALSTGE PADSKELEKPAGKKKSPRDDERPEEEDEHDEPIEYKTEAQKRHEEYKRKKMLELAQSS SSRPELLKTHKERVEELNTYLSKLSEHHDMPKIGPG PFICI_07436 MSTTIINGTATSAFWSCVPTTSTAIQTVWTTTYVTELVAACPTS MWTATYTIEETCTGNPANYLPPPIPAGFVVTTVTCDSCGPSSEIVITCPGAQPTGVPP TVVIQGNGVTADITPTVTVTAAAPGGGNGGAGGANPTGAPGGKGNGSGANGSGSGPNG SGANGGGNGAGSNPGSSGSGSGSGSSNPQGSDMGDMGSGNTGSGSGSGTGSSGPMGMS GANAAGNGTSSSGSNGTSSSMPIPVTAGAASFRSVLAASGLAVIAIVPLLAL PFICI_07437 MSSCQRVAGPITRSLRQAPAFQSSSFALSRTFTQSTARKDEVTT TTTTAPPASEPIPTPSSTIAKSADSQAASQDARDTVTSYWGERKLVKQGLPPIGSRRR RAAIKTSPNIPFELLPYQCFQEARKILQEDRQEKLEALQSTLASMKRLEETPADKLPG GETKKNRRLASLRDYVEELKVLVDINDPIVKRKFEDGLGDFDKPVYRHLAEKKWRGYP FRLIKQRIETLNIVPDVLPKFEPTVDVQMFFRRNKVEPGEILDSRVTETPPRLKVQVF NSGERLVSVVVMDSDVPNAETDSFERRCHYLAANIPISPNTQSLPLGLVNKETELVVP WLPAFSQKGAPYHRLSVFVLEQKPGEKLDLAKLKELYSGRDGFSLKSYRDKFGLNPVG FNIFRTVWDEGTAGVMERHGIPGADIEFKHKRVYSMKGERKARGWEVKRQKPKYKSLW KYTHRVRGVPRYRGRRKD PFICI_07438 MASKGVRGLGEAFRALSLTSQSCREAGLHRVPALTRSMATEATL PQTTASVDTSSNIYQSQPTVPLTIFSFPSYEPQRLEAWSSKHLNLPLRRDILHLAVVY EGDNTRQGTASSKTRWEVRGSGRKLYAQKGLGRARVGDGQSPLRRGGGKTFGPKPRDF GTKLNKKVYDLAWRTALSYRYRRGELVVCQDGMELPLPAEFEELVLNHQIRDEELLDG FKARWARQVLDSNEWGKQHGRSTIITGSWRDNLFEAMDLVPGYGRALDVDDVDVKDLL ETGRLVVERSALKEMIERHQSDLVSSVFMNGAVVGSKGPESGKIIVE PFICI_07439 MEPISTPRVSGRYIESYINKNVMIVGKVIQVRGTEALIDADGQI SINLTAQEHLTPGNACQIIGKVNTDLSIKVLTSKDLGDNVDYNVVQSVVDATQQYREM FVFDN PFICI_07440 MDGDAHFYSYDPQAQDGRGYQAFDGEAGASQQIYHSGFAALSFP EAVPSQPVHMPIGLMQPPLTRSSLPARASVQSDLDIYGSAPPNHQRQRRLSPAPSLDR LKLESPELTSGPSSTPESRLSGQSITPNITPPDLAAYPIQPSKPSEAVFPPPAPLLPR RRRPRKPRPKPELSVEEETAKREKFLERNRVAAGKCREKRKTWMTDLEDTKLELEDRN TRLRTEHSALLMEFNQMRAALMAHANCGDVRINKWVENEAKRFVLGAGEQYDSMLATY GISTGPQIRNDSTSTMSEYTTAVIQDMENASRQRTIPVTHGMSPTQSASISMPRAMPD TSILFRDPATHDPGRRPMILNEPVYMVSPTTRIENNASLGNYLENVPRPYPAC PFICI_07441 MPIGEVDDSFLAEVWGLYGVGCLLLTLRFFVRVKTVGFMGMRGD DFFALLVLIFYTLDAATVHVVYYAGTNVEASVLQTTQTLSAEDIASYEFGSKVELVAW YSYTALIWALKGTMLCFFHRMTTGLWQHRLVKWISYVSVASYVAVFLTITFGCFPTSK NWQVVPDPGLKCTFKMQNFLVAVVLNVITDAAILCIPLPLLWTLQVPLKKKIVIGLLL CSGLFVITAAIIRVVLTLGAHPSALNVNRWGVRETIVGIITVNIPILRPMASRKFWTT GELAYSSGAGTKTGGRTHATLATGHGPYEMTGSVSGHSRRKDSFGGSEEFIIGKNATV EHHANANLKPGGNDVVVHTMYHVTSEDVTSHPQSPDWEAKGGTTQAMAYRGNSAV PFICI_07442 MAPIPITIITGFLGSGKTTLILNLLPQLRATNPSYKLALLKNEF GDLAIDSQLASSSAISGVQELLNGCICCNLVGQLAPALSELCETQSPDRVVIETSGSA FPATLAMEVNRLARETDKYVLDGVVSVIDVENWKGYEDTSYTARIQARYTDLVVFNKW EVCDERRFDECLDRVGDLEVDIAWVKSDKGRVPADVIFGVDGGLAQALEDESGLVKSG HDDHAHGDHKHDHQTEVEVLSVTLQGPKGAAVDSNKLMALLKAAPKDEVYRIKSVLTA SSTVRNSGDDESLPTPPHPPNRYILNWAFGRWTFTPLSADGEHVSSDQLLLRMTLILA RYESTKWKKKLESGGFLEIDGGEKGELTISKIA PFICI_07443 MASEQEQQLNNPPSFKEQLDHKARVARDPNYGKEEVQPTILEKV ADYIPAAGKILGIEKKEEDAQKAPEPIPGPPERPHHDIPIEEFLKDQHRSKKGENGEL IQK PFICI_07444 MDREGSKFPQELWLEICNLLESEYINTDAKDDGAQGIVSGLSSL SRACRGLLQILQPVLYKTPVLIGVRSLELFFRTIVEQENLASLVQTVRWYEGEESEVD RLIEEENLQYRSYRMDEDETENEKCFDWGSEFKDKYNAKLHLLRFDLYENTTRGSIEY MHQYPQILPLFLPNLQALDYRHDPRTHGFSVLSIYEYRSQQALPGLRHLNVRAGPRDN FNLGSYATIFRLSRDTLEHLHFEWPFNVNEVGTWPPEPEFHHLKTLSVHQGCLGTDSF RDLLFHSPSLEEFVYRSAREKGDDVEVTAIDEGDEEQLTWTSVHEALSLVRDTLRVLE LDLHARFYKGRLESSATFTDFKQLHSVTLSQDMLSEGSDIHSEGSQLLVEILPKTLKH FGLTRITHDCPDSSRCILGLAEAVCDGKFPDLETIRLDMSQLMEGQTDEYQGMKEMFG SRGVRVI PFICI_07445 MTKTQIAIIGAGLIGPRHAEAVAKSAGAQVAAIVDVTPKGSEIA AKFGVPFYSTVADMLQSSAKPDAAIICTPNHTHVSITKELLAANVHVLVEKPVSTDIA SGKELVQLAGTVPAKTLVGHHRRFNPYMVAAKEVVSSGSLGRVIGINGIWALHKPSDY FEAPTDWRQGSTGGVVLINMVHEVDLLHYLLGPITSVHAEKTISQRGYAAEEGAAITL RFKSGAVGSFFICDNTPSPWNFEAGTGENPLIPKTGENFYRVFGTEASLSVPDMTIWS YKGTQKSWHSEIVREQSSVPDGVPFELQVDHFVRVIRGEEAPSCTAQAGLAALVVCQA IKDALEDNSTVQIEKYEL PFICI_07446 MDVLLRPAVLAPTLLVVGWLVYQLLKPSKLPQLPILGARPGEWF PLFRAGWRNARDMRTASLTAYQQFRNEAFIFPVAGACASVILPREEHQWLIDQPDSAL SMHKATTSDLEIENTVMDPKLVMDNPVHQHLIATTLTRETGNLIPELFDELQSSLDQL WGKDNDRYTEIPVWEVMQRIIGRVTNRVFVGLPMCRNETLLKLGIECAQEIPMNGLFL RFVWKPMRSLVALIITIPSRIHQNRFYQTLRPEIEQRIRKLNAQLADPEAKSLRDEPN DFLQWSIHQAKASGDPYMMKPATLAGRIMLLNFASIHTSSFAITHVLLDLASSEQSYI DELREEIQEVLAAHGGEWNKRALAAMTKVDSTMRESQRLNSFVTLATTRLVVAPGGVK TPSGLHLPQGTRLAMQSYPVFHDADIYPDPFVFKPFRFAAKREDKTGEATYVEKARQA WATTSAEYTAFGHGRHACPGRFFASSELKLMLANILLHYDIKLQESRPDNVWFGMNRI PPMKASIWAKRRSI PFICI_07447 MFQPTSIIFSVAAALLSVPGANANPLQPRDDLTATVDLSTTNGQ PQHLASGFIYGIPDNYPNQIPDHWYSDIDFNYGRVGGAQLGEPARGWIWGMDEFSGRV NSAFLNYEVCRQFDANVIVLVHDIWGTDSHNSSTVWPGDDGDWTDWDLYVANLLDSFV SNDMLEGLVIDIWNEPDQSTFWQRDVQQWVDLYIRTHKAIRSDSRFDGVKISGPSLSG LPASDNEWWTTWLQQIAGNSTVPDQWSYHMEHSLANANNDPLVTNATLAAMLEEYQLP EREVNVNEYAILGEMHPSGYAWWIARLERYNFWGLLGNWASGTTLHDLFANLLTKESD PDDYEATDYVAAPGYWVYKYYNVNMTGERLATTGSGDSYLDVYATRDESTVRLLVGSR VREGTWTVQLNNLSSIGYDVSGTVSISTWGFDGADLYTAQAAPSFRNTYDHRISNDAL AFKIYQNDIYTAWAFEFAVLN PFICI_07448 MSYANTGEKVDVEKVEDCHDASADKHSAPARAVDAFTPNEIKRV VRKLDFRLMPLCFILYTFSVLDRSNLGNAKLAGLEDDINLSGKRYQWLGTAFYIAYII FQFTTLGWKLFPPHRWVALVVFFWGTASTLQAVCTSWAGLMACRFMLGIAETMFGPGV PLYMSFFYPREYMGVRFGIFLSGAALANAYGGALAYGLSHVHSSVSNWRFLFIIEGVP TALLAIVCWFHLPDSPNKAKSLSFEEKRIAQALATSQPGEEAPSEGGLHWNHLADAFK DYRNWLFAISNFSTNVSFASLPLFLPTIISEMGTFDTLTANGLSAPPYALAFLAIIGC AFLSDYLRTRGPIAVFFALVASAGYLILALSDGAAPRYVGIFLVVLIFVTVSTVLVWN VNTNESGSKRAGGLWIIMTVGQCGTLLGTNMFPSNEAPYYRRGMWIGFAFSMLSACVC GLLSFVLWRENRRRDRLHGSGEGVSDDNQDVRETVVRYII PFICI_07449 MSEPDFTILTPNAMLGYGYRQDHFWHGIETYKPSAIIVDSGSTD GGPYKLGMNKMTCGRESYVRDLTSMLEASFYRKIKVLISSAGGDGSNSHVREMLSIVE EIAKKKGFNFNVVTIEQDIQRQWIKTRVIEGKSSPCGPLDPLHVADVDDAVEIVGQMG AEPFIKALEQDPDIIIGGRSYDPAPFAGFCLWQGVEPGTAWHMGKIMECGGICAVPKG RSMVARIRKSSFDLTPLSPLEKCTPISVAAHTLYEKTRPDRLPGPGGILHLDKAQYEQ LPDGRTVRVRGAEFIPTPVYQVKLEGVKRLGHRTIFIGGIRDPILIGQIDDFLEKVRR YSQNLFPELDQSDACRLIYHIYGRNAVMGPLETRHLGGESQQPHEIGIMGEVVAPDKD KSHAIANNVRTSILHFPYDGQLATTGNFASPLSPHEQDAGEVFKFSVYHLIDLEKGEE TRLFPLSTMVIQNRDDGIEPDEPEHLHSAQRQELESQIPVEVPRKRVPDGEVAMLDIA KVVRSKNSGPFELTLDIMFDDLGAYRRVKSANILTNEVIKKLYRVQDEDIITNMYFDP AMAWKCTLKRPWAQGSVGERDTLGTQQHAPLLSLRVPAV PFICI_07450 MADNNNGNNNDENADNGQHTGHVNGVVNGTTNGTADGTANGHGT TNGDHAEAGPPSVNGQGTGNERAHVNGDTPRNGQPDQNGDGAADQPPEDDDPAVIDRL RRMQEFNDVAMDHTHWVSLG PFICI_07451 MFTQIFISALLSAPLVAGHGKVTVFSGDKGGNGTALGIKGASIA NVGQDYQTEPDTTIFWSKDINTDDDFGFTQSSNGNLGMTNLDAAMAQAGSTLPQISSS GGSINATLHVVTSDGAGPFVAIVDPTATGKFSNATDMDVTAQVPGEGGWIDTTPSEAA LSFGNGKTVARWASRTIGSKATRTAAKRQTATLVDRDYNLQIAMPAGTTCTGTYNGMS GLCAVKISNNNENGPFGAVMIVQMSD PFICI_07452 MAAKLAKPDAGEDFEIVARDTVPAPATESLLSIQQQIDSIRQWL QPTEFMSPGNEFMKHLNAYVPNTGNWARESGAFSAWAASSGPENAQGCLHIRGVAGSG KSVFAASTIRQLKEADSAVPVLFFFFRQIVEKNHSAKYLVRDFVSQLLPYSASLVSDL GVMSKARGVAGSELGELWQALVRALDGLDRAYCIVDALDEMDDEYFDFIQQLLKLGSR KPHATKVLMTSRPLPRIEEALRHPGVLQLKFDSSLIQPDVAKYVATRLECLEPRLSKV NEELVKNAICKRAEGLFIYARFVTDTLIEGLDASHYTEATLLDDLQNLPHSLRNVYED MLREHARRSGVSTEQQARILTCVTYSARPMRLIELGSIVALMPGNDKDDLKKAKDLVR ASCGRLLEILEDDTVSIIHHSFTEFLHDASRGSCPGAFPVLTPEAAHGMLAIIFLEFM DICPLVLTDADAEGGESYESFDDPGDMMAISDRRRQQMQDMQIEHPLIDLALGNLLHH LVEARGNTDDVMTALDKYLVPGRPAWGLMMMRFWKGRFCGSFNRIHLATVLNFPFYCF EHFVALEQNRNAALIDARDGEGRTPLSYAAQEGKTHVARLLLECEADPKSDCRNGMTP LHYAVKAGHSEVMKLLLDAGVNPLIEKTKPSIYSMYHFYTSDKGDTALKYGLRGDDPN VVAALIPYIPPDDFDKSLSRVRKPINVEAILRTGKANVDSFLNGVTKFYMAAQRHELE IMKILLQHGADPNKRCALDDFRDSFGEVKTASELDETSTLQGPTPLHGFAGRDHKLYI GDSDEEKTRNAKECFSLLLKHGANVNETTRGNNAANMTPLHFAVRKDRDSSIFSFMSD SNKFNQVVSKLLLDAGAEVNAMNGDGNTPLHLANPENTKLINLLIKRGADLNAKNNAG RTPLLEMMCGLYWAKPKVLTMEVLGGHGKNCDAADNRGNNLFHYVMRNLTKFTKKHIP FFRLALQGSEDAINKRDEKGESPILKYTAESVSSYDLTPRDQKELLEDFIRAGLDLNM RDNDGKTVLWRLIDNHTAKIKAFTMLVGLGADPKIRANDGTTLFQAAQKRGLKTEWPR LLASYGVDCASYDENGNNLIHSLFASMRTDRITKESLNSFHALLEMGVPHMAKNKNGK TVIDILASLKYGGISDRSGWLRYVLQADEFRGLDVDGPDAKGIAPIHSAAGRSECNVE ILLEAGADPTAITVDGLSPLHIAAMERQPNVVGRLLTWYQDHEVLKEQINLPTNNNGR TPLHYACRSGRPESVWNLLRHGADAGIEDQSGMTPLHVLAEFQKANDGLYGDNDERTV DIVRLLLDAGVDLETKVNRQDGIKMTPMDLALQNGCLEMARELRRRGATAPPEKLALL DDFPRTFTPDEHGGTIRSALNKGEYEAIKHYLLEDSDRLYAISDIVEWGHLDLLEHFA GAIKKDELVVLSPSNDAFDETPDDVSNNDSDHDSYDAPVYHTTFSRVCDRQLPSLHII QALVEKAGLDATTINKAYVSPTILAKATPLHNLARGHHYWQAEALEYLLALGADAEAK APDGATLLVTALRSSGFWKEETVRVLLKYKADPQAVKSKTGQSCLALSDSAGITRALL EHGAKLGDQTSILQSAVKKMDAEMAEALIEAGADPNYIDKEAAITQWQTPEGESRPEP VEEFEDTRQRIRDFNQRQERETNMVRYFLHSAARPVTVDHQPMFWDEHRDALVRVLLD GGADPWATYANGDTVVHRVIHDKGLVAPLLDGLNCDLNCRGQNGQTLLISACRPAIAP EPPIYSSRNPPYPLANTDAIRLLLARHVDVFSTDDGNRTALHWMLTSGRRFDNAEQEA FTALVERGGQKLVMTADDNGVLPLHLALASGQFGAVWCLLAHSANVSSPNPLTGDTAL HLVVRRLVGETGAAAEAAELWTHLVNLGLDINAQNHVGYTPALAFSRAGWRGTRDPDY VLPHPYYAMHHDTTHCTTLALLVDAGANLMAVNHEGQGMLHLLAGRRIGNPNGAGRDQ VKDIEDFFERLLELGLDPRLEDKALRTPIDLAVARNQRGILEIFTPKGRNRSEEKVMK LAKYGGDEDDESEEDEDDVGIFD PFICI_07453 MTDDHLEPFDAISWCWGEASAVNQKNITVGKYTLSVPANAYEVL VELCVAQKRKRIWIDAVCMNQNDIHEKSQQVAMMDTIYQRATMTLVWLGKDKGTARRA KEMIRRIADWRQVKLPFISGTDEEEYGLFADERQTDAFPLNIDWDALTSLFSAVWFTR IWIIQEVVLSKHCHLFMGKTEICWKQLAQVMWYISNNIERTKLGERGKSGVWNAVIIG RTQKRRLGPLELLESSPDFGATNPKDRIFALYGLLKTDTRTALHTAFYPNYDAKLVDI YTKATRATLNFSHGARLLLHAQCLVQRPGCEYYDIDFPSWVPRYHFIDDRQRGSYRPL WFTQHSVAQAHAQIIKIDRDTPSNILRIAGAVMDTVSTVFEPLSPLLSHQGQSPHDSC GRVGCSDPLCVEHREAIFGSLRQLWHAAIAANPKLPLSELATMLRTTLVGKPDEESCE SGCDCYLNFQSEFKELVTKVWPTEHNAPVEAEAVEIEAQVDPDDSDLDDEYSDDDDDD EEDTKLDQVDTGKNDSLGHLWHRIIGRHANRRFFLAGSGRTGIAAPQTQVGDKICLVP GLEVPLILRQVGSDWVLIGDAYLSGTLNVWYRLLHHMIEKLANPAQGKHIDSLSAEEE QWFNLR PFICI_07454 MTSITNQQLSGDNVRSLIVSWIRGDVGDDNPTVVKTHHPQTCEW IFQHEQFKTWIKSTNSTCLLFSGPPGAGKTVSASAVAKYLEDRGLRTTTFYFSFSDPS KRTTITALRALALELLALHDSVPDSVQLLYASDTGNGSSQLSDVVIAGNLLKELVKQI SRVHIILDGLDECRDRKTLLPILGSLLESTTFGIVKWFLTSRPERDIRGEILRHTVLD IEASVDSIKSDINRFLHESRTNDQWNHKIDDIVEKSGGNFLWATMALRVLNDTSFTDE KHLAMELEKFPRDLNGLYMRDLHRLSKRKSWQQELARKMFTFLVASFQPLRLSELSDA LTVPTSPDSPPLPQGQPEDSLLEDLCSGLVVFDRSLPGSSEDPVLRFTHKSVHDFFLQ ILEAEEMDQLGIPIDASFNGLDGDHFEKRMRALFKKFIESTGAPGKIERFFTSTSAAN AELGQVCLQYLTNPRYNTPGLQKELLEKRDHAFLKYAAVFWHAHLSITRPKSQISHQV ESFMESSAFWNCVTIQSIIAPHLYAIYYQDNTSLKYMEAIPVASSESQPADVHYASPL PAWMKTARVEDFDSFITQWCRVLNSCPGNLSQCYMDDQWKSKWPSTSMWFSNHVRCRA IYSNDMTINDLISAEIQKKKQRQITVKSTSASPADYGTISINDSVQVELYAHDCGDCR SSYCPMCLTTFPSKLVTKTEIDTDAETGISSSHRSPVPSSSDWEVVTYTSDMDTKLEP PSSEPRAVAVQWRCSSQETDDSIHDHQKGSMLVGSNLYSDSDSDSEDDEEALQAQLPI HSAARSTQQHCFLVTRQNADAVSYLWESTYRNTITRGGFHPTEPWVFWSPSAHVLCKA NTVTALIEQTALPEPPGIDFTTTQSLHKEIYVSENGSLLFYLILAVTPLGTGVQCRLC ITALKMVQTEDGALKVSAVGSSSALTFFVNEGLEAPLILVAWSPDHVYVALPPLSCKP KVVRLPLQPQNQTFGISAGFQTTQQPIFFPSTTPYRQPKLLVDEQGRLVLTLSAQLIS PNLQKDTAITGSCDETSESQNLQGPVAISWPPEYLADWRDWNELADANSEQQSSAMDK ARRLRGSYISPEHQFHVAVRSGLNYRTKMFISCS PFICI_07455 MDHQDTNGVHPTAPLKCELKTWHTREDGKGNKVRTPVQGTDALR PDNDSSSHALVLERGFDKKSQLLWTTLTVNSPHILKALRDAVGRYPTVPSDFTESFTM SSPFQMLYHHWEDLHAYKTTLEDGEDDARMHLNLLFGFMESEMGDSKRAIEKQFASGY CDYDNLWLIFKPGALAITHFKSHPWLMRVTKTAYEENARDGKWLEVHTVYTGHDGKNP GETKHIIKVKQKESFAQGHPERILNLPVYPRKYHTEGEALEERLRSRGERFISLSGRN SIQNYDGAAEYLKEAPPDFYDPNEASTWQVWRSYAEKGRIVLDLSSFHEENRGMTISA PQDESTTDTAMCPPYVFGFSCGRKDWGRFLVSIVREASWKPDPFSSLEVPSNQKMLVQ ALVSSHDFPGNDRDRNEQKGKGLVFLLHGPPGAGKTLTAECAAELSHRALFSCSMSEL NKYNSAWYFEHRLAQVLRLATAWKAVVLLDEADVFLEARRDDSAADGERNALVAVFLR HLEYFSGIVFLTTNRIHVFDDAMKSRIHLAIGFNAPGTAARRAIWSRNIQQLPKDQVD PNVEEALDRLAAEELNGREIANTLTTANTFARFSKEPLGIQHIETVMVVRRDFENILK KGNPA PFICI_07456 MPNYKYGWHPQRPAAPVLRRNGFEMRGREFGPTQTTARREPRID GSRLLELFFPGRLRSLRGQEEARESARDLFKMTFFVAQLRWYGIPFRSSARLPELQQL LRDAVVDGRCEQVPASVLKLELDMRRDHEPALKAWDQEVEDWEAEQESKENQAWFKYS NPAERAKQDLHRFMEHYFLTNGRPDESKTPEPMALYGYDDRHLLRAAAERVPGLETWS GGTGSMRTICIGWNRSAVISLARHVDRQSSRQEAERSDNLWLTAMKDHDRLVQQVRKE GPRVQTRSIEPPTLQKCRGSYVIRCEEVSGQWPSMAPFTLDVSRGVAPSISAGRLDMG YFDGTMLIALSNDRLEDYIQAQDESDTEDDDSDGHRYSDSGSDSHIDGDSNHRRSDSD SHSDAASSDSHGRRDNNNNNSHRDSDSDSRSDSNRQSYSSDSDSQNDSNRRSYSDSHS DDDDERRTEDYDRYSDSDSDDDHKEEETKSYNRLGSKRKRGPTRAEPTHSKRHRGRSP KETKAPAHRVFIRLRGRETGEGEIQPDPVSGHLDFSDENFTRFVGMIDLPLLCGSVKL EGFKVSATARHRYEPWSTFSEAAYEDARVARWR PFICI_07457 MAESPAIVITYVPADCGSIFPGKSKASQAFRDADIVTKLRRAGY QSISEYQALESPATYKASSLGSNGVRNEDLNVQVCKDVQRSLVQTLALTGDASLPPFQ LILGGECGMSPGVLSAFWQHSTQGDLHKRVGFLYIDADTDLASPDDLNNTGIFAGMNM TNLIRSKGALKSMQQFARPSGEALCDASNTVLFGINMSSPGNKPEHLGYLLDHGYRVI SSSSVARQPIEQAMAALRFLEERVDIIVVHLDVDSIDPRMFPLANVPNHTGVEFHQMM QALRVILYSEKVGALVVAEVNPNHDPGLDMTERLTDSIVDILTERRAPRRG PFICI_07458 MVWDFLEGGWNPSGWGSPWRPQMPLGSTNRCSPAGLSLPDITGV SILAVEAVDQRNYTYIPGPLATAVLPDAKTLPNLHFCNVTVTYTHPGWHDTINVNIFL PTDDWNGRFTGIGGGALVTGGGELAESNMMPIMATGSATATTDGGHSSDVLGPELNEP AWALTSPGNLNWPLLVNFASVALHDTATIGKAVVQAFYGTAPSYSYFLGASTGGRQGH MLAQRYPHDYDGIIALFPAVNWVKFWWSGLWPTFIMDQMSSYPQRCEVDAITAAAVST CDKLDGVEDGIISRVDLCDFKARDAIGKKVQCNGIETTVSPEAAKVVQKTWDGPRSST GGFQWYGFGMGTSLTQPPTGAIQVQCIEGDICQSAANTAWARYWVKKDPYFDMTGITH QEWDDLFHASVNEYESIIGTSDPDLSAFKRRGGKMMFWHGTVDAAIPFNGSTDYYDRV LSRDPNAQDYYRFFVAPGAGHCFNCGPAPPFGMDHIVNWVENGVAPDVLRAAGTNGFG DWVERDICLYPKVQHYAGGDPSKPSSFICV PFICI_07459 MDKDKKNYPMDCEVQRSEEPWAEMSRMTERRKIEKKRLHWRGWF RSKLQGGRKTTTKATETNFQCPPDVDSLAAMPLDQSLAISRAPRQQDTRDDLGPPPYH GVDAHTLLYSGVYLKDILEIDRYVQQTVANTVREKIHANFWGHDAQTMMMIMDAIQEG CTSVAVTICRVDVQIEPAKVNAFVNEGCRKVAKAIIEAAYAVAPAGRGNHPAIAASYA AKIIREAADHFFRYKKSWRPYLAKMQLMSTAYSVGATLCWNHTNRKSILDHPVAFPAY QEFLESPL PFICI_07460 MRLLQLRDGELTLSNHPNEAVPEYAILSHTWGLDDEEVTFQDLM QKQGKDKIGYKKLMFCGQQAKRDGLDYFWVDTCCIDKANHTELSRAINSMFRWYHNAV HCYVFLSDVSTQPSGSSASWEPQFRRSKWYQRGWTLQELLAPTSVKFYSVEGDFLGDK SGMVDVIHDITKIKVTALRGASLAQFPIHERMNWSRRRQTKEEEDIAYCLFGIFDVFM PLIYGEGEQNAMSRLEEAIEKRTGSSRKPDPPVQSPASHLILPFGQNEDFVGREEILS QLVDRILPSARKTDCQRTVLEGLGGVGKTQIALEAAYRVHEQDPKCSVFWVPAISMVT FENAYREVGRALGIPGLEDSQTGVKTRVKLALEQSTYEWLLIIDNVDDIALLTHGGLQ EYLPFHRNGSIFFTTRNHEVAVQLDVPSKHIHAIGEMNETEALMLLQNNLKPRQYQDC PEATRELVQNLVYLPLAIKQASAYLSKTGETTKAYLEYCLSSDETQVELLNVAFEDRW RYSKNANPITTTWLISFQHLEQTYPLAVDYLKFICFLAETNIPISLLPPGSNKKEEAE AIGILEGYAFISMRDQAQSFDIHRLVRLATRNWTKVEWEMRCTKAMQRLHLIYPWPEH ENRQVWMNYLPHAQTILIEHDKCTDQLAIARLAHLVGKSYMDLSKYRESEKMFRHAVE LYSSMEDHTLNSLNSMNWLANTFVLQGKLQDAEDIYRELLDTKEVPQSLRISLLNNLA SAFQEKGKYQEALEMYQQALMMGLFESGPDDPEVLRTMANIAENFSYQGDHWGAEERF RHLLGRRTEVLGSGHPETLDTLCALGVQLDRLDKLDEAEEMLRDCVQGCILVLGPEHA QTVVSSTCLGDVLRLQDRDDEAEEILRQTLNISKTALGPRSPRTLKTMVSLALVLNCQ GQFEEAEALLREAFELRKVVLGEEHPQTLEAEEFLRLITNPQTKADGGSDSEDSDNGG VVL PFICI_07461 MPEKTESQPFLYSDDPTPRESPSIVDGPFLAPQRQHRLVTHFGV AVGTSLLWILVLFFCRATSTPSSTPATHDMTWPRHNITSGARLLQCGSSPQEARDRGC KYDILLNNWVPAPCFDQEWIDEYTDDLSWGAYADVDMTRELSVEEMSEADHYYTSIRD HVNHCAIMWKKQFWVLYEGRSAFDTVISSPGHTEHCALYLMESAEANFTEPTKTQMGF AGCWVRD PFICI_07462 MFGSDKTVVQLRELRPDACRELAGVLCRRPDYGIARPSARWLSR QEEKIEALPKVLLNPGKLKRKWFESISKLENRLGEYLPESIPNTGRVVPPSAVLCPSH ERLNCYLIRNIFFAVTKEVSGDYMDALRECPGKNPAVSAFLTRIDSLHAFWVDREVFQ KMFGASPHDDRFVRIESNCEACILAAIGANGQILADLYGWLKVRRKHVRDFNRAAKSN GTKREEPVYLHRLVRLWIEHLKKADRDEVRRRGGDISAELIRIWPSVKRDYKKKKKAA GKANGDTYQEIRTNKDGSKKIVQVRGQDHGFNIRLPRTNVESAALQRNMAGLHHVSDA RSVYRDGTVIDDEGMYTDSVIADFQRLGIDLQDRAGQANARPGAPASQRDGFANRFAG EVPPPLPNDYLARMEDDDDDDDKEDETYQHEYDERDYAEEAASVLKVQNWYQQQAFNA TKTNLSSLDAGSIHPAFATNRSALPEALDIRKDQRVESYQPARKGWQKPERDIDEPVL HSPGADARSEWEDASVYTTADAFESVNLAGAPPMPQIPSQYRYDQRSRRDLPPIDTNS SVPGLSSIPDLYPDRGSSQAFSPQSSRGPREDPFEYDGRKRPSGPDPEPFSRPPPRPP QTKADRRKYLFHDDDSVAASHLTITNKKYLRQNKGRIKEVPVEANPFVRKESKRVKRD DGGDVEMTSPMSPHSRGGSSRGGGYDDGRPRYEGYAALNREAEERARRAASNRYDDEP VRPRSGGSRSNTTYSTGPRYEGYAALNREAEERSARDTRSRDHVYPYSPDTDTPPTSR LGSPRTDQGRGGWGDDASEIRPIDSASNMAWRKDSHATTTLGDFIDSHRSHERRR PFICI_07463 MSTPTTATATLPPQYRHPHQHSHYPPFLQNNGHSAAYRAPAVPS AAPANPILPTSSASTVVSSTASTRSIPASSYTSASNYTTSAYAASQHPYRTPTANGAT AHSVTSASERTQDYARTDSASTTRSDANYYGNMPASASSTQSHLQQGDAPLRKRRRSK EPDWDKFYQNGLPKEIIVIDDTPEPEADAKDVSSVHSSRTYTTGMTNGSDASRHAAKK RRKEDTYPHTNGRVVNGSHIATPTSTSTSTDRTTSAHNTTAPTSLASLSSNGHEYEAS LTGQKRKRTTRQQLAQEAKRREVEVLNDTYVSYRPPAKPVKKSGEVAVRVVNDRYQNI KVDDDDGHYIVVPDAELTTQYQMIKLLGQGTFGKVVQARDRKRNKLVAIKIIRSVQKY RDASRIELRVLQTLKANDPENRNRCIHLRDCFDYRGHICIVMDLLGSSVFDFLKMNNF VPFPNSQIQSFARQLFTSVAFLHDLNLIHTDLKPENILLCDNAYQTFTYNRRMPSSSA GTNRQANQRKVLLDTEIRLIDFGSATFEDEYHSSVVSTRHYRAPEIILGLGWSFPCDI WSIGCILVEFFTGDALFQTHDNLEHLAMMENVCDSRIDTHLIAQVSKISSRSGSSNNQ AAKYFKRAKLDYPTAETTRASRRFVKNMKRLSDIIPASSNFLKQFLDLLEKIFIYDPS KRITARQALEHPWFRERAIPDDGTEAAKIRNERIRAEPDYGNRLPPLL PFICI_07464 MSASLPGNRELPESQYDLSTYWGRVKQTAGITDPRTLLAGKNGL ENAKDLLVKYKNGEIKSMTPELWQAKKIVDSTLHPDTGKPVLLPFRMSCFVLSNLVVT AGMLTPGLGTAGTIAWQVANQSLNVAINNANANKSTPLSWGKIAQSYCLAVGASCSVA VGLNSLVPRLKRVSPATRTILGRLVPFAAVATAGALNVFLMRGEEMRTGIDVFPVLSE SDKAKLAAEGKAENDVPSLGKSKKAATIAVSETAVSRVLNSSPIMVVPPLILVQLQKT EWLKKNPKLTTPVNLGLILGMSYIALPLALAAFPTRQRIGADKLEEEFHGRGGADGMV VFNRGI PFICI_07465 MQSIDKVYDDAVASGLLPGYSLVAEDREGKSSGRASLRGNDESP FTSSTVCAIASMSKLITSIAVMQAVEDGILDLDADARPLLPRMGEHGVITGFADDSNS AQLAPNADPISLRMLLTHTSGHEYDWMSPLLGKWRASRNEEPWTGPTVEHKSVLPLVF APGTSFAYGAGHDWAGKLVEIAAKTTLQEFMHKRIWEPLGIQDEISFYPQNNEKMKDR MATISTLNEKGEPPAVDAATFDILFGGTDCLGGAGLFASQKGYQTLLSAVLRRDSRLL KPDSWTELFRPQLDEKLEQALNDYIALDPVHTMLLGLGMPASVRRNWSFAGIVAKQAQ EGRFSSGTTMWAGVPSTVWFIDHEAGVCGVAMCQIMPPLHPSIMALHEKFQSGVFEKV NGHAI PFICI_07466 MILYILLSLTFVGLVILARRSLYPKPYPGIPYNIESARRITGDI PNLVPVIRATNEFSNSIFSITTQKLGQPIAQLLFPGIRKPLIILEDPREIEDIIVRRN KEFDKAPMSINLLYDMFPNATLTQYTTPKLKAQKRLWATVMSAEFLHKAAAPNIYKST FELLELWRLKACTVFRDRPFNAFEDFQNAALDAIWVAVVGEEPGVTRHEVEKLQSQTA GDGKLQKPPRGAFLKEEALYIGDAISRNSNAPVPKWSQKLETYTPRFRKFRRVADAEI GLVMKRAVDRFQRLEVGTLEADGSDTCMMDLVLRRQVLEAKKAKRPMTDPTKDRNMLD EMFVMLVGGLDSTANALTWFVKFMEAYPTVQDELRRALKAAFSSTEPSIEDILEAEIP YLEAACEESFRLSGVAKGSLRQAIVDTEILGCKIPKGAEIFMNYHFNHAPVPVDESKR TLSSKAAASKFGDGIHGTSGHDLGVFEPRRWLVRDEKTGADVFNPYALPSLAFGGGFR GCAGRKLATMEFRIVVTLLILNLKFLDLPEDLKTLSATEKIFRQSDKPFVRLEVL PFICI_07467 MTVHFRFLDGAGSYHDDKDVEFDTGCFSADLMRRACYHWDVAHL QHIGRYNNAVVIQKFRTWLAVKYYAEEVMNAVPQQDFEFEDFKLRLLVWERITQAIKD EQKAHQKFFPQHTLL PFICI_07468 MANGVFMTVYDWDTVPAGIKPFLKSLAVESFQRHKSAVVELSDE QLKGFADNFVFGTGWTSDVKPNQPAMAGMTLMDGSVQVGRFKEDLPPSQVKQAKHNRQ TNPNAPTRYLIGNPTKPDQVHRSRKANAPAYFRARMDKNCHMVQHDYIDGVGMFVPME YIEFDNGGTEVSDREIEIAYRMADDRCEKAIKAWNHDLCVFLWRKAIYNSMQAFRDIL NQTHCSHDYGLCLKEG PFICI_07469 MYSLSSSSIRLIRSADAATLAVYENQRVLAAQLKHVIKTQERLP IFLEQTWNKFRGSGGGSLSFSSADAGAKPKKDDVDIDDSVDATHRDVATASNALKSDH IVALMEVYEDFIKKKNNVYITRECPPQPNRGWFARVTSTRRPRSPFNSRDRRCGRTPT GLPDPERGLRKAENLRTIKAPTPSPTSYDHLIFSIPHRLLTIALRRGILVDYSSLDDL LNSDDHQIRIKRAAFDQPVIRGSVPRGLGVSEDPVSAHSLTEYLKLRGQWLGYEESIT YTSIRRRSATELSLSIGRDDVRAVMNHEPDSRILEKYYLNGTGFRDMTALGLGIRGTE GDQSEVLAQQNHPLAIGAISHNAEDMIQLHGPALNATLGKLMVTHGPLKGTEREKKLT MKRLRYAAKKTLLSEESQRLCETMSRVEHARRVQTLAQSKFMDQVLEMARQAMKDSEA QCEAQVDDAEDPLVDHTTGLFKESDACEEEAEEDFEDQVTDENGTVVKRIEEIEEQEA SLDENPLLTYEAATRSFMEVILFGTMSKNRDWKNNPVQCQLFLLFNTNNFIGNLPAVP DSFLISTLKT PFICI_07470 MGWTNRTLVTDSDRYLRAYDRGQVILLGNIPPGVKDAELHNLVS KLGISTATFWWPYAGSRHSCHIEAGHKEKAAIIMAGLNQVQLRGQALYTEAVPVPRKR RGSAMTTLPAAATNNTAPAQVAGSVETTVTTSTASAPSSSAAVSNLAPSLDGITTSLA DANLNSQSPVTAPSSSAAVSTPIPSLEDVTAPLADANINSQNLVPRGGGNAVVQASAS SASASAPGNRVEGSHSRPTYPIQWANEVLRYDEGVQEFYSTYQSGELSDSLAQDASLR QAMVHRGKDGKLKFLCRQYPSPNPMNKHLDGKIFVAATYDTGDESKDREAPIGTVELS ELSIDAKGWHAWECPEYPIMRGDVDKDKRFVHKTVEKINHYSPEKDTEKLFKWQLSLL DGLETRSSWRPGQVLGDSATADLNASMSKVFKRATEFPDQRSTTFFGYTPKYRRPQVC GLSWGDYDRFFEWQLHGHPIPKDEVRKDGWEIDRTAPFQFVSEDDDEDIFEVTAQLPE QYEPEEAMKYPPPNAMGETQRRQ PFICI_07471 MSSSSIMTSASEKTTPPVSQPSSIRSVELSTRSPKLKPQNGQPV APLNAEGQPKQPEKASVKDRLTRMFSSKGEVPKPASVNGDASPASTTPAPSAAAAARA PPVRKQSVTTKAPEATAAASSAKNGQSQRYIQNPNVQGGHEHHLKGSKRQEKLSDMWK SLLGRKHEQAPEADLSLVSNWVDTLKQEKETLAGDRKGGPSASSTLIEKYGKCQEVVG RGAFGIVRISHKKIDNGGEKLFAVKEFRRRPEETEKKYSKRLTAEFCISSSLRHPNVI HTLDLLKDSKGDYCEVMEFCSGGDLYTLVLAAGKLEVQEADCYFKQMMRGVEYMHEMG VAHRDMKPENLLLTSHGALKITDFGNGECFRMAWEEDPHMVSGLCGSAPYIAPEEYTD KEFDARAVDVWACGVIYMAMRTGRHLWRVAKKDEDEFYERYLEGRRDEEGYGPIESLH RARCRNVIYSILDPNPSRRITASQVLKSEWGREIKLCKAGEEGL PFICI_07472 MSAAIAMAPSPAPHDRPAYADLAPATTASAPPAVALAPPPSTSP AATRPSATSSTPNVTRTGSGSPTRAGQHSKSSPNARNAPNSSTAPKIVVKKEPGSPVI PPPRHRPRKLDLSKGGLAGSGAQTARLPGERDGLGIMEVGLACLSPGFVTQDPAMKEQ LQRSMSVREQQRQIIEARLQQQSAKGERPDTGKDKDISNQFAAKTPGMSRRNKAPPGL SIVAPSHEVFANERVIQSAPLGQTFTGRHDPHPLTRHITNQPSNLSSTSHIHHVPAQQ TANRLPPIADVFGSGISHHEANGRSGLYPTSSHGPPASPGHPPQPLQQTQAPLSSRPR EYRSAEEAQHELAGGRAELLPKLVHYTAHQPPTPPSPRTGVPQHDQSRSASKRRRADY EEGASPPLGHGPAATRRTGPFGSGRDSPETQRAKRDEFLRLCERAWDLFHS PFICI_07473 MPARAKKAEVSEDTSMEDAPPSAQQAQETGDEMQEDPFEEEDGR AEEEEEVVQRVRILPGSSATAASFEFLEEGHTLGNALRYIIMKNPDVEFCAYAIPHPS EAKMNIRIQTYGMGFPADELSQELAIDFNTDTTTATAALAKGLKDLEDLCDVVEDKFW AARNEFVAAQ PFICI_07474 MAVTPTQFAKKTAQSANWADAKRRVLSAYREWIRAAPEIQTMYS VPFPVSVIRTRMRQEFERHRYVNKLPVVDVLIMQNNAEYQETMNFWKQTTHVMSYFPE ENFRGHERLPKNFMHGFLEGRN PFICI_07475 MPPVRSHNSRQRKPPAGFEDIRDNLEVFNIKMKDAQNTPTANIP KHQAQWPVFQIHHQRSRYIYELYYEKEAISKELYEWLLKNGHADAKLIAKWKKQGYEK LCCLRCVQTKETNFNSTCICRVPKAQMKDETSEVQCVSCGCRGCASSD PFICI_07476 MKRLREPEEEIHADCSAQSSADENGSNTASSTAEVRVSKIAELD DFAADESPAVTAMSCLLPGHREPLSFKTYAEYEAHYIKAHTNRCSECRRNFPSDHLLN VHIEECHDAFAAVLREKGEHTVSFDPMPSQFWYACLTNILVLQYSCFVEGCDRKCGTP QKRRMHLIDKHMYPKNFFFAVSKEGIDGRSSLLLEGGHRGCESSASIGHTTTKESVSG RQGTRQIEASQARSHIHSTVTGHNPRGAADTSATQANDENADTAMAELSSVMSTLQFV PNSVRFGRGKGRTGFSRR PFICI_07477 MEQQKLRDAARVSLNKSHNARNAVHEKARELKNSLNDPQISQKN KNGSLTAQLEKLETLLKDLRLACIEVIFYDFEYAQDKDVEGSLWQTHVDVNTEYRRAI GHVNSQNTQSQVVLKRKVDKLYRDFLKTSQFFYRTYIQRLAVQFDIPELRQVAQDLEV EESDVAQQHRPPPPLASLRSKLVKSCYLTLVRMGDLARYRCQHLEKPSKTNLDIALTY YGLARMLNPDDGASYHQTAVLYQPSANHFEIIYHFLRSVCVAKPHQLGATNLEKAFKP LLPQRTQNNSRNNARQLAGKGPSEALTTWFLKLHAHYSQGVAFSAREELEKEVLHRLE GSFKTENNEQLALRLLIANIASCDLAFENIKDAKDWTIERSQSCQYLLLFQVRVAVVL FRVFRPILEDERNADIDEDLEARKNPYGNPGLSPSVKRLLPLIRIYVAWVYVARESLN LYQDWLEPYIRDLYSLLADILSLLLPYAISNPTTVDSQYLLPEDIEARGLKPFADRKL PLFLDVQIVPEYDPPKCRKTIKPRKEILHVDCRPQDEDIWRIRDILCCGIYLAGSAKS PLTIMTTTENVDTWVYLKDGPPKSFDEISMTRMFAQVKMASHNRFLAITDERVRRNDP EDVASHQPLPPQQTSMQPPVQHRQVQSAAHQIMAARSGRAGYAKSNSSLDDDISLSTE AKLAGMVDKLLDEDDDDDPYGFSSHPHGNTSYGMNSSAANEVFGNLMPSPTSRAPQSA AAANGRQIPNLPWNYFFNPTPATESGVPQPARNGVDVPRSIAAQTAAASMGTGQRSPS LANYNNYPNSSAFAYQAYGAQQGYRTEQASIGSIPARVPSAAPALDSPTYSEDQRASA LDNLRSALFAQFGSGTANHLQSPTFAYGQPFYSDRNDSAQSKEGHRARTSISSPLANL AKPVSGDRMSQGFMDLQLGEAQGSAAFGVRDDTQLRDQSPKNGPYGLTRPESQVSSYN NSSARSPAFVGSTFPQQFTAISGNETSLEFPSSSNIWAGTPAGARAPRNTVACNGNYF DGTTAFGRNGNVNNRNDPTHFRNRLEELGAGVGESVTAYDRAVLEAAMDDADRKRSQQ R PFICI_07478 MAANKEFALLCLENPLLDIQAVGDKALLDKYGLKDNDAILAEEK HAPLYEDLLNNYDAKLIAGGAAQNTARGAQYILPPNSVVYLGGVGDDKYAATLRDAVK QVGLRVEYRVDPKIPTGRCGVVITGHNRSLCTDLGAANHYDLEHLKREDIWALVKGAQ FYYVGGYHFTVCPAAIQTLGEEAAANDKVFVVNVSAPFIAQFFKDPLDESSKYWDYVI CNETEAAAYAESHNLNVAATDIAAIAKHLANLPKANTKRPRVAVITHGTEPTVVAQQG VDEVKSYPVHAISKEQINDTNGAGDAFAGGFVAGLVQGKTLDEAVDLGQWLARLSIQE LGPSYPFPKQTYAKA PFICI_07479 MGRVIRNQRKGQGGIFTSNSHLRKAPAKFRSLDYAERHGYVRGI VKEIVHDPGRGAPLAKVMFRSTYKFKQQTETFIANEGMYTGQFIYAGKNAALTVGNVL PLGSMPEGTVISNVEEKVGDRGALGRTSGNYITIVGHNPDEGKTRVKLPSGAKKVISS SARGMVGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAQGQKAGLIAARRTGLLRGTQKTKE PFICI_07480 MAKIATDFNKIINAGRERKKNEALAAKIFGSKDNRRSSAPGNTS SNSAVGGSLASRVGVKKAGARGAAGGGAKAAAVPAGNINGEWLHDLHGTRTTPNLRAR GQQQQQQQQQQQQQPIGGALAARIHAPGTKPAAAKTKGGIPTGPRNANNRAARLASAV SRTSNTQMNVAQAPSRGLSIRGLAGPYAVMAQNFAPGTTAADIESAMTPVGGIVSSCK LIKVQPIVIAEVVFESKEGADRVIQTFNNQTADGRLLHVYHKPAGSPSYNPPSAPRAQ RSNESRSGTGVVVDGSNGFDDPMDSDHHNDSSLYSDQLVNRSDASRRGRGFQNRGGRG R PFICI_07481 MDQWQGYSDAASGSQRRYNGGSSQRGDYPQQNSQHQQSPGPLRY DQYGNVSQSSATSPLSTPQLRDNNGDVAMPDAHDAYGSMKYPMRPHHQAQLSSSGSRT AAMNLHSEPSAAAQRYSPMEVLSPTSPYPPKSASGQFSPHRQSPTRANSDYSSSPYYP SRQGSQLPMLASYGNNGQDSQSGSATPYDGGNDLKPRRTQEKKPVPEFKKLRTISDLR PTVNAQPPFRRANPEGGFISPLKALTTQLPATYRICNPSFKYESSRNPRRVLTKPSKG SKNDGYDNEDSDYILYVNDILGSEEAGHKNRYLILDVLGQGTFGQVVKCQNLKTQEVV AVKVIKNRTAYFNQSMMEVSVLDLLNTKLDKNDDHHLLRLKDTFIHRQHLCLVFELLS VNLYELIKQNQFRGLSTTLVRVFAQQLLNGLALLNKARLIHCDLKPENILLKNLESPI IKIIDFGSACDERQTVYTYIQSRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPL FPGSSEYNQVSRIVEMLGNPPNWMIEVGKQAGDFFEKRQDEFGRKTYHLKSMEQYARE RGTKEQPSKKYFQASTLPEIIKSYPMPRKNMKQSEIDREMNNRIAFIDFVRGLLTISP LERWSPQQAKLHPFITQQKFTGPFVPPMNLKASSLNRTLAPGTQQQQQAAELSKQRAQ AAQAQAQAAAQGAYAVQQQSPGYPQSPHGQPPMYPNNNNNMYSPGVSHVSAPYGSAQP SPYAQMPINQVPAQMPPANYAGVQPQQNLYQQATVRAGRQRASTMDQQQNGIPATIQR VASHLDPSQPIRLQPSPAYYPPPADGIGLPDPGAGRITGRRDSRAQRGGNRGNLIRNL EERTLEEGFMGGGQSPWH PFICI_07482 MAENGPPQTSSQLPPPPQPNAGAPGFEGGQNGQSNPAHMPPPPL HIPQNTNPIPTAITSPLSGDQSGMMSPSSGGPFARRMAPEPNKRALYVGGLDPRVTED VLRQIFETTGHVQNVKIIPDKNQKGYNYGFIEYDDPGAAERALQTLNGRRVHQSEIRV NWAYQSNTTSKEDTSGHFHIFVGDLSNEVNDEVLLQAFSAFGTISEARVMWDMKTGRS RGYGFVAFRDRADAEKALSSMDGEWLGSRAIRCNWANQKGQPSIAQQQAMAAMGMTPT TPYGHHHFPTHGIQSYDMIVGQTPAWQTTCYVGNLTPYTTQNDLVPLFSNFGYVQESR MQSDRGFAFIKMDTHENAAMAICQLNGYQVNGRPLKCSWGKDKTPNSATGGFDPSQQA YSPQSAHASGGYPGTPSTYFPQYGGQYGTPVAQQQPYAGAPAQSPAGYNAQPMGYGGP PSAGGYGRGQQGPNQQWSSPPPGQNFNNGFQGYQG PFICI_07483 MAAAQAKDPLDVLQAMFREALVQTGKAIKASQKDGTRNGASAGV ALRTKMPETMKTYHYALDDIESEITRAKAVLLRDLQKLQAARAPVVVPSPVAPPAPMV PEPQQPAALMMDMPSAAAHTVNTNINFQPTREETKRAPFPDMGMGLSSDVPDLSSDMK PPTASPRPASASGKTGVVKQGTPPIKQSPKLTQMNKITPVPPPQVPQPSAMGPATQKA VVSPPQPAAAQVAQDSSLDAMLALPPTGGAATADMTGGELNFTNMEFTLAPPSDGQSQ NAPPAPMQEFDMSAFTTQDMGGDQTAMDKNNNTNNNSSSAAPANAVGTTSAKAAEVTA QTGSQDSMYDLSNIDNGNGDQMDLDLSLGGGGEVHDSTFNDLFFEDADGGMGQFDDAF FGLE PFICI_07484 MAPPFPFPEPPESRLYRHRQLAPTANVRVSPLCLGTMGFGEADK ARLGECFKETAFAILDKFWALGGNFLDTACGYQSQQSEQWLGEWFTARGNRDEFVIAT KYSSPYRKHEKHVKIQSNFGGNGTKSLRLTLENSLRNLQTSYIDLFYVHWWDYNSTIP ELMHALNDLVVAGKVNYLGISDCPAWVVTKANQYARDHGLRQFVAYQGLWNAAVRDFE RDIIPMCRDEGMALIPYGALGHGKFQTEQAYKERESNNPGRKGPISEKYRAVSRQMES ISVAKGTKLHTVALAYVMQKEPYVFPLVGTRTLQHLEDAVEGLKVSLSDDDLLEIEKA NMFDPGFPHTFLSGSQFGGDPIIPQGAQDVWLTNVIGTFDYVQGPKPIRPGN PFICI_07485 MAQAVSPEVGLEVTAGPGAPQTRVELVPMSQQNNVRKPEDDWTG ITSAAERRKLQNRLSQRRYRQARARTKKETQTPPTPPECSASTTSAQRNLAVKFRGLA NAVNHHDNTHNSLLDKMGPSVLRKMADEFEIMMQRDFLLGSPRVDLVLTLIQFNVFRA LLSNTQSLGWDFQWLECEEPDSPWTAAVNNEDATSTYSSCPLSLQPTDMQRKVRHHPW IDLWPIPKMRDNLLLAAGLYDEDELCNVLLEFKDIPNEQSGLLVWGEPWDSASWEVSA TFVRHWAWAIQGCDELQASTNRWRIARGEKPLRW PFICI_07486 MFVVRLFTSLLFAYISCAFSTQDVLSIARNDQSSTAAENCEKAC QELSSNFGPALHFGDNDPTFVIWDKKQQDAQPACRVTPVTAAEVAQALTIVSSHWCRF AVKGGGHSTNVDASNSVGGVTIDLGDMDHIDLAPDGRSWADLGPGLVLSDAYTYLEQY NLTNIGGRVADVGLPGYLIGGGISNLSPQYGLAVDNIYEYEVYTTPNINLNATAFFFL PPTPFPLIRMIVDIFQLVLPNATIINVTQSSQPDLYFALRGGGNNFGIITNFRVRLIP QGPMLSGTKVYHANYTRQIVDQTYQLTTTLSNDTLMCFSSRYAYNQTLDEFSVSMTQA YAQPILEPPVFATLNEVPYESSTVRVDWMSNFALESVQPPGTRQLFGTVTFDPSGDLH QQILDVFEDEVSQVKDIPGFTSSVVVSALHINAIKAMEARGGNALGVESDGPLDIALL TVGWSNATDDAAMNAFADTWITRSMQAASDVDRLHPWLYINYAKDTQDPFSGYGKVNK ARLISIQEAIDPKGIFTSTGLCRGSFKLR PFICI_07487 MKLASLLGASSFVLGTVLAQQLPLKTDSRWILDDAGDRVKFRCI NWPGHMEANIPEGLNKQSIDFIADFIQQQGFNCVRLTYSIDHALDPGVSVQESFTAGA SAANVSADAFNAIYAQVAEKNPFVENATTQDVFAAVIDALWERKVMTVLDNHVSKASW CCNLDDGNGWWDEAQAYVASNSRFFNTQNWLDGLAAMATFATSHPGVVAMSLRNEPRP YPVLQDVFDGHGAWYNFMQQGGERVHEANPDVLVLVGGTQSTQDLAFLKAKNLDYSAW AGKHVWEMHAYEFSVTYAAAGDNCDAKQALYGLFDGFVLAQGEAYTAPLFLSEFGVDL TTGPNNGLTDAGQTFYDCIKQYMTGNDMDWALWAIQGSYYARNGATDVEETWGFMNKD WTGVRNENFYTSMADLFKVTQGP PFICI_07488 MAETISQPAATDVSPSSPKLPGRGAKLMIPAAATAFTSTVTSRL RNQLGLASPSPVNQNGSFEFDRVIKSGYVQKRTQKTKTWKPVYLVLRPNTLSLYKSDK ESKLRHKIYLSELSAVTLLKDPKQKRHNLFGLFSPAKNYHFEASSAEDAQEWIELIRR DARIEEEEEEMFLASPIVRRQSYAAVDVLGNNTSGARKDTSIDQDRNISSSPEPIDIL PPKPLSSSTRRPSHTWESSGMSGNELVSHSDFSDSEAPRVGASLENLTSRSMSNSAAQ LRPVLTGRNLSQLSGLNIENDPDRVVWQGWLQLLKHKRGVRQWKNCWAVLRPRNLILY KDEAEYTAAFIMPLSTVVNVVDIDALAKNKPFCMQIITDEKSYRFCTQDEETLVKCLG AFKSLLSKRRELEARQTAATGSSGAAS PFICI_07489 MSVDRLLTVALRAFQEPPNTAETNKIFATTTTLLSNLNNPLNIT LLTSHLLTARAIWEAPDGLRTCLRIISIFNTAAKHVIQNSENNAKLVWGQKPVGSELR PEDWARAVANGADERSARWKHVLVLTGILLGMESNDKRTLSSGLKSTLEQAVVTAANM ALGDAVQTQELGNSAIVLALTYSFPVSSPWNKSSLNCDLLLPATLRVMMGTEGFQGGD FLRTISAGNFAGHEAFYRASPDSFAAVQQVSSQPLVQNMGPLSRVAAFAVENASDSKA VLQAQDHLLALSIDLLEQWRRCPFSAIDVSVESAVLAPELQQGPWNLLWQVLKKLMYA VVATAQPIIGRCLLDPHLRNDAVAPIVASKTLHVLRNLYFISSRGGADSFQVYTFTYL TSIDVMSRYPEACIGFLQEMLPPTLQSNGQPPSALDQALTLFYLNLAEHLPLSLPTPA AESLILTPATSQLSFAISTAESLPANSLTMPLFEASHSAILSVMSCPQHAELTVSAIP FYVDILLQSFPTRISSRQFRLAFKTVMQIVSPPFPISATHPKLSEILLETLHFRSVSA NVLPLPPEATPTSPSPMASQQPSEEQVQQPRLSEQSTLVLALIDALPFLPLQIVDEWL SRTAEAMNAIADASMREVARKRFWDVLVSGEMDVERAAIGVAWWGTGGGREMVLLNAP RVQEKQEEYMMSGALGNKTTPRL PFICI_07490 MGQQVSTSAEGCDARRPSFPSLYWPTDTCEDAIYYLRDSWRFTL LWTLILYAIFHLGAAAVALFVQVGKHRSTWKYLWTVPIIYAITAAVEAVVAGSIVGVL IGAVYFTGKFQMSTWIPFIWGWINVLMLIVSSFTIQGGL PFICI_07491 MDPLRNIDLEENRRLMREGQLYYAFTPDLVADRKRCRLACEAYN RAGDVSRRRLVELFKLIQDDKTPLPPVAATPEEDEDLFGEQVWCDAPIKMDYGHNVKF GDNVYVNSGSTWIDTCLISVGARTLIGPNCSFYSGTHPVDPTIRNGTKGPETGKPITV GEDCWFGGNCIVLPGVTIGKGVVVGAGSVVTKDVPPYNVVAGNPARVLRIIEPSAVPH SLPGTAAPN PFICI_07492 MSASPESATPPTPPPKASPVSPPRAPRKDEPPVGFHYLVNDEIP STPTWKTSFGPSEKVEEDRAWVSSIASVAKSEEQRRPMWANNKKKDRRISLQLPTIQH VPEEKDADDLLPIASPLSARPSPDKLYFSGKAKSLEGIALRAFCLGIALALSVVAIAA ILLFSSSPLWRVPFFFAALSTFHFLEFWTTAKYNTQEAKVDSFLLTANWPMYFIAHSA ATIECLFTKLIWPNRSWAPLYTGHILLLIGLILVLIGQFVRATAMMQLGTNFNHIVQD RKKTGHELVTSGIYGVMRHPSYFGFFYWGIGTQIVLGNPICFVAYLAVLWRFFSTRIR AEEAHMARFFGEDFTEYQKRVPTMIPLIR PFICI_07493 MAGHSQTILSHPEPPDCLHHEDYTVAILCPMGDELSPVLALLDE VHDNLSTSRDQNAYKLGKMGHHNVVVAGMPEIGNNAAAMVVTQLLNDFSRIRFGLLVG IGGGIPDEDYEDDDLNDIRLGDVVVSHSHGARGGVIQFDRGKSTTEGFVVTGYQSKPP HVLAANVEILKATHNLHGHSILRYLDDMLEKYPGMKEKYSTPGTERDCLFEASYTHGN SKTCKKCDISRSIQREPRTTGSPRVHYGTIGSSNTVIKDAHKRDELRDMGILCVEMEA AGLMEAFPCLVIRGISDYADSHKNKKWQPYAAATAAAYLKELLLQIPVGEVQRTQSVT DALEGLSMKVDQVDQRTRRVQEQVQTGAAEMKILDWLSSKDVNFSSLQNESQQAHTAG TGQWLLDHRDYQNWRNHEPGLLWLHGVAGCGKTILCSTIIRDLQENFPHLAYWYFRFD NQILQDVSQMLRSTIRQLSVSPLPDEIRSLYDRHGQRGSEPSVEELVAALNAAIESLT QDVYLVFDALDECPHQDKKGQRDQLLSCVKNLMMTHSNLHLLVTSRPEPDIEIHLRPV ASCDFDIELPIRSDVERFVKVALEDPRLASWTEEVRDQIIAKLLEYEERRFRWADLQI KRFAQCPTIEQLKVAMDSVPKDLEAAYRQAFDAIDDENTAHVTKIMMWLAVSLEPLAA EQIAAVVGFRDPDFVLQICSTLLVTIIDEDTTRIIKLAHFSVKEFLVLKLYENSTQWY RFTMEYANKSIALFALQGLLYPQHQLKNILPYAAQYWPRHAIEGIKADDQLELEEQIC LLFSEKFRKQFLGWLKMHDPDNVNGYLGHRIAEPLYYASLLGFQTVVSNIWVGISQLS KSEGRYGNALNAAAINGNVAVVRWMLQSCRISARFLDLVRVAGEINANVTDCVIEICN GAEDLIITEGVILAAAENESSGQQVMKVLLEKRSNEVQITEEIVRAAAGNWDSGQQLM DLLLTQRRDEVPITENVVKTAFSNQYSGRQVLKVILEHTNSDPQIIEIVIRAAIANGE NGQQVMELLLEEGRNGNESRFTQDAISLITGNFDAPAVQNLLEKRKDTIHITEMAIIA AAGSYYYGPQVMNALLGATRDEVDITEGVIMAVVGNRRSGQEVLEVLLKKTAPKSYIT NSVISLVAKCFDAHAVELLLEKIPDDIQLTEEVLKGAASNGRDGQQVLEVLLGKSGNQ IHHITEQVVRTAARNFHSGQQVMELILSKRADGVRITDQVFMLVACHWKEESLMKLLS ESIEYDAIKERLASATNFGNMAAVEALRKRRRHLINTLYLAISRGDIDTAERLLKDGV SPKTNGPDGWTLLMHASRYGTTDIAELLLTHGADLTKKHEDGRTALSIASSHGRLKIV DMLLRKGADHTAKDERGYTPLMYASKEGYVDIAELLLDHGADHTATDEKGQRALDKAA HYGNLEIANLLLRRGADPQASNLNGRTALMVASAKGYSDIVELLLENGADLNIADQHG DTALIWASFKGHMEVANLLIERGANLNIANQYGYTALYAASLNGHMEVSNLLIERGAD LNIANQYGHTALHTASLNGHVEVANLLIERGANLNIANQYGYTALYAASLNGHMEVSN LLIERGADLSIVNNDGDTALHFASCKANAKIIELLLKSGADSTIRDGTGSSAFHLACL YGHTEAVNTFIEHGVDIRITDERGCPPLHLAMWKGHTNVAEVLLSMDSCNPHLREACG FTAFDTAAQKGDTDMIDLLAGKNPEPPPGSNDVEGAHKVSRAIEKFKGFWSRS PFICI_07494 MDSATCNVIYVDHNVRNTTQLTTDSTISDLEATLGESLELRGNL ALLIDAFREIHVCATGADCIEILLDLHDTSSIELKPTLVLIDTPHDEQIRAAEPSSRD HSPHSRASSSTDQDGTDREIYGLKLLDKVVYELHSRNLSKLVVPISVVTYPLLQSPPP SDDSNDETGQTSYAEYLTETTNPSSNSLASRALLRKCLDSGAIDVLASPLHVKTLTTL EVHAYRAHKEALKEQQALMEIRRGRKRSWVGVHEEQPFSYLREAMVSGLMGGICRSGD EGDVVIGSVRISVSSDERAAIASAIGKWNFCAHDFDDDQLLIAASLMFKHALTMPELE QWRIPTDQLTTFLVACRAAYNTFVPYHNFRHAVDVLQATFHFLLQVGALPPYPLEAGI YATPTPKTPLAELLRPFETLTLLVSAIGHDVGHPGVNNGFLVTLNAPLAQLYNDRSVL ESFHCAAFSQILRRYWPKVFENTKMRNLMISSILATDMGLHFDYMAKLGTLQEKLSAN NSTKGWNEKTTEVETALICALLIKCADISNVARHYDAALQWMHILCDEFSRQASMENQ LSIPSSLISEPKKEFLALATAQLGFMKLFAIPLFQGVADVLPGMKYTVEALDGNKMTF ESAVKELSPLVDAANKDLQELRDRQGSTSSPRTVQIVAPTEDSPDSSSGTTLEASYQT ISENHSEMKKAGSSPPSQEQQIPNIPGEYKEVNGISGDYDEVTQFAASDPFHVVDDEN SILGRSAKQRCSETTEGSASGPYSGDWASQATSATTGKMPLSPSTQGTSIRSQESLER PSSALPVTTVTAPEPNYLDFEAPHSEAVSSAEEHSNGSTLKLDSSPDRSPNADKSLKK KPSRFRMNALKLFRRDKGSTSPASSEAAG PFICI_07495 MSKAQQCLRVKLNTRVPAAADGAGKTPQVPPPCSSASAPPPTST QCSPSHPQYFRVIGRGTCGTVYEHAGLPTEVAIKVGSDRAAIKRDFNHALRAYNASRA PRSPVSLFTGPLPDDEAATLDIPRPLVPRPRKMLDDHDLGRLGRRGLRLEAVDDELSD GGPEDFTGAEIPSAWEVERIGPIAATVRDALVDLYVQPALRETLRANDESRDCLVRVY LGAQAPSSSRQRQRRQWHLDSGLRNAPLYLDQMDEARLDPCMLAEEVGVGIATCQWAA CLDGMDVEFVLGGPRLPRYRDRAECAAMPEQPEARMWMLDYDKTTLLPFVARGSQCPS AEELVERFAVSMHGNDPYFPRPSLDRALWDRFVEVYVLTARSILRNTKMPASRLEFLL DLPREVMARLAELYEEEEQWQRDADDLVGFEDGRDDLGEGWGSEDVSSISGEDEEECP SEREVKQKSRNGF PFICI_07496 MSSMLKRSGGLAFKPKAGRRPGAASATASQNTTRAPTAELSQTP VPASTPTPAPIPTASSTVEERTSNLKQSNATAVTANEPNAVPTPPPSKPAEPEAPEVV ALAKPTPPAITTSNEAEISSSEGNSTNSNVTSGSLPATATSRNAGPAPEETRLDPALA NLNKSVPDASSSAPLPLDSTSSNTETQSSVSQPTNTPTVSRTDVTGDTLITASTLVVP NAGLPSPATTAISQPSAQSDPALTSPSPLPIPGSAQNSANPTPPLTNGTAIDSSVEAP KKKRQYKKRKQLVEGQATETGEDGAAPPKPKRQRKKKITTTEAGQDGQPAANGEAAPA APKRVRRRQTTPNPEDIPEDGRPDHTTTKIGDLTRDLGIGKKFKHADLIVERQRQARH DAKLRKIEKQKVAMGKLAPEDSQANDSRAGTPAAADNNNSDQATKANRGPGIDFDIID GQIMINQSSLVINQHAAEGDVQLETVEEDEFTHLTTSASYLRPSRAMGSNQWDDADTE KFYKYLKMFGTDFETISHMFPGRNRRQIKLKFNREEKHRPNRVNAAIMARGEKRVAID IDEYRASRVSDENWITPDKFNAEQELIRKEQEKELEARRQERRDLGLLEDDPATKKTG DDEKGAAEHGEEVEEMLIDDADVGGTSTMITT PFICI_07497 MEDRKRPALSASDDIAPPSKRQQINGGANVAKDDDAKEDVWIDE YTKGAIYRQMQEYKRTCSTLEIRLEEMERRSVHHDDHLRVVDAWWVQLLQELKLLAEK TIPFQPGSEKEAFPTGTTFKDMKELESHLSEKASIIREMTETIFARMTTNRDSEPDVA TLESQVNNLLAAQKDLLVKVNRLTSEREGVSEQLNTATLRYMKAERKMDRLRSNQVQK LEQKALASSTARPAGGDQDNGVVEANGNSAELQLKLKEATAAGDKQKQHLETALAESK KLQEELTNLQTKLTGLTDEDYVRTDAFKSFKSRQEDLIKKINSLELQNKKMAEANSKL ESERTAYKKRLESEAQQFTSELEEQLQQSDANLVRIRAMRDEAVQEVQKLQSSKDLER VALEELKSLVSANEDWIRQLESQVQRLTPSEDTDMTPRPEIDGLSAEELREKYKKLQK DFDSINNELPAMAAAVKKYQITANKKVQDVVHVEERLTTALAEKGKANQKYFDARRNH DALTEELKKVRMHNLKNSEVISQLKENEIQNRALAASLEKQLADLRQANATTISENKR TESNNAEILKRYEALKTQITELNNLAKSKDAASATAKERASILETENEKLKVRLESMS KDRDKWKTKSLSNSSEEEEMLRKLATCSVCHNNFKNTAIKTCGHIFCRTCINDRINNR MRKCPNCSKMFDKLDIMTVHL PFICI_07498 MGNTKHLASLLTSCLAVLSLATPSLAATKPKNAILLSEVQSLTL RGGAKTAHRRVAAAPQLKCISHPSICKLHDVDLMRCTNQGSGYSDEDIQWSCTANLPP TLKLGSTDVICEGYASSDDEYVLKGSCGVEYRLVLTDEGDKRYPHLPNGGGGGWGGKS KSKEGIDWSGILFMFCFVGVLMWMVYSGCTNARQARNNTTTRRPRSGGGGGGGGWGPG GGGGGFGGGDWGDDDPPPPYKPSSSSSSRAGWQPGFFTGAATGAAAGYYAGSRANRQQ QQQQQRGYGSGSAGSSWFGGSGSPSSSNNDSGSSSSARYESTGFGSTSRR PFICI_07499 MDQVMQLVADRVPVFASVALILGTAFLFSILRSSKGGVDKIPLV GNELGNVEQRRKAYLSNAKDLYQQGYQRFKNSTFRLTDTDGEKIVLPRSLLDEARRLP EDYLNISKAFEKSNEQKYTGLGGNQIHTDFLIHLIRSDLTHNLNRINGRLTEETARAV AEELGPCEDWTAENVYSKLLRIVAIVSGNIFLGPELCRREEWVNSAITYTVDMFTAIG KLKQWSPWTRFIGQYYVPELKSVSEHRRKCHEFLAPVIAERRQQMKQGVAMPDDMLQW MMNKTGDFKLGDDDLSIIVLNLSLAAIHTTTITTISVLYDLVIRPDLVQELRKEISAV MEQSGGVLSTHSLYDMKLLDSVMKESQRTNPGSLVRFVRYVDNAVTLSDGTHLPAGAM VEANHANILNDPQLYSYPESFDAHRFMNLRKGTVEDPMAYKNKEQYQFVTVTKDFMAF GYGRHACPGRFFAANEIKLMLSRILLDYDIKMPEGFTERYPNLSMGLDALPDPTKDLM LKRVKAHV PFICI_07500 MAARTLESRFERMSVNDENSLGDSSKLYQKSKATVTTTQLSHNT NRSNMYKVALQTQNTNTVASVTLPSQQAQWKGSQPPSPQRKALPSSARTSAESAEDRK SVVPVPVLIEQPTIKSMHLGMFEIGRPLGKGKFGRVYLARERSNGYICALKVLHKNEL QQGRVEKQVRREIEIQSNLRHPNILQLYGHFHDSKRVFLILEFACKGELYKHLRKENR FPEWKAAQYIAQMASALRYLHRKHVIHRDIKPENILVGIHGELKISDFGWSVHAPNNR RKTMCGTLDYLPPEMLKSGSQDNYYNEKVDLWSLGVLAYEFLVGEAPFEDSPVMTQRR IARADMTIPSFVSAEARDLIKRLLVLDPEKRIPLDQVQQHPWIIKHCLKGERATNREK GAQ PFICI_07501 MASTSPDSAAATAAAAPSPPAATAANTGDLPAIMKNDPARTGFD PKTKWWVNYFKILSGDMTAEGAFHYREQRYREHEERDCQRCEQFRDWTFAHSPTVRFM REKVADLNGRLDASNVVCRRCPARLTEDGQVHRQGGGFSPGHGILLCANEVRDRKHLE DTLSHEMVHAWDHLRWKVDWHGNLQHAACSEVQS PFICI_07502 MKAFKPLTVVIKLGTSSIVDEKTHEPLLPILSLIVATAVKLRKD GHRVVIVSSGAIGVGLQRMNVEKRPKHLAQLQALAAIGQCRLISLWDQLFGYYSQPVA QILLTRNDIADRQRYLNAQTTVNELLEMGVIPIVNENDTLAVAEIKFGDNDTLSAITA AMAHADFLFLMTDVDCLYDKNPRTNPDAQPIQVIDDIDSIRADVSSAGSALGTGGMGT KIVAAKLATSAGVTTVITRSSQPDNIHRIVRYVQATKAFAPSDDHTEDPLASSTASLA LDVAEPPLHTRFLPLAHPIRDRYFWLTHGLAPHGAIYIDDGAHRALADKAGLLPIGVV DVEGAFGQHEAVRLIVVERRAQPGPDGKMWEGNPIEVGRALVNYAMPEIARIKGHRSS KIESLLGYADSQYVADRDYISLFRHESRPVTPTPDITGLASYEQGSTL PFICI_07503 MSGYGNNNDDSYGGDNTSYGSSGNRGNDSYGSGGNDSYGSGRGD FSSGQGGLGSSGGLNRRDDDDSFGSAGGNRRGDTFGSSGGGLGRDDNSSFGSGGAAGY GSSGAGNDSYGSSGRTGGRGGDDSYGSSGLGGSDSYGSSGRTGGRGGDDSYGSSGLGG SDSYGSSGRTGGRGDDDSYGSSGLGGSDSYGSSGRTGGRGGDDSYGSGGGSGLGSSGG YGGSGRDDYDNSRSSGKDSTAGKFMEKAGNILGSDNLEQKGRQKRDQARDDY PFICI_07504 MQSCKSLSQRLQQKRQIPWVHGEYGTCLPSKETADILIDKYLRT FETVQRILHVPTFRREYRMFWENQSQAPFDFLIKMQLCFSLGACLYDDIFSLRPQALQ WIREASAWTESFDSPNLSISGVQIMCLLSLAQNVVQELIGDRTWIRSGALIRLAMAIG LHRDPTKLPSMPAAQGEMRRRLWTTVLELALSSCLDAGGTLLISLRDFDCMLPMNLDD TQLDFDDNGDLLASDSATYTDTTLQIALAQTFPARLAIAEYANGFNSEQSYDKTLRLA ADLKSATTRFGESIKVHHAKITDFQRRYYEMVMDRYMFMLHIPYATVALKDSAFLLSR DTCVDAALRLSYAALDSRLMPDAFLDSARAAMVVTAPCQDYVRLVLCGAGLFRATQTQ ALMIIAAELHARLVNWSEGACLDTTGPLGTLRGQELLSFLRVGVEWTKCRILAGQDNI KDYVFQAAILAGITAMIEGGSVKEATDNEGKEACIKAKALLVNLVGDDEPSNTGQAGV AEDSWNFHANDFWTADWADCTGSFVIPQ PFICI_07505 MKNFARQLKGKIAKVSSGRGDGDGDAGRLGGTIPPIGSTRPELY GMFHLTETASSQPASSACLAKVIAVHGLNGDAFTTWTHSNGTLWLRDCLPASIPGCQV YTYGYPSQIFTTSYAGVRDYARHLLSCVRDLHELDHNEEPVPIIFVCHSLGGIVCKQA LVLAHEDDVIYRSTLKSVLGVVFLGTPHKGSEAADIGKIVGRIINLAVPKATRTDLLG HLGENSQALRELASSFRHRLCNLEVVTFYETQPIPPLSSLVVERSSSILDIPQEDIIP LYENHRDICRFSGHTTSYKAVSSALRRIVTRAEAKRKTSSKEDARSSQRSFNEIEKGC VTLFSPFDIDDYHRRIPKPIKSTFLWVISHPVFVSWLKTAKSTMIWFTGYPGSGKTVM SSFLTRYLERTAKAAMEDAMVCVYFCDGNINKQKDARSILLGVIFQIIRQHRSLIQYV RKFFEIQGVGLAQSLSALWRLFLELTRDPKSDIVYVVLDALDECENRTCNELLALVYD FLEESKSPSYDGSCVKFILTSRPAFIHSRGRMNSLSKYCFALDDGQQGYDEDIRTYIQ ERVKEIAGCPPGLREHLQTTLQTKAGSTFLWVHQVFRALEGSFITSHEAFQSVINAIP ATLEMTYQTFLAAIPQNESDTANRLLQLMLGSMRPLTIAEISIAFTITWNHQDADQLS CSAPFSMLRTLQLVLGPLVRISESKVSLLHQTVKEFLLNPAMCAKQYVMTAEECALCM SMACARYLLLSDFVHDAFAYHPSPDMSLTDSSSESGSSKSDHMFTASFWADETQLGSD RLFKEQSVVTEEACKSIEKEYPFYRYAALHWASHYSVSEKCAPPRMRKAARDLLNKTL PQCANWWRFYQAEADGMNHESHENLDTLELAAYFNFSEMLRDILEEQDFVDANASKCR ALFWASFKGNVESIRILLHAGTDPTFRSFEQQTALTIAAEKGHKESVVVLLEHPHTNI NCQGRRGRSALSFAAANGHHDIVRLLLANGEILPDQVDENGCTALIWAISGGDTQIVQ DIVDHNSVNVNHQDETGRTAVSWAAGEGKTDILKKLLKDKRADMNISDKRGLSPLIWA TRLGQTQTVRLLVRSKEVRVDTVDKDLRGPISWACGQGHHEVLRILIKYRCPGINQKD VDGWSPIAWAIQCDAPEIIETLLLTGEIDLEANDGNGKTALWWAVSYGHIRNVKTLLR EGANPKARTKDGVSVIDVARDSGRSDIVTELSKAL PFICI_07506 MVFSTLRRVVWGKAADTKIERKLLVKLDAVILPFCCLMYWVNYL DRMNLNNAYVSGMKEDLNFQGNQLNVINTIFYAGYVIGQIPNNLALQRLPPRFYFPSC MIAWGLLTLGTGFAHHPWEIMVLRFFQAIFESSTFVGCHYILGSWYKEEELGKRTAIF TSSGLAGTMFSGFLQGGIHQSLDGAQGLPGWRWLFVIDFCITIPVAIFGFFAFPDTPE STKARWLTDEEKKVAIERLPTIEKHRGVLGWSLISRVLATWHWWVNYIPTGVAAVGIV ATLFLGWYSDFTKRSWHVGIMLALTAIISGAIMLNPPSRGAKFFTLFLNRCQYASQTV FFAWANGVTRKDDAKRAINLGGMNTFAIAVYMFWSIVFYSTTQGPDWSEGSIAMICIG SALFLTTLFVFGLERRDGQMIAVYDGVAAESYTSGEEDKGHNEDTITVTKALKGRKIF KGMPGLQSYRAIPCNLPG PFICI_07507 MLDITVTGNHREIGLATGRQAAVQVAGSINFYRLLFKAFNDLEW STVREQVTQFQPFIKDRFPRYYEEIEGIAEGARVDLLDVLALNIRTEIAFGLFDETSR RPMAIEGVVDGCTTLGWKTPSGSTFLAQNWDWKSKQKPNLIILRVNPTVSDLNLPRFQ MITEAGIVGKIGFNEYGVGCLLNGIRAKGVNSERMPIHFALRTILESKSAREALDKIE AIGLAGSSHILVGDATGPTGLECTSIGFQEIPADDQGRVVHANNLILKHEGVFEPLWL EDSPKRTARLTELATKEVGEKASFETLLELFKDEQNAPAAINRRQHGNNDSNTLFNVV YDLAKKKGVISVGRPTEIEEQIEIGF PFICI_07508 MPKRALVAYAVDVDACANWINTRDGTRIGATDVSRGIFGANVGT DRMLKMFEDYNIKASWYMPSHTILSFPEQMAKVRDAGHEIGLHGYTHEFVRFLTEEQE RKVMAKSIEVYQQFTGKYPKGWVAPAWEVSPKTMSILEDFGIEYDHSMLHHDCQPYYV ADVPEDSVVHTDYSQDPDTWMKPMKEHKPTKVVEIPGSWNVDDWPPMNYTRRPGTHGF VNPRDIEVQWRDQFDFFYREYDSFVFCVSCHPQVSGKSNVMLMHERFIEYLKSKEGVE FVTMAQVCDEFKSGKLAGVTIKAGVPE PFICI_07509 MEGSLSFAGRQIAVTVSRLSNSSLPDTIYVLLTLSKGACSGIGL ALTRTLVALGAVVYGADIASQAPQEIASLENVHFTFQCDVTDRQACKSFLDSIPGRLD GVVNCAGTSGWEGKIGTDAVYQKTMDINVTGTWNMATEALQRMSLQEDIEGLGVLPGS VRSIGQGSIVNVGSGASLRGVAGLAAYTDSKHAVLGLTRSWARDFPRLRVNLVAPGAT DTPLAEACVAGAPKDDPNVNIGKAQIDAIPKGRMAYATDVADAIVFLLSDWSSFITGQ CLPVNGGNF PFICI_07510 MDNDTKVAIVTGAASGIGKALATHLVAEGWRVACCDLQRQAGSA VAADLGSNAAFFELDVTSYSDQAKVFSQVWERWGRLDALLANAGHSDRGSIYILDHRG KTEIPPEPALKSIKACYESLLYGVQLAIHFMRMNSTPGGSVVTTSTIASVHPHQTFPE YCGAKAAVNQFVRTAAPILKLKDNISLNAVLPGIVITGAVPQSSIDATKPEHITPVKT VVDAYNICLAQPDMIGQLIECSTDKHFFHPLPELANGEATKRACTVWEPLFEQKHHEK SGLPDAIP PFICI_07511 MAFLTYLSYFIGGQVALTIAFYMLSVVVPKAGFVARSLASYMAL VVCAIYGVLASIALRLVGKQQIAQWTTARAFKYVMAATTGITFEISDPEHHLEKVRPA VFIGNHQTELDVLMLGCMFPKYCSVTAKKSLKNWPFLGWFMTLSGTVFIDRKNSKDAR QAMSGAAEEIRSRKQSVYMFPEGTRSYAKDPVLLPFKKGAFHLAVQAGVPIVPVVVAN YSHVLYLKNFTFKSGKVPCKVLDPIPTTGLTAADVDDLARTVRERMLEELVALTEKAQ GRAIAMPAQNGKDGVVKASGVEATLS PFICI_07512 MCAVIAYRAICPDCGKRNGTDKNRNVIPIKLVHEQYAYAHPTEI PLTAPCDAGFDVGTLDCPNSWPRRPSDGLIGFDDDKVTERRCQRYLRARHRKLLDERT RRRENIGRQQLQQIQRQTFAQDTLFVPEEEQQQERELIEEEQQQQEMTEEQQQQQQQQ QEQWQQERILKLQELQQKVDLQSWQDREVSDILEIQELEENIAAYKQARQQAQARQQK RQHALQQVLQILQQVKAQQQLRQQQRKLDPRQQAQYQQEQIVRLRQLEQKLAQQPPSE RQFSDMVEITKLQRIIQIYNKTHLQEPVMVAPMIVAPKMVAPKMGVPKVTDPMAVSSK VYQWLVAVPDTPETPESFEEYQKQVEAIDAEGDTIHFTGDTWAEMFVTPDAILDQ PFICI_07513 MKAISTLRSAFAKLLLKTCNSRNDGTSKLQSEGTDWNSSYAATT DYYQPTLRTKTAVRNTKAERRPGLYYVRKKGSTRAWVEKVDKNGIRTQLTRPSGAGKV KPGKQQRHNVDDRSRWDSQSQWTAQEGDRTVQYVMSQDPRTYDYDTSSIYSQHDE PFICI_07514 MGPKLANKWAVASVSLGKHSSHSLERKLQAAKDNHFSGIELVFN DLAAHASSHDHPLLESAKQIKELSQRLSLEILTLNPFKNFEGNRDTSLRERLTKAEEW FEVAVAVGTSIVQMPSQFLDGTTGDEAVIVPELQVLADAAAAHGLKIAYEAVAFAQHN ALWQDSLRIVQAVDRANFGLCLDSFHIHARIWGDPSDPSGVLPNATENVAKSLEEFLQ KCPKEKILYIQLSDASRLDPPITNDSPLLEGLEVRDPRLAWSRTLRPFPLESPGYFPV VEIAKTWLLDYGWEGWVSLEGFLSETEKLSNGPEVMAQRAGESIAKLNAQLSIMHSSY A PFICI_07515 MSVNEVRSALLPAQSHSQRLIGKVVLITGAGGSIGLATASRLLQ EGASLSLVDISSEALESAKSKLQPLVAPDDLLDSRILTICADITKEKEVEDFTKLTVD KFGRFDCAFLNAGISYSSTSIFDTTVESYDKIMEVNVKSAFLGIKHSGKAMRDLGNGG SIILTSSIAGLRGTPGLILYSGSKFALRGLALTAASELGQHGIRVNTIHPSGVDTPMF RATWSEEKLEELRRNMPLGRFAEVDDISGVVAFLASNDSKFMTGGFLKIDGGCVSF PFICI_07516 MKNPLASLHQGGDTSDIPSEVYGYRPYLLAISAAWASAMYGYDS AFIGGTLSLSSFKHAFGLDTVSSAELTALSSHIVSTFQAGAFFGCIIGFFTAERFGRK PIIMASGVVFIIGVILQMIGMIGLLYAGRVLTGLAIGASSTLLPIYIAECSPALIRGR LVGIFEIMLQIALVFGFWVNYGVNRNISWLVSANRIEKAKKALCWVRNLPENHPYIQQ ELNEIQASVNHELEASGGRRSTTQIFRELVAPGVRGRAAVSVLLMLLQNLTGINAINY YSPTILKSIGFSGTSVNLLATGVYGLVKMFTTVIFMVFIVDRFGRRLPLLVGAIGAMV AMYYLAGYSKLSGSFSGTAPADSGSQAALAMIYIYAIFYGFSWNGIPWIFASEVLPNR VRTLGMMCAVCMQWLAQFIVVYSLPYMVARITYGTFIFFGSCTVVAFFFAFFFVPETK GVPLEDMDLIFGVGAPTFAIPARKRYEESRSAGLGALQMGEIEKPGTEHVEV PFICI_07517 MWNDEEDNNPYGTSFDRRDSITSSSANPTSPISRESLDDSPDEA DPEWEFLDPRYEAPHTPSTTSEEAPPPQQPFGQESSDVDSDEEYAAQARSQVPRRKPG GYDSRIEQILYENPDLPILITDAGKSLESGGKYIVYTIRTGDLEVRRRYSEFASLRDA LTRLHPTLIIPPIPEKHTMADYAANPTNAKQDQQIIDLRKRMLAVFLNRCRRMEQVRN DGVWWRFLDPNASWSEVLHTHPVASIPKQVLKAPPLDTANPSAAHSYLPVPAASAKLR TGSSSGSDGSASVTGSQVVRRFPPDSHDLNEQELDPYFISFETSIKELEKLLAGPMEK VNRRTLNHLTSLATDLSDLGAKYNAFALSEPSQTLGAAIERIGQATDTSYIATEELAG SLGASFAEPMRENAQFASVVRSVLQYRLLKRVQQEMTNDDLQKKRALLDQLERSEAEA QRIEQYLSSSQQISGNRQVQAPRRSSSMRETSTQHHREGSGEDTASIDSDFPPTHGDF SSAPSARVGAPEHTSHAPAHKKAPSSNSITNKIFGPIRHAIQGVADVDPERTRRDTIG KTKESIEQLEQAQVVSAQDVKDASSSILKDLKRFQGEKEDDLKRYMLAYAKSQIEWAR KCKETWEEAKAEVDKIDES PFICI_07518 MKSASVAALVAGLLSTSADALAIRDSSNTASSGVVAMDVQRRKV ADPVKRDKLRRRGSVEASLENEETLYFVNATIGTPAQSLRLHLDTGSSDLWVNTPSSS LCLGKSKPCSYAGTYSANSSSTYEYVGSWFNISYVDGSGASGDYVTDTVTIGSTTVDS LQFGIGYTSSSAQGILGVGYPVNEVQVGRAKKDAYDNLPAKMASDGTINSNAYSLWLN DLDASTGSILFGGVDTEQYTGSLETLPIQASGGVYSEFLITLTSVVLDNVTIAEDQAL AVLLDSGSSLTYLPDTWVEQIYTETGAQYDSNEGAAYVPCSLAEDTRTLDFTFTSPTI KVDMNELVLDLVTTSGKRPTFTNGVTACLFGIAPAGSSTNVLGDTFLRSAYVVYDIDN NEISLAQTNFNATATNVKEIGTGTSAVPDAVAVANAATATAGISSSTTSALGLDDSSA ASQVLAKSSAVLSLLIGLGASLVLL PFICI_07519 MATTLSGPNGGLLVVCILLCLLIPAFTFYGKPSLDYGQEVGSEL VAGLKSSYGSWREGEAKQDPTTTHHEEDPEYLAICLAVRDQALDLPEFLQHHHYNMGV GRFYILDDGSNPPLSDAVDSFGVPPEVLTFVYYDESQRVPEMQYKMYDDCARVHAVAS GRHHTWLAFIDADEFLDTPGGESLVGVLQSFEATRDDVGALAVNWQMHTSNGLLRRAA SVRWSYTHCIYDDAGHGGAGSDNRHVKSIVRVRDYGAGGPITPHMFHLAPGKVTVGED GATVDSPAFRQPISRDRVSLHHYAVKSREEYVEKMKRSNAMGQPKDWQFWNHVEHDLP HVLCDDMVRWLERDPR PFICI_07520 MATGAYNSSPASVSSSAATETHDDNEKYRSSYEGSDNDLASQHT AVEDRDGHEEEGLLEKDENMQSTQVSDKESGGMTKAVIWMIINTLATIGIVFTNKAIF SDPSLKLAQLSFAAFHFAITWLTLFVLSRPQLAFFVPRRTTIREIIPLAISMSLNVIL PNLSLAFSSVTFYQVARILLTPTVALMNYVLYKATLPRDALLALIPACLGVGMVSYYD SLPSSDASVKTTSGLGVAFAFSGIFASSLYTVWIASYHRKLQMTSMQLLFNQAPVSAF LLLYVIPFVDTFPVWSEVPFNRWVMILMSGVFASLINISQFFIIAKTGPVSSTVVGHL KTCTIVALGWATSGRSVGDKSVLGVFVAIGGIVAYSIVMIRHKARGGGKA PFICI_07521 MTASHQNNTNGHHPAVASGNGYNYGTGDVWQDAPVLTGTTKFEP LADVKNIMITGGAGFIACWLVRHLTLAYPDAYNIVSFDKLDYCSSLNNTRMLNNKRNF TFYHGDITKPEEVLDCMERYNIDTIFHFAAQSHVDLSFGNSYGFTHTNVYGTHVMLES AKKIGIKRFIHISTDEVYGEVKDDDDDLLETSILAPTNPYAASKAAAEMLVNSYMKSF KLPVIIVRSNNVYGPHQFPEKIIPKFAALLNRGQPVVLHGDGSPTRRYLFAGDAADAF DTILHKGTLGHIYNVGSYDEISNMDLCVKLLKEMGLPNNSKADFDKWVKYTHDRPFND HRYAVDGTKLRNLGWDQKTPFEAGLKITVNWYRQFGEKWWGDISNVLSPFPIVKREGD ISTVEKDTNAEISEQPQSVKKRKVSETNGTFGLVTA PFICI_07522 MGSGAHAEFALPKRDHESIRDVPDLDDEMSIGDQSSEQQSTDDA SPILTDLELDEGPKTTGVYYDDTTSTYARSHFPASVTDHGFHRRFDDDATVNSNRSIA PAEVEYVWENGRRYTGSYFMPNDKEEQTRLLLVSECYRSAFGEEPTTVTLENPTSILD IGTGTGEWAMDMAERYPDCEVTGTDIADIFPKYAGQNLFWEIDNAELEWLRPPDSYDL VHLRNMDGAFKDWPFVYEQAFKVCKPGGWIEVMDYDLWHSDNYLAHVPPESGVHRCAR DWKEAAMESGYTIENHHLKSELLAAAGFVDVEMMESDMPINPKKLSSGHLLMKAILDG LEAHALRLLTSRKGYTAEELRREIKEFRKVFTNIALDNKKSRTFNMKMKAMRGRKPLD SEPPNVPVYAHDAHEARVVIPQNPNVEEDPVAFAVGGNANAPAPI PFICI_07523 MAFDGTDGFSAVTNGVAGLSLDDGAGGGLPIFDIEPVQLQFSIA ADFVAAQVANNVLVLALSNGRILRIDLNRPEDIDDIDLPKRPSEVGVIRHMFLDPTAS HLLICTSLGENYYLHSQSRQPRPLTRLRGVTIESVAWNPSLPTASTREILLGASDGNI YETFIESTNEFYRKEEKYLKVLQKLPDGPVTGLWVDNLGGRSDMRRVLISTQSRLFHL VGKVGRSHNEGSGSIYTKLFDSEQPTIHELSRVSGSAPSTLAVSPDLPNANAYDDVSL ERAFAWLSSHGIYHGKLLSAPTTSELGPKIYAESKLLPRAQIVSPDTSGRKKTSSEFI DATILTQWHVVSLVGGRVVAANRLTGAVIYDQVILEQGQKALGLYVDQQKNTFWLFTA QEIFEIVVRDEDRDIWRIMLESQQFDQALQHAHTASQRDAIAMASGDYLISKGQYVEA AGVYGKSSKSFEEVALTFIDHNQNDALRKYLLTKLTTYKKAQIMQRMMIATWLIEIFM AKLNSLEDTIKTEAELVENLNTDQSKEQLGTIREEFQSFVNKHKSDLDRKTIYDVISS HAREEELLYFANATNDYNYVLSYWVQRERWTEALNVLKKQTDPEVFYRYSSVLMTHVG NELVEIMMRHPSLKPRNIIPALLDYDRTFSGSLLQNQAVRYLQYVINQLNSTDAAVHN TLVSIYAAHPNKDESGLLSYLESEGDEHRFDPDFALRLCIQHKRTLACVHIYTNMGQY VQAVDLALSHNETEYAAIIADRPMNNPALRKKLWLAVAKKVISQSNGIKTAIEFLKRC DLLKIEDLIPFFPDFVVIDDFKDEICNALEDYSRNIDGLKQEMDESSQTAANIKIDIA ALDHRYAIVEPGEKCYVCTLPLLSRQFFVFPCQHAFHSDCLGKEVLKQAGVGKSKRIK ECQVQISKGLVSGSKKDAMVAELDALVASACILCSEYAIKRIDEPFITVDDDKNEWAL PFICI_07524 MTTSSGSVMAASGSTMTISRPALYRALTLLVFLWVLASVSYMRN KSEDNSLLHTLEQGSQKTSWHVYSSGNDSENEDVEEKADPKWSQSAPPATDKQDTVSP SMTRHTDRVAVTIENRPLKNLIPVILHFHSVLGPEWPVILYTTPSSAANLSESAPFAR AISEGWLEIRYLPETAIFSSHRAVSLFLSGTWLWQDLAPYEKVLLFQDDSILCSASAA RVDDFLQWDLIGAPIDPAYGRGYNGGLSIRNRALTLDVFSRYSWANDSETPGAPTHFL FEDQWLYTRMAELPAREDGHPGARLPSQDVARAFAVETMWEERPLGFHQPSRWQKDRL PEIMKYCPEVGMISAAAFF PFICI_07525 MSSARSEYLNLGHPIPATSQGRSASPTTTNSARSQSPIESSGAS AVRSPFGMSGTLNPAGNKMAGTTRSGAGSPSHDLSASGRLFSKRAREIQAQEGVPGIP LNPWGGPPTSGNSTPLRENIPESPTDGFPDFAQLPSSENGPSGGRRARAGTVPSRFPG AAVGNGLLSIPTLNAQSSRVSPSQSPFNKSPSPGLSETSSGSLLSRLRAGSMPQRSPF NNIPGTSSPFGPTLFSGSWNPSSSGRERGNTLASIASVGSTNGPSSPAQSAFSKEGTG ENDVHMRTLDYLGLAETPQPARAQLAPGYLPGLADFTKQANRFRSYSVNNKDKYADDE DDDYDDLASESQYAAALQDQLAATQAAIYSHNIAVQNFQNLAAQSIRPRARTVGGLDL TAAGSRGLRNFYHTPSRLDSSMTATEIRLPDEKDFEDLPQAVAAMNLGRSNSRNNGLL SAEEGLEGPTSALWLGSIPTSTTTSTLTEMFKQYGPISSVRVLTHKNCGFVNYERIDS AVSAKTAMNGKEIFPGAGPVRINFAKPPSASNTPGHDGVFPSPSPDPFAKGGDNAGSN PATATIDGAATASPTNAAPVIPPLHEMTPDILQIVKSLGATDEDTYNISLSLNEAVGF TNFIDEIPPVKEPTHTRVHDAPKLRDIRKRIDNQSLSQPEIENIAVDMLPEIAELASD YLGNTVVQKLFEHCSDSIRDAMLTEIAPHLAEIGVHKNGTWAAQKIIDVCKTPEQMNL IVRHIRPYTVPLFLDQYGNYVLQGCLKFGTPFNNFIFETMLSKMWDIGQGRYGARAMR ACLESHHASKDQQRMLAGAIALNSVQLATNANGALLLTWFLDTCTFPQRRSVLAPQLV PYLVHLCTHKVAYLTVLKVINQKAEADARDTILKALFFTPKDHILEAILSDHACGATL IFKVLTTPFFDESIRSQVVENIKNVLVRIKAQPNQGYKRLMDEVGLSTRNGGGSRDHS ISATERQRPASRQTQSHAQANAAAIQQNAQYNNQYMNPLSQTNTPGGYEMGFGVSRTE PSESAMPPFPQYGQQNTMYNNTNPAMVPGMQSMQYQQSMMQRGNPPMNNFFPTMPAAG FNGYNGPAPPMDQYRGQNMVNSSPIQPPGAPTGYGPPGFNMPMGMSSYPYNGGMQQNM PYMPDQQPINNGRRGRVGQPSHRGRRSPRP PFICI_07526 MMPSTTSKSARSRFSSPLHLSSSPASHNKGVTTDGQRKFLQRWL EPPVQNKPSFQEAGLMRGGVVENMAPLGTLPKHAKKQQTPVGGAGGEGSPAPAAPTPT VRKIVLKKPGAAARATPVVEEPVAVPTIESPDREPFSPTRPVYPINGLDDDDDDDYMP VPKKTAKGRRSSGGFVANTANAGSTRPGRAGRRSNAATTALPKSSSPSSLSHTPTNNE ISSNSSLPTQSSLSQANQTVPTFDLTPQPQEVALKSEHMLPEHAHEHEPATIDNSDFF TAPPSVHREPDSKEAVDRIVEVAVEEALRHFRYPTAYALRTLYDDYHQQPYFVSMFED VFHQRADIDALAEFNRLMAARKKDGKSENKAFNYWVPSSNKHRITPQKPRAAPYSALL TMDLSPTKGKIQVDDFDLDQHVHKRPRLDDQGDIDMDDVTPLLDDAPAALAAALKTMS DRETTPTPATKVSFTALAQAGAAEGAHSSTGEDDATVTENGAKATTTIGTSSVTGSGG GLVGVKSKAHKSPHKSPHKSPFKSPGKLRRNRQRSQSVSSDSSLSSVPDDALTDYDEF MDQVDEELGIISRPSTAEPNDAPAPTKAAQPINGRQKKPASKKKNHSPELDSSSDTTQ HRSSKQNPDMPTAIAVNGKSQQKRITKPSVKSNKTVIKTVETDDARLLLEKSLIEDKV TARQKTSELTKDIVADSFTRIPLSSEPREEELPTPLPAARSRSVRTPAPPLSLRAARA KKRHNEGVDEATSPTTALRPDLEPPSSARSSRAATPSNPRPAKKPRGGLRVKTSPMKK KGGAAGIPRGSSRPSPVFGGPQHDKDENDDSCFTCGGSGELVCCDGCNYSFHFLCIDP PIDENLMPDEWYCHECTRKYFPEKLTGHRGAFGTLLDALDRKNPISFRLPLDIRECFE GVKTGPDGEYEEVTSTKPNKKGVVEDFDWFRIRSAEGGAVLCHQCHQGATNNRPIIPC SVCGLNWHLECLDPPLAVPPVLRNWRCPCHMEDAFMTSLGPAHKFRKLKNAPVIEQAY SRGMRNNGWIEVEEDDDDAEDTWTATRRDFGRVYRLPEKGIKLDFLSRVHENRAKQQQ QRRISAGAATPESRSSNPALPIPSRSVEQQQAALNLYQLRNGTDGVSHLVDALVSHAP PAVVSLIAAGNATSINSGNLATNDENSLRAMLAQLEQMTVQVQKLLAKAATTSEATPQ NSQQGDDSSMQMD PFICI_07527 MADKEVIDYSLNNPDTLTKYKTAGGISEKVLAEVSKLIVPGEKI VTICEKGDKILEEEVSKVYAKTKFKGFAHPTTVSPAAFVTPYTPLSSDEAEANVTIQA GEPIKIQLGAQVDGFGTIVCGTVLAQEKGKESEVVTGREADLVLATHYANELLLRLMI PPGTLTSGTDEEKAKAAKEKAPTQARITALLEKIVKTYDCNLVESTTSWLFGRNEIED KKKIVLAPSEGTRGDGTPELGEVWGVEIGVSLGSGKVKKFENRTTLHRLANESGIAKR PSSKKLLHDVKTKFHKFPFSLRQLESERDAKLGAMECTRNGFLREYEVVGDKENAPVA RLLTTVAITKNGITKLGAPPALDLDKFQSDKKITDEEVLKILEQPLARNKGKSKNKKK KKPAKKTAKKDEESEEESDDE PFICI_07528 MATKQDSEMASSATTTGGAAASSSSTSNAKSEHSSTSTPNGKPD APDANPTAMPVRDKESFKQTLINQYITRDHLHAAAIVDDQTRLNNHNKDSYKKIADYK LVRNEYRPWFPPARLYGEGYSGYGNGRTEIGGPARLLYPQHKPRPGKRTTPALKWKRK DMKQQAEQHEELVPIRVDVDMDKIRLRDTFTWNFHERLTAPELFAMQLIEDMGLKAPI AQPVYDQVTMQVKEQLNDFYPFVFSDEDALDPELPYSAWKNDEMRVLIKLNITIGQLT LVDQFEWDINNPLNSPEDFAVTMAKEMSLSGEFVTAIAHCIREQVQLFTRSLYSIGHP FDGRPIEDPDLVAAFLPSPLPNVFRPQQQAKDYSPYLYELSEQELEKNEVIFQREQRR QKRATVNRRGGPSLPDLKERQRTIRTLVVSSVLPGGVTNVDDSTLYKRVAGTAPGRKG RGARDDLSDSEDSDDSSPDSPAALQLQGTARTRGMRGAASAAVSRMANIGRSETPEAT LHHHETRTSRRFGRELTREMTEEPSQMLVVLKVGKDKLRKFFRDQKTKHSTPSGAQTP SMAHTRAPSAAASSSMPPPPSTPKSSNNAAPTPVKPGPDAPPPGQISRVEAPAPTAAL PVPPAPPTPAWLDQALNAWKATETYGNDKFEGLMRYSAVDTTTNGQIPMPSPGEIPSH VKFYFLPRIRCLDCPGKLYTPGPDMTAINFEVHLKNKGHRDKVNARLRGSQTANSE PFICI_07529 MDSKKVALIVGASRGIGRQIAIDLAKNQYAVVVAAKSTSDASKV HPFPPDPNSPESTISTVTREIIEAGGDAVAIAVDTREYESIRNLVSETIRHYGRLDAL VYNSGAIWWASVEETPFKRFQLMQRVNIEGLYGVVQEALPHLKQRGTGRIIVVSPPIY SRFFRGKTAYAMGKVGMSVLTKGLAMDFARQNLDGMAITSIWPAVAIESGATKKMTDR NPDEARDLRRATVFSDAVLAMLRAPAASVNGRLELDEDFLRSDAGVTDFSKYSVVPGA TPRRIMPQHLPTLTVAEQDDEGKRMNSAEGKAKL PFICI_07530 MPGRIEIYLDVGNYIAFKQVIDTWSTLEAHGVEIDIKPVLLGAI NAATGNKPPFSVPAKGKYGIYDGDRSQKAAGLPEITFPDDLIAISRTQIPLRALHYVK ATYPRETYLTTWHYLLHALWSPEKQNISDAGVLAKVLAAAPKGFRGPGSVNAQEGGHR LFSESEVAAIMKAASEEQWKDALKQSVEEALKRGAFGAPWLWVTNDKGHGEPFFGSDR WHFVYEFLGLPHQKLKLLGPEGGAKL PFICI_07531 MSEQEAVKEAVEGQELSAEGKSRFGTKEKLIKDLTAKLEESKNL EEQSVKLREQAEALAEENPEEAEKLRAEAGELEAKAKKLIKTARRMQNGAFQGGAAGA GIGAGIAGGLGTVVGSLVGGIAAIPTTGLGILIGAGTGAIHGPWVKLVKDTVQEEDEK AEKGEDSSDGEE PFICI_07532 MTTEPSSPRRRHILASINHAEPPLPSAKGPEHHSPKEDVMTEEP STIKTGEDAAPEYRERKSSKFRFKSKRRSHRSSRHRERGYSDDDKGTSRHTSTRRRDD AEDANDHETKDRESSHRDTHRDRRHRHHHRHKRRRERSRSRSPTPPNPYGPPPLSPET AFRESLFDAMADDEGADYWEGVYGQPIHVYSNQKAGSQGELEQMDDEEYAAYVRQKMW EKTHQGLLEERARQEEQKKQKDEKEKEARRLTKEMEESLRRGEERRKRKSWKVKWDEY TQAWSDWNGTVEAMSWPVLDGSPSSINSENVRDFFIKGLDPLEIGEKEFLARLKDERV RWHPDKMQQKLGAQFDGTVNRNVTAVFQIIDKLWSDTRPK PFICI_07533 MNKPGLSYGLNLTKKPGVKAQPAKTRPGGIFGGGDDSDSDHDEP QPTAFAKSAAKSKARPGAPPSAPPKSKKPQVSMYGDLSSALESRRNAEAAEELDPSIY DYDGVYDSIKPEKKTTKEDAERRPKYMKNLIASAAVRKRDALIAEEKKIAREREAEGE EFADKEKFVTEAYKMQQEENRRLEEEEKRREEEEARKNKGGGMTAFYKDLLNQGDQRH AEMMKAAEERAKNGPQEDEEAPKEETTASATDRARELNAKGGSIAINEDGDVVDKREL LKGGLNLGAKKKPEVRQAEKRTEQKDDRSSRGNFGAGGKNAMRERQSRMMEAQLEQAL KRSLEAEEEEREQVERAAKSRKTETEISSAKERYLARKKAAEEAKKNGL PFICI_07534 MDSESVKQEVIKQVRSQYAITNARELIEKINDHCFQKCVPKPGS SLSSGETTCFTQCMEKYMSAWNQVSSTYVSRLQRGE PFICI_07535 MRWLTSLSALWLAATAKAAVSHDDDGNIRSIALRTHTLQQPYLD SDMASRWYDFGGDAIVRTDSYIRLTSNQPSQNGWLFSRVPLTATNWEIEVEFKIHGKN QLYGDGFAMWLTKERGKLGPVFGHSDEFEGLGIFVDTYKNNRPGVVFPYVMAMVGDGK TSYNKNNDGKDAEFAGCSARGIRHSSVPTKLRLTYFQDKSLKLELQYKKEDDWALCFE TNEPPSIPSVTYLGFSAETGELSDNHDIISVKAKNLYTPGGQSGKSSPGSGGQRNRNK SSGPKKSSGGWGWFLFKFLAFGMVVAGAYVGWTAYRTSKQRTHRF PFICI_07536 MPSLGSFLKKKRTRDDKADPSSSQPTSPVTPTTPTISQSFESQH TQSTARTTPGSSASHARKPSSQQRNASYEVQKPASAGAGQQMNVVQGHQSQQHHPYGI QQSPSPGHAGTPSSLPSINNIINMPQNDGAAQNGGQPQPPPQQQQQQQQQQQQSQHQQ HGQQQFSPPSSDSRVTKGKYSLADFEIQRTLGTGSFGRVHLVQSKHNQRFYAVKVLKK AQVVKMKQVEHTNDERRMLGEVKHPFLITLWGTFQDSRNLYMVMDFVEGGELFSLLRK SGRFPNPVAKFYAAEVTLALEYLHERHIIYRDLKPENLLLDRHGHLKITDFGFAKRVP DKTWTLCGTPDYLAPEVVSNKGYNKSVDWWSLGILIYEMLCGYTPFWDSGSPMKIYEN ILKGKVKYPQYVHPDAHNLLERLITPDLTKRLGNLYGGPQDVKNHPWFAEVTWDRLSR KDIDAPYTPPVKAGAGDASQFDKYPEETEKYGQSGHDEFGHLFSDF PFICI_07537 MDDYMFHRYVQQCKYVPENTSYLEIFNYTDPVHNSVEEHPLTPD EFENYLHRRGAFEPPTLPEGVRLKDGIRLVLQKNAKQNETFTPNYISLTADQYEQMVR TMRLPFRAIEGTSVVGPFFWAAFDQDASDPHLQIIFRKSDVRKKGKTRGWEIMLSHSF TTAITTGFVKGTPSSDIVTSIEHLTSCAAQVAHPMLLPVIILSHDLSWKTDQKQRDAR DWLRRLEHAVTMRDEIEEGESYRDLDLEVLNRDLVECHSQVLWKRPQAYQSIIEEMKL TMDNFWQSSMPERVHRGETAALHNSMKSRLDFYRIKLLGVENYAHTTLERLNIQRQAL YNIIAQKESKLNLEMAAQQRRLAHASKRDSTAMKTLSLLGAVFLPGTFLASIFSMTFF DFNVDDGSGGGSTGAAVSQYLWVYFVVTIPLTMLIVGSWWWLDKRRERQYALEDADIE KGIERMETEIMAIMRKKTMKKATTWNSGNTPSLSFQLNRKDKTG PFICI_07538 MSAPNDSDDVADQHQFDRLNQILSSGSLDETEQHVTIACQIIDQ ITIALMPCGAATDAQRWVKALSELKKQTKPTPVIIGVVGSTGAGKSSLINAMLDEERI VPTSGFRACTAVITELAWNDSDVPEELYKGEIEFIQPGDWATELKQLQGDFIDSKGQA SSDPSEADSDAGVAWAKVKGVYPHLSQKEFTEINLDELSNDARVREVLGTTKLISAAS VKAFYSQLQQYVSSAGNKSRKRKFSAKNKTGDEVKFEYWPLVKMVRVYTKAHALRTGA RIVDLPGIQDANAARAAVAARYIQNCSALWIVAPITRAVSDKAAQDLLGQTFKLQAKY DNSFSKITFIASKTDDINVSETIHDFGDDSIDSDSEEADFLDDYNVATEETKKSQHEL EIVKTSLKESKQSVEGLKAEINKWRKLADKLAEGKSVFTPGTTRDGKLRPRDENGKVI KPEDEKVTQEEFFDKNGKKTKTETYIPVPRRPSAAPAQGRGDSDGSGSSTKMERKPLS HEQIQSRLTDLNTSLEKALGLVKKQDAQVVLKEEDHRVLQHRLSEMQPHAREICIRQR NIDSEEGIQKDFARGIKELDVEDESDFDPEENKDYKKIGASLPVFCISSTAYQTLNNR FQHDSKITGFATLQDTGIPQLQDHAVLMTGSARMNTARGFLNIFFQQLNSLSIWAANQ TYQSHLEASERETEMAHIRIISETLRENLIEKVNQTVNDIEDTLSSRLTNRFGAGCVK AEQEAIIIAQAWGNKPNDGGMFWATYKATCRRDGEFSGSRGYRNLNEELIAPLKSKLA NAWEKMFSTTIPKRLEKSSQDGRDILQDFHSSYITRMESKLDATDIIIMNSQIKVQSR VLKHAMSDIVVKVNALQRGANRLFTPAIMRRLTQAYNDCVEEHGRGSFRRMKDIMVTC IEEEKAQMFQDSCESVEEALILMCDEIKQDMLTTTNEAVNAMISDYLEPLAGRKISPA SKAIRSCVQRFLSEVHSSFRLGRVNPIRISSHRRKHIHLKKGKYGVLMKGKSRWLKAR SYKPLKKRKCRALKKHKYRF PFICI_07539 MASSPSVFFEAPPSSLFNFPPPTEPQAIPPPHFDLPFTIPDEIF TAALDPRVPITIAAAYAITAKLLNKYNTSTGKKPWAISKTAPFRLFVVLHNVFLAVYS AWTFVGMFGALRRSIVSPSGPNGLAGTVDSFCKLNGPAGFGNAATYIQEDNAWETAPS RVDVGRLWNEGLAFYGWIFYLSKFYEVLDTFIILAKGKLSSTLQTYHHAGAMMCMWAG MRYMSAPIWMFAFVNSGIHAMMYTYYTITAFNIRVPTAIKRTLTTLQITQFLIGASYA MVHSFVYYTIPVQVPVSKPVAAVSSAVAAATESAQAAGFLDGLKQAVFGAAEAANPAA IVAPEAASESVFYETQYQATPCITTIGQTFAIWLNVLYLAPLTYLFVSFFIASYLKRS SAETERSRANKKSGLADRRVSNAMITAEKAGWDAAKGIEREVYGQGSESAVIEEDEPV AANGRALRSRGKANGKN PFICI_07540 MPRLPDQQQPTYGAHFYPHLSQHQQSHIAAQAHAAAQSQQAQFQ QQLSSGPNSRQISPLSTSNSASPTSPKSYHTRQLRPLYIPAVLRPTDYPAKKAPAKKT DDDDDSLKASSSWSSLTGLGAFGRLTRRSTGDSGKCMNGELDLDLFPQPTAEPTRQHW KADNDATICDEPSCRRHFNYWTRRHHCRKCGNIFCDSHSSYDVPLDQDANYNPRGTPS RACSHCHTDFEVWRSRTNSAASSDDSSSNSSGTAPASPITASGTPTAIGNPGRDQNKG EVAASVPRDWNWSTF PFICI_07541 MPAASLRLSPTRLVWRYTRNAWQLRPPTTRSIASSAAEAESTSL DGISRRNFEEPAAAPPSRRSRLKDARPFSDFLTDTYQRQHDYLRISLTERCNLRCVYC MPEEGVPLSPQRELLTTPEIVMLSSVFVSQGVNKIRLTGGEPTVRKDILPLMQQIGAL RSHGLRELCLTTNGLALHRKLEGMVEAGLTGVNLSLDTLDPWQFQIMTRRKGFDAVMK SIDRVLELNRHGAGIKLKINCVVMRGVNDREIIPFIEMTREQDIEIRFIEYMPFDGNK WNKGKMYSYNEMLDLIRETYPGLEKIRDHKNDTSKTWKIPGFVGRIGFITSMTHNFCG TCNRLRITSDGNLKVCLFGNAEVSVRDILRKSNNGEPIEEEAFEAMKKIEMDRRQGLI DNSKPLGLAPNEQELLEVIGMAVKRKKEKHAGIGELEHMKNRPMILIDRGHPNLSSDS LALSQQWISDNRHSSRPTMMTKIPLHLLPGASFPFVHARLFSTSRRLLAAKDDSMSAS QPPKLTHVSSSGEAHMVSISSKQPTARTAIAVCRVRFSSDVATTLIRENALKKGDVLG VARIAGIMAAKRTPDLIPLCHPIAISKVAVDLVVVDGHDIGGAAAMGEEAKGKDEQSR RSGSVEIEATVSCEGKTGVEMEALTAASTAALTVYDMCKAVDKGMVIEGLRVVMKEGG KSGKWVEGISQETN PFICI_07542 MAFLHPRRKIHHLSLFTSSSPHSLPSPDGKFIATLLPAQLRIRA VQTLTVERRIKVPADLAASTTTFVWSPNSQRLLLSAGDEIHVFSVVDDDFHATVRNPT SSVAKSTFVDFGATDREACVCSAHGIKLSLFNLASAKVVEISNPKFYAASTAARGISY RPLTHHLALLTRSSGKDFVSIHTAESREVQRSWSPDVVDAQGLSWTPDGKWLVVWESA AQGHRVSFFTPDGHKYRDWCGPLLRPGETHERWGAGVKVVTFSSDSQYVAIGDYGRSV SVLPTANLAHELRLCHPLTLEPKDTLQIWQEQFDLSDGQGPVHRFVKASQPVAPVART TQSGHELKTGPLFMLFDQSATLLAMALEDAPSTLWIWDVAVTELRAVLMFHAEIARIE WHPSQSELLLVRCEGQDHAGLVFVWDPLSDGPTTVDFKSQLSAGQICGKPSAYWLRAL SGSPTVFFTDAQSYMLVSVTDSDENDMPWLTPSSVDDSQAARFSLGSTEESNNREDSD MGDDESQPDDTFHFKRFGVE PFICI_07543 MGVDYQDATSWYQSHDHSYVDSSAILPGPVPTYQAESFYHISRP RDTYENHYSITTEPIQIQTLAAPAVIETEYRKIIIRGLSRRTQSDQIKSLLRSRCGFG GTEINSIKIPRSREGGNRGHATITFVNMNCAEKAVQRLHHFNFDGHQLVVRLTNEGVS PNEERRARSQRQQEISPQQQEAGPQPIATSQENREENESINRGVIIANGSSGRPTSQS SEPRSRG PFICI_07544 MGTANSTVKSGLQPGSHRANFRRLRRIASSFDQDEAGLQPIEHE SREIEGAIESPESPAENIHFQFLDQCVQEISTYKLEARAKTATSVIDDIEKALQKQPD AKDAARFLTTIERLRSQNKPTKTVIGMLGDTGSGKSSIVNALLDEEMIVPTNCMRACT SVITELSYNASNDPDERYRAEVEFISPDDWRNELSQLSHDLLNGAGEVVRESLNAETE AGVSWAKINAVYPELTKESFADTNIDTLASTPYVQAVLGAKHIITDSDSASFFEEMQK YIDSDAQEGQRKRAGGRKQLQYWPLIKVVRIFVKADVLSTGAVIVDLPGVQDSNAARA AMAEKYIENCKYIWIVAPITRAVDDKTAQKLLGQTFKLQLKLDCKLDRVTFICSKTDE ISVVEAAKSFRGQEDMSTRLQYLQRIEADFDKSREECQGIKDDLRQVELFIEQIQQQI DHWSEQLAGLDKGNMAQPYTGAPCKRKTSVQNTTPLKKRRIDLDSISISDSDISDGDN DPIKEEIEEKPTIREESPVESLTPARIWNEVNRLQREKTKIHEKQDYLHTQWDKAFDE HRRDARAKSAAEIDLKSLCIQSRNKFSKNAIQQDFARGIKESDQELAVRESEESFNPE HNVRDYKAIASSFPVFCVSSKAYQKLRGRFKRDEKVKGFSTLESTGMPQLRMLAKKLT ESSREDIARSYMKNFRQFILTLSLWAQKKGNRAQLTEVMFKKDLDQLEMDLDFAAETV TSECRAILARYLYDKFDRSSTSAAKAALKTAQKWFGPRIDGGYAWNTYQAICIRKQVS PFRGVHTTRSVGHVDLNEDLAAPIKKLLANSWEVVFSARIPDAIAKFPAMSQSVREKF HSNVKGRIPKKDHKVLQASLMEHVRYEDIFKTFQSKVTRLQREANREFTPAVTRNMTR IYERCTRERGAGMYSRMKDTTLRSVKKSRSSMFSEATSGARGKLDAICDELESELSAR ITQSISGILYDFESGVIGSNLADASRAARVEVRKVLSTTDALFGVPVEDDRDVICLS PFICI_07545 MSTVTATALQSGQPPIIPLEFNGNQPKTIRLYPLSNYTFGVKET QPEEDPSVIARLKRLEEHYSEHGMRRTCEGILVCHEHNHPHILMLQIANAFFKLPGDY LRPEDDEVEGFKSRLDERLAPVGRLGEGVEPGNWQVGDCLAQWWRPNFETFMYPFIPA HVTRPKECKKLYFIELPEYKVLSVPKNMKLLAVPLFELYDNTARYGPQLSAIPHLLSR YNFEFVDESGNIVAATPGTGPEGFVPQTHVLAGGDEDMRDAKDENGGS PFICI_07546 MPSSTNARPSANYSWFSFLLLVVFFIGFFPSLFNYLLFLPWTLV FPPSTPAPPSTPPSSSSPAEMSWSQKQFTLPPRSRGSYLVTDHVVDSLPEIRDYKVGL LNLFVQHTSCALSLNENWDDDVRADMSDALDRIAPESGPKGQELYRHSAEGPDDMPAH IKSALIGASVTIPIRDGKLAIGSRVTSQATGTWQGIWYLEFRAVRHTRRVVATIQGQK A PFICI_07547 MLPRLTARTSLRLAQRASIAQRGFATSSPRLLEAKEAILHNKAE TTPQAQSEADSLGVAENQERGLEQAPNRTGIWSRSQKPRAQAMSGPRFEQTDFDLQPQ PRAAIELIHKQPVRWTHDKVVACDGGGGPAGHPRIFINTDKPEIAACTYCGLPYANEH HREHLEALPETSYPLA PFICI_07548 MAAQTTPKGMSSRLLTMKFMQRAAASSSPASAPSTPASDDSSKR RKISHNRSNNDELEVQVDRKAIQAAIEEGERKREEALVKHAEELGDARWVLNVPNLSS SGAGAPNPLRVVQVGYAQIDSPDTSDDDADTSRNTPEKVQPIRRYNMGKKKACCFSYH VYCYTFLKLHINLTSLQTATEASDSEDDDGDSDSSNDSDASGTNSSEDEDDSESQTPS TKPRSGDRRGSYADRRSAEKARAKEFADKRRKKEVKLNNARSQPTGGLSSISGGGLSL SSGGRPMQRQSGAFAFNCHNCGEVGHKAADCKKSKKRSR PFICI_07549 MPPAAKVPDGTVEASMPVRNKQPSVERVTDKLETPSLDDRSYRV IRLSNQLEVLLVHDPETDKASAAMDVNVGSFSDEDDMPGMAHAVEHLLFMGTKKYPIE NAYNQYLSAHSGYSNAYTGGTSTNYFFELGAKSTDDGELNENNSPLYGALDRFAQFFI EPLFLSSTLDRELKAVDSENKKNLQSDQWRLNQLSKSLSNPKHPYCHFSTGNYEVLKT LPESRGIDVRAKFIEFHGKHYSANRMKLCVLGREPLDLLEQWVVEFFSAVPDKNLPQN RWETEQPYPKEFLGMQSFAKPVMDSRELNLFFPFLDEEFLYESQPSRYISHLIGHEGP GSIMSYIKSKGWANSLSAGAYPICPGTGGIFDCQIRLTKEGLKNYKEIVMVFFQYVAL LKETPPLDWIFEEQKGMADVDFKFKQKTPASRFTSKIVSVMQKPLPREWLLSGQSRLR KFEPSLITKGLECLRPDNFSMSIVSQDFPGGWDKKEKWYGTEYKAEKIPTEFLAEISK AANSSASQRFSELHLPHKNQFIPTKLEVEKKEVEKPAVAPRLVRNDDSARTWFKKDDT FWVPKANLIISLRNPIVYASAENAVKAKLFTDLVRDALEEYSYDAELAGLQYNVTLDS RGLFVEVAGYNDKLSVLLEQVLITMRDLDIKDDRFDIVKERLTRGYRNWELQQPFSQV GDYVSWLTSEHDYVVDQLEAELPAITVDAARQFHKQLMAKMHIEAYAHGNIYKEDALK LTSMVEKILKPRSLPKDQLPIQRSMIFPPGSNYLYQKTLRDPANVNHCLEYYLYVGDK GDRKIRAKTQLLDQILHEPAFDQLRTKEQLGYIVFSGLRGCSTTYGFRFIIQSERKSE YLESRIDSFLTQQLTSLEQLADADFESHKRSVIIKRLEKLKNLDQESGRHWSQITGEY YDFEAAQEDAAAVKSLTKTEMIEFYKTYIIPASPERAKLAVHLVAQGVSKKTEEAATT DGEVDQISGNGTEPCVIDNVRDYKAGLVASAGARPIKELSEFEDFDPKL PFICI_07550 MKISTILSRLFLTAAALANVTPIRDTDLTTILTSVSSAITQLDE SVKSFSSDATQVQSAAESLSSSLSSAVDSFTASSAEVTQDEVASLTMFFGSVSVAGAA LLKDINDKKSELEQAGLCQTISNISVEATALIQAIVDKLPDSAQAVATGVLSALNSTF SVQCNSERDSAGYGYSTSAASETKTCTESETASTAAESASSATGITTTVTIAVTIALP CTAQESTLSSTLSTSSLQTTTSTAPVATITSTFPASLPSGYESHSLTHSSTVSSTASS TVSSRASSTVPSSSTSFTTISTTVPVTTAGAVANAVAAGPLGLVAVVAALVL PFICI_07551 MLYRALPLAALAANILAAPAEPPKCPQTICVDKISPCGVKYGSC YDICDADPRVRPTPPPCPASYSSALLTTSSFSADNCSTRTVCADYINECGIWYGGCFA DCTPWPTFSKPPCPSNTSTSSNFPVLVTTTTTLPPSVIVTDTPTTPTSTDCHDQTICA DYINSCGQTYGGCFSACTPWPVFTPPPCPSSSNETTTTVTVATGASGGAVAPVITATS IIPTLITPTPLTTTSPTPVTAVTSICVDYFTTCTSFTSTFVLTWGGCYPWGGPTPTFS APYCPVVTTTSVPAYPVLGPKRPL PFICI_07552 MASRSSRSRPDYDDPRISRQAYPDPRAGLNDDAYEYVGSDAIPD PPSHTFDNDERRSSRRHSPPRRHKSHRSSTLPAKYADDYPSPPKSRRAESPVPRASRH SDSPPRHRRSRHETAPAPRRSRGDVVVEPPVVAKNDKAGRWQDRPAVKNMKTYGTKGL RTFGDIVEAYAAAQAGGAAAGRGRSEGRGYDRYDDYHDDRYDRPARRSRRQYSPSPSP SPPRRSAAKRSDRRKSTANAKERSYSTSPPPKSRGYNSDDDRRHRRRSRRYSPSPSPS PSPRRRRGRSYSHADDASLRSTRSRSEHHPHMKHYKNEMRAPNPDVAHRWQLAARAAL EAGGVTAFRLRKEPGSWTGPKGAKVVTAALGAAAIDSFVDKDPRRTKTGGIKGMAESV VGGMLASKIMGVPSGSTRSGKPRKF PFICI_07553 MQRKRKLSPSHVIHDLSTLIEWRITRQIHDGTKRKRASLYLIEA RPAVDGQQLAEAQRTSKDCKSETRPPRDNLILKIFPDTRACEDDYNREVAANAELGRS SAIASPITARDAMFGNISNSDLRWPRCYGTMKVPANVTEGEWTIGESSSEARRGIIFE YLVDLKMLQKDDVTEDLANEIKQLFTDLHALKLLHRDHINHAIWPLIGFNNLFLQRDD TGKKKLYILDFDKALVLGDTARDKSRFADEANRLQQILEQATSKQDETKDLPAEVKRL LAGRGG PFICI_07554 MQSQRTFSYQPSEALRPPSSSGQPGGASTNVRPSINLHRGTHYG SSVRGTYTAGRADLDGLSQHTILETPNTERAGWIDDEYLEQNPWYDQPKRKPVFSLGR PLPRLVRGPKKVRVKKNAANKNRPLEELAERGELELATPTPTPGIEGSGQFDHVLSSQ TTRGSARPEHTANGTAHNDRRNVAGQPVFDYTPGVPEQEQRAPSPRPDDAGSTAEYKI DGEPLGKREHDDYENGERDLDELRNWWARMRAKHPEPLAEFLSTAVAIFLGLAGTLSV NLSQNESQPYGTYETSCWAWGFAWMFGVYLGGGVSGAHMNPAISISLSLFRGFPWRQC MVYVVVQFIAAIVAGALAYGCYADTIYYMDPSLENTSKAFISTPQSWVSPGNAFLNQS VGSAIMVIVVFALGDDQNNPPGAGMHAFVLGLLVAILKMALGFNIGSALNPASDFGPR LIAYAVGYHQDTVFKNPWWFYGPWAATFVGSILGCVIYDTFVFVGSESPVNYRYPPTI RRKIEKKGRQIKQKGHQVLNHLSSSNNNNNNNNEKDNDF PFICI_07555 MDQTEKRHCWECRRRCLVCDSTKPSCKRCTTSGTRCPGYDSVKP ARIRWVEPGRVTSRDRRKPKRSLYTSVKEKGKGVMNAQNSSSSMPVICAGLPPVVICA DAAAVPLVQAAEYFNACIYKDLKPVLELGQNPHIYELSASIIHNATSAPQFLQHGMYC IILSHRMNRIRKDPQAKRLNEKFYLHRGVAIRSLSKHLDAKYDGMDNVIMAGILMLLL VDIQHGTLFGWRCHLEQVQKLISRRGGFHALVRFDMAEPLLLSIWFLAIIGNTTCPAA DLGMTRVHLEASDFMLEKYDYASFPVGMCPQPLFIEIVRINHLRMRAVAVDAAEAEET ALDAYRTLDRIHAFSPILWTESRSLLSRQDWMRVSSAYQTAVALYCILALQSASLIPE TPSMRSQCAEHGHHLQSVLVECLASSRTKRFMVWPLVVLGVEAVHKGAAPIRAFVADR LPELSCDLGTSVPLTAKAVLEAFWASGNERWDDCFDRQYIFTTQIAPDTSQLFPLYQR AAMSCKDTPCRDSALGC PFICI_07556 MAEAGEPILGSLYVYAPNKGAPIFFAIAYALSTGFHIWQCIRYK AFKLVGLHSICALLFTLGYALRAYGAFNNNYLYSETDQTPLIMFILSQVFIFICPPLL ELANYHILGRTFYYVPYIAPLPPGRVLATFGGLMAVVETLNALGVALSANAASTETVQ TLGANMTVAALSIQFFVILTFYCMAGMFHWRCIKAGLHTTAIRTILDTLYASMFLILA RCIYRMVEHTTGSTNIDLDNIEVLQRLSPVLRYEVFFYIFEATFMLFNSILWNIWHPG RFLPREHHIYLAQDGSEVQGQEDMDDRPLIVKTANVLTFGLLFRRKRNVLQIPETQEL GDMQSANRA PFICI_07557 MQGQGGLMGQQPLPDSQSGSTQGIAPSFKRIRVANGQDIDKTYA LLGLYIKKPELAFTVDDFVADESVGGRQSCRLWGDNEIDPRFKRPAIPVQDDVHSTIE AYVQGLQLGDDTTLSMIKSLDWKKRQIKGEIPDSPKGFDRHNWEYASAAMVILMSLCK NVTNLYLGGVGSHTSLGHYLQKSNYGLIPQPGLQRVKRVEFIRSAHRSWDGLNYETVE LLGYFRYFHRLPMLEAVFMDGVAEYQAHRELFPPGTSNLKRIRIEHADISSGMLATIL RIPRKLEEVHIQEGGLWSIDGGSPHISAKTLSKALLDHKETLRVLDLDVGRSLLAMIG GAPRGMGYEEPDGLEGLEEDLGESINDYYAGERDAYFDLDEKQSDSSRPLLVYQVAST RKYGYTIGSFHDFTAMTHLSLNFRFLFGPGTIEDSPRRLVEAPPFRLIDALPPTLEYL CLYNYVRGENIDIDELVDELLNYMATRLPRLQTVRGILETVHSEGSKYSEHDSEEELW EQPELDLGWIEA PFICI_07558 MGQSNTNNYKGTIVLTGANGGLGNAVIRRILSQPELSTYHGIYT VRNASSAPSLRSTLESVKNHNHTHDITSLDLARLDDVRRTAATINERVKSGEIPPICA LILNAAYLEFEQQTWTDDGFDTAFAAAYLGHWLLTMLLLESLDRHHGRLVIIGSSAHD SRDARNNAGMQYKDERWHTIFHENSESIAKGTWSTTKEDPSYKGGYRRYGAAKLCQVM MIPELQRRIDKDPVLHDISVVGIDPGSTPTMLVRRGDWRIRGLWTYIMPWLVVILTWL WPNGAHRTTFKSSKDILAVALDNNPVWGEKPKALYLDGENPINMAAEARNPKKREQLW QDTLRYTRLSGEETLLANWK PFICI_07559 MPKLTRLPYRVVVWGMLNMRGIALFGALYKSFHYILSPISAFDA SDMRLTDMTYTGSALPSVLIAHFTTYLGALLGPDSNRRQIAGHLWALFPLWICFIQNF ATRYILKPSTVGRDRLYNPTSDLVAIRRTVLILVAMSTISWQYTVYCGRASLTELFFP AFPVRDIRDLNMEGAYVPRVPEVGLCVFRPR PFICI_07560 MSLIELSYTFCAGIVALIIVISRLYNVGQRPKGYPPGPPTLPFV GNLHQIPSEKRHLQFERWAREYGPIYSLMLGTKVMIVLNSHEAVKELVDRRGAIYSSR PESYIAQDVLSGGLRILFMISLVDDIRCYISPEPMCEGGTEDEMIEEALKPNNGPWKM ARKLGQHVLGVTAARTYVPYQDLENKAMLLGFLESPGDFISHLRRYTASLTTQMTFGF RTTTMHDRRFRQAFEISDHSSEMIGSLTAAMLDLVPVLRNIPDFLLPMKKDGRDMHRR ELSLFRELFLQTKEGLKLGTAKPCVCVDLVKMQRELKFSDNFAAYIGGSLLQTGSETT ASVLVGFVQAMVLFPDVAKTAQEELDRVCGGRMPNLNDVPDLAYIRACAKETLRWMPG FMLGISHSVTQDDTYEG PFICI_07561 MAAKVVLITGANTGIGYETVKVFLESQHRYHVYLGARSPSKGKE VIQKIRAECSKATNTVELLEIDVESDDSIQKDFEMVKNGQGRVDILINNAGAGFDMQF VQNQMSLRECYNKAYNINVTGANVVTWTFMPLLLKSAEPRLLFVAGLSQMTAAVDNYF PTPPQPAGWPKKIDFETIGYRCSKTALSMLMLDWHHKLKADGVKVFSVSPGICATDLG GMGPEIIQALGGVHPREGGERLIAVAEGKRDADAGKLIDKEGLIPW PFICI_07562 MGFTSSFFLLVGLAASKVLAQVKGSPTGFAAGTTGGGSATPQYP SSLAELETWLTDSTARVIMISQTWDYTSYQGTTSTQCCPNTCQPNGQLWIMDTCDYTT SACTYYNAARSPIKVASNKSLVGVGSSGVIKGRGLTIGGGATNVIIQNIFFTGLNPQY VWGGDIITLDGTDNVWIDHNKFSLTGRQMIVSGWGAGGRVTISNNEFDGTTSWSASCN GEHYWTVLLLGLKDYYTFVGNYIHDVSGRAPHMGTDYDASEIIFHGVNNYFKNIGGHA FDIDVNTWVLLEGNYFDNVDTPITSGSLTSSNLYSVVSVADASGCTSSLGYICEWNRL SNSGSFPSSTSSAALSKLAPYKSSLIGHYGVADVPTTVVANAGVGLMVCCDWSPNYQV YYRPRGDWTDSRIHHNGVWIINMADRLGKLKTDLTGIIRARSYHEQFSRKGPATSIST DAPSPQRVEVTEEQVATRHSTGLSIIQFAEIFLVYLVSQSTQIPIDEFLTTTIHDVTM LDQLVKRKTPWLDMPLVFSGNYREYECTLEETWQCDYQQGYWRFWYEADHRYALPTVA FFLAVIIVFSLVHIAGNVLPQRLVRIGLLGKTRSLFRYLSYRTIRIPMLNWNSAPVGV LLLGAVGTIFFLAMTLGPQPYYWPNTDDVDYGSSPPIATRAGWMGLACMPFVFATAGK ANLITYFTGVSHERLQVFHRWISYAFFVLALIHTFPFIVYNYRENMMTEMWNEMVFYW TGVVALVAQAWLTFASLSPLRNLCYEWFKFSHYLAALIFVLFFFFHCDATLTAWDYFA ATGVLFTLSWLYRHIRIYFEHGISHRAELSRASNGFIRVAIPTKTTWTVAQHYFVRIM GLGVHDCTVHPFTACSLPSTNAKYPSDESELVFFIKPRGGFTARLASHVTSNPTLRAR VLIDGPYGGVDLKAITGSHRMLVIAGGSGAGWVFPFITAFLQRLSTEGPTTEGASRPS LRIVLASRQPATVSWFESEVQQILHSFSMDHTSAIELETHYTGSEESGQILVSENMQE VPEKTGKMTSNQVEPTANASLSPTSQSLDQQRIQHFESRPDLTNVVANEAGSITRGQT LSVFVCGPLSMQSDVANAVAHEQLTLAKTGAGDIYLHLEHFSWA PFICI_07563 MAAQSSSTPQIEMEPLPLINADVSTVGFSKIIDALMIKVPTEPK GGSAKGYSIRSMTTWEGVQEVMDRAAAEYASKSGAKGKARVVGNFIGNKGGSAKRITG VIPDFGYTKPIIGTLNFLLDAFQKADKVRTDVKDGVEKLKDNFDLVQVYLELYAAKPK VAAAALELYVTILIAVEEVINYYTKHIAIKGLKALWEGDKYEDKLLTCLKKIGDDGQK LILQADTAQKQEMQNGFSSLAAKLDNQADVLKDMAQKVMNNLLPLLEQYNQKLDITNK EYQFARRQAEIAYAENIILRGHLERATTPEIWPTTTREFLLEFLDSSDIEEADIGYIT KQQISHGAPGRNRTDQIMKSHEFVQWITEPTSKELLVHGNSATTPISPLSFFCALLTQ NLRRVEKFVSLAFFCGCHPYEDQGGSRTLIISLLAQLLRQEIHFDFRFLTYELAELME NGNVQAYCSVFDELVQQVSQDETLFCIIDGANFYERNEEMRHESAEVLCFLLDLTKSQ TKFKILLTSPSITIEIREAIHDEDYLSLPEQGKSTADYSLLRFEREWDESING PFICI_07564 MDHPQSGAIHVAAAPEASSGESKVAWSVSESYKSGTRIVSLGND MTRRVEGRLLTVHSAPLSSLIRNIIKVYPGQKLTGSTLVFQEPYAALMHHMPDLEASY TDFKSQGEEHPADDSQLSHAFAALLDFLRPAYQECYVPAQERLSQDQPTVTFDDLWVL YRPNSLAYAKVDEHWIGCRIGATTKWVADPQERMPTEWQVGIWLLQTDSTTGEIGCAT RTYRILDFDGEISPTELEIVPCQSFDKTDGGVRRRRFEERGQKILDILWGPSRQFRHN GEALHPQNHHEGDVLISGTFVVGSSVKCNWLFKWAEPWHFLTKDNPAYRVLERKIDPK RDSRDTFTGTMRFMLSPCLNALALWGATLHLVHVDCLKPSSFPDIMPEPVLHDNQLLD LIHLAEWHGAEGSILRQGHGDTVGNGVIVSLTGGPGVGKRYTANYVSWKTKRPLITIQ MYESDENTNLKHLGRYLPRVSKIHNAMVLYKRMTDMHYTGTFKAPWSFLRQLDTMVFF SSHGTQSVPFSSIQNLVHMTISFSQLDHKRQKRLWRNMTDIYHTRRGMDSIIAFDRSA SSFLSSDDMPEVNWNGHDIDKCLQAAIACAQAAGNPSDPNQNGNSIVVGVEHLKQAMN MTFANRLQDYDSSDDDVPEFGRFHKYNELSDDESPPSLLEKEKRRANFGRSKAHRRNL CIAELNRVEWATFKTLGATELFRSKSFHAIDVLVGEPQIWREPFSLLGQKHRIPVRGT APQLLAPDTKIPQNTTDAAASAALPERIRINSLAIIKAFSELHIDDSSNLRGSFLLFR PYKVLSYYEKELRNWTERLEDKVKEDHQHSERVDEGQNGLQADVAEMKCLLSFIDTYL KERQEKIQKALIHSVSFDDLWFLFKPGDTVVRNDVPQAYRVVRVATTRHRIKEDNNTS LSFFRDDALMEFDQGHIFIQCVYIDFNGQLLGPVVDIVPIPHFKGEKAISSLPVYPLD FCDEPGIKKEFLLARGKAFVKAGSIKHMHYRGLTLPAIESSKLRDEVDSQVVVDFEEA FNRIPSWMPSVKKSVLEEFDDDDDDDSSSSSSSSSSSDKDQWKPEFYYTQNTSTWKCI SDCCHQESVYHDEPIDDRTRNDYLASQANDNSTSPSVAIEARALSDLNDTLRDDDFLI MSYRVFGFVLRSRKWYQLDLADASPAETNSDGFDQLVLPAGHKDIIISMMTKHFRDRK SPSSRHIQTDIVRGKGRGLIMLLHGVPGVGKTSTAECLAGVFNRPLFQITSGDLGITA ADVDKNLEEDFSLANRWNCILLIDEADVFLAQRNKEDFVQNSLVAVFLRIMEYYSGVL FLTTNRVGVFDEAFTSRIHISLYYPPLTRSQTLEIFKKNWERILARSKEDSRQIDINQ SEITEFAMDYFDNNKQGRWNGRQIRNAFQSALAMAEFQILGMEGVNEDDNVVSDDQKP VQTVQLGKRHFEDVAAAYKSFIDYLNNVYGAGSARRARENMWRFDPEDESASKRPSAL SQRLKFTREPTPQPPRNMEPGLGPSQYHRGYGPDYEIPSNYGPRTPPRGGGYPGPHEY QYPPADFPSRNTGMEQGLYSSYPRTSVPSANYPSAHSQTYGPRIHPTAQHEMQAAPSS WAGSSRDVQPGFYPHHPGEQTQRTGGGQEGP PFICI_07565 MNNRYIQRLLHHHIYDADSSPLLIEIELLLLTFATGIQDAAAYP DYQCFASNQTGNTIFLAVAVARIGDGLFDVKNIGVSLGCFLAGSYVMGQLGNLIGPRR RVWLLLTNFIQVIMVFVAAGVQWKAGVDHSGPITLAILFLLSFSSGAQVAMTRPLKIT QITTAMATAAFVDLLVDPHVHKLHNRARNRRLLFLLCLALGAMVGAFIYSYRGSAATL LACAVSKTVVMILFYFNDGEMEEK PFICI_07566 MPPYSSTPHQATEPLVHDVYHSQTGTWQYLIIDPSSNTGAIVDP VLDFDPASRIISTETADSLLALIKAKGYHIDRILETHVHADHLTAAYYLQACLTKGQG SKPLIGIGKRIQQVQSFFGQKYGIAPEEHQGVFDVLFDDDETFAIGALEASAIHLPGH TPDHMGYKVGDNVFCGDSLFIADLGTARCDFPGGNARDLYHSAKKLLSMPDNVKIWTG HDYPSESRTMPKSWMRVEEHKDRNKHIMLDTTEEEFVAVRSGRDAGLKEPRLLHQSLQ VNIRGGRLPRPNASGQRLVHLPLVVNDIPIFK PFICI_07567 MFSRVLRAKPVGKIHPSRRTVTTITSPITHPSRSHRVVVVGGGT AGLAISHQLVRSGQFSQHDIAVVDPATWHHYQAGWTLVGGGLKKKQDLRKPLANLIDP KIKLYQDRVDSFAPDQNTITLGNNDLITYEHLVVAPGIKVNFDSIAGLPELLADPEAP VSSIYGYESCDKVFRLINQHRSGVALFTHPAGVVKCAGAPQKIMWLALDRWKQSGLYN ATNPNQSPIQINFATALPSMFGVPKYAAKLEELRQTRGVNALFQHDLIAINGNTATLA RLDGKDKVHVDFDFLHVVPKMGPHAFIKHSPLANEAGFVDVDESTLRHKQYSNVWSAG DGSSLPTSKTTAAITSQAPVLVANLLAAIKGKQPTSVYDGYTSCPLVTEYGKVLLAEF KYDGVLKETFGRFGVDQAVPRRLFYHLKKDFFPWVYYKALVKGTWAGPSGWIRSIK PFICI_07568 MRLLWYAGSSAALAVGVVTYAFNQRANFYSAMVYLSQNNLSLMI LINLILLVYGTFVYGLQRVCYGQLRPVEIEQLYEKAWFAVTETCLAMTIFREEVGAWF LVMFTALVTGKVWEWIGEGRVEILEQQPPANPRLFHTRLSISLLLSIIYDTWLFSYTI NTVIQQARPNMMVMFLFEFAILSTCSFRTGLRYILSLVEMNIVSKQTRTRLEERRKEV REQRADILRRRESGDAAESEAANQEELPNEEDIDEMDIEVPGWETKGQWVLSLDLFAD FVKLGVYVGFFVVLMMFYGLPIHIMRDLFLTTRSFLKRLGALVKYRKALADMNKYPDA TQDELEREDTCIICREEMRPWDAANPGAVERSRPKKLPCGHILHLGCLKGWLERQQVC PTCRRSVVVDGAAPNGDGAARPNADGQAPAPGAPGAVNGAADGNQGEQGRQGGMRMFQ FGPLRLGFAQGNPQRVQELLQQRFNVGLDGAPAPLVPPQPGVAQQPHPAPPSNNTATD IGVQLQELQQRLQQELLALQLTQAELQTTYALMAELQRLRLYQQHQQVVNNALGLPVQ STPAINGQGLQPPNHFPAIPPTMASFPPIPQRVQTPTLSRHIASANTAAIPAGSPDLP EGVVIPQGWTLMPLQRMDGQAHPFSHPSSSHTETAQHSQAQQAPYPFNHETATTSAGP AQSTSQANPTPEDESTTSRDSEAQRESPQVAAPTPRLPNWGGAAQLFSNGGVGTTSAH AAESSAEGAENHEAHEDHEDTDITDKGKAKAVTVEDTEDSDD PFICI_07569 MASAVMTPAILSALRNHPVLPQHSWYIVAASALTILNRPDEIAK VYSFALEHGSHGAEVKPSHEDKLAISRRIREALIKTSAVGGLPKTINALLSLKQVTPD ELIDEPGAPSPTGRMEDIHNVPYSEILERGRTFFDMIYGKIAKRVMGQMDRSGTEDLG LIARLEYGHVLSNTRILSPTETSFVLIAGLIPQDVNPQLKGHLRGALNGGATVEQVRA VREVTQMICHASGMKRLAEDAVGGWGWRSDVANV PFICI_07570 MASIAEQSYNMFPRPTEHQDFLLYSEQQAGSGYASPPMDNQYSP DAFGFPHTSGFEVNPLYADFAHMTYGGRTSPGAYTEDAELRVPSSNMSTTSASSSNMG SPHSHSGQLAYVPEYSHNSLGVNPSIVSHDNYFTGTEYTTYMPAQMEGYDMTYADSKP GFVDPSLIHPEIRGPMGPPMQGYDNTFSQNHQYPTSPALSGATSPVPGIRNGSASPYV PGFQYSPFAVPQHHGRRPSMSSFQSPQEYSYSGDESKEKQRCPHPDCGKTFKDLKAHM LTHQTERPEKCPIQTCDYHIKGFARKYDKNRHTLTHYKGTMVCGFCPGSGSAAEKSFN RADVFKRHLTAVHGVEQTPPNSRKKSSGANNSGKKLTGYASDATGKCSTCSVTFSNAQ DFYEHLDDCVLRIVQQEDPAEAVNARRLAEVENDGEVHQTLEKNSLPLTTQTTSLSDE EDDEEMGEDDDEEDFKGRKASPKSRKGNPANGVQKSRGMTHSRGGVPVAVKSKSRKNR RDYPSSWGFDKGQMTMKKRVMAVFDGPRRLAKDDMMLSTEHEVRIKLADGKYVTDLDV QTLKRAQGFLDATDEEKGMWISDDPTEEDMRKMLELSAQ PFICI_07571 MSLTQRLTSVTVSVDPEDFLGESLGVIFPDDVTTQHGDAANALR YTSPHLPKPFHIRLADPDTEDERRLFSHYLWNASLLLAEFVEAGSLGLKLDKPLGCGA SAAGDDTGDSLPAPRPGRLSESSFDVGGLSTIELGSGTALPSIMAAVLSASRVAATDY PAPPIMEILRTNVSSNTQAAFAPVGRSVASSVVVEGHAWGEVSSEAFAAEHRGRFDRV LACDCLWMPWQHDNLRRSIEWFLSDDGNARAWLVAGFHTGRHNMSGFFDTDKLAAAGL ELESIWERDVEGEERDWAVERLDDGNRKRWLVVASLKKLPKLQS PFICI_07572 MAVPGAEDVYLTLLLTDTYLPGALVLAHSLRDGGTTKKLGVLVT LDSVAAEAITELKASFATVYDYVIPVPRIKNEFPANLSLMNRTDLASAFTKINLWKQT SFRKIVYIDADVVAYRAPDELFDLPHAFSAAPDIGWPDIFNTGVMVLTPSMGEYYALA ALAERGISFDGADQGLLNMHFKNTYNRLSFTYNVTPSGHYQYIPAYRHFQSSINMVHF IGTDKPWFQGRYASTGAGPYDEMIGRWWAVYDRHYRVESPKSTAPTPKADLVQYLTRG EYQPPRPQVAHVENVERIGEPYSEDHHEQTQHDSSSVGHSQASTEQYAGHGHGQSGDA ESRQQFPSSSILSSSLEGTQAEAREEQVPPPPEQKKDERPPVTFSEWDAQRHPPPADS KPEAANFPATVYEMSKETAPFVPPARYPSPPKDMWYKVPEQPPAYRTAKPKSIFPWEN AQPRPTRVFPDEPSQVQATETEKPTTTTGEPWAFASSDTNPSTETFRTGHTATESVPV TPITPTISITSSDPWTSFTRTNAWDEVPEIERYVDKLQQHRRTRSLKGPGKIDLPSPS GAVSEIDFARRGSKVTDFPSETERPSLPVTPAPIRRPKFWGGGGDGGHGLGDEEEADP LLPVAEGVPGQSEWDPVAQLQKLAKQQSEVLLQKLGGASRDSGDLPPRAVPFGSEELI SPTYVAQSPQVLSPQPVKGSASSSIVRGMISEDEAATHASDQATANVTSGSIPKPSYT GPGAEFEKGENFAQHSTPLPPTEDERDVLET PFICI_07573 MEPDLSASITMHPRPFQLHHDSQSHHSRSSTPRLVPHSPSPHHG NGTSTGVEAEVEDAEQPLARHPASVAAGGPDVEMQSIRRYSDSDPYNLQSAIKTDAQL DEIKANTSRKRTNGPGGSKSGLRGCMPGQDKMKARKVEGFYKAQNEMIHNMLKSVEEH RAEAQQTQGDDHLRHQIAVYGSFVANIILSILQMYGAISSGSLSLFTTMADSIFDPLS NALLILSHRAVKRVDPNRFPSGKARLETAGNIFFCFMMTAVSLILIAFSAQELAQKDH HELNAFHLPSILAVAIAFLTKLALFFYCWGIKDKYSQVDILWQDHRNDLLINGFGILT SVGGSKLAWWIDPMGAIILSVLISGIWLRTAFQEFMLLVGVVASVEMQRLITYVCVTH SELVRGIDTVRVYHSGPRLIAEVDIVMDPDATLRDTHDVAEELQFKLENLPDIERAYV HVDYETTHKPEHFLKKDL PFICI_07574 MGVRRLRIVIAVATIWIFASWYYIWAPGQGPPSSSPFAAQREGT VELGDQSPDIRWNKPPARFPVKKPTKLPTKLPKTRIPRVQAAEPIEEEAARNKRHKRL AAVKASFQHSWTGYKKYAWRKDEVTPITGNYKNPFGGWAATLVDSLDTLWIMGLETDF NLAVKACDSIDFTTTLTKDINVFETTIRYLGGFLAAYELSGRQYPVLLSKAQEVGDLL MGAFDTPNRMPVSRWDWRKYVQGIEQKAPLSMLVSEIGSFTLEFAKLSELTGNMIYHD AAQRISDQIELGQSNTHLPGMWPVVIDASKSPIEFTGDTFTLGGMSDSLYEYFPKQYA LLGGMLEQPRRLYEGFIDVAKEHLFRRALNPDNLPILLPGDARVKNAQDPVTRQIIRT PRAQHLTCFAGGMVGLAAKVFDRPADMDIAIQLTNGCVWSYFATPSGLGPEIFNYIPC DPDPKQDDCQWSERRWLDSLKMHWRKGQKDVPDQTAKDIVDGRKLPKGMLDVNDRKYI LRPEAIESVFLMYRMTGDASWMDKAWDMFIAVEKHTRTTVAAASLDDVTKAKPLQTDS MESFWLAETLKYFYLVFSEWDVVDLDKWVLNTEAHPLRRADADL PFICI_07575 MADSLTEEQVSEFKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK PFICI_07576 MWRDRTNLYISYRQSYAHHPAKKTKYSGPSGNGFSDFGRGSAAA SEDQQGLLSGADYDDGDAVIEMDLLPPRWADISDEVTDLLAEIAKKSQTLERLHQKHV LPGFNDEEAKKAEEDEIERLTQTITRSFHDCHRCIQRVEQMVKEGNMTRAEETMAKNI QVSLATRVQEASAGFRKKQSAYLKKLRGMSGLGTASPGERSSTPLASNYAADPSLLES DADRSYSQSALQATTHQKMLKSNDAVIAQREKEIEDIAQGIIELSDLFRDLQNMVIDQ GTMLDRIDYNVERMNTDLKGAEKELVVASGYQKKTTKRKIILLLVLIIVGMIIVLVIK PKKSSYAAPPEPVEPSLGEVEGDGTI PFICI_07577 MSTSSSQALSTLQGIEAFRLEREARDPGNFTTENIIADYDSLTA PGDSQEYFHVTTDDTTWKRFAIMRRHGRDKTAVHRYDVSVDKRIYVVRDAYFQQGETM GAPRYTEMRLYNMIIVNYIAAGGDPTQLRQILVADITHDQAIRAIRRQRYDICKKHPE RHDWPVVIDKINTEYGTQLGISNVRYAPLRCDGDWSAEGTQMVHMNVALYDEGAWYAA EAQQAQQVASTPDNSQAYNDPAAYQSSTAYAVNTQVVPYGGAPQSAQNPAAYTASTEV VPYGATQGARISTQNYQGFPQLQQWNYDQSNVQYSQWNYPDYNQG PFICI_07578 MEYVDLGAEATRIFQVFTLLAQDSEQSKAVFHQAILDTLNSVKL AGGDKYSRIWVLYTIVTPAAKRAFGIESPAYNETKDVWYYLASNPSERFDVVAMIGTF GIPRPVQESFGILQTMTPPPAPQAHTPAASLSSTAWQAFADNLASAPREAPNPAYQIP LAPVIRAAPAPQAPPAPLTYPRPPDAWAAPTPVATPSSQARQAAPAPPAPPVPAAPGQ APPSQAASAPANQASQAPTAATQASQATPAAAICSSQASANPVLCLAPKPKSDSPCIL AWFVSHTGRVQEYIYSLPPGCDYGLHIWPCVLRLQKILSITISGDGRQMRFRTYHNPP SRGLSPVSVALEAELALLRADDVYWMWIEECIRRNAISVVLARLMLQLLWQT PFICI_07579 MSHESKVFRRSSEMELDAPERGVIISADWSIKEENNARWKVDIY LMPLMILGFFALQIDRANIGTALTSSITQDLGITTNEINIGNQLLSLGIFLLEIPSNI LLLRVGPRLWLSCQIIAWGLVATFQGFINSYGSYLATRMLLGCMEAGFIPGCLYFMGG WYKKNESRVRVITFYIGQNFATATSSLLGAGLLKLDGHLGLPGWRWLFIVDGCITIFI GLVFVLFLPPSPDNGKPLISFGRWSYFTEKEQYVLKNRVLLDDPSKIHGERAHVGARD ILAFLRTPKKWMHVLITMTSVCAVHSLSTYSPKILKSAGFEATQANALYSVSSYTAIF FNFTLAYFADRTDHTGPFILFAASCNVISYAVLRNLDVYSKWSKYASLIVAGIPYSAV HALNVGWMANSYSSIQDRSVSSAFIIMASNLAGIPAGQVFRADDAPFYKRGATVLTAL AGLCWVLVAALGLWHRKEARSLKTVITQL PFICI_07580 MRLILLLSLWAGVIRADGQYKSRPDLSPPRLNITTPATQDVDDG YIFICPYSGFKKGNGLDGPEQPAGYIFRNNGDLVWSSMGYLSGWVGNMQVSVWKGEPV ISAFQGTLDAVHGHGFGHPTILNQNYEHVRDLHGGNHKIISIHEFNILSSGSALIEVY QPTQMDLSAYGGSDASQWIVDGIFQELDLDTGKLLFEWSSLEHVDPSHSAVSLSSGHA GDGQNSTSAWDYFHINSVDKGDDGHYLISARHASTLYKIHGGTGEIIWQLGGDNSNFA FSNDLLFGFQHDARFVSHLYDAEDGVEYVSLFDNAARANGHRGGGVETVHSMSRAKVI RLDASTWTASLEVSLSAPDHKLAPSQGNVQTLPNGNYFVNWGQGGAVTEYRAGDGEAI FHANLDSGEIGEGVQSYRGFRFPWVGRPHEEPAIMVDGGSVYVSWNGDTETATWKFYA KFCSPRAGKKSRSDRFIGKSQRVSFETSFDLPQDMLVDFGGTCIYAVAHDLDGRRLVA TKTIASNVHGGPQKSNANIVQEAVQSVLALGELK PFICI_07581 MDFKNRRQPGGSLTAHLLYICAFMVSVGINFTRVPIIYAFRLMA CDEYYLHHPTYDGAGDRCDVHEIEATVAKAVSILGLTVTVNGVFNLLFANWSMKSFGI KSVLIQQLIGPTIRLAMQLYGVHVGGARGILLVQISNILGVVGGSTGYVLILNTFISR VVPDSEQTVAFGRLQGSVMMGTAMAYLLGGVVADAFGTMAAFEVACVIMAVSTLFSAL ALPKLSQPETLENTSSNMISKLVLPFLSVFSRKMPGSDKYCSARPLLSSGVFFGVLAT GFIPILLQMYSTNEFGFSSRENGYLMALNFGVRSLYLTLALPYIIKIGRKWLSNQTTQ AVSDGTANNTVSTGISDSRQQEELAPLLGPKPPSPKRLETTFDLWFVTLSFAIDAILT AATVFSRDTAHLYLAAVLLPLGSGSAPAAKGVMTSLCKPWERVDALSGIAMLEMLATV AAMAVFGSVFSAFAVVGKSGMVFFINAGVALGAALLTAAVRLPKPAR PFICI_07582 MARSKVRPESRRRIEQACEVCKKSKRRCDGRRPYCSRCIRQHKT KNCDYRDQLLPHGGPSSAPGTSSIRKAADVSPDDDSLSTTAPSTRTTRPSHAQASLLS PSNQLPSIATPLSQPEHLHNSPESNKFYIGPSGPLSFLRLLREALARRDGNFEPDVGG FDVLFEAEEPHGFGDEWKDLLLFDKLTKFTDLFFIATTGVLHVISRDDLIEKISQLCD QQGNDLSLISPMVALPIAIGAQSQVQDETNQRYAKAFSRAAYRAIRSECLLMPTLKTT QMSVLMAFYLLTAYRRNAAYMYLGIAARGAHTLGLHHVSFYNSEQATTAMSSLSLWKS ICVLDVMVSSLLGRPPAVALFRQLPREIVVRSVPCFDMGYLALEASFRLAKILREILD RIYIQRETSFAFAERVLQELTDWRLSLPPQLRVPIPQAGAVQGEIPDQDRQSVTASLR VALLYYHAVMLTTRPFLVAKVGMALRESSKKSLSDSRLTEPHPGDESTSQNHLYTACV DSATYMSETIHNAAKTNILLPNTCFIKVWAFEAALTLGFSLFIEPERAFETMPTFELG IGFLRGESACSPYAKQYYEILKDVTKAIRYRHHCCAQQRRRATTSYVDRVVDCSPGTE ELFDSWQELRNDAPVNQDMSEICENLEDLMGDWNEESMALFAPNAETPSGIGTSQHEK SDKHQSDSSQTSAQDPTTDNESSVVAESSTPFCQNSAAHGTKPVQEVSNTEVPLKRKR VVLPTTVTDLLPTVSDYNQELIVPPSTIHHLYATMTQIKDSEVIAHRVHELLHYFGRH FLIGLIRQRARAFVAANGPSVPQESEQANEMVRLMRIYNYNESPITKLLAFQAALAIS RKIGEMIENYEAEPGAAGNPNHKAVGDCCRRFAILESQELNVLASNQINTAPDEAKWK SVYELGKRLEMFVDIFAVNGNGKSYFPSLQSWIY PFICI_07583 MQAPGYKVIPPALLRSGTGQIDFWVNDDITLSQHGYLLPMCLEL GYDTNGDEPDDKEYVDHWVLVHLKRTLPTASNAFSAVIHDTMEGDVVKNANRERVAET LSNFFPKEATVEITSTKVLAQEDAYNCGVYVILHGISLVQYCQPNNLPIRKG PFICI_07584 MVQIKTLAAALALPLVLAHPGEDKEILRKEMALRNVQHAKATRS LASCQDSPQAKALRSRAAARRLAKARDLRVKRGLVSEKMNHQKRDLTNLEIYSNLSHN KTSSLEYTLDTPEETIFGSNNTCALVPETTIGPYYVTGEYIRQDITEGQIGVPMHIDI QFVDMNTCGPVADIAADVWHCNSTGVYSGVDSDGEGGLNSTFLRGVQFSDEDGVSAFD TLFPGHYDDRITHFHLVAQHNYTVQPNDTYTGGTTLHVGQIYFEDALVDAVEATYPYN TNTIEFTSWELDGWMLEEATSDYDPYVEYVQLGSDLSDGLLAWITVAVDLSSDHTANL TAAASYYEGGGVAHNGSDKGLPPSNPNAS PFICI_07585 MGFARKGYTFLGLLASACLATASNSFGNGTCTQVNQRKAWHTLT DDEKAEYLRAEKCLIESPAKGGVVDGAVTRWDELHWVHITQSNVIHGVGDFLPWHRLY MRVHEKLLQEECNYTGTQPYWDEQRDADASTSLADASVFGTDELSFGTTQDGCVVDGA FANTTLRLNQLWGVKNVTEYCLSRSYDDSYWAWANTTYSDACFAKSNYTEAWPCWSKY PHSSAHLAVGGTLEDQAASPGDPLFFLHHTNLDRLWWRWQQDNLPSRLYEMGGRSIPK LSSLVSYGWLFPSDAIMDYDGDAYNTTTLNHNLWMVNIAPNATVGDVMDLGGDLICAE YVN PFICI_07586 METQSLGGDFLIQLRAEGARANYNPWEPGDDKILFQLGVSDIEA VRDALGITALCGSHGGLTIRSHYEAYSEIMRRSHVTLAPSDKETLARWDRWSLTARHF DETSQEPDYPRRDRDTYKSLAYWDSDNEEIDVMESDSGVGPAIGIEAVGGIEGAGGIE ETGSSEPADCMKAAGSIIRIGETTRQESHGVGGKRDMCSPK PFICI_07587 MRPSPIEEALKNAAGATAEDGEVKTEAEEDLIESIVKVKNDIEG WQELWVMMLHIFQSTPYDLFTWGLRESDEGEKQVLYKCLCHLLPHPLWEGE PFICI_07588 MSPSSFLAAQAWSLYGTVSAQANRDSADEELSRAMQSIATELLN VLESGPNKDINKSWVTYKVVSASAKRVFGISNAPFDREEHYQAREQGDRCNIESALRQ LRQKPLGHGVIMDILSGEDLRLHWLNIPPALMSQSATPSSVPEQFKSVSGGEMSQTLA MPDEVTYETYILPFQQKLQKELNVSIQGDSSTITFSAFTYLRRGSEAAPLALEAEWHF VRACQIFVAWRRKCDQTPAVPDFALFVHRAIFDQVTQGWQQVVSDCEAREKARQQSGV RQQRRDQPPAILRSHPYYSGPDKPFLTGSELARSAPVYISDLRATKAGSRQQE PFICI_07589 MPFVESPPSPKLKIAIDRGGTFTDCLGIVPGRPDILIKLLSHDP ANYDDAPTEGIRRILEIATGASLPRGQKIDTSGIESIKMGTTVATNALLERASTPCAL VVTKGFRDLLRIGDQTRPKLFDLNIRRPETLFKEVIEIDERVTLHDSTEDSSTLRDPH RADIELKTGIGGEAVKILEPLDVEGARTSLQSLFDKGVRSLAVTLLHSYTFPDHELEI ARLAAEIGFPQISLSSQIFPMIKAISRGYSATADAYLTPLTKAYVDGFRQGFVGNLED DTGARCEFMQSDGGLVSWQNFSGLKAILSGPAGGVVGMSRTCYDPERKVPVIGFDMGG TSTDVSRYAGTLEHTFESITAGITIQSPQLEVDTVAAGGGSILSYKNGLFLAGPESAS AHPGPVAYRKGGPLTVTDANLVLGRLQAKYFPKIFGKNENEPLDSDGSRAAFAELLEK VNADIAEGGGKPKTIEDLALGFLDVANETMCRPIRSLTEAKGYRASDHELAVFGGAGG QHACAIADNLGIDRILIHRYSSILSAYGMALADLVHDVQEPCSADLGTGLENLQDRLA SLEKAASEKLIDDGAPKGSLSFERFLNLRYAGSDTTFMIPQPASGASWAEAFVAEHKR QFSFIMPGREILAENVRVRATVRSSAIEPELALDTQIATSSPVLVSNDKASDQVNVYF SSGWSSAPLYFLKSLTQGDMVQGPVIILDETQTIIVAPTAKAIILDRHVVIELVRRSS AEASEEITEEQKTVDPVQLTVMAHRFMSIAEQMGHALQKTSVSVNIKERLDFSCALFG PDGRLVANAPHVPVHLGSMEQAVMYQHKHYEGQLRPGDVIVANHPISGGTHLPDITCI TPVFDESGKEIIFYTASRGHHQEIGGILPGSMPAGSVELFEEGATIVSEFLVRDGKFD EEGVTKWLLHDPAQFPGCSGTRKLSDNINDLKAQVSANAKGAALLSELIAERGLAVVH FNMHAITANAEACVKEFLVRTYAETGGVPLTAIDYMDDGTPIQLTITIDPNTATAHFD WTGTGEEGYHSFNAPQAIARSATLYVLRCLINQDIPLNEGCLRPLKFTIPEGSILNPS PTAAVCAGNPITSQRVTDVVIKAFAACAASQGDCNVFSFGIDGDRDPATGDMIPGSGF GFGETICGGSGAGPGWHGTSGVHIHMTNTRITDPEILEKRYPVVLREFGIREGTGGRG KWNGGNGIRRIYEFRRDAGASVVSERRVTRPYGMFGGEDGKSGVNYLVKKGEGGRWCR LGGRKDFKVEKGDWFVIDTPGGGAWGSVEDGETEVETAKVNGAERRFVSQFQLAQEAS N PFICI_07590 MTILISSEIPWCVTSTTRTDSTAQARGSGNRDILPTIPRRFSTR QIVREIMANIKKPDVTPAEMEDSSSIGIGKVASTSDGDVAAAYAHQLNGEGAYSKKEW TRLRWKLDLRLVPLLWFNVTLGAMDKVTTATAALYGFKTDTGLTGDRYSWVGSAFYFG YLFWCFPSGSLLQRFPIAKLMFVAQIVWGLVLIGTGFANNFATLIALRVILGMLEAPI VPGNLLVMTMWYTRREQPLRTGLMYTGLSVCFTGPIGWGIGFLSGEHQWRTMFWITGA MTIVWACVIGLLLPDNPVKAKFVSEREKAIVIDRLREDQTGVENKTFKKQQMIEAFLD PKTWLMFLFQIWINIPNGGLTNFAPLIIKGLGYSSQRSVLLTMPTGIMQTMSSYICNG GVFLCVKYFPTKQFRSAFIIFGIIVGMISSVFLYTLPLDNYNGRLAALYMSYFYLGPY IVSLGIITANTAGHTKKVTVNALIFIAYCVSNIIAPQFFKTEQAPLYSLGMGSILGSY VLSMLTIIAYASYCWWENRRRDAVDAAAGERVHLDTDFKDFTDQENIHFRYQW PFICI_07591 MAMQTVRIAVFNTDLPVPNVRAKLGTYGDIFHRLLSDAASRICP MINIQSTDFDVVRGEYPECLSKFDVVLITGSAASAYDEVEWIRKLDAYVLDVYENHPR VKMFGSCFGHQLICQSLFRKFGVMVEKDPKGWELGVHEISLTENFCSGLKSSMVSQNF ASDEKPSTPDAESSRVPEPEGPKSLKLQFVHADHVKIPHPGALPHPWTVIGSSKHCHV QGVCDQGRVLTFQGHFEFDRFVNSETIKVFGASWEPVKLKKALEDMDADDDAEIAADI VMRFLIEGFEDQGAYDSATGLITPPVEGP PFICI_07592 MPDLEHINLQLGWPSPSLFPSSQLLQGATNILDIPRNSASALIY GPDAGYLPLRKNIAKWLSSVYQCSEAITHDRICVTNGASATLSNILSRFTEPGYTRKI WMIEPSYFLACPIFEDSGFEGHLRGVPEDDEGIDIGFLRAGLEESEKEAAPASPKLKT SARYGKLYKHIIYMVPTFSNPSGKTTSLRRRQELVRLAREFDALVITDDVYDVIRWPE DKDLAADAVGEIPPRIVDVDRTLDGGIKDKWGNAVSNGSFSKIIAPGMRVGWVEASPA FILGLAQLGATRSGGCPTQLAATLVDTMLESSILQTYIKDKLIPTYRSRYYAMMEAIK TKLVPISFKVSSGAPYHASSDIAGHGDNASIAVSGGYFVYVMVPSELPITTKELAARA LEECNLKFAYGEMFKVEEDEGSRERAMKVYGEGIRLCWAWHTEDQIAEGIQRLSDLVT GIMTK PFICI_07593 MPALAISLGDEQKAFDAEVVAIEKQWSSPRQAHLKRPYDARTIA ALRNSVPLASSLSSTQAVKLWNQLHEHRVNNTCELTFGTTDPATVSQMAKYQQTVYVS GALCGFSEVAIPGMDHADYPWDTVPKVVSKIFKSQLWHDQRQRQFRLRHLQEQRAELE NWDYLAPIVADGDMGFGSLTSTMKMAKEFVEAGVAMIHIDDLAIGMKKFTVGQGRTVV PTSEYLDRLTAVRMQFDIMGAETLLLCRCDTDHSEFITSVIDERDHEYVIGATNKVEP LKDFLKSAQVEGRDLKEARNEWKDKAGLVTFDEAVKAVASADEYAAYAAEIAKQKFTS LPKRREIASSTVGKDVFFDWDTPRSSVGQYMFKSNVKAVVERALAAAPLGDVTWARMD SPVWKDIVEFHTEIRKVYPERLFAFGYTGDYDFNKAGFSNEQIKTLHLDLAKMGIVWQ VQPIWSLQGLNMVTTDFSKLWSEEGIAGYLRTVQGPALGRKPMTDGFEKLSWCGGYLA DAFFETVAGENIVEKGKAVYFKRH PFICI_07594 MDDDGQPPLKRQRVLACRRCRHRKQKCDDPRPCTNCQKSGDECL PTEPAPRSHVESQYVKALEERIAELESLDPQQSLDHMLGSVRGQQRRTSSNSTLSYHV QPPERPSTNGTSSIGVLRPRTLADTNEDDTAPSPKSGPRTRLASYTGVPTRRSMLTND DESDTGFDHMIFGLIASPSAPRDDGSEPSPATHDEISGGRPPTSHFQRGEITPELREL LLETYRDRAQVQYPFLHWPTFLEWHVSWVEDSFPSSRTRWQGFFVNLAYATALLLLHG SRASKMDAQMFYRNGVELLPFVLAEQDSVLHVQAYLLLSVHALHKSSSGRILTLASTT MRYCVQQQFHLAETEPVPATPSIRLRNQVRRRCFWCAYKLDRLVMSSFDLPPSIPDAM ITLKIYNNIDDHDLLQVARTTLRDCELPDVPTYTCVSSSLHIVQCRRIQSEIMAFTLR WDYATRFEKSPEWRIRILAELESYKSRVQKFSDPQSKGYTSHRWLAMIYHYTLLMLYR PTKETVLGPAGDWSVQASSQACLIFRKTQMDRQIAQSWLGLLVQFQSGITLLYCFWAT PPVNRTENYDSPDVSDAIRACSNILAIMADRWPRADCLRDVFELIAREIPLIDRPNRP PKRLSERSITTICEKLPQVRALIVHRPILRMIEEMISEDFPRQVPAEPLPRPPSVPGL LAPIDKSPQDLRAAQASQTNNVPPHTMLTFELPFSAEPVYNFDSMGAEADNPGTEELL SFPGMFDYEEWQ PFICI_07595 MSTKTLNHPDIGRIAGVDQDDTIQFRGVKYATLKDRFSPADLVQ YDGKGLNATKHGPQVISPPNGLDMEFSFIQHALPKPEFPGMSDVDGLNLVITVPNAGA GETKHERLPVLVFIHGGGYAIGGNWWPQYDFSSLVRQAAQLGRPIVGVNINYRLGAPG FLTSPELRAAGCRPNNGLSDQRTALRWVQKYIGGFGGDPNNVTVMGESAGGVSAGYLL LSEEALAKRIICLGGCPPLLGQLPLPVADTVAESVKKHLNIEGLSEENFVKTLNDLPA ENFWSKIPPNVPFTPVVDGEIIPEVLSLETWAQSPTLPGNKSIEAILVGDSKLDSSIM GYMLMPRKSGLGAAFRDVASKTLEMHPEALNAVLEHYSLTEAAEKTLTEDQVFRNVLQ YISDVAFFAPAIELATGFRNTSYVYAFNEPNPWDGLFKGEASHILDVVFIFQNYNEYL SETQRVSAVSFGQDVIMFANGEAPWKSFSPGQHGTAVYEGGTRTFAEPPEIAATKRDA FLFELTRSSGGVTTEKLLQVFNSLMM PFICI_07596 MPLIRDENGRTFVEHGNGQKSHYILDDFADPWKAHDTILIQHGF CRTVEHWYHWVPALAQHFRVIRRDLRGHGLSSYPTASSTRYDYTLATVVGEIVDTLDQ LAIEKVHFIGESTSGMLGEALAALHPERLLSLTICSSPTYLPPPALETFAFGCSDWPT ACRQLGSRGWAEALLRTPGTMASTDVAYTSWWVDQVAISHSEGLAGYAEFLSSIDARP FLPKIRVPMLILAPKNSAVMSVESMLQVGEQVRGAQVQVIDAPGHEIFITGSTKCQEL VLEFYRSLQVRQP PFICI_07597 MTTYVFNDNELASLKDKTVIVIGCATGIGRSTSLLAHKHGAKLA LGDWNESLGGQLAAELGQRVLFRKSDVSVWTDVVSLFEDAWKQFGRIDAVVSNAGVNN EDFLSSVIDSSTGILSPPDLKPLEINLMGMIYAVKCAVHYFDRQPDARYQIIMTGSAA SFLDTPPLYLYCTAKAGIVGLMRGLRSQLIKKNITVNVVCPWLTVTPMLLPEMLSVWD GLPSNQPEGVARALLMPICRQDINGKAFFVAGNEITDFEDTLAATQGQWMGEHLSRNI SEGQRRLLH PFICI_07598 MSTQDFQNIFHWASTQKDGSIPSFATRPNDPYKYQPGFNNSFES EAIPGTIPRGQNSPRSIRFGLYAEQMTASAFVAPRHVNKKAWLYRSRPAVAHQGFTEL PDNVDTESNFLPLNSRVHVSPTQLAWKPFEIPAGQDVDFVDGLKTIAGSGDPTLREGL ATHVFLCNKSMTKRAFVNSDGDFLIVPQQGALDIQTEFGFLYVQPGEICVIQRGQRFR VSVNGPTRGYILEVWGSSFELPELGPLGANGLANARDFLHPVAAYEVTKDDPWNIVYK LGGKFFNSTQKHCPFDVIAWHGNYVPYKYDLTKFVNVGSISVDHIDPSIFCVLTARSR DPAAPLADFLIFSPRWDVASHTYRPPYYHRNCASELMGLIYGDYGGRSDEFQPGSISF ECGFVPHGVAYEQFAEASKADPPVMQISPASIAFMFESSRAFTITDYAWNSDRKHEHD PKMWDDLVDNFSKHKIEVDEILAAVAKGKA PFICI_07599 MSAGIYHATLNSTLSGVDCSPHVTVFRGIPYGSIPRRFARCEPI HTYPRELDCTQFGPRCPQLQLDVGCLLRIPPDISPRPENEDEYRCTNLDVFVPKLATQ DNGNGLPVLVWIHGDFKGGSQAVTFGSSASGVCDMTALVGNSVVMEKPVIAVTVQYRL NMFALGDGTGEKNLALHDQSSALTWVQQHIAGFGGDPTLDVCQARVTLAGESAGAVYC HAHMVTGAPAQQFALLSGSLHLSPPQPAHNVMALRSSIRQKLQELSPDLDFSSASAAQ IVHAIERSGVQSFFLEDDPIFAGWEKTVGTGRRLFLSDVQKESAIWQAGIHLLDDHDI IKAFDLAGDDSRKLKELYHIHSGRSSSCRFGALDFINDYKFVLPIHQIKRLWQNSDRL VFRYLVDEANPWQPSSGAHHAVDLVLLFGGFGMATSAAAERTGQQMRSAWILFLNGES PWSPSTSDFAFGPYGVSSVLNREELGFRRRMAQIEFLDRTDKSSLDPVFRALAAGRIS LLN PFICI_07600 MDPTIVLRRGTTPYNNNLKLYFNQEQNDRFPAEIHVAKWADDVT GALKRAQELKVQVGVRSGGHLPSKPSLVHDGILIDVASVNRDLAYDAQTHEVSFGPGV RVHEACKALDRVGRFFPFGHAPDVALGGFCLAGGQGFFMRGWGATITEWIVKMEIVVS DGRILEASRTQNPDLFWAARGGGQAFFGVVTRFWSRTIPKRNLFGRSFTFEVRDRFQD LLEFAFDRNDATPRCFTETAVCTLYPQLFDDQSQDENIPANSPLLLSIHLSAYADRLI EAETLLTAWNQLPDNIKGCLIEARPTSQLSWDEFFELQHRMNPKSPDQKWGINSILSD PKVSRQELVESIKPAMCNLPTRSSYGCIYMADTITPDESDAVFSLPQQYYISTFSGWK DPARKAEILKVMEASYKKAELVSCGMYVADFDQTSSCVQSRDIKVWTDSARARFSQIR AKWDSQKLFAGSHALISEN PFICI_07601 MMIHSFFVTSSSIGVASLLFIFLSIVLTFVQHISSPLGKIPGPL GARISRLWYLKHVVGGNFHRRNIELHRLYGPVVRIAPGQYSIDDPEAVKVIYGIGKSF EKASELSNTDKIIKASWYDASSDPTAAWRDLFTDRSALRHAAYRRQVANLYSATSLRN MEGDVDEIVQVLLDSMSQNSKAKKNIDLQFWMQCFAFDAISRLTLGKSLGLLPDGRDR NGLFKSLHDYLKYCAAVGIFSELHRLCWWILTKLPQSGLVHVANFTAQQISQRSKYHD EKGVEWSDDFLSKATRLNQLDPNRFPHSAVFTTCITNIGAGSDTTSISLSGILNGLMH NPSATSKLRREIDEKLAELNHPPLIPFAETQKMPYLQACIKEGLRVHPATGLPLARVV PEGGTTISGQFFPAGTIVGINTWVAHMNQQVFGPDAEAFRPERWLESSKQSLSAMEGY WMPFGAGSRTCIGKNISLLEINKLIPAFIRRFDLLPQGAESMSHENYWLVKQVDMYCQ VAER PFICI_07602 MVAFSKVAVAAFAGLVAAHPGEKHDAHKLKREISARDNYARAQA LQARSIQRRAKKVENLRQKRGITGAARKDKRDLADLQAWEAINHNQTGVYNYDSSTSP EDIFGANTSCILAPEVTDGPYYVVGEYLRSDVKESLYSEGVDTYLEVQYIDVTTCEPI KNVAVDIWNANATGVYSGIYTDGNYAADGLNSTYLRGVQLTDADGIVAFETIFPGHYD GRAVHTHLLAHTNASVQCNGTVSSWDAKVAHIGQLFWDDALRAEVEATYPYTENTQAL TTNDDDMWSIVQADETYDPLPQYIYLGPSIEDGLFAWIQIGINGSADYTDDDYYSIAA YLDENGGHAESSSMGGDAGGDAGAGNGTAPSGTFSGTAPTGTAV PFICI_07603 MNEYSFTSLVLPGLALLGIFLATWFPWRRRGLETIPGPKGLPFI GNAHQLTKHPQRKFLEWADEYGELFKVQMGLQNWVFLNSPAAVKEILDKQSAVTSGRP GMPVVSDLVSGGQRFLLMTYTPRWRKLRAMVHKLLTPKASEIFKPSQEFETKQLLFDI LTGNADQESFYQHVRRYTTSIVMTSTYGRRVPVWDCEDVHEIYGLMKEFSESAAPGRY IAELVPALASLPVWMQWWRASALQSYRRQRHIWMKYWSRLQVQIGQGCAPECFGKQFT ESGYQKQDISDEQAAFVAGTMIEAGSETTSSALNTAIKYLIKYPEAQLLAHKELSSHI GDGRVPNFGDEDSLPYIRAMVKEVLRIRPVTNIGSPHYTTADVAYKNYIIPKDTVVTL SQYSIHYDPRRWERPEEFIPGRYLGHPLKAGAYAASADAEGRDHFDFGAGRRICPGMH LAENSLFITLASILWLFEIKAPVDADGNEEFVDVSDDAYEDGANTLPKPFRARFVPVN AQRAITLMETWDQAKRDGFMLGNVKVDEKGVVV PFICI_07604 MALKTGVIGPAGFGGSYLTVELLNRGHSVRGISRNPEKIGRHDG YEAYSIDIAGCTIESLIKAFISLDVLVNEIGPHTAGESALQYQSFIELTRKIIIAVKA ARVGYFIMVGGCGSLQLPGQTLQTCLESKDWWLAYRKGIADSEAHVAYMEERLGSLGS SLRSYRQARLLVQSGGDDEAARKTIEDYEAAVLRNDKALTFVTACRTSYMFFDGNTSF RWTFVSPSALYRPGKRTGHYEVVFDEVPLKPARDNGSDDGLDGRLHGITASDLAIAIA DEAEWKEKTFRHWSAFGDLSDDTPTPSYVILKG PFICI_07605 MGYGMARNVRQKMDPKAKLLINDVDRGICERFVQELSGFGPVKI VDNAKEVATEALTIFSIVPAGEHVRSVYLDDQTGVIAAKYTTPSINNTRRLFIECSTV DITTARLVGKTLRDNDMGTYIDCPVSGGVPAADSGNLSLLLGIDDKDLSGSLSQRLKQ ATKYFGDPNKIFYCGGLGSGLAAKICNNYLSCTILLANSEAMATGIKLGLDKHVLHRV IQASTGQNFMADNVCPVPGVVEHAPSSHDYKLGFKAQMLAKDVGLGVDAAQSVGIEPS IGKAALEVYKQVALDERCIVSVFYSKTTHHGQLCLPMSLIQDRDASVVYRYLGGPE PFICI_07606 MPSPKTIGFIGLGTMGLPMARILRKKCVESHLYVYDINVKATEA FVAEEPGRVTACSNPRTVADKAEVVVSMVPAGPHVIDVYLNPDDGVLRANVDGKLLVD CSTIDVASFDQVRARVTESHPNTHFYDAPVSGGSLGAEAGTLTFMVGCSKGDPLWDDL HDLLKCMGTSIFACGGQSLGLTAKLCNNYCSGLIAIATAEAMNIGIRSGMDPTVLASI FATSTAQSRICDKWNPVPGICPEAPSSHDYRGGFKVELMKKDFGLAVDAAKQVGARLC LGDAGLKTYEEASEDPNCVGRDSRVVFRYIGGKEDWSNDSHK PFICI_07607 MAQLPGVVNKLQPSSLAEKFDPNLLIQFFVLYCVVHATYNYFLH PLRHVPGPFPAKITELWRTRRYMLGNWHQDILDLHKLYGPIVRVSPNEVSIVDKNALV SVFGHGKGTKKTSWYDVWKIPGLSNSLWYQFFNSTDPTEHSFLRKRVSAVYSMSHILS HESEIVNLSNMLWSQLDELAKKSHPVALHDWASFFAFDVVTKLGTDQPVGFVKQGKDV DGIIHSICQGFWESANTGYLPGKTLWFNNPLSRFAQKFVGSGIKPAAFWVWMGSTVQG RLSEKADEERTRDMLDHYISMKEVDGSSASLPSILAEVGNLVGAGADTTSIGIRSVLA QLILHPEDYRRVQNEVDEAARAHNMENMPYTVLDKLPFLNACIKEALRLHPSILWQLP REAPAAGINIAGHFIPSSATISMSPIAQNRDAETFDSDANDWNPHRWLPTETNPDASI KEMDRYNVTFGYGSRTCVGRNLALVEINHFVAEFCQRYDAEFINKEKPFKIISQWFSY QDDMFVRLKLRT PFICI_07608 MSSVPGFNRIIVVGAGPSGLLLTLLLVQNGIDVTLLDQQSDLDT NPRATHYAAPAMFELNRAGVGDDLRARGFLPAGVSWRNIDGEILASLDANVLGDDPDR MTCLPLDQLGQILKEHVAKQDKAKVLFHHKVISLGQDDQEAWVVVDTPNGKHTFKADY IVGCDGANSQIRKSLFGDWEFPGKTWDQQIVATNTYYDFDKYGWNDSNFIVHPEHWFM AARITKDGLWRVTYGEKAGLSPEELIARQPEKFRQMLPGHPGPDGYKIKNFSPYKVHQ RLAKSMRVGRFLLAADAAHLCNPFGGMGLTGGIVDVGNLFDCLKGIYDNKADPTILDK YSAIRREKYLDIVDPISSANLTRLFELDPARAVELDPFFQMAQKASTDEKFSRELQNH VKNLQHDFTQYYSSGEEAEIH PFICI_07609 MSSPVPLPPASGDVEVVLLDGGGFTTADDTRLHQDGHEKPFYLY DWCFYIHHKTSGQRVLWDLGLSGDNADYTPFVVNNHFRFCNPTGPRQPLQEQLGALGL QATDITTIIFSHAHWDHCRPASAKFPNAKIYFGPGTSQHCSPGHIVNDQISQSVEWDS RYFGDDEVRTESFEELSGPWSPWGPFDLAMDFFGDGSFLIIQAPGHMPGNLAACARLE TGERILLSSDCCHSMDILLGRREMAILPLPDGSTFCLHADIPAARETIRRLKECKEKY GVHIAMTHDPEWIQLRDNKVLMSMLPPYFDDACLDRIRAGMQP PFICI_07610 MADYHSDVSRGLAHLESRSQVYAQVSALEGGWFTLPLRFFIAGV SENEKSYVPSLAFLITRGNESAGPKHTLFDLGLRQNTTTLEQPIQNHLLSRQPTELSP DVRESLAKAGTSTDVIEQVILSHLHWDHIGTPLDFRKAQFRVGAGSLPLLETGLNSHM SHSHFQSDLFDGLNVQEFPAPMASQDNRTHSTTGSWDTVGEMPLLDLYGDGSVYVVDL PGHLSGHVGALVHTGPRSWVLLAGDACHDSRLLSGEKDIAEWEDAYGRVCCIHADRSQ TEKTLDTLRRWLREAKDGGYDFRILLAHDALWAKSNPETFYPGHIN PFICI_07611 MASQESISESNSEKQAHRVLYEQGLKIRCEVAGPAYVDAALRRG SSDFARPMQELVTEACWGSVWSRPGLERKQRSLLNIAMLCALNRGPELTAHVRGALNN GASEIEIRETLLQAAIYCGMPAGIEGFKIAERVIETVRNEKRQTEVAEH PFICI_07612 MASALVVEPSLAAAPAPQTGVGARAVGRRQGRQYKCAYCSKVFK RSEHRLRHERTHTHEKPFSCRYCKKSYSRKDLVVRHERTLHAQEHNDEQSIGGSLTSP SSTQDRSPVSADDSVRPDQPPQHSQRGQNRGTRSPNSTQRSADAFSTSNVPAQPLPTP ADERAPEIPGLASHEDLILPSGPDISTYLNDLNDFMFDAEDGITMYDTSAAVYTTAGN LQQIIPGSQENPEMHLEMQARQDSALLNTAASLPIPTAPSHTSTTQISSANQPDLMFT TDLNQLHHQSSSSFMGNSDVPPFLDGTCGWSAPQTAQVNGKCPDATGHVRVTQKPQRA LPCLREGTPPSAPDLKLDKDVFEALSKDLACRINSNDPPQQLPNASLCHGFLGSYVEC FDQHLPMIHWPTLDLKSTPSPLIFSMCSIGALYRLDRRRARIFYDLSSRAVATTLPCT QTHESFTRHGPLWAVQAKILLSMFAMLSGEEDLTSSSMNEHAFYTLVYSKQRQSLAED NAQSMVSWANWAKRETWKRVLGAIYISSTLYMIIYGINPCFNATTDLEYEALEDEDLW KATSASDWLELRAQKAAARKSSSCTMKDVLQCILLENPEGQSNPGHVWPFAMLVLTHG VVVHIWQIVQVMQTCSQVSYLSADESNTFASRILDLGITSLGRCQSFLAGNKKDDLDL PEDSQVACPIFASRSVLRVAYSQLFKTAVSFNRFNLFAQDPSTIEVQLSLFANEPIRM KRSPQLVDVLRNMLEGLSVPVRMGYMLVRKTASFRWSVEHAAACWDTALFITKWVHCV ELDTLRNMEISSTECELLAEVREILSETDIDLDQTQSLAAGMARTWAWLLRDVWVWGI TPRLGAVLDQLASAYERFHKSCRTMPIGSNIQ PFICI_07613 MRLSSLPEGNMSLSFGVACILVVLGFYVYLVPNTLKDIRRRKLP PGPRGLPLVGNLYDLADSEQVRVKVREWHRKYGDIFHTQIGATDYVWLSSPKVVKELM DKRSGIYSSRPHLPLAQDVASGKSRQLFMAYGPDWRALRKHSHALLNQTASRKYQPVQ DAESKVVLWDLLERPDQFYMINRRYSTSVIMSVAYGHRIPSFEDPLITKIFSVLEHLS IMMAPGAFAVETFPTLAHLPEWMFGNWRTWGKNAFAHDSKIYLDLWETLKRDTDQGTA RDCFCKDFYLSSPADNGINDLLAAYTCGGLIEAGSETTATTINNWTLAMTLYPEVMKK GQEELDRVVGPERLPQWDDENNLPYIRAMIKETLRWRPVNKFGMYHASTQDDWYNGHF IPKDSVVVLNWWAIQRDPSLYERPDEFEPSRYFESPLSAAEYMNVNDPYERDHFSYGA GRRACPGVHLAEKSLFIVISRTLWGFNVEKAVGTDGDVIEPETKMMPGFLSVPEPFKC SITCRSRAHEVLIRSSFEEVQAQGI PFICI_07614 MPAPTSLEDGRYGTRPSINGQTRRGRPRTRALPDPNAPKRPRGR PRKGESGLPGVSGLPGTSDTSGQSKSQDVDQDSEDLSEVDDDTPDSSSKKTQANSATS AKSGKRKAEKAAGEDTQATRRKGCLAPFWLQIVKADGVEQTLKQAAQASVAGNIAIEA PDDVIESVVLAKNGIQEWHDLWVMMLNIFQSTPYDLFTWGLRESDKAQRHLIYRFLGR LLPHPMWEGDLSRLRYALQKAISLRVAGHLEPLGPLPLETAQAIVSANLDYVNRAALA LSIWHSLEMDKQKVGGMFLKALRQVVESEGQDGFEHADNDKTLFQLSIEDIQAVHQAL DSMRGTFSGLTVASHHELYSAYRRTARTRTLAPENKATLERWDRWSLMVRQADEASRQ PDYPQRDRNTYKALPYWDSNTCDVRFWHSIHSDISSIKAIEVQDDSKHSPAPHSTKKV KIEDGVIDLTQDDDDDDVATAGIEPPQARHDGTGTSGRAL PFICI_07615 MERHDQADKQTTLEGQLEAASARILALETEMRQQRASSDTETRR LRQENTNLSATNLELKRELADKKQQLDEERQRHKQLRVSTSQQVAMLQSSASRYLEMT ASWLESTIGDLPEGVWQFFLQTYFEGDCIISAAISKPPFWVKTPWVSEQPKALIARSL AETAALLFRLLHQNNWSITETVTAFDYLHIISTHIMQEAADASGVHRLLPTLVRKFRN RLSGEAFWHDFAILQLSQLAIYISGPAYDRSVVNVGMMIGPDTRLSRVLGRLVEAGSQ ASPEAVWAAVDKKCSVMIGGSGVYRDRDVDEYWVFMSFEDKSLRIIERSMTGRVRGTT TSWAQVPSPDSERWEDIIITPIDLGRPYHIWIFKIEPLYKGDTQAMAKWIEGEIEKMP EEEQKRFREKQRETRLLLREIHAKQAERGM PFICI_07616 MAAPLIWINGYPGTGKLTVATALKKLNKSIVLIDNHQLIDPVEA EYSRSHPEYQRQRQIRRKEAFIQHVSSPDSLSQTVVFTDFQTTNKQGESVAKEYQQAA LEAARPFLPIYMTCDEQENIKRVCSKERVDSDTTKLTDRHLLQTFRSSTAIYRFQDCV GLSIDVTDISSEEAASQIAEHIAQCGADPLSEKER PFICI_07617 MATPVNNSAEMAAAAEKSQHDIDDAEFNSPASSAASLNEKPPPP WTWKLVAVILVTLVRFGGSWSSGITGAMKTTLKKQLKINNTQYALLEASEDFMTTVLI LASGLLTDRLGGAELMVAKLGAFTGTSTANIIAVNTGDFAWVFWVAVFINFFTNICSA VFFWFNKKSSKKFGHSVDPVTGEKLIKKGKKLDIHKVLEVPWVFWVLMLYSLCYTSTA VVFSGNATELAEQRFDIDSVTAGWYTALVRYAGFFIVPFIGILIDFIANEPRSVVASS LGIFISMALVNWAPTVSGTAAAFGVYAVLTSYNPTVLIDGMRASLWQQSTFGTAYSLK IMMNNSINIVVRIVAGVIQDADNNSYDRVVVLYVAMAALSVVVSCVIIFLAWRSPDLR HLQWTRKQRMDQAVMLANRKERFLGEKATRNALISKVCFCLLMVLLLGGWASYIWGAI TGHNS PFICI_07618 MQAFKNLVWLGVASVSQASCLGHAGRAPNTNATLGTFLHNRISP NYSELYIADANGANEKLLLGSNTVFDFRANWSPDGNHVTFTSERRGDGQSDVYRVGIN GSSTASSPELLVASEGVDDSAAISPDGKMLAFATSRFNQTSQIMLMVMETGDLKNLTL IDGIAGAANPTQPNGYFKPAWSPDGEWIVFTSDRNTPWRGHSDGAGWEHVQELSIYVA RPNGTDFRLVSSRANYTQGSPRFSPDGKRLVFYEILTEDTYDARLQPSLLYGTVLNSS IVSVDFATGTDRIVHASGTGVRISPSYVTDDVMGYVLKEADSNGIYYASISGTNYTQY QTIPMNTMTPALRSPAWSPDGKYIIYEKQGPSGGSTSTSKEQHAELYSFDQDWDYRFT DVFPMGARSGCPMMVMSQQMEGPAINNLIRLNMDGDDQVTLYEPTEDTINPAISSGYN ARAYQGNWGPNDTNITFGFGAYFVGRQSLPGFIYSIGADGSDLTLLAGNNISNYGFPS FSHDGSKVVFRTWPGTADNGTTVGTTGLAIVDVATRKVTQLTTEWDNLPAFSPDGSKI LFTRRTNLANIGDNYDIFTMSPDGTDLTQVTDSVASDAHAVWTHDGRIAYSTAMFGFQ QEAPLYDDSMQPYAIIMVMDADGSNKTPLTNSLWEDAMPMFAPAHTLASTC PFICI_07619 MHGRPSILATVAAAALTCATVVNADCDPTSLNTTSFNYVNDIAN QTVYEMAVKFNRGVCDIGRANLMVDVSVVPNVGQTIIIPGEVCDPDWTTCVIDGPGTN DCLIGGPRLYYTLNGDTLWKIAGRLNMTLDAVQCGGNGCNTNTTCTNGICPGGGGAQY SANETIPAGKFIKIPMCYPSTCEIEPYSFSSGVYKDLADEYGSTVGQIQMLSPTYNYS YIANEGGTAPPIGLPKNCRLLSSNYTILD PFICI_07620 MSSTDSLFSGQCPSKPAHAYSSMSSGSSSDLSSERVNSFYHSSA TTGAIVSSGTSSTTSPQQAEQNMTAHLDGIMDRLFKK PFICI_07621 MRLVNWTIRDVKPARLAINPRLPLTYQAFRHSSPAHCHKTCPAS YLRSYATSIESHTDLVASHHLPRSLQALALAEKPKLLKSHVQDAASFGKWQWLINEPK RLSIETDFDREGAAKHLARPLLIDRWDHHGDFDLWNCLLQHLQRLEGDQGVHKLWRAF WGRRSLYQLKKASQKIFWTTIVEAALRLEDEKFLDSVYTYAEYMVEVHDTQWPDLYMN IVPYFLRTGQHDKAIKWHMRLAPNYYPGSQDFIAMIREFSFDHKLAASFTLQSLYIAS PERRFYDAIVPYLYGRGQAKLARMWRVTCIKQEDGPRLHAPSRQFLRYLLGYFYQIDL HPREAAVVKEQTLPRPEESEQQVEISREFMNHVHGKTFGFTAKTYNDQLGARWFASSW VGLELATSVVVALGVQQIGPLSLQSICLREGTAQGVLTRIRQLEEAGISIPESGYSKT IRHFARTGDDELLIRLLQCDIHPDVFDDLELQSKLMASRAAAGDMSTYTLLLASRMAC VADSARTTSNAMLRSHLLRRNHERVLHIIDDMQGVGIPLDVESCDFIFTWIKRRVGWH STQRNPHRLQYSLALCRRLSSMDIPVPVEVWTRIVYGLGQGHFFDDLYNLVLELTDFY TTRRSLRPGFVPINKVDVPHKITRPLSEVENLIGLYIPMDTPMNLTLHPLNRIFSRKW QSDLLRWSFALLPYRRGTDSEHQQENFQHAINVLRRLEDRGLRIDIMKVRKALYIRLA EIFGINPVAAKTQQQSRQQNMFSLTQVKTMIDEAWGSELLPPIDELKVHVRNMDERLL KRYHNYSVKNKDAWLEKYPRQVLEGAESARRRKRTML PFICI_07622 MGLVDAPNKVPQHQKSYQAAYAQHTRLWQIGSRSSYLLLPYKVL VWGSLSASLYMMGRKIAGYNTWFGKD PFICI_07623 MADRFPSLDDFDSGAQTDVKDVSASADDFLAREQALLGDDAAQF TTSNDSAAFVDTSDDLLGGGGGEVSHLEQSQFQNQFPDINTGNQQVGPSGTITGPSVS YNSGFQASVDEEEEPEVIRLWREKRDASNAKRAEQFAQQKEETIKEAQQNIDDFYENY NNKKDKGIAQTRKEAEQFLASREDTVSGGTSWERIAKLVDISGKGQKGGASGSGKERF RELLMSLRKDEKAPGAEGF PFICI_07624 MSYTDDAVLAKLSTLAETQDSIVTVAQWIMFHRRHAERTVQIWL QRLKDSSSHKRLNLVYLANEVCQQSKVRHKEDFLVAFSPVIAEASATAYKGAPSDIQQ RIRRVVDVWKERHVFEEPIQTAIETRIEELDKAKGSTKAGFAGAIFGSSSSLPSELSS LATPQQNVSKLLLSTKTAISGSNQDYDKLMGPSATPPSAPVYAARLNGLLKTLASAEG AVEQCVQARRTLVGELQKILDTNKAALAADEEQLSTLKKRKVEVDDKKRDVEFAIMQG LSDSDSPAPNGPHEPLAPEPEQPEVEALTPPAAGPDEPEDPEEAQWSSEIVPDDKPTQ QGTAQGMELLSNLASHYNSMPTTMNGSKKRRLDSGEEFPDLGGDDGIDADVAEMLRKD ST PFICI_07625 MELTSPTQDTMSQTMAPSFSKFNDLPPELRIKIWQTAMPEARTV VVKSPYAPKDDAPPSLEEALVHTDGPTKTWTSNTPIPALLHVNAEARHEASKRYQLAL SVGQHQPRIYVDFTRDTIFLGSSELKPECSSLWSKTNDMEKIERLAVVPEGAWRVLRW KKIDFDRLQKLTFVHDTEKLKLGPTMELVEDKAQDEAEELVERIEQAQRLPEPEATEA PLENEMKQRMQAARDELETLMMVLPTTWEKEPIISTAVFA PFICI_07626 MTSTNPSLTPTGPITTPLTTLLGIQHPIVLAGMARTSGAALAAA VSNAGGLGVIGGFMYTPDQLREIVREMKTLLRSPDLPFGIDLALPQVGGNARKTNHDY TSGKLDELIDITIDSGAKLFISAVGVPPRGVIDRLHAAGILVMNMVGHPKHAVKALDL GVDFVCAQGGEGGGHTGDVANSVLIPAVVDVARRYRPAALKGSPALVVAAGGIANGRG LASSLMQGAAGVWVGTRFVASAEAGCSEEHKKAVVACDFDETERTLVISGRPLRMRTN EYISKWHAQPSRVKELCDQGIVPIEWDFDQGNEVDPPHLMGQVAGSIAKVQPAGEIVR EMVQEAVEMLKIGRGYLSPEGKSRL PFICI_07627 MAFLEDPRLRQRWNQISHTTEAVTENAAAGIWSFGHQYINPCLS SFGSAFKSCTAPCFGDQEERARRKRAGERAAAEYSFDFYDDWDDDLLGDDSQGGFGGW RNEDWDRLIGGSGRSKKAGPSEVHEQPHGRKRGMSYGTRGARRKSSVTVEDPTIIPST QPIGFLGRLPFKIGGTLRYKPSAANLQEHPGALRHHEGENEPLLSRSDDYHPQAPLLQ NRKRSSTAGSGDTSDSYRSRGDLFPSDGEGEEDAVPLDDEFAVALDRVDDRSSNRTRS SKGKRTESQTIPRSLSRATLDSLTQSRPSSLRLVQNHMVDGEDAMETSTLSLEILRQQ EEQMQREEEEEIQRKRHAATQLAAERGLDHGSSMDYGFVPEAKPELVTVEDVPVPGQA VLDNAENPLHSPTMRADSPQSSAAQVQTSSSPTDDGFVPARLPYFR PFICI_07628 MTAPAQKSGSNIFQQPASNSVTQTSGSNPFAAAQSNKSNPFLGS TSNEPKPSFGSAPSANGNGQAKTGGFKPPPGPKRPNGSATNPSSTQGAPFRKNDHHGR QAERKTQPRGPPSEFANKIFKQLAKDGIKAPAWPKDPNALRQKGAMDDLRQAHRAYRE KARKSLMKANLIDDPDQRRRLDEALPFKGICEEKCPEWEKIQRIVESGLWGPEGYDEN GSRVPVPDKMIKRLARSAAGQEAALPMDVRSPAACRRTLDYLIDELIAVDDRLPTYHH FAWDRSRAIRIDLSMQTPSMSPDEIKDEIYCLETVVRFHATSAHLLARSWFTYKDYSE QQEVEQLSKALMTLKQRYKDCADMGIVCDNEAEFLSYYIVFFGWTSSLKDTVESWGDE LNATEAIQTALCIIESMQNTTMSHGPLKPESPTEVALNAASVFFSIVASPNISYTMAC IAEIHFGVIRQAMLKTIVRSYARPRASPKDITAGFLRQKLRFDTEDDAVAFVEQHNLE FREENGARYLFIDPQTQLSRPRVTQAFSHDIVERKRNERPFTDAIHETIYEDTSATKL NAGTDDDTLFVESPKESMNAVDDVAAAQSDLEDTDTSTDPNAQSNGSANLFGVAPAQS QSPAFGTSHGPSLFSKNGSNFGTTSTPPKTIFGEVGTSTTPPNASMSLFGKASGAPGL ARTPETTDSAKKVTFGETSVKYIESRGDAEKSPSAGLFNFLNTNSSNTAPPVTTPHTN GASWFPTNAANPSAPKNGGGESIFAGSQAPVGSGFKFPGPSEPASKDLFGGTSPFGVQ TPADTIDTSRHQQNPSIFATNSDSQPTTSSSIFSANPLESAPPPATSGMQSSIPAITS SQNMPTTTFGAPGITATAAPKQPSKKQRLDKIAEWFVCADRGLLHDLEEHVVEELLRD VWTKFVEMEEERRKKEEDEKSWEEARKFRIYSLSVKYFYRWVEIFRKRRVVSRIKMEK EKFRKWNAPENVAKREAAEQDAKKRKREAVIGLIQERAREQASRESSLRRSTGSQASV EDALLASGIFNGMRDPKATARQVAMDEDSDMGKMLSPSEMLYKQETRRREKHGLPPLN RLQQPRIYKEGSKSAKLRAQLSGKDAMSISTGSFRNSTLSSSYRSSQGVNSSRVSKTK KSRVSDPYWRLKANGMVQMPNGEYLHESLALPMLREGKRFRGLGDYGLPPSASASPCG SAAADDEFFEDRPSLMLGALGRSRVSPSPSVASNISSKRKRASYHAGDAEDEDLAAYR SEASADVRKRVRSNGSISNEPDLLAQMQTLFSDVQAERKRLESR PFICI_07629 MPNRTISSVGGGNDGGGAGGAGDTGTWIPPELRKYIPNTPARPF DKAVSKHQRQQQEQPEWQCPKPGCRLTFRSEADLTKHQKLMSHWRCFECLTDFPDEEA LSTHFQLTHRQTQDLKCPGCAETFTAASHLVGHIENNRCPRMFPSMLHERRQKLATFN HGLRQLNPMSRGFGLGEGMSHLNLNEKHPPQQMPDEATLASQESYTQQYPELGKELKD YRNGYPAVPDLLTGEPRTKLTIPPAVKIENRWEKGKDQKLFPQATPAVRPSPQELEDL TAATPSTQDIDPNERVINPDDDRFNPAVFYHEILRKFNCAYAPKCKAKFQTSGALIAH LRSQAHRSTVEFTCPRCLRKYKSMAAAMAHVENATRVCNIRETEDFRKFVSHITGGML DGLNSSRDRLTDGTPRYIIPKAFYDSLPPSHKNA PFICI_07630 MENKNTIWSRRPNTSNLSLSTNQEGSTTQARDFSSLSRRNGATS SHGRANPFAATTPSGGLASPIGTGGSNAFALGSGGAFASFGSAKTPKATGNPFDMAMG TIGSSGTKTPSAEKSAKEASRSAGDDSIASDAPALLQQGGIHLLPDSWVFWTRPPIGK AHGYIAYEKTLHPMAKCSSVEEFFAIYKCLKPPSKVPLMTDYHLFRNGIRPIWEDKEN KEGGKWVLRLKKGVADRYWDDILFACIGGQLCDDGSQINGVVLSVRNGEDVISIWTAS TGGKVLKIRESMKSILKCPPNTRFDFKSHDESLTQRATIDEQRRERAANNHHGDKRHN NNNNNDNHNNNRQSHEEQRA PFICI_07631 MSPNIQESELSSRKRSHDQFTEATFKVEDTSVENHLEIKAEDAS GINGALQDIPLVSITKHDANKARSGSPAPSVDSELSSVRSSPSPMQDDLTPSTSPMAP LPTGTAPQSTSAMPPSAAPPAKKKRKTAEEKETEERERAAKKAKKEEEMAVKAKEKEA KDAAKAAKVAEKEEKDRKKKEEEDKKKRAQPSIFGFLKAKPAALGELSPNQQPSKKAV DGSPVRPRAPIVMPITASPSAKEAKPQKSAYDKLFQPFFVKSDVKLAVNPFQMDEETM AAKSRILDEHIRGDRGEVATKPFDPVATFQFSGVPTTRGKQHIAVKKIMAEMSGDTVP SHQSESQQLRLTNAQDQLRSIPVKVLSFFEDVRPAYVGTVTSTPKVHLGKLARRPTGR LLSLNYEYDSEAEWEEEEGEDLDEDDLEDEGEEGEEEMGDFLDDAEDVSATRPAFLTE TEPVSTGICFENRTRLAHNADGEVCPTVYKYRMEFILDSLEHHHSIDPFSTDYWQSKS APGPASTQQSKLTKTAGKNPQAGMAPPPLPGSSPAATSASDQPDWSTLMPKSMFPEFK RAIINKEYNFLTKVGIVDMLSKKFPTVNKGQVKATLDFLAERSSLPGAKKSAKVWVLR PEHALDKD PFICI_07632 MPEEITFAYQPLSAEAETRIIELQPAPDASFPLQCRIAELCLSN KDLTEYEAISYTWGGQVLSECLYVLGDDGGGQSSVIKITANLRDALQRFRRQDRARRI WADAICIDQRDDSDKAKQIPAMAQIFSGASCVLVWLGCWSEGQRSLADIKKAVRFRST SDTEIDAHMLNLERSFLDLVQLPWFSRRWIIQEVTLASDVLMVCGSEEVTFLHLLRVM NGLLRHMKPRSSTTIDTALASLLAISQLWKTWVFDSSQEKGLRLFDLLNSFHDSGCAD DHDRVFALCNLASDCSVVKNLDEARPLKISLVIDYDQPVGSLYKSVVKQMLGLNPDKS WIRNSDDPRLKEIMIAVLERCDGIHRDGIPAWVPDWRLPQKRVAFFKVFKERDGEIHR NLLARALFSGRLEHLMNGRLMGLVTSVFEPFPKHMDMLEIKNYLQILRISFQSCTNDF SRELPNSTRRLNQYFDLHDSPLYNRADHIHRDSAWCLFLAHISLHWLKSMAPGSVSGN MKLHAPASARNLYRLSLGNTDVDTGSEFCLFYCSVFRGRRLFSFKGDSGVRGIFGVGI GPDHMAADTRFEMNDMAVFWYDDDAYTTLLWNSQAEPAHALVGDVWYSVINQGRTDRI IESLQKYEHSLHG PFICI_07633 MKTQHYESVQHEEPPSLSVDSSSTRRDVPAPISPDLKWRPSYLR RRVMGGFCFTFAMVVLALELLLVASHKDNGIATGHYSQHYAWTYGPTAFFTIIAAAFS RVEYQSKLMAPWIRMAKQPTQVDRSLLLDYISQLQLWTIYTSLANRDFVVAASTIVSL LIKLLIVLSTGLITLSAMQIKTDSLPVVVQSQFLDNNTQLLDTNSLPYYVMEYSLAGN LTYPSGMSKEFAFQSVKSDAGQIQITVEGLTNSLTCEPANLTVENAGFFMVGRDWGRT TLNITIRSPSCVMNVEFQGPSPPMANGTGPPRFFGRFLHGQCDGTSDDSGKRVVVLLG HMTDMDLDSSTQVLCSPSYKIIHVDLVQNASHIESVTPSSGDWSRTLSHVSAWSIMQG HLNAYQNQIVFGESDPYVEVGQLQISVDTYTESIYRSQLDPSVTVSLLSDTNMLEKIA SDYYQQFGAIIARTSLMETTPMDAFAKGIINENRLVVQDWAVHWMAGLAATSFVLVFV IMLTIPGHGVLPCNPSTFPGMAAIMSHSDHLSTTLQGHGDADKKALHRALQRMNFQSE ATKTTETDRPQFAILDTSTPTTDDNSKVQQSISVHPYPWALHHASRLALCLTLVAIII ALELVLKKSNHGRGLGDVSDEIYMHYLWTLLPGLLFGTISMVFSSIDSTVRAVVPYTM LRNLVRTDVFMVLDFLDMSVPHAIYHESRLRHLGALATTATVLVASFFTIISASLYQA ISIQSESPTTLRATDSFPHMLVESQWDKTNDTSFKNGVQTASMILVGNQSYPKFTYEG LAFPHYVPETQTAGSEVSNLSTLLFNAVVPAIQQSLVCRQYDSAQMNSTRQLINSVDY FSINITDEICDLNFFEPDRGFVNILSNMSYFGVGSPSYPMGSCSDFVFIWGYLSQSHP QNPQLLHTSGLGCNKSYEVVDVNTSFVSTDLIIDTQRPPTPLKETRRNSSASFGWSTF VGTYGHLLHMISSTNDSLDPFFSLLTTSQWAIPVSMLGDPTKNDIVAEAIRFQDGIIW TQMLNANRNPADMTNATLTDPPPNVQDGNDARSYAATLTDSNVRVVQDTTSTRILEAL LLVALSLQILSWVFIPKANVLPNRSPTTIANMVALLAGGNVLELLPADCQSMSTDEIK KSLSRRKFWMGWGNMPDVEGLMSGNENENGVSRFGIFAVDPDEKLPKESRGRKWFRL PFICI_07634 MSESRTRNRIIACVDGTWFNADGQEGQGQGNNSNISRINWSIKN KTFRDADGCEVKQTVKYFYGIGVGQSVPAKLNAGITGKGCDEQIHAVHEFCVNHAEGP NDEFWFFGFSRGAYVVRAVAKLLSGGVVKRFEDSSERKRWKNMILGRRKNKNNGERFE FSRTHSSYVPVIKFLGLFDTVEMTTLNYDITIPGGCIETIRHAMALNEHRLLRPLSMV ENPGVALQETQSTIQAWFIGCHEDMGGGALHDGLSLYPLQWILQEARARGLVLEHPNH LPQDVDDPLDLVFPVPDENTARAVGPALGSMFWHFRYSNGFTIPMHDLRAVHREVDLA DQSRKLKKRAALSRAATHDIRLNPGRFDSMEFGKRAIFVKNGELGELVGYSGSSRSGT IIHPAVYFLMDAYYTRSLAASLKGIQSHLEVFRENASLKAVSEDGTASLDTYPWIKDF TPSVAYPSCRILICGNNGVGKSTLLNRVFGLPMSAISHGKAGVHDIEEGFQDANHPGI IIHDSEGFQAGGTKEVKAFNKFLADRCGQTKPEEKLHAIWFCIESGSSRPVQTVMANV LAAVAKAAPNIPIIIVCTKKDEYLTKHDVGLSWDEVKSICRDTVDEASPLMRRQREIL DLRQKAIIEIIEGGEAGKSWKQLQDVHFQFVFAGVGSGDASLSQLYDARSIRGLIQTT VAAIGHTFVTDGMIAAQIQDLEAKIDLAIEKTLQFLRRAIINSTAGAGLVFSSAIGTP TIARLLCNEIVTNCYGIPEYMANKVEGLLNKIVGRNTLIFLAQSLGQSSLIWGGVVTM TLFTLFGGIPLALGAPLLEAPPAARMVLKCACDLIIILDRAFRLDGANKFVSYDKIRT VTLGYVTATGSRDDGGPTRSRRSMVHSAVNELIPLISDISMKGFKEKNVTRYRSGVKE TIMKHRYSDADSRSIASETNEEQRLSSVETLEEDQEEEVQFGKVITNSEGGK PFICI_07635 MDFLQRLARFIDRPLFPWKKVIIGFSIGQYLFEEFLSYRQYQVL KKSKPPKVLEAEISQETYDKSQEYGRAKAKFGFIKGLYGQIQNIAFIHFDVLPKLWSW TGQLLLSIAPARFTGEISHSIVFILSFIMIQQVLSLPTSIYHTFVLEEKFGFNKQTPK LFVTDMIKSNILAFVLAPPILAGFLSIVKKTGNQFFYYLWLFVAGLQVFMITVYPIAI LPLFNKLSPLEEGKLKNNVEDLAKKLNFPLHELFVIDGSKRSAHSNAYFFGLPWKKHI VIYDTLIEKSKEEEVTAVLAHELGHWSLGHTTRLFGISQVHVFYIFLLFSIFINNASL YADFGFVKEHPIIIGFLLFSDALAPMDLIIKLGMNIISRRFEFQADEFARNLGYKKEL AASLIKLQIQNLSTMDADWMYASYHFSHPILSERLKALEWVPTERVAEEKSEAEKASG REL PFICI_07636 MAKKGKEKAPAAAPPGTAGTGSAGANSSKKSSKQKAPAPKEDDF IVFTNSDKEPKARKKQNAGSSGNTDPADGPPGPPKPTVKQIVGGASWTGKLPVNLLSE HCQKQKWEKPSYDNDKDTDGFCCFVTLSAKHPKTQEMQTLPPFKIPASHKHLITKPTA LEARHAAATYALFRVCSMKNIHTTLPPDYKSLWKEFEVLKKEDVKKDLAWQYAADPFV TMKEREDAKAAAEKKRKEQEAIKEKAKNMPGAAGLVLRSNAANSSGGGGSNPMKGWTT VPKIEMGRKTRSQLEDLLRREVVWNPYGVKMSASQKEAIVKEFTNSGFRKSHVEEAVD ECKDREESLEWLLIHVPEDDLPKWALPESYSAGVSVAATSDLKREAAIKRLSQSGYSV ELCRKVYDENGGDEGLTAVALQQILLTSGDGQTEIENAEEPLILGTPDECWTDETESL ESVFGEHYKRISDDICQIRIDSVANGPKKDIETYVQIRKSPDYPTDVIVSIVSDLPSY IKLSIVKKTLAYMKESLGGEPMKVYFLVDWVQQNINDIIQRPGKLKDISGVTSTASEV RNVVGKMKKIARHPAPLKWIVDASNKEEWQARQADPSWKQMLSQRQKLPAWQVRENLV QTVSQNQVTIIAGETGSGKSTQSVQFILDDLYSKGYGRAANIICTQPRRISALGLADR VSDERCTKLGAEVGYSIRGENKVSKQTKITFVTTGVLLRRLQTSGGRTEDVAASLADV SHVVIDEVHERSLDTDFLLSIIRDVLRRRKDLKLVLMSATLDAATFKNYFTSEGLQVG LVEIAGRTFPVEDYYLDDIIHMTNFTISGSRYDDYEDDSKGTAQDPVNKIIQKLGSRI NYNLLVETAKAIDTQLHQTGKQGGILIFLPGVAEINRTVRELQAVSSLHVLPLHASLD TRDQKKVFAKPPPGKRKVVVATNVAETSITIDDIVAVIDTGKVKETSFDPQNNMRKLE ETFASRAACKQRRGRAGRVQAGNCYKLYTRNLEQQMAERPDPEIRRVPLEQLCLSVRA MGTRDVAGFLGRTPTPPESTAVEGAMKLLQRMGALDGDELTALGQQLAMIPADLRCGK LMVYGAIFGCLEESIWIAAILSTKSPFLSPPDRREEAREARKRFSNGDGDLLTDLRAV QHWNSMMEDRIPQRQVRNFCDDNFLSYHTLSDIATTRTQYYAALTEIGIVPPSAISDA RRSGQSTASTAIVRALTASAFTPQIARIQFPDKKFAASVTGAVELDPEAKTIKYFTQE NGRVFIHPSSMLFDSQGFSGNASFVSYFTMMATSKIFVRDLTPFNAFTLLLFSGAIEL DTLGRGLVVDGWLRLRGWARIGVLVSRLRGMVDNVIALKVNDPQAGVQDNEVIKMVTK LIELDGLDA PFICI_07637 MPTTDSDNEDAITKEEIAGEASAPPPTKAGLTKTTTKSNACMSL CFPVLAMNTKIFSVTELMRPKPKVPAQSKTSSSKASTAGHSFKNRAGLGLYTHDPAAF PSSRVIYYDNDFVVINDLYPKSAVHTLLLPRSPTHSQQHPFEAFEDAEFLAKVQAEAT KLRKLVAKELQRQFGKYSAADKLREAVLSGQVELQDGEDLPAGRDWEREVKVGIHAHP SMSDLHVHVISRDMSSDCMRHRKHYNSFNTPFLVDVADFPLAEDDPRRHPGRAGYLNS DLVCWRCGQNFGNKFKQLKDHLAEEFEQWKRE PFICI_07638 MAPSRTRARPTGREQRATAKKDEPITAAESGVAAGGEKPKRGRG RPPKNGVSKVVKVPSGRPRGRPPKDPNAPPKPKPAAATTAATGTGRRGRPRKSDAAAT PKKSATPKKAASATKPAGSGRRGRPRKSEPIEEPEDSGAEEIVEGAESPEEDGGESLK PMLRLQSQSLRRDWRRDSSPQRDSSHPLDAGIDTGAEADVEVGDALDGEEVPAAEEEE DDDEDAVAEDDDDAEVMLKSMIRPLAAF PFICI_07639 MVDTKYSDRSIPKISLHDFESRIDEITSQLVAAAETDGFFAIVN HGIPVEEVEAQFDAAERFFSLPDDVKATVPFSHKNTGWEKKAQIRPSTGVADQKESYQ MQFGELMDGMWLSEDTIPGFKEQSLGFMRKVQKVSEKLMVCFARGLGFPDDYFIKVHD IARPNIQTVLRALHYFAVDPTVPTPDGYCRAGAHADWDFLTLLFQRPGQSGLEICPGR EAFTAFGLGDTWTKVEPAAGEIVCNIGDLLMSWSDDRFKSTFHRVKTPTNPEVDYYGP RYSMAYFNQPNTDCQIQGPLKKYPVVTGTQFTQAAMKRNFAALEAKKAANKLEEMKGS VAQAV PFICI_07640 MYGTFHRLRFRDVVDDICETLTGICVIDLDHPLFERDISDAEES PVYSPESMASVDLRSSVIRSSASTPSLRSRDGALPSHMKMDGGGNVKVVVRVRAFLPR EIERGAQCLISMDPIMQSTTLHVPNDSDPANSKARTRKVVEEKNFTFDNSFWSHDKGD RHYAHQEDVYNVLGEEFLDHNFEGYHTCIFAYGQTGSGKSYTMMGTPDQPGLIPRTCE DLFQRIESAQQETPNISYHVRASYFEVYNEHVRDLLVPVVPNQAPYYLKIRESPAEGP YVKDLTEVPVRSLNEILRYMKMGDSSRTTASTKMNDTSSRSHAVFTIMLKQIHHDMDT DETTERSSRIRLVDLAGSERAKSTEATGARLREGSNINKSLTTLGRVIAALADPKHGR GKRKGDIVPYRDSILTWLLKDSLGGNSKTAMIACIAPSDYEETLSTLRYADQAKRIRT RAVVNQDQISTAERDAQIAAMAEEIRLLQQSVADTRRREKDQKDSEEKLEEYQNRVAA MQRMMEERSLVAEGKIRSLQTENEALRLHLKLALDSLKNPIPEVVINTNDPLKAVGVD KENANPPDLGEKQADSETGNESDEYYDDDDDDYIPEVHEERANEMQDYMHELLKDLSL FKRKICDDKGRFVEDNLRNPLGVRVNM PFICI_07641 MALANDTLQELIVSYNELNSSVIEELDEEPSPLEFMRFVAKNTP FVIRNGAKDWPATKQWNVEYLREALRGHQVNVAITPYGNADAPTLYADDNTVFAKPHE EDQPFEDFIDYLTKQELESPIDPVEVRYAQTQNDNLRHEYSSLFSETLRSIPFARIAL EKEPDAINMWVGNSFSTTAMHRDNYENIYVQIAGQKHFVLLPALCQPCINEQDLRPAI YVRDGDKLELKLEDGPHVPFAVWDPDRSHANTTRYSHLAQPMRVTLNPGDMLYLPAMW YHKVSQSCSEEGLCVAVNYWYDMDFSGPLYPLTSFVRDTARQT PFICI_07642 MIWYLLYPFRGTTEAPVLSPTHPLRDAFTRYGRYAARHVITTLL ISVTVAAVLIYPFPFLYTTDFTNGASNLPHHVWTDAQPLEEKSGIEPDVMMRYIWVHG DYMRALDRDVLMGALELQNELLGPTIDFSPRQLPLPLETIDFSHTTALDLNPRQRDTF HIINGLTDESWFFHSPLLYWSCDMNKVETDPDIISTVNNKKTQHTSVNVTLRHSIVFS GKRFEDRRLAAADALVITLIHRRNSPVGRIWQAKSEELARKMADKWDVYPSDGTSYRS QIYEFQFRPMSLHDGLALGAAYLLTTAYFVVSLTKLRAVKSKIGLVVTVMAQLVLSIL SSFTLCAIFKIDLSKIPQLAYPVAIFSMSLENIFRLINAVILTPSESNTSNRIGHAFG TTSHVALASVGQNLLILWGLSYIVSPGVQAFCSFAAIAIIFDFFYLSTFFLSVLSVDV RRTELSDALAKASIRNHRTPAEAKARQTWLDALLQGKIAMSTRIAGTIVMVGFVLIAQ WHFFENESLLRTLGRITMITRKYKDHTPKSSLLVDIHQARSPTSWLRLQDHETAREVI NVVKPEAHSYVARVFDPIIFVKKGADRMLSTKEPPFLPAVYDFVRHQTLPFVVTVLVI GALVRILMNYLLYDEMSENTIMLHGSEEEPLLTVKTLGQGHLLDIVALCASSDGHVVS VGLDRTIRVWDVKAGGSYDLQGSETAQIVFPILAMCIDDESRWLALLMTKTVLLWDLQ ECQWGPTISVADHGHRPEAFFFAAQEVEGVHPVILLRRNGIMTEIKPEQLATVSYEIS EGALVSVGALIEKAKSTTKIITSFRNGQVHCLSQEASGWNCNTVDIPRPRDKEFMSLV ALPELGFILVVRVQSIDLVDATSYCAIHSFKTDPIQPKTLKCFHSKRRTMRCGSVGLK YLTFAYLNAFTRDLVVQTYTPQDEGASICFREPGQPVSKTCCRWPETKERRSVVKDPG TWEALPSRILLGVRKKPSPKARTENGSAHQNGNGELRRRRPSHTPKPISPVKMEDMWE IWMLSQADNKESWDTLPLCRETDDEHLFVTKTGPMVRVGRGSVAIGLSNVIKVILVGN ERFEGSEEISALEDGLGTIMSRRRNKPSTLRGRPAALLK PFICI_07643 MCKYYAHAFSCKHMSFTFARFCNPASFTQTPCDKRTIWHTIGLE EACDECQIWCPDKCPPPPPVVKVRRGGGGGVKMRRS PFICI_07644 MASSEVDQKFLGRLAKAVEPSNALLSSMLYKILGLSINLSNHLL KARKQRRLDTTRMTQSLELYLHIIWLSREGLVMLEQYVLPMVGNYIELRVLAYKLRAS FYHIFVLFHNNPPVSTMTPEVLTAAALSTLSSTRPDKGKGVARDDDLPETARSSLQPT HNLEGGPVGPPPGFGPPAPEAIASFLLPHVDYLPTAHGYFKQAVELADKHLWGSHSLR LSVKTEYAAFLYECVHDVEGSRRLAKRTIAEVYDAQEGMDDDMFNDACELVTVLGKMM KRGLGSGHPSRSRGPSPAPIAPSRSDSVATAIPPVGMQNPI PFICI_07645 MADDDKDNARDASADPIRDNSQWTTDQDGAICKLKKDGLTWAAI AMSVGRKKNLVRQRFQFIRSQIEAAGLDTDSIGENWAEDMRRQGQEIPSPEKPIASSP PDEVVVVAEENKEDDQEQNKKIASPLQTAIDLTQALDECVKVVKQQAKQPVHARGGGG KKKKKKNNNKSSTSATPESGQIKLSGRVIERNGVRFAELANSSDSDSDSSGIGSTDSD DDFDHEAEREEQKRFVYHDYWSELYPEQKTYSPDRHWTEGDCKVLAVIEAKDEALKFK RMQADFFNATGRMVSEEVIKYKMQQAK PFICI_07646 MQQRLISVEEITKHSSVDDLWLVVDGTVYDVTAFAPNHPGGVNI LLQYAGRDATKAYSEVHSSSLIKTTLPSACHVGRLDTNTITESWSTPPSKSTSPQDKG EILKPPLDSLICAHDFELAAEKSFTPKAWAFASSAATDCYTRDRNREAYRDIILRPRI LRNVKDVDRSTSMLGFKMKSPIFCSATSMGKMFHPQGEREIGRACKSLGIPQMVSTSA SYPFSEIMDAHEQVELASHEETPVFFQLYMNKDRKKSEEQLKQVTERGAKAIFLTVDA PVTGKREADERIKADESVASPMTGLQAKNDKKGGALGRIMGSFIDPTTVWDDIKWIRR CAPGVPVVLKGVQTAADAVKAMHAGADGILLSNHGGRSLDTSPATILVLLELQKCCPQ VFDHLEVYVDGGVSRGTDIFKALCLGAKAVGIGRGFLYGLNYGKEGIQHYIDILNDEL ETTMRMCGVTSLDQVHPGLLHTGAVDHLIPSGEDHPYARWHPKVKSRL PFICI_07647 MATLQALPENYAAGLRLIDEAHATDPRTTAGQGGSDPMAYELHY AQKMTKWLAARCPDASPTLQLACRAQHFKRWEIPRSSYPMTRPGYLTWRTKQKAQAAQ QVAELLQSPSITPALATEDVERVAALIRKENLKNDEETQVLEDTACLVFLDDQFDDFE KRDEIDEEKMIGILRKTWGKMSPKGQELALGMQLSDRAKSLIGKALAG PFICI_07648 MASISRLTILGCVAAVARAQFVKRGTETERWEPARETDGIMNLD PMGWTPKPTSAPEANAVEKELRKRDGTSTCGYYSGYSSSAAVVCQGSAQCIVNDWDAV MGCCASADINDCNIYTSCLPSRSAASFSGTYSDYTLFCTESDYPNCVTYSYDSYDPLY AGYSALGCGYAAGVYSVEYYAPILGSALTGSSTTRTTHTSSKTRSSATSGDDSLPGST SASSSSMSTTSSGSTSTSTAAAAASSSSGGSTGAIVGGVVGGIAGLGLLALGIFFLIR QHNKNKQQQQPPPPAQNNGYFPPPQQPSPGPHDSMYGSGQQMAQASPQQFAGYPQAAY MPGQDPRASMAPSTYYDPHSPKPSQYDSMMTGSVSPTGSPPPPAHTPPLAAGVHQPQQ YQAYNPAQAGQYAHYNQPYGQVAELPASRPDGELRELA PFICI_07649 MAYHPHGGGGGGGSGPSSSSSFAMPFSQGRSRNSPQPSSSTTRG HGGMPDFGHFQPSPMLGLQDGQFDIFEWYPQFQSCLRYFLDHAQFSGPVQAVAAFVNI QLPYQRSHHLALSSRSGSSPSAIPSPSPRAGGTIPLSSSSGSLATLTPYIRRLVATGF DFPGVLHGFFGDDWVAGVGQMHEVERRNYLFAAKSACWLDVKRHYDEGEGQTVPFLRP LQHSTEEEIANADSHWSEWLAMQDWLIGPRSLDNLEGSGTSNSPPRFKREPPDDRHGR YHVVD PFICI_07650 MDIDMDMDIDMSTSLEQAGAGPALDQKHSIDEWEKFIALCILKR LDPEPFETYVTVLHSRHPLPPAAVADVFLKPQPTNRENLDPRVPRYVQILSEKRFIDT PSILKALYKHSTSHTQAQAVGHADPEVAQATPETRWKNSYGQEETIFYRLTKSVALGT GIKSFGDAIAVCKVVAKWMSLFTSAAAAFAQDIMGQAHSLQAKDDMEASRAAFVMMLL GVCENQTVLRALSGPSAKEARKALSESLSSFIPSIMQSGASQIAERLESFRTGTLASF EPLDKKNEAAKAEFDDLIDSTLGFDNLAVSELPVMNTRAGLYIYLNAALVGRPLIDDA FLYGYLQNRYNGDYQSCFIDLILASFDVLANAVFRSEGSRTAHLLRSYLINKLPVLLA NLAQSLSTLFPPVTPQFCITTALSQVDTNAFPTLSAMFDISSSNNTFTDSVRQEFCWA CCLHGLIPESAIEVLLGEITYQSLPEGGKWVKEKLVNECMSDSERIQGLVNELDKMDG NVGAVCQALAEVITRLCASKETITLKQLCSQLAKKPLSLDVILLFEKPITILHPLCEL LDNWRYDEEQGEYQPVYEEFGSILLLVLAFVHRYNLDIADLGTRASDSFTAKLLSSGH LPRQYEDLSEQEKNQLGGWIQGLFDPDSGGLGDELMSSCTPQDFHLLISSLFYQTVLA FSTDRLTEDSLKSGVEYLVDTFLLPSLVMALTFLANHLRTSRAAEPKAVIRILQLILL TKQGSNEAQTMLSAVITIVAKPLEHALRSHQRHDPKNQDIEPLLKAIRDNTQVPPRTA GADSKELEAWTGTANGGLAASVRHTIQGLIQWSLHPGLNVMPTSYTHRQMIAALKMLG PRQLLRLILDEVKQSYSEAGSASYAYDIAMALVCAPDVTNQPPAPPPALMNDPNQQAS SIQKRVALRQMLRWEAEDCKKIQKVDPALAEHIVRLHRKVEAQMHIVIQPAPAMMQTD LSLGLDENAAASLDDALAAAQGDVMVANDTGMDLDLGPDPSGMGLDMGGADGGLGLGD DDIFGSLGPVGGGADLLDGWDTML PFICI_07651 MFTDLVATIQEKWLVLATALTLAYVATKVRAYLRLRHIPGPPGS GFTDIAHIRACLGEHAYQWYTSVSDTYGPFARIGPNIVLTSSAEFWESANAKPGYKRS EWFYKAARFDWPHDNVFTSIDVDKHDAKRKMMAPGYSGRENLTLEADVDSCVAKLLQL VGSYTDDKHTGNGGVFDLGKKLQFMTLDVIGQVGFGKSFGLLDIDDDPDEFVRSTELG LRRCNQIMSLGISWINNILVLWSAATADPSKQTGFEKMLNISTNIVEGREREFRQNLQ SKAGGVNERADMLASFMKNGLLGQELKTEALLQIVAGSDTTAGALRGTLLYLLTHPRV WRALQEEADAAVRNGTVPVAGSGDVISYAQTKNLPYLQAVVREGIRIWPPLTDPFARD VQPEGDEVVVDGKKIFLPGGTSVVPSWVSMHRDKSLYGEDVDVFRPERWLESEQPDKE RLRAMRRTNDLMFGHGKFSCLGKPVAMIEIHKTVFELVRNFDMGLINPAKPWKSASLI GLWVISDMYVHASRRTPAGV PFICI_07652 MSSNYQPVGIHASNRHLRDEHYSVSDITDTDHVQLHDLPPYAYG QETKTIPMTAAQAGERQQQKQQRQRRARNSRLWMWELLASAFSLLCIAIVVIVLKYED GKRLDGWALMIGPNAVISFITTLAKSSCLLVLAEVIGQLRWIYFAGAPRVLNDLHLFD LASRGPWGALELMFRMKSAALLASCASLLTIAALVIDPFAQLVLTFPTRPTATPVQVA SINIAQVYDAGTEITANHGPPYPVAQNAPFQLQSAVISAAFGVAPAFDLNCPTSNCTY PSFSTLGVCSTCEDVTASTSTACGLDESNYTTICNTTVPYQLLPESNFTWHMATDAAG NYADLWNSSTMSVTPEYAGTNTSFGTPAILAAFTSFKLNRELFSSTASDRPSNPSSVN QCMFAYCLKTYSDVRVLNGETQFGSINESIMEVSSQEWFLDPPESQGSPYFTMQASVG GQVSGPNYTMNYWDHLNLGQYMRDVLNSSVYLFTNTIAPGDGGHMAPSFGLAMYNADN LTDMVHDIADSMTNSMRNSQSNVTTVNGTALVLETFIHIEWKWLALPIAVSVLSFVLL VTVMIISKSHNVEGESFGRLAQPCYCLEIVQYAALILRFRGMGSRIYPWVQRCQRTEE NIQEHEGTFIFGK PFICI_07653 MSAPATIKEAVKESLLGSEDPANLSAKSRATFLSLANKDAETGE MFMTEEQFIDAVAPADEDYGKIKREQYGLLFHVADRKRRGRVSIADWAAFNNLLFKAD AEYEIAFRLFDVERTGAVTYDNFRRLYELNKGADSIPFDWESQWAKLYIGDKTRRHNL TYHEFSQMLRGLQGERIRQAFLRFDKDGDGYIEPEDFERIIRETSKHKLSDHLLENLS TLCNISLGSRVSYANVRAFQNMIKEMDLVELIIRKACQKSTDGKITKAEFLNEAAKIT RFSLFTPMEADILFHFASLDEPSGRLSLMDFAKVLDPSWRNRGFVEESEAGGQAKTAA GAALRQAAESGYHFLLGSVAGAFGAFMVYPIDLVKTRMQNQRGANPGQRLYNNSIDCF RKVIANEGFKGLYSGVLPQLVGVAPEKAIKLTVNDLVRNAFTDKKTGNIWVGSEILAG GTAGACQVVFTNPLEIVKIRLQVQGEVAKAAGAERRSAMWIVKNLGLAGLYKGASACL LRDVPFSAIYFPTYSHLKKDLFGESPTKKLGVVQLLTAGAIAGMPAAYLTTPCDVIKT RLQVEARKGDTNYTGLRHAAQTIMKEEGFRAFFKGGPARIFRSSPQFGFTLASYEVLQ SMFPFPGSKKSEQVHTGVAEVISSIKEKDVASPHARSRNALKIILDLDENFGRVKVPD QKAWKKVPSFLGGAQA PFICI_07654 MAPKRAVFADKSNTLRTTTSFKDESKVPDVKVQVVKALENPIPA KATQKDAFLRPAQRPSSKGNTFLPSAQNNGYIQPLTGVVSNAPSQAATKLPKRASTSI YNDNDDSNNSNNNDSRPTTAESGTVVTHHIEAADAVRTTSTIFPRKPRHYQSQPALKS MQQKQQQVVSLLDTDINNLAHWEPLPAAKNIIEDDVAQTPYLDAVEELSPEQCPILQP RKVDSSLSIRDPESEPESRSESVIHNINYQDECLISAADLLEHELSDHEDEDFYDIDQ GYTTAHTYRSTDDAVHPDVGDLLEAEAEPSVTMTVMDAPKFSKQTLDEIEAARVHVEK NRTTEEVEDERWDISMVAEYGDEIFEYMKEMEIALLPSAHYMDIQTEIQWSMRSVLMD WVIQVHGRFNLLPETLFLAVNFIDRFLSVKVVSLGKLQLVGATALFLAAKYEEINCPS VQEIVYMVENSYSVDEILKAERYMLSMLNFDLGFPGPMSFLRRISKADDYDLETRTLA KYFLEVTIMDERFVACPPSFLAAGSHCLSRLILEKGKWTTEHVHYSGYTFAQLQPMIS MILECCTIAKKHHQAVFEKYSDKRYKRCAAYVESQLKSGFYLEAPPQSMVPAPNGRYE SLPPTWGPSTVPYERSYMRMPIPLQG PFICI_07655 MGSESPVRPAALSKHVAFELLFPESPHYKARLPLRVQIYPHDST ESIVTTVKNFYGLYSGPTGGKGISFEDDRGNTLIAGYENFHNNMVVYVRIIEDSPQSA VPFLSGYPAATVAGRSFGSNDFSGQSTQHFDQHHSRPASRTSRKRSVSPNGERGRRST SIGPNPTAGKKGRSRSSKTRGPNYENHSDSLNGYSSGDNDSPSVSSKAKEPIGNTDIS LENIVEGGRRKRAKFESSELPLFAPPQMPAATSNPSVSPARRTDPHRAAIPFAHPGQN PFTNPRPLQSPQHYGHGGYSHFGMYATPSTDSRRHRNSIGYPPGILPTPDPTIGSNMS EEDKDVALQLMRLGDMSNVSHGRTSASTLDDTFSGRADAASSTGATSDGESDSGDDLP PTRRQKVETFASSARVYQTTESHFKAQGETSGDDADYEDGNDDSHLVNGVKAKLEKPR LPSLKTGTAKPKSKAPKSQSGPKVKKSNSISTSGPMSPTSLPAQSRKPSIVGPGLMPG QPGEDDQPDLSTKPRCQRCRKSKKGCDRQRPCGRCRDAGLSADQCVSEDEGNGRKGRY GRHMGVPVKTVETVPQPTLLPAAPITAPMPPPLGDKNKKRKR PFICI_07656 MCRFLLYRGSDEILLSKLILDPTHSILKQSFDSRLRLDTRRGQN NADGFGIGWYTDPKLGEAPCLFTSIIPAWNCTNLHRLATKTASRLIFAHVRATTEGSL SEDNCHPFTHGSLMWMHNGGLGGWKYIKRRLGEKLHDKWYLSVQGGTDSEWAFALFLD RLERLGVDPSSSPAEGFSPATLRKALLQTIEEINELTTSIPESLIQSENIDTRSLLNF AVTDGHSVICTRYVSSKTDEAASLYYSSGTQWESKSTPTPNSSSGHDYQMQRRDKGAD IVLVASEPLTFERENWVNVPTNSILTIHHQSVMVHPIEDGFLSRDPYHTRSSAFATTK GLTTNEKSAPRVPESGLATPGLESEPEPELQKRSFAPTIPTNGRSRAKTAEDDNLLVP VVPYARSPLGAGSSLSADGRPRTKSSGARPDLGSKQISQGNIKKKRRTLMTGEWPHPT EILDSEGQQLIIPDSPPLRGTEYGNNEKAARILGLTI PFICI_07657 MESYEALLARIQAKRVQDGRMSVGFAAYSDSDMFKTNTSTKPLA KDFSAHFSAESSLRTHSVLKQAAAKHLKTPGLVSLGGGLPSSEYFPFSEISLRIPDPS TDSCAPDHDVTISKHDSRIGASEYDLSLALNYGQPMGSPQMLRWITEHTEMLFNPPYA DWRACQTVGNTGALEQAIRLLCDRERGDSILMEEYSFSTAIETIAPQGIKMFGVKMDA EGLLPDSMDQMLRNWDAKTRGARKPHVLYTIPTGQNPTGTTMSLERRQAVYAVCQEHD IFIIEDDPYYFLQMQDQFDGRGIDDDDKSAQKYFENLVPTFLSLDTDGRVLRMDSFSK VVVPGARLGWVTASAQVIERFLAHAEVANQGPGGFSQLMLWKLLDETWGHDGYMKWLC QMSKHYQRRRDVLLNACEEFLPRDIVTWDVPQAGMFIWIKVQHQKCSNTIESTAIELE EKIFDHAIANGVLCARGSWFRVDSQKPLQEIFFRITFASAEEETMKVAIQRLSAAIRQ SFGTEK PFICI_07658 MESSHDLGYSEADLLNSLQTTSLGYHSSITTEELENLQNLLVDE NVLPTEHDVQYNSLSDYPSQPLEMIAPWQAHAPLVESQAIPVPALASNQTLPRRRSRY LRNGSARNASSEPVTPAQSSLNPMQRWQESPPETEGASLLAIAGALRNSPRHTRSAER LKTKRWSVATSDTSLDSADTGCSSQSIASSRSTTSRREKPRSRHASRARTTLNRSKSG AQNGRIFQCTFCCDTFKNKYDWSRHEKSLHLSVERWICTPFGGAIVSPLTGQNHCVYC NLPDVSQEHLVKTHAHGSCEGENQVREFGRRDHLVQHLRQIHKIDAAPACIDDWKVDA PPIACRCGFCNTQLNSWKERADHLARHFRDGSTMDDWQGDHGFEKPIASQVNNAIPPY LIGAESRSLVPFSATSSRTKDHLHQIMKSHDEWAAELGGGESHQSNPIPNQEQVDETG AQQSESAVSKNFVHFLTSGLARFARQQMSLGVVPSDEMFQNESRRLVYGSQDGWDQTI ADNNQWLSTFRSTHLGPNDDSQGSGHGNMT PFICI_07659 MDDNSETKSLVQHDTHRPRIGFLSLPLEMRWEIYTYLLFLVDPI NTAGHSHPSNPKVYPQILSVCRQTHWEAHEMLYRLNTFLAHPSLLTSFPRLREDYSPV VSSAMASLVRRAVLRVRLDIKPGFTRAAAAESLSGLEHLEVEVWQADYRCAGREVLEL LEDVRGCRSAVVSGSTGGFQCYARWLEETMMKPLGAPHEDYDGEVGDVSPVSPLRD PFICI_07660 MQFLVFLSGVFGWVYTFCWSASFYSQPLLSYRRKSTSGTTVDFP LINTFGFCAYLTSNLAFYYSPVIRHQYALRHGEGHVPTVAFNDVIFALHAVIVCTITT SQYMLPSLWGFERAPGTRPSRLILGVATGSLLTVAGMIALVAGQDPSSAADPRHSWAW LDVVYAISYVKLFVTLIKYAPQLYYNAQNQSTKGWSIWQILLDFAGGILSIAQQGIDS YLQGDWTGITGNPVKFALGNVSMLYDICFMTQHYVLYRGSNGAKGEREALLERGEDER RLD PFICI_07661 MSVEKSDSPAEVEAAEKSDDSVEGPSWTEAEETALRHKLDWQIV PTVTILYLLCFLDRANIGNARIQGMDKELDLSGYRFNWALSIFYIVYLCFEVPSNIIL KKVGPRYFIPGLVVGFGFISMCTAFIKTFEQLCAMRALLGIFEGGAMPGIAFFLSSFY KRKELYFRVGIYVSAASMAGAFGGLLATGLTRIPTWGIASTRIGTWRNIFFFEGIITM IAGILAPIILPQSPSSSKRLTPREQWIAEERLRREHRSDSNENVKPKHVKRAVLNIMN YICAGGFFLINVTVQGVSVFMPTLLAELGWTSTKAQLYSVPPYVLASLVAIGIAFVSD KTARRGIYLAGFTLIGIVGFSILRWSDNANLKYMSIYFVTIGAFPGGPGFLAWGLNNS AGPAVRAVSGGYIVSIGTAGGILAVWAYLARDGPDFHIGHTINLVAQFLVLGLSLSGI AYCKWENRLRARGGRDYRLEGLTEQEQADLGYRHPEFRYIA PFICI_07662 MSSSLTYEAHRYGKDHELQRVGVWTLPDTGSQSKEPWIIYIHGG AWRDFRIDHKTFGPTIDATSSSPAFAGFASVDYRLSPHPSFPQDPATTPAEEYRNARH PDHICDVWSALAFLQGRYGFGSNYVLVGHSAGATLALQLLMGATALRGSAPPADAALP RAIVGLEGIYDLQGLVDRLGPAYGELFEGAFGGPETWAHVSPLRFQGDFGGRGWKAGE LVVLGWGPQDELIDEPEIDGMARRLEKDKVRMLVLKDLEGTHDGMWEDGRPFADVVLK TLAELKR PFICI_07663 MATATTTTNAKPAQFNLGPLTTPFVAPSYCNDVISKCDNCTYGW LAQTCDGDGRKSQIDDSACWPPTAFYARSTSQPFAGWGFYSPGTICPSGYTPSCSSTA GQADPQAFDFQFSLSLLETAVGCCPTGFTCTHTWDSNIQTCLAILSSTSIRTGICNGQ GATSVAYMYKTLPSTISTTVSLSDGTDFITSSSVIDKYTVYAPLFQLVHKSSDLTGSP TVSTATILPTSTSNTTGGDSSQDATDRIPTSVAVGIGIGGTSGFIILLVLAYYTLRWK RKRDANSNSQIYPPPKSDSNVEGLLSTDFTLTYLELSGQARSELSAQTPGSRELSGHS RPVELANWPQR PFICI_07664 MAAQDPSLSTESNGGPLVSTAAAFLALSWVSVLLRTYVRAIMLK GFQADDWFMVIAQINFTISCIFIFKGAYYGIGRHNKSLDQLDEIEGLKYQALATATYV ANMMFIKLSIAIFLLRLATQRRYKFTIYGSIFVVSIWSIVLFFWNLFQCHPVEAQWDY RILDNDKTAFCVSADQIVDAAYSLSVMSILSDWFFALIPIPMVWHVKMTKQAKTTVVM VLGLGVLTIFYAIATTDTMIWTIVEPGVAIIASSLITIRPLLRAWRVRGFQSTENTKR TGGISNGHMNRSGVMPGFGSKNGTTIDVEAAQSSRDELSSGTEMDDQKPNPRFLSPIA EASHKDFDIADDSATAKLTIGLHHRRSEVPSEMYIIEGPPSPQMPGHTMYNDTWSSRH SATSSDVELATMEPVKQSDGRIGLGSPR PFICI_07665 MSSRGSTRGGRGARSGRGARGASATAAAPSTRPSIEGGDDGASI PGSVPALAPGSSAARAVTIESSQSAPNSAASTPAPSLRGARFKPKAVRRDAAERQKLE DERTRDLAAKIKVEEKEQRAADRRARRGAGGRGRGDAGFMRRTVTGHGVFSGVGQDML KQGGGSWGGHGAKSEGGSNWGPRYHDRRVNDLRVNVDTLRASATPEVSLANGSKSPGF LPVGIVRVEHKQEELKVATSAELEADEQEDDDDDELFVSEQIGALPRDMQMTDDNEVW NEGPKTAEEDSVKVKPEPGSAEDDVMDIDAIPAKPKAPPSPELQKKPLAQQDPELDAK IKAKERKRAKAMQDTELQSAALDAATLLEALTLKEGETQAKDNQLFLFQFPPVLPPLL YVNEDGTEVVEAEDESMSNGLRIKAEPGTSGAVATNSALPPQGGYIGRLNVRKSGKIE LDWGGRILDLGIAADTDCLTTAIIVDEQDGENPGKATGMGNVYGKFVATPVFQEEDEW NPDLDSLGLWV PFICI_07666 MNSPFPKSLSAAGEGRIVVRLLPSQVSALESITYQYPLKLISPA FKADQKSVLVFLLSYGGGLVGGDQINLAIDIEQNARLTLVTQGHTKIFKPTTSGIVTR QALHVDIQDGGALCLLPDPVQPFEGSNYEQTQIFTLHGTASLCMLDWVTHGRSARGEN WDLDRWIGRNEIWAASSSKSVKNRLLVRDTVNLDGTGGSAHPKPLRDIMHCHAIFGTL LLRGPLTQALGEFFLSEFAALPRLGSRDFRSAKEKAEEATRSPLEKWRAVRLQQESID KVLWSAAQVRGCVIVKFGSATVEGGRNWIGSMLMQQGSVVSEFGDDALMCVR PFICI_07667 MESEDNNATPSPSAAEARRRSGRVVRAPQKYIEEVHTDTSSKRK RARDHDEDAENHEPNDSLEEASDEEPAEDSDADLVPRQKKSKSRTKKPAAKKPKINGD APAEAAPAQAKALQLPQRPKKSVRVAIARKDGDGLYAEIFSSGDTPNDVAAHWFQRYV ENDAAALTDLVNCVLLATGCDQSLTEDDIRDPENSANKLSELEEAFEQTSISEYPLIS RAKSSKDFRQQLIAFFDSLIALLHQTDTLYQDTELLDNIQRWIGIMSSSSLRPFRHTA TTIGLALLGAMVTVTRQLDDRISKSSQALQAESSRRGKNKGLIANTQKALDTANENRQ LIDEKVKEFFDIIFVHRYRDIDAKIRAECIEALGDWICTLPTVYMAPEYLRYLGWLLS DVAPTTRLEVLKQLGRVLKRDAGKLAHFIDRFRPRLVEMATKDHDLSVRVAAVSVVDI LRSSGMLEPQEIDSICKLLFEAEPRVRKAVVPFFVELIEEVIVNKIDEIGGSEALDEV FGDGSEADYDQLHKVWINVKALAENLAVYNAQLDEEQDELRHGLDVAAEVVNANIPES RIILATQALYEKMPEISNWQSLAGYLLFDHTTSSKSRSKSKGNSIEAATRKAVAPTSE EESILVEVLATAVKESLTQSNEHDRSRKRTGRLDIDGQEDTGVQLADIIPRLLNKYGS DPHLASVILRLEHYLDLDVFRQLRQNSATYDKLLDAVTVQFNRHVDQAVLNEAATALL HARRYDELEELTDSKLAVLWDNSLNALRTFEKTCELSVRGNLDRAGLAELANVLKKIS SLTAISDCVDVLEAPGTADDFNDPIIKILVDIVHRGLLKEPDDHLDDPEDEVVAFAIK SCLVYFMWKVSRLKTALQKEEDIPNEEASNLVHLRKTFVTNLIHTFSSRGFNDDLRLS ATGTACDTCALFVFLRPYIKGPQAAKYGKLKGLLEELPSGLIPAEIIPIFDAAERAYA QRANKAKNLATADDEDSVNDDDAPPEDDEDEELTGQEKQAAELKAEKILCDLTGRLVL GIIAKVVDATGPHAGKLKKRILRNKTKLGPNFKEVVAFLDEDHLKEMRGEGKKRSAPK KKEGTQKPAKSAELVIDEDDESDNPFGEDEPEEGTEQDLRNRELLDDPIEDDDEDDER PPEPMEEDSVIGD PFICI_07668 MPSTKKNLVVALVLLPSIVMWLYQLLPALRNELIPVTNANDADK IVAHTPKGEDRILEQVDLAEYPYSYGSNLSVNLVVATTRKEDISWTEHLRIPNLNIIR YVSDDPQAPYHPPLAKGREALMYHTYLYEFYDELPDVSIFVHSQEQSWHTDPELWSSM LFTLSNLDLEAVVERGYASLRVSWHAACPDWINTTHTSTHGQTYKKKQEEPWMVPAFR AIFGEDVEVPETVGGPCCSQFAVTREAIRSRDRAVYARAMQWFVETDLSDYITGRVWE HMWSFLFKGEATDCPVEWKTFCRMYGVCFADQTDKDEFHTLWRQRRDMLARRGFWLEL WDPQRAACEKMRIAELDVDINRRLRTAMARGRAGKTASRSQAGLGNLYISDTALIF PFICI_07669 MSQYGRSTSRRYGAEKSLPDGIDSIRYILENQRGHRGRSASVIG LVKDFRAPIATRGSVDKSIEDEYESGIKFNVFRPEDEQPQVGVGDVVLVTNAKVDDWG GNTCLCTEPGKSTEIIIFPADEIPRPPTSAIHAAKPTRKLHRGKPSRAPTDAECDYVS WIYHSSNKSTLPTVSEFQQSTERSLNIRDKSSLLKNVEEGKFYDFVVQVIKEPYDQMD RTTIWITDYTEHNNFYNFAWDGSDMPGGQDGDPFGYIASRTSMESRSWPGPFGKRSMQ LSCFEPHASEIRANIKAGDWVKLRNVQVKFGRNGNNMEGFLREDRNSFGGRKTVEILD VHGDEPPDELLKEAIRRKRDYEKTAKQQKKSFAAANGNKRKAEQPVDDTKLNSRQRRD ARRAAKNKQLVEAETKREGLLGLNELIKSASIDEPVFPLSTIVEQVSLTTNIDGEQVQ MTLPFTNARYRAHVRVVDYRPRKLHNFAVWRKATEYDMLSDGESDPDSGDDDRDTLDA FAGKKTWEWRFALQLEDAGPNAGKNGPPKRLWAVVNNPEAQYLTNMDACDLLANPEEC FQLSEQLFKLWGNLQEYKEQESKAQSQSRRRLAANQAPPDSSDDENGAHQQDDASKQV APGISNKPFACCLRQYGVEISESDPRKCNAGHGKRYQRMFGLFGTRIS PFICI_07670 MADRYIPEHRRTQFKAKNTFKPDELRRRREEQQVEIRKAKREEN LAKRRGIGSGDQRPGASLGAAPDSDDDTAPSESQLNEDLPQMCQGVFSEQIDLQIQAT TKFRKLLSKERNPPIEEVIKTGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSATQTQ VVIEAGAVPIFVELLGSPEPDVREQAVWALGNIAGDSPSCRDYVLSCGALKPLLALLG DSRKLSMLRNATWTLSNFCRGKTPQPDWNTIAPALPVLAKLVYSLDDEVLIDACWAIS YLSDGSNDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIINC GALPCLLSLLSSNKDGIRKEACWTISNITAGNSQQIQSVIDANIIPPLIHLLSNGDLK TRKEACWAISNATSGGLQKPEQIRYLVSQNCIRPLCDLLSCPDNKIIQVALDGLENIL KVGDLDKQAAGDGPESINRYALFIEECGGMEKIHDCQTNANEEIYMKSYNIIEKYFSD EDENADEGMAPQAQGANGTFGFGTNGAQGGFNFANGGDSMDM PFICI_07671 MRLSTLSSPKALSAVFLALLSSAELAQARPDPKIYSKTEWSHNR GKKVARTAIRRALEKHSVNETIVSPGFNGVTPYETTAPYENVWGGLADEEAASVIAWL FSQTALNLTVSENATNWDNSIVLIELQVPNKTDVLAHIDGGAEAPARFAHVVLDTRAT VDPYFQDLLVGPLPIDNTTTYQPLEFPLTRKTEGKVRNLAADEARLYPDWLWVVSAQV ADITLDLWNATALGLDNDTLAIHGIDPMWQDDGHVVRWDCFSFYPAGQFDTSTLLPTG LYFKSDVTGRDSSEWKVLGWLYDDQYFETTEAFREAYWSGALPKMLPNVEGAWARTDP IDPGLPYDTSYPPTMIAPSGPRFAVDSERKYVEWMGFSFFIGFSRDTGMALYDIRYKG ERILYEIGLQEALAHYAASDPVQSGVAYLDSYYGFGPYAFELVPEYDCPAYATYLNST FYVDETTHTHLNSICLFEYTADYPIQRHSTADYVSATKNTYFAVRSVSTVGNYDYMFT YSFFLDGSISVEVRASGYIQATYSTTGSEDFGFKIQQALSGSMHDHVLNFKADFDIAG TDNTVQLMTNVPVSRVYPWSNGQVRNTMQVQRTFIEDESESRFNWAPNSAQQVLVVNQ DQPNQWGEYRGYRVAPMSGGLHLTVENSSDLVNAAHWAEYDIQVTRQHDYEPRSAHPY ASQDLYNPPIDFSEFFNGESLNQEDLVLWLNLGMHHVPHTGDLPNSMFTVAHAGAIFS PSNYFDTDQSRQTVNQVRINYADGAAAEVQTFGQKDDSFELDFTPVEYDLWQYKGDVV VRKFPYDPNNPFFETDGE PFICI_07672 MSPIPETLPREAAKPMRNSTPRPSPSPSVASIEPDKDLALPSES NTAKYPAFRNNDPTLHASAEIDQDLKNRIDRIVEVLDKKPTQKESLIRPTLQAQAHDP DASTILWNTHFPTREAGDPQRKLNPRSIPSSSVADMEDGLTDLALASESNITRYPPSL DTDSTLSFDTAINQQLMAQIDSMDWLLDNTPIQMPGLTHLALQAHDFNTGDSGYHRDL AGWCYGNLLDRFDHHVGLADSILVTKSSKSLAASYTSSSYDMLSDIDPAADMDHDVSG TGAAFIDIPVPVLDELLVLDDDPVMEDNPSSKLQAESVRLVEEDPNAQPTTNWILDKD TNQQAVITQAGPPYLEHEYPDLREQYEDVGPPHRLWIAKIWYWLAAVLDPPQAGAYRA TYTCVSSEMAHL PFICI_07673 MDMKLGDITASQVVIGFAGVWLLYNILQMLYNISPLHPLHKIPG PKLAAASYLPEFYHDVVLGGRYTHAIQRMHEKYGPIVRINPGETHCNDVAFSDEIYAV GGRKRDKPLHQINGGATTSNAFGTVDHDLHRARRGPVAKFFSHNMIARLEGDIHKLCH HLCDKLLARSGTKEVIDIAMAYSCFTSDTIFGYSFGESQGFLDQESWYPNYRMALLAV LKPVFWFRFFPILNAAQDLGVYIVDILPADMALLIRSLKIMIPSLVTKAKSGLESGIR PERPTIFHTILESDGSSLQPKTMTWMSEEAAAVVGAGTETTSWALAVITFNLLDKPEQ LAKLRAELSEVVKDPNELPAWTVLEKLPYLGGVIQEGLRLSYGVSGRTARVPTGEDLV YRGEFNKKPVELVLPRGYAIGMSAAITHHDESFFPDSHAFLPERWIDEDGKRRKELER AMLAFSKGNRACLGMNLALCELHLSLTALALRVIPRMALYETSLRDVAYDHDMFIPRP VAESKGVRVVIN PFICI_07674 MRALPQSLQALVLLLLTTSPRLVSAIENDFSAYPSGSQACLTQS ADSSGCTGDTGTEMNECLCKNGGNFIYNTASCVALESPSDLETVYATLENNCAGTGVT ISVGEAAFLAQASAATSSAATASSTASQTSTSTTSSASSTATADSGLSTGAKAGIGAG IGIAAVGLGLIGVFIWWYRRRSNQKGQKAAGDSNGAYAAASTAPSEYTSAFGQHHPSP HPESAIPLSATSGWNSPSPSAASGMYYKPQDAQVPGAGQPLLAELSSEGVQQVAPVEL YAPHEGSSTLSPNTAATYGRSSPSSYHAELPGTSTPEPDRYQSPPGTHSPYSPPYERS HF PFICI_07675 MVALKYSALAALALRVASVFAQEHSPIDEDAGNPENTPELRADI SATFPEADIFGVKLVNGRPTKAVIEIANHEDEPIQFAFVGGSLHTLQPLPENAHPSVG IVRNLSTVRYDAIIPAGETQSLPYSFVLDMNPQDLRLSLGAVVSTQSGNIFQIGVTEQ TVSIVEAPTSIFDPQIIFLYLVLGAAFSGVSYFVYKTYIEAFFPQAKKQRAPKKAKVV EAEPLSGGEGVATGAEKFDASWIPEDHINRPVAKRVKSGASQKKKTAE PFICI_07676 MAYSDHFSLANIPYGIASTVGDAHRERAVVTRLADKVVFLSDLT LDVTDQVKSALKQCTLNDLAGLSKPELQQLRKQLGSILADKAVLDKYGFKLEDVELHL PIKVAGFTDFSCSKEHVLNAGEAVFGKRSMPPAFLHYPVGYSGTSSTIVVSGTPVQRP NGMYRAGETVEFGASRAMDYELEFAAIVGKPTKMGESVKLEDADDHIFGLVLLNDWSA RDIQGLEMVPLGPMNGKSFSTSISPWVIPLDALEPFATEAPAKEIPAPVFLHEKKAKP SYNIKLEAELLRDGTSTKLCTANVSWMYWTFRDLVVQKTVNGCNLNTGDVLATGTVSG VGDDEHGCLLEMTKGGKVEFALSDGAKRTYLQDGDGVRMTAFCGDGVGFGECTGFITP AKPL PFICI_07677 MEVQTPPIRDISSTIEDTENNLIFHKNVSIPLKASKLPIRANVY LPLSAKSGDKFPVLVTYGPYGKDIPYETFFNGSFNQVNPEHRSKYSCWETPDPVFWTK QGYAIVRADERGLGQSPGFLDTMSKGTSECFFDVVEWAAEQSWSSGKVGLLGISYYAG TQWRVAARKPKGLAAIIPWEGMSDYYRDRCQHGGILSNKFIGFWWNRQVLVNQYGKPG RSKLQFPPDGPGARGQEDTIEGDLPNDVLVQNRQDQTIDNAKYKFRDQDYYASKEFKL EDIEVPLLSVANWGGILLHLRGNVEGYTHAGSKFKYLRFITGRHDLPFYYKEEVELQK SFLDAFLKGDDRVGWTQPGKVAPVTVTLRKGDVGFNDAEKEKVYEKREEEAWPIPRTQ YTNFYLSPDQGLAKSKPTVSEPAKVSYKALGNLEKPELVQFTTAPFEQETEITGHITA HLNVSLTAEQAAGEKDIDVFVTLRYISPEGKEVHYTGTAGDPIPLTKGWLRASFRKVH TDNPKNRPWLPHREYFSTDVLPVKEGEVYPLDIEIWPSNVVVEKGGKLVFEVASGDTQ GSGIFQHNSETDRTSEKFAGQNHIHFGEGLENYVTLPVIPPK PFICI_07678 MSVTTTDNPVTTTIAETLQDYEIHLTGERDLPPRHVWVPLNDHR APPADNPEGWLNTYRGVPNYRPINTELDRTARPWGSNGVENCFVFLMLNGVWLTGVCK Y PFICI_07679 MASQQAAIDRLQMVVIDGRTENIRYRQDQLQALHAALRNDAGAI CNALAKDSQTSSAEVETEYYLAMDTVRHWYETLDFPEEHKKEYAPVVEGKDNATRRVG VGLVIIRPTSHTRLYSIIAPLAAAMSAGNCIAVELEDTLLQVDAILRKVLPAALDFNT FCLTKTIKDSSILESATLVDQTSSASATSLTNQLLSASSTRAVAIVDRTADVDLAAKA ITNARFSFGGTSPYAPDLVLVNEFVKQEFFEACSKYATLAFAKDSGIKKVSGNQNEAT RKAVKEAEDRKQVSSFGSNEYKLVDVSVRSSPLTQLKVSGRILPIASVSGLVDAIFTE QFENPLLAGYFFASPGSAKYIAQHLPCHISCINQIPVQLLVGPAAPIAHDADFYYRYN KDMFSAARPQFIEPPAETFTKAEELLLGSKKTTTSTIRALAVKPLKPTGQPGNASLGF FEAGFVTMASITFFGLLPILAYSSWMVGRKGFESALRWRH PFICI_07680 MAVGSKEEPMAPVHLFSHGSTMMLGEEHASADYWEKCGNAALEN GIEHVVMMGAHWATSLPGVLISSNPNPEKSPVAYVHPSKYKNYALNPDLAFVPTIQEY LAAAGIPSEADPKFDWIHDTYLVLIRMFPRGCPPTTLISMNQKYDPHFHMAVGAALRP LRAKQHKTLFIGSGGSVHNLYRNVWGPMLRYRDNFAQPTPPEPWAVDFRQEVISALCP GHEEVLPAHEAACNRAVRSTKGAGGPLLRRRATSLMKHPQYRDAHATDDHFMATMFVA GLCGGRGDENIPGEMGAEDWELTNMCNSQFTLGSWPEIKA PFICI_07681 MATVARDTVTLETSASTAESNHFQLNADENFHFEILRVLSCATS NGADIAEVLARCPKIRPGDFESWASAWTELAERVEKQARESDPSTSSGKASARNAYLR AATYYRTADFFLHGNPTDSRIMSLWAKHLEMFEAGIALMPYETQRLRIPTPHGFHVPA TFYKASDGKKGPRPTLIIGNGFDGSQEEMLHVIGWPALERGFNVFSYEGPGQPTVRRY QSLGFIPEWEKVVTPVVDYLYAHQKDLNVDVDKLGLWGHSMGGMLGLRAVAFEHRIKA FIACDGVWNVDSIIPRPLLARLDEGHADQLLKDIKGGNAETGLRWVMTHGSWVMFDEK QLPRGLQSPDDIKALVDKFRKFNLDGVVNQVTCKVFVGDADDDIFFMGQPKKLADALG DKATYHVFSKADDSDAHCQVGAVAVLAQKTMDWFEKVVN PFICI_07682 MSFQPTAPQQITWMPVAIPTPSTTFVAPRSENTGRHDLPSQPII ATAAVQPRPVQQQQQQQPQQNSRYPSISGNAALGAPRPNDVIQAPTSLLTLHTASPTP IPQSPHAASSGGSSNIPNAAPLVASQPGRGRGRPPKPLDQMSMSKSVESALADNRGLF KRGRYLKSTFQTKRDVIAYLQCHRIEMRPKNPIRSLPGSPPHEVIDGKTYRPPSAQDA SIFFGIPSTTIISWWYNREKILAKGDTKVSKAKVYQRKTKKKPVSKK PFICI_07683 MDISARDHIIDAIESYLDASVQITQALVREDSSNPPGDVCAIAT TALQVIRECIPTAEMTTYQTAPHVRNVVAVIKGTADGPGRRLLFSGHLDAYPFVPEQW SMPPLGGSLNDQGTRLYGRGSSDMKGGIAASIVAASVLSKFSDKWSGEVVIALAGDEE TMGSLGSAYLLEHVEPVRQADAVICGDAGSPSVVRIGEKGLVWLEVTAEGVACHGAHV HRGKNAIERLMEALMVLKDLEKLQTEAPDEVEQVMADAKPISEPLGGAGEWETLQRVT VNIGKISGGVSANLVPDNAQASIDIRLPIGVSAATLLEAVQSRLQRLEGISFQVVQKY DATWTNPSEKIVQDVCKVVEDMVPTETTINNRVGASDTRLFRAKNIPSVVVGLTPNNM GGPDEYIEVAELHQLCHIHTLAAWQFLTT PFICI_07684 MAFYRDSIIAALVTLFLLDGAAVAARLYTRTKLVARGFGWDDAA LCLTYLGFVLVCITCWTSMSYGFAAEDEQPYYNKKKATEFYFATQLCCYISSGLVKLA VALVLVRLANTKVLRWSLYISMVICGIWTVVMTLFTSWLCASGGTSNYAGSQTCGVVG QFRTISNIFIDYFYALLPVWMLWKVQMSLRLKLTAMFLLSLGIFASSATIVKLVIITR LRTAKGVEEKNLHYQLLLWAVIELGLAIFAASAAALRPLLKRYSKFWGSSHGHSHDKS HGSNHEPAGPFHLFDMNSHDKDRRITPQRSREAEEAAEHESWTEAEKGWSNEGLVRVH ESKV PFICI_07685 MRVQSPALSLASSAILLGSLPTSNALDVPILSDLGDLLSGIGVS ITNPILSAVQAVLNGDGIVQGVLGAVEGALGMEATYDYVVVGGGTAGNAIGYRLAEAG YSVAIVEAGLYYEIAKPVLGSTPGGDIIGIGSSILDSIPTVDWEFLTEPQAGANNREV HYARGKCLGGSSALNFMIHHRGSKGSYDMWADEVGDDSYQFDSFLPYFEKSVNFTPPD TTKRRANASTQYDPNAFATPGGPVQIGYTNWVSDWATWLEKGMQAIGMNRTIGFSSGS LLGYHYSQSTIRASDQTRSSSAEYIYTAVNEGLDNLKVYTQTLARKVLFDGNNTATGV QVTSLGVTYNINAAKEVILSAGSFQSPQLLMVSGIGPRDTLEEYDIDVIVDAPGVGQN MWDHIMFGPAYQVNFDTLDRVLHDPVVLAETLAEYVADGTGALSSNVVEFIGWEKLPE KYRETWSAETQEALAQFPDDWPEVEHISGNGYIGNFRFPALQQPLDGKQYATILGAMV APVSRGNVTIKSSSTTDLPLVNPNWLSAKADQEVAISWWRRMREVWNTDAVQEIVIGD EYWPGTDTDTDEEILAVIQDALMTVWHAAGTCKMGKAGDETAVIDNEAKVFGVQSLRV VDASSFPILPPGHPTSTIYALAEKIADGIINA PFICI_07686 MIPVTQAFGYAWLCWGSIVLVVQGIGTYGIFKYFSSVPKKAISP TLPEDEVPHVTILRPAKGLEPYLYECLKSTFQQNYPKNKFTIHFCVSSKDDAAYPVMQ QVVKEFPTHDARIFIENEDPMLNGREGTIENMGPNPKIRNLSHAYREVKGDIVWIADC NVWLSKSAAGKMVDRLCGFAPDGRQEKPCKLAHQLPIVVDTVSSLERNSSESQALLSG AMDVEHRKEIDNSLLAQGGGRLDEMFMCTTHAKFYSAINTVGVAPCVVGKSTMFRKSQ LDYATDPAQNPILSASTPPLPTGLNYFSHFICEDHLIGDLLWKTKFAGFRNHGLNWGN LVVQPVAGMSVAAYVARRVRWLRARKWTVLLATLVEPGVESLLCCFQISFTLTSVPWL NQYLGIGQTWGTLFKCWAVGVFLWMILDRLVSNRLHAGYSIDIDEDTPPFAKGAKRGG TERRPFGQWLLAWLGRELLALPIWTWAVLLGTTVNWRGRQFRVRSDMSVVSDEPDRSP STSSGARTPNKID PFICI_07687 MADAKISTTQNGALTPPVTASADQMPPAANAAKAPAVPRGPPLW KVHELPAPIRTFPLPSFYPSNPLSFLHVAYAWIRQVLSPPREPAAVYEGVWSPAHRSV LIRDPKSIRALWEQGFYGKGHLSRSEPNWLRREQARKGAHSDHVAEVFTNKRREERIQ MKWERARKEQGAILRTRYEEARIAPVEVAGLLALPNSQRELNVLIYGVDESILSDSIR VVTSSDVDPAIAIDQKTPFAPRQNGDVQRPTTPQEKDKLVLDLQDISSDRQASIKRRK SVRFSPKVESTTFQLSDPPSPSQTILGNGNGKMPDGILSSRSAVSQDSSQAPTQEHAI LQMINSHIAVASEPKELVDKEHLQLTLEEAMWLSFGMGVLDVKDEESGESISVDSLFQ IGREFSHCPPQSSHLQPDDPFLVHYAVYHHFRSLGWVTRPGIKFGCDWLLYHRGPAFS HAEFAVIVLPSYSGSYWRKQGRDTTDKSWHWLHMVNRVQSTALKTLVLVYVDIPAPAE EKLDIPSLLKQYKIREVMIRRWLINRNRD PFICI_07688 MFRNQDKPKAAADETTPLLASSSIAPTQDAEIADTLVAPPATLS DSTPGAEAEHHEKPLDKTQIVLLCYTRVVEPIAFFSIFPYINNMLQANSDLPASDVGF YSGLIESLFSLTQMSVMLLWGLASDRIGRKPVLVSSLVGVSISTGIFGLARNLWQMIL FRCLAGVFAGTIVTIRTMISEHSSPKTQARSFAWFAFAGNLGILFGPLVGGALAEPAT QYPGVFGNIAFFKEFPYALPSFAVGGIGLTAVITTALFVKETLKKQPSDGRADSAEGG ASDSAKSSVTSTRQLLKSSSVPMALYIYGHVMLLAIVYTAVMPVFWFTPISLGGFGFT PFQISIFMGLTGFSQAVWLLVFFPPLQHRLGTNGVLRLCSVAYPFAMAANPLLSVILR SGAESVFWLLVPIFCLTAPGISMAFTAVQLCLNDVSPSPQLLGTLNALALTCTSGLRS FSPALITSLYAIGVRSQILWGYLAWLIIVFIAIGFTVAARFLPAASEKDYPDESTEGD VRETDNSAST PFICI_07689 MDLLRFALLSALLVAFSTAYPGKWNGCHVEELPPSWILEFRSNV SDNALSNFKHHFRKRAENASVQITHEFNLGPGLMRGFTLDGPADSIMALSDSQWVANI VPNIKVHRPPPDDDDGDDDEDEDEDEDDDITEAKSIHPHLARREETYDLQKKYKSWNL EAISGNDSLKYHCPDGCGKGTWIYIIDDGIDEGHPEFKGRIEHVEHIHKGPYHHHPKR NGKHGTAMAGIAAGSTMGVARNARIVAVNVFGKDNIVYLDLFLQAMDWVMNDIRTRNR FGRAILSLGQWGCRAEGIDGAPATRAVADAVKAGVFVAAANGYKVGDTATVWPGNAKS VCTVGEYWQGRQWDGEEAGDPDIDIFAPGSRIRTSQPGSVGSYNRYHKIGGSDAAAAH VAGVAATLVHQIKLADMCETLQCWGNMAHPKWFPNTANRLLVNRPTADLDLGDQGMWD RPECQPWMNRTGRKRPSPYK PFICI_07690 MQKNLFIGAVALFKLVAAWSLMSDADNGIWYMNRDGNGDLNLTT RLDFEYKPEPSKNHLRIRQPSSQDEDHRRPEEGFWPWKQSGSSLSDSTTSTSTARFRL SPQRSAAADTPSLPVKQSECGNLELNPADAYYAEQMMAAYCAAVGYVDAYQHLASKFN SVIVYICARGHPETCSSADMLSAMQWLDTECYTAKSGWVYNNYIHKDAPGNKYGRDDQ GANFC PFICI_07691 MSNWLNLITDWFQTKAKAEEKTLKTFERLWSGQEDGQRITFVSV VIQPSATSSCVIEDIGLSVWSKHILSENMSYHWHVQENFEIQTEDVVTFGNPDSFAFG KTQTITADDIKTVLDDWILSLSGQCDQLCLVIFGRESAKKLEKQWTSPSPVVLLDLEV LWRLRYGGQPHATFDEAASELGSFERATAMLDDAGNQAYYLLSVLRQLGGAEGPRGDF FPKLMDVE PFICI_07692 MRLIDTQTHLLLEPWKAAGKEYAILSHTWGDQEVIFQEWEQLYP SGAIKGQCPHVRHRGIDQKAGYHKVMQACEQARRDGIRYLWCDTMCINKESSAELSEA INSMFKWYRDAKVCYVYLADVTFPNKKTRNGVGLTHSNVPGWFPYAMERKRDEDVREM KSRFMASRWWTRGWTLQELLAPEDVVFFTQDWTPLGHKIGIAHWISAWTRIHKEALED HSTISNFSIAQRMSWAADRTTTVPEDLAYCLLGIFSINMPMLYGQGDNAFQKLQHEII KASADQSIFAWTASPGDPTAGTGALAKSPAFFKHCGSIVRSFDSPQEPYSLTNVGFKM RVAMINNSFATQSFIGLSCFLELHGESTRQESVTGTVEKNRRRVQIWIPVRKRGYGSS YERIHFPTSHVYFQNSYPINKVSGGPEILLCESPFRASLTQPFGGPSPKELYQTGIAV AVGFGNMSKISRAYKNLWQPRKFQTIPIGTRGARRWSHEVVVSGSFCIILSVTWNEFQ KPKMHIYTTLRDADIQAVLSWLHQLDQTKQSGEHFDDAEMLHKAIRSTNHSSVVAVET NQSPMIIVEDEPLYDMHQSAFVMVDIIFKEDRILAGEREQAGQDIVF PFICI_07693 MTSALFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSEAEEESS AVPPCFSHEGINYLYIRHNNLYLLALTKRNTNAAEILLFLHKIVEVFTEYFKALEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLMVAANGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FESTGRTTRGKAIEMEDVKFHQCVRLARFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECMVESHSGSRIEYMVKARAHFKRRSTANNVEIIVPVPDDADSPRFRTNIGSVHY APEKSAIVWKIKQFGGSKEFLMRAELGLPSVRGDDEHGGGMTGGFGGSMGGVSAGKGA KRPIQVKFEIPYFTTSGIQVRYLKISEPKLQYPSLPWVRYITQSGDIAVRMPDVA PFICI_07694 MEHNHMLPRPANGPIAAGLDHKHDLYPTERRKTPSSDVASVFKS LDRPFKLPMYPPPPSYAPLHADRKSPAGFPDTPKTELPPLKLKNGYYNEKKDNTLPSL SSLTVSSPKASYINPPPTTQAPIRPAIPPPPTYAPPPPPVQLQPTHWPSLNPYTAYYT PSHVEGKADPLRMESDSPGNSAISAASPETLDHDRSSSVSLDDPDVRLAAEALGDLRA DFVSSPRHLDKSLPSTPRSRSQAPPEPLLQLLTTNHPLLGGVIEGTQTAYVASKNYSP RFKTSAEYVEGYVTPVVNTVGTVGRATGVEGGVRWFLGGGKRHKSQSSADLETGSNKR RKGDDGTDTMLIDSDEMTSGMETPRPTYDPNDPRRLSIASTIDTLPAYDEHRSPAYEA EPRPNSSSAWQSRLIMSTSGLSIAMSQESLRSLKYCLSWLRWANVHIGNVINSLKMSL DRYDKTAESSSGSSSEADDRQKLVDRISSLKADVLKTLQDVINTVSKYAGGALPENAR ALVRRHLTSLPQRFALANMLVQQQASREDQSVEEGSVGRDKEMRDSAQTVLLMAKEGL DMMSQVSGVLDGTIVSAEEWCERLGKRKQEQKEAIYHEGPSHAQYPLESVKMG PFICI_07695 MKLLVLSLPAVMAAATPFKHHKGYRQANATTPAFILAGDSTTAV QSTGGGGWGNGFLSFLKSPAWGINLGHNGATTVSFVNGGDWATVTGYVDDKTADGYDV YVTIQFGHNDQKAAANITLDEYQANLENLALDVKSHGGTPLLVTPLTRRAFTSEHNAS DSLHDQRIYTIAAAEATDTTYLDLNKYSLEYVDAIGNTSAQAYDLNGYGADTTHLNAY GSIVFGRLVADLLLEKLSVLDSWFIPNETLSYDLANGIAA PFICI_07696 MAEGAMPGAPTISGTDDATHFYNQPPPVAGLSTSPEASDALEKL PNITNGDFHDGQTPPHEDTGHNAPGHRARFPDDDAQLEQVFSARPIPIQSRGSQPPGE SDREPASHRGVWQSFRNWIDEWREDFGSAQAHQDITNLIGDAGIDPTIIKKIKAGRVS SLVAPSLMIARPGLGQHKDSSSAIPTPGHPWTHSHSRSSSSGTATPGTPSKKGYGGVD PHEVARRLKKFKDMVGHSNDSRQAKYVQAKAELAHRRNLVLLMVYAFMAYGAPSHRIE EYTLALFKALDMEGRVNYTVGCTDISFINPVDPADPLTRSAYTTLVKAQGLDIGACEV AFRVYKTVVHGEVTIEEAIQSLINLIESPPYYRPWLIVPFYGLASAFAALWAYGGWWS DMAIAFFLGCIVGFLQIIVAARNPLYANVLEVLAALLTSFGARAFSSIGGPSQKYFCF GAIAQSSITTILPGYIVLSGTLELQSKSVTAGSTRLFYAVIYSLLLGYGINVGAQLFL VIDENAVTSATCPRSLDPKWRILLVPCYLVLQAILIRSRPKQIPVQVLLGSAAYTVNY FVSQYATAQVADTASAFVLGVLGHLWARSQHAFAFAAVVAGVMVLVPSGLSAQGGLLL GISTPLFNNGSTDAQTVYEQNIYQSFSVGAQMIQVAVGLATGLFLSALVVYPFGRKNN AMFSL PFICI_07697 MPHYIGWTSFTCGHETSVKVSTIQQIKEHYNREYLSYQLVDTSG REYHASAPRPCKSCCQKQQGQQQPPVAAGYENFAHKWRTEWAPKIASVEPNEAENPPL KNLLHHICPHLRSVNNLREEVLLRRVNSQLKLEGVNISEEITPSDVDQETRQRVTRVV EDQLHMHRNAKLKESSTALRDSSVLQKAQVASARSVKVVQMLSSKRDSVAC PFICI_07698 MAEREITEDYYEILQIHSKATEDEIKASYRRLALLKHPDKNTDN LNATAEFQEASINTAYQCLSDPRKREVYDTKRVEAREDLNRRARTGENEVNLLKKKIA HLKKLLAELIGRHSELLLFRDAYKRDLAEMVRGLNQSYDDPFTTTGTEAWWQSVYNTI TRDSSRTDPQQTTNEISELRSNITMLNSELLELEFRILETEIVLSVLTAETRRQQWPA PRRAQTAHQVEAERMQAEQRRQYAAAQQTMNREKELSREAQRVAAKAKQASKREEKNA KEEAKTKMAACGHETF PFICI_07699 MARLRSPRRMIVERAPSHDGLMAVTAYLSLDNDERTAACKMTKR PLFAFRIYDTRPEAANVDSLCHVATAIYENTLEGRSGSRTTATDRIEVIALPMSADAT DHERAVKCREHEEAEMVSRKNPGMRDWYLHRHHEFGDYLSEVHVISRLCQDWEQAIQH VKDRMLRPEDPAEARADPFGTFITVAWDFKEDPWAQLEEDEDVPPPEMSVTPHKISYL DRCLAQGRERIDWFYNVYVPDGGLDIELEQGQVPPDVLGRLLGLGFYLDS PFICI_07700 MAKQFALSLLLALATASPTSSLRERELKKRATTLIPDTSFSSQE TFESYFSYNYPWGDTHNGAALMQESQVTIIHDAYLQLQSNYTGPQADDSSLTYVSGTV YAKQTFAVEAGGGLDFQANFVAPVAEGCWPAFWLTATEGWPPEIDLAEWKGTGKISFN TFNTSSEVAATDVTYPTPTTAWHTILVELRAEDDGTTVKANFYMNGELITTQYGNDYV GAGFYLIIDYQMLGSSGSSGPTDTTYFDVQYLSVVSYNP PFICI_07701 MAEFSSIIHTSIHTSIQSVVALVTSHPLILLFVLWFLRAAIRRY YSPLRSFPGPFLASISRTWKFISIARGHTHLDFIALHQKYGPIVRTAPDELSFASPQI ARTILSAGKGFYKTSFYAVFPPPENPDIFTEIREDVHAIKKRVANVPYSMAAMRQLSP FIDDTIDLFVSQLAEFCVDLEVGKSVPLDVGGRGKVDLGAWLHYFAFDVLGEVAFSRS FGFLAAGRDVEGAIKTIDDMQTYNGLVGQIPILDYLLRRNPMWKYVLALNPGNSLITR IALDELSKRKPFDENAEGPSKDGRRDLLGSLIKGHLKEGSGFGEGDVFAVAHGAIFAG SDSTASTMQSFFHYILSVPEILGHLQQELKSAMADGSVPKEGNIEYTQALDLSYFQAC LKEAMRLRPAVGLNITRHVPKDGIELDGVVLKGDTRVAVNGWVLHRHKETFGQDADVF RPERWLEDEEKAKKMDRFMFQFGGGAHVCIGRNLAILEINKVIPRLLRDFNFELVHPS QPLKAHASFFVVQEGLNVFIEKNSSGV PFICI_07702 MSQNPFPQTHAGASRNAERDSGQRQSSQIGARPSDQRRQEPDQY SQELPEPLGDPAASLLSRIEDIIEGIVDRLQENRPLLIPLRSRRTGRESAVLFPTASN ASARRFNLFERQSYVDQIIDDIAFTFGVNRDALNIVATSKGLVAGGPFGRESDDMYAG IPDAVSLASFELRGIQWVMIVEKEATFRSLVAARYFDHSAAGPGLLITAKGYPDLATR QFLHAIHSQYPFIPLHGLVDFDPDGVGILRTYKLGSRSLRHEGNVTAPGLLWLGVKSH DMFRQEFALKSPVRSPQAGLEGVTPQVPVRSQQPLDESTRHLRAAANVADIERPGSLA QLTDIDYKTNALTPTDRRKTSSLLRMVEETAPLEAEELALLRELQIMLMLNVKFEIQA ISGDGNMTRWLDERLSSGML PFICI_07703 MVTTRSKASGAAVGTANGNSDIKKRKTESDIAPVESKQPKVREN TDITRWRCMDEDGRLTWHYLEDDEEAKEWPQSFADKWYLGLDLGLPDLPKPQKPIDAV QNGLTFFEKLQLPSGEWGSEYGGPMFLLPGIVFAWHITKTPIPWYKATAIKNYLKARA HPEDGGWGLHIEGDSSIFGTAMNYTALRLVGVDADEPFMVKARTTLQRLGGATHAPHW AKFWLSLLGVAKWDIVNPVPPEIWLLPDWFPAAPWRWWIHIRQVFLPMGYIYSKQWSC EETDVIRALRQEVFAEKWEDIDWKGNRNSIAAIDNYHPKTWLLNTANWFLVNIWNPYC RTKGLVEKAEAWCSKLIDMEDANTDFADLAPVNAAMNTIVCYIRDGPGSYTVRRHVER LEDSLWVKDEGMLSNGTNGVQNWDTAFAIQAVVDAGLAEDPRWKPMLTRALEFLDSQQ IRENCEDQEVCYRQQRKGAWGFSNKVQGYAVSDCISEAVKSVILLQKTHGYPELLNDQ RIFDAIDTLLTYQNASGACSSYEPTRGSELLEMLNAAEVFGKIMVEYDYVECSTAVIT ALSLFNKYWPDYRADDIKKFKDRVLVYIKKAQYPHGGWYGNWGICFTYATMFALESLS SVGETYETSPHAKKACEFLLSKQRADGGWSESYRSCESNTYHEHPMGSQVVMTSWALI GLMHAKYPDIEPIKKGIKLVMERQQPNGEWLPEAIEGVFNKSCMITYQNYKFTFTLKA LGMFAERYPDETVV PFICI_07704 MSTLAGEGLTSIKAGNYAEGIEKLSQALKQQRAPLWLIERSKAY LRTEQFELALHDAEHALSIALSRANRDLMIEAQLRRAITLFRMQKYADADVCAYWTLQ LIAGAKATEDDGQQKKVDGNGDYAVTMAEVQEGNNKVLEANKKNVNLQGLAGDRSKTT TNRNLAITWRMNSLSAMEKLEPGAPGRKVTVEKYPKPSETPPVKESAIQTVQVNEIDA EDESDQETGKPQIPQHILDGAAPSRASTVTWTEIWNQFQAEHRKHDVRVDWYETDTSV SVSLFVKNVPKDLAQVDSKESSVTVSPISSITSGAFTLHLKGKIRPEETTYTVKSMKI ELSLKKQTAGKWRVLRDTNAEAKFYDQATFIKHAESRGLQPNQFGIDESKGDLDSWYE KLYKAMFDTAASNGTAATPASSSAAEPQKTVTAPVEKAKASAPAYPTSSKSGPKNWDT LKLDDDIDEDKQETPDDFFKMIYKGSDDDTRRAMMKSYVESNGTSLSTNWEEASKTSY KTEPPEGATAKKWDE PFICI_07705 MAPTLSGDEIDDLIYLARAAEDAELTELLKELAEREKVSIADVL EAARDEGKATCLHMAAANGHSKTVTHILSHLPTSSLPSPAPSSTSPSASTTAPPESYI NVANEYGNTALHWACLGGHLDTIKLLLSRGANPTVANARDQIPLDCAAFNNHMHVVEF FLSQSRHKEEQNAKEGGLEGAVGAVEMEVEEVEEEAGESSKTAA PFICI_07706 MPALLIEDSSPLMPVEEEDSEDYEYSLSSDDTDTSDDQGDDEYV NDGAIGNSLRYIDSTTIRLPSGKTISHRPDAGFRKSKSRSHKSLTTTSASASRTQKKL RGNCAMISSSSTPSQKVSRTSAASSDHDHESTSSDSDATTPTPNSSALLSTNTQSSAL NNRVRAISHKTLRASDMQMMASLPATTQRALMATQQRSVSRSSRADHKFRGKMGAFGN IKMTERFIIDVPFGNRHKCRFPV PFICI_07707 MSDTTTPTRVQSEIDPDPAQHDPATTTEKIEEPTVKELDLSHRP DGGLDAWLQVLSAWCVIFSTFGLVNCFGVYQSYYEQVLLPNSSSSSISWVGSIQGFLL LAGGIFSGPLFDMGYFRHLLYSGLFLIIFGQFMTSLCTEYYQVLLAQGLCIGLGCALT FPPTTAALSQYFSRRIALATGLASSGSPLAGTVLPIAFNQLVDRLGFGWATRIIAFIL LGVAVVPLMFFKTRLPPSKHRRALIDMSAFTDVPFMIFVVGSFFCFMGLYIPFFYIEL FSIEHSLTSDAFAPYMVAIMNAVSVPGRIVPGIISDFIGSPTIVMGGCAALSCILAFA WIGIRNHGGIIVFAVLYGGFSGGVVSSHPSGIFSLTSDHTRMGTRLGMACFVAGVALL IGTPIAGAILGTDDNANEAKWDGLIAFCAISMFLGACLVLTTGVMGKLRLRQKLRDEI PFICI_07708 MKKDYSVLVLIHYNLAAWTGLGPPPFAVGESFTLVAKTSSSKKR KIRRSSREEAIDSPSPDTPLQSCETDDNPNFSFTSATFAPSPRGDSVPPASSLPPHLL PEYAQSVASSTAGDSPPALNSARGSSPLVIKPSYHRTIMGGAAEFPERASSPLKRRAS SMEPETGEEGVSNEDVDMVTVPPAEPAAPAAAVAAEEETQAEGQKLSQPPQSIEAHIK SIRTFCEEFESRPLADGDQVYIVSRKWVESVIGDSKDSKKSAALDPTTITPVDNSDII WEVIEDPTVGSSVDTLKKRFIRLKSDLGPEQYNIFPPTAWSLVMQWPGLAEGQFPILR HAHATSDNEFDQNVQLEMHPPVFTIYRLWSAHSPIAIKQIIKSSHPPPPRLARSRTFR WSTFLKQSKHLTDIELKSKVRVWRVPRKLPTSDSVAGSALTPPSSPQAEDSDSPQDSW PNMLIDVNTFNALEEPAEREKVDFRDVTVDENYNGHTDLARLALSTDQAIVLDEQITG TTFVSTFTISQASEKLPAPRANSASVVLNRTARSGRTSPVPSGPVTRGRTHKSGRTIG CTGLGNLGNTCYMNSALQCVRSVEELTKYFLSGEWENEVNRENVLAHNGDVAQAYALL LKEIYREPPPPSVTPRHFKSTLGRYAPAFSGYGQQDSQEFVGFLLDGLQEDLSRVKKK PYIEKPDSTDEMINDPKAIAKMAEQVWDITKRRDDSVIADLFTGLYKSTLVCPDPSCA KVSITFDPFNSLSLPLPIQSKWSHTVKFFPLNDRPIDIRVELDKSSSIKQLKDFISTR TGVPAERLHGAEQWKNKFYKQYPNGACASDEITTNDEAWIYELEAAPTNWNSAESRKL ENGKARRSLLEEAEEPSDEVYEAMIVPVMHRIIADKQYGAQRPLCLVPHFIVVNPEES RSEDAIRRKILQKVATLTKHHFFARDETNSPESAEFVNSGSDLSSNEGKIVAQSVQGE DDMVDITMRDAGDAKVAQDGEPKQSTKIPHFNQTPPSWMDAKEFLPGELQNMFELSYV TETGSLLATGNNSVNESSDYPRLSSRIPDSPGSDDGGDSGTNGTISNDEESQDESSQQ SADVPPTRMAEESEEEDPVPIVKIPHRPKSSKTNQRLPGGAKKMKPKKYGKKGSKRAQ RQAAQLARKQQAQQEREEANFHVETVDSGADGGPLIRLREAIVVDWNEGAYNALFDEK LWMSCETLPDPELDKAQQSRARRRKNGITLEDCLDEFEREEVLSEHDTWYCPRCKQHQ RASKKFDLWKTPDILVVHLKRFSSSGYRREKLDVLVDFPIEGLDLTRRVSEPESGKTE IYDLIGVDCHWGGLGGGHYTAHAKNFVDGQWYSYNDSSVSRANTDKIVDVTAYLLFYR RRSDTPLGGPRFKEIIDDMEKSESEDDAADSGEGRRLDEGSSPTGSSSAFQEQGLGAT RQHKQDDHGGRTHGSAAFETKSDDGTMQLTDASFDDGNQPLRRSIEDDEGIGMTENPK HTSANAYQQTWDFALLTSNNLANGDTGPASPVGSGAATDEAQHDSSGDSVFSRAGDLD HDTDMENVPGASHIELATAADPDPPAYSQEPPPPDYRAEISREDMSQFWNARHDIHEV VAKGDDQGSEEAVEIRVEEEEDKDKQD PFICI_07709 MASLRAAGMRPILAASKRIAAPSTLRQTLAVSSSRMVSSYYQGE AQGPVVKTDIPGPKSQEAITKLDTVFDTRSLNMLADYTKSFGNYIVDPDGNTLLDVYA QIASIPVGYNNPALVKAAQSPEMVNSIINRPALGNFPSHDWASVLETGILKVAPKGLN QVFTAMAGSDANETAYKAAFMWRRQKERGGPAVEFTEEEMESAMNNQSPGASQLSILS FKTGFHGRLFGSLSTTRSKPIHKLDIPAFDWPQATFPQLKYPLEEHVEENAKAEADAL AEVEHLIKNWHLPPAAVIVEPIQSEGGDNHASPAFFRGLREVTQKHGVLMIVDEVQTG VGATGKFWAHDHWDLPTPPDMVTFSKKAQTAGYYFGNPELRPNKPYRQFNTWMGDPAR AILFRAIIEEIERHNLVENTAKVGDYLFGKIEELAKKYPQDFQNLRGKGQGTFIAFDN PKRDQFLAKAKKFGVNIGGSGAHAVRLRPMLIFEKSHADILLEAMEKIVTSW PFICI_07710 MRLVKALAAALGLAYGAVCTSNNLTEAVTWDKFSLSVNGQRTYI YSAEFHYQRMPVPELWPDILQKFKANGFNAISVYFFWSYHSPNPDTYDFETAGKNVQR LFDYAKEAGLWVITRAGPYCNAETSGGGLALWGSDGSLGSLRTADETYHQAWLPWVTK IGEIIAANQITEGGTVILNQIENEYQETNHSPNATQVLHMEQIEEAFRDAGVIVPFTH NEKGMRSQSWSVDYQDVGGAVDVYGLDSYPGGLSCTNVETGFNVVRTYYQWFQNYSYT QPEYLPEFEGGWFSAWGSDTFYDECTSEHDPAFPDVYYKNNIGQRVTLHNIYMTWGGT NWGHSAAPVVYTSYDYSAPLRETRQQWDKLFQTKLIGLFTRVSPDLLETEMAGNGTGY KLSSTAGFSWVLRNPESGATFTVVQQASTKSMTNVSFAVDLDTSAGTVTVPTVELHGR QSKILVTDYNFGRHSLLYASADVAVHGVFDDADVLVMYLKEGQTGEFALKGNHSLTYK VYGDSGFTAQTNTTRNAFTYTQAAGTTAVKFSNGVLVYLLEQSTAWRFWAPPTTLSPA VRPDQQIFVIGPYLVRDASLRGRQLVVHGDNDNSTTIEVYTGKPIESIQWNGQHLRAN RTTYGSYIAEITGAESRTIELPSLTNWASADSLPEVASDYDDSKWTVCDKNSTLSPVA PESLPVLFASDYGYYAGAKVYRGYFDGANSSTVYISTSGGLGFGWNAWLNGQLIGGHE GNGTLTNIAANLSLPLSALKATDNVLTVVVDYHGHDETSTAKGVENPRGILGAYLLPS GTSTNTGFKLWKIAGNAGGPDNIDPVRGPMNEGGLYGERLGWFLPGFDTVSSSQDFSD SSPYEGLSQSGIAFYTTDFDLYIDEDLDVPLGFEFTAPEGTVARVMLWVNGYQYGKYV PHLGPQTRFPVPPGVINNRGTNRLAISLWAQTDAGAKLDGITLFSYGQYQTSFDFNQN WEYLQPGWSDREDYA PFICI_07711 MAISWAFRAIVGIVALLTTVAVVVLEIANAQTRRNAATVQTTAA VATTLEGLTLVVMAVLVCMSFRHSTYYGPSKLSAIWFPLYVTGVVLATAASVVALVFV DKEEKPAEILGTSKMSYLVGTSVALGLAFTFQLSFVIIYFMGSRVQHDEKQGLSSPSG RFLPQMRMKSVPYSRTAESVIKPAEVCSFDMMSPPGSSGGETMRSSLSHRVRPMDSKT RLLSTRSSHSIKSTPSKRRGRGMSFDTMPDVEEGFDSWDTSSVDTQNRQVLDTAMPAA DRFLGALETIPASPTVSRSSSPYTAADIEPPQAAMHRARSYSPVPRPPPALHSYGSTG ELHIHPLFRADSPTPPPAATPGTSIVAAPEGSRTLSVKKITRMRSGSLPTTSSPLSRQ GSIDSMRSKQSVSPGGRLSPIPIEPPEERQMTPPLPDWILNAGSRTSLTDYHLRKQRE RDGTVDSGFESTF PFICI_07712 MKVTFKDLKQQKFTLDVEPTDLVSAVKQRISEEKGWDPKAQKLI YSGKILKDDDTVESYKIEEKGFVVCMVNKPKPAPAPAAAPAASSSTANVPQTPASART ATPAAPPAPAHGSAAAAPPATPTPAGAIASEPSDNSMAMGAARAEVIANMEAMGFERT QIDAAMRAAFFNPDRAVEYLLNGIPESAQQPAAAARAPSAPAQPAAEPAAQGGDDDGG VNLFDLAAQAGRGGGSGGAAAGAGAGAAQGAQGGLGNLDFLRGNAQFQQLRQVVQQQP QMLEPILQQLGAGNPQLAQLISAHPDQFLNLLGEDADDDAALPPGAQAISVTEEEREA IERLCRLGFTQDQAIQAYFACDKNEELAANFLFDQGDDLDDPQN PFICI_07713 MSEFAPKIRTPVTELLGIKHPIVLAGMNGTSSPRLAAAVTNAGG LGVIGGGTYTPKQLSEAIDELKSHLKDKNAPFGVDLMIPKVGGGARKTNYDYLHGNLD KCVDIMIEKKTALFVCAIGVPPVDVVKKLHAAGVLYMNMCGAPKHAVAAAKLGADLVC ATGGEAGGHTGDIPTSVLIPTVKEAIRDFTSPHTKKPVMLLAGGGIYNGRSLASALAL GADAVWVGTRFILCDESGSTEWHQEQVRNAQHGQVVRSTIFTGRPLHSLATPYLLQWE EERKAEMKRLEAKGIIAAQHDREQRPDDDSIQEMPILMGKVAAVVDKKLPAKQIVEDM IEEAAKVLADNSRLLVASSKL PFICI_07714 MAENLSSPPPPSTCFQLSFPRPFVLLITINRESAMNSIPMRGHW EGHGIFEWFDKEPSLRVAIVTGKGSRSFSAGADLIEQRNKNVDGGARKPTVPQTMPPS GFMGVSRRVGKKPVIAAVNGYALGGGFEICLNCDLVVASPSATFGLPEAKRGLYAAAG GLPRVARIFGMQLASEIVLADRVLSAAEVQHFGFARISKSPESLLDEALDLADRVGTL SPDAVIVSRAGLRQAWETASVERAAQLTHERFADGLFNGENLLIGLQAFAKKEKPVWA PSKL PFICI_07715 MSAQVYKSKHIPASVPAETSVWQFLLRTDVDDIPKDKVIWQEAE HPERTINYGDAPNLFARGATGLQHVLGLKPQDTILILGMNDLNWVTLAHSAVWAGIVP AGVNIVASAYELVHYITVTESRVVFCDSSAIEKVQEGIAMLPKNIAKPTVVGLGERGS LSLAFPQDFVGAKEPMPPVDLSGQDNGKFVGGICFSSGTSGKPKAVLLSHRNILAYIS GSRTTVPEHVNFKEREVFYAPLSHIYGFIAALFVPALSATQMVLLRKYSFRDYISACV ATKATVLRMVPPTAVAMAKDPWVTQQDLTGIHTIYCSGAVLPPEIIARLEQLMPKSSI TQGYGMSEATITILKTSSAKPKAGSVGRLVSNAELRVVDDDLKDVSPGSQGEILVRGP TVFMGYKNNPEATAEAFPFQDGWLRTGDVGRIDQDGFVYLTDRKKDLIKFKGLQVPPA ELEDVLLSHPLVTEAGVCATWDDAQGTEIPVAYVSLALTVAPSDRDRVLAEVRAHHDK RVAPYKKLRGGLFYLSPLPRNPTGKLLRRELPARKEAGAAARQGASQERAKL PFICI_07716 MAAKANVYATPPEVDFAAEVGSMSRTGVDGFGQDQLYVPYDALV AFWTPQRISEVVDAYNLQTPRQIIKDKYLRIFSILVYGNCVQYFPDLVSRLIYDGHLP LSHRPATWPDADPFQDMLDSFLSNQWRFCPLIFKPSRLNGHELHSQQIFPLLQKTCLA GSNVFRVEVDASCDKLPQTDHGPQSHTYVLKTYTGKSGRKLYDNERKALTVIARGNRN NIVKFFGSFAQNKTYNLVLEFVDSGNLLEFFQKTPPPASSQDVHDFWTSYSGLFEGVR EMHQVTASYGRDDIYRIVHQDIRPENILLGRSGKVSRYQFQPKIADFKYSHMKSVRSD DRDMFGLDRLGRQRYAALDFSHYEPDLHRGPNRITAEADIFSLGYMTSDAAAWVLFGQ NGRTAYHQKRLLEAATIQGFKGSGYGGCFHDGIEMLNAVKNMHREIKGHEPRDQLTSS IIDVVETHMLSSQPHLRMPAAQLKYIFEEILNGRIPAFPFEEFFRGSLKVAPAQSLRQ RKIAAEDSVKTSSPSSVQGFDKKTKNDSENIAGSMISMTSSGLTQSQMHSSSFPQDTT TAPTSTGPQLSSLNEEDRMSSDDLYSDAGSIAGTEHTFANMFQNELLQDFDVLDMAAE GFAPMLSQRLKEFAIRLGHEEADKDHQDMKYIVHKYHQRLANEICQRRDDPEKYSQSD KISSQGTEISSSRGDPTFSAFYLDEGNIRKWNAERTIETMADLPEEIPAEEPDFLEDR ISRGHMALRASEAYKWLVSTIRRQVTMADVQAIQMESHRKWLLTLLSTPQSTNQIPRP SKVQRRRQPPIYTVKMRLNWDIMRFIGYQEYAEQDLNSVVSRTITLSGDGLHVQALPC QEYLEQCWPSIGPDICKLMDELVHDPKGSHHHILPDKTEISVEIDGEAVLVESTGTEY GLAEVTEQLLWLSAALQQPTDELYTKLIVAEPTGVFIPTKESKRPSKAPGFAPEHIGT VDCSVNFISEPLELSPEDSSGSCWQSLFHHRMIANGYPIPPRRSKRPGLEIPLDMMAA LAGADRLTTFGERLLLKGFSTILFPSTLDDDCIFWHLERTDGNSRISFADKRIPPTSQ TESFAYVGQINQARHIVGWAANVKQKIGKDMEANYAVGPSLLSEREANSGFVLEKVNL SAGYYITVGSTFARGRREFGPVIAREGDFRQELRDLKERFIILHDVGTRTAWLSDGLS VLLHLIRANIDSGESLDGLLRQEDLKEMEGKDGRAFETLKTESNLWLRARRKINLTPR NETETKVTETSSYIHLGDILKDIMHILWQILDNQADPKDKSPGFQLRLSPWNQLEGYD FRDIARVSRTIKPKKITLRDDAEGWVSLTRAIDAPTLFGRDFGSLLEPTEISSNGICV HCHWNCPVPEDRDILAVLGSDIEWVTGSRGNKVNGQWRILNDLYLDGVQTFHSRCPYA NQQGDRIIKCQQRILQVKQGDGPEEMQKRKGEQQKRRLWSRWKSKVPHPEMPGNLEDL PDLNGRGLIIGMPNKRLQKNRRLDSSSRTIARAISESRTSMPSDKSCVSFHSKSAC PFICI_07717 MASLDILPDLVYDSKIETEFLDSCWRHIFYDTGSSAKQRRIPRE ERWIRREFVGRGTYGSVYLEQCDIGNSQKLRAVKEIKKSIRPGEKLDYIRELEAVAKF SHQKYSHCFVQSHGWFELGDSIFISMEYLSNGDLQRHLHAALKEPEARQITSQVLEGL TFMHDNGFVHRDLKPGNIMVVTTGPDWFVKITDFGVSKRRQHGMSSLHTQRGTVAFMA PEIFRSGGSYGSSVDMWSLGAVVYRIVTNKLAFSDYDGLFQFSHGMSSFPVHEFAKNG VSSEAEDFILALMKKDPNERLSVAEAALHPWMVENHQDTMTDDASQSSARSMSSTASI HTSHAQDSIASKDWSLSSGGLSTTRQDTLDVPVIGVTTKMTTTTVSANVDTNIIDTDT TMKTVAFESPRPLNYQKTPVHDVPKEVELRDGLPANAPLVLETRKINESLAHQLDPGV KFEAEKSHQAEFQNIVHPARTIRSPANLQRGGNDISFRKNAILTKESSGIDLSNSTDP TMTVVGDDINVKANNAISQVSKSTAGEDSRR PFICI_07718 MTAVSLLALLVSSVAAFAAYRLYLGYTQRLTARQHGCQPAASYK HKDPVFGLDIFLRTGDAISKNTFLVEHQRRYDTYGHTFESLNLGSHAISSIHPENLRA VFSKNATDWGVQPLRLHNMSPFCGSGFITTDGSDWKASHDLLKPGFHRSNISDFGPLE EHLGILFGQIPRDGTKFDLQPYLLKLYLDLNTLFLFGESIGMLSGTPPPHAKGFLEAF QAGFNGCGLRIALGPLNFLMPKGSWLKACAKVHQFADMYVDRAIDYRDKANLDEHGLD KTRRRTLLFNMAQATADRTVLRNQAVQAMMAATETTASLISHVIRNLASHPTIAAQVR AEVLAMSDKPLNFDQLPRIKSLQHVITETLRLYPVFPQNNRVALKDTILPAGGGADGA APVFAPTGTLFDTCFATLHRDPKIWGPNANEFCPSRWENDYSPPPFTFMPFGAGPRQC LAQQKATMETAYIVSRILQEFSGIGREEDQPYQAQVALTAKSAHGCLISLTQATR PFICI_07719 METPETRSLCFRCSVIDLSPLTAPLPVSQESHRDPASKYEEQLA VYIQSFNPLHARNKPECNLCNLLAVCANQTGVEVHKAVAIRPKLVWLADVEHGSRNNQ SARLHRSGQLHVWFYDKIEYKKSIDGDNVTLKSAVASWMLDIVEEHSKSSPCKRMPIS RHADKTMIRNRLATCDSSHTHLPGFGHSASRILHIVSRGLLRAINTTTGKIETLPSLT NFVALSYVWGNKTEPDQSLNAFPITAYPATIRDAATLAQSLGFDWLWVDRICIDQSNE NEKAVLIPYIKDIFATASLTIVAASGDGAHSGLPGSPHTPRQGETPLMLNIQARAFED VPELSPAESSSSLNRHVRALGGVLRLLPAQPSFNTLLNTSVWRTRGWTFEEEVFSRRL LYVFPTEIFFSCDNGTYRESTGETFVSEPLGSTWSDFGATPPLIAGELNVAMQKRRNS STKLITTRQFVRAVEEYTSRNLTFEEDRVEAFAGLITASTDQIGGISEASLLKHGHPL SFFETALTWHPESDSPVRLPTHRKPFVPSWSWASAGSKVHFLDNGEEDNKSNWFRYDG IGNLDVVGLPSRDILSRELGLYFPTELINSQPWLEKMPKNSPAELDATVTPDVVSIRS QKLPTLHLVSIVFEAMFVKASEGLHSLQLVHDPGVRVTGHWATSSSFISGRQKFAIVA GNANICIMALKPESPGDTFSRMGLLRVAWYSSDLLYSIMRQSRARWEYIRLI PFICI_07720 MDPQLAVVLAQIDFAQIPFEKSRNNERSNFMNPESLSYVGNAII LSTWPLMVILLTLRLYVRARVTRSLGLDDLLCALAASTMTAISGISLYLFKTILGHHS WDIRLVDVTPHFLGLLPVLQYLYYVAALFAKSSILIFHLRIFKPNKSARVIIYGFLGL VTVYYLTSIIYTAIICRPQGSKMSSDFDITQMLDGVNLTSILQQPGQNVTDVLFELVV TGITEHTKDIRNHNSCSMPPTRLSAVSGVFNLFTDLCILILSIAMTLRLRLSVRKRAG LCAVFLIGLLACGFSALTCYYRIQSVLTMQYDFTWSSVLSLSTGIAEVNIGVLCSCVP SLPILFKRLIHSDTWNSIKYMISNRRKGSKSSDSARSQDPQSSPAVPARRWLKVSVAR GAMTGLRTLIRGDDRPETITMDSSQTYDDLTSVNDDYHQYIGNGHKSAALLPTPDRSV SGDTRD PFICI_07721 MEASRPEAGDGIKILHASLYRMGTWSMAKAYQTLGYTTYHGLDE PMSVDWRLVEQAAEATWPSVPNASPRPPFTRDDWDSLWGNRYDAVCDTSAPFTLELFK AYPHAKVVLVQRDFDSWWSSFQKEILDKLFAPFFEVQIFLAWYLVGFRGGHAMRKLVF GFFNATTRTEIEAHGRETYDGFFKQVRATVPPEQLLEYRLGSGWEPLCEFLGKDVPNI SFPHLNERKVHSEGISLEKGDILFAMSKKAMLGTMGVGISVAAIWWLLGN PFICI_07722 MASDATIQQMVAASIFLIVLAATCVIIRFGVSLKNASTLYADDV MSIVALGLLIASFVTDYLGIEANKELTEGHTESDPTPLILLIYRLGAAVSICSGLVSW ASKAPIPLLLIRLFGIKRWLRLTAIIALTTTGIAILVTTAWSAAACDSHGTYTTEFAS KCFKDGGTGGVINGVFSLALYVRLAGQNASVLVNE PFICI_07723 MASAKVERTEDGLAVIHVALFRMATSSLAEAYRILGWKVHHGTD DNLGNPWKAIERAAEATWPFVAPEISPPRERFTRQDWDEAWVKDYDILTELASPFADQ LIKLYPEAKVVIVQRDFDDWWPSFKSQVLDTLFGPQGRILTFIAGNLLGIRSGQTMRK VLFGFFHASSVAGIEANARKAYNDYFDNIRAMVAPGKRLEYQLGDGWEPLCAFLDKEV PDVPFPRKNDSQAHKETFASERSDLFYKLGRKLFKGSE PFICI_07724 MSTPSRVPSQLPSRIPSTSEVPVPTQSPSTAKAPSAVPAATGAS SVAASRVGKSVLPSQRPVSRVPIISASRTPSAAGPVGESPATINPSIAGGKSVYASIV HGARRPGQQMSAVPAGFGADALITQSQHDQQQRSILPSASPSKGPSTVTGSHPSRLPP SPSQVAGVDAPVPPVASKLPTKLPTKLPTIVSASVANDTNTAGQVPISQIPTITPKPP GTILPSIPSILGVSKAPASPAPPSVIPSIIGVSRVPGSSQVPGASAIGRSVIPAPGGR ILETTYTTPADLKRAERQLKYNSLTWEQKVVQDAWANRKGLEMAPCPNNFGWERHAQC PGYQCSGGMHYIPDVLIAEGVPGLYTQMPPPWGFGQELTPHPSQRIPSGFFGPVRPIG QDPDTKRWKFPPWSYQ PFICI_07725 MEEDRLWKFSKPEWLNSAWVRNGGVYSAGALFSLAFYVLLDAAV WSKSANNGSDVHVTFVDWLPLIFSSLGMLIINSVEKARLQSDSFSYSGSGVAWKARVV LFLGFAALAGGMAGGVTVFVLKFIVPGVGWPSLGMGVENVVANGLVGLSSVVLWLSQN MEDEYSYNLSL PFICI_07726 MASNPIGSAFRSFWHTMTSYDRHSSYDSPYRTGGHMPLNRQSTL TSVATAAESRADVSSPYGDETGRLSAIDTSTSYLGAGMPSPSPMSPGNGPYSPGMRSL NAKRENGDGFETINSPGEIPMQSFADGPPAPPISHSWKRIDNWAEENYPELWDQLCEG CTNNDLNELEHQLDCSLPQDIRDSLMVHDGQERGGRPTGIIFSSMLLDCEEIVQERDQ WMKVNQEFLLSTNIVKPAVPSKAFGGSAQASSSKSTQSSPAGSQSSTWRDDLLSKQDS VPQGAIQRVYAHPAWIPLVRDWGGNNLAVDLAPGPTGTWGQIILFGRDYDIKYVVARS WAHFMAVVADDLNSGRWYIDEDSNELKLREFNTNRVEPAYFDILRWRMDQKHGRGAKK AAKRRSMGPRGAASPSASPYASPVDANGNGEVRGRTLQRLSSPSPLTSPIRPGYSKSS PLARVTEETTTSALVAPQLAEIDEDPAKLVEVDTPRQSSDAKSPPANKLPNGDSTTGK GKASAKAEVASIDSDDTDIDEGMKTIEI PFICI_07727 MADRYGASYRNGDTNNNGYGSYGRRAPRPPPIEQEEDYDPFGGD GFAGGDRYGTPPQQMSSRRPPPRNGGYGGAGIAANSYGNSSASRLQRAQESSAERRIA EVLERVKEDWPALCEPDCIPASLALQLLDNSSVGRAHEYPNFQEMHQYLQDSLKGIVH EHHQGFNSSIGTFHKIQGSIQASQKRVRALKDSLVGSRAALGTSDPELKKMYKTSQTY DEVLQTLNELEDLRQVPDQLEARISEKRFLTAVEVLQTALRKLRKPELDDIGALSELR GYLANQETALMDILIEELHEHLYLKSPYCQERWQSLSKNHGAFSSGNYGDQDVITPFH YVLDSMELETQLAEDPAKNPEADTFWYVQLLVESLNKLGRLEGAVDTLKQRLPVELFS VVNETINEVDQRHPSSLRGGASNAEGLHIYGSRETQMRADVIYDLLWTLYGKFEAISE GHRVFHETIKALIKREGSGNNSSLLGSFKELWNLYQNEIRSLLHNYVTTDADVYHFNS SPKLGGNMNGGKIAGRDNLFKFSEADPKSIEMTTEYDALDGIIQAAVPGLTSDTRKTK DSEKKAGRDQRKSANTGAFENRQGPGSYKSLVEPSVFNMSLLLPPTLVFLQRLKVIVP PGSDLAASTLTSFLDNFLVNVFQPQLDETLAKLSDNIFAEIDTFQEDPDWSTISRRPI FRGTTQFFTVVTAFCQMLDTIPHDQALSSLIISQMNRYYERCFAWFKSLVAKAHEVPS AAQELRFSAQLATTEGELQETAKKLWALESPDRELIETEVSLLISQTSERTLGPSDIV QDRDVISSLCLLYTSMKWLNVKIGGLRYITHQDTDSSRPVLPRNQNRRWTLLNDPKKA VGDRNGPVYLPMTQETVQSFDSIVTSYDDLASTVLLTLHMEVRCRTVYSLAATLSPIT APYVLPLDQEIKEPDQTILSLNSELVAYDETTVRFLREREIGFIRTGVGLLINSYLVG KALQTQPMNASGCSRMKLNILVLQQNLKNIEGGIDLARAANYYSLFEAGPDGIIEKAK ADKERGPDAIPAEEKFSYDELKAMVELCYSEQLANPERGIAAAAKRQMGEKNLALSEY AWQN PFICI_07728 MASSLLVGVSLAVAGVSAAGQILAPTQDINFPASETATDPLKWL GANGPWYAGPDVNGISSEVPENCYVDQAAYILRHGSRYPDSGAYSGWVSMQERFTAGN YTAGGSLSFLPTWKTVLTNPALQIAMLNPTGSKEVHDLGYTLRTRYPDLYQDGDEFLV WANNYTRVLQTAKTFVQGYLGFNAAANGSVISVTSKGFSGAIGNSLAPSDMCPNFVDA SGGTNKTTWDDLYIPPIQERLQALIQGNLTLTASDIDQIPYLCGFESHITGRLSPWCD VLTDEELKQYEYSNDLRYYYGVGPGTDLPKKMMTPYLNALVGLFAQGPNITGIGADGS DFKVPNLLMSFLNDGQLTELVTASGVFDAQEPLSATEKDDERLWVGSRYVSMRGTIAF ERLNCIVAAGSGSGSGSPGNTSASYSVSAYQNATTLSSKTKDACKAKTATASSFPALI TAAPSHGSLSSRQETTNATYVRIRLNDAVYPVPSCRDGPGSSCLLSEYVKYVADKYEA EGDWLVNCNVTDDAAPTEVQGASFFTDLSSPWLQSVPPY PFICI_07729 MPTRVPKPEDFDDLSSSLKFALTYPEVPETTTAILIIFHGLGDS EAAFTTFAKSINLPGVLAISVRGVSPLPPALLGLPDSAAPVNHFHWGDDLTLSPSTGD LDPDPGYTKAVDLVLGKLIRETLISKCGWDTRDMLLFGFGQGGSLALGLASKLRGPLS ERITNVTNAEPAAAAAAAEGENTAFKGVVSIGGPLPASTIPTLSAREKARSPALVCHG RASEALDDDALEVLRKEFVDVRDVKWQKPDDGMPGSREEMLPIMKFFAERLANEF PFICI_07730 MAVELSSAPSSSFGALTENPIARSLSSAYNAFSDRRAKLGLSNP GSIEGVAKEVQREVFLTNYMHTGLRADLTKAFSLSPLFQVSHQFAMGERMQPYAFAAL YGTNKVFLQGSMDSDGSLSTRFNYRWSPSLVSKCQFQIGQQDMAQVEHEYTGADFTSN LTMLNPSYIEGGLTGIFITRHFQSITKKLALGLEAVWQRAALNQPPDAAMSFAGRYKS DDWIATAQLHAQGALNATYWRRISEKVQAGVDLTLQLVPGGAGGMMGGLQKEGITTIG AKYDFRMSTFRAQVDSKGKLSCLLEKRVAPPVTMSFGADVDHATQQAKIGLGITIEAG GEELQEQSETLGATSPNIPF PFICI_07731 MSGPPAPSIPLFPADRSASPGSRARDLVNSRNGTPSVLASVPIH PPPAKKIRQVHFGDICYSDSEAASETETNDISTAELAANAPRYRRKSSTFIDGIHDTG EDSSDRAPAQLYSTMSGRLFHSGRIAIVMVGLPARGKTHICVSMARYLQWLGVKTRIF HLGDYRRATVGDDSDVPEDYFFPNASPASVMLRQKILKKCREDIYAWLNHENGQVAIY DAVNPTASGRRSLAKEFAKHDVQTLFLESYVDDDKLLRENARNVKINSPDFDGMDPDE AAGLYLRRIEMKIPTFETMDENELNYIKMMNAGEKFFYNNVSFNYLSHRIVFYLTNTH IKSRTTFFARAGTATEEDSYKADAPLSDAGREYANIMCETLIKHREQERAALRKEGGP DIPLRPLTVWTSTRVRTIESAEPFRDRGYKVRQRSQMSQINPGVCEKLSERAIRRLYA DEVEKHDLDPYHHRYPRAESYHDLAVRLEPIILELEREQNDLLIIAHESVLRVLYAYL MHCSTMDIPTLKFPRNEIIEIIPAAYQNEAKRIHIPGLDPKMVPSSPEDIRIPVPSMP GSGTDSPAPLPNGGLGSPAELSPEFERKPERIVSTAVDAVKDKLTDVD PFICI_07732 MKKAVPKRFLTRRDKHAQSRGKSADPAHKHRPFAPDAFFALFRP TAKQAEKDKENQQDESAKIDEVIKRLAELDIENVRKDHVTFALHSQFAAGDVDKAVEI MQLQRKTYSGTIIPYDPNVHMVGAVNRGMVTCYLDALLFAMFAKLEAFECMLTTELSG EPQKRLAGLLRLWVNLLRSGKLIHTDMTELIQEALGDCGWHDARLVEQQDTSEAFAFI TETLQLPLLPLQVDLFHQGKNDEADHKVVYERLLNLAVPPDTDGKGIDLEDCLEEYFN SEVHVHRDSLDDRKGGLRATLTPIPQTPTPADMPSTPKSTIRLVHEDENQNQDQESQD DKPSAAQTEVADETHMNPLARRWTENSASRPSTSQGPSSPTRPNRSRTESIIQRVVLD NKDKSTESEPAGLFQRAKRTASVVKAVTIPAWQFFRLIPWHSPAGKDPVNDLEVARHF SQRPVVGICLKRYMVDENGVPRRQNTYIDIPDSLRLPHFIVDERHVEESGLSQEYKLV LQSVVCHRGDSLHSGHYISFCRVAPKLLTDNRKHDSDPPPDYEEAQWVKFDDLEASSR ITPVDDIKQSLKEEMPYLLLYQIVPIVDVTASSADENETEPPSYDDTALQLRISQASE RPVISRRASSYFDNLSTLPSTNASVRFSAELERPPRRSFADEDGFLNVSRRGSCAIDS SAGPSPIGTPLEAPGEETTAQRLSRAAAKFRSSGSKSRPQSQAGENRISLTMSRLSGL VRASKEPLREPLRDDLFSDPDDFEVIHHPNGATTSELEKEPEESKDKHKDKEKDSKRG RSKGRLDKGKAKEKTRKDGDVPDRECIVQ PFICI_07733 MPFTNSSIAALLTAWLAIGTVAVSSCGISVDASLSVSTTSGDLT GTINATSPNVRQFLGIPYAEPLVGALRFQPPVRYTSDEAINATAFAPSCLQTISTGSS GSVYDLLPELLLGSPVISEDCLYANVYAPLHPVADKLPVFVWIHGGGFSANGANVPYQ IPDQWIESTQGHIVVTLNYRLNFFGFPNAAAQPLNVGLLDQRLVVEWTRDNIANFGGD PDRIVLWGQSAGAWSVNYYGYAYPEDPIVGGLIADSGGSTVFTTDPSHSNFTSVAAAA GCGNESDAEAELVCMQGLDAATLQAVYANTTGVSFGPGVDNQTVFANNTERAAAGLVA QIPAIIGTNSREGSGFVALPANGTAPSEADILAGTTIIACPTVQEIQNRLLGGLTTHR YEYTGNFSNISPLPWIGAIHSGELPLIFGTHFQYNGNSTEFEWQVSYAMEGLWLSFAE DTSSDPTYESFTWPEYEATTESMVVFARDNLTTQLDYGSTVDQICS PFICI_07734 MARERSMARFNLETGKGRPGHRREKYTRQACETCKTRKVKCSGL VPCSRCVELDIDCLYQEPLSLKSSATDNTAASGRVAKRRRTSNSSSSDLGQLVLTMRK VCDEIESSASSYDLTAIPNRSLKRSLRHVVSRNPTVASPTPGYVALLSRAQDFLHQKG FVETCTSRENAEKPATDVVANAPAPADDILRAAKPILHLGQAEALRYLSLFKDHVYSA YPCINLSVATERLIALYSARTPPGGGNKFQDLGLDLIDVELMKATFSIAMILDGEHDN PLCRDLKAHLLWNTDFTMNEEHAQVEDVIMATLLTIYLILKDKPLQAWRMIGLAARTS LELGLHTEKPVGNTDQPPRLQNREIFSCVYDLDKRCSFFADLPWALHYENIDAKVLNL NDRHSYMSAMLGLNRIHTEVINFNAAARQGSNQEMDEQIEMYDYRTQKLMDKICAKGL FPASVSDTPPVEVQNTMTSMLQLRANQIRMSAHIRYLSNSYDGESNKDQAIHTIVSLA MSSVDVYLGMLDTSLLWRPLADRLLMHSTSCMFLAASQDSSKYGPLCRKSFHTAIDCL TRSSYRPTESASGCSLDDMRSVAGKIQMPPFDESPAPEEPPSGYLQSVSVFDNNLSLS AEELAQLCGDSGQSSMSAFRDAASIWENQFNEFAIVE PFICI_07735 MLSLLTSSPYKWPILFGISVTFLILYKVIGALLDPLRDVQGPAL ARYTRLWEVYRNWEGQLEHVTVALHRQYGPIVRLAPNRYSISDPTAIRTIYGAGSKFS KSSYYSPFGAPDMAHADVFSETNNAKHALERRKTSNMYAMSSLVSYEPFVDKVNGGFM DALAGHARTGRAFDLFSWMQFYAFDVIGEITLGRSFGLVEAGYDKDALLHAVHVGSIT YGSMVGLVPELHPWYLWLQNVLPIPSHWKDTQRVILREIGARMEAGEGPDDRKDFLQK CVELSKEGKVDEFTINNVLGSNIGAGSDTTGISMTAIIYFLMKNPKCLQKLRDEIETA QREGNLSDPVTFQQGQNLSYLQATIKEALRLHAAVGQILSRVVPEGGAQLAGRHFPQG TVVGINAYTIHSDESIWGKDVLEFRPERWLVRKEELAILDQHFLAFGAGARTCIGKNI SMLEMSKLLPQLVRRFDFVPAGDTEWKTSSGWFVKQKIQVKVIDKEG PFICI_07736 MATLGSEVAQTVLTVPMEKGEHERRFGLTSPWEHYYHPMDTFPQ GRFECELDEVVVFGDIPKDISGTWYRILVDPHFCPQEGIPFVDGDGHVCAFRIQDGKM SMKIKYVHTERWLLERKAGRRLFGRYRNPYDNHPCVRLANDTTGNTNIIYWGGNVLAL AERGLPYALDPDTLETRGADPYGGQVAAKTFAAHPKVDPHKNELVTWSYSAKGLSTRD ICTYSIDPEGRISNEHWFQQDKAGWPHDGWITDNWIILSNMPFGVNSDEVMKAGGDYW HFIPGQPSEFLVAPRYANAPGHPDWKAGEFRKYTAPHGLIIHCGNAWEEEGGLLKLES HFVSFNVFDFWNPKDYTGPEGRPSGDWKRWTIDLSQPEGTAVPPPETLAAGTFDFPIF DERRTGRKTKIVYLTAMLVPEEAGIQPRFNAIIRLDTETGDQAVFRAPSDGSVAEPAY IPRGPDCDEGDGWIIFYTQRDSSPKGELMILDTRDFSKPVAIALLPFPTKNQVHGNWV PNPHPETPLPMLTSPVEDVTPTTKYSQLTKLP PFICI_07737 MASIDYVFKVANYESQAVNLTATVHLPKDISSIKGIALYFHGGG YVVGSRAMLPAAYIEALHEAGFIAVASDYRLCPTISVLNGPVADSVAAYEWAQNELPA LLQRDRGIQVNGKNIVTLGHSCGGGLALLMASRTHPPKAILDLFGFKYLRDPFYHTGV SAAPSNASAPPPADFIEKVFDEYPPPVAAPPPFGPNGPDLSTPRGAYLVTSVRKGIQF DKIIAENEYDLVDPEKLLKRPDFPPTVFVQGTADVVVDAKFAKRAHEELQKNGIKTEL HLVEGAAHGFDARLKRDDAAFALIQKGVDFLAQCL PFICI_07738 MIEAMVKGQKERRDSFPKFITCPSEMVALSMADGYARVTGQPQA VIVHVDVGTQALGCAVHNASVGRAPVLIFAGLSPVTLEGEYRGSRTEFIHWLQDVPDQ KAIVSQYCRYSAELKKGKNIKQMVNRALSFATSEPRGPVYLQGAREVMEEVIEPYKIR QEFWTPVELGGLMPKQLDLIAQSLVYAREPLIITGYSGRNTEVVPLLTELANIVKGLR VLDTMGSDLSFPADHPGWLSVRYGSDDAIRTADVILVVDCDVPWIYTQCRPAEDCKII HFDVDPLKQQMPLFYIDAILRCRVNTAFALSQIVNYLKTDLWSQISANQEQFDNRGSS LQASYKQKLKTIASQAEPNSDGSLGCGYLISQLRKACPADTTWVIEVVTNTFTVADQL QATLPGSIFSSGAGGLGWSGGAALGVKMAIEDRSGGKGKFVCQIIGDGCFLFSVPSSV YWIGQKYGIPVLTIVLNNNGWNAPRKSLLLVHPDGLGSKVSNEELNISFTPNPEYATI AKGASGGKCWAERAETVDELTRLLPEAVKAVQGGVSAILDARIV PFICI_07739 MSGPKLEHGGFPIPWTPTVRPEDETNMYPYRKQVPSTRNLPVGW TFAPGRRPFHQETVFDEAVEIPMRDGAKIYCDIFRPVTDEKVPAILAVSPYGKNGHGF RIFDNIPFRLGLPESATSGLEKFEGPDPVEWCPRGYAIVNVDIRGSWDSEGNLYIEGS QMGIDGYDTVEFIAAQPWCNGAVSMCGNSWLATEQWATAIQKPPSLKCIAPWEAFTDK YRDLICRGGVPKTNFASFIFNKTIRGRNLREDIGAALTEWPLFNGYWEDKVYDTKDLT LPIYALVSYSSGNHGSGTVRGFNRAASKDKWIRFHPTQEWFDLYTPRYIDDLQRFYDR YLKNIDNGWEQTPKARVSILTYGNRFEPGPMWDVPFADYPVPSTEYRALYLQDAGKLA TSSQAKESSVAHYADSYQAKPSEFQLTFDKATTLVGHSKAVLWMSCRDKDDMDVYVSI RKLSTSGEVLEHVNVPWEKLPEGVNTQYDVPMAQTVKYTGPTGILRASHRAQIPERST PMIPYHPHDREEKVTPGDVVKLEISLWPMGIHFEAGESLLFRIQGFIDTSSDFPSHID KKLDNLNEGQHIIHFGGKYDSSIIVPVVPLPSQ PFICI_07740 MASLREVPRAARHMLKTSSLQSSTTTFRRCASTEAASANSAPAV LDVETESGLSAPIVQRSEIKIQDPRKRASRREMELPHSRYRYHPPKYYRGPLHPVQPP PSSDPVARDFVPGPFNLPRLKQTLHSTVASDLMTLAYQHKIPGTPDKPERIRLRSWGD ESPYMENRPKRGPRGADVLLPLEQKITYRNVPEIKCIHIASFMPQAKKSQDYVTVAKA VIQSITGVRPQATHVQHSVAQWQIVKGDRAGVKATIHGHQAYEFLDKMIHLVFPKIKE WKGVAGSTGDGAGNLAWGFQPSQMMFFPEVEANYSLYPAKMIPGCRIFVETTAKSDRH ARLLLQSLGVPFHGKLID PFICI_07741 MEDKRLNDLLKWSINASTQKEDNTTDSAPVRSPNADAIAALLGG PSDADLMVAAMENVMSPDPEITLESKLTALDNLEQLIESLDNANLLSKLGLWTPLLEL LAHDEDEIRRMAAWVVGTAVQNNEPSQERLVALGGLEKLVAMVLGERVAKGDQAVDAA AEPEKKDVRRKAVYAVSSACRNYQPAMDVVSKELAKREGKEADKVDAANMDAVDVVIN GLREKVASA PFICI_07742 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAIARVDMAGRDLTDYLMKILAERGYSFSTTAEREIVRDIKEKLCY VALDFEQEIQTAAQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTYNSVMKCDVDVRKDLYGNIVMSGGTTMYPGLSDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF PFICI_07743 MRHAASSWSLPVAATVPLRPQCSLLQSTAPSPSPSPSTTSFSTT SHHQKKPVNLPPRPPPPPESEIDESFLKGSGPGGQKINKTNSAVQLKHLPTGIVVKCQ ATRSRTENRKIARQLLATRLDDLARGDESRSAVVGEVRRKKRASAAKKKRRKYRKLGE GEEEVAVDEEHEEILEDELEDVEHTRQDAQAQTTEMNSNHIAKAKT PFICI_07744 MSEAKIGIEYLSVGANRHTAGADWSENGLVAFGTDSNIALWQPN DDNVKGVGRILQGHTDAVKAVKFLPKLENETDSYLVSGGDDKSFKIWKIDENNSAACV KTVAEHTAPVNCIASLHSRQARQTHIFATGAADATVKIWRFENGEVTLLQTVKPSPKF FPLCLALSELSPQGEGIALAVAGTRNIVQVFVAESTPEPKFELQATLTGHEEWIRSLD FAFESSGESGDLILASASQDKYIRLWRFHQGKELPAAAAAGNDPTLGTFLPGKSPSNK AHRLQAGDKDYSITFEALLLGHDDWIYSAKWFNDAEGRTQLLSTSADNTLAIWEADPT SGIWVTTVRLGEISREKGATTATGSTGGFWTGLWSPNGQTVITLGRTGSWRRWDYNKE EINWVQKIGISGHVRSVTGISWSKNGEYLLSTSLDQTTRLHAKWKTGAGGKTWHEMAR PQIHGYDLNCIDSIGSSQFVSGADEKLMRVFNEPKAVAKLLNRLCDIGDSQIDNLPDA ANMPVLGLSNKAIEAVDDEQEIEAVNPEKDREALDPGSTVRKSVLEIDHPPFEDSLSR HTLWPEVEKLYGHGYELSSLAVSHDGTVIASACKASSLNHAVIRIFETEKWTEVRPPL AAHTLTATRLRFSSDDRYLLSVGRDRQWAVFARDTEDKLQYKPLQTNPKGHTRMILDA AWAPETESLVFATAGRDKQIKLWKKGASDDAIVLATSIPATHPVTAIDFLPRKDESGK FLLASGTEDGKVSVHIIEPETLAVVSSVVLESNLSLAKAVAQLSWRPNVAEKELAIAG EDASLRVYSLA PFICI_07745 MEISSQTTCSQPWTYVAGRRKPRNPLKAGSTFNANKFYAKALIL QWKTQQMSPDSVPATKSSRFPVMLDAGDEVKQLLYCFSLLISPERSNHCRGNSRSAGG TVTACALREQSLAKGVKKYTLYAAMNKGIGTQDEKHVLEVARWVQSRDSEISEIYQLV FDHLSSRIESYLDCISSDFDDVTVLSTYPWTEKLVELHGALSKAYDSGKERCDDWRAR LDHAAEFVMENRKHANDELFCLSDSSKFTKLEQMWDEIERLSRIPIAIQCLIAFRHKI INPETFQSEQKLRAQGI PFICI_07746 MKSPITVEEELLEIHRELSDSSSSDFEERYEQLRQAAIFVRQNR KHFELWNGQEGNLMMVAWKKVESATRVPIAVDFLDSCRKGLADTGSTFRIEFVESEKR SLFSEGITTRKIKDKAHDMARTLSRETRQVLCLNNSLLPQARGNMKRPKTVHCEIQLL EHLLDTLGEPVLKEFYDFIGCSKAPCWLCDFLVRHATGFKMSESHAGVYTNWALPTKL VKKPAIKSALRAIYLKMSEIMKTAE PFICI_07747 MSSSRPSAAFNSLRMGEIIREKVQDGITGETRELAYTQCKIVGN GSFGVVFQTKLSPSNEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVQLKAFYYSNGER KDEVYLNLVQEFVPETVYRASRFFNKMKTTMPILEVKLYIYQLFRALAYIHSQGICHR DIKPQNLLLDPNSGILKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKI DVWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQI KPHPFSKVFRKADADALDLIAKLLEYTPTQRLRAVDAMVHPFFDELRNPATKLPDSRH STGTLKDLPNLFDFTKHELSIAPSLNAKLVPPHIKPELAARGLDIDNFTPLTKHEMMA RLD PFICI_07748 MADHDRRQGGGNNGGGGGGYNRKRRFRDDDDYDRRQQRRRYNEP PPAHFRIRRQLLSLAESPLRRWHEEVQSTARLVADNYDKEEMKTNFLDLSAQLVIEQP LKTPFVAAVVQVANALRPEVAADVLAKIAPLTEEQIKIGDWRNVKLYLKFLACLQSCL EGDGLFPVLEELFNRAGELQTSSSDDTIGTELVKIILLTIPYMMASAPGQGHQKAAEL MDKTDVIASEPHALQVLIDPYHPDSDEESPAANSSLIGLLQKQLQNEANDGWQLSCLP RPWKLPLEEIELQEKMDTAQKHALPAITIPETVVAGPRPLFPEVYFSVYMNQDVESVP PLTNIAASLVRDGLLDTINILDFNRNVTARYLIDVDCYFADGTFIKRATPFDRLRDID NGRSTWKPEDVAVDAVFSQLFQLPTPEHKLVYYHSVLTEACKIAPAAIAPSLGRAIRY AYQNIHRLDLELTYRFLDWFTHHLSNFGFMWKWAEWADDVEKSDIHPGKSFIIGALDK EIRLSFAQRIKGTLPEEYQVLIGPEKEQDTPDFKFNDDHTPFSAEGKELASLLKKKSP DEEVQPVIDRIHSGALEHAIDPLVTSTDVFVTAVCWVGSKSLSHVLAAIERTKDRLLD IGAASEAARQQIITSVMEYWSAHPGIAISIVEKLLNYSIITPAAVIDWALVSGKAGSG GDALAKSWVFELVFQTVIKVTGRIHQLASAEAENGAAESETTAMRELFKAMEDALVSW AQGSKDQMLDPAEPMDGVENREKTIQRWGQRWLRVFRRRSAIEEAYLQAGTQ PFICI_07749 MPVIQTDCRGCGCGQSGCYSCEDPLADPFFQQPESESELEVKAE SVSESEQGDDAPYTEGPKLSSSLAPLRKRRRLADDGDVDERDGDVAPPVKRPDTDEVS FKEMVNALGEQTVRSTLIRLASMAPSAQVSIRHAYAQQLREERMPQHPLFYDSISKEL WHMLYTSPASDIYRRTSSVHERHSLATQTAVKIGQRVRDMFFGIHENSPFATRLIVLE TFRKILKSILLGGNCQELAGAVRHLFEPEPSSVRGGDVPDYLRMPGDYFFCVYTMSVE DRIRAGKTVDRDSTLADKFKWCRDEARRLGLKSFKPLDHLLREWGVPPDGQSWD PFICI_07750 MSPPTVSILGADGTAGASHPLPAVFSSPIRSDVVQSVFVGLAKN KRQPYSVSEKAGHQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNMCRSGRMFAPT KVWRKWHVKVSTGQKRYAVAAAVAASAVAPLLQARGHAISGVPEVPLVVDSAVFEGAK AAKTAAAYKILTTVGASEDVLKSKGSKKLRAGKGKLRGRRHRQRRGPLVVYDPTVDGK ELVKGFRNLPGVETSDVYALNLLQLAPGGHLGRFVVWTSAAFKALDNIYGSTTKPSEL KKDFLLPSNVVAQADLTRLINSSEIQSVLNAPKGTALTKRAGVQKKNPLRNKQVLLRL NPYHSAFVKENLGSKKAEEGKPKATPAEFLETLNEN PFICI_07751 MTYRGSLPGSGYGQNQGQQPWPQQQNMQSGFDAYGNPHAQYPQY SNQQYNQYQNAVFAPVGYPQQTAQNRNYVGVHPGQYQNYDPNFDQRPQQQQQQQQSQH YPGTYGAQMGQMHSAPAQQPVQQQAQRPNNQYMPQTQQLPMPQQQPQQQPPQQQQQYQ QPQAHAQSYGQQQHYQPQHQAHQIQHAHQAQQTPQQGQHVMGWQQMPPPAQSPMTQYQ SIPRQPTPQQKQTQQQQPPQLQQQAQQQQPLPQIQPQHRPKSISSHANSPLVNSPHAN SPVTVIQSPQLAQQNMPIKSRSVSSTSARIDEAARVSASPRMAMQGLTRSPSVSSARS PAPTPGLIPHQDTNSLLVCVAEEMFTKARGEVLRLADTLDPRDIQEYHKMIATGLGCL ETVLASNKIQPKIEAKVRLRYASILCEETNNIMEAETALAKGITLCEKYRFTDLKYMM QFLQLRMLSQRKGKAAMIAVDQRISDAELLRHTHWVYALRFFKATLYLQSSNPADIHG IENLKAIMTLAQQRGDTTIFQVACLLEGLSLLKDMKDDAVDKIQNCIAQARKYQLDPS AHSISQVEILLLMLDFICSLRQRSPKVILQKLKVLQTRMDETLSDGRWEYYSTEVLLP INRNSTGIAQTISKDTHAVIRVGAEHHSRDWLVMSFWTKIEAFILTYTVSGLGQLYGN PRNDKRIIDLWEEALSSLTKNGQKLRSNPTSLQEAIKGADWRREFKCYLQIIRGLHLA TISRWPAVWKCVEELDSLVKSPLEGVVGLYSCYLSGVYHQGTGNLAAAKSIYEGALLS LENNLDDNGDIQGLASMKQGHAELEVRVLSAFNRIWIMQHPEHRDDRLTTELIELLRP LCTDHPNIEIRTAFNLILAATQTNPPIGMTAAKNHLAIALNGARALGDVHTLSIALNL MQAKLFHNIVGDQALKSAKAGASQARRAGNRLWISVAEGLLAQSYEVQGQMVEARQSW DSGANFAKDALGGAPPPTT PFICI_07752 MADWFGRAALNYHASPTPISFETKEDGRKTLLDVVQASVPTCQL NPLLFNGHLQTCWTAVNKEAPAVHYKRRIFEAEHSGYSGSYVVDFYVPPEEASDEVDE SQPPRTTYFSEAELAHLEKEGSDDDRPMLVVLHGLSGGSHEVYLRHAMAPLLESGKWE ACVINSRGCAKSKITTGILYNARATWDTRQTVKWLRKTFPNRPLFGIGFSLGACILTN YLGEEGSRCELKAAIACANPWNLEVSNNSLKRTFIGHHLYSRVLGTAMRKLADTHAKE IRKYTDFDLEKIAKLTYLWEFDREVQTKAWGYPTENAYYRDASSVDSVMGIRIPYLAI NSTDDPISAEEALPYGEIRSNPYAVLCTTSLGGHLGWFEPGGGRWHSRPVANFMNYMA FEAKLDNIKASGSANGKVYHAGAEFDPLRRKMAVPLE PFICI_07753 MSGAFNIQPIGRFSGDSQAVKRPREIACFSYDDKHEFHLGDSSL KWYYPPELGADLSAGFDTFDKHDDSGDEHLDSLLKTIMAHEQQEGKKIDAQIIMSALF DDRDGFEMNATLYQDCIFIEEHHAYKQASKEKQQQQQQNRKPKRWDNRGRGGPGPAPQ FSPDVMTYWGYKFETLSTLPAPWGETSREFIENRDKEVVSNKAQYCSVVRTGLGKTIL CIGGEVDAVWDAKPMVPGAPTNWVELKTSASIRDDRDMNNFERKLMKFWIQSFLLGVP KIIVGFRSQDGYLEKLEEIKTEGIPVTAAQRGVKTWNANMCINFGAGFLEWLKRTVND EGVWRIRRQPKSSQIEVFRVEEAGHGRILTDEFINWRIKLSLGSTD PFICI_07754 MASNNPPPLQRPAAARPVRTMMPATHNNPAVSAPANNVRRNLFQ SQLTRRPTPVSSSNSAETLRLDVDVLSDTSEIVVRDKNGDFKLDDPPTPPMEDASEEG AIDEDRENERMTEPHSKRSNAGIRGGIG PFICI_07755 MATAKADKIEKIIARLQARIEEGQFYEAQQQTRVVAARYTKAAN WSAAIDILYNVAQSLLKAGQGGSGGDLSILLVDTYKSAELKPDASNKGKLLTCLRLFG PGEPTRKKFIGEIITWSSKYGEFPAGDPELHHVAGSLYAEEHETYDAERHLVLGTKDS PELLAKLEYEWFKEDDSHTAALYAGRAILPYLLTANIRAANTAYRYFTSSLIQDKGSA IGVQDVSSNTADIRIFPSIPLLNFLGLLLMTVSRQTPDLYRQLTAKYASHINEVEAWH EPLTMIAEIYFGIEKPRQSNPLMDMMSGFFGGGGGGGAPAGGSRRPAVKSPASLPTAE GLD PFICI_07756 MADQHSFIRNGWKTWIEYDRPELHDRVGYRDWLMAYEIPSPGRP FAEDALISAVERGLRDFQAYWPGNAKLRKYVRERITLALRSKDLSLSRVVYLMTTWGC EPSLAKNWLLQGPQGIDWCAKACIWLLMAAFPLRSSDPCYHGELDEQILNEGLHHNEW QNQCKRVEVQQQNRVRIALLPPTKSWEIRSWRMMALWRAQKLEWSGTRSSSNGLLMGS FKDAAAKITMLEFGLDADSGDSDAILLQQLLVIDPAHWQEFMEMNVRGELTIYHLLND RPREICYLPAQILVPHVKQYRQALEGADRDGALSGLIKHMRQFHHCGNICFTKPGEVE AILMTFHETGAPRHPDCADIDVHELEYDVSDCAPNCPRARSTGNTTWDLMLWEGCCAI AWR PFICI_07757 MSGVNNDHGGHKGKNFNPHHKSIHHGQGTGPESASNHNQSSGDW KNTENTGGRVNRGGYKGKNFDPNYHNRFKKLTHLFDPIAELRPRHDLPPRPSFQSQFD KEIRNIPTGPKSQRVNYNHTAQRGPPPPRPQQDQHDQNRYHHRKRKLDQDADQWMCSC PRAPTRCHSQLLQQYEDDCREYRTQLAAGEHDIEVLGTTLQRFARANPDLEAQLVACY EAVEREIIEQEYAYFRESGPENTPTSNSASS PFICI_07758 MPSVGSGRESSAAAATPHDETTPLLRDGDSNAAIPAEQSQTPNE NEEQVVIVEEITGIKLWLILCSGWMGVFLGAVDASIIATLSAPISSEFKSLSLLSWLA TAYLIANAACQPISGRLTDIFGRGPGLVFSNLFFAAGNLICGLAQNEHVMILGRVVAG IGGGGLMSITTFLATDLVPLRKRGIIQGIGNIAYGSGAMCGGVIGGLLNDYTSGGWRL AFFIQVPPVLVSACLVAYLVRIPPKVSNKSYLARIDFVGAFLIIVFLVLLLLGLNAGG NLVPWTHPLPLTTIPLAFVAALGFVWWEARAAQPIIPVRLLLRRTVFTACMTNLVTTM ANMMALFYSPLYLQVRGDSATQAGLVILFAPIGICICSVGSGYLMKKTGRYVGIGILS VSLHLIHVVILTTLNETTPKWHLMLAFFLLGSGYGAMLTITLLACLAAVDHADQAVIT SATYAFRSVGGTVGVTIASTVYQNILKTQLWNHFGHLPDASVEIPRIRDDLNELKHLP EGWPKSDVIAVFMEAFRGVWFTALGMVLLGLIAVSLMKQHTLHLTLSRSERS PFICI_07759 MEWDADYVPGVKLGFHSMQILLGFVIFILEIILFRADNAVINGN NGWPFGLCFLSLPAAVYLIMAPRWKRTQFIANPHAMLVFDCVFAIFWLSAFASQAAYN TANSCGDGCNISKAIVGLAFFELFFWALSTFVSAYTLKYYQFHGVLPGYPNIQRKGGH QNIDPDKAAFSMAPHDEEAYAPIHADDHDNDDHGIGGAPYNADSYGAGRPMFDSETEY HSTTQRPIHENPYNDHTYRANSTSPANDPYRAHSTSPANDPYSAGYGLRPDASSGPHI YAPPSAEDYDDGRPAQFPTGNYDRTLH PFICI_07760 MGRDLQKRKRRSSRPAIRQPSSRLSKLRNPMGNDIVAKNWNKDE TQTQNYRRLGLVSRLQRPTGGAEPDYKNAGQGKKPSQQPVDHFSIQGTGVSGGAGGSN GVVTEVRVERDANGKIVRILGSADGKRRRDNPLNDPLNELDTDSEAEDEFPDDGETWG GIEDTRTEVVRQLEEEASRPREKKPRTQSQREREWLQSLVEAHGTDTKAMARDRKLNP MQQTAADIARRLRKLEGEE PFICI_07761 MTVSLPSQQPAPSKETQAARKRRRRAPAGGAADDCFACIKRGAK CDRRRPYCSQCLEVGNECSGYKTQLTWGVGVASRGKLRGLSLPIAKAPPVAPVNKKPA PRSRANTTSSQWSEHGSSSPTSSHRDEIDLHGAHHPVSLPTTPFATYHDFTRFSQGEH IPPTTPTSWAGIPYPTSLGGHEHPKMHRLNTSLGHFPLITDRLSPAVDALSDVDYTLS PLSQFSRDELPYMHSPTLMYDSYSSHGSSVPQSPVSAIMIDHQRAPTSCPSLVYAPSE PSSSLGSHHDGFEHQMTHARLLSDADTLSVHEVESFTNGPASASFWAPAILKEEDAAS HILDHASAAAAIAAVGPYGTPSIQISPDLITKMPFFMDYYENIMCPSMVLVDGPTNPY RDHILRLAASSRSLQHAICALSACNLRMKRKLSLGQHGKESPERFMSEQGEHQSEDLP LTEEYQHRNLAVHLLNQQLNDPNKSCHDSVLATILLLCHYRMVESGIAKFATQFAGVK KILSMRNSAPYQASNDSAWMEAIFTYFDAISASVNDREAQLNHTFYGVSPDVNLLPAG AENWVGCDRELFKTISKLGRLNLLSQHRSVSTAIASPTGRLSVPRVSSPLGSPLGNTF KNSSQLSDFFSLGVQAPRFDGGAFGPQMDDDEILGTGLCSSPTYDDQRSTFWREWKEA RNALQTWEFDSSRITASLPGTLTPSQVRDLGSLSEAFRYAALLYTERLASPNVPSSHN NFRNLVSQVVYYATSLESGGAAEKFLLWPLFVAGSECVNELQQNIVRNKCRDIMSRSG YMNNLSAIEVLERLWAGDLRDNAASPNKLALQTRGPFNWTKCIGGPGVDVEWIMF PFICI_07762 MASATNFFDFKPLDKKGGEKDLNEYKGKVVLVVNTASKCGFTPQ YAGLEKLYKDIIAKHPDQFVILGFPCNQFGSQEPGTDDDIQSFCQVNYGVSFPILGKT DVNGDNAAPLYKWLKTEKPGLMGIKSVKWNFEKFLIGKDGKVKNRWASTTKPESLEAS ILEEISKESA PFICI_07763 MEPRPRNIVIVGGGIIGSTTAYYLTRHPSFDPIIHRITILEATS IAAGASGKAGGLLGLWAYPQCLVHLSYRLHAELAAEHGGVERWGYRKVGCGTITATVR ARDLNGTGTNAIPTRQSERSAKLKDGQPKDWEKLPKQDEAAAKLLQKSKLPSDLDWIE GGLVKEYAEMGRHGFTETAQVHPFQFTTAIAALAEKQGAQIILNAKVTSVKLDATSTK VETVEYLDRTTQEIKAITGVTDVVIAAGPWTGQLMPSSSVEGLRAHSVVFKADVTPYA VFTNISLPRDWIPPHRAARGEKRQHRGNVDPEVYARPGGEAYACGEPDPGAPLPETAD LVECDEAQCDDLVAYLGTVSPVLAAAPVPVKQACYLPRHMRDGEEQNPLIGQTAVTGL WIASGHTCWGIQNGPATGKLMSEFLLDGEAKSADISELDPLQYKVGA PFICI_07764 MTASNGTAANGSGSTRRPLPVGIYAPTMTFFDPDTEEIDVPVIK KHAERLAKAGLAGLVTMGSNGEAAHCTREEKLAVTRATREALDAAGFTQTPIIVGATE GSVKGTIELCKEAEKAGGDYVLLLPPSYFRAHVDEAVVESYFRAVADASPLPLILYNY PGAVAGIDMDSDLLIRLAEHPNIVGTKFTCGNTGKLTRVALATNAKTPFQEGSGYMAF GGMCDFTVQTLVSGGSGIIAGGANVMPKVCVKVWNLYSEGKIQEAIELQKVLSKGDWP LTKAAIAGTKQAIQSYYGYGGHPRQPLKRLEKAKVSSIAEAISEVMEVEKSL PFICI_07765 MASEKKLVNVGTGEYTTGFVGGGASGSDKKVGVVGLTLFDLRRR GKVGKLSMVGTNGTKFPAIRQHLDTNISKVYNNLDTSFDSFPANDAKDPDAYKAAIDA LSPGDAITIFTPDTTHYPIALYAIERGIHVLITKPAVKTLDHHLALIEAARKKNVFVF IEHHKRFDPAYADARFKAKKLGDFNYFYSYMSQPKSQLETFKAWAGVDSDISYYLNSH HVDINDSMVTQLGYEPVKVSASGAKGIATSLGCDPITEDTITVLVTWKKKDDPSKIAT GVYTASWTAPQKAGVHSNQYFHYMASGGEVRINQAKRGYDVADDEAGQLQWFNPFYMK YAPDEEGNFNGQTGYGYISFEKFVDAVNALNAGTVTLDELDARGLPTLANTIATTAIL HAGRIAIDEDREVKIEIKDGSWKLV PFICI_07766 MDSFIDNLPPDLFDQTTLVSLASTVAILAVAYAGSRVALPASTP ASYRGLFIWHAFDALIHFFLEGSFLYHCFFSWMPLSSVKNPLALAPTAHNFLGYTNRA YGSQAAGDNPFAQLWMVYAKADKRWAGADLSIISLELLTVFLAGPLACLICYGIAKKD PRTNILIIVVATMELYGGFITFCPEWLVHNNNLDTSNFMYKWVYLVFFNMLWVVIPAW AVWVAVADISDAFAIRSRVQATQKRK PFICI_07767 MLSKLAKSIGAIVPSNTLNSPASRSSLPLAPYARDETDPPLKKR RVDEDGDKDEDKDDEVETDDQNEDSRYPTLQATFAVDMGRDMPPPERKASFNSTHSAP RWQRAPSVQSTGGTSNYRPPSTHSMGKNSVQQSRVDEYRAMEQRTMLPKKTRQRKKKP VNSASNPEQILDSDEEEEEEPALVVSNGMSNREHDTHGYCDTVRYSQHFAKSRPNGSN QALTPNSKRKASGQLPGPPVKLSRNSSPDPLSQGVGQNRQERSRKRVLNSQSESTRGV ITATSFPSKRTPQYARHTKSEPDNSLVNLSSPVRSSLKRGLKLKVAVSGAYSYSHETC EPKCLLRTEDVSTILLPMPLEGGSTEDFLSEYSYLQVNLAKVHSIKYEIANHTVLIER SVDATTPGLPKLYLVFDGPGDLAGFLKWVTMKRKEAVLLKREEVPGDKLIKTVNHLLQ RSTKHKMPKSPNDTPKDAQDHLAEDIQLMAHKRTRRQTLVSQNDMRKSVSRSTKQATE APEVIDDEDEITSVPETPQASASRTRRRFAVLAESPPTPKLWTKENPEWVEKNWRNSL VFPPQGKNRASVDANDIERLDEGEFLNDNLIIFYLRYLQDKLEKENPQVAERIHFHNT FFYDKLKPTRSGAGIKYDGVKGWTTKVDLFKKDFIVVPINEYQHWYVAIIYNPSKLDP SQQVSPIVAKPVALDLTTPEKPEKPETEVLTITDTQSTNPEADVEMQDGAHVVETELR RMSIGSAKGSVDIDADASRRVTTSQHEDERVKAAEKEIYDVDADSEIQPGSPPSSSAH RKMKKTGPGSKKHHDPNQPKIITLDSLGSAHSPACTHLKQYLMAELKDKKGIEISDPG ALGMTAKGIPLQENHCDCGLYLLGYVRHFLENPDAFVHGLLHYDEPTWSFVSSDMRHE IRNLIFMLQAEQQKREDAEQEAKRQRKRQAAEERRNKSRPTSSASDDKEPLAISSSAA TQGTQASSSEDTVSPQKPIKHDTIDASSILQPRPMAQSIETDEVVPADNDPQKILPES RVKTDAHGETKEPCEASTSMPGSFPGSQQMALSPKRSGSIDTQKGFIHGIKSPELRGH TSDNPVEVHDEADGSPSLMKELEDHIAQAKSPNTRRTPRKQASRLNSETRGSSVEAHQ TLRSPSTNIAVILSSQKSPRKDYTVTKFPSSQTTETGHRSGMFSGATSQRQEETVVSV KYVGQRNRSKEDYKEGNETIVVD PFICI_07768 MGISRIDIHRSLVTPDTPPPELESHNYISAFPTKVHAGQTWNGI VPISPPMSSYDKSFKPDMSAGKNLEGSTARQDAVHGAPRQQLPSLSSLFGPPGQMRPL HSPHSDRPSPLRSPLDRPASASAASERSYSNSYFPSVSTPSTQPRGVYESRVEPDRIS LPSVAPRFPGPLSPRLPDGERAHAISRNDSTTSSARWSSQSSLSDARRPEYVLGTREP ATSFRPVNDRLPYNLPHGRKRSHESLVDYREPAQRHPQTPGHAPGSFPVTASEAAPVK DGLGPKIWTGTQFLPRFVRQAEVPGEGLCYFYDDGSHCKTVIDGEQVNAHWGVTKAGK PRKRLAIACLTCREKKIKCDPDFPRCVQCEKFGRVCKFKNAPRGGHNTSPASSPGVPM ISQTADGEYRHPRSPSSTSVSPRTTTLPRPSTENSDGAGKRVRVDYEHYQPATNEAAA SYQPHENKRAPLSWHQRELPRVHEDLLCRTWPTDSYVATPLMMEPKSP PFICI_07769 MTSASVDAGIHRALGLTESLSINLKNGPCMDAILYTRSLLDGLW QLHPATIFGYLIIASITIYAVAAGIYNKFYHPLARFPGPFLGGITSWYLVYVICSVPT YGLELHKKYGPIVRLAPNMLSFSDATLLPIVYNSAADKPRFYDSWMFGKTAAMFQSLD HRAHYAKKRLVAPCCSMNAMKTLHEKKITERIDELCEKIYERSTVKKQPLDFSEYLRF FLSDVWSHLVYGQPKGFVAEGRDVQGLLASIQGVYSMSAKAAVMTWLTPLLQNPWLRK HLWAHTQTFKCMDNLYSNFETMLSRRESNEKLKGEKLFFDDLSPEKNPNEFQFSKEDL KAEVITFTAATLDGVSAFISPLIDNLLTHPAEYARVVAEIRAADRAGRLSSPVVSYEE TTELPFFMACIKETLRRDAPAQTILPRIVSKPGFELFDGKVYVPPGTQMGASPYIIHR DEEIFGKNPDEWQPKRWLREESGLSREAHDAQIRRMEKYGMWWGYGARECTGKYYATM EMQKLCVELLRRFDIREAPGKRFTHARWAVGMFWGQKLIFEDAKRK PFICI_07770 MATEDRGPELLAIIWVFTALAIITVTLKVITRAHILRGLTWDDF FILISLVLIVICTSIFTYDVAIGMGKHAIDIPTERLSLVMKVNFIGNPFGIMAYSFPN ISVAILVNHVLPPNRLRAGALYLLAISQCVIAGISCVLLFTQCTPTESLWNPTVPHTC LAAGTVSRYSYFVGAYTALTDIILGVVPSLAFWGLKLPTKTKVGLCFLMLCTLFAAIC AIVKTTKLNELDDLTDFTYGSVDLIIWAIVEANVIIIAACMPTLRPFFHHAFKREPAS EGRGLFRSLFSGRLSSKKSLIRSSNNDQNSYYGQHEMSGNQNSIHVTKNSDAAPRRSN DSETAIWRTTDITHSVTHIDDGPRKGTTSTMV PFICI_07771 MASLQPDQILAQLQAVGSAYAEKKPGAREQLLNLSHALIASLEL PSEAIQRMGWAEPARAAHCRTAVELKVFELLKDGGSQGLSAEQVAKESGAHPVLISRI LKHLAAMNVIGENENGYIATPLSTALTESKYRDGIIYTYDVAGPSFRHLPEYLKNTDY KLPTELANGPFQSAHKTQLPFFAWLDQNPPYLQIFSNYMSAYRAGKPSWVDPGFFPIS ERLANFNSDISDVLLVDVGGGIGHDLQELKAKHPNLPGKLVLQDQPQVISTVTDSADA FEPMGHDFFTPQPVKHARAYYLHSVLHDWDDEDCVKILEQLKPALKSGYSTVLINELV VPEHNASWPITSMDHLMMVLGAMRERTHNDWEKILDRAGYKIKQVYTFEMGSESLIEA ELV PFICI_07772 MAPMRIHKPKTYPLRLRPIVQNTPKYAFGPSSPETTNHSIPDEG VIRDVPWGRIRSQIWIVDTTQPDPNHALLLTTGTGRSRNPRYFHSSIPNISSMIDEMS PELDPDEVTEKLAHRLCDVDGQNVSLIGCARFVVLENGDRVTTKLVESCFLGTTLYDI HVKVDFNGEF PFICI_07773 MRLLNTKTLLLEQIQSIGTTRYAILSHTWGDDEVLFEDIPKRKS LFGCNWKKKGGAYKVIKTAERARQDGLDYVWIDTCCIDKRSSAELSEAINSMFKWYAL ATVCYAYLSDYVAGRSTDDVDPWERQRPPREDKFASSRWFRRGWTLQELIAPKQLIFY DRHWETVGLRAEMATYISGLTSIDSNILVSMQNRGPRMLHLVLERYSISQKMSWAANR ETAREEDIAYCLLGIFDVNMPLLYGEGPKAFKRLQEEILKKFNDPSILVFDRSLNWGS STLLAPSPRPFSTEAPLYTNPEEVHAVQLTEAGLKIDMFMCPLTDVKGNVSENDHFLG ILDCFFDKKCLARTAIVLVDIDGVYYLYPDLGAYILAPGDESHAFISPVLGTRTSGWA TR PFICI_07774 MRLLETSTLKIHEFQGSFEDDYAILSHTWGLEECTFQQLEQPSG AALKGYEKIRLCCQQAQRDNIKWAWVDTCCIDKTSTAELSESINSMFLWYQKAKICYA YLDDVNDVSELARSRWFSRGWTLQELIAPKYVNFYSTNWDFLGNKNDLRDDLVTITSI PQDVLSGASEFRHACIATRMRWAANRQTTRLEDLAYCLMGIFDVQMPLLYGEGKRAFT RLQQEIMKGSEDQSLFAWGLSESPYSTEQLQHALSIDETYGLLADSPRDFLLAAEVEP MRSKQQSNSTSKASTSVQIDLPVAQIAGRQIAILACTISKRHGTYLGFHLNHWDKFYT SRGGALLAIPAHGWVTSRVMTLEMREVSSGLPRTRPPTIRIVLKPEPPSEGFRSTHDG FRYYKKPEIHVLQGGSYVAQQDVIVPHYDSGPSAVMIFESSEWIRAFNEGHGNWTGPP TGFALTIGVDPRPWVAFVPILHPDYAPGQFDHLANLNPEFIKFCMTKSTLLETLSRKE GITGFLASRAEELELLLDKWTYDRANKAGSIYYNKITLRVSFRDVPDEAFVDSEVLIR IREE PFICI_07775 MDGQVEDGPDSSSLKPVSSLRARFENMGKPSDATSTASSPVTPS PRNISPSPKPPPVPDTRPVRTTTPQGEGNQPAREALKPTGLQIPKPGLDAASITHTTG TPMSPRPMKPPPPPAVLIDPPHSPPKSVAANTTLGSENGQLLVDPTRAPPTPVASSPR TFKIPSAPPSKPHTPGLEPRRSPRLSATPGPPSPPPPRRSGEIKRDGDARGRPPVPPP INRAEKPSNRLSHLLSLDTHRLEAPAVVPEQSPFNSPPSSPEHEQSPPRLPSRPATKP AAKIPPMARNNTVPVPFEPPPVHPSTAARREVNGGGRGVVSAAPEPSLAPALPSRPHT VMDAQPARAPSGAMRPPPRPPRPGVITSNNLANADNSAVSTQSKRAVSTPTSAQNPQF APPPTRTHGRSMTVDRTSDRTPAEFREPPRRGGTVVDRSTRTTQSLASTPTPRLPTQA ISQFPDASQVNRRPPFAKKGQHEIYTKYDTRIIDVCGPYLCTSGPLTRVWSLLDGELL MSFTHGEGVKATAVAFKCGLGVEDEATRLWIGNNLGEVLEADIATASIVGNKSIAHGR SEVIKIFRHFNEMWTLDESGGLHVWGPDGEGSPNLNNAPHQTFRLPRGHTFSMLVGDE IWHATGKEIRVFAPTLDGRTQVQVLMRPMVAEGAGEVTSGALIKSHKDKVFFGHADGK VSIWSQQDFTSLGVINISPFKISCLAGAGNLLWAGYNTGKVCVYDIEQSPWVVKKDWQ SHDGPVLKLQTDLASFYRLDRLQVISLGADNIVKAWDGLLQEDYIEDEMKRLDTQYCE FEDIRAMVMTWNAGASTPSSLRYSEQDSVFIQNLLQSSDAPEILVFGFQELVDLEDKT ATAKRLFKSKKKDAVTDQERMSHQYRDWRDFLARSLDDFMPSDCLYHLLHSSTLVGLF TCIFVKSTVRDRIRNLSSADVKRGMGGLHGNKGAIVVRFVLDDTSMCFINCHLAAGQS QANSRHNDIAAILEGNLLPVERDGGIRIDSYVGGGDGSMIMDHEICLLNGDLNYRIDT MSRDTVVAAVKANNLAKLLDRDQLLVARRRNPAFKLRAFEEMPITFAPTYKYDVGTDN YDTSEKKRSPAWCDRLLHRGSGRVQQLDYRRHEVRVSDHRPVSGRFKFTVKTVSPKRR AMTWADCQQRFEDQKEREANEDKLFYLMHIIGYDAATSQQIIQDRSRRKMSRSPSRRV A PFICI_07776 MADETALHDFPSLFSLKGKVAVVTGGSRGLGLNAASAILQSGAS LVFITSRKAKACESAVAQLNKLPNLAPGAKAISVPADSATMEGIKSLVSQVKAHTDHV DILLANAGASWGESFDTHPDSAFAKVMDLNVKAVFNTIREFAPLLQKRASVEDPSRVV ITSSVAGLGVGTLGKQATFGYSASKAAVLHLGRNLALELGPRHITVNSICPGWFPTKM SSGLLDLTGGLDKHAKSNPMGRLGRPEDFAGAVVYLTSRAGGHVNGAEIAIDGGSLWN RGGLLDAQEETVEKAKL PFICI_07777 MATAPAQENQWSGVEDTIDDPEEFKVIFQALDSFAQYARTAHLH CTHMRRQAFYALPQAHWKMLAEPPFSYLETLDRIDSAIESNADLARSILKQGLQSFGA EQQGSYESAHVLMPEEWAGVSKQSDADKARSTLRQFYRDWSVEGKAEREACYGPIFQA LESHKARHPEGTQMSVLVPGAGLGRLVFDLCHKGYFSEGNEISYHQLLASSFILNSVK QAGQFTIYPWIHSFSNHKSRDNQFRSCKVPDIHPQRTLESAQGIGAMQMCAADFLCLY GDEEHKDTYDAVAACFFLDTAPNLIRYLEVIRNCLKPGGILINVGPLLWHWEGQVAGH QGYDGDGGDEASGNMGIAEPGSFELTHDEVVALVEKLGFTIEHQETNITAPYIQDSNS MLQTVYRAAHWVARKSET PFICI_07778 MDESFSFPLPKSLDTRVHIRLITKSKVLMVHLTTVSAEEAGNAV PLGSMVYALPDRFNPSVPISTPIYKEEPTFDFATRVAKILVKKTQMHVYVSNSISLAS TGLGGTFEEEMEAFKKVVEVTLSKLQHLTTPASSMPNGTSEA PFICI_07779 MAWQPAPESLSQLAACLKDSLSGFNKAAQKQAEIMLTQAKSSPD INNYLVYLFSSTEPPAGLQCSAEDYHLVRAAAAIMLKNNVKTDYKQIPESSFTLIKMA IPMVLEDKNSQMRNYAGNIATEIIRRGGLLSWPELLPKLLELIGNETGQVSPEAQEGA MIAMAKICEDNTKMLERELNGQRPLNFILPKLIEATKNPLPRVRAQALTAINVFTPRK SQAMLNSIDSLLQHLFTLASDTHPDVRRQVCRAFVQLVETRADKLRPHLSGLVDYIIS QQKSDDEELACDAAEFWLAVGEHDSLWENLTPYIGKIIPVLLECMVYSPEDIALLGGA SDDEDEEDREEDIKPQFAKKQSGRNATEASADANENGNAYEKLANMDDDLEDGEVEED EDGNDENPDERWTLRKCSAAALDVFARDYRAPVFESILPYLTKNLKHEDWPYREAAVL ALGAIADGCIDVVTPHLPDLVPYLITLLNDPEPVVRQITCWTLGRYSSWAAQLSDPAQ KQAFFEPMMEGILMKMLDKNKKVQEAGASAFANLEDKAGKNIEPYSGPIIQQFVRCFA KYKDRNMFILYDCVQTLAEHIGPTLARPELVNQLMPALIDRWQKVPDQSRELFPLLEC LSYVSMALNDGFTPYAQPIFNRCVKIIHDNLEASIALANNPSVDVPDKDFLVTSLDLL SAIVQALEPQKSAQLVKESSLPVFELLAFCMEDPADEVRQSAYALLGDCAKYIFGELA NALNNILPVLLKQLDLSDILDEEIESGFSVVNNACWSAGEIAIQWREGLAQFVPELFR RLVDIIVDPNIPKSVSENAAIALGRLGLGNAGLLAPQVANWAEEFLNAMDEVDPSDEK ATAFRGFTAIVEQNPMAMEKSLLHFFTSIARYRDLKLRSDAKHGLHDDFQKIINVYRG LIPQFNDFVHQMQPQDQQALVANYGI PFICI_07780 MLLGRDPDTFKGLLSKSIETWMSDPNAQLIKAVATNEEDIVGYA CWVTEDAAPEAKHNPTKPESVSKTVGEQQPQEITGANDNDSVRHAAPDLQQQKPLPQD LGKLMRQDLVARKRELVGNERHLVLQALVTDPQWQNRGIGAQLVRWGTIRADVEGLAC WAHASPSGFGVYLRAGFQELGSSEYALDDYLPESEQGKSQWGTYTFRYMVRRSKAGND PFICI_07781 MALEIVGMAPNVAQISVAIILAVLSPFLLTYAYTSRQISAKETS DGVASPTPIAPYWIPVLGNALSFSRDTVGYIQGLKAKFGMKPVKLLLAGDQMTYVPHG DKMVTTLLDAKELHSGPLAAKHRRDTFNFPEADDAIYLQDDSGLQVKPWANSTVRPDR RVIYEEHLIIHTQLNGNGMSVLLEKTLEFLVRSLQSKRQEYSSWTELPDLTEFVRNEM FEATLRALCGERIFEVTPTLLDDFWAFDLGMPDLFKGLPKWMAPKAHAARTRMAAIIK NWHLDPVTAEKYDEYIAHPDRIPDWDPILGHRMMITHYKMHVDYGFSLDGRVAGELGL IWGAMTNLITSTIWPILNAVLSPAVAERVLIESSPCFTEGSLEISQRAKLASCNLLVS MYLESLRYGIAVSIPRTPWPENGYKLGAWKLKKDEIAFTISAIAHLDETFWNTGHLVD GKPEHPTNQYWAERFMEYPDDPLSGPIRKYDKHSEIYHTTAKPPTRTTEDDEKATIIT HGLSSHYFPYGGGVKICPGRYFAKQLILAAVPTFLRAFDMEYLDHESAAKTKPDYHYF MFGSLSPDRKNPIRIKAKKI PFICI_07782 MNEVHSDKRDATSRTPSDPADAVVSRVTTNEIRSPHVPAHDGHS TEDTSNAMQSFRQYAVVFALFLSLFVAALDVSIVATAAPTISNELSSAAGYTWIGGAF LLASVTTSAIWVKLSDIWGRKIILLTLLAWFSVASAICASAKTIDALIAGRALQGAAS GGLTLLVHVCISDLFSLRKRSLLLGLTEGVWAVAGGLGPVLGGVFSSLITWRWCFWIN LPISGLAAIIIIYYLDIKHEYTSFTDGVKALDWSGMVTFLGCSVMLLLALDFGGVLFP WNSAKVIALFAAGGILIFAFIYSEVKIAKNPLMPMGIFTKGVNVAIMVLTLCHGLAFM SAEYYLPLLLQSSLEASPLQSGLLLLPFIVTTAISGIACGAFIHRTGRFQEILWVGAL FLCLGFGLFISFNIHSSTARIIGYQLIGGLGSGLLFETPIIAIQSQVRPEEVATATST LIFVRNIGITLSVVIGGSFFQSSMDKQMTYLESAGLPWDLISKFSGNKVMANILSIKN IQDQAWKTAVETAICLSMRNVWILFTAVAFAGLVASLFIRQGHLSTEHTVTGTGLKKT QQDVAIPLQTV PFICI_07783 MDSISFYIDDELEEVSGTVSRTDDRQMPEVSYEHVAIQSKAPPA LPSSIPPLLPAELIHNAPFFPNITSFAAEYNNPALEPTKPANTNLREPSDSIPRLRPS SDSRTVALERSSENTLVATEGFKPLVTDITLKKPDLVQEITTQIATADPNPLVTNTTP RPSYIAQETTHDATGEPKPLATYIRPTRSYTAKETKLRTERNIKFFKDSILTEDKLHM LGTRWLNRGLLKNVLSYCKPFTITLRKTHFSSSAGVKTPFLRSDKSLVTLDHRNLFDY LHENDHWEAFAESFASTWPRTFGWLIDEDGTDLRYLWPRESLITDDEAAIICRKSVPR GQGPQELLASLFRGFALTTQPQDNMLW PFICI_07784 MPMVAFMEGLFSPTVTARSVDYIGILSDWCPIQNTTPVFYSQGD FAHFEWSCTRFNLRACIPDERGARVGHFKDGYGISPPTIGFPLKRQAARIAGFSGADD QFAIEFLVSISLVLRYSHSVFKHTVVVWVDYRIDLDGGPVQNSLLSPISDRVDQELDL KDCGNGGAGVLQFMIVLVRCIDYWRKRWDTMMAKIDETISVQLQDTLDRKRWQMLMFD DSFQLSEQYFTVLQLLRIFQSWIEEAEEAMADLRSNLTGQYENWIALRRLNAPEDEIE WPLDMPAMEANWDKVEAFFHGRVDSLKLRMERKKDEVESLRSGLFNASSLREALKAKT LNLLIGVFTVVTVFFTPLGFMAAFWAIPFMTPEKADSPPQGFTMSFTVVPLLTYILAT CIVVWIWGISSHYTLGVGIFPFETFQVCQDTSYRLCIWH PFICI_07785 MDAAILPLFAKQYKVTDPRDYVYGFDAVICIEHGVDYNKCKKEV YMDWFSSVTDGGRVDLMAYAGISLLDLEKSEWPSWLPDLALIRDGQTSIAEYSIRTAG AFFLDDTEDIVDNVLHISGARLGDKVERIFEPEPMAFSGRNISKLGNLHLTCFSILAN ASDHHPSGIRPLQAFLLTLVNGFQRKRTVSLKHLLEANDPELASLLYVVCFPDLDLHL KPMIHDFVSIYGPSWNSMGFKGTADLGNALKQQILGESRSRTWGMTDHLPWLESDHHL EAELIQAFGYHTTFQTANGYIGIGPRELRKGDELCFLSRGKVPMLLRTENERNSRVTK VVGPCFIHDLSCSVIQEWVASGKVNVERFSIH PFICI_07786 MDDSRTTFSYDDLDIAKDQKQVRLLKLLPSIDDADQIICTLEVA DWASELHYAAISYVWGDPTIKRAILINGQQFNVTENLHSALWHIRKNNMLQPEGSGQP ESLPLWVDAVCINQDSIEERNHQVPLMGSIYGSASRVIYWLGRKEDGILSGDAAIAAI HEMTEAAFHNGSNLDQDSLKKFLAAHKGLSVPVVPDLSIPNATTWDELPQVWQSIVET LLCEFWSRVWISKRLSCQFLKTPFGLPAVIVSQPGRGLYNSRNSWTF PFICI_07787 MTQDTTLDTTHGHQCENVASTSSERSLHPSVDSPVDQASLPVRP EISSRDQIEGTSVGQQAVSAGNRLQSTNICSSNHGNPREGPSAAPSVDQSPIAARCEA QTYFQKELAHNHRLGAYEREVFQVALDTISRCARGNEEPPAETEESAPDDRDLGHASM YPSDEVVCFLSTVSQTIAGAFYFEITSFISRTSFERMAYSLIDGRSSDFTRAEYLVCV NFYMLTSIGLEETDHSDLIKERMRLLRERYRRNAFRALKSISVLSPPSLSLLQALLCG AMLFLMAGEIAKCAQLSSFACLVCTHLGGRFFADLAASSSKEDSLEIRQCLSHCFIMD KSLAMSLGRRSFLPDMEVNATLLMPVVPEMPSAPVSNIYLELAKVQGEIAREMRLQQG TCTGEAALGVVQALRSRMEIIRSKSIEYRSQSPQCTDPFLQVEWMGVDYTYYSMATAI TRLHPAFHDDKYLQELCLEDARRSLHALRDMQRHGLESHRVWNAYCLSITWVVLVCPI CPFLALFCHIIETSASRDLLLLEEVTHGLIIFEKFSLAIMNVRNLFHTLVCLCKEHLN GREGVTAAASRI PFICI_07788 MSANADVPAEFHIYDNFGKNAPMPGIATFSHLNWTNCFAPESDG TFDVGIVGMPFDLGVSYRPGQRFGPAAVRAVSQRMGPSIGYSMAHDMMNPFRDWATVV DCGDIPNSVFDKYEAVQELGQGLHAMASRRPKTTSKGDNVRLITVGGDHTITLPSLRA LHSIWGEMAVIHFDAHLDTWNPRQWGGGINKYDEITHGTLLHFAHEEGLLRNDTGIHV GTRALLFDKTEDLRHDAECGFQFIMATDIDTLGIDGIVQRILQRVGNNPVYVTIDIDV LDSAFAPATGCSEIGGMTTREMVAVLRGLSAAGIKIIGADIAELSPVYDDNVQTTATV VAQLAFEILAWMVRVPVQ PFICI_07789 MDHPRQEAWHTDQDSNSNHDPTTLGLCLHQLLEKMVEKYGQKTA IVCADKTLTYRELNALANRFARKLLQREIRNGDIVGVALDRSVDLVPVFLAIWKTGAA YVPFDPALPQERIKQIMEDACPKLVITCGSTDAAFASWADVCLDIDDSLRMMDHDSNG TRQGDVTQSDDLAYVMYTSGSTGRPKGVEVTHGSVSNLLLSMKREPGCDESEKLLAIT TISFDMAVLELFLPLLCGATVVIAQGPEIKDMAALVALMKRHEITIMQGTPAIWQMLL DSGWKGQPRLRKIFCGGEALSRKLADRLLACGDVLWNMYGPTEATVYGSIWKVSYGQD IIVGGPITNGRLYVLDENQAPVPLGQPGELYIGGAGVARGYRNMKELTNSQFLDNPFH GGTMYRTGDLACMVRRGELSVIGRIDGQVKIRGHRIELGDIEAAIIEHQNISGAVVIS YDERLVAYCVLKASSGNEAAKTKAGLDRELRPWLATKIPSYMIPAFFVVMEAFPVTIN GKVDRKALPDPITAIKEKPDAKPVTELEDQILDIWSRALEHDRIGVNDSFFEIGGDSV RIIRVQTELEKLLGRSIASPKLFEHYTIKSLATYLSTSAGSAAPRDTKTLHQASTTED IAIVSMACRLPGGIASPEDFWELLERGGDAISDVPEDRWGEDAFSKGSLEAKDESHCR RGGFISSVNSFDLSLFGISPREARRLDPSQYMMLETCWEGFERAGMTVEKLRGSQTGV FIGTSNILSHQSLNQMAIRDLSDLDGYTVTGSAGGTMSGRIAYQLGLEGPALTIDTAC SSSLVTTHLACNALRGGECDIAVSGGISLMLNPGLHIEFSRLQGLSPDGRCRAFSADT EGTGWSEGSAVVILKRLSDARRDGNRVHAVIRGTAVNHDGRSASLTAPSGPAQQRLIQ RALAAARLKPDDIDYIEAHGIGTKLGDPIEATALAEVFGASRSSIETLLIGSAKSNIG HTQAAAGLVGLLKVVLALQNNTLPESLHIAKPTPAVDWQHANMTPVLSKRSWTSMGNR LRRAGISAFGIGGTNAHIIVEESSEPMTTAGDARTVAQLVPPIMPFCLSGDTDEALQV QAERLHQHISRVGQLRLEDVSYSLATTRSHLRKRLVLMAHSKAELLEKLSSIRRPESS LVTASDASGPPRMAMLFTGQGSQWLGMGKDLCEVYPVFRDTLKEVAAHFTNLEFPLLS VMWADSDTKEATFLKRTDFAQTALFALEVALWRLWESLGVRPEFVLGHSLGELVAAHV AGVFDLVDACRLVAARSRLMQAQAGDTRMVSLGATAAEVALAIKDLGHDATVDIALYN TPQQTVISGKSDAVGSISDHFSRQGRKTKTVISSLSGSVVRAGQIDQAEYWVRQVREP VRFSDSIRSLSQHGVDVFLEVGPRPILCGMGAECLRDEGRSASFAWTPSLMPHIDGVR VLQRSIADLHMRHVPIDWTAYFEPFTCQRIDLPTYAFQRHYDARRDVHDDHFPRNVNN ATRHAPTGGQDQLRFEITWHPARAEDITTGKTWGLLATASNLEKAGHLRALVTRTGNQ LVDVHQLKDAENLDGLICLWDSDEDVLSQAQGHVAKALAQLQTAAQIQFTPPLIWVTR RAIGTGTEPDDQNMNLGAAPLWGLMRTARSEHPDLRLRLVDLGEEMDTCVALALLFSA EPECAIRQGQVLAPRMERVRPLLNSLPEKRLIRQDGAVLITGGLGQLGAHVARWLATN HGVRDMVLTSRRGMEAPGAENLVNELAASGVKVTVLSSDIADPMSIKSVMAMFSKDRP LRGVVHAAGVADSGVLLAMTPERCATTLGPKVYGAWLLHQATFDMDLDAFMMFSSISG VMGLSGLANYAAANSFLDALAYLRRAQGLPATSVAYGTWSGDGMASRLSSNTVSHLAQ FGLDALTPVEGLGLLEEAVVSTRALTVAAALDLGRLRSAVEEQGGIPPLLFLLLAHER AQASQGWDLLIILNESEQEQHAGILLSMVREVVAKALGFTHPLDVDVDRPLQEIGIDS LTAVQMRNHLSKLTGLTLSVNIAILHPNLRMLSQFLLTHLQETETSSSSAVSSSDTSA TTILDLPCLDDAAIRRGCLDSSFTFDNVSRDPTRCNTRPKSIFLTGATGFVGAFILHE LVKQGITTYCLVRADDLDKAQERITTALQDHGLWEPEFSTMINAVVGDLSQPLLGLTK ELFNQLADSVDAICHSGALVDWMRPLDQYVGPNMVSTHEILRLASYGCAKAVHLVSTI STLPIHLGLGLTEQDQEFGYGTSKYIAERLVTAARWRGARTTIYRLPYVTASTTTGRF RLDRGDFLHNLISGSLEMGAFPSLDADVSSVLPIDYLSKTITAVMTQDLHRMDRDFDF LNARAQTCNEFFKMLSSVSGGKEIVAFNTWKQQALSHALAHPAGSLARIAAVFDSYTD ETAPGMFKGLKVGEHVLGGKEYPAPILGEHFIRAYLHHMDIKTVGV PFICI_07790 MSVVAVAGGLGDLGRLIAEALIETGKHEVYVMSRKTSQGGSTRT SPLTGKQYSSLIHTDYSSEESLVQQLADRQVDVIICTFIMDCDSASDAQIRLIRAAEK CACVKRFIPSEFNVEYEVGDDVLPYPEKRFHLAARRELEKTSTFEYAYIYPGMFMDYF GLPKVESSLRPLCFFVDPEKGLAVLPDDGEARMSMTFTTDAARYIALALDLEKWTRIL TTVVSTVSLNELVKLLEESLGRKLQVLYQPLEKLLEHDAIDLPTNLEIAKEYPERFPE GLDQLRGLIADLEAGVALGAYDLSKLHGHLDLVKVFEGVTAAPRRIEDLVEEAWGAAG TDQ PFICI_07791 MASNVPKLQQVQASAGRDAVLTAVRQDGAVIIKGLFTKDQVRRL NEEVQPFVDNMGVGSNHTEDWVKEFHGDNTKRLNGVVALSKTFRHEILESELIHQVCE DIYLKDAGGYWMNSAQVIDIGPGSKAQPLHRDQWQFPIFTRCGPDAPEASINFVVALT DFSDENGATRVIPGSHKWDDFSRNGTPEDTIPAEMEAGDACFITGKVVHGGGENRTKD STRRGITLVFQCSYLTPEEAYPFIISKELARTLSPRGQRMIGFRSQFLKDSPGVWKRN YGDMDEVHC PFICI_07792 MPPANRVKCHPSWKQTAPNVYTQPYGFQEVLYNAISVPPGSPGL FLIGTHVTFNYQPSSPSNKLTHDDLAARLRQAWLQTRQQYPTLAAENRPEGKTYTSPS SMDELEAWLATTFIVIPKKTSAEHWKTMVKTRQMTLYYFPEESQLFIQGEHHILDGRG AMNFWDRFFKNLASVSQLEATIQTDGSEISRLPPRSDDLLDLTEKKAGRGEARALEIL APLLTMSAPIYVPVAQPIGACSPRNAAFELKLSARTTASIVAACKAQRLSVTAAWHVA VVLATQAVQAQRNAASQPGNEARAGTQFAAFGNFDLRRYFPAAVDESLSDVHALSNHH GVLPYVFEPGNKTFSQMVHELAAFYQQDLPQADAEIWSALGPMIRMMVPEFTKTPLEE TTPALSSLGVVDSFIASSYADADGKGVWHIRDVWFGDTVTGPWLECFMWAWQGRLSMN TCYNPAYYSYAEVDEFLQLVGEKLLEGLGIGDREPGSKL PFICI_07793 MPSFWTRQPGTAVYTAFFVVSTLLRLPWLLTLYLFPSMRPNSSW TFRQSLCRTLFVYFGKYVATIELKPPPLLKVGNEKGQFVITQPARSEVYRGILLCDPL ISPGDVGAIWHTKPITHPGQHQRGQRVFFHVHGGAYVLGDGRDPGIKFMSSLLSQSSP GCAIFCPEYRLSSAPGGRFPAAFQDTVTAYSYLVHELQIPPQDIVLSGDSAGAHLTIA LLRYANANLDILPEPAALLLWSPWPDMVIEVDVADERPATHVDHVAPELIAWTYREFL PRSETGVARSDPYISPVTASIPTEVPIWVQWGSAEILKPEITNFVNVQKSFQPQHKDG VKFRVGTYEVANAPHDILTLAPMIGWKAEAAKAVEHAIQFLDDYLL PFICI_07794 MQDNYVTGGCNVTSGALNCRTKSSGEGPGRDKFLESPQSRVDVL DPWSDNATTESIDAYFGRFTTAITNGYRTRFGSSEYDADKVRNNVALPPGAASGVV PFICI_07795 MWEFRVNLANGVKESKYPVWTRANNYKSSDAKGVEYNELMRANG GTSLDYIGLDPYLSDLDGLYAYGHKTISTSGTQVNAWGKNLVMAMENGGDITNAPNAV LATLAGGALYNTYEIYGPDGYGLYVPTSDSDFTPVARGSYVADVKVVNNMLKKIGHDL ASRVPLSVGGTGIAFYNYLASDTTSTSNFQAFNVTYETTNTTGVGISTVRTGTELAFV SSQDATFTLSSASAYNVVSAETGSYNGGTTWSKDETATYNSKDGDVDFSIAAGECIRI VFEETVAT PFICI_07796 MFEDNSLSVFDYGFGRAASSIASTTESDAKVCDPSDQGSWSRWW HFLLLPIAFTLPMVIYICVFYNRQSWKWKAPSPEEYHSYDTCNVQDGSALERWVAIDI TYGNYGFATVKVIDLAWDTLIAQAGRAFHVYILYQHVARRVLTCFMECSSVTYENYIS VIFSRSWLGTLKFFLGRFSRQNKIPVSLSIFGLAYMIVYTFFFSTVWSAATGYISPSE RAYQMPDSTYLPLNSPHLALCWMIDSGRLGLEQGHVELGPDFSMLEPWLYKSSQEGRW SELGILEKSASETPKYGQYQQSRVKRSTTQAGYEKKNPDAYEDEKAFRGWYRTIWDNI GPSGMGLDSPQSDISKEIFSYAFTTRMFQVVFNATGLNITGYDTPINLNTTDATAVID LMEESYSVVDVTRENQLPESLEFNQFHYVDWANHSQDNLHDIKLFNTWPMYATPDSYV INDTIRPSGVIPYNSTFTFNGTEYSLEAPFLDIGHGCSHPYNYFTALGNCVCYNGEPI TYAWYSDDNVVCLDSPRYVWGFSSFIVFIAAILELVWVIFAIMIRGYCQWWSELMKYP RVKRAGLVWAILEISEAMKRDLDDEGGMTHERILRQNLSNQDNIGYATHVDEHGIVQG VQIVSRSQRTNTVINPLDKDSMLEKGQGKS PFICI_07797 MEKISYRPLPEKGSIRLLIVEPCIAANQLVTCRLEHHNFEELRE YQALSYTWGDEKDVLPLLIDGTRCLAQRNLVEALTALHPASVAYTIWVDAICINQADI LERNNQVSQMKAIYSRAKSVVVWLGAATAFSDLGLEMVQTISTKLLESRHGSRVKVGS TLEHGSAKGTMSIAEFQNYYKSVLSLFNNSENIQGLDEAVRLLSRRWWTRIWTLQESV LCHEVTVHCGKRAVPLYCFYDLAYFIFFSMSFGSWPGGPVDQHVAVREVWRIADLRDH ITERGHIKWLLALDSSWNRDASDPKDKVIGLLGLIGSGNQIMPDYSSTTAKVYQQAFI AAATEDKSLSFLGFISEEYHLRDARLPTWLPDLQLHSKPNSDYIASLSKAIFNRSVYN ASLSSSWDSYTMSTNDDESVLVVSGVEVDTVTTIASQAPGKILTDDPSVWIKLMEVVL HEWRGHMPQTTNYLNADESSAQAFWRCVLVDLKQGSLHANFDPGKPIRMDEGDYYSLS NLDSDESHHQLLSYWASFCRLGMQLRLIEQFNRRFFVSEKGYIGMGPPWLEQNDKICI LLGGSVPYVLRRSLNNTWSYVGECYVHGIMDGEVIRQTQNGEYSYQEFRIK PFICI_07798 MTSIHSLPVEILLPIIQMAIEPGSEAAVVCATVSRRWQPHVERQ TFASLRLDTDRLSKAKDILTPARQTYVRHICMEALLPEYDEPELRGRRETDEEQQQNN QAFTRAIVGLFDCLSLWEPIVTGTGRPGVILQLCASSPSDRYGSGGRREDRIERWKYS DLSLESGVEDDLPALGMITQFKEHFSCGYKRSILHKNRNIPRYIAASVYGIIASKLTN LEAVHWRIMYIQEEYIPLRQKMRQDFAASLASFPQSVHHFSLFYPWAELMGHDIQPPR AHVDDPLSIALRGIAQHCTTFKLEGTVVFDTNLFWPQQLPLSLDETPYWPRLEEVRIS SSKISPLGAWLFHKHPSAPRPRPRPPSPPHVANSPEVLTVDDPSYHFRVLLDHEYFGE ICLAAARAATRMPRLKDMTVAWEMMMSCSFGYNVRYSVSDGRACAEYWSDSTPALPLT AEAEEAWRAAARVHMGEGGQISFDPANVGERAPWHY PFICI_07799 MPACDRCSNIKAKCVRDASQDKCERCRRLLLSCTFLKPAKPRGR RSHKSRTGTAGELVWVQNNTLPVMRNALEGLSPPEVDLLRAMTQVTDGPNPLAIAPSR FREVQVSIKTELERSPALLQRPLVTLWKAYGRLRMGQAVHNDTDVSRISDAMRSLRIT ELHDPELLASIFVLCRCLVDYELLYNGRSAHIIYRNVLTRLKQSPLYDASGSMLTHDG LSLVWMDAIESLLRRRVPALPCTFPDGNGYVDGFTGVAKTLLPLIYEICRLGAASNVE AVLFDQIKRNVTAWSPIYPTEEGLSEAEIILLCTHANMYKLTLLLILQQYQDTVPART AEAADILQYARLSIQKVGYITPYSMMPLFIAGLELGLEDDQEKLLECMASIPSSAKHK PYIRMIDFMKHFWIRRREDSAASWLLLLDQMPAFNITP PFICI_07800 MRAQSTILIGLTLLGAAVAHPGGAHDELKMMRDFRATQQVRDLS HCTDKLKARGVEARNVARRWDTVNKARVKRNLHKKDLDSVLATNHNETALGYTTSTDP AVLFASNSSCLLTPDLTQGPYYISGEQIRRDVREDQHGIDTVVEYQIIDVDTCEPVPQ VFLELWHCNATGVYSGVVADGNGVGWQDQGNINKTFLRGLQQTDADGVAQFETIFAGH YSGRATHLHVLIHTDVKVNKCNNTLIGSATPDTDIPARHVGQSYFDQDLINLVDTIEP YSTNTQELVENADDVILPEAVANGADPFFEYAFLGSDVTDGLFAWISLGINMSESVSV TPAVHLTAQGGVNTTDFSQDDYIGAPTK PFICI_07801 MRLSAIFLASILGTALAHEENLSPVEIQRRSTLSRRCEASAANF NKKRYERRVSKRWAGSGNTTYQVHAEAPYYETIQNDTCVLSPIVTEGPYVWPRSETLR QDMTEDQEGVPVWLDIGVLDMATCEPLEDVLLSFWHCNATGSYSSFTGLDPNTDFVEL LSELGRNVSDFEIGVTDLHTDDTTWLRGMWPTDAQGMMEMKTIFPGFYTGRAIHIHVQ AYSDWTLHDNGTVSTGNIVSTGQLFFNETVSSHIMALEPYASHTQINRTTNSVDSIFS YDGGYNPVVSVVPADGTDISNGMIGYITIGVDTTADGVDNSQ PFICI_07802 MAGPGGGPPRRSHTKSRKGCDNCKRRHIRCDENFPQCRNCTKHK VRCPYQDVPVADDRSATPDKPDLLWNPAIEAAIANWKMTGIFPFPSLNIYPAPDPRYF TTEELRLLYHLAAICNELSAIDAGGFTLWTRQIPTIMKIGATHRYVMEALLAFAATHI ANITDCPIVGNMAYAHRGIAIKGLQEALASFLKENSDAVLAASIVLSWQATDWRSWTH LMQGTTTVIDLMEPWKHESQFGDFINESATFPTAPPSPTPDHKPNQPLKEDFDAFQRV ITQIQKVEAYLKQNKEDVKLTQQLIAFLKGARKVSAIQSVSEQFDRLQPLRAWLFWLP VACLQQNASSPSALVVIAHYYTAAILMERLFPEIGAAYFGSLSVQPVEEITRRLYSLK VSCQFDGDLATPLDLMQFPMQTVADFRARMGFAEPIRTPSLPQFNTPPPSFTPDFYVA DDVSQGTPTSTASYLPYGEHHLAFNFSAEDLSNTLVPEQHETGAAISPLTISSPYPSG QYLNIPSPSYGGGYSPASSTFGEGSIVYSDNEDYGPFDGGYTGYPPLGPAGTNNFGVG IDSFDAPAHQDLMATPVVLSPQPIHHAHSPFLQPEVVHTAPRSTSPLSLSAPSPQFMS PHTFQPHHHYHRHSSSASSVPVQPQIKRELSRRLSGYLRQPF PFICI_07803 MEDIRQSEGLDETPRPCDRFDLIGGTSTGGIIAIMLGRLGMTVD ECIRAYDQVGEAAFTPKTRSRLSIAPPRGAYSATNLEEAIKKVVSALAPTITGPLTGY SVVLAITKDNVDAPPTLFTTYDTSAAFEGCTIWQIARATSAATTFFKPIQLGRDKIDF IDAGFGHNNPCEVLIEEAQRQYPHRKHLQVLSIGTGLGNVVSIKDSRVSILKALKKMA TTSKNVATRLEDRYGGSGSYYRFNVERGLEDITLSDWQKTSNISAHTGNYLRENRRAV SAFVRRFLAEPTQEEAGVAELEPQSSSRTHYYLPLQENEYFVRRAKLLDAVHKKLFKE CRREVALVGLGGAGKTQIALQFAHDVMQSRWDRSIFWISALSNESFDQSCSAIINMLR IQNGKEDPKDLLRSYFGSNETEKCLVIVDNADDGEILYGKNDSGGLYEYLSGLQHVQI LFTTRSDELARKNVQREVIHVTDMEAGEATTLLKKLAVPGILLQDERQVMKLLDKLTY LPLAISQAAAYLRRNDISIARYLELLHGGEGSMVDLMSREFNDSTLHEKSQRAIATTW LVSFDQIRKTDVFAAEILAFLSCIEPKAIPESLLEGFRNHTKLETIEALGTLSAYSFV KRRADGKFLDMHSLVHLAVRIWLKESQQTKTTTQVAFEQINKEFPSDEWENRMIWRKY MPHALKILQDKSTYDTRTRHDLNYWVGRCLDSEGRYSDAITRLEEAFHWSKQHLREDD SVRLNTQHTLASACLADGQIKKAIRLLKNVVRIESILAEDDSNRLGSQHALASAYLKN GQIKDAIGLLEHVVRIQSTLAEDHPNRLSTQHQLASAYLDNRQMEDAIGLLEHVVRVR STWAEDHPYRLSTQHQLAFAYLDNGQIKDAINLLEHVVRIKSTLAEDHPSRLVSRYEL GWAYLRNGNASRAAELLEPVVAIRNRILADDDPRRLRSVELLARIHEALRDSDDTGKK KSRGHRYRRV PFICI_07804 MSNNNGNWGPMPTPTESEETGLQSRPMGLKIHYTFDKDGKENCL ARWPHVLPIQTIPLDEKNLIGVVDLRTCLQSIAQCSPELAGDNERDYTVYAYDYSEPD TPLVGQGMFSCALIQNNNAAPQLVTGRVTKNLLAIFGKGVQETLEVKLKLTAIPKVVR ATPMVHAPTPMAVNPSMTPQFPPSLQQSSSMMSETNEWHAFVQANPNLSPATGASIPS PAAVPIQPFNPAYEARNDMTNQYIQPMPTNAGSRPGSRSGSHAGSRPGSSMGPATHFQ GATTPQASNLSQVFTQSPQQQQAVVAPVNVSTTVQPRPSSRPSSRASTGRPRGRPRKN PLPVEGSTSGYEDGTDGDEPPRAKKRAKTTKVERSNTATFGSAPESLRVAASTSGSLR NFRPVSLGGEGAPGNHLQEVPRAPTPVPTHRPLPGPIQQHPPPPSQLRRLSSSNFSEQ NGAYTNSFLDVNRPTSFGPDARSPTDSLAPSPSQYSEGPSPADIGSSPPVPRSVMYPS ARSSPAPSSPILPPMRAQQIDSGFMSGGVEQFGSRLDDEEAGKTQTQPPAPAAETSKP KPKPRKSRAKKQQPLKNEQSEHLSQSGGLIIQTETPGPPELLPTTSIYNPPNHQAHYH KQAEEQAMNSMDTPFQIENTRSHTPQNRYQIPTPEIVIPQEPPTTNEVGDVQSQDDFE DLEKVFMDGLGQQNTQSFEGLATDTQTFSPSDIQMQSEIKQTIEREGSARAMELQSVP RSSHDGAEEPELPPMVPASDPVCPRSFNMGSEPPHPQTDAAYNKNAVKKQTIKQRLEE AIAAGRMPPFCSNCGAIETPTWRKIYKQVQTGVPSFHIYSDKPGHVTAINILTQASDG AITSYEMIKKCLGPNEDKSAWNELILCNPCGLWFSKWKQPRPADKWEKDQQRLGQTRK RKDPSEKGSRAPRSRKPRTKSGSQVNPTSDAGLMTDPLGFDGVFSPKDELPNPFESTQ LAGNGPSGTSEEQQGPGSTHSRGSTHSRGSGKSPASPIALDDEFGTTRRLLFPSPRKE GEQKILGEIAVNVVQTADDFMRSKEDNTVDGKENAAPIETDDMADLFGTPARPSTPPP KSVPSGTFKTPNRLTPSHRPVTRSVSKSIRSVRSIRSPAQGLAVQQTPSRTPRSNAAI IRRSPRVAGLQSQLPDDGRPPESPFTKSINKLLAEAGDFIVGPVASGSALEIVPYSKL HSERFGDLPHTENGPQHPLDAPWDFGNLLGTDEVMPSSPPTLHQHISFGADDIDIWEH FQTLCNNSNKMDENGQARKA PFICI_07805 MPLKAHILDSKLGSRAMSTKYNEVIKPRQDDEPEDVTFNSLYGL RTVELNRPKKLNSLNASMIRKIVPRLVEWEKSDLANVVVMKGAGDRALCAGGDVKALS DLNKEGPEGQKKSTDYFGLEYKLDHLIATYQKPYISFMDGITMGGGVGLSLHAPFRVA TERTVFAMPETTIGFFPDVGASFFLPRLAGSVGTYLALTSERLTGANVFYSGIATHYL HSTSLPKLESRLAELRFRDYDDTKKRLEIIEMTLEEYATGLPPLNKEPILIAGELRNA IDRCFSKATVPEILQALEEEQGPTKEWAERTLATLQKRSPTAVHVALKQMQVGRRWSI AETFHKEHKIAAQFMRHPDFVEGVDKQLSKDRGTPNWQPASLADIQPKQDITGPFFQD EISGVEPLRLLVDRDYEEYPYPYVGVPTEKDVQKAVKVGSKSKKQIADDFVQLKKGRQ GIRTVVEEILARKTYETDEGAAVWVDETS PFICI_07806 MADAKIQDLLTKPRNELTEYEIAQLEEYEFNSGPLSILQTAVRS HTQVLISIRNNRKLLARVKAFDRHCNMVLENVKEMWTETPRLSGGKKGRPVNKDRFIS KMFLRGDSVILVLLS PFICI_07807 MSTKHFINDPTLLVNSALESITYTNPKVALDAQNKIIYRRPQDG VPSQVSIISGGGSGHEPSFSALVGPGLLSAAVAGTIFASPSAEQVRRAILSRVDTDKG VLVTVMNYTGDVLNFGMAVEKAKAAGINAEMVVVGDDVGVGREKGGKVGRRGIAGTVL VQKIAGALAAQGASLEHVHKVAKLTADNLVSVGASLEHVHVPGRAPPDPNSKDNLGFK EVELGMGIHNEPGSGRAEVELPELVSKMLTQLLDQNDKDRAFLKINSNEVVLLVNNLG GISVLEMGGITAEVVKQLEKWGIRPVRILSGTYMTSLNGPGFSISLLNVVNTDIGGPS MLQLLDAPAEANGWAAPIAKETWEAKNTATREGTAASGQGVKASDLKYDAAKANAAFT AGLEAVVAAEPEVTKYDTVVGDGDCGIGLKRGAEGILKLLSSSPLEGDAVLDLAKIVS VVETEMDGTSGALYAIFLNSLVHSLRSQIPGQASPQVWAAALKQSCDALSRYTPARPG DRTVIDALYPFVDTLEQTGDVKKAAEAAKAAAEGTKGMKASLGRTVYIGGSGYEEVPD PGAWGLTCFFLGLAGLKSADDGWEAI PFICI_07808 MSEQPNGAPVAGAPAAPTQQPNTSAKAETIAKDVKPAAPAKGQP AKDKDDKKPADASAGEKKLTGAELKAKAKAEKAARRAQQKNTKEVAKAEAAVSSSPLQ LGPAASEVKGKPKGKDAAAGAAGSRPVLAKAPPSSIITEQKIQIPECFSHLSMAKRIP MTQADKDVHPVVLALGQQMATFAIDESITRLRATLLAFKKVIQSYTTPPGHTLARHFT PHVLNPQIEYLTACRPMCFSMGNAIRWLKLQVSKIDMDATEEDAKRDLCHAIDTFVAE RITVAEEVVTEAGAKSIQDGDVVLTYGRSTGVERALLLARASGTKFSVFVIDDPYEKP GQIMAKNLAAADIDVSYSGDLGGLVNHLKRANKVLVGAEAVFSNGALYARSGTCDIAL AAQELNLGVVVLCQTINITERVATDSLTYNEIDPERCQAASFRLLYDTTPDKYLHLLI TELGTVQPRSAPDLLRKLEEFN PFICI_07809 MVSRILFWSGFGVAVRFWQLGLEMRPLFNRSSLWAWPVFAGVGG SFGYWLQGVDERQTAVLADRKAAILEKRARRAAKEADQVAAEHA PFICI_07810 MASASTLNMATATPTEPTPAAPTPVVRKFKASDLPLTTATRTAI EGLAHSFKKQGGYDAIRKQVWDKFEASDYENQVTKSILGVAEKELERNPSQLLTLDRR KAAALIDGALDRSGVYQQAEEVIDQLIDVKAIENHIRGLRTADIGEEAALAEQEKGSK TDQDYAQESAVRLQDRERIRRILREKEEQIEEEKRKIEREERKRREREAEKAEAKRLE ERDARRREREAKDAEREVQRQKERDERRAAREKEREEREKEREARIRSRDQRREKRSR SRSRDRRDRERNRDRSRSRRRDSRERRRRDRSREGRERHRPEEVKKSLSKEELERLER DALADLLRESKRNAEPQPEMEIDEALAPPPKKTKPASAIQPIRRDSSKTMPEGKRVIP TSKTESKEAAADSKEAKETKDIKDTKASTKETKDTKASSDKNITKEPPKVSKTSASGE ERRGSIATSIRDAPASRDTRNRSRDRARDDERRERNQDQIRDRDRDREAERPRDRARD SERDRERDRDRNRDRSRDRDRDRDQDRTRERDGSQSRQRDRRERSRSRRRERSRTPPR RFAYENNYRPGEARTRERSPSRRRDDRDRRPRSRSRDRKERSRSRRRERSRSRLRDDR DRRDRADRRERSRSPRERAERKDRSRSRLRNEHRDRSRSTRRARSKEPDKASDRAEKT RDRRSRSRSRPTTASKQDVVEVWKVGEIKKREQEAKAYLAAQREARQKGLPIPGLDDR RSTSERSPEAKRAGPQVGDDIDRYRPGEDRRRDRDHDRPDADRDRDRDRDADRDRDRD RARERRARDHDTRDDRRDRDKDHGRDDRRERRRSRSRSRSRRRDRDRDRSRERSRERD RADRDRDRDRDRDRDRRSRRERSPDDRRDRRERSRSRPRRRSRSPR PFICI_07811 MSLSSPTMNGVIIGLLSSFGSAILIALIFLVIYFFRYTTSGRIF LDRIGRPGEYDDEQAFAREEAEALETMDDMQRTEYLRAKAFIQSNPPESVQTDISLSQ YLAIQEKGVSAWEFEPELEIANCFVEGRTEVEFFDSECTVQSNLPVPKQNEVYYWEAK IYDKPENTLLSIGMATKPYPLFRLPGFHKYSVAYTSTGHRRFNQPFNATPYGPSIVQG DVIGIGYRPRTGTIFFTRNGKKLEDVAHGLKTPNFFPSIGANGPCIVHVNFGQAGFVF IEANVKKWGLAPMTGSLAPPPPYGSEQGSILLESGRKDGYVGSPARGHSYSQSVQTFN RGPTLDTGHARTRSGNYRILPPTSPGPQRSPTDISLAQLVPNDEAGEGSSSHAANTTE EHVIDVVGLGLHEAAHPPPEYTSPESSDTEESRSSSESDRAPLIRTTRSRGASAATIR PSNYNPPIPSYSDAVRMGAGRDRSRSDITTGRGRN PFICI_07812 MNKDSCHTQIHLHSPRHADLFEDFCGPHARLPDDDVWVPPQHRP VNPEDEDDVVPDQHAAFGIQKATQRTREPAWRDLGLAALMHKGPGGAGGGAGGKGGGA AAGAGVGAKGPGNGGFVRGLPR PFICI_07813 MSNFQSAKGAGGSSKEAPGLRYPTNGKTIYHRPLNRTKTAELSG AAFAYLFSEMVGYASNKIASIQDLEKRLNTAGHPIGLKLLDLLLHREPARTQTRPLTI IQLLHFIKLNLWQHLFGRAADGLEKSSDPSKPDEYMIIDNEPLVNRYVSVPRNMDQLN CAAYVAGIVEGVCDGSGFAARVSAHTVRQPGEEEGREMWPGRTVFLVKFAPEVMEREG FLGKS PFICI_07814 MAAPLKTPLLAPASHCKPPERPVINEEQQAKYDWLLEKAKGWTE VPSTQGKGGPLTDREKQWLTRECLLRYLRAVKWKKEDAEKRILETLTWRREYGVDELT ADHISPENETGKQIIIGYDKQGRPCQYLNPGRQNTEVSPRQVQHLVFMVERVIELMPP QQETLALLINFKSGKSRTNTAPGIGQGKEVLNILQTHYPERLGKALIINVPFLVWGFF KLITPFIDPLTREKLKFNEDMTQYVPKEQLWKEFMGELDFDYDHSVYWPALMKLCEER RIERTKRWEEGGKHIGELEDFLGGLAPHGVQLPAIKEIDKERASTSDTEIDPQELIQK LESVKVTDEKPAVFDAPPPTSPLPESHAAA PFICI_07815 MADADVQELNERFALGDKPLEPDMLAELQSIMRLYSLSVQDLFF KWESYCIKLEMDELKLSVDTLRNLKRDIQDSLERESRTQHAHVKTEKRAGATPRAAGK GNVNAGDVFGILEGMTTPGAAKLNRNSSARRKPETPSASRVRGGLPDSSPSTVDRHLN EMNATKAAAFNDRQNAGEVTEVLNNHLKPAEPPIAPYGESRIKLTAASDIKKLAYKPL AMKLSEASEILDDRIDEFQGLVREYYKLDDTAFGSAASQGTSEIYAVGRIASDSLEGK LNAASLVLETSRRTGGGLRIPLNLKRLPGFQFFPGQVAAFKGTNTTGTEFTVLEVLQI PLLPNAASSPATIETHREKLRGGPDAMESDDEPAPLNIIFGSGPYTSDDNLDFEPLHA LCSQAADTYADALVLTGPFIDVDHPLIATGDFDLPEDAQIDPDTATMNTVFKYMISPA LNRLAASNPSVTILLVPSVRDVIAKHVSWPQDPFPRKELGLPKAARIIGNPMALSLNE VVMGISSQDPLWELRSEELIGGKPSDAQLLSRLPRYLIEQRHFFPLYPPMDRTKLPKT GTADGIATGAMLDTSYLRLGDMVNVRPDVLVVPSALPPFAKVVESVLVINPGYLSKRR GAGTYARMTLYPPKLSAEEVGSAMVSHKVFERARIEITRI PFICI_07816 MVIKPRIQRHYAGKARGGSSSSDSDSSDDEQPQQQQQQQKKKTP APPPKVSSAGKIISSSNAPIRKIPPQQQELQKRVTTEELERKAAEEGFVTEEESGNDA EPNKGGDDGSSSEEEDDDEEEESSEDERPRRNLMIRPKFIPKSQRNGGAQAAASAEQK EDPEKAEQEAAARRQAEADAMIEEQIRKDQAAKAAGRMFWEDGDEGGNQGSDVDTEDD VDPEAEAAAWKVRELRRIKRDREAVEAREREMAEIERRRNLTEEERRAEDEAHLARQR DEKEGRGKMAFMGKYFHKGAFFQDSEEAGALASRDVMGARFEDEVDRSLLPKALQMRD ATKLGRKGASKYRDLKSEDTGRWGEFRDHRPGREMRDGDWRGNKDREGPGGANAIPLG ERRDRGAADTARDRSSYRPRDDDRRDDDRRRRRSDSRSRSPRRDRDQDDYGHRRKRDS SREADRYESDKRRRVDSR PFICI_07817 MDHRYTSRHHEPPGRYASQSAQQPWLEWFKNPTVLIVAAGILFT AFYQSLHSSPFHRHRHPGELLWDLLITITPAALLYAIDNWLNPPMFPGLKSSRPRTFA AKSDLLRKLLGMDQPGGGIIGSVASAGKKSLSTLSGRSLLKGDPHTPAGLGNIDYSCF QNSILQGLVSLQPLPEYLESARVDPVEADQSLQQGSAGTLQQLIRELKDHENNGKTLW TPAKLKSLHSWEQQDAQEYFSKLLDEIEKEVVKAAKGQTKQSGLETALTKDDTESHHS DDSGYLSATTSSKSSSESKVARNPLEGMTAQRVACVQCGYSEGLSLQPFNCITLNLGV GTMQHDLYELLDHYTQIEFIEQVECNKCTLLKARDIQTTVLQQLTGKPEFVLQGVRSR LAAVEQALEEDDFEEKTLKEKCKILAQHRSSSTKTKQVAIARPPKSLAVHVNRSVFDF NTGHMWKNMAAVKFPRTLDLGPWCLGSADHTAAFRKESLVDVEKDELSRDQETWVSDP KASMISGDRHPSQISGPIYELRAVVTHQGQHENGHYICFRKHSTEEKVQSRSDSADEE TESLDTEKTLVETTSNEEDTEKWWRLSDESVWEVAQEDVLGQGGVFMLFYDCVDPHSV LVSESGKAEPELEQAAEAQPKSTSSTATQAVDGTDPVSEPSIKVPVEEMETPQTMIKI GTPQSSDPIKIGEVDNFIVGLLSRPNS PFICI_07818 MAKGGITKKRAPPSKHSREARRATSPGIDTDKSLKEVRAPAESI NHRPSVLAIHQNAGVSKKQKRGRAQSSRAKKRQEDAQDKAIAIIERTENKVEKSKDSS RNIQRRRKDWDDVNKSIPVTQNAFGSLQDENDDDDQSENSELDDEMSEVKQANTAVLA QVPQIPAAVMDDDDDIL PFICI_07819 MPKAEAGSVKALNNKMKSKGLQRLRWYCQVCEKQCRDENGFKMH TQSESHVRQMMVVGEDPKKVINEYSRQFQRDFMQLLRTGHGEKQVNINHFYQEYIANK EHIHMNATKWPSLTEFAKYLGREGICRVEENEKGLHIAWIDDSPEAMRRREAVRRKEA QDKGDEEREQRMIREQIKRAQKEAETKRGAADDSEETEARELKRDEGEKIKLSFGAKP ATQQEAKSESPSNAEDPAKNAAQTESASETKLDPPSAPISLKLGAAKPQPKNVFAAAK KNALAGGAKKPNPFQQEKKMSEAERIMREEMEKNKKRSSSGFGGFSMNKKPRTG PFICI_07820 MNTIRSFWLGWGSLCVAGGGAYYFAKQQINADRAQRLEDSRRKR HMIDSLEHSQNVPSHPGSSSTMGSSPATTNGTSPRTDPSGSPSQEAGTDPAPTRHAPA TEGQRVFEKSKYESTTPFKSQKGDRFS PFICI_07821 MVLAARSSPFVGIRTLTRLTTLLVLSLAILRYTISFIPYHRPQI PSFQNTRSVYNTSKVVLLIEPRPLPYLVPQLLHMISVVPSDWHFVFIGSSESVSSVSQ SHSTRYQQALGKLKLMTLPEPWYIESKEGVYRALTDRRFYEEFLPDVEWLLRYDADSI LCANSGSSLNDWLGWDWVGTLGSPEDKAFSNGGLSLRKVSTIKTMLMSQRRVNDSWPE DEWFGNKIRTLPGARVAYDLKDQLAIEHAYVAGVMGFYLRDGGSVLPDTVWNDTVWKD PAQRKAIFEYCPELSLIMDMKLEVERCPPGYE PFICI_07822 MQKAYGKAGGDDVYTRLTGLWAMYETVDMLAKSKNDYEQSRMPE TPYNASKVALLIEDRVMPSLAPLLLHFIYVLPPDWRFMFMGSIDSVAHINKSAAIREH VTNGKLDLKYIPSNMSTASQEMISRFLTSLWLYETVLRPAEWVLVFQTDSIICANSRR SIDDYLEFDWIGAPWNVSHHYGGNGGLSLRRVSSIIEVLRTQTRVDGSEPEDVWFSKR LAHRHGAKMANFTTSLSFAGETYAGVTADPSSVHGNFLDGAEDSHSAFYEPMGYHIGG SGTTFGSDIWGTPELRNHIWNYCPEIKMILAMDAAKFIPDDCYIVW PFICI_07823 MASPQLAIAKVSFSAVLLRPDPVSCSRADIDDFLGQLDATLLRC SPANVQKSKQWILHHVVQSPKRIAALGKYLTALASSFNVDLAASRKAREPSSKRKRLH ILFLLSDVLYHVCVKQREGSFSTQLESFLPSLVQSAAAFANCPKHAKKISDLIDLWNE EKYFSSTFIHKLQNTARDAPNSDHGSTQHGDTDSAPAPGTKLSKDVPYVMPAMHGDAN VPWYDLPAANWLPVIEPNSTRPMNPSMIKPLQLVPGPADKSLVQAVQSLLADVDRIYA KDSSFGDHPSDDLDMLGQRFVLDGATGHMVGGQTYYGWSRDFCQKMKQRRKKKTNCSE GDDRGRSLSRSASRSISRSLSRPAFKRQRAPDSRSRSRTQSRDRSRRRSFSRDRYRRR SFSRSRSSSVRRPRRYSRSRSRSQDYGPPPNPGPPRDHNKPDYNGHHQNSSNLTPQPP FPAPNFPFGVSPPPPPPNWQGPWPPPPPPQMAGANWMSSMSMPIPPPAHAGWAPAPPP PPPSVSPLDHQQGYNQFQQGHHGSRGDYRGGRGGYRGNRGGW PFICI_07824 MLGLKDLFPKQGPKQNPNAAPYFEAAPLKIIAVEHPCVVKNTDN GLKTFGRNANYERLMDDDTGRIALPMWLRPDVPTTKPLMSHNAASNNVVLKITVPKRT GRKRKRGTDEPFTGVAVQSSEDEPSEHVCSEGREDDPKLILRKLQDTAGRYQAEAIGT IHDTHRYHGLADFQFSATEMPYLTKVTNHILPLKVDKLRELRFDPNLHPVKGQEIIPP PYYTDRVVPFNYFYEQNPYVRVDGVDEHGKAIMVNVQGRLAKTYGHYIEHDYYPIPTG PSKDMANSRQVPKDLLERMRVALEERPIWTRRALITRVAPYYSDNSLKIAVQLVGYQF KGGPWRDAVIKYGVDPRSDPKYRIYQTLAFKLEGLPGDRTVKNGIARSMAREEASRSH LWDGTQYCTNGKFWQICDITDPCLIKLINDAPLRDECDIATDGWWYGGTWAKIKAFMK AKMVAIRHGRLGFDEDEVKKKNFLYNSDILQKLNRYADIQPQHARGQVNPSTLLYDMV DVSGLEGLKYRHRPLTQANDLMTAMGFRGRQIRRPRKKKAETDGPRPDDDGLAIHKGS ESEVEEEADGVGEDESGVVGAGSVEGDDEDGGEERFPDDAWAHILDSDLEEEIEGEAD EDGEEDDDFDESMMLEGGDEVEDGDEGDEDDEDDGDDEVPTTAGDLPD PFICI_07825 MRRLSQKMLRGKSDSKSSKKNKDSKDGTASPSGGSRESQSPNLT PSSSTSTLNDIRNKPLPPNNRGDTGNAGQTGSPSGQGTADRFGNLGSSQGSPNGSATP SRHGSLPPTVVISPSAPHVPPPGAAETMPHDLAPPKAGQKSLMFDRLHQTPKDVPEGL RTPKRQHSSRFDISLHRELEKLPGFHEVPPNRRQELFMQKIDQCNVIFDFNDASGDMK SKEIKRLALHELLDYVANNRQVITEPMYPRVVEMFAKNLFRPIPPPMNPQGEAFDPEE DEPVLEVAWPHIQVVYEFFLRFIESQDFNTNIAKAYIDHSFVLQLLELFDSEDPRERD FLKTTLHRIYGKFLNLRSFIRRSINNVFFQFVYETERFNGIAELLEILGSIINGFALP LKEEHKLFLTRVLLPLHKVKSLSMYHPQLAYCIVQFLEKDASLTEEVVLGLLRYWPKV NSTKEVMFLNEVEDIFEVMDPAEFAKVQEPLFHQLAKSVASPHFQVAERALYFWNNEY FCNLVSDNVEIILPIMFAPLYENSKGHWNRTIHGMVYNAMKLFMEINPQLFDDCSHEY TEQQNNAPAREALRERKWQAIKDQAGKGKTANGVHELDQSEDNQKRLDSLKLDSDRRD RRPAHERQTSVGSSRSR PFICI_07826 MATPYAVKITPENTGLWHFDQTEAAANKATELLTKDIEKHHVFF NDDGFHNHISHQILALYGTGASAQDLVSGYKENEGYQRPAMKAHSQTLIDELRDWEKA KKRLGKGQYYTDWLHFFQHEIEHHGSWQKVLAEYMFKENDARSEDMQVRMFAGFVHPL IQLMYGVEWDQPAIVAMALAQACVHSDQFHDFMMEAETRAHESATTPMPRIGELLRDA AADRDLVASPRLDDGNKVRDGVLARARDSAMRLAARVRVMPEELEERTAEMYNAAIYI ASAAALHHNKNGGGEKDPKYEFFLMHHVNVNPIFITLNRQDWIPMPLKVRLLEWKIRM DLIQYTARGSPDLDLDRIRAYVPTASNPGPAAALLPRMHAFVDDGHAIKLFRAIGIGR EVSKPYEHKEWMIIKGDLWDQIAHMVVDSVEAPGPTWVRNAGFDEAWQDVRDRQNKKA VAAQQPLAE PFICI_07827 MLTALLFSFLWASPALAGVDNPTPANFLRRPYANAVVLGDYVYI DGGELAQLEDGEYNGDHPSYPVNTTLSLPLNEAWTNDSVSLRSIEKAAPPQDQQVLWS DPANSAFYTWGGMSAWFGAAVENEIWKFTADGSGGGEWSEVSVSNVVAWSNSIRTVGS AYTTVNGIGYSVGGTATKYVDTSITGDSLAVQGLVTFDMGESQWKNASSAGLGANGTT FNGRLEYVPFGPSGFLILLGGSVAPVGALLEYEQLEWNNIWIMNLEDNTWHNQAVTGT KPTKREGHCTVGVQGPNGTYEIFIHGGSSDQTQTTSPDVYVLSLPGFVMFKSPNPGTP RSNLACVTVGRNDSATTNRQMLVVGGGNSWLGFPNSLVDPDPWAQGLGIFDLTDMSWS DSYDPDAAAYDSPDVVKTWYDQGGLDAVDWDSDELKTLFVGGTNSSSTNGNGTASDGT SSSGASTDSGNSDTGASSGSKTGVIVGSVVGGVAGLAIIALACWFFIRRRKHIYAPAK ELDGNAGVQQTGAVYSQPPGYYQTGQEFEYKEMPANQAPQELDSQHTTSYAPDTQFAG PGAYQQPGLPHHSGQMHYTDPHAGQIYEAGSGYNQHQDYEVGYSGHH PFICI_07828 MASTDSDSASGATSPTFTLQIISPSVNVPQPLNFTDLQATTTVQ QLKEKIRNAIDAKPSDVAQRLIHRGRLLSKDDDTMLDVFGEDAVRSTEVKTLHLVLRD LSDTRPASVSLPTNTSQPATAPSQNRPAGQTHNQPQQQPQVRNLNAHAHGHFPHLQHA QIHATHHNLPFGFQQPIAAGGAAPPFNYLPPQLQQMQQAQQIAQQQRLHELINQNQRE RATLGLHGAQDAPAPAGVSGPQGQNRTASPHRPDGTRTVVREGLGPGGQSWRITVNET VSAQHNPQRNARTGSPFPPPDLQNLFRPPGGAPQPPRSVPLAGPHGGSLSGPDVHSML RTADAGQAATRVMTDAMRRNASNSSLFNLANSQANQPIPPGVTVPSRTGSAMGTPDPL RAARNHVQPASQPQMQQSIGSEVYILSSPEGPRALLVNGNLGTYQSPNSNVQFPIPAQ ALRRQYMFPPPPLPPFGLHPGMQAQPGQMHHLPLIANPWVPPAADHATQHNPQHQQQQ QQQAQAPAPLPQGLPLIPQAQPRLGHGIAHPGNVQVRAIAVAQLWPHIWMVIRLLLFV WWFTSPTASWQRWFTVTAIAFTLFLVNTGLLTPLAEQFWTPMRRHLDNLMPAADNHGR ERPARAGDAQANHGNVGVQGDGGQPNPAEMAARLVRERRVANGNWLMTQARRLERAGI LFLASIAPGVAERHIANLEAEARAEQQRREQAEADEQRRIAELAAAEATEASGQPAEN SEHAETTAQEPPEERGGDERPEAPEEPLIAI PFICI_07829 MATQHISILAKSRVLPGPLRTTALYPQTYSASSRTCIRRFATTP RSQFQQTSQRCQQKEKFGSRLGSALRNTKVQWYPIPVALGVGFLGLVQFYKTQVREKE RLEQEAADGDLGGRPQKRPKIRPDGPWQVQIMSTLPLKAMSRLWGKFNELVIPYYLRV PGFKLYSWIFGVNLDEVAEPDLHVYPNLASFFYRTLKPGVRPLDPNPNALLSPSDGRI LQFGQIAGGDIEQVKGMTYSIDALLGKNTPTPSIASGVSSSSEKSVRDGRAQHELHGD EELVKQDEEFARVNGITYTLPNLLSGPEKGKKGRANVEDQSTTPSSLSAVSTVGADLA LGEKPWYDLIAPEKKNALYYAVIYLAPGDYHRFHSPTNWVVERRRHFAGELYSVSPYL QRTLPGLFTLNERVVLLGRWRWGFFSYVPVGATNVGSIKINFDKELRTNSLTTDTAAD RAAEEAAKRGEPYTGYSEATYAGASAILGGHAIKRGEEMGGFQLGSTIVLVFEAPASS DSPEKKGGFDWVVEKGQTLKMGQALGYVVEE PFICI_07830 MGFTAVVKGNESYPESRVLIIITGGTICMQPSEDGSGLVPVDGF LENAMAPRPSFNDKSERVKLSAIKNGEKIQLDSLRTPPSAYSRHVRYGALEFNPLLDS SSISSDGWTQIANTVQENYHLFDGFVVLHGTDSLAYSASALSFMMEDLGKPVIFTGSQ ASIFALQSDAVDNLLGSLIIAGTFVIPEVCLFFHHTLFRGNRTTKVSASQFQAFDSPN CEPLAKVTSIGIEVNWSLIHRPTAIAQFKITPYLDTTHVACVRIFPGIKPEMVDFVLR VPDLKGLILETFGMGNAPSGVDGSLTKAIRSAVERGITVINVSQCTNGFVSPLYAPGF ALGKAGVVFGHDLTTEAALTKLGYLLARGLEPADVAARMSRSLRGELTELALPAFTHP AAGLDESGSLLSGPEAAFTRLGYAIAKGDLSAVVELLEGDAVNHQLLMRADYAGNTAM HLAAVGPEVEVLKELLLRGASVHERNRANNTPLFLAAKGGKQEFVNLLREAGAALWEE ETGVDGVVTKS PFICI_07831 MFFWFRYFFWIIIWLEVRRTHTPVAATINNAIILYPRRWISTKK RFHIIEKGKFRPLDTQQPSTPPISMCQRYIHLTICRHLDCGVQVGKKHRNVYCSAARR ARRLGRCDDGLKVAAEISHRGTVSCTACKASRSSYSSPSLVKTSSSFLLTNEEEDVED EQNDEFSSPRPAFQRPSRRRGRKPRNSVFRDVFEIPLEQQVKDFDKQGKRRRSGNNVL DTEMESEFSWLQVEGPEQKRRGTRQYSHEKKKPRANDSGSLGCYGL PFICI_07832 MTVNDIAPPAIWSTIVDTRAAETPSRVFCEILEADWRDRGPREI TYAQFARAVNRACWWLENEFGAAKDFDAFTYVGDNDLRYTIIMIAAQKSERTMVIAEL SRLTHEALLKLLETTKCFRWLGGSEDQTALGKKLIDERPGTQLYTLPPLEHWLDASDV PNYPFTKTWEEAKPHPAFVIHSSGTTGIPKPLRHTLESVSTNDMIHRYPDGSVENPEN GFSPMRGSRMIWAAPPQWMGGIWGHLFAPLFYDGIPVWPPVDHGALSPVPVVMEMLEK VQPDGAFFVPSMARDLCQQPAALARIKQLQFLVYGGAPLDGWVGDLLCTELRLVVGVG STECGLWPLRTLADPRDWRYYHLDPRLGYRLDHYQDDMYEVVLERRPEYRRHQGVFVM FPDLDVWHTNDLYSPHPTKPGLLRYRGRKDDLVKLVWLTKVRAGDMESALVRDPRISN AMVGGEGKPTPFVILQLSEDVRNFDEEDIWNIVRTLNEKHSAEVHLPRQNILVAAKDK PLRRLGKGTLDRRGILADYGHEISKLYDV PFICI_07833 MKFSQPIPLAMGFLLQHAYAENFTQPLLWQDLADIDLIRVNDTY YYSASTMHFSPGAPILKSYDLVNWEYLSHSVPTLDFGVAAFNLDGGSAYNQGIYASSI RYHEASSIFYWIGCIQYIGKTYIYTAAAIEGPWEQASVISDHCFYDAGLLIDQDDIFY VAYSQWVPNGLDAQIKVAQLTSDLQVQQSQVVFNTTEEIGYIEGARFYKIDDTYYIWL TNPGVGRGQIVIKSTNGPFGNYSDWHRVTANSGTPVPGAGSPFQGALIDTPQGDWWYM AFVDRWPGGRFPVLAPITWDDDGWPNVVFTEENTWGSTYPYPLPHQDLGTVVKTDLFS GDTLGPQYEWNHDPDTTKWAVGDGLTLATATVTDDFFMARNTLTHRILGPASATTIEL DISRMADGDHAGLVVFRYNAGSISILKSQDTTQVQMIDNIIMSPTDGWHTTSKGDVIE SVQLASNSNNGSSTVWFRVWCDISSSPAYSNFSYSTDGTTFIEMGQTHTTLDGEVYFV GSRYGIFNYATEALGGSVTVKSFTIST PFICI_07834 MAAEESNVTYEQLADIEREFEDVELEITRQQAVLTKALYEKRQK TVAEIDNFWPLVFEQAPQEVDAYIQPSDSAVLSAALKSIYVSRFEVEDGSKGDPRSLS IKFEFDDNEYFEDKVLEKKFYHRQSKDGNFAGLVSEPVAIKWKAGKDLTDGMLDMVVS VYEQEKKSGQTGIPKIKNFTAEQKALQEKIQGTGMGAVSFFAFFGYRGYPVTEEENKE SIAKEQEQRRLRAEGKSTGDEDEAPELVDADEDDEEILEIFPDGEELAVAIAEDLWPS AIKYFTNAQEQDGLSEMDFEELDEDELDEEEEEDDEQPPAKKVKA PFICI_07835 MRSILRTAGVFGLLAGASQAIDVDLTDDDSIKSASSTLAFGLLK YYTGNNTGDVPGNLPDPYFWWEAGAMFGTMVDYWFFTGDDTYNAITSQALLHQVGDDK DFMPQNQTRSEGNDDQGFWAMAAMSAAENKFPDPPADQPQWLALAQAVFNEYVDRWDP DTCGGGLRWQIFTFNNGFNYKNSISNGCFFNVASRLARFTGNQTYADWAEKVYDWMTD TGLITAEFQVFDGAQVTSNCSDIDKAQWTYNAGIFLHGAAVMYNFTGGDDKWKQRTQG LLDQTTGFHFDNGIMVEKPCEEGGFCDIDQQSFKAYLARWLAGTSQLAPFTFDTIMPL LQSTATAAAQQCNGSPAAALYKGPAGTACGFQWTKSPEFDNMVGVGEQQSALAAVMYN LVKRTTQAPVTADTGGTSKGDVNAGASTEDKMPVLEPITLKEKVAAGFITSALALSVL GGSIFVMK PFICI_07836 MRPAHCLFSPSRALRSVFLPAQLEMATNITRLPVYLLAPRLFST SSPRSFIRSRPKPPSSGGGGGGQKQGGKLPSNLPSDNAIPYTWIRVAECQKHGMKPMN PNTDLSIPLRARDVLRNLDRAKYTLVMVALPPSKQRRQDDEEAQDEEDVEDQPRTPQE LEERERRDSPVCRIIDKQAHVRDQEDKAKEARRKELNRKEIELNWAIAPNDLQHRLKQ MRAFLEKGKRVEIMIAKKRGGRVATADEAAALLEALKEAAAETKATQVKITGQFPAVV RLIYEGKAPKSA PFICI_07837 MPLLGHPFRVFLATGQTLALAHVLWQYGYSVAPGSGPSMLPTFL VWNEWFVADRSYRRGRGVRVGDCVTYTIPVEPHEGGVKRVIGMPGDYVLLNSPGSKND DMMQVPQGHCYIVGDNLPWSRDSRDYGPLPLALVHGKVIAKIEFNGWNPMNWFTKVKD GLSPAESPHP PFICI_07838 MAAPRLVAYLPAGKSRDGLYEPLLQESEREAVSDLLQYLEHRGE TDFFSGEPLRALSTLVFSDNIDLQRSASLTFAEITERDVREVDRDTLEPILFLLQSPD IEVQRAASAALGNLAVNTENKVLIVQLGGLTPLIRQMLSPNVEVQCNAVGCITNLATH EDNKAKIARSGALGPLTRLAKSRDMRVQRNATGALLNMTHSDENRQQLVNAGAIPVLV QLLSSPDVDVQYYCTTALSNIAVDANNRRKLAASENKLVQSLVNLMDSSSPKVQCQAA LALRNLASDEKYQLDIVRAQGLNPLLRLLQSSYLPLILSAVACIRNISIHPMNESPII EAGFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKQLVLEAGAVQKCKQLVLDV PVTVQSEMTAAIAVLALSDDLKTHLLNLGVFDVLIPLTHSPSIEVQGNSAAALGNLSS KVGDYSIFIQDWQEPNGGIHGYLSRFLASGDATFQHIAIWTLLQLLESEDKTLIGHIG KSDDIVEMIKQIANRQPEPDNNEYAEDEDEGEVVNLAQRCLELLGQSSSKTHIEG PFICI_07839 MDEVEPNDGAPSRLPATNSNIRPGHALPFVAPSSYLRPKPKPRI PSVSEPTPMSPIDEEQLQGLKGLREFLQRRTSYDVLPLSFRLIVLDNDLLIKKSLNIL IQNGIVSAPLWDSHTSRFAGLLTSTDYMNVIQYYCQFPNEIDNVEKFRLSSLRDIEKA IGVQTLETVSVHPSRPLYEACSRMLKSRARRIPLVDIDDETGRETVVSVITQYRILKF IAVNTEQYTSLLKKSVREIQLGTYKNLATCQMSDSVLDVINLMVVQNISAVPVVDKNN TVLNVFEAVDVIPCIKGGAYDELKSPIGEALCKRPEDFQGIYTCTEDDRLDAIFQTLR QSRVHRLVVIDDRQQLRGIISLSDILKYVLFYGEDVDYFSS PFICI_07840 MAQLEKSKDHPVEHPSSANDIIETDPDSSHKQRQRRLRLSNTWS SSSANIDFISKEEEVNDYGIRSLVPGDFPLKSNTSQSLPNRKSSWMSRVLHQGSTTHP ERPLIDMPNQILRHRRTISDLAVNFVQHQRKEGLKNENLRDLVRLCGKSMFYLPQEYA YGSLILPTCLRATAQYLVQHAQTSGIFRVPGSVRVVNALYDYYCAGIDGEEVRTTTHC PSLPSHLNCGVHDVASTFKRLLSGLPGGILGSLALFDALVAVHSQLHNTVEANRTKET KLRARLIALAICTVRSQYQRELICAVFGLLCLVGRTAENAPREDEYGQPLPTSDLMGY NALAIIFGPLLVGDLLSSYSMKLADPVSGLVILPITQSKLGKHRKLKVMRKEKPGMLA VDRIIVANDITEMMLVHWRDVVRHLANLKAHKREQATTNTADTNGALRETQSQTDEPS HVKSDGLPKGEMERSLSQRSKSQAPRRMSTPPAAHSTSYSGLGISETHFGDSGQDDKL QLKRRRSRPPSSVSFRQLSGGHPMSPLSPTMEEAPIPEQPETQSQSKQEGTLDQASNK PKSENQQENTADTMGGSLSASYKSPSQPADSSRGSLPVPSTLRPPTQQSTKHDLEYTE LKVDTRRITENMLTESSMSLGTIRRHTPSTSVPETHIKEQYPSHMDDSTAGHTPLLRA QKLPMQESKSVRVVAFDESSSRGGVSPSPLGMHRLKGQENVESRSIQHRNISTRSHEN TQAEAISSNGSPEPSFGRTKWPSYMPSAVNPLRTPPLYKAEFLPPAENSRTQHTNEQQ TNPARDSSPTTRWKELVRDSPTSSPAEIKSKRMARGSRQSLTRESGESRAREDPSSSL TPDWKRQLLMKRRGREQSEQDCTAPRWPTGVDKMPPEDGLAESSHSTKGDAPSLLNVT ASASSSRRSASKPVNGTVKAIAARFDSVSQESSPSQQRSTLRGSHSFVSADTETQNQK PAAKTTIHSDSTKSLETPSFSLSNQGLKRVKSTPARFRASISRLSQGLRSTPALAKYV EFPSPSKPTTIPEVEISTSKDADEEFPATPSRVPQLQVQPARVLSPNAMAAPQDGLPR RRRSNMTVHSPEAFGRGNVVENGNDGGSHAARQLRRSNSDTSSILHHQIRILHSQLNV RNEHIHGLRRDLDAMYGTDVGVLSQQLRRSRRECQMWKDRALEAEKRLAVFERFSAKF KGLKGDIDDTANSVGARSSICSHGNPRRGLSQSSHCSTHAERPGTSSTCHHHEKDCIG GGSDGVDSPEVYENYISKYLDDTQARTRQCNISKAQSELWIAAERLLGVPGVMNEH PFICI_07841 MSAFSTPTTKDANTKGQEPRQNTTADLNDTKGPAGGTREQLSKR QRLKSFFKSPAVESYEKNVSRERKDWGTGNQFGGGPYRPLSTTPGAADYYAAKGASGR PFICI_07842 MAPHKESQLSRYLARAIHGANLSKPKALTAALKDHTFTQSYRFG NPANNNFAAFKSLGTAAREKAVNWAKNRRAKVTTHFASPFSALPCLRDLLEYNHGQYF FDVDIHGNYTKTPPRHWQTLTAPLFNHAVHAMLGEPATTMNTILLAAIVRPKGHIVFL LPNSEGLEHVIRQILDHGLPLRLLSAKEVDLEPSLHGGRHQTVEIHSRLTSHSKQKLT NIYDSFWRERMMHSSAADAQITIARSAAMKDWGSHFIATFRRLPNAGPADSTVGLLNL YETLYGFPQKDSHERPVSDQDVDDAKDQDDDDDGALRTALERTQSFTAASDPSSIDVD ALKGEIARLENVISKMQTKMAKTPE PFICI_07843 MTRRIVRAITQLSAAAFFTFLVVFFLDRNFRFIPNAIHEYMPQH HPGLVITDLKITKCSSVSLFTSCKLDPEQWHRIEKDLYLGRGWVSSAYLNVRRLKEED LTPDDKVVMDVMVGRLDPSEGAKGQADQPWESRGSGLWVLRSAKVHASDSKQAVTAVD LLFGDDAVEARDGWHIVGTPMLLDTNPNIPAAHVTIRRGSQPAPFKPQPRVKDNGKFK IMQLADIHLSTGVGHCREAVPDEYHGGKCEADPRTLDFVARLIEEEKPDLVVLSGDQV NGDTAPDAQTAIFKYAQLLIKYKIPYVSIFGNHDDEKSMSRASQMAIIEQLPYSLSRA GPDDIDGVGNYYIEVLARGQSTHSALTIYLLDSHSYSPDERQWQGYDWVKENQIEWFR QTSAGLKKNHKQYTHIHMDIAFIHIPLPEYRETESFFFGAWREGVTAPMYNSGFRDAL VEQGVVMVSCGHDHANEYCMLSTDEVEEEQKPRLWMCYGGGTGFGGYGGYGDYIRRVR FFDLDMNVGRITTYKRLEYNQTDLRIDEQVIVENGHPLPPPIIEEE PFICI_07844 MSSSSVVSANSVIELIKNRRSNYVLSKNLGDVSKERISEIVKEA TLHVPSSFNAQPVRVVVLFGAEHEKLWDITGDILKAIVPEENWKPTGDKIALFKGAAG SILFFEDSETVKKQQSAFPIYADKFPLWATQADAMLQHTLWVALEAEGLGANLQHYNP IIDEKVAAQWSIPADWKLNAQLVFGGKENDKPGEKSFIPIDERVKVFGA PFICI_07845 MSTRIEQILTDTSRDCGSCSPGTPSIAPSLTYSEDSEDQDELPQ PEPHRRRRASTRLISQSAADIQRITGESTTELINRCCGGGCCMKLGQKKKEGLEYESI PLPDNDAFRSLCLKIAEIPKVLNNVAEMPQQTAFLKPIRRTSDRLPSPTDSAISVSSP RDASDASDQLSKSLAKLELEDLDTTIQPPSFVQPHPPYHVFPAKIHNTRELTKLGAEK RTYHFDLDITDYPTENGLDFKVGGAIGVSAPNDTEVVEEILDLLGVPRYLRDKPVLLQ TTSGRWPTVWGDEQARELVTTRRALLTWCSDIQSYPPTKQLLRVLAEYANDPNEKKLL MFLCAGEGQGVFCDFRTGPHVSLSQILHAFPSSKPPLDLLLSVLPPLMPRFYSLSNDP HENFEVRDGKQHRLIEIAVTVHESADWRKGQRTGIGSGFFERQSRKYTAMQAAGEPAP QIYIPMFKGLMANPLAKQFVSDGPMLLIGAGVGIAPFRGFVQRRLKNANCANKVWVLQ GIRDSLVDEIYQGEWGVHESDVKRIVESRRGEGRYVQEEVTNQADLVWYVINSVDGRV FVCGSSKGMGEGVEEALVDVAMKKGNLGRPEAQKFWDLKKEVGQYIAEAW PFICI_07846 MFGAAKFKPDRDIPDLRGRVIFITGGSDGLGKESAKQFARYGAT VYIGARNREKASAAIAEIKASLGLAVHRSEEQTVANIHFIELDLASLDSVAKAAQSFR AANNRLDILMNNGGIMATPEGLTKDGYEIQFGTNHVGHALLTKLLLPVLEATATMNGG DVRIVNVTSIAQEWFGPPNGLLLEEAKTTMGGIGPWQRYGHSKIANVYFTKGLSKRYP GIRSVAVHPGGTKTSLSKGVEPTQPALVQAFMDLIKRFTFADVAEGAYNQLWASTSPE AKSGLLHYPVAKEHIERTILKDEGVADKLWDWTEKELRRLEIF PFICI_07847 MTEIEKLVQIFLNVSEVVAFIWGPIKLMLMIASSKLETLEFLLD TYVEFGELIPHLQQYDRLFASSPSVLEVLGRYVEDILRFHQNALKVFSRPGWRIFFDS TWKTFRTDFKPIAESLKRHRALLADERLNAAVSEVQVTRDQILTGLDVSSIETTRKID YLSDQVSEVYRQIAQQLYSIEHRIEDGDTKERTATLLKEVRLLTQKLEPPDYEADHQG ASEQRYHESGSWFLREPLFLRWSTSQTLPNTTLYIHGMPGAGKTVLASKVISHLRNST SFSTARCLFFFFKHREDTKHSMTDMLRAVIVQLLTQDPTLVGASFEEYGSVSDRQARS LTCLKSWAKMLLLSQRECHIILDGLDECPDNGKPVSESIGILDWFLKEIMPETSAQGS SLRLLITGQRDGILDKALFKYPTFKLDTFAAHLDDIKSFTRAEASKLGRRFSLEGFEE QSIAKKVVEASNGMFLYATVVMGNLLAQGSATELDDELTVNFPDGLDKAYERVAVRLL EHPGRRRTLKESAAKILHWIACATRPLKWREIQSLFCVDPMKGICIPRNKRVDDCKTI CGSFVDVEHSKHEVEECELIVRFVHDTALRFLIDTRRLDLFQEQANMAIFSTRYLASS PFEKGQDAKAIFGAAISGYYGLLDYIVVYWQRHVDIVLTENTTAPPNLIIDLNSLLKT RFEAHALEPYQCGANIEDPPTIESVPNNECFRSYEKILEERCSSIRKILETIWPQIRG AREQNDFLAFNGLPRYKCSKSQCRMFSEGFLDRESRNQHVRNHKIQFFCPKDNCPHHT LGFTSGSDLQRHTKTSHPQGADTQYVFPSSAKTKDDIWSACASGNLSNVKSCIAQGVD PKLGKREGAALSPIVCAARNSHLPVCEYLVSQGCSIYDAKDRTKRNVTAAGEAIKAGN SKLFYQLVQLGTEDQRADFVQGPLLQSHIAAAISSGCRELLDTLLSWESGRQDRLKPF DIFVSACAIARIGRDAIELYEYYWSLLDADAKNELLSKTYRKSPSIYWNGGNMIHRAC RSENLSAMSVLVRHATVEQLEHGTRQAENPLQFAAQRSLHVFKFILEINAAVDINALN SRGQSVLHLICRGYPHNDSTKVLRLIFGQVRHLVNVQDYEGRTPLHIAVAKGGLELVT ALLEDENCDLSIKDKEGKTVFEVGEHLQNNKEEINTLLHLSQLKRK PFICI_07848 MKARLSRTEELGIVVSPWVQFHEFTVTQRDNYLYLSNSLRNPDS KDIGVLNMTDSMALIPLLSFQGIVITAIITSKSMSLVSKKSGPKTFTADISINVTGPE ALFTAIGETLTTRKQFLQHPEYLQKGLKYMNPQYFYRGNQPSDLRSLVGPSPQEKTPS FSFQELTNLMDGTGMLSDYSEHIDKVLSLGLIRTALKDHQRDGVSFILGREDANRASL LTQSLASATNEGSSDKEPYTALGGIYADEMGLGKTLTMLSAISVSEYLNARATDSMEI DSDLQTLINVWDSEIDKHFAPNVFNVSIFHGDKRAKSLQSLHEKDIVLTTYHTLISDW TSKRLLHQHTWNRIVLDEAHCIRNQSTHQFKAAQNINARNRWCVTGTPIQNSMHDLRS LLGFLHFRPFSEPAIFNKFIVEPLSSQELDPVRHLRTLLSVMCFRRTRALLSLPPSDF HKISVTRTADETHWYDKILASAKLEYENIANMKSTKKKHTVLFATLMELRRLCSNGQP RSEVKNTSQSNDTELRTQGSRLGGKKRKRDTIAFSGFCEDCCSDEVDPSLGAALREFC PTCSESSSSPLSGAMVIESIQNLPMDFECSSASSPASSTFAYQREVPSLLPANNLKAA LPSSKISAVIDNVENSPTGHKNLIFTSWRLTLDALQFELSRRGLPHLRIDGTTSFLDR QRILSQFSEDPDQCTLLMTIGTGAVGLAITSANRVHLVEPQWNPSMEDQAIARVIRMG QEKRVSVFKYIMKGTVEESIVELQQRKRRMARISLDGNDDDGRLEDYRFVLTNLH PFICI_07849 MEVAQETINMTSVVDSTTPDAVVLGKNLSYYAIIAATLLLVWLF QPNQHSNVSAPFYKASRMKWMFSADSLVRDSYNKFRDQVYQVRSTEGVRTLIPAKLIS ELKGLPEDVLSTSEAINEAMLVEYTKFTFGNHADTMHLLLKSKLTQQLGRFVPRLKSE LEFIERTEFQDCKEWTAVTVQPFILRAVARLSGRIFVGAELGRTEEWMELSINFAIRA FIAVIKLQFFPTWARPIAKYMVSELRQIDRDVARAQELLKPIIDERLQDAELDPCGER PDDFVQWLLDALPEDQRRDYHMQAKLHLILCAAAIHTTSNLATDCIYDLATHPENQLV LRDEAQEVLVDGDGWARKESMAKLKKTDSFIKESQRLAGNVTSFIRKVVKPIDLSDGT HLPPGTNLLAPQIGFSHDERYFPEPETFDGLRFWKMRNQSEEAANRWQFSSIGDYNIN FGLGKHACPGRFFAGNEIKVILAHLVMNYDIKLKEGENRPEPVMFMMSKTANSKAEIM FKRRPET PFICI_07850 MRSPLAAALLLTSSASLALGQGFYESCFRTWELGYHHNSNFVVA RCPSTPASSAPAPPPNNTAVPAAGGNVTSAIDLLDCLKNTEGVLEPGRLGHAFYSCQD CVASTTDATISCQCRNSHNDYLPTSLDLDTVITNNNGILGCFDLPG PFICI_07851 MSGLEPLAALGLACNVLQVVEVGLQTINLIKVVYQGGSIDDALE QNAVILANISREVKKSKQPAKCPKHEQQLIAAAERCSTAARDLTEEIQFLVGSAKKGS LASTLKVVARTSWRRRRLERLKENLDSAEKLMNTGLLVRIWSSANTAELDLKKIKEDL RSFIVQFQNGHRNTSELVSRETIAIKEHVTSVSAQNAKSLEIARQSLDSLVLDASFHE SQAKRDRLLQSLKYPGFNERRNQVAEAYKNTGTWIFAGDGDEIDSLEDRARSSSEDIT SETSESQETRHNVQDYVSAIKWDSFSNWLRSTDTIYWISGKPGAGKTTLVKFIINHPD TQTFLKVWQPSPLIVSHFLWRPGTELQRSIKGLLCSLVQQLLENSTTVLDSILQCVPR VNMKDTDTDWSAEELRLLCLRVLSTYDRPICLFLDGLDEVDSRDGVVRLLNLVDEVAE SANIKICLSSRPEPLLQRRLSIYPSLRLQDLNMGDLELYARDRVILPDGYVADRGRDD LIHSLVNKAEGVFLWLVLAVSSINRGAEYGDTIDLVDERIRQLPGDLIELYKDMWNRA CKDDPLQYRQTAALYFKLLLIHREEDSAFERSFFGWDLFTFTLASTSTADEILQHGNR APDLISEATILQRCQEVERKIGIYCFGLIELGPGQDYLGDEDTTVVGHYGDAFDKLLP LTGGKRVLRFMHRTAHDFLLDTADGRAILEFDTSSKISLEIRIVQAYLAGSQLFLHAD YYVLDSASFATTPLYLMRYLRRVYQGTDSCMVLDWQQLIRRCESLCNDGKLFVGSRNQ ARLCKGEDFLKVAASICSDEYVLHAIKHGALSQQAKSEILLNACNAYASRRTYLRPQD LNVDESIRVLLREGADPKYKGFKFSPDLWLWPFAQLDTPFTDYLACRQIHRKNHGLKL ESLVVMLETLRIFIDREANLDEMVTVVFLLGTWFDPCREDSLPGLHLFQVFELTRINP DFTFHTMEDSILFVSFPAHAVLDAFLNMIRLGNPPPAEETKLNGLLLSLETRCKNWCS SERSRFIGRVGRAAASLEEGGWFETTEDQQERITKLVISQALKSAWAIAKSSTIVMTA SDDEDDVNNLFAAGDSKRTRPKVPRMKWLNKTSIIITSNKGKKPHGSESACILQDASD GAYFLDEAGDKSSYDLSHLE PFICI_07852 MSSLRSRSQSRNMSGNGPMQRRAIGHMETSSEDFTHGQGTVTSK PTSRLPAPRFTTQSRIPQNPAARRPSASNTQPHVKDAGQPWNSTAYSSQSTPAYKSSA IPVKEKEIGTTQPRARPVLRRKQSLVPTGSSNARNPPLANHEKANSTSMMPRPQVLVD LPAPRLEQNVPKAPVENHIPLKVDLPVTRTETLYPELDRYRNIQVPRDGPASEMLFRL ATHDLPPPTPLFSGASSHSQLSSFSGSPSTRFSESPGGGPYSRDTTPTSMSSVSPGLV FPSRSTVTRIKQPSRPPVTRRRAGSTTRADDDDDASDIDSHALSIVQESLASSSSSST TVRDVEKNSKKKGLLDLRPPNPPPRKSSHKLRKEYDNHASPSKTSRKQAPLVMRSPSP VRSPPQTLPLNVQKVTASPQARATPPSRPSRENTPDLYSQFGGPLPIIQSNLSSTSLT ERRRSGQIAPSSLPRSMSSTSIQDQQIRPKVPVSRQPTPNPKPTPTSLPQPGKAEPGR PTRTPSPGVSTFRSKFGLFTRRKTDSDANTDKKEKPSRKGPAAGTGHEGYGKMGAVRR RNSSTAPPAGASSSSDSLASTQSVDPFFLARLNPVVIAGGEIIENRNASAEISRNDSS QSLAYTRPSIDSRKGSSTSLSSREDARNTLWPSAMPHPSHSHRPSNSSDIGADPMKST LAFRRSIQRLGTTGDHKPVNLPQPINTRGITSPSLASVDTIFTDDSLALSQSDLELPQ TQLAPAPRKLTKRPKSPRKWNLFGRSQKKDTTATKPTAVDVAPSKPVAFYTIIDSSEQ EDSERPDITDILRDADIVVPPSLPAQSTTGQGHERNPSTLSQDSADQPTQPTQPTLAQ GVAMSQKQNSPMIFQPQISLQHKPVDVEMKTGQIKTSVGRPSRLPQVGRIPKVVNRRP EHTSTKSFSRPFNRISLQLPPPNRRSMNGDSIAKGPSPPTSSTPELSQDASTITTSTV GPPPRSLGHKKSRSLDSARPSGEFLAFPIRKGSECTTSSSSSSSGIALFAQHVAVIPA PTAPLAEDEIWDEYNDLLGDVPPSATSSRGIPFHLENYGTKLAKRITGPLESPTVRTF PPQEQAEEEPKDEAKDVRSSITTGKSTSSHFSADMTARINAAFRFGTEPPETPFSVSE FVSEYEDRNNTTESPDIRRSVQRSSASSAKSRQTRASGSSSASDESPLAQVNLRVGSM TVSKWLTFGHVLFSPARDELVPVVGSLKRHSILVIDGLGNDDWSFYAAETYPAATFFN LSPRAPVSQEQRTATQFPASPSNHHQIQYTSHMDKFPFGSESFTTVVFRFPAMAPESH YRNIISEARRVLKPGGYVELSILDVDLNKMGSHTRRAVRQLKERISAQQAEISLSSTA DTILRLLGKQGFTDIKNCWVGVPVASAIAGSSSERGSRRRTKDERSLAEMMNDETEKG DANITKMVAKVGRWWYNRLYETSTGMKTSIWRDRTVLTECEEYDTRLKLMVCYAKIPE TKTRLASI PFICI_07853 MTDYNTLKVPELKKLLQEKSLPVAGNKADLIARLLEHDKAQEPA QPAAKSTADDEIDWDDDDPATTTATTEAAKPAEPAAPAPAPAVEESKPAATDAPADAP AATEAETTTDAAATTEEPAAPKPDFSAHLAASTADEEARKRAERAKRFGIVVEQSEED KKKAERASRFGGEAAGAPSVANLDSALPERRERKRGREGAGDQQRDAKRQSTDGRRGG GRFKGRDRRRQGGGGAGGGGRGEGRQQNGGGSSRNILNDPAEKAKAEARAKRFGA PFICI_07854 MTTEDDKPAEPTTPRRTTAAAKPKSPHATHNRKMSAPGGGATPN SAPAAAAAGAGATRQRAATMKPPPTLLGDFLLGRQTPARVAADREKLKQKQMTLEQVK QEMRETAVRKVQAPGGVHDRVKQWQKKNAAAASDPLAAPSEPSELNIQVDEESVTEED RIRIKNKGVKNKPSRLIIVEQHKAAEATEKDDPGSGEQSIPPKKRVVSDTNWMKNKRS PPRNKSPKPSNEPGPSLPKTFSPRTAPNPPVKNKIKDWAARVEMPDEPQVKRYSVSRS VGSGSGDGIRVKAMRSEGDVSSVKSSPKSTAKSEDMEIVVETSRSRTSRADTDDGIRV RPMKKLDQDDGIRIAPMKTKLPDDGIRVYSGDRRSEGASTVRATSQHSSARRKSQPKK SSPSEKIEVYEESESDEPKTPTRRSSRRKSSKLSSRLTQTTGDQSHADTHTTLTGDTQ TTMTEDVSDSESWTSDPSDEDSAVPSSIPSKLADIPVGYSAFSELNLPIKGGGRPKTK RTSSFKGATNVLKKAFVESKKILSERAEPPPKPVANQPRSIESWLNKTVDPFVEEAAA GTPAVEANEQPAEKKPNMESKPERPSSASKRKSTEELTELTSSTWTSTEVTSTSKDED SMITRDEEVVKSTSPKEREKHESNDPVPNTGGLRRSRAVRSTSSPAKPVKKGFKERLK EAFRGESSMFYPSGADEHKYEDDDYYYEDDHRERRRSSGGKSDSSHEDEPSKSPDPPR AVSSSYPKRRPPPTSGHHELSTIMSLESLGTSESDLSSVLSDTTITQTTAFTKSNGTE LSRNRSNKPGLKRRLTKHSDLVSALSLPDEPSKVGRSRSLKSTRSVRRTSSHLDSATV EGLLREFARDEDLYQRELKTLVDGVIPVLLNQFVHGNGSVVSEDLFSSPSSKDKEGNV AKSVVQMGIALEKIRNAHRRCPLSDAHRLPRWLESTQSVYDNYLDVWRLGFSDLIVNL APLSLDDNDSLINALPRNEQGDVINEDGERVDVAHLLKRPLVRVKWITRFIRGYRAVT GTDQYSDLAAHWEALQEKARRRHKEESARVIDDDAINTDTSRVRDLKTLEALDNVKID RFRQVYAKDLFSLDLRHTNGQRLDCQVELISRDNQLFKSDPGDLLIREAGSGGRSWLL FSPILGGQYSSRKGDRDGELVVMVRGKYDEWFQLLTLTTDNDEQVEDWIDILGTSPMP PAVSKVEPRETSSLPVVAKPTIEEAPLGERKRRYALHDVPAPHRTESSPEISERTSPG RYHQRTGSVPSTPITKTADSPLKSPTVGPSPRSRSRYASERRSLAQDEEKSRPLREHM RPDPHAFAEPQAAASPAPEEDTPPPPPAHRSLPSKPSISPPVDLAASRIKRRGSSPLK HEYQPSDSSSSSSESDIDDESVSDSSDDELEAIDIPDPMPAISIKKSHEEAPTDSDIS ESTLSLTPSASASQAGIPKVDGQAPAYAFKYHASISYWDNKRGCWKDLWPDKCTIITT PGLIEAYAIPAIDDDDRPLIALDLTPLVMLRNSTALDLEIRSPVLDYAQLYAKVAKLE TRVFRFRTSTVEELEDMYVSVHRARMDNAKFKALEEEARIRNFGQNQAVDQDGDNSSR RRSWFGRKNSYRASTRAPSQSAGSTSHASSVSASSLLRRLMGGGSQSFDISMSSVGKQ MRPGSREASLYTSSSSSGTPPRSPSVSAANSGSAARMSLTTNNLKIRLHLMVSASKWE DHGNCLLEITRPPTGTRQNLRKYQGMEKRIIVTQIPKKTSDINTTVLILDVVLGSRCF SRLGSRGILLNVWEEVVDHNGTAGVVQMGGVSSGNVSKWCFQCASVAEASWIYGLVTQ EVVIS PFICI_07855 MNTPDPPSPEHGSSPVPGREAFDLKHPDPLRMHPVGVCTPPQYT LPKPPPPPKFTPPTARNFSFSVSGLWKSNGNMLRWITEVPSVHQGLNEQLPDTPSQKH PLNHDDAPGGHEGGQHRNKKAKLAQGASTARRRDRILSSTVDAARRLSSGFHNMFSSR PSSGQILEDFATLNIASPAGAPSTPRPREKMRFAVIGDGNSGKTCMLLRWYYGVFNSN WYPTHYDLFNRSYPVDGRNTDLEIWDMAGRADLHQLALLSYLHWDGIFLCFSVNNDRK FNNAQTRWINEIHMHCPGIPIFLVGLKIDTRLGNGRWAPLFGPIDTRVSISEGEAAAA SIGAVRYLECSAKTNEGVNQVFDEVIRTIRQLRSGRAPVQERRGMTLGDLMCF PFICI_07856 MAEKSNIHLYTAQTPNGIKASIVLEELGLKYETTNIEFSKNTQK EPWFLEINPNGRIPALTDTFEDGKTIRLFESASIMQYLVERYDKDHKISYPYGSREYW EVNNWLFWQMGGLGPMQGQLNHFNRYAPEKIPYAIDRYKNETRRLFRTMDTALESSKS GYLVGDKCTLADFSCWGWIAAAAGSGVNINEFPALKKWRDLLLTRPGLEKGRHVPSTH KALESENLTEEQIAEAAKKNAGWIQAGMKEDRK PFICI_07857 MAETKKIGMLGVGSMGAMMSLLFAEHGYEVHFFDPSEENMDMLE KQGKDIQLDDRVQRSKSYEDVCKSIETKGQSKLFVFSTPHGKPADKCVEGLLPYLNKG DLIVDCGNEHWTNTERRQKMLDPKGIHYVGCGVSGGYQSARHGPSMSPGGSSEALEKA MPFLKTVAAKDAHGRPCTNPVGPAGSGHYVKMVHNGIEQGMMSAMAEVWLILTKGLGF SHEEIADIFKSWNESGPLRNCFLVAIGVGIERAKDKDGNHVLSEVRDKVTQDVTEEEG TGTWTCEQAVTLHVPAASILSAHLFRCASADLRRRINNQKAAAGGIEAQPWSGVDKRK FAELLHQAMYFCFLACFAQGMDIIRRKDRAEGWNLDYRKIMQLWRGGCIIQADHIVDL LDSIYEKADGDQDNLLANPELGHEFHNTYPAIKEIVLKAVETDTFVPSISQSLEYFKY QTSTELPTQFMEAQLDFFGQHMFDKKKDPVGGPEKGQHHYEWKPATGLSGK PFICI_07858 MDTESGSTPSGGDASPRPSEDLENSSPITPASFTHNHVVADCHL KNFNQVIDALKSAADRVSTSHHSGHKYASMHALLLSWEGDDLGVGTEVANLGDVLSNQ YAFDVRQWEIPMKKSTIKLTKLIVDWTEEYEREDNLLLVYYGGHGRMDSSRQAIWSNR RNRDELYAEVKWSGCEEVLHESISDTLFLLDCCHAGSSISQALKGFSETIAASGFEYI APPPGPHSFTNALTRILFDWSRSPHSFTIAALHAEILTYLKIIPPDQLTRDGLRLATA SSSMEMEKFEWRRTPVHYIRSTYKYISSIILAPKARETTPFIDLRNLHLPKPTNSPRV VLSISLTEDIRADDAESCRRWLTDFPLPTEDITVEAVFKSFSTVVLISVALEIWDVLP EIPGCNFVCYSQSKNLLGHPLTPHSTQKHSVTFTQPDSWWPPTENNQRHGIAHQPDNS FERKPMSVPAESIFLGKSVTKSSRPNLKIGVPGHSRYSGPLSEAGSVEEASPEGMAAS GPGQRDDSLGLGIVLPPASPSPKAMPSLGAKGPPNPFARPFMEGSFKKDDMEIDTPVS ASSSRFSNDLGVSPTAMYGRDEADSPLDLTAFDLLVQESGLPPHQDEYSDHCESSTTS TLWQGSKDKNDG PFICI_07859 MAQLDGISSSTPEVKQLDLSEKNIQSTPSVQSQDSFMTRSSYDA DLLDAFAGMDENCLLGEAAIVKETKKPDTIVEPPALPQRSSLRASRMLDTLRLSSIES ATQSLTTPHDAYMSSEEDASSSADEFSDYDYDSSSETSEGSPMRRKSYEDTARLVSVV YSGKPSLVNLPSPRRSTISSTPDSCSEIESPIETESNKPVSSESHPPRTSSLVPAHQP SFLTKDPFAETNYTLDNAKEVLLDAAPRTAVKSQAVLNRVQRTFSLARKRSRTFLPGA LMSKEDLSIPPLPISTINLARIVTTPVEETKEEGSPTESVRTPVRYSDIIRSAKRHAS SHSISTIASPITPLTPGTPSSPFASRKGLLSGLKSGHRRSLKP PFICI_07860 MPPPGDNQRVILLIILLLLWTTTNDNSAGFVQAPSLTRARLARQ RTAHGVLNTTHWGDFSPRLANEQPLFPGPEPRYLNLTGFREEDRFAWEDFGHFRNRCE EWSENAVGKPNAASPVWQNVSGVIRGPWIRKPLSVSRTHASYNLSEVTPGIEWIAENA DWGRNITGREGKMLVRVHDQEAAGTEPKREDLHPVGQPPLEVKARAVSATVTIDDEEG SGSSSEMRLHGVHWPRQGALLLTTTSEKFAGIFALPHLTSREDYFNSSQALLNRTLDE MLHAKERRYFTDHGNPWASTSDSGEPLGSAPHCEYIFYMQVHPPEQSILDIDHLLAGP ENIAKAIDELEYELRFPRGAPQDGPPRLMMSAVVYSPDCAFMIETKGPPDFPPAEGQH LSGWKQEQWLHDVGYWMLGFGAIIFLQVQLLKTQMREASTPSTLGRISFYTASMILLA DGIIFAGSAAWALSASNTLLPALVVTGASCLATTVGICFLSEIYVVQEPEWRRRDRER QANSTSSTPRPPPTTPAPDATTPNTSSESNTLLSTAARRATPRPPSPPIIIPSDQDID AEIEQVTNNPQSILPAPVTAATPANNQNANRSTPLGTIFGHLIMSGIAILFLSLAAAT WKPALRSVYFNILALGYLSLWTPQIYRNVYRNCRRALSWRFVIGQSILRILPIAYFYL VKDNFAFATPDWTAFAVLAGWLWIQIWMLAAQNVLGPRFGIPRGWMPEAWEYHPILRE DGVESGGLPIGLVLGSSDTAPSSPTLDRTKSGADANKHTRIHHIDCAICREVLEVPVV KAGESDPNSGVTGVFTRRAYMVTPCRHIFHSACLEGWMRFRLQCPICREELPPL PFICI_07861 MSSKKAESPNKEPPAAAGNSSDELTVVVEDLLNSLSNKFAGVSS ELFAKMDEMSRRLDNLEAALQAQQNSSSSSSTK PFICI_07862 MVLLKSFVLTGLAAVVAAKSAVLDLVPSNFDDVVLKSGKPTLVE FFAPWCGHCKNLAPVYEELAGVFASTKDVQIAKVDADAEKSLGKRFGVQGFPTLKFFD GKSDKPVDYNGGRDLDSLTNFITEKTGVKAKRKLEMPSPVDMLTDKTFKETIGSEKNA FVAFTAPWCGHCKNLAPTWEKLAEDFLLETNVVIGKVDAEAENSKATAQEQGVTSYPT IKFFPAGSTEGELYSGGRSEADLVAFINEKVGTHRVAGGGLDATAGTIDILNHIALKF AAGSDSLSALASETKKNVDNLGKEAQYKYAEYYIRVFDKLSKSDNWVAKELARLDGII KKGGLAPSKLDELQSKTNILKQFVADVAEKVTGKDEL PFICI_07863 MSHESVWNSRPRSYGKGARQCRVCTHKAGLIRKYGLNICRQCFR EKSADIGFVKHR PFICI_07864 MPAALRTLAPFLRASRQALRPGSAANPLQYSLKSQNVSSALNIY RSYAVYERTKPHVNIGTIGHVDHGKTTLSAAITKRQAEKGLANFLEYGAIDKAPEERK RGITISTAHIEYSSDTRHYSHVDCPGHADYIKNMITGAANMDGAIIVVAASDGQMPQT REHLLLARQVGVQKIVVFVNKVDAIEDPEMLELVEMEMRELLSHYGFEGDETPVIMGS ALMAMEGKRPEIGEQKIDELMEAVDRWIPTPERDLDKPFLMSVEDVFSIGGRGTVASG RVERGVLKKDSEVELVGKGGEIIKTKVTDIETFKKSCDESRAGDNSGLLLRGIRREDV RRGMVIVKPGTVKAHTKFMISLYVLTKDEGGRHTGFHENYRPQMFLRTADESCALFLP DDAEDKSRMIMPGDNVEMVAHLHNPIAIEAGQRVNVREGGRTVATGIITRIIE PFICI_07865 MKFLAPFTLAIASLTTASPIEPRAVTNFDGSQGVRLNLVNASFG PDGGLYYKGMGLAQIYDKGKSLQAVQAHSNPNVLTYGDLDRLSDPYPYITSKFTGSSG TFDLESFYYGCVLGNKPGNCVITVVGFRNGVKVAAASFSYEPVATIPAPMAQLRLTSA FRDIDSVRFTTVFSDSASHPGLGGATFLDDLRYTYFGSS PFICI_07866 MVSYRLVATAGLVASVYALPLNINLGAYSPALVVGDGEISFGGG QDVSQLFNTLEGAAVNAATGQSNTAGAAQAAAPAVAAEAVATPAAAPAAVVTTEETPV VAANPATDSTLSEQAASISTLQGMGKEIAPREGESPKTKRDLQGFDRALQYAENALTK GPVVQLGTGEGGAGVGIIVDNRPTTATAAAGAAAAAKRDETSASQPRRRTTKVTTMYV RRGVPQNLQGSELETRDVKTASLPSVVSAALAKKSEDSEEKRDSTSIDAINLNVDDPQ GITMTFVETSEDEE PFICI_07867 MTKFSDSGQAQDGSDETKHAHFDRAEPSVQYNSYHDDHDHLSSA SDEDSRPVEVSFDPANPYRRKSSLVSEESILPQLSKQSKKTQCLVHQILESHRKARHR DIRSEGNGAFTVVDPKGKDERRPSKIEPESGESTDAGQVDEQSWKTSIDKSKSELDLN SEEHGELHSRLLTKKQLSEMAWGVRELSRRLGSVRLRFKAKTIFILTKVFDAELIPKT REITKWLLSHHRDVRYTVYLEDSLQENKKFDAPGLIEELRREYIDAGEMDSDTPSDAM GKRLRYWNEKMCRSRPHTFDFVISLGGDGTVLYASWLFQRIVPPVLSFALGSLGFLTK FDFGDYENILTTAFNDGVTVSLRLRFEGTIMRSQKRHRSLTGSQEEQDEAQSKRDLVE ELIGEEKDDEHTHRPDGTFEILNEIVVDRGPNPTLSYTEIFGDDEHFTSVMADGLCVS TPTGSTAYNLAAGGSLCHPDNPVMLLTSICAHTLSFRPIILPDTMVLRIGVPYNARTS SWASFDGRERVEMRPGDYVTISASRFPFASVMPQGRRSEDWINSISGKLGWNTRQKQK NDGYKSWN PFICI_07868 MSEHSSSPTAPRQDAVSQLRDDRDALRLLSRSPHPYHRQKSELL EPSGSISSSTTARSAPQHNGDDYHIRSRTSFPAVSRDTTPTSDSGTEADDEHFLKGLP APRTKLHKGLRGRNELLSGTSSPFPSPAYLEDEARRNSYITAEFKKESKAEKERQSRA ETSKRTKVIIRRLTEVVLLGSLGAMVYMGEHVRPVLYKWRLELASTLGVTGILAILYP LRLAYWGYRHRTSSRKIPLQLPTSFDPAPLLYPVAITLLVSSLISVDNDYVVLPNAVL ALCALPPALFPKVSEDEVGGSLQWLVSCVPLLISKIISHQSTLLQDSKPSRATVSAEN IVLLYPLHRALCITLQSLTTTSLLTAELHLLSVALINVLLLSWSPQITIIKAMLWVGG LDILVLCTRVILWGIALARVPKWRFKRDDAPTKRSSSVFSKLMPWKRVRHDLFHAPME CSSCSSCEAVDDAEDSESMQGLTRVKTATLDGSEQNGSGVRFDAAETKQLGHQRRHTL PHISKASKRSHTHTPSGRRKRSASSSVRAFFALTQEQASMRKWLYALYVYICIVAAVF VPNPVFGVKDFVQEHALQGFEPVGWALGYLFGDLPWFRWQVISHNLERWICLPPRAAH IEEQVGWVQFLRQGVFGEANTRLLLSGYYVTILAAGLMVVFQLSPLYEVDTRRKVFHF MMVAMFAPSIYIDPTFVALALSIILAVFLLLDLLRASQLPPLSKPLATFLAPYVDGRD LRGPVVVSHIFLLIGCAIPLWLSLGSLPRSGTGYLTGWELPTREVSMVAGVICVGLGD AAASLIGRRYGHRKWIWGGGKSLEGSVAFAVAVFIGLMAAYTWLRVGGWHPSTPYDSI TVTIGKTSVCACAASLTEAVLTGGNDNVIVPVILWTCAKGLGI PFICI_07869 MSSTPTGSGRTPRVLACVLCQHRKIKCDRNSPCSNCLKAGVTCT PSTPAPARKRRRPNQDLQQRLARCEELLQEYATAKPPSTGTEVPNSGEPWKSMGKLVV DDSGVRFMDSFLWATVHDEVRAMREIVDQDEKEEESSSTTPADGLTPDHHTGLLFSSD SDANLDELHPNPAHVFRLWQTFLERVNPLTKVIHVPTVQPLVVEAATNQTNLPKNVEA LLFSIYTMAVVSMTDAECIGVLGLAKDDALARFSKGARVAFMRTGILHKYDFVVLQAL VLYQLSLMGRYDRHAAWILNGVIIRIAQKLGLHRDGELLGLSAFDTEMRRRVWWQIIL LDAIYAMLSGFGQSMLPRQWDTKEPTNVNDADLFPSMTKVEPKDGPTDMVHCLISYQI ARLLSDTPSLENIILQNELATPKGPATAELEAAQARINELGETIQDILQKYGDPSMGP VHDLAAWQGRMIMKKLQEVIRPPREEPEWGTEVLTPKDNLFKLAVSGAESSLETYRHL EKGGVFTWFVKTHFQIEVFQFMVGQLCTHTTGQLVERAWKVVAEVYRFHPEFFKLSIK PHASLAVIAIKAWSKREGALCLATGTTPETPWFISRLRELLGSSYEPMDNASDIKLSP STPAVSIAGTAASADMPWDQMLGFVDTSTINWDMFGNNGAPVVNYGAYGNMGPYQTMN GWL PFICI_07870 MPFGLGKKKPSGDAKPTATAEVTDTTTTTQVDQASHNGDSDDIS SVSTHPREENNTVTKTVSRASTTHEYPEGLRLALLLISIFISMFLVALDRLIISTAIP RITDDFKSLQDVGWYGSAYLLTTASFQLMFGKVYTFFSVKLTFMAAVFLFEVGSAICG AAPSSTVFIVGRAVAGVGAAGIFSGVIIIIVYAVPLHKRPLYQGLFGAVFGLASVIGP LVGGAFTSNVSWRWCFYINLPIGGVALVVIAFLLSLPDRETTKLPLGQKLAQLDAVGT LLLIPGVVCLLLALQWGGLQYAWNSGRVIACLTLGIALLVGFCVVQVYMPKTATLPPR IFKQRSILAGFWATLCIGSQMMIFIYFLPIWFQAIKEVSAVDSGIRLLPLTLAMVVAS MSTGILTSKIGYYTPFLIAGTCVMSIGAGLLTTLEVDTGSGKWIGYQILYGFGMGLSF QAPNLAAQTVLPTRDVPIGTSLMFFSQLLGGAIFISVGENVLNTQLVSRLSTLPNFNV GLVIDNGATALTSSVPASLLPQVLVSYNEALRKCFQIGLAMCCLTILGAAAMEWRSVK QKKPVKTSAAASADADAEKGEKAQEPEDEEIARVASRGITNQTAAAAAQLPAKIIE PFICI_07871 MSSIGSMLTARDDSSSISDSNWWLCYIVLALIPIVFVCVACFSV WRDRRTRTKYDVEAINAIYSKYWTGPRDLTETKRPAGFPQTRPGLYVPTLGRDRAGPR TQNGFPAPAPNTLSSGYNSRSGGAAAAATAATTAATTEKRSHMAQMPPNRNLAAAASA GPSSYATRGVVVTEQRRVAGDGYWGNGGFKDVLI PFICI_07872 MSACNVIMLKTVFSSDALGCFELQRSQDQTLMEECMALLQNMTL TNKIIERGLVTIRRLMNSQRESLPSYSTLDPQEMHRLLVEVEGRLPTNVLVREVNDMG FLESIFDMFDADYQQ PFICI_07873 MEATGSYTAGVDSTLSLPPAGMRSHHPRVTQRAPLSCYPCSKRK RRCSRTVPCTNCVNRGISDQCHRETVVLAKNRPSLDQTARPRSAKTARVATTAHRTHG DSDRAHVRESPASHLPGQHHITDSAREQVAARPVNVESPSHGDLGNQDSTTDVYSQGS PVAFNHDGNLGSSPRQRDVDSHLSGEAANTLEALVWGSHKATAEVHYGRGRALNIQGS LSSAQEREVLSFHQLHVAWTHNVLHMPSFMRECQLYRQVEHSLPDAGWLTLYYAVLSL SFMYMHPQKAEKLGLPEASLLSQSFYDLAIESLHAAELATVNSMHALQAVCILLPCCH FFGDSKRIMILLAMANVTAQIMGLHRVQAKSERPESVSEVISREVQKRVWCCLCIQDS YLITFKRSYSISMAHCSTPPPSNCDEKEASISCNGSVAELPADHFTQSTFQIQQLKLS NISRALFDSVSSLERAGQGIHSVFDKVLEADDALVRFAQELPPWLSVVQQETLRDDDP CIVPAAGANADHPEVLQSVRRTLRISFLHKRIMIHRSLFCRSLTDKRFHYSYTSCLDA ARTILKEY PFICI_07874 MSAFAPATGQRLHGKTILITGASSGIGRSTALEFARTCPQGLRL ILTARRTEKLNDLAQDIFRETGGNVQVLARDLDVSNPDQVLNFINTLPEEWRDIDILV NNAGLASGVARAPSIPQDDIAVMFSTNVVGLINMTQAVLPIFLNKPNGGRGDIINIGS VAGRDAYPGGGVYCASKAAVRFFSDSLRKELVSTRVRVIEIDPGQVKTEFSVVRFDGD KEKADAVYHGVDPLTPEDVAEVIVFAATRRENVVLADVSIFPSHQASALVMHRKP PFICI_07875 MATEVFPVIDVSCLDDFTPKSAEITRACQDWGFFILTGYGIPQA TIDRMFALNQGFCALSPSEKSKFPIDQRQIGYDLKHSKSGAHESMVFGGVKGEVQDTH GLNTYWDAAKREEIETFKAQCHELSHKLLRTFAQEFGLPSTYFSEAHSDASNPPNVLR MLHYPKFETRPANDIPRIKAHTDWGSITFVFPRSGGLEVETPSGEWLEVPLVPGGVVV NIGDALHLWSGRALKSTLHRISFDRLPIDQDRWSIAYFVNANNDSRLEVLQKQADGTH APQPGGIVLTAGEYYDARMYGSRLNDAERNWVSKATNLDYYEGLLKKVQQVGVADHSV VADKLVAV PFICI_07876 MVTSAAELAYNPHLTDIVKHDDKPWYKKPNLRYLYVILIPYCGG AEWTLGFESGMMNNLQAVDSWVEYFNHPSGSTLGLMTAIQSAGMIASVPFNPYFSQWL GRRWTIVFFTALQIILMPLCPESPRWLISRDRGDEAYAILQKYHSEGHDGDEYVRLEY AQIQSSLSLEKEMASRFLWGDIFRDKAMFTRFLIAGCIGFFGQVSGNGLVTYYFAKIL AIVGITNNHTIQVIILSYNCWSLLNAVPLAIIAPRFPRRMVMMISAAGMGFCFIAWTI ASARFAIDASHAAAITSIVFIFLYNLFQSTGFQGLSYTYLIELYPYTQRTQGLAFKQF VGRAGNFFNAYVNPIALDAIAWKYYMVYCVWIFCECAIVYFLFPETYGRSLEETSFLL EGKEIHDKAQLGVDKVMQKELREHDATA PFICI_07877 MAPKTRVIIDTDVGECGDDIIAILVALAAPAEDVEILLLSVVWG NVNVDKGLRNIITVFYIAQLEMQWREAQGIPVGFQSLCAYKPIVAVGSPNALGEKTVL KTDGFHGPDGVGNFHTSHAHMSPPGPWRSLFQQGVPVPENSPSWYKYFTPSHLPAHKE ILRVLKSEPAGTVTVCSIGPMTNIALAAAEDPEVFLRTKELLVMGGAVDVPGNITPLA EANTWNDALACARVFALTSADPASTMPLQTTPEIPVAPYPEKLSSKLLLKLFPLDITL GHYVDYTRFAKAIKPQIEAGSPLAVFVDTILGGIFRKVCSQYGGNASPKLALHDGLTI WYALSPRDPSWEWAALDIRVETTGQWTHGMHVTDRRGKVRADGVNETEIAGDHLGWLS STRGNRINVVARDPLPEAYHYLILEQLFAGQEASI PFICI_07878 MATKSDMDKATEPGHLTVNTTELPSHNGTALSPSTSVNSTEKTL HETSVELARNSGLSTPSSARHLNPFDTDIERGQSADNLNRKSTQFTGRTLHDPNCSVW PGQNHWKQKAKAAKINNRSCQPQWMAKLSRRNRLIVKLLIAALVIGVAVGVGFGISKP LGAGIWKSPDS PFICI_07879 MGPSGQDQEEPGARSQEHCPGGPLRYTLAAAPGLGNHEMVRPGQ SMRAGTAPRNTSYSSSLGPKRTRGLEWRATPMSLSVHLPNDCIMLLLGNYPSTNFWPQ FLPESWNIQTRAAATIREIAHPQRRGKRGHHSAAICIWHSEPGFKVLPYIVQ PFICI_07880 MKPSRSHAAAVCLFGLVYLSLGAHVPLSKLMEVVEDVDDGPQAS LHNEQPQYTLFLDEDELGMEQEYHGIQKRGYYTCYNRQTNSITVPDCQAIIDRIETAD QPSFTVPSGLCLTWWQGTCMSRLCAKSGAPLRGLNKTSGSVVGELRDVILNDCVRTGL EGMAGDCANMDANCGSYRLTLEHHGSEVLGGPPPNPNQPAPVSMLL PFICI_07881 MVCSNLVYLYLNVAFIQMLKAAAPFTTLVISWLWGQENPSRQTV YKILVIVFGVLLASAGEIHFSTLGFLYSLGGLVFESLRVVMVKNLISGTGESMDPLVS LYYYAPVCALTNLLVAFTVERHEFRWSAVSEVGLGLLFMNALIAFFLNVASVMLIGKT SALVLHLCGVLKNILLVIASVLIWGTTIAPLQAFGYSIALLGMMFYQTTWTELRHGCA AIVLSYKNAPNIIHGHVRDDQLHASRHGTSLVVDVVG PFICI_07882 MSNRAPNRSILSALQATTMLDSKPALPAEILATILDYLPVADLM RFAQTSRRMREMVYDDTRWVPRLKSMGLWNEAEARQRFDEAMKRRRMTVNGGAPGQSP TAAQQVDTTIFDATEEEERRRKAAEDAAKAPPANIDGFETMTLNATQPKSPFEDPDAL LDILKTVKSIRGRARQEYGRVYGALAPFYYDLAQAKSHTEPVVFQAFRDPERQAKMLN NLFTFAKSDWAQGWNEREEKLASMTSMFESAVLREFEQGYEFWDVEGRMKRYAHVLHF LNGGSAAMELFVSKHPLLNDRDVLANSMDCINQASYEDITLEPSRQFFETLASKSNEQ SAIISQIFPVPSVTFWYFMDKLREEVIIDYVNSLLDELHRKNKASYLKAVSGLFEQCL AFSRSLRPPTGTPDELEEHAKDLALHVFEQHLDLYLQDELDYFTQHAEREVANWNQRL SEQDLTAESFYMSNFNRRADKNDFLSSFRKVVMMPVTVLGGTSKPVTAPAANRASLQH NGTLTPSGSRPGTPSLGNPLERKSTPLPPEAPTDELKAKAALMANRLEGIKSLCSIEV ALDLVQAAKISLGRAAMFVSLGGQAGGEAKEQCEAIFVALLKTLGQTHVKYGFIKAVD HLSLYNPREVSDHSQTGVAPLVTFIELVNVGDLISQMIDVFYEQQLAAPKIADRNDFL DPAGLAKKKFEQMLDESVAAGLNKGIDVLMDEVEYLCATTQSPSDYNPAASSDEKGAA SHDFDIGPTQTALRIKELVSSHTNMLVGSTEKTMLDVFNGEVGLRLFTAICKHLKRLR ISTEGAIKLIADMNLYFEYIRTLKNPDLLAYFKALRELSQIYLIDGKHAKEMATVIAD GDKFGGIFRAEEVYEYAQRRADWYQIKRDVERAMYGLECSVM PFICI_07883 MSLSPRSFSEETSSQETVRIVEAPEEPQRQEALPTTSKGKEKAV EGSSHQTRAAPKRPQATLESNSEEEGGAREKSHKNPTDAKMTSSSASSKSRSSSSKHH SSSKSKPKHTKSDDWSDVTEPEERRRIQNRLAQRKFREKAKEQKEKSERDSRNQELAG SSYAVPSSDELAEEGEECDGLPWGSFSMRHVLSRGHGHSSGGSHNSQRRSGGDEAAAA AAAAAAGTGGGDEGGDYYYDYPPTQDEVGDDFYRQYSSGGYSNDAYPTVTTSSYSYQS FTSYDDGSATGGGDLFYDDL PFICI_07884 MFELIEPTKAFELMNPSSIAVCVLSGLLSILTWRLFLTPISDIP GPKWASLTRLWHMYQIWTGRQNLTILELHEKHGHFVRIAPNEVSTTHPEVIKKMILTP QLKGHWYKMMRFPDWRFRTAFSVLEPKAKIELSKQLASAYAMSNVIKNEEQINALIER LMQWLDKFSEDHEPMDLAKFFTFTAFDVVGEAVFSKPFGFLEKGVDIDDCIAQTLKFQ SYITIAGFAQWLHNLLVGNPLVTWLEIMPTNYLAKTSNAALEARRSNQDARFDFVAHW LKAHEQNPDKLSYRDLQSAVMSNVGAGSDTVSCAIQSAVYHMIRHPDAWQRARAEMDQ ARSQDGICNDGVVSYADAQRLPYLQACVKEALRIFSPVPIGTPRVVPRQGVTIGGRFF PAGTTVSLNTFSMNLSTEVWGPDARDFRPERWMVEDTSALDKNFLPFSGGIGVCVGQH LARIEIFKILATIIRDYDIAQVKLEQEWKYRAYLSVVPRDWPVYIAKRSAA PFICI_07885 MGADKDEKRIKKLRDRAEQLESQARELMKEAELARTQLAALEAA KDKAVAETTADEDSDAEDDKKASSKKDKKSKKEKKEKKKSKKSKSEGVSDDKDAEEES AAVLDKKAEKKRKRDRKAEADEEDKDGFNDKSVEKKSKKSKKSKKSDDVAVEPESETP KKKKTKASPEDAPATSTKQPSSEDAGTWNVQALGGGSARQDKFMRLLGGKKAGNAATG SNVYGSSRQDINHMQDALERQYEAGMNRKDIGGKRGLGA PFICI_07886 MAQTPQQRRANAKFVKDQDARRGKSEADRQARSKEVQKATISPI WLILLGFVVFGGVFFEVLQRIFWR PFICI_07887 MRQSMLRVLARAQGTAPRCSPRRLYSSSSSSEEAAKLRPSQQRP SFWQTFSRPIFKVALMAIFTYQLVYYGWMKLETDEIRADAAATIADLEKRIESLEQSK AEKGKK PFICI_07888 MQLSPLQSRLAASLAASFLLLVVYLFLFSPQFALAAEILSEHGA RGDAMDVWALAEDMEQATAESLDLRSEMYEPDFPLFDRSVIGRAPSGVTSLTNNVKSN QNLDQGSSVRFVFEIASLSSREIDSSEAFELRKRQEDPQDTEMFVAEDEQNVTDLSEI ERRATPTKTLWISANTCMQPDRPAANQTFMDPPQLTLYVSTSSDNDSPGPAAPPDNQE VLVFDEGAVMYNMTFSEDVYFTITAPNVSDWFTSSLYNVDVAASVDQSYHSYDADTEP DLVWVDSDSGAALLRTGNLTNSSDTELTTAPYTMFAYSQNDATVVGVKRSYCGLKEYA EIGGSQSTTALMSTGLTRRGQGNSTKQEFFITGLNKSSIYEAILVQEPGTTGSLNKRD DNVAGGGGVVFRQTEFDTKSSSTCQVISNLTFCDQTAYSVPSSSKYSNKVDDLKEFYD SYAKEMYDNFEKALAQIQCDADNTSKYSLAKTCDDCRDAYKNWVCSVSIPRCEDFSKT DDFLQMRNIMATNSDGSSPVDQSLKQIYGNAVAYNSSRLARIDDEIEPGPYKEVLPCE DLCYTLVQSCPAALGFGCPTPGNMGFNTSYGRREVNSSSSAVTCNFPGSAHYVSAAQM SVTISSAALFGCVAFAMLWL PFICI_07889 MSAQDRVQQYVTQLDKELSKYPALNNLEKQTSVPKVYAVIGLVT LYFFFIVFNLGGQLLTNVAGFVIPGYYSLQALFTASKVDDTQWLTYWVVFAFFTVIES LVNVVYWFPFYFTFKFVFLLWLSLPAFSGAQLVFRSFIQPAFGRYFSQSGSTAANLRA KADSIGKSE PFICI_07890 MPAQNHQLHPRTRAPSRASTASMHSTATQQNIDQGFAPHHGPFQ TEQWNANAHAQAHAQAQAHAHAHGHSHAPSRDMALQGHHLPGDDMLLRPGSQMHPAQS FSMDSSMQSSIGHHMPYGQHGLSNPGLSADSFGASTSFADDSQMMDRDDNGDGDSLNM GNAKSSNKTSANNEHEMRALFRANKHRNLADVAQELHGNERGPNSERTRQVFAMLWIN QVCSGGKGSVPRGRVYANYASRCATERTTVLNPASFGKLVRVLFPGLKTRRLGVRGES KYHYVNFNLADEVPESQEPSQGVVSYQDSGSFAQDAPFSKSQSPSHNAQKAAALPSPE LPTQPDAPALSRSNDNRAHSFYNSPDFSSIDQVQSTTSKTMLRLAFTPLPADAFSDVL VLPQIKPFLPEGTDPDAAMSLTALYRSHCTSLVECIRYCREKTFFHLYTSFQGTLTMP VQKLFSSPGVALWIEQCDFVLYQRMMQIISNLTLQVVPKPVLDTFRNISERLVLHIRE SFQGQPRHVVQAKEAPASIFAALLDRALRVNLTAHAAANMLSNPANRDQMYIDWITMV RIRKVAEVVPTRGMDDLVMLLLTEIRDLLDPQNVPWDIECQTLYADVATRSGRVSQTE NLGGESGQNILDRWVNFLQALQKKFPYALAEDVVSCVQGVGTAVMRDITINQGKSFGS WWVTKCWIDEMICFLGEQGGFMQLKSSIPKATNLPSRSAQVPSRHTSHNLNRNQSNND NTNNASKPAPPQANRAPFPPQLDNHTRDSLGLSGNPDDSGIGMRTPEEELPLDKFDYP QNHSHELQELGLEAEFGQ PFICI_07891 MTASVLHGNTSENGWVVQKFGGTSVGKFPDKIAEDIVRASLDTS KVVVVCSARSTGKKVTGTTSRLLGVFKHLRAIAAATSNDATQTEYLEEARALIQEICN DHIFAIQSFVKDPELQKQVSADIENDCQELIDYIIAAKRFNLEVNARSKDRVVSFGEK LSCRFMAYLLRDRQVDAEYVDLSDIMHYDSSESLSPTFYRDAAALFQKRIQACGSRIP VVTGFFGNVPGSLMDGDIGRGYTDLCAALVAVGLKANELQVWKEVDGIFTADPTKVPT ARLLSSITPSEAAELTFYGSEVIHHLTMDQVIKAEPPIQIRIKNVKNPKGLGTIVVPD PVQSPHRQIKRSPPSDPSARKTPRRPTAVTIKDNIAVINVHSNKRSISHGFFARVFAI LNSHCISVDLISTSEVHVSMAIHSADASPTHFEAAIKELEDCGDVSTLQHMAILSLVG AEMKNMIGIAGRMFSTLGEHNVNIEMISQGASEINISCVIDAREATRAMNILHTNLFT FLE PFICI_07892 MRNTIIIAATLLAAAIQSTHGTDTKTHEDDLMVAAAASTIHDGS RIGQIVDGQFILNTTFLSLQPEDCQNAWNWMPITFPHCSYVRRNATTTEAKKGGEEDE EEDKIYLDWTLLLPGTGQRRQQWCEAIIHHTLQECGLADADLTERRCIRDDRRTLVAG YDPERGEAGEDVLLYGVHVGFRMALRRSTVAPSGSSNGNGNGNGNGDNNVECVARAIR AATCRTVNVAELECFELDQELIGTVW PFICI_07893 MSTGTILQPTSQPQPVVCSVCDNALRNAAAAQKTHDDTHSTTHA AHSGRLVYAKPQDLPSYPSIGLLPNGSAASAAATLGWSAKKSPEIWKPDSSNSASTAA LLAQSRKMPVAATSSPSSHGAQAALLAHKSAKNNEPSKPAPSDHGHSAANHAFRSGRA AELSLTDQTKTLNRQRSLIAAKGAMTVRPRSNTAPSAKEDYPDKGNASSNALKAATAV HKAPRPNSMQPKGGAVPVTTMNRQMFTSHPPVKPEVEEQSREDQLHASAVAMAKKMFT QQQKVIDQTKKAHNKDSTPLRRTRSINSLSSEEEVRPMQFNNLQDAAYKLAQERLAKL HEDHLKTREYQEYYGQSKQMRRFSVRGKLTRRRSNSDGDIVEDQKRSQQIRKQMSIFN SKLSQVDTQKRQQDRDALLAVAQKNVQARMKGIDDKISAETGMVPPSTTKKWEAKAHA TAQSRSDDRMTHHGKVDIGAGKFMSQEEIDAIAAANVKPTLDEINEKAEKEQARLTEL RLEAEAKKEAHEREKARDREVAAVAKKLKEQEKLEQKEKKAEEKHEAKLKKEAEKITH EQEKRLSRSRRHHNSLSGRISLHRLRRDASPEGIAEYGPDGTLTHDEEEQVALNDIGQ PVRLPATSRTDRAARVSIPPQGDRQTKSESSSPTDKASQGAKVKTWFKSRFSRGSRSD DDRPADGARRGFIGGHALTGLDSNNASTTSLDGRSASMRAIAMAGRQRTDASEATSAG HRSMANADDVSPVSSSEDEYFDEARDHMGTELSPPRHLQDPAKKKSHSPVRDSRFHEI L PFICI_07894 MSREFDNILNFRDVGKTVNEFLGRRALKEGLLYRSARPDDATAA DRQRLKETYGVRTVIDLRTKTEHLKQAQKRAADLKVPALLESNAALAEPVQIPGLRYL EIRVTGKKFENHLIKQLGWRRYLKLISLYLTGYRIEAIRIMAHDVMLPRGLVGMGFDT LDQSGEEIAQALRAFIEPASLPVLVHCTQGKDRTGLTVALCLLILGVSVDAVAHDYML TQTALNEEAPPEERQARLAEIEEMGLTPAWGDCPPDFVAKVAGHLQDQYGGADKYLDG IGFGEKDRNKFRELLLA PFICI_07895 MDTPTVQDPPAHAIEQELLDKTADLTVQEHVVPPADTPPHRSHD PDYNQKRSDPFQFGSRYLQQEDDVFEFNAWDHVETDDTYKEYAEQQYEMQRQSPVSDF DKNRFNSDPAKWWNLFYKNNTANFFKNRKWLQQEFPVLGKVTQEDAGPVTLLEVGAGA GNTAFPILANNKNPRLKVHACDFSKKAVEVMRAHEEYNTDYMQADVWDAAGEELPPGL EEGSVDVVIMIFIFSALNPRQWKKAVENAHCLLKPGGEVCFRDYGRGDLAQVRFKKGR YLEENFYIRGDGTRVYFFEQDELADIWSGKAFLADAEANANAEEENATADATAPFTAV FEIEDLGVDRRLLVNRAKKLKMYRCWMQGRFRKK PFICI_07896 MATKTVFRLAPRATLRSPYTPQPLCQCRTFFSLPSNEPQTVTAH RTLPYAAGPLYELIADVDAYSRFLPYCETSRVTSWTEHPDPRTGRRYPTAGTLTAGWS GLSETYSSRVFCVPELGIVEAISGNAVSSIPPATLLRYGLRDPGPGRSSGSVGQEGTF SSLVTRWTVRPLERKTTGHGLGHGQGPSEEWSQVDLSIRFQFVNPLFAAVSSAVADKV APIMVEAFEKEARRALGRTPGRQ PFICI_07897 MSSSVRMRTSRSHSRTRPKTAQHAEVSGESSGVSEEGGDSRELY DEDDENWSDEEGDVEPSDSASSGRGPSYRRPTGAGGPVRRAASGHRHPATQHPPPSGY AYRQGLPQVQPPHHPSDTASLDSSEDYPYGFGNQYPPQGGYGGGRSRGGPGYQHGGYG GPYAPFPSQQVVPFGNNYGNPFAPAGGGGNQFFGNDHRWGGGEVMPYGGSGAGYFNGP HGGGHYPVPLGMQQYAGHWASPPPVTDLGARPKPEPSPAPAKDDPEKLEMMKQLEAIK AEKAKREAEEQKKEFEARIREETERAFKQKMEERDKQEELLNKERAAAAEKAKKEIEE ARQAAEKATRDLMEKERQAAEERKKEEAAAIARAQQAARDQIEAERRAEEKQKKMEAE AVARAQQAARDQIEAERKADEERRKRETELAAAAEAAAKAKLEAAIKAKEEAEAAAKK KMDEEAEWRKLLETEAKLKAENEAREKIEKERKDAEDAKAAEEAKKKEEEAWKKKTLA EVQAKAEEASRKSKGKDKSPIRFKDAVGRKFSFPFHLCQTWTGMEELIKQAFLHVDVI GPHVQAGHYDLLGPDQEIILPQVWDKVIEPDWAITMVMWPMDRGRPAGLGGHPHPHPH AHGVPGHPNVRPPGMQQGGIRATPGISRMPGGPTHGGPPVPPPQPMHPAFANMHSRGG RPQRMTEPSIIAVHPDKPKKKSKSSSGLGGFLFGRPQPKKSSKKKAI PFICI_07898 MSSSPLRPPSHLPPATALQLSQQAPKIFEEAPGAISTSVLQSLF SASETPELWTIYENLLLSCLRTRDDGAAHQCLGRLINRFGDENERVMALVGLLKEAEA EDDATLEVVLKEYNAILDKNPTNIPIVKRRVALLRSLGRVSDAVAALLSLLDFSPTDS EAWSELSDMYFSQGLYPQAIFALEEVLVLQPNAWNIHARLGELLLMAAKSSQPADASR QLGEAVKRFCRSVELCDDYLRGFYGLKLATRKLLQEPPKIAKQSSDNDMPLPDATTLR RLDELATEKLAEITRKFAAGEKGWQGYDEAEVVAAKALLEEDSSAVTR PFICI_07899 MFEKSLYDLIRGMRNHKGNEKGYIQNCLKECRTEIRSQDLDLKA TALLKLVYLEMFGHDMSWASFHVLEVMASPKYHQKRVGYLGAIQSFRPDTEVLMLATN LLKKDVTGASTTTIALPIIALPHLITPSLALSVLPDLLPRLGHSNPTVRKKTIVTLYR MALVYPEALRAAWPKIKEKLMDPNEDPSVTAAIVNVVCELGWRRPNDFLPLAPRLFEL LVDSGNNWMAIKLIKLFATLTPLEPRLVRKLLPPLTEIIRTTPAMSLLYECINGIIQG GILGSGDDDSGREEIASLCVSKLRSMIMVDGDPNLKYVALLAFNQIVVTHPWLVAQQE DVILECIDSTDISIRIKALDLVQGMVNSDNLVSIVSRLMRQLKNASAEERNALTTDPT DLESDEEALERRINPSQKSKDQAPPLPDDYRIDVINRILSMCSQQNYGNLSDFEWYID ILTQLIRTAPLPRPANDTDMLTASGKSYPGDVSERIGDELRTITVKVPVIRPACLEAA EIIVNQFNTDAATGSLATCGALRPIAWIIGEFAQDLRSPDDCLNTLLHMIPRASAPES LAALVQASTKIFSWIASDSQALWTPERKSKIALLMARIIHTFEPLTMHPNLEVQERSV EFSELLKLTAEAVSGQDSALAEVQHDAPLLLIQAIPSLFAGWELNSVAAGAQKNVPLP DQLDLDEPINPNLEFLLSSADKITLPADETDEFEIYYNKRPPPTSIASEPAISRLVEA KEESIGSYQQPTEDSYLDADILARRKAERLERNRDDPFYIGETSSLTGTSTPIHNILR NSNGPDFDVDSIPVMELDLNQLNAGVSGNAPTQKPMSVRPKPRQKIVIAADETLNGSG GSTPRNYDSENNSDSMAKQKTKKTKSAALLGISSANLGSFNLEDDPNSRGHDFEAKQR EEAEMAQAMKEVERLRLEMQRANERIQVAHGVDADGTVVKKKKKKTTIPAVEDADGVV QVKKKKKKKATAVQEGQDENAAAASEPTATPEQTPEAVKPKKKKKKARAAEIQD PFICI_07900 MKISTSWPSLLATMTWLSGARADNPIVQDIYTADPAPLVYGDRV YLFTGHDEDNATTYDMRDWRLFSSADMVNWQHHASPMSLATFTWASDRAWAGQVIARN DKFYYYVPVRHSGGRMAIGVGVSDSVTGPYEDAIGGPLVENGEIDPTVFIDDDGQAYL YWGNPNLWYAKLNEDMISLSGGATQVELTTEGFGTREGNPDRNTTFEEGPWIYKRNGI YYLVYAANCCAEDIRYSTGPSATGPWTYGGLIMAAEGASFTNHPGLVDFKGGSYFFYH NGALPGGSGFTRSVAEESFAYNTDGSIPLIPMTTGGPSQVGYLDPYVRQEAETMAWSV GIETEDCSEGGLNVCWINEGDYIKVKGVDFGAGAASYAASVASALAGGEIELRIGSID GTLIGTCSVPSTGGWQSWETVTCSVEDAAEVQDLFLVFRGNGSDPLFNIDWWQFS PFICI_07901 MSVLRGTALSLLVSGAAAAGHLFPDCANGPLSNNTICDTSASVP DRAKALVAVLTTPEKFQLVGSTSPGVPRLGLPSYEWWQEALHGVASSPGVQFASSGNY SYATSFPQPILMGAAFDDALIEAVATVISTEARAFNNANRSGLDYWTPNINPYRDPRW GRGQETPGEDPFHIKSYVKALISGLQGDDPDYLKVVATCKHFAGYDIENWGGNERYGF DAIINSQELSEYYMQPFKACARDAKVASIMCSYNALNGVPTCANSYILQDVLRDHWNW TDDGFYVVSDCDAIQNVFLPHNYSLTREGAAADSLIAGTDLNCGTYYPLHLPAAYEQG LFDDTVIDQALVRLYSAQVRLGMFDPASSTAYRSLAFADVNTPEAQELALKAAEEGIV LIKNDGTLPLAIPETGNLTIALVGPWANASAAQMQGNYAGIAPFVHNPLTAANSLEGV NVVYGGSPGDPTTDSWPAALWAGLTADVIIYVDGSNSGEEQDRNTIHWTGERQDIMTQ IAGLGKPFVLVQMGDQLDDAPYLRHENVSAILWAGFPGQAGGDAIMNIITGATAPAGR LPVTQYPADYVNRVAMTDMSLRPNETTGNPGRTYQWFGEATVPFGHGLHYTEFDISIT GNATDDAGWDIGELIAACNEEYKDLCPFQSVAVAVDNTGNVTSDYVALGFLAGAFGPE PYPLKQLVAYQRLFDLAPGSATATVVLNLTLGSLGRHDEAGNLVLYPGAYSLLVDVPT RATWNFTLTGDSVVLEEWPQDTGH PFICI_07902 MKFFSYWWFPVISGLMWLATLLGLLLHWIIDTHRTHYSSMGDSQ RIAYISDVGAAELKPLFVVGCCITTIFLDLSFATDRWLRHKGRLVPNTTIGEKILSGL TIFFALVGTVGLCFLSGFDTAHYPKLHDIFLLLFIAGYLLSAIFICWEYQRLGIRNRE HRVLRISFWVKLVFVLVELVLAIAFAVTNFRKNYNVAAILEWVIAFVFSFYVFSFFID LWPAVKTKRGMGFKTAGMAEHSPNQMEENYHHSGSNLSGNPRYTEDSTRPMNNGYQPR GANF PFICI_07903 MAVSPMVLQPSEVLQTANAATARPATSPLKNVNSAVASSTGTPA ATVTPNVNVAVQSASLDLAEQLNEEEKRKYVKGKKLGEGTYANVYLGYLRNNPSELVA IKKIKVQKEYTEGMAPDAVREIKHLQELSHPNIITLHSVFSSKDQNLNLVLEYLPLGD LEMLIKDEKGIHYGAADIKAWAGMLTRGVWFCHENFVLHRDIKPNNLLIAADGEVKLA DFGLARSFSDPYMPMTSNVITRWYRPPELLFGAKHYSGAVDIWSVGCVIAELVLRVPY MAGDTELAQIKLICDFVGTPTEDNWPGVTSLKGYTTADKATPVQPRAHYQQWFGSLGP DGIDLLMKTLILDPKKRITAREMLEHEWWRKEPKPTRKKDLPRKAGGDEKLAADLKRP PGTIDEDRGSKVARKLDFGAAK PFICI_07904 MGALKPVFRPQLLRQTLTQNVIRPATVFGPSSTIRASSSAASRP APIIPAPTPFCPDAPTFLKLIGRGLSAHASKFPSWESLFSLTSSQLAELGVEPPRTRR YLIEWRQRFRRGEFGIGGDLKHVSPEGTAELRVLEHDANPSIPKKYVVNVPEGKSVEE TAPEELSRVSGYRVQGAKTIIGPYALPSGNGIAKITVTEGMWEDKRGRKIDGGERRRT EIRYKKRIQERREMRERGELA PFICI_07905 MARSSKARSKPTKATQTVVKSETDQPFPLEFLELISSSSDDLSE GSHTSQDEPPQKRSRWEGQQAVTVAKSSLSLKRRRHAALQPSLATFQRHRIERFIKFQ ADIAPEGAENVLEALVLSTMPTSRHGRYKIELETENIGVLSPNLIKILDIGNRSRDNP ADDGAVYVSVDVTFDELDDGHHLDLDFSLKWNECNDIYKGLRTPQQRRITDDIQKTFF ASDTDVGSHIGVQSSQAFYEAACITDESYTDLNSFVIPGLTSSLYPFQRRALQWLLAR EGVRWAPTGNNGLHGLTPTSPQHEHKLPISFHNIQDANGDLLYHSALYHVVTRDVRPW QDLEYIIKGGILAEEMGLGKTVEAISLILLHRRPLVPGIIRDAYTDQEVCPTGATLIV TPETLKKQWISEIKSHAPALRVMVYDGLFKHQETVEELKKQMIESDVVVTTYSSLRAE IHLTRPEPERSRRHERKRARATSPLVKLSWWRVCLDEAQQIESGVSAAAEVARLIPRV NAWGITGTPVKEKIQDLWGLLRFLRYEPFASYPATWDTLISRHRDYFTQLFNRLALRH TKHAVRSELVLPPQRRYVITMPFTAVEEQNYRSRFKREAESLGLYENGVPIVPADWDA NKPSTIDQMRRALATLRQTVLHPQLGPERARALGGSISKALKTIDEVLDAMIEQTDSV IKQWQRSYLLAKLRRGQLLENSPRVPEALAIWSEALLEIEALEIECRSQMMSELENAG ITTEDEQRVINDEGDFEDGSDQQNILPRLGEARRRLRSALDLKHRAVFFIASAYFQIK SNEKMTDPNSDEFGRLEKLEADGYKNANLIRQEILQETNTKAALYMTELSKKAKKQSF VDIPEFKSSIRGGLETRRHVDQYEELIEVLNEQANMVDQLREHVIQLLLRPLVDREEE EITGEEYEDSTKIQNDLMVYTTILRAAISDRQEALSGLVNERTKHELASYKRQATQGE GPSPETFLGLLKLRDESRPKDLQGSLRGLISDLRELATKLHGDNSERSQTELRIVRDL QKLVQEDTVKQTKANANLEKELGFFTSAMNARVEYYRQLQALSDTVATWDREDLEKDD IRMRDLLNEEARCQRKIQDEVAAQRYQVHLKDQGQTSEKKICPVCTDPYTVGILTPCT HEFCEECIATWVAAHHRCPLCKKPVSMRDCHKIIAREPKLKLRQDQESAILNDPHSPE SQHQSRTQGIYTSFGEDKLKEINDIPLDGHVMPTKVTALIRHLLFLRKTDPGAKSIIF SQFGAFLDILRQALNRHHIGNLSFSTKNGITRFKEDPAIECFLMDARAHASGLNLVNA NHVFLCEPLLNTALELQAIARVDRIGQTHETNVWLYLVEGTVEESIYNLSTKRRLEHM ATTRKGKETESSVPTISEDNLEVANSMELQQANLPKLMDKDTRLGEVVDQNDLWTCLF GHVTGDEDAEQNAMSSDARFETQAVRGFLAGRAAQERAETQTRYHEEREMRGGSEAVF DEGSTEEESELDI PFICI_07906 MTDSSSLRKEELEPVDPAAAVNATHESEPITPVSPILDPEEEIR RKEEEHEKRAEREEIRRTQSTATDLSVLTRTTTGASSPPQSKPWYKQPDPLKWGKIPP VPEERGESREYHAGWLSRLTFQWMAPLMMAGYKRQLDMKDLWTINPNRSADVLTDKLQ ANFEKKVKEGGKYPLLWALHETFFWEFWIGGFCQFGASIMQVISPFTLRYLIQFATDA YNASQAGEPAPHIGAGMGLVIGVTAMQVLQSLGMNHFIYRGMMIGGQVRAVLIGVVYE KSMGPQHDGAGWSNGKIVNLMSVDTYRIDQAAGLFHMIWNAPIICLITLVLLLINLTY SALAGFALLVIGIPALTRAIRSLFRRRSVINKITDQRVSLTQEILSSVRFVKYFGWEM AFLERLKDIRDREIHAIQILLAIRNAINAVSMSLPIYASMLSFIAYSLTRHDLAPAQV FSSLALFNGLRMPLNLLPLVIGQVVDAWESLRRTQEFLLSEEQDDEAVYKPEAKNAVE VVNASFTWERATAQDSEKTAGGNEPAKKANAKSEAPGSDDTSTLVDEREPFKIQDLNF EIGRNELVAVIGSVGSGKTSLLAALAGDMRRTSGEVVLGASRAFCPQYAWIQNATLRN NITFGKDLDREWYNDVIQACALQPDLDMLPDGDATEIGERGITISGGQKQRLNIARAI YFDSDIVIMDDPLSAVDAHVGRHIFDNAILGLLKDKCRILATHQLWVLNRCDRIIWME GGKIQAIDTFDNLMANHTGFQKLLETTAVESKDDKDGEEAVVEEKTAEAKKDKKKKKK STGLMQAEERAVSSVPWSVYGQYVKASGTVLSAPLVILALILSQGANIATSLWLSYWT SDHFGYSTGVYIGVYAGLGTAQALLMFVFSLMLTIFGTNASKTLLRQAVTRTLRAPMS FFDTTPLGRITNRFSRDVDVMDNNLTDAMRMYFLTMAMIISVFCLIIAFFHYFVIALV PLIIIFIIATAYYRQSAREVKRFESILRSVVFAKFGEGLTGVATIRAYGLKERFTSDL RRAIDEMNSAYFLTFSNQRWLSMRLDLIGNLLVFTTGVLVVTARFSVAPSIGGLVLSY ILGIVQMLQFTVRQLAEVENGMNAVERLQYYGTQLEEEAPEHTIDIKPSWPEKGEIIF DNVEMRYRANLPLVLKGMTMHVRGGERIGIVGRTGAGKSSIMSTLFRLVELSGGHITI DGLDISTIGLHDLRSRLAIIPQDPTLFRGTVRSNLDPFSEHDDLKLWSALRQADLVSQ DASIDDRDPTRVHLDTTVEEDGLNFSLGQRQLMALARALVRGSQIIVCDEATSSVDME TDDKIQNTIATGFKGKTLLCIAHRLRTIIGYDRICVMDAGRIAELDTPAALWRQGGIF RSMCDRSGIRMEDIAGAREQLSSGSNTSSDDDSNVVDEKAGGSSGDDGQQK PFICI_07907 MARRSVTWKRGLLLSTVALFAVSAVAQTTADATTGAATTTAATT TAAAATTTTAAATTATSDDDSTTAASGTTTVPNLSTTSAATTGTDATVPQLTDSTTST AATTYSYAAPTVPDTKNAPFMQTSSLPEGTVFIAVGSILGAFGIAILIWRAVVACLLH RSVKRAALAQHLANDKMAIPGPTTAPFYQQYKDHESKGSLGATAAVAAGRGVRRTNRG PVPSSTPSQTNLFFSPTAGNAGNGGNRSSTFLPSGFYAANQGAGQQSHGHSISMSDLR PESHGSPGLTPHNEPTRRHPQMSTSTLNLNQAPSGRAPSMFLDDLLDENPQQFPPPGG NHAYRQSRERF PFICI_07908 MGQAKRTRKFAEVKRVISKKDARRKENASKADEANDQKSKTAPA SSELIREIPQQPSSMFFQHNEALVPPYSVLVDTNFLSHTISRKLPLLESLMDLLYAKA NPIITDCVMAELEKLGPRYRLALRIARDPRWERLRCDHKGVYADDCIVDRIIKHRVYL VATNDKALKNRIRKIPGVPIISCAKGKYVVEKLPDAPI PFICI_07909 MAPSASGAGFAKFFPAAPRAAREKAAERERAKSRVSEGSADRDA RTPSINASTTSQPAQRDGIKPENSSSSDAAHLHVDDTESIQGDMLNGIGSASSHASTA SSVFSHASAVNASTHAFNSDLTPLTNVGSPSNPSHNTTKIQHSKPSQPNGTANDSTQS SSESISISRRTGAAVRIPARDPTRQTQGMKRTFDPLIDKSLSSAERKSAKPTYKPFGA ADDVPPTDPRLARGSRLDYINVDFHLPKARLRQTPYALKPYAYDAATSIGPGPPTQIV VSGFDPLIAFSKVTTLFASCGEIAESSNKMHPETGIYLGFATFRYRDSKPHPSRRGGM AVSAIDAAKRAVRHLNGRRIETNTIKVDFDRDGKKSRVMLEEVLKKAEQEARQARDAQ AAKSISIASAPTAPKPKAIDGFARPPPTAPRGPAAHRQPVAPVEPTLTPTAPGRPRPL IEQVLVSTTLQGEPYIFIPDSSVPIMPTTVAHMKKRLKSFAFDDIRADRTGYYVLFAN TNSGREEAYRCYRSADGSAFFTYTMRMQLRTGTIPSSRTLKASEPSKRVEPERKSAPE VRHRSEREQRRRDEEAVLKEEKEQRAKDFDPVLEAVALVSREMKEHLIRHIRTKVAAP ALYNFLDPANHASKRRKLNLEELPTTNRSFSSTRAADDGSATPVGTPNSRADPIERRT ARLDVATLPRIRKAKGQLAAQRNHGFLDPFARKRAKPGHNAFRGLYHRLEPDSDDEAS GDETENRDSVARETEEPESRPRSRMSTDEDAFKEEDDSLTEASFAVSDVPTRTKKRKL VLQVEAAIKRQKKTDEELFGVTIDTLETEFPGSNAVEDIIVPDMDAEDEKTPDPNLLS VDSAMKGKKKPLLTKGKKKSKKQIFEEREALKRAQQDAYVEEVLEQTETEEATEPEDK TQDLKQEVAEPEQPLVRDERYSDTVVAAMELPSDFKFGIQSMQSLSLEDKDQADLAKL KKKFKVADIGDPRLWTWRHDRIRALNAPAQAEPTEPLVIEGYYVPNSTGSARTEGVKK ILNSEKSKYLPHHLKVQRAREEREARAAKKDGKDTVAAAAEAARLAAEKLVAKGNSRA NRVNNRRFVADLNDQKRTLGQDSDVLRFNQLKKRKKPVKFARSAIHNWGLYAMENINK DDMIIEYVGEEVRQQIAELRENRYLKSGIGSSYLFRIDDNTVIDATKKGGIARFINHS CMPNCTAKIIKVEGSKRIVIYALRDIAQNEELTYDYKFEREIGSLDRIPCLCGTAACK GFLN PFICI_07910 MFVARDQENLVARHQTGAALKQQQNNQVGARYPKTPIKIPLNDE NGGHLKGAKSILGNRTKGNENAASSKGLKGLDKSNFVTPMQPRNRAVLGDKTTNAKSR GPQTVNAKSAIKDIGGTKNQAPTTIKPKQKQPQAETQKLQVHAEEDSPWWEGLEPEYC PPPAVPLSDDDDLLSEILSSKPLSQQSEGAWGFSDDYSLRPYEALEMPGKRLDAQLEE LDRGHQELSDSLLFPAIQHELENATSKKPKATSAAPLKAKSTQIKPAPRKPMSTVTSK NAAKALSMDDTTKSMQRRMVKPAAVPSARKKRPSFAIPRFAAPRPAVPQPPATRRTPM ANVEANSRTTLGYNKGRAAASALAPATAKPAVKRAQGLSQPKTAGLRRPAATVSNDPD RTITPARFASKQTSTADEDQLWKERVPFLSIFHPEDESDCDLAGSPPPELDDDEFEMG FPE PFICI_07911 MNSLRLEADENAAKVEELQQKLKALEQENLAKEQEITSLSHKNG LLEAEVDKLDTSVKDLKKSNDEGQQHGTQNETLQRRLQLLEEEAEEADKTLREANEKL RQTDVKAGHFERKVQALEQERDQWEGKYEEMSQKYAALQKELDELQNEINGF PFICI_07912 MFITHPRPKKSILPTLPKKRKIAHAVEEVNFDTDARQEYLTGFH KRKLQRIKRAQEESAKREKEEKLATRKQIREERKREVEEHVDHVNKLLREAARAGNVS DQDGSDEENQEWQGLPDGPTDEPLDHEEEYIDEDKYTSVTVESVSVSRDGLHKPEEEP DTEDEAEKERREALAKAANEKEKARPKKTKKQKFRYESKFDRSIANKKQKIKKLKARS PFICI_07913 MRLSIAALAAGCATAHAFANTSPFVLFSTEKIENTVSDELQANQ SVLKATGDFLSSCPTKHYLLVSQPNLNIEHLNSKAAAPKLQAALSSTQVQGRMMVSEM SGVVDLQQVASSIRENCQAAGKTPSIDSMVLDAVPTAGRYQDVVENLIDSDNDVGVVL QQYDAAGDFTVIYTAGARTDRAEDKHYEAEFKDTTHQELKRQVGSVKREKEERDTRPL FEKYQFFTPGIFMTLVVLLILFSMLGVGINALGSLQVPYGAFDKEMGPAAQKKQQ PFICI_07914 MKVLSLNFLTCAVKACKSSSDSYPLHPKDAELVQDDIEVNPELL INILPRIDWKALTTTATELGFPALPEQAPTAEELQADEQKLKDLHNLLMETQIMEGKL VCGHCGHEYAVREGIANFLLPSHLV PFICI_07915 MLSLRRLFAGAVLLLGVSMVFFASTAEAAKGPKITHKVYFDITQ GDEPIGRIVMGLYGKTVPETAENFRALATGEKGFGYEGSSFHRVIKQFMIQGGDFTKG DGTGGKSIYGDRFPDENFKLKHTKKGLLSMANAGKDTNGSQFFITTVVTSWLDGRHVV FGEVLEGYDVVEKIEQGPTKPGDKPVKEVKIAKSGELEVPPEDATYGSAGWAAGFSSE EAANPFSETEVAAAAAGYTVFQKAAFFAVIIAAIAIYLRMSRSKTEKTDVGYEKTMA PFICI_07916 MGVSFHPRKLVKKTLESFYGLGPQTSARIMAKYCIHPLARLETL PAKVQTSLTAELSTMTIDNDAKRLVQDNIRRLRDMGTYRGRRHAMGLPVRGQQTRNQI ATARKLNVLERGGGDRPMGS PFICI_07917 MSEKELPLEEGYPQQVEKRAPSRNRGVLAAIIINFLLLGTLVHP TTRSYHPACHAYSRLRPQTVENRVHNILSTTPLIDGHDDFPLLVRALYHNKIYGNFSE AFSETGLPMQVDLPRLRAGQNGGAFWSVFAPCPANGSDWSDENYRSSVQFTLEQIDLV NRLSAAFSKDFSNVIGITADSALASFKEGKLISPLGVEGLHQIGNSVANLRLFHSLGA RYVTLTHNCGNIFADSALVEHPFRKAEPYWGGLSPKGKKLVHEMNRIGMIVDLAHVSA DTMRDVLGGSDWEGSKAPVMYSHSSAFSICPHPRNVPDDILQLVKKTNSIVMVNFAPD FISCEDVGNDNGVPAPVPENATLDKVADHITYIGDLIGYDHVGVGSDFDGIPSVPKGL EDVSKYPELFAELLRRGVSDVDAAKVAGGNILRVWKDVEITAAKLQEEDFPVYEDEIP KGSIGQSLNQIGLY PFICI_07918 MPGFADSFWSADYAAGLGVLFGKLQQGVQENRQVLTIARLRAEA EEVYGQKLSDIAPAADKIAGGFSRDDGASTRKAYDGVRGEMEDAAKNHLKIAQSIRDL VVNPFSRWCDAHESRLQESQDELQVRIKAHDRQAELVKKLRSNYFNKCRLVEDLEEEN KMAFQTAETSPKQPSNVPEIKISENKEEEDDEIYEIGDETYQTEQVKKILEHMINTIK MGETKVPILGTYLNTSSGSDIVEYLQRYMNTTSMSYAERIGQDLITNGFLRLVGNVGN TFANSSKLFYQWKPSAFKLAGVPEKKAAPISRTFSMPTSEGSTDSPVIGTVSEYLQGW GLNNQYPNETPPDRLRREARESDEKYKAGVQKLDEMRCELEEAILLHLKFLERCELDR LKAVKTVVLDFSGTIGNVIPSLQATVDRMVLYQETVQPMGDLRYLLENYRTGSFAPKV VTYENYYNKVDEQTFGVDLEARARADRKRVPIIITTLLTYLDSHYPDLEGDAARRDVW LVEVPLAQVHKLRAKINNGKAFTAETLTDAEVPTVASLLKLYLLELPDSLVSSHVYEI IRTIYNTPATDGSEDARIGVLQQTLSQLRLTNIATLDACMNHFTRLIDLTSADEQYVA ALATSLAPCILRPRTETSLTMEEKHAYRLIRDLFQHKDAIFSELKRMSTLNHSSSVSA PRPRAISTDESNRRAHMEERQRALLEKAGGRSRATSPAPSPRHRRDRSVGGPETRFPI QTSPTSTTDRHRASMASFPGGIVKRSSLEVPDNTGTAPAPASEINGANGEAATDEGSV IEKRNSLGRSSARFERGRRVTVSRDSAPPAAIGEEENAAPESAAAPDSPSAVHSVTLV DKPMED PFICI_07919 MNRGGDFWNLQPNAGSKRPSPSPGADDRENKKHRTEPHLDAAER VAVNNDWPQEFEDTIMLSEDLPSDDWNVFDYFNGDLPSESDIRLDNGFGNHLDGSLSI EPADASFHGMHGVDHALANDTHSWTPHINHQESTLSLQMSEQLDQSPQELPLGHHAWT RNLAYQVVEDPQSLSNQKDTGEDNVSDMNPGDQPTFEAPEPNQMIMDTSPQQLLIEPT KQISNDSNICYGVVIATPTSSIEQELRPYSVPVNLKSFGSIFLLHDPSSDRNAGILNN SHLINILRQFSLELDATLLVSGTKESKAMSKPATKKSVLARLIPEHSLRIAIYGPRGD KDKIGGLLSDAGFFLQHPFADEIKPGIIYDNPHYLCRPGAGMPELKYLSPDDMGDDSP HNMLGDGLGESRWLRMFDNAAADESAATVVRAHISPRLCSPLMSHQTTALTMMQEKER GYVEEPIFSPLWKQMLQSLSLTIRYRHTVTRSLENRPAPPMGGILADDMGLGKTLSML ALICSSLDYEETLVHGNQNSRYQGTLIVAPMSTLYGWETQISEHIHEGQMRRVIYHGP GRESLANRFEDYDVVITTYETLRAECGSPEKSSPLLSWKWLRVVLDEAHHIRNRAKQA FRSVCALNCRYRWCLTGTPIHNSLDDYGALLSFIRVSPFEQKAKFMSWIVKPLETNDE ISIDRLQRLIRTTCLRRTKQKCLSHNDLSLPERSEIVHKVRLHEADQALYNDFKELAK ERAAGLGKQSRETTSRKNKEENILSLINWLRLICDHGAQLLPDEVVKMKSKNSASSFS ILEAHRFHGGKCSSCEGELDIISGSDSDGLDSLCSNCLNSEGSNGYDTFSNTADKDGS SSPSKARYQPSAKVVALLETLKQQTVTGTNGKPQKSVVFSYWTKMLDLVGLALEKEQL AFQRIDGKTSLRGRQNAMQEFKDNPNCRVMLASLGSAAEGVNFTAASMVHLLEPHWNP TVEAQAVDRVYRIGQTQKVTVIRYIVPNSVETYVQSVQQQKLRIIDKSINMDDATEAR EVEKRWESMRQMLE PFICI_07920 MDPSDGGAQSYIDALCSQIRNSLVKSTSGQSFLPNDKLHEIFSF TTIQRAVENLNCKIDDRISLSSTIHNEGKRVFAMLIYSRCPDQIVEFRKHRFLDSRLP LSEVDAERIVGKHVGYLLFDTFQWIFCPYEFSKTMCEHHHEIQKGVILPFISVEPVGN GAFGEVKKVNILASQQDFMDAKAIALKKLNSGGATEWLEREKSCLRLLNRLEHPNIIP FLGSYTYLEDNYLLFHYIDLDLWKFLSAQVRYGDFKWDCTFYSSLAGLASALSTTHNF HLTDVKHGIDLKATGYHHDLRPPNVLVGPDTFLLADFGLGKVKPENVHSNTGYKATCG DYIAPECTDVEENPNTVGRAIDVWAFGCLLIDVVTYMLKGPSGVEEFRAKRLMPARLP QWKDSVFYQPEGDLKQEVRQWIEDLIRDQPDSVMPRQLLEISTDSLQREPRNRPSMDT LHQRLSNLSIRKYFSTILDTFQETYKLEEQLHPQAQRHLEGLRYAQTRFKIWGNTLSQ HNDIVSAYGLEQIEMSMDILKNILRMMERSQRDIRTDGSGNKPLVRSINSLWDLLPGS LRRSADFQWQQETKSTEIGHSQNAQDQSDAIREVELADGPSVVDNHLASQFELAARTF EKKLPKPDLWVQILEVTSVSDLYTITDSLQEEQRQNSGLRNLSKIQLYLKRLEGFANI ISDVVSKSRNVLAVIWGPLALLLQWAKTLDYAFDCLLGAFAEVGKRLPDFMEIDQSSA TKEILFLFFKDLIDIYLEILKPFNHPKWMHVFESFWPRYELHIQEIVCHVERHTRLMG NNVQIQAEEEFRNRALESFRDQRLATTRQEFNRIKTSFSPSRYDNKLYRLRDLRCQGP ADWLFDNTTFSKWINGVEQEPRILWIKGIPGAGKTFLSSAVVSRFQQTTEAMTAFAFL TYEEAETTALSTIHSLIFQLAEKHEDLRTIICESTSEALRSDLSATGKLLSDLIHCAG PVYLVIDGIDEISEAERGRLIAEVGKIAEICVQARIILSSRPEADIVRGLGDAVTTIT IQDHNEASIESYIRQRSQEIFSERQMPKSALKSILELLSPLASQAKGMFLYARLVMDM VSSMNDLSEMEEQFGVLPENLDDAQVSHYEQLYYRIIQRLGQQKDKKQAQRARRLLGW IASSPIPMSVEEATQALMVNPDKRDQVFNIMVLDVVKMLGPIVETVDTHIHFVHFTAK EYIVSPHLGTRVIDSTEATLSLALCCINYLCQSHHDSDVTPEEISKKVLTGQYGFHSF SSIMWFDLVCQYFQMLRSANPSIKLIQSIQRLFDTRKTDHLASTEQHHFRHGDPFKDS FAPLKAKQPLLYALLLQAFEFRQASFLFTGKTDQDPKKNHNDPLSISATSQRIRQSID GALCNSALACLLSSKSEDHTTCEDILYYYGPRPFKCKFPLCKFWRHGFPTRGLRYEHE KSHDVLLQCDEPGCVYKEIGFLSERMRRLHSQTAHRNTPAYVPQRSFDGQELSVAEVE TILSDLIKHDEIERVREVLGLFPNALDEGETRHKLQLLAASNASGNMLQLLENPINDG GLDAEERKEAHACIAASIRGRNASALEHFCSRFGIHLFSIDKMYSRKDGKLQRLWLTR QLASTEWREGVEIWCTWLQGFRQHDKTLNNMLKRLIDPSILSAAASHPAGDQQLLDMW RKLRIFPIVGPRCVNQALKNVAGSGLSILLATYLLDLGADVNDRRKYKITALHRAAQH ASAKGAEMMRLLLLHGADPDLNQEAHTVPQREGSDVLMPGRRIQDEKGAKAIHQWLGM SWDELVEDTKQKQVGAGKQLIEELLKG PFICI_07921 MASTPRKSVFGWFQPSSTKISLPREFSQKRIVKTQLLVKFVAVS VVIWGSPREFVGLRLSKELDLGEGAIGAQKVSVLLMHLEKEGFSKQLHEKFIYYYHVR DLTLPRPDFEAVYRLVFEHRRDPVWTALEYPADASVYKHWNSSRHYAKLILAIVAQAG HADVLPLEAKGSSDGQHPAAAAAPRETPTVGGREIAGAVEGNLTPWIKYVSLNSNIWT LYHALLYLQDEYEVDRARK PFICI_07922 MVQYHPLTPSRSEIRVLTLLGGKPEDDVKCTLGIVSLDEKPEFA ALSYVWGDASIKKPITVDGETFEVTINLNDALRALRQRRESRTLWVDAICINQTDTEE KNTQIPLMGRLYSEASSVVVWMGPLSRNMELAISWAQTYVAKTYNQASTYWLKLDAKA KFSKTAKREKDWATLGALEGFWEVMSLSYWSRMWTFQEFRLPPQEPICQCGHMTFYAS SMLGQAEEALVTAGQEALFKFGALAKTWSGRPLTDEEGQMADDFIAANKRIKAKSNFA RQNSIVSLTNARSDWRTYESPLLYLLMATAERQCFDAHDKMYALYGLVPAAQEAIPVD YKMPLRDLAVETAAFLIKHERGALIWSSFGLRDGRLSGAPEFPSWMPDFTDAERISPR VHRAKERVAECLCRWDEAPAAEIVDDLTTVRLWARKLGPIQVIHRFEGGHDGMLKQVR RLVQQSSNDLLQQHPKLSIRKPENLGARMASMCFNHGGRRFDFDVDSLLEALRYDDPP DLPADNTITQCWYMIESVSKLLAGKALFLVENRSFGIGLGDMQDGDVLVIPPQVRHPL VLTKNSSDGYLRMVGTAIVDGVMNSTFLDDELVKSIENQALEEFLIH PFICI_07923 MGNEGQHEQNGHLRSRDPNKLLNRLEHWTWANYTFPMSTGGLSL LLSEKTQAFNFRGLQTIGKVVYIYDLVIFTLVTAAMIYRFTKFRGTLKASITHPTEGL FLGTSALSLASIIAGIARYGIPECGPWLVVAYRVLFWIYFAVTFMIAVGQYSLLFTSP ALKIQDMTPAWDLPIFPFMLSGTIASVGAADQPPVHAVPMIVAGLTAQGLGMIISILM YACYIHRMIQYGFPSPNSRSGMFIAVGPPAFTSLAIIGMANAFPTSYTYFGDADVTLQ IVQTLATMTAVFIWSLSFWFWAIAVVACLAVCRQMTFSLSWWAFVFPNVGFTIATLTI GKQFDSPGVEWVGTIMTILLVALYLFILVNHVRAFVRKDIVYEGKDEDVYTNEIHHTY GKKEWRAEGDSEEQLKQA PFICI_07924 MKLKRISNLGPFAALFYASAGATETSKRGLAYSGDDIESDMNLL TSDKSPISWYYTWSLNQAPGVNATAEFLPLLPTTDEASNSDLDSILGALPASSTHLLT FNEPDGTTDSGGSSLEPEDAARAYIDYITPLRTSDSRTWNISHPSVTGSSRGLEWLRN FNESCYDIDPESGCPYDFVSVHWYGDFAGLASWLGTLREFYVTNSSGADEDLAFWVTE VALPQEDEDATVSMLNQSMVYLDGLDWVSGYAWFGAFRTDDANDWTGSSVAMFDDDGG LTEVGSLYMGGEENGFAEGTKGEGDSSAAACAFVPSWILAVAGATAMALML PFICI_07925 MREDLDAPIALRRTPRRSKGDARTVDMVSTDANSSPASTFQTPS RTRTKKRVRFSDPGPEIASHNSPSSTGLTPMVRRTTLGNAVPSKRRRHSTSGDLQSGV DGDAQDTSNEVHFLSLRQVLDDRVKRRIRRNGLSEEMNIIDGEKRQRAQRQTSEIQRL RRELAQRDEEIEGLRNATVVQDTERILQLEEQIDRLRAELDNNQPEPADQTRLDWTMA AQDPFTDGYMEEDDVDEDAFGDTTMADLVCSTPTRARSSFPTPPCTSPMLPITPPSMR RQPIPPSSHTGVQAQIPDPEKEALEAELGSLRLELTKLNDTLASHEGQRERLSNKLSK VQLPTSLDRHRDMEEHLDQVLQSLSDKTAGLLDINSSLSALGFRGGDSLEIVASIANA LRTARLELEYLTPGEITLPLSSHGAEVLDLVLVRLRDLSRQVKEDEASIDEYHALELT LRQQLGARVEAMDGLRREAQRSTARLEGKDARIAELEVGADRLKGAIEGYRRDISELE TLVQRIEEEGQSNVQKLRSEVDAGKQEIKRKDNSARELEAKIASLLSQVSEIKKQLAD AQSQRAALNRSHGTALALRDARVTELRGEIDGINAALRTAHETICQLRVQNVGLENSI DGERKKAKEAVDSMKAELARVLEMSEGILATPKKGGAAGRRSTRSSAGGSSSQSSMLA SGGAGRKRRKYDSGLGFLSEEEGDI PFICI_07926 MADQEIGSAAAAHEPPHVYKANDGYDASVRQEVPATLQTSTTQE LIEDDEEDYDEIFNSDDDDLDDDGAGNLTKNYNRQRQLIDGGAAVPRSNQQKPAANTF ASVDDQIKTLSKHAAKIRLDDVKESQDRDKDKADRATSEQVLDQGTRMILLRLIHKGV VGEINGAISTGKEANVYGAVGEFDGVRLNRAVKIYKTAILSFKDRERYITGEHRFKSG AAKGNNRKMVQLWAEKEFRNLRRIHAAGISCPEPIQLKLHVLVMGFLGDRRGYAYPRL HDTRIDGDDADQVWRSLYLQLMGVMRRMYQVCRLVHADLSEYNILYHDRKLYIIDVSQ SVEHDHPRSLEFLRMDIKNTGDFFRRQGVDTLSDRTIFDFVTASEGPVEEPAMLEILE KLYTTRSAVDDTEDARAQEEIDNEVFRNQYIPQTLEQVYDIEKDAQKIGAGEGDQLVY KNLLADQAVAPGTTPTEDEATSSEGDEEEGAALDSDDSEDESRFEKGTPRGKRFIDKE EKKSHKQAVKEEKREKRKEKMPKHLKKKLVSSTSRRKK PFICI_07927 MANDGKTVIPTPTWVLVARIFQLVLSIIVVGGAGWFIHGLYEDS LGFAIVCSLFTWIIFVYAIVTENVSTCRRAYNTWAILSLDGLMIIFWLSAMGAVAAKR ADFTVPVNADCTSDGSAVNSGHCTISRKRAGVGVASYAALDIMSAVAGICAIIMLLFV ATFSYVCHKFRLSWSSNDYDAEKRVGGPGNTSVAYHHPEVEMQPNMPTQYSGYPQQVA VGTTPQYSQNHPYDPYVH PFICI_07928 MSRTPKSHAFLLSKPPATFLSNNKAVIDRPVITNLTLSTSQLDS RIWHRIEKELYSHTSQKSKQNAWVHVKIIDEGKISVDDLIVTDVRVGDSPPDISSGLS WESRPFGVWISRGNFTGNIGQAITEVDVLFGKDAVDPRPHWHLMQSPLQLNAEPKTPD ARLTVLHGRGRPGLDARPILRAQDDGKFKIVQLSDTHMVTGDGICRDAIDEEGRKLPE SDADPLTVDFIEKILDIEHPDLVVLTGDQLHHDIPDSQSALFKVVAPIIKRSIPFATV FGNHDSEGRHALSRTAQMSILQDLPFSLCEPGPEHVDGIGNFCLKILAPAPSRCPLST LYFLDSHGQIPSEIHNPDYEPIAQNQIDWFTDISKTQRTAREKDSGNSGFYPSLVFLH IPLPEFGDPHLLVSNGRRREPTEGPSVNSHFYDALVREGVSAVGCGHDHVNDFCAILP SHEQQDVAGKLGAGPYLCYGGSCGFGGYCSYGRDRFHRRMRIWELDTASDSLKTWKRV EYAKERVDELWLVQNGTIALPPEEKRDKGCVVA PFICI_07929 MASYGDEIILEDEVEHQKDLESYIESNARLRGYNQAAALKIELL QKSSGIFLWVALVVHILNKEFANGRTANLRQRLREIPPDLNKLFEMLIARDSENLEEF DCCVRIILLAKRPLSPQELYFALQQKRSAMKSLTWDRLETSYDDMRRFILGCSKGLAE IVKSQSETVQFIHESVRDFIVDRSTTSGPQIRSIGVVQSHGHEMMRDLCMRQLSSLHD SVRDLQKPLGAISFQQARDDMPFLNYAIDNIFHHANCAQGEGHDQLEFIATFPYSFWI ELSNLCREPWHNRSSRAHPLYLFAEHNLQHLIAVHPELQLQFKLEGERYGFPILAAAA KQNEEAFKVLLQAFSNVPIRDENVRQIMNSFEHGTNFLYQHGEALLIYLLDFDWKPAL EGYFQAIQIHQTPAFTGELGFEILTSPNAQKYMDLLVAGGVDLNSRNHRGETVIFHAA RKWNLEAISFLISQLHVNPDSKDQQGRTLLSHAAGAADDGAFNFLLGLRNVEPDSVDC KGISPLQYAVKAGQMNHEHDARLAIIRALLMTRNVNILRCSPSSDSAMSISRDILRHR KRYLHRIYIGKPLEQHVIDLLQKFIDEVEGQRGG PFICI_07930 MRLLQSTPHGFKLTKDFLQDDIPSYAILSHTWGPDDDEVTYRDL CDQTGVNKPGHWKLQVCSNQASRDGLEYSWIDTCCIDKSSSAELTEALNSMFRWYQNA AKCYVLLSDVLSPFPGPPAELPSAFWTSRWFSLGWTLQELLAPRHVDFFSAEGQWLGN KTSLRQSIHEITGIPLAALRGLALSEFTVDERRLWAANRTTKREEDMAYCLLGIFDVF LPPIYGEGMQHALERLEEAIQKRCSGTNKKRPSASFQSTATRQRMDTMEVNQDTLPGH NLFLSSSEQEAADQSQEQEETAKTGQGDIQVRTSVTDPATGHVHAMLLEAAGSTKNLE NIAKTRQRLLDMLRFPEIDDRLINLKEATGGTCQWFLLKPEYVSWQSSQDLTQHNRFL WIKGKPGTGKSILMKFLYFDVKRQQTATPDSLVIPFFFNARGSELERSVVGCYRSLLV ELCVKFPGTLDVLDQLGSNAVTTVVRNGWQLEPLKKVFKSIIARLKDMPIFLFIDALD ECAGMK PFICI_07931 MADPLSVAGSAVGIISLGIQVAQSLFDYYNAVKSQHSDVAHTIR KLDSLLEILGSLQHRIDGRRFRADEQDAVRKIESLINESEECIQELQDEAEKFKQCPV GTIQSAVRTTARRVAYPFRRSTLEKLDEDVDDIVDRLKLALQLLQQEVTGRVQDDVED IKALLKLVKSSQDSSGIQQWLNAPDATTNFNEACAKRQPQTGLWLVKGQRFTDWVTSH RSFLWLRGFAGCGKSVLCSTAIQHTYRHRRSNPQIGIAFFFFTFNDEDKQATSALLRA LVSQLSTQAVKSTHLARLRDSYRQAAPPDEALLGCLRQLIGTFQDVYILIDALDESPR DKHREAVLQLLTDIRAWQEPRLHLLVTSRDEVDIRDELDLDPSLIIEMRNSEVDQDIT AFIRQTLQDKRKFAKWKKHHNTIQDALTQRANGMFRWVECQFRSLARCPPNQQLLEQL LHSLPKSLDDTYARMLENIAPDLVDYAKQMLTILCCAIRPLSDTELLDALAVRIDSDC SHDYSYDATRRFNDISALEEICPGFMEVDTDFNTRDVTIRLAHFSVREFLEAKRILQY EAIALFHVQRQIGHTHMAGICLASLLYPQQKDNKALSKVTEESALLRYAARHWPEHFT QCGSKSIIEMQSWPLFQTTGPYFMRWVRTWNIDEASGRFTYEAPKPLYYVAFLGLNTI AAMLLGSHDMNFNSELAPGSDSTIYVINRTAASRDHIYVLESLSEDMDINVNAGRYGT ALHAAAANGHESTVQLLLEKGADVNDNSEMYRTALHVAAANGHESTVQLLLEKGADIN AEGKVYGTALHIAAAEGHESIVQLLLEKGADMNAEGKGYGTALHIAAAEGHENIVKLL LEKGAI PFICI_07932 MPSFIALGVTGLIAAYIFLRTLVYLTHDPREPKVLAGTIPFVSP LIGMLTEKGRYYVRMRDTYGLPIYTLKMPGASLYVVNSLQLIQRIDRHILTVAFSPIQ ARVCDKVMCVSKSGMATIAGEKEVTEDGYLRSFPRSTAPGTSPGPGLDSLNRAAVNCF AASFDKLAKQESCTVKLYEWLRHEIFASTMEATYGPHNPFRKPELEQAWFQFESGIMT LLMDMFPRIFARQTLKTRELMVAEFDRYFEQQRHLEGSLLVQLRQKHNAAFGLDKDSA HIEIGQVAAGIVNTAPTAFWTIWQVLSDPIVLEDCRREVTNLVTTDAHGVCVIDLAQV KTKCPILVSTWQEVLRFHGISIAARIIKEDTLVDNQYLLKKGGVLLIPNAVIHSDQTL WGPTADVFNHKRFLKTTKDEAHRFPAAAFRGFGGGHVLCPGRHFASTEVLAILALILA RCDVQPVGGKWIEPKKDNVMDRACPLPKQDLQVTLVPKSNQQWRVVFSNNDKGINIVA EDFCEKGT PFICI_07933 MLVENAGLLLSAAVPIILLPYLLSGLVSTLRLIWYTSGFPIINK LKGEWSDQPAVKRIAQDMNTWLRNGYSKIIGSFGKRIVLPPDMAEHVKSDPRFSADEV VKKSMASTLPGFEGLRATDTLTTMIRTKLSTNLSKFTQELVEETQVAFDERWGTSTEW HEVELLASLRDIVTQVSTRIFLGPELCRDQEWRENIQAYTNSVFYAVRKIRAWPEWSR PYVQWFMADCRKIRQQVKRAEEMIEPVIAKRIETMELAKKGLADMPNDAMTWMHQIAM SRNEKYHGAHAQLSLSMASVHTTSDLTSNIVLQLCRHPEVIEPLLKESREVRDENGKM SKTSLYQMKLADSVMKETQRIKPLGVLLTHRYAHEDVSLPDGTLIPKGAQVSINMSRM WDPKYYPNPEEWQPDRFLKLREEAGKEHSSQFVTTTMESLGFGIGTHACPGRFFAGNK VKVLLAHILENYEFERVDSGPDASPWYIEIVTNPKAKLRVRRKKSSFA PFICI_07934 MAKSAWEQKIAPGVETYVCQPADPALRGPRDFPITGCDQAMPKI HARITIHYKLTEQSEESRRSIVTSLKTGLEKLASQLPHLTATAAVDPVSKRGTLKTIG NDDGVLFLVTGATTVRTDLPSYAELERERFGPWKLPKGATYPDGLINPVASIEGHQIG LPGCIFQLNFIDGGIILTACFHHYVADGPSVNKIFHAWGAHCRGLPIVDLFTDRTILI NPEPAPQSEVQELERKMTAHGCMVDSTKADPNNPWSNMMGPPVKTSIVSFHLDKVAAL KDEVAAKRSARVSTHDCFQAILWWGLVRAKCALGEDEGIETSWSVFPVSFRSNNNPGF PKNYIGNGTLFNGAEVPIATLKASEGPLDAAVALRRVIQNVNATFVEDAKAWLSTIQE PATRTWMTSPPRKMDAGVTSWACLDYHTWDIGFGPGTCVRQPVSPIPFLFPMPGKVDN DGEKILEAGIAVSEKVHELLMQDKEFSQYVKDYYVQP PFICI_07935 MAAPATSVSELVKKQPEGLDLYSRFALAGALGCSVTHGAFTPVD VIKTRIQLDPATYNRGMIGGFRQVIKNEGVSALATGFGPTFTGYFVQGAFKFGGYEFF KKQAIDVIGLEKARENRTAVYSVSAASAEFFASIALCPLEATRIRLVSTPGYGKGLID GFTKILTTEGIGAFYAGFGPILFKQVPYTVTKFVAFEKVSEAILGQFDKSKLSGGALT GINLGSGLMAGFAAAIVSQPADTMLSKINKTKGLPGESTISRLVKIAGELGLRGSFAG LPTRLFMVGGLTAGQFAIYGDIKKALGATNGVEISK PFICI_07936 MATTNTACGGQDERDIDGPPITTTTQHLEGEWAPIQNPRQVSGR TLRRSCDRCHQQKLRCVGSKTSLEACARCQRFGVECVYNPRSSKQTNKNKAGSQNVAQ NEPMTPVINQQPPSNYGAFDPDQLHLDSFFPSAWDAITTPPLTGHTLAAHSHSSSASM LSTSTGPVFSTKSGMDEHVVLSPPEVCKSGNSDSSDKLASICQTLENLLKTVTSEQTG QGEQYSVGEIFNAFECFIWIVAVDRRPRALSQDPNTSFDNHIDGKQAFKAAQCYMLCI RLLAALSEKMLQNLLASPTPAQRPTFGLGTPDSTQFSSGPLGDMVENFRMEDLFAAPT ESYEQAAESAANMLRVGTRLIGKMEQLLDIPSDLAVGTMPSAGEQPGIDHQRRKRSLP ARLVVTTWDHETSVGNKCAVTNFRRYRAAILGLIQGHV PFICI_07937 MISATSQLLMATSSWLKSFVFNDEKSSYRPDGDKHTDTLAAPAV PPWTIVRRHEAYDVARQGSTIRICLNRPHHGNSLTLTTMRDMIKLFQELSDDKAVHRI IITGNGRFFCTGMDLKEDLFTSVTDRYTILHDFFATIDACPKPTIAVVNGPAFGGGVG LAMVCDVRISLSTAYFCLSEVKLGLCPATISKYLVREWGVSLARMAMMTGRRIKSQTL HDAGIIHAVASDMGSMERTLEDFLQDMRYAAPRAAALTKTLAREAGSGDETNLDKVAG EVFDAMLAPGSESCYGVTQFKRGIKNIVWEDWRSDGKVRG PFICI_07938 MADFFGSSVPFAEPLWYSRVGNPNYTESHRRLRDEIRRYVDTEI EPFCSEWEANGAVPRKVLDRHSALGYTALLINPSETREYLGEIKLPGQVPPEEWDSFH DLIAIDEMARCGSLGVLWALGCGNAIGCPPIIHFGSAEQKTRWLSPVIRGDIRFSLGI TEPQAGSDVANVSTTAERRGDVFVVNGTKQWVTNGLTADFCTAAVRTGGSGKSGISVL VIPLNAEGVTRTPIRNSGVASSGCASLAFNNVEVPAANLIGAENEGFKLIMLSFNHER LWIAGNCLRLARICLQDSYQHALTRQTFGRPLIDRQVIRLKFANVGMQIMAAYALLES LVQVRDSTFKRAAHSDEAGTGIGGLCALAKVNAARATELAVREAQQIMGAVGYTQDGG PGARVERISRDVRVLVIGGGSEEILNTMRNFNSNLPAPIKSHMMPPIFLNQTLLCCHI PYVVFDAYNGITCREIQRLHEEYGPVVAVGPRTVMFSDPAMIDTVYATRSPYPKSYHW QPLRTELKGVHYPSLIASEDTQTHSSLKRPIAGVYAMSNVTKSEAFINECVRQLVKKL DQDFRVTEQTVPIFQWMHFFAYDTIMKLTVSADFGLMSGKADQAAMFKGVDAAQTYRA MAAAMPWVHNLLKETPVTSVFQKRMGSFPARARELIQARKDKGAVKGNDREDLLSQIM DTKEKHPQVVNDLVMHGYATTPLLAGADTVTIGLTSIVYFVGKHPRVAAKLQEELDSS GLTMPPSWADVQSLTYLDAVIRESFRCHPIGAMLSRRGVPQGPGLTLENGHALPPGTA VAVSGWATHFNQDVYGSDAADFRPERWLKGASESQDDFTERIRRMNKADLTWGHGDRA CMGKNIARCEMYKLMATLYSIFDIKLIDPTMTWKIKETVLAKQSGVEAKITLRPGVKV EALV PFICI_07939 MASNGSNDAASVPATHRAVIIEAGDHVRIREDVPLPSLQDDQFL VRTEAVAINPSDTKMRGTFVTAGGILGTDYAGTVVARGQHVTEVAVGDRVCGAQHAMY ANEPLRGSFGEYNISAGRVWSKLPPSISTTSGATFGAGISTAGIALKLLGLPLPDAPV EKPAYVLVYGGSTATATIAIQLLRLINMIPIATCSAKNSEQVKSYGAEETFDYKEPGC AARIKAYTKNNLRYALDCITNVQTTTFCYAALGRAGGKYVSLDPYSEHAAARRTVKGD WVLGPSIFGDGSTWPAPYGRPPSDELRAYGEKLWRLAQELIADGKLRPHPARTLEGGL ERISEGMEMVKNGQFAGEKCVVLL PFICI_07940 MSVKHKKVLLCFHGTGSKGAIFNVQLARICFLLGSEFDFIFLDG PLECAAGPGVLPFFAKQEPYYCWFAGSGTTIDESMTSIIASVEKSIAGWKAANLDAEA EIVGAIGFSEGALTLSLLLWMQQQNLVPSLPRLSFAVMSCCFFPVEASKWLTAKANAQ GESQAYINVPTIHVHGNRDFCLGRARRLVRSHYKSENATVLMTEAAHHLPTKKDEVDN VVDHILRLSKATAI PFICI_07941 MPSSTSHLNEPIAVVGSGCRFPGGASSPSKLWDLLKNPRDLSRK VPKERFNVDAFYHKDGSHHGRTNAQYAYFLEDDPYTFDPAFFNIPPSEAETVDPQQRL LLETVYEGLCAAGLKMEDLRGSPTAVYVGMMQRDFLDHQNYDLDALNTYAATGTSASI LSNRVSYVFDWHGPSMTFDTACSSSLVAVHHAVEQLRTGLSKVAVAAGSNLILGPVPF ISASKLNMFSPTGRSRMWDAAADGYARGEGVATVVLKTLSQAIADGDRIECVIRESGI NQDGKTAGITMPNSFAQEALIRQVYERAGLDLTKPEDRCQYFEAHGTGTPAGDPQEAR AISSAFFGERQRGADEEPLYVGSIKTIIGHTEGTAGIAGLIKASMIIQNGSLPPNMLF NELSERVAPYYTDLEVVTKQQAWPALKFGQPKRVSVNSFGFGGTNAHIIVESYEPKSI SPSINTSSPLLAPIVLSAHSSASLKAAMVDLKQFVQARPDLRLEDLAWTMLKKRSNHQ IRHVISSQSAQELCDALERDATAVEGKNSIATSSDVRKKPEILGIFTGQGAQWPAMGK ALCQIPYVRTIISELDDSLQSLPAEYRPEWKLIDQLLLEGEESNVNQAAYSQPLCCAV QIVLTKLLLASGATFKVVVGHSSGEIACAFAAGFISATQAIRIAYLRGLTSKYASGPN GVEGAMMAAGTSFDDATELCALETFEGRVGVAASNAPESVTLSGDKDAILEIQEILNE ESKFNRILKVDKAYHSHHMRPCADPYVTALKACGCDTFNMEATPSAIWISSVFEGHIM TPEDLKAEYWSDNLLSPVLFSYAIEQALVKHSPFDVCIEVGPHPTLKGPSLQTIENCA GSTLPYTGCMDRKKTDTEAFSACLGYLWSQFGSPAIDIDRLLGSLSPDGDNADLSKEL PCYAWDHSRSYRKESRLLRQWLGGDRPHLLLGKRLPQSSPISNQWHNFIRQRDIEWLS GHSLQGQTVFPGAGYVVMGMEAAISVAGDKEIQLLEVLDLDINKAVTFNDENSMVELS LSLTLDSSQTTDDCATYQFVINSSLARETGLSSSASGTLAVTYGPGASDTLPARQQDP PHLNNVSIDRFYNMLSELGYGYTKEFRGISNLKRGDSKARGSLDFHRLTDGNHNIAMH PATLDLAFQSFIGAYTAPGDRRLRSLLVPTGIARIAFNPWVAGHINGSSKQIDFISTS AASVGNGVEGDIEVFDPESGATMLHIEGLSFKPFSPPTAADDHEMFSKWEWAPINTDA LLDDSKYHATEQDKADVEIIERITYWYIKSFIASLEAEDREKAPFHFVKHIQWCEHQL AEAKAGRNVWWQPSWENDTKSDIEQLIEQNRSHPFVRLIQRVGEGALETLRENRNAFD LMDHDGLLTEFYGGTVSYGHSYSYFQMLLEQINHRHQNLDVLEIGAGTGGATRVFLND DHLSFNSYTFTDISSAFFEQAGKEFERHSEKLIFQPLDVRRDPSEQDFTPNSYDLIIA SNVLHATPRLEETLSNARSLLKPNGRLIVIEVAHYEHTRIGFIFGLFPDWWAGHDEGR VLEPFISYDKWDTVLKKSGFSGIDSRSLDPDSRVFPNGVFMSHAVDDLVQRLDAPLSA PAKESYPPLVFVGGSSPKTSAILDQIPDILAPLGREFTTVPSIREIIDLDYQPGSTFV VLSELDEHTFAGLDEEQLDALQTIFNAAIHVLWVTEDAWCKNPQQAMTIGLLRTLRME YPDIDIQVLDVDKAENLDPKFLVETVSRLEDAKNWQESGLLWTQEPELYQIGDKIIVP RLKPDTEKNNRLNSNRRAILADMNPSKDALRFENDGEESFFRHLEERFVPDATEESFI KVQAQYSLAKALRIGQSGFYHLIQGKDVATGEVVVTLSENNVSSLQVSPSRMVKVKET NAATVLPSIFAELVASSMLSNVAPGSSILVFEPPTLCIDALSKRAEAAGIVISFASTQ ANPSSGVRWISLHEKETLRSLKQKLPRDINLLYDLSSDQSEASLSQRLARRIPAGCSV RHRDYLFQDTTTPKSEANADNAHKVLAEAVSSSKEILIDHKVSVLKGSDMLLGNALSI DTLIDWGSEQIIPSRIRSMETDTIFVSDKTYLLVGLAGDLGRSIARFMVERGARHIVL SSRSPKIDQRWIDEITALGAIVMVLPMDASNETSVDQGLAKIRESMPPIAGVAFGPLV LQDVMFKNMDLPMLEMVLAPKVDGARLLSERLSDPAQPLDFFVMFSSFVMVSGNPGQA AYSAANAYTHALAQNRRSRGMAGSTIDIGAVFGVGFIARAGREHEYDVVKFIFDEVNE WELHTLFAEAVVTGRNKQVTDIEVITGMPYMDPANHDRIPYFDDPRFAYFKLSDRRAK GSNAADAVGSVKDQLLKADSISQVRSIITDGLCSRIRGALQLTEADDLSLTTPLIDQG IDSLSAVTVASWFSKNLTIDIPLLEILGGASVNNLVDSAVGRLSPEAIPLCSDESRAE ADTVEVPQIIESSAVSDDSSSSFADHSGDLTPLSTLDSASVQATEREAPLSLIQDYAW KQLQLPLDPQTFNSTIGMYMQGTLNLERLGWAVKQVLQRHDVFRTAFIKDPAGTDVPI QFITDSSRVEFETIKVADKAAADQGFKDLENYKYDLEKGDTFKVVHYQWSPTDHLLII AYHRLVGDGWTTEHVFVEIGQLYSGVKLETPPSYADFAIRQRKQLESGALSKDLTYWA DLFKQSPARLPTLNVPEAKAAATTTAWSEHEVSARLNRMIGVRIRDRSRKHKATPMHY YLASFQVLLARLTGSTDVVIGVADSNRSTLADQATMGYFANLLPVRLPYDSDKIFNEA LSEAKEQMRTALLHSAVPYGALVEHLGLPTPSPKDAHSQAPLFQAVFDYKQGQAESGN IGDAKIVDSRTPRAGSPYDITLEMSDDPTKAPLITVKLQKEKYGLKDAEVVMDAYLSI LSIFSRNPALRVEDGRLDQGAKARD PFICI_07942 MTINSSNQESFDQYRHGHEKQTKSQAAAPIAVVGMACRFAGGVT NPEELWDLCASGRDAWSPIPDSRFDVKSYYDKTSAKSGRNHATGGYFLQEDVALFDAG FFKFTTEVASTMDPQLRLLLEVTYEATEDAGIPLEKLSGPGPNTSVFVGCYTKDYHDL QTRDPETMPPSTLTGNYTAMFSNRVSHFYDFQGASMSIDTGCSAALAALHQACQTIRS GESEVSIVGAANTILNPDIYIAMSTLGMVGADGRCYAWDSRAQGYGRGEGVAVLVLKS LDAALRDGDRVHAVIRETGLNQDGKTTSITSPSMDAQIRLIKHCYQKAGLDISETGYI EAHMTGTQIGDATEAESLARTFGASRTEDDPVVVGSVKTNVGHTEGVSGLAGIIKTAM AMKYQAIPPNQNYIVGNSKIPLGDWHLQVPTGVVPWPRNKPLRASINNFGYGGTNAHV IMEGAPQTKLTDGNGRGNHVNGDVSQLFVLSAQDPATAKAMAGKLASYIRKSLERETD LDPADIAFTLSQRRSRFSYVTTVRARGLAELADNLEKTAALKVGHTPTTKRAPRLGFV FNGQGAQWHAMGRELLYAYPVFAKAIDEADLVLRGYGADWSLREELLRDAKLTRISEI HLGQPITVALQICLVILLNSWGIYPSAVTSHSSGEIAAAYCAGALSFEQALGVTYWRG ELARTLLDPKATGVVGGMAAGGVGAEEAEKYVKNTTSGGRVVVACINSPESVTLSGDL DDVDEVVKRLDADGKFARKLRVALAYHSHHMLHMESAYLEKLQEIVPSKPTWPGDITY TSPVTGDVVASANSLSPAHYVQNLTSPVLFSQAFETMCFSETDTNSAQVDAIIEVGPH GALAGPIRQILRGRKMAYMSCLSRNVNAVETMQDVAGELVRLGYPVSLSAVNKYAEAS GEEVMTSDVKFVPDLPTYSWNHANRYWVESRVSKDIRYKKFPPHELLGIPVSGATTPA WRNFIQLVDLPWIGDHRVDGMVVLPGAAYVSMAIEAVRLVTDPSEQKIRGYRVKNVEF LSALVVPESESSGGGVETYFRLGPSREGAKGGWYEYEVRSSRGNDVWVENCRGLVSAV VDQQDVEDAVVPRTDSFLKTQESKVRRVAGSALREDVAGMGIRYGPAFQGIGDVMASK SLKRAAADLEIIELESPSASSDFSSYVIHPTTLDCIVQATYTNLPPDTGKASMVLPRS LQDAFVPRSLNRGAGERLEILSELRNAQRKGFTSDVIVANSQQGDGHGRSPLVINQLF CQAIPRDAESGPQIPLYDSRWVPAVRYGGIPSYVKESMRNALAYEERDYEKKVVRASY YLIADAVADLEGQPTDNWTPHQHALFSWMKSVVARGQCGQLVHGSKAWGGASKGLKQK LFDELRSKGPHGRLIVRIGQKLARIVQGQITPAALLEEDNSDLLTQYKSSLPSLQTRS YKQLARIASVLAVQQPGAHVLEIGGGNGAAARTLLEAFGHGGNGGLLIDKYIFSDVSS VHFDAAKQQLAPWTNVLEFKELELEKEWPAQPSHEVAEQSIDLVVVSMNLHTIKNLKT TLQNVRKLLKPSGKLLLVEKTHVSLDAQLILSTLPGWEQQGEQPELARGLEAWNNLLR ANGFTGIEFDISDCEERRFQRSAVMMTSVGLEKESAAIPNPSTPSVVTIVRDSSALSP SRQEWFSQLCEAIHVTTGIRATVETLEDVQPGENQVHILATDMTENNCVLDSMDELTF HKLRRVLLESRGVLWLTTGAGPDAVATPASSQVQGLLRTLRHENVDKSYVLLELPRTW AEEADMVIKHVTGVFQQTLLNNESGQADADWEYAIKDSAVHVPRIYPKMVGKDESETE SFRPFLNSSRPLVWETSDSGEGDFVEQLYGPTKALTDGTIEIETRAFSLSPHVHGNAT EVDDEEDTAVYEFAGIVTGLGPHTDASGLQLGDKVCGVTKGPYASTTQANWTSVGKIP GGLSFDEAVCAPLAYSGAYHALVHVARLQKHDKILVVQANGESDGAAGLAIAKHLGAE LWFAANDLTEAETQRVIDTFQLAPNRILKSRSARDLDDAIKEQTGGQGVDVVLTGPTS SLSAPLLQSALNSVVHFGRFVEIGPWNRSLDVTQLATKCATYARVDMVKLSDHSARLM KEALDASLNIISHGVASSPSWSLSPLAPVAKFSPSQMSQALRHLQQQQGKPGKVVIEA QADSLVKAIMPITAPRLDDEKATYLIVGGVDGIGGAIASWMASKGARSVVLISRNAGT HPEAEELIENAELRGCRLQVLNCDISSEESLVQLLNQVSATSPPIRGVIHAANVLADT VFDRMSFTQWQKTVGPKVAGTMNLHKYLPQDLSFFVLLSSVIGVMGHVSQANYAAANA FEDAFASHRVSLGLPAVSLALPAVSGVGMVASDEDARRRVEALGTESVHVDDVFRLIE DAVQHDTHLQHKMKFSQFKSSPGEAQRIVGLQPWSSLSPDAAIRRDRRFGTLRLVETG SSGLTQSSETMSFDPTALLVRALGSSRINGGDGPKQEVEGTAQVAEALATKLAAIFNI DVVTVDLEQGVSALGVDSLVAVELRNWLALAGQAKLSIFEVLQSASINQVAELIIKRS ALVK PFICI_07943 MSNSTVHVPLGVLDHFLPPHYLPMKWYIPLKDGITPQEAFKALE DGLRFTFRQLPWLSGKIYKQDPKTPGGRPGQLEIRYNPADLEDDTKPLPQFPFKILDQ STGSVTYEEISESGFPMNTFPDEEVFWGDFLNFPEEDKGAECFKAQANFIPGALILCG ATHHNACDGTALFDVWRIWAANCAALQANTAPVVLDPLSSDRGLVERIWVDEGSQLNG DGAKVETGDVEQKQYTLLDKEPPNLPSKHDRSLPPPPSSGEAMTSAVFYISSDRFTAL HKRCLDEAGAGSRISGNDSMTALIWRSLLKARHAAAVAAGRASESDDVMALLQLTLDG RPDISAKGSMPFEYLGNLVFWNRVAMPISTLTSPETSIAAVAMAIRREVEAATAQAML DAYGRARRLEDISKLQLSITHAHGYDMILSSLMMLRIEEMRWGGGVFDNGGKADALRP LFDDINKAGRLCFPMPRESGQGVEFVINLFKDEWDILLKDEEFGEYALFLTS PFICI_07944 MSVYVITGVSKGIGFEFLKQLSEDKNNLFVGIVRDKVGTEKKIA AELGERPNVHILQGDLTKYATLKAAAAEVAKIVGDRGIDYLVANGGLVPSFDAYGPVS ALADKVEETDAGAAETFATNVTGNLHLFNLLVPLVKKGKAKKVITISSGVGDVDLTNQ CEIDVGPIYAASKAAMNIIVAKFNAEYKKDGILFLAISPGLVEVGRYADATPEQMAGM MGFVGKLAVYAPDFKGPITPEESVKAVRSVWEKASIEDGYGGAFISHLGNKQWV PFICI_07945 MSPSDQLAIPKGSTVLVTGAVGFIGSHIADQFLKQGYKVRGTTR SPEKNAWINKLFDSKYGPGNFELMAISDMAEPNAFAQVVKGVSAVVHTASIFTMDPNP ENVIPGTVAGTRNALEAAAQEPSVKRFVLTSSSTAALIPKPNDPRKVTTDSWNDEAVA EAYSDPPYGPEHALPVYAASKTLAEKDAWKFMEEKKPAFTLNTVLPNLNFGASLDTTH QGHPSTSGIVVALFNGDTNFYQGAVPPQYYVDVQDDALLHVAAAILPEVQSERIFAFA EPMNGDRILAVFRQLYPTRSFASNFQSGEDLSEIVPRKRAEDLLRKLGKDGWTSLEQS IKWNTEDLV PFICI_07946 MVSSFQLWLSARPAKRTPINDKPSWSHLGDAAVYEPLSKADGLW YIRETHYIENQFVAAGLSGPPLHIHRLQDEFFKVEKGVLGAVKNGVEYAITKDDGIFH IPRGTRHRFWSHKTATEDLVFSIWLDPCTSEEHILDVNFLRNLSGYVNDCVKSGLKPS VLQIILMTEHASSLLCPPFLNWMPTPMLFWAHRACAWYAQSILG PFICI_07947 MKSSVASLLFFSGLSSLAAGASIPRYFEKQPITRRDLTSAEVRQ ELGDQVSSGTLIYGADDAEFAELTSRWTDYSKPDVQVAIEPATEADVATIVNYCNDNS IDFLALNGGHGLAYSLKSFSGLQISMWQLDNITISDDAGSAWFGGGTKVGNVTQYLSD RGYVTTTGGCECVGMMGAGLGGGHGRYEGLYGMISDNILELNLVLANGTSIVVSESEN SDLLWAMKGAGHNFGIVTSYKMTIWPLEDTTWHWHNYIWRGDQLETVFDALNTFHGNG TTPVNMAFEVGNFVMNTTITSDEPVLFWTFAYRGPADEAEELLVPFNAIDAEMSVSGD VPYTGIATAQGTSWDSAICQHGQVHITSTVGLVTYNLTAERQIFEGFKQRAQEYPELV AASFIMHEGYATEGVDNIASDSSAYPFRSDHHLMLFNAVSPSSDYDDLALAWANEARD QWNAGQPGRAPHAYVNYASGLETVEEWYGEESWRIERLTSLKTKYDPDNRFRYYNPIV AA PFICI_07948 MPPSKNASLDADIVLEAFQNIKNATDNYILKNHMETFCSEFMPR ASELAIAIFCNVFQELGCPIRSAAPGDKLPRVRHAPKHKKYVDYLYGVLENNGGLVET RGSDVIRTAKPCPSADIDTAFEELLRDRPAQVAEIKLMQLTSRHFVRGLLGEVEAVHF LFGSSEGRVLLDQLYATADSSKTVLEPLEALMTEIGESWVSQKEPLRILEVGAGTGGT TQRMLPALAALEGTQVQYTVSDLSAMLVSQASQTFAQYDFVKYAVIDIEKEPEPELLK SAHIILGSNVLHATLDLKSTLKNLHKMLRPDGFLVVHEMTAQMLWADAAFGLIEGWWR FEDERTHVLQNSKAWNKVLTAAGYSSVDWTDGRRPEAKSQQLIFAMASDPESMFGN PFICI_07949 MSHLHGFCIFLIIILAYSICLGVYRLFFSPLSKIPGPRIAALTY WYESYFELWKGGQYFRVVEEMHRTYGPIVRVTPQEIHFQDPDFLNTLYPSRGKNVDKP EFVAVRSGTPGSLVSTVDHNVHRRRRNALSSFFSNASIQRLEPIMKDNIRQMLKRMEK HGERKEVVEIHYMFKAMTSDIVTRHAFADSFKFMYEESYGKSYYDASDYLVSLTHYFG NFPLLRILASSIPGWVMKTLMPSGRDFLDKQSWWLNKVREIRRSNDQELMKSTIFGGI LSSSLPDEDKTDVRLADEAQLVVSAGEGTTAYALQCAVFHLLSNPVELGRLKDELHTA VQDPSAIPSGSQVINLPYLNAVINEVLRLHPGVIHRQVRVFPDDPIVYTDKSTGQEYV LPPGTAWGVSPLGINMNADIFEDPYEFQPQRWIDDPTISRALMTFSKGSRACVGLNLA RRELVTIVASIFLKYDVYRGQKGPTLELYDTVRGRDIDAVRDYILPLPAKRSLGLRVR IRN PFICI_07950 MTATKQEKRTVLVTGCSDNGLGTALAIAFHDAGYHVYATARTVT KMQQCAAHGIQTLALDIQSESSLAECVAQVGDRLDILVNNAGAMMTMPVSDTAISQAK EVFDVNVWGTLAAIQAFLPLLIKSKGIIVNNTSISSTLVIPYGGAYGASKAALAMFSD MLRVEIAAFGVRVVDLKTGVVGPTNLGKNERSTPVLPEGSIYAPAKDLVEKGLRREDF EETGMPPAAWARKIVQDLEKKSPPSLIWRGQSALLAWVVTLLPHGLLDGVIKKATHFA EVEEVIKKRYGN PFICI_07951 MSGNLTTVERRREQNRLAQRRFREKHGARKAREHRQNKSPQPSN GGPPQSGATSGFAILPESPSLSFPASRDGSPSDFGDFSMSRVTDVAMDNNFDFPWLSS LEPEWNYSIGALASPESQNSDHIDRVCKKCAHGKSEMVLPALVQASSDTQQLSICASL EAAASGEDSKSRFLTVSPLHMAAKQGHCNIVRILLDHDADCNLQDQDGQTPLVHATIR GYEDVADLLLSHGASLRYVDNQHRSALHWAVMHQRDRLLRKYLKHCTNDGTLVNSYTK AGRTALHIAIQAGFEAGVELLLKSGASVQAKAPCDDSSNNAKQVEDLQAQELD PFICI_07952 MKFHVVSSLYAVTFVSSATAAPWQSTGNLTCRSMPGDVAWPNEN DWQTLNATIGGQLIRGEPLGEPCYGTHIDATACSDIQSRWGYAEEYYNDAINIMSPYW LNDSCNPFLTADGGVCTLGNLASYAINVTDADSVVAGIKFAQERNIRLSIKNTGHDYI GRSSGAGSLALWTHNLKSISFLNYSSEAYTGPAAVIGAGVQFSEAYAAAAEQGLRVTG GYCPSVGLAGGYVQNGGHGLLGSSYGLGADNVLAYEVVTTDGRHLTTSPTENADLHWA LSGGGSGNYAVVLSVTIKAHIDGPVAGAALVFGNADPEAFWAAVAAWQKHLLVLDRIH GLSTSATLTSQSFSLNVATLPGGTEDDIRAAMDPFYQELQDLNVTVISNSTTVNPTYF EHFNAYTSYAPDATPPNNVLGGRLVSRSTVQDHTPELVDIFRSILGDTTVPFQAINFN AGNVTHAVSGNAPGSNAVLPAWRDSLYTTNFGILFAQNASRTDLSFYQAKVNTWQDLF KPLTQGAYVNEATFDNPDWKIDYFGENYDELLRIKQKYDPEFSLWQHTAVGADVYWKP SDGGRLCRVQ PFICI_07953 MSPFRLQKTVKCQTIDGISLEAWFWEVDGPAPVIVMSHGLNCVK EMSLSQTAEGFQSAGYNVLLYDARNVGGSGGSPRNEVDPWQLAQDLSDVVSFVRSLPS VDAKRIIVWGISLGASVSGCCAAIDRRPIATVMVCPIFKMIRPDRRKAIFGQLMRDRE SQLRGNQPYTLPPYDSKGENPAGYAGSGGPGGLEAHMLMKTATDRGHPNFRDRVTLQT FHKLALFRPRDLLEELLETPALMVIPENDKLSLPEDQLAAYEALQAPKRLYWAKGAGH MDVLTGPGHEDVMKATLEFLESVLEGEIP PFICI_07954 MAESSQEYRPEPIAIVGFACRLPGGNYSPPKLWEFLERGEIAWN GVPPSRFNIDGHYDGSMKPKTMRQPGGMFLKDIDLADFDAGFFELGASEATALDPNQR QILEVVYEGLENAGIPMENIDNKLVGCYVGSYGVDYADMANRNPEDRPMGNVIGNARC MLSNRISHFFNLKGPSVTVDTACSGSLVGLDMAVQSLRSKTIDVGIVAASNLYMSPEH LIDAGNVGGAHSPTALCHTFDAKADGYVKAEAVSTAIVKRLSDAIRDGDPIRGVVLGT ASNHNGRTPGIASPNADSQALAIRAAYASAGITDFNQTTFLECHGTGTQAGDANEVQG IASVFSGSRSDNNPLIIGSIKSNVGHAEPAAGLSGLMKAIMSIEKGVIPGNPTFHTPS PKIDFAGAKVKVSRTLIKWPENAPRRASINSFGIGGSNAHAIVQQAPLREQVRHVSSY LDTAGGEFSSDDDEAATPYSLVLSANDAATLEANIKALCNHLINPGVKVDLADLAYTL SARRSRLFHRAYVSTRSTNISESDFVLGKQAPEPFKIGFVFTGQGAQWPQMGRDLLEF FPWVQSILEELDQVLQSQPDPPSWSLISELTEPRSAKHMRQPEISQPLVTALQLCLVA VLESWGIEPSCVIGHSSGECAAAYVAGWVDRAGALKAAFYRGRAALRCESESEKDVGM LAVGVGAAEVQKYLEKHAGDAFIACFNSPGSLTLSGKKSALDEIADEVKGDGYFARAL LVDLAYHSKFMDRIGDEYNTLLDTDDKFKPQQNSPSAVAMYSSVTGLRKDSPADSTYW KTNMVSPVRFDQALKEMVTQESPTLLIEIGPSGALAGPVGQVLKSISKGTDVLYQASW ARGSNAGHALFDIAGRLFINGHPIDLALVNKYGEAVKTITDLPNYTWNHSVKYWHESA ASKDWRFRKFPVHDLLGSKVLGVPWHSPVWRHTLNVANVPWILDHQMGGDALMPGAGF LTLGLEALYQKHCALNPEEAPASPNELAYRFRNVRFNRALVLEPGTDIPIMLSLSKVP GSNNEWHEFRISTTQADVQVEHCFGLIRIQDPVDEVLEDIAPLRMPQPARKWYKVEAE IGMGFGPAFQKLISIEAVSGQRECRTLMSMAPPVSKWEPQSYYPVHPSSFDGCLQTPI PANAAGERVNVKDVMIPAMFDDVLVNKVPRQLNEAYSFAKSVYSGRGRPDQDKSWKAY SSVYDSITGALLVRVTGINYVKLDVPPKPDPHTFDRVAWEPDVSLLSQDQIMYLDSAE SSSRLSRVIDLIAYKKPALAVLEVNLDETDTSCLWFDAGDASVRDVYTRYDFASGDGQ TITSVQSKYQDRERANYLFISPEKEALGISAEETYDLVIVKCSQGLQTAISRVLDNLK SLLSNDAHTIIVPAQGTAQDVSRLDDSESSEDYVNLNPSPPSLDTPERSSGSETNGPS SSVDSVAWDQDLAEKPLSLGDHGALGRYLKKTTESGHFLEVTASDDNAPAYLLRSTSL EVSKQQHPQNLLVASLSGRVRSSLGPSLRASLERSGWAIIQQTIPFSKPKTGTVVLVL DELSDSVLKHVDESQWDAIKTLATSTTPVLWVTKGAQHPVTDPDKALVQGLFRVAHSE DHSTNLTTLDVQSSMSRATEWAIDQVLQLLAASAAGGPRVETQYMERDGVLHVQRLVP DVTINDLKRAEVEGHEPTSKPFKATEAQVVLRTERLGTLQSLQWSETDHDDSTPVAPG WVEVDVMAAGVNYKDVAISMGIVADNEYTMGLECGGVVRRLGPGVDKFKVGDRVCLLK MGSYANRVLTEAGRCHAIPDSMSFEEAATIPSVYLCSLYGLYHLANLREGQTVLIHSA TGGVGVACIELARYKKAEASIHSFDSTEEKRQFLETHYGIPRSRMFSSRDASFAEAIM RATDGRGVDAVVNSLTGELLDASWRIMADAGTMVEIGKKDILDRNTLAMEPFDRNCSY RAIDLSYAKHMDEHMIAKLFKEIFELLNAGHLKPIHPITTFKFNEIPAALAQIRAGKH IGKIVISNQQNDDFELPIRSAVRKLRLKPDVSYLIVGGLKGACGTLAVHMAQHGARHL VISNRSGIDDIASAQVVKDCASHGCKVTESRGDVGDYESVQRIFKHTTPRIAGVIQGA MLLRDKPFENMDVDDFHTTIHAKVQGTWNLHKASIELLKQPLDFFTMLSSISGFLGRR GQANYAAANTFLDAFASYRQGQGLRANAVDLGMIVDVGHIADDEDGLEERFDKTRWIP VNETMLRRVLTYSILQQDPDARLNPTKSPQLVTGIAHPLARNDEGELDYDARFSYLYA ARGGAHVGGAGAGSGDSSDKSEQAVKTLQAMQSSGADAAALVKTTVEALLGQFSKILQ LGDEVEPGKSLMAYGLDSLSAIELRNWTRQKIGVELTTLDIVNATSMIALAEKVVAKL TQD PFICI_07955 MEFLSYCSSLKGLLFTSGLIGAIVIFSSVIYNLFFHPLSHIPGP LFAKASGIPSWYHALRGRRHLWLWQQFQIHGSRVRVTPNTVLFCDPEAYRDIYGMKSN VRRGDFYTAWRRNERDQTTLNTVDVADHARKRKLLNLSFTDKSVRSASAFIIQHVGRW NELLVQDCGMDWSEPTDLSNSVDTLIFDIMGDLCFGKSFDIKEPGNNPLKAVPHNITE YMQFYYPICRSPFLGFVLWLKPRGLNKLFELLTPPAVQQYYQFVHNSVTKRIALQQAQ ADKPESQRRQDMFYFMCESRDPDTGAPAYDEQDLRGEANLLIIAGSDTTAISLSGIFF YLTGDIHRYQKLVDEIRSTFTSVDEIVHGPKLLSCGYLKACIDEGMRLTPSGPSELPR EVLPGGLEIKGEYYPPGTIVGTAAWPNSRNEEIYGDPNVFRPERWIVDNVAGVTQEDV ARARSNFHPFSMGPGNCIGKNLAMTELLITVAQTLYRLDVRRAPGSTFGGGSPELGWG ARDAKQFQLKDAYISLRKGPMVQFRKRVN PFICI_07956 MSVESMTYTIIEPGAYFICTCLPGMRPLVRGLYESKSSMMGSRG LESGTHDKTQGSTSIQGLISSWPTQRGGYRTSVSAGSRQYDNYDGDRSDFIRLEETVH VQSTPAVSAPSMKIGQ PFICI_07957 MGFRLEQEPIAVIGMAFKFPGGADSPDNLWNVLANKKCVATKFP NDRFNIDAFSETSRKKDNTIKTDEAHFLEGDIRHFDAGFFSTAPHKAAAMDHQQRGLM ETTYHAFENAGLGIQDVSGSRASVHVGCFTSDFANMRFLDIQAIPKYNALGSAGSILA NRISWFYDLRGESMYVDTACSSSLVAMALACQGLTAGDADMAIVGASNVILGPEFNVS LSNMNFLSPRGRCHSFDSNADGYGRGEGFAALILKPMSKALADGNPIRAVDMQVQLIR YTYHKAELDMSETRFFDAHGTGTKVGDPIEARAIGEAFFKYRSKEDPIYVSVVKDSLE LIRNRYGKPVRMRSFGAGVPLSLEIRTPGLLDTIEWAEDTVAYSPLGPDQVEIRVQAI GVNLKECLTLLGRVNIDRLGSECSGFIVRVGAAVKHLETGDRVVLGSLETYKTLVRAE SFQVVKIPQAMSFTEAAAIPTAFCTAYHSLYRVARLQKGESVLIHAAAGGTGQAALQI AQHIGAVIFATVGSVEKRKLLIEHYGISEDHIFNSRDASFAEGIKRVTNGRGVDVVLN SLSGKLLVASWEIIAEFGRFIEIGRKDIDTRGYLPMFPFIKNAMFAGVDLAAIVDGGG TSGRYVLQEVFDLMETGALRPLHPITPFPVDQTEQAFRLLQSGRSMGKIVLELHDSSL VPFREGSDSQYRLSRNATYVVSGGLGGIGRQIVRWLVRRGAQHLLLLTRSGISGSPAK AKMIAELESQQIQVQCQVCDIGDFESLTKTVEEASKTMPPFRGCFQAAMVIQDRPFAN MSYAEWHDAIRPKIQGSWNLHKALPSGMDFFVMLSSSVGVFGNAGQSNYAAGNTFQDA LARCRVEQGEKAAAIDLGMILGEGFVAERADIRDKLMRLNLLLPLTLPELFAVFDYYC DPDTALTCPEKSQIVTGIEAPAIIRQSGREVPEPLFRTLFRALHQITPVGAVSTTGTA KVQDVAAVIQELPR PFICI_07958 MESFGVDSLIALEVRNWIAREMRAELAVYEILGDVKLIDTGLAA ASKTGFRQPHWTKGGS PFICI_07959 MNEMSMLGFSIMFTGCVVLLGFVFLLRIIASKIQRRDQDPTRVD AELKSIVDQKNGKFQIVAVHGLGAHYEHTWIRKVKEAGSEKDVHLLRDLLPTTFPTAV IRNFKYNCDWLVNAPVQTSEDIGRRLLDLLEDHKEKKDLPIIFIGHSFGGIVIKQALC IAQHRGQDTLKNTCGIFFLGTPHLGSSTSIFGYYMARMTSLLGSDTRLLLSLEKHSEH LADLMERCQFLQPSERTQSLPITALYEQLPTYILGCVSIGRIVDKGSAICQATKSRGV ATNHSGLNKCYGFDDPLYKRIKAEIEAMRQPSNLEKADEQIIRNYKGEDRLKIIRLSS HTLNMSNCYVNLSIVLPKQQNKLPENISRQNELDTSLLSRLNIPESSGDSQISLSQLF EPRKLRNGGTARPQRILIRGVPGVGKTTLCKKIVYDFVNHQQWHDHFDRLLWIPLRKL STYQKNFDLQTLFSDEYDSFIQDPHEKHDPSIWAALCKHIAGDGSSRTLFLLDGLDEI QPLVSSTDSGDGLHQFLELLLNEPNAIVTSRPSVNLADYQRFDVELETVGFHKPQVEQ YVKEVMKEDRDPNQASEILKFLQSRPLIEDLVRIPIQLDALCFAWEDRSQIDPKTMTQ LYAAIDESLSNRVISDISHAKSSRSFLEALAFHGLYNRQTVFNERDIRLVWKDLLSFG GLPNTLTKTSPFLRWSDTALRTKACNLYFIHLTFQEYFAAQYIRRHWVTSSALQVIDT RSEVNKSPKKTIPHLEFFRQHKYSPRYDIVWRFLSGLFGLEFPSTTTPNANPDFQSEL FHEIQAEPLDLVGFAHQRLVLRCLYETDQDFPLRSGLENQLSRWLEFQYTYRKNRSLG QASLPSLAREMEFPMSILSKYVSKGFDMAKFLLFEIPRQRTLDVEFVNASMAYLSNSE KHGNSWFLPVLNRFPPTSYSELSEESISTLTEQLESRDDSLRRIVMKALIKQEHLPKS IFSKVVHHLLEEIRIECSLYGRGNSVSRLGLTDLPDHMLEALAERLNNKDPDIRGTAL LILENQENLPDSILSQVEREFQNSLLTLQPTTFKHLISQQPFPNSLVQGMIEILEEKR YPISKSPIQKAALRVMDVFPDFLDAAIESVVGILESPWKGIQMACIEALRAKPMLLKS AQSIPLGVPHVTDVCTAVRKTKDILSEIPHSIQTAVEAKLNHPELRVQLAAVDTLKYF NPSDTVFKAILHKLEDNDESVRHKASSTLLLLEKLPKSIWVVLVANINHANPLVRAIS LETLARLELDNVVLEAIARYLDHSEFVDVQSKVILILFEYPSQSSLAFQAVQRLIKRR IELGEPFDDLLSALEGNHGLPIALVEFLFDLMLKDRKLGDSVMYVLQTQSSLPGHILR AIIEICAFEKSTDRLPSISNGLKYIKAIHRQDLSNDVLQAVAKLLGSECESLYDFACG IFDRQETLETVIQDEITKFLKTSEQRFRETTLDVLYRPILALSTLKEIAKLLEYNGPH VQVKAIKIIGLSNATDEMLEAIATKIDDQNPEVQIAAIEVISESNATDEILQRIARIF RKTYNDNVQTAALKALKKQESVPGFVLNEIAMALVEQGTRFRKFFGFSVVSVIIRNPN WVSIFGENNALALISQVILQHSFDLGCFCFRFANRIYSASDGSEEGYDVGSPDSALAI AMDTALRQARESFNLPKIVDDTPIQ PFICI_07960 MADEYREEPAEEPQLTASGADVEMGDDGAEAPENADSTELPFAE GGDEVPEIEQHIQFIDYLKSPMVTLLVGDGENSAILTAHQALLEQSPYFAEACTAFND DGSPRQIELSNEEIDAVGCFLEYLYTGDYYPKKIPGTRELEHQPGIPAVDESGDQLLK HARVYTLAEKFGVDKLRSLATSKIHCVNSTAKGEIAYARYVYAYTDKDDTSIRAPVAN FWATRSHTLRAEAEEEFRSICLEFPQFGYDVLTRVLDEKLKRQGQGKLLPSASSGGSG RKRARHSNI PFICI_07961 MSSIKNVAVVGASGNIGAPITEALLAAGFKVTALTRESSSSTFP SGVVVKKVNLDSVDSLKEALQGQDAVVSAAATPAVGKQYPIVDASIAAGVKRFVPSEF GVNTRTIQDQGLKTILSGKIQVLDYIIKKSEESPSFTWTAFTNGLFFDWGLRLSGLGF DKTTKTARIIDTGDTPFFASNLSFIGKAVAASLSRADKTANQYLSIASFTTTQNQLLK IFEEESGAQWQKEPVKSTDLTAIGNEKLAKKDFSAFRDFLGAYLYRDGGDSAKGVELA NGLLGLEEEDPRPTIKAFLEGKL PFICI_07962 MKGYISACLVSLASSALAAPWDLPKRSNVRLEARDNTTTVDLGY EVHSATVNETGSYYLFSNVPYAQQPIGDLRFQKPVLPTGTNSTVNDGGSSDIECMQAY PGWVVELQAASYGVDAATMAAILYNSGAQTESCLLLDIYVPETIYELGALAAAPVLVW IHGGGFTYGSKTGSGEIAGLLARSNNTAIIVAINYRLGMFGWLDGSDLTPNLGLYDQR LALEWVGEYISNFGGSADRVTVMGESAGAASILHHITAEGGKEEAPFDQAIVMSPAFQ FNINGSYGYDLTMEVATNYTGEDIDSVADLTALTSAQLKYINQAVVYDAFTGLFNYGP VVDGTYVPNHPQVLLLEGKFDDSVNLLLSHTSNESVPFTPTDIATAEDLYELVATEFP EVSNETIEYMLTTVWPDVLDGTYPWTTEFARAVKIGTEIQFACSARFLSVAFDNATYD SIFAYPPGYHAQDVPYVFFNGDTSSLDDGLPVNPTIAHAIQDHIMTFTLTGDPNYLGE AVTWPLYGSSAQTLEYTYAGQVIVTDDLKNDRCTWIQQAMADGTLMGKNTCDDKHTHG NHGKPGSKGGKGGHSASKDSKFKVDVKATAKVILPGREQRHQY PFICI_07963 MDRRDEIRPGEHARRVPPAVHNPHVEDEEDEDNLEEGVDDEDTP DTEEIIQIPSWTTDPKPPPFPFNLTTTTVCLISQLLLILINLETQHQLLVRYQVWRYL FAILPRVLLTTALAFASTALYEGILADFDGPLHDCWRALIIIPQSLLLRSVAAVWRIN VRVPPTENKLEELERMTDVLRKGDGPWGFATGLYEVLATAWSVAVSFAVLLWYAAGRR LLVAGLLWALEGSGVWGGRWAIDFVNQDRMWVDASTVPEDLWTPRQRLIGQVALQLGV ATALLQFMFMFRFQVQEAKEIYESPEMIAQVRPKAAYLRATAVHIVTYTAYQIIRGML PVKLWEVGPGYIIKQEFVKSFRRKSFNQSCVTPGSTSWFDLRGGQCLDDFAWLVALSL SVLLTHQVLRYAMRRLARVGWWFCEPFFVWRTLWIAPVVARTRLIFLEQMDADFTLDE TVEYRVLMTFIAPDSGPLPMMIPGGGDAEGVW PFICI_07964 MTASNGTNGASSIPHRPPPPATAMSATELIGNTPLVRLNKIPQS LGIECDVYAKVELFNAGGSVKDRIALRMIEEAERSGRIKPGDTLIEPTSGNTGIGLAL VGAIKGYKTIITLPEKMSAEKVSVLRALGATIIRTPTQAAWDAPESHIGVARRLVKEI PNAHILDQYSNQDNPMAHEFGTAEEIWHQTGGKLNCVVAGAGTGGTITGLAKGIRKHS KDVKIVAADPQGSILALPEALNDEHKDEPYKVEGIGYDFIPDVLDRELVDKWYKTDDR ESFKLARRLIAEEGLLVGGSSGSAMAGMVKAVKDLGLGKGDVVVVILPDSIRSYLSKF ADDDWLAANDLLPEADEAAKQAVANGNPTKKDHDPYAGATVGSLRLKPVTSVVATQLC SDAIETMRDKGFDQLPVLSGPGGKLVGLVTLGNLLSYISRGRATGDSPVKDVMFDFGR LDEVVTDPREGRGKQRRKFVQITKDTPLTELSHFFEWNSAAVVTESTAGGDKALSKPL AIVTKVDLLTWMVKQSKH PFICI_07965 MGQFANVHSPHRGDGQDAAAQMRATEHLDKTCLLDDNVDRPNAA DVVDLATLLEELSIVNSKLGEERLQHITIHPILHDYLKANKGYVRATIERNKGWANEE ADKHFMILQESADNVTLGTQRKFHEQMLSIGHDMDKLHNLSTDLEASGDAKFLDLCMS PGGFSGTILANSPNSQAYGVSLPVEKGGHPLCIEEQYTTRIHVDWMDITMLSSEMGIT EISADHPEVSRFSTLRPYEGLEFGLVFCDGNVLRTHKRADYREAKEGIRLLTSQLVLA FQRIKKGGTLVCRFHKIERLETAALLYLFNKISKMSVFKPVSIHGARSSFYMIAKDIQ TDSPKAAQAVEEWKRQWREATLDLQSGQRKIIPDHNDPDRIKMAKEIVENFGPRLVEL AKPIWQIQTDALLNKPFNTGRSYRFENEKYNQKSSFSKPRGAADFRTKQNWRPRNQEP ETVQPISSEKANHYVVPHLRRSSLLNKESNVSHDAESGDGPYGSPPRHLWGAKPHMTM AMDAPNWRSPTSKNMRSPSPAPDRPVLATNRVHAYGIGHPGGNLTSNANGRSWTTDHN RSAGGRLDGSQKESSETPSLHSASSSQSWRRKQDPESFDDE PFICI_07966 MRFLPVSLALLAGRALGSPVSGLPVKIETRSKLDSHLANVHVRF DEAVEGDVTYTYGSCSAKELRDAHHVVARSNHRNYDSRLVWLIPKDVTTEGCISAWGA DGSLVGRSTSQSFKPNKRALKKRGEYSIAMTNETGIDTLGPWFDGVALLESKNLSAVD VAEAKSKEIAIVGAGMAGLMTYLVLHQSGMENIKIIEASQRLGGRVHTEYLSGGPFDY SYQEMGPMRFPKTLTMGNDTLNITDHQMVFQLAAEMNKLNNHASNWSVDFIPWLQSSN NGLYYYDGIKLDTGLPPTLAQIADNSSLAITLEDNESTTELSNTMSAILANDTFTALM ATNMHQAHKDFLSGGLDGQDGDHWSEFGFLVNYLKGNLNDTDIVSGGYFETSFWDTLY EGMYFDASSYETIDGGLNRLPLSFHPLVDNVTTMGRSIERVKWLPETEKVQLEWREKK APNVTSGPSAVWANETFDYAVMALPFSVIQGWRLPTLPATISNAINEMPFTAACKVAL EFSTRFWEHYENPIYGGCSTSTDIPGVGSVCYPSYNINGTGPATMLASYISGDQWGNR WVSVSEEEHVQYILDAMIEIHGDVAAEQYTGKYNRRCWMLDPYEKGSWASPKVGQHEL YIPEYFKTYSNMIFVGEQTSYTHAWIASALESGVRGAIQLLLELGLVDEAKAANEKWM ARWIEVVSFHLNRPIDITPN PFICI_07967 MCIWRRVHLECTVCGRTAVIEYIDPECNLHEHDPIDEWFVEIIC TNCSDRQVLEEEETDSEDGYLMRYANFNAVRRRVDQNQDDYPDWYEPGLLWDSDTEDG VDHLSNFIESQDEDDFIHIDADEGPWNWDFDDQVSVHTRVIEPSELSWDFDTEDGSDH LSNFSERQNEDDFIHIVAEADPGVDHEAPWNSEDYFITDEDETSGWSIDADSSDDEDD RSSDSTVVGDDEESSFESDGSTLVEWSDDEYDADDEQSEGDSSDESDHDSSDDDGDGS DESDEDSSDESDGESSGESEGDSSGDDGDSSDESDEDSSDESSIATVDHNDDGGWVAL VDEDFVLSDWIDEAFERFPPHGVTSANYNNGSSSK PFICI_07968 MAPLATNVAVPLQRAIWSRTQYATCKLTPLNKALADALYRANYI TWLTESGAHRPDASLLAQFSPEELRAMHIAHTTSRHKRQLWMGLRYRDDAWKRDNGWW LEKEVPVAKTILGKSQRQKTAPLVWSAAEVARLVRGEALGEDMWRKGLSEGQGVFLKI EDPDDRGPAHRFGKVEVLESREAAERGVGGSVVCRVWPFRKRVVDEETTSQDKFEEEE QALAEEAMTQQTR PFICI_07969 MSATPQNGSAGAPIQPSNKGSAAAPQLSAEAMEMGNMPGGDSPP QEDIMQLARLGNIQAMEKLFEKGDFDATYSDDEGITPLHWAAINNQYAMVKFLIEKGA DINKKGGESVATPLQWAAQRSNYYTVNLLLQHGADPLITDAQGYNTLHISTFNGNMML LTLLLHQGIPVDVADSYGHSALMWAAYKGYPLCVDLFLRWGASVHETDEQGFTALHWA LVKGSAPCVMKLIEYGSDRFAKTTTGKTPAITAQDLNTTGAWHRALKECGYDEDGHPV TPWWPGASIFLQDKRTFMNRFLFLLPFSLLWIELLLLAYLPIFLSLPFALLVGFSYQW MTTQVVEYGPPDMRHLHKTPWLAGIFAGSLFLVGVGWFLTVLPGTFSDHPLLNFAFAA AYGLCGYFYATSMVFDPGFVPKMNGIAEQKAVIDELLSLWKFDESNFCVSCMIRTPLR SKHCKRCQRCVAKHDHHCPWINNCVGVNNHRHFFYYLIFLTLGILSFDWLLYHYFSAM PASSTTECTILAPKLCQVFNTDPYIILLGIWASLQLTWVSMLLFVQFVQVARAMTTYE NMYGINDGTNAGLLHNFTSTGAPLDPTHPSAIGPPPSAADDPLGNRPSHGHKHGRGFL QQWSRILGVDTFIETATGRGAATGKKQRKKKNPYSRGYVQNCKDFWCDSAPVFGRREP GDAMLGGQKVNWTETYESPVAMEMGGRRGRGGYETVAGEEV PFICI_07970 MANMDELKQYLEYILTPSTPIFVVGALIVLIAPLLVHFFISRST PYTSLPSILLAGPPGGGKTSLLTLFERGDNATPTHTSQVPQSIELTTSTDNGVQSFRE AAHEDAPGSHTKFILQDTPGHGKLRDLAMAKINDKDPLKGVVFVVDAAALDENLSAAA TYLFDVLLALQKRMGVGKTSKAPPAVHVLIAANKTDLFTALPASLVKSNLEAELGRIR QSRSKGLKASGVGADELGDEDSEDWLGEYGSEKFVFSQMREFDVEVDVIGGSVLEGKV DKWWEWISERV PFICI_07971 MSSKPEIVIVPGAFGTPAGFDKLAKHLHAAGLTTHGAAYPSCNP TDPATASSARDIAHVRDHVLLPLLDAGKHVVVLVHSYGGVVGGAAARGLDRPSRAAAG LEGGVVGLIYMVGNITLENETLLEAVGGAYPPFIKVGKPDKGLAIIEPAMDILYNDLD PGLELKLSQNMQPHALHAFESKPSAPAWADAAFDGKRAYIRTLDDNCNPYVLQNMWIE KSKVEWEIVDFKSGHMPFESRPEELAGEIVKLINKFETL PFICI_07972 MASIRRADPPLQDSVFKMFDMTGKVTIITGGSGGIGYEVARALA EAGSNVALWYHSSSTAQKQAETIENDFKVKCRAYQCDVSNFDQVSAVVDTVVKDFGKL DVMVANAGKPSRAAGLDDKLEDYHRIVDIDLHGAFYCARAAGEIFRKQGSGNLIFTGS MSGHIANVPQLQACYNACKAGVIHLAKSLAVEWAGFARVNCVSPGYINTPISDDCPSS LKEEWYKRTPMQRDADPRELKGVYLYLASDASTFTTGSDIIVDGGYTCI PFICI_07973 MIIIEVNSHARLKFPDNQYWLPIQLPLRLVDETALITDDYHVVI ANIPDPDHDDTLQVHNSSAAAIADPFTRLTTAEPYPPGDRAQEHQTYVEDARSTDRSS YMSLETIIETDTGTREPFNIPNALRTQALQTERVDPDSTATKTTVLNEQEVFYIEHFV KHVAPWIDIDDALCLFAYEVPRRAMNDAALLMAVLAVASKHLSAIASLDASISDSYYQ RSIEALTPTLRLPGISNNDNIMATTVLLRFWEEFGEPLTGLDISFHLFGAKVLIDASA DLQQVSPLQVAAQWLGLRQEVRIAFLTNRAVSLDLHRFDKFLDESSPLSPDRDWSYRM FLILARTLNWCFADRDRQIAEYDDLVQQCSAWMLQSPVSFQPIFKSDNPESHPWPKLR FLNASVVFGLQHWYLTRIVLLSYNPRAVLFGPQRQQYMSQVNAEIVDALRYICSIGHS NQQFHNATVIASLALSMCGERFGNAQERDAAEGILDIARVVYGVPTLATIEQLRRQWL PFICI_07974 MSDDGPFGPVVNGTMIVFYEYRPNKPAALSFMALFALATLGHLV YFFRLRAWYFIPFLLGGIAEVFGYYGRYMASDEPTKVGPFIMQNLLILAAAPMLAATI YMSTGRIILALDAREFTLISPRWLTKLYVLIDIGAVVTQLIGSILPASGSESAIALSR KIILAGLITQFSALAFFIVQCLIVHRGIKKNPTSVVLAITSVNWEIHFWMAELVIVLT IVRSVVRAIEYLQGDGGFVISHEIFIYVFDAAIMWSVMMIYLIIHPGRLIRDARRLKN HECTSGEHVPLDSRA PFICI_07975 MSEKTDDSSPAANTTRPRKSHRKSRNGCRNCKRRKVKCDEVKPE CTNCLRFGLPCDFKGTAIHASSSGSVTSGNQQTRSQDTAIPSRRRGRGRPRRDWLQEF TEANALSAVPKAGVPEKREAIHDPYTLNTANIELMLHFTAYTSGSLSGTMSRKDKITN FWAFNVPKIGISHHFVLNLVFAVSSYHLAYLEASDNAQRRDYTRQAEYHFEHGLAAFT QALANANESNCGALDVSATMVCYCTFAAGPKGPNDLLICRVEDQIAHNWWPVIKGLRL IREIFDADILFSGLMSPLGPSESPEVPDSRAQCLREDFPRVDWEKHIGELGDLVKSSS SSHRDVYLKEYDILAAIYEGTYGDENGEYHGPGYNRMVFAWMYRMDDAFVDRVREKDP IPLLLLAHFAQLLTTLKKCWFMDGWAEHLLENTSRMLDKEHRKWVEWPRIQVGLPP PFICI_07976 MPQYDQYTGFQVKSPETWTEFHKNEFDVKPFGDYDVEIKIEACG VCSSDVHTVTGGWGDQHFPLAVGHEIVGKALRVGPKVTLIKAGQRVGVGAQSWSCLEC RQCKNDNETYCAKQMDTFGAVWPETGIVTQGGYSSHVRTHEHWVFPIPDAIPSELAAP MMCAGITMYSPLVRNGAGPGKKVAIVGLGGLGHFGVLFAKALGAETWVISRTHSKEED AKKMGADGFLATADKGWNEPHKLTFDLIVSTANAFGEEFDLSAYLSILDIHGKWISVG LPENETLQIKPQDLISNGVLIGSSHLGSRREMLEMLKLSADKGIKSWVETVPISAENL GKTMQRLQKNDVRYRFTMVDYDKQFGA PFICI_07977 MPHATHPSSDEDYANARLRLVGTRVVEPSENIRDNTYEGADGLI RKRPLRDVPEFCKNEAATTFELFYDLWFVANLSVFSSVHEISTLKSLQSFIGYYVLLW TTWLITTLYDVRFTSDSVLERCCKAVHLGVMVGFAEIGTAFDPDHQIQAIFRAMSFFL MFSRLALTLQYGLVAWQIRKYAVGSRHMLLTAATHFVAAAIYCGISFRYAEGKNSRVY IVWYIVGITEMALHLSWSQMSEILTFVGTHMGERLNLLTLIVLGEGAIILAKNVTLVV KDTYLKDAKLVYWSSSLIGIVTSSAALIYIIFQLYFDWMHEEDSMSTRHQVWWASLHL PFHIALVLLLEGSNQFVIWARVQESVAVAINKLISVTEKLPDEPTSAQVSQALGDVVK PFITKYQPADVLEAWQGVNKSLSDIAELPNSFWTEDSAADDADYEHWLNELQELLYTM VNAVYNAFGIEAEVETETSATEDHGEYVQTQATHAIGLRFSLVFVYAFACAGIVLMFL TIMHIISKRKGWTIFNVARTAICLALATGLSLVPLLTTNETYMETFMGSSWMLPSILL SYFTVLVLTHVPHPPVLGTVGRVVGRGTYREVEEQKVDLKSNLALHDMAPRGIVVEHE NGTHTKVHHHLHTQVVETPGDYLSRGEMEMPQSPEQGGFLNAHRASYMSTGSDFDDVI DYEAHNGNHRDTDLEDWERRR PFICI_07978 MPVQLLPASAAAFAPRASSVNVVLGSKVEPWLTQTLKRVNKVKR PLNSVPQHQRCLTDILSSPNAIWTLTSIMLPKLPEAELQTDSNPLLEAIRNFQLLHIE AYIVHVDMVLRNEVAYKLTPDSITALIEYHKEVHCVDAKAATYDWSEKDQQAKKLHED FVQAINRFVFRTHVSALEGLEEEGAGELLCGKSEDVKNNILALMKPLLPPPPRIVDVV RQPPLLPSSPAAASIWSQSTPSPAAVPAPVDSWRVLPSSPSVTSTSSDSTPIWANMAM SEVQIPSPTPPFSQPYTTAGFFYSSPMVSAPIPALPLPSMLTAHCGINTGFGGFGWDR YQEYATTM PFICI_07979 MAPAQRFHGYPKAREGPKIPFNGTEEQVPVLRGWLLVVFANLIT RSSWLAKSTWRDANFGSAKDLPGLEEYDWQLQPIVTPLATSDSPSKPGITSDLALRQP EDRAGRFYSAADYHELYKSGKLTPLQVAEALLPLIARGQNPPAKYESATAVTQEETVL RAAKASTERYAKGEQLSVLDGVPFGVKDDLDVEGYVSHKGLGPQEGHPFFKPAKETIW PVKQLEAAGAIMVSTFAMHELGSDVSGCNPRWGTPVNWNNTSYYPGASSSGNGSALSA GLVPLAVGTDAGGSIRIPSAFCGQYGLKTTLHRVYTMKSSVCIIGPMASNVSDLTIAF RLMSASNPDDPIQAAFAPSIPPSPSAKKYIGIDHDWFNCAAPQVLEVTKKAISYLTDK LNYELVDISIPYLKEGQAAHSAWALVESVDHQRTRVPKWYNSDPLSMVNHPNKCMQTM GALTSGVDMVKSGQLRRLHMEHLAFLYQKYPGLLILTPTVPDAGWKIHPGDEAYGFID GPKTFRTMMYIWLANSTGCPAITAPVGYAEPEQGEGRLSIGLMATGEWGAEEQLLSFA KDAEVYLNEVYEGGRNRSKDWADVIGLAIEKENGKN PFICI_07980 MAKRVQRLLSEAMILGAPEENFLSKNIEEKNGKETQGEIDEDDN ETAEDSDEPAAVVNEEVAVETGATSSGEKDLDDLMNEDF PFICI_07981 MKSISAAAVAGLVATTSFIREAVACDTCYGPTNHVEHVRHVKRM QPGVANASYGPTRELEWGQLNFLHTTDTHGWLEGHLKEGNYGADWGDFVSFSTYMKQK AGELGVDLLLVDTGDLHDGAGLSDVTTPDAAITNILFEKIDYDLLTIGNHELYLAEVA YQTFTQLSKFWGDKYLTSNVQILNNVTNEWEYIGQQYKYFTTANGVRIMAFGVLFDFT GNVNISQVTPAETLVTEQWFLDAVNFTEPVDLFVVLGHNPARVGDDTGSTFGTIHDAI RSVHTNTPIQFFGGHSHIRDFAVLDESSTSIESGRYCETLGWLSMSGFSKCSSGWKGS ANPKGVSNPSRKATTNSTSPYKYSRRYLDWNRATFDFHAVGGVASFDTASGENVTSAI TTYREQLNLGQVYGCAPQSYCMSCAEFNSTDNIYPLLSDALATSVVNETRQDIPRYII ANTGSIRFDLYKGPFTYDDFFIVSPFTDIFMYVPEVPCSLASTVLDGLNNAGANEKRN FGYMPVERDICVNPTTSPLVSRDMEAHVHVNSAITRRQVVDLVAGYTTTDDFGTDGDD TAHSSIPYYEVPVFFQGEGGFGDEGCTDTADLIFLDFIQSDVLAILGSDYSDSDVAYY INSTFSTRDYLPLFVEQSDIFQSGIDNCTTSS PFICI_07982 MSDKKGDGANAKKHARSISQDDVLKHPSTKRAKEIDGPENPHNE LLERIAKVNPEDSKPGKILHWFRSKDLRAEDNRALHAASLKAKEGKDSLITCFLFSPE DLEWHGTSPARSDFMLETIKLLQRQLTDLHIPLVILEAEKRGDKGSKVLELVKEQEVS HIYANIEYEVDELRRDLDFFDRLEGQQFVLHHDQTVIEPGKLRTGSGGPLQVFTPYHK AWLAELSSDPELLDTLPLPQANDKSASSDLKKLFDQPLPKVPESKKFDSEEDRKRIRK LWPAGYEAGMKRLREFFDKKVKTYAQNRSNPAADNSSRLSAYFAAGVISVREALSVAR ESNDGSADFSQSGAEAGVASWVREVVFREFYRHMMVVKPHNSMNLPQNLKFDFVQWED DEAGWEKWCAGTTGVPFVDAGMRQLRAEAYMHNRLRMNVSSYLSRSLLIDYRRGERWF VENLIDWDLCNNTQGWEPSYTVFNPVVQAEKCDPHGDYIRKWVPELKNVEGKAIFDPY HRLDRDEFEKLGYPEPHVDFKESKERCIQRYKQDMADADP PFICI_07983 MTSRMEFTDRGKKALEDAMALAEQYAHSQLMPVHLAVSLLTPPP DLSKDQQNAPPGGASSSLFRQVVERAHGDPQLFERALQKRLVRLPSQDPPPENVSMSP SFSTVLRKAGELQKTQKDSYVAVDHLITALAEDPGVAEALKEANIPKAKLVQDAIQTI RGTKRVDSKTADTEEEHENLAKFTIDMTAMAREGKIDPVIGREEEIRRVVRILSRRTK NNPVLIGEPGVGKTTVVEGLAQRIVNADVPDNLAACKLLSLDVGALVAGSKYRGEFEE RMKGVLKEISESKEMIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIGAT TLAEYRKYIEKDAAFERRFQQVIVKEPSIPETVSILRGLKEKYEVHHGVSIADSAIVA SATLAARYLTSRRLPDSAVDLIDEAAAAVRVARESQPEIIDSLERKLRQLKIEIHALS REKDEASKARLQQAKQDAENVEEELRPLREKYESERRRGKDIQEAKVKLDQLKVKAED AARMGDHARAADLQYYAIPEQETAIKQLEKEKAIADAALNQNDIGGSMVTDIVGPEQI NEIVSRWTGIPVTRLKTTEKEKLIKMEQALGKIVVGQKEAVNAVSNAIRLQRSGLSNP NQPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHALSRMIGAPPGY VGHDAGGQLTEALRRKPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRVVDARN CIVVMTSNLGAEFLARPAGRDGKIDATTRELVNNALRNYFLPEFLNRISSVVIFNRLT RKEIRNIVDLRISEIQKRLYDNERNVRIQVSDEAKDYLGNAGYSPAYGARPLARLIEK EVLNRMAVLILRGAIQDGETARVVLVDNKIQVLPNHTDSELGDEDMLIDDDDAVEDIV PDDMDEDIYND PFICI_07984 MNVNNSLRPLGALTAQLGRLSVGLSRTTIRAASTIETSPNAVEV DAKEAEKKARKAAKKAEKKQKPRIEPNFEPGHGEKIWLFSHLVDGLTVYSHSPVLKAN KALRQLPFNGKKLKPSKMRKDYWRPMALIQFPEGMGHIGRSVFHIMREFRMQHDLAWS DKYLVHAKSHRTLTRLERGERLNTAQKPNAIADMAAVLGGLGRGNKMWLPVVEGVTDL VETSGATRTNEESGSTEALLRTTVFWADKLDKNFAQEWPANVSHYELSEAVGVPVTGT EEVTEIAPEELLASEEQPKKKSWFGA PFICI_07985 MSSKPIFLATHPRACSTAFERVFMTRRDILECAHEPFGDAFYYG PERLSTRYDDEVSRIKSGFSKTTYRDVLNRLERDGDHGKRVFIKDMAYYLMAPDGKPT TVASSLSNDQANSNTTNGVEKIITNGHTKGVGTTEPGNPTVLPLDVMKKYHFAFLIRH PRRGIPSFYRCTIPPLDDVTGFKNFMSNEAGYLELRVLFDYLREQGVVGPRMAEGAEM PAVNGHANGTNGYTNGHTNGHTNGEVNGHANGQTNGHVNGHSKQDQITVTVLDADDLL DHPQEIVQAFCKETGIPFTPDMLNWDNPDDQQYVEEAFSKWIGFHNDAIKSKNLSPRT HAHKVATEEAEDQEWIEKYGEEGQKVIRECVNENIAHYEYLKQFAIKV PFICI_07986 MFSYVIGLFAVLLLYNPLVQYLSPQPARIPRTPRPAINESLLAI DSPGDTVPVCDADAYAVHILSKAPLVVYIENFVSADERRHLLELSEPLFAPSTTTSDG SHTHQNTSIRDSSVALLPRDDTVRCIESRALSLQGWRNDLWIERLRTQKYVEGGHYVH HFDWGSGARGWGRASSMMVWVAAEDLEGGGTEFPLLSRRGPDDKWCQWIECAAGPDGG QGAAADNEEDTEKRGLEDQVGLTFKPIPGNAVFWENFMPDGTGRGWKETWHAGLPVKK GIKVGLNIWSWGRLD PFICI_07987 MRQRTTFFHHNDDAIDPLALKVSGRSITGPNIKAVREDRFTFGL EELPHELQQLLEITPELHLRWIPSTTYDTLASGPWTSRLPAGLHVFYTPQEAENSSDP ICVFLHNLVPFENCSESLRYFSRLPNDRFSHSTAYQAYLPLDDLGSFSEYASQYLCSA ADDDCKRHIDDLKSAKSLDFSYDTISHVVKITVLWGFEEQPLDVTGHSDHRVEVGLLT PNHPEHLEDYELGVAGLLTVLGEDKKPSPVMFSFPTRHKASTATFNSSFSSPLGLHPT LQLSIESSQPPMADTFCSLHAYFTLPNTIFADKYQFDDSLFLSSKNLTALRYISQPVD LEAPSYVMKLWGSSALLELKPPETGEGFTADIPLHLRYQAPRSGGEASFNLPYPAVFW TCAAEEGTKFPTNPFDRINLGYDGLFGPRTLFWHLNPAPQNGSLMLNGSVPVLDLDKA YWVSTFTGTAVLIGFAWVVWKLLAVFGRSGYGQPTKAEAAKKIQ PFICI_07988 MAALTPAQLELASSLAQDELPSKLKCANCNKLAVNAFRLPCCET AICETCQSTLPSSCPVCEHSPVSGDDCTVYKSLRTTIRVFLKTEEKKREAARPKSNDS PPLTPVEPTPTPTPTVAKLPAESTLDGNTTSAPPAGSEGSTEQAASATGETIPDAENH QSNEDEPQQVGMKNETLARGRSSHSQVDESLPQPKDQDANNAEDEAVEPQAPSASDQQ DETTNGDDMEGQDSSKQNDDDYEQESGDYSNGAGFDGTGMNPMQMQMMMMQNSMNPSF GGFPMMGMGMGMDPMAIQNVMMSGGFGAQGMNMNGMNMNMGMNGFNGGSNDWNDQQSW QDNFNPNATGMANGDFGNFNSGFQGGYNAGNYGQFNGQGNYQYRGRGGRGRGWRGGYG RGGYNQGYQRHYGQQQMWSQDIAQHPSNNFMSQEGAGGEDDGAQKPGNTDEFGRTVPA NGEPDAAGQDPHGTEGTDNVSGEDHGESGIANGDVSTDSYSRPQGFSYGAGAPAAPNA PLNAPTGPKAMRQGLPNTSTLHLRARGFIQDHRPAITDSPVVESPIQDERPRSRSSSR HSQHTRDKTDRLSDQDREDYGNDRDEKRSRGNDRDHGRSHSRSRNRSHSQSRDRDHKD SQRNRRHQSEAITEGNRDDDSRKHRHKSRRSTRDDDYKSRPKDDKYEERSRSGSPDRD REHRRSGHRSHREKERDSDREYRKKSSHRSRHEYERERERDRDRPRDRDRDRDRERER DRDRDRDRDRDRKERRRDRDRDRDRDGEHRHRSSRKGSREPSVSTDMAAKDFNPPSGP RGSSSSIAAKGSSSMAEFSIKGASAKTKSSSHRRDSEISTSRRGSHASTAAASKGAPA APAKDPHTLEREARDRERLLKEAQRMAGLAGLAGLKRSRDAGDDRGGRRKNRRGESAS ESDEQRMRRLEAEREGDRWD PFICI_07989 MAMHDATSLASELLNKLSELEQKVESHRQDMACEFQRYSRNLLQ NVPNDLSARVEKTIKDSMHQYPALQPALNLDNPFRNESPRKTHMDNDNGHSNTTTATD THSPPQDKKSTKPSPPPPLLPHKTPAPSSSDNDNTPRSPHEREREFQGLFTPSYLPLL DGRENDNNRLPPAPAPSLPATLLPSPNQSNELTNVSAKDEKTAVGLTVAITRPDPVRN PTEETISSVASDDSSSKQHRRSALRRSSSSSAKAPSPRRVRFEVEGGEVLPTASPLMS PRVIEHMQSPLSNTTNILSSSYDSDAPESVDDGASLLGSSPPQPRKTSSTDKLKAMAR NSSEDTSQWSLVGNLQDMDADEETLVMGSRKGTKTTPGATSTSTGGTGRPNVERISGT VEHVETVEADPNGSEAYDEEEDDDLLEMPALTSFKTKKRFSPPQASISEPDSKKSQDQ ATITKASQNNMRKSQGVSIKPQSVESKQTKSQSNTSMQSATLVEDDELFDWEEDEDAP VDQRSKQGSAQKTSKYLPDVEDEDVDEAVENEPEVDTTTLLSTSPAIPIAKPAPAATS PPSRHFKEAVGSYNGKPFTISSVKDHSILEKAAKMGNVYSFVGSVDGRSGVDESTSYR PEVVPFNGTPRSFSQRLMMEEFEESRRRSPRNGANE PFICI_07990 MHFSTFLIAALPVAALAMPAADPQVLTFPGSSNNQPGPGNPGGP GNGGGHNSPHPTTTSTTKPGNGGHGPSPTPTKTSSKTTSTSTTISTTSTTSTSSSSTS TSTSTSSSTSTSTSTSTTLTSSTTSTSSTSTTSTTSASTPTSSAPAGVTIKGISYAGS GCNAGSVAGAISSDAQTITVLYDSFIAQAGPGITPAEARKNCQLNVQVELPQGWQFSV FKADYRGYAFLQDGDKGVIKATYYFSGDSTQIASELDLAGAYDDNYLKTDEFGLESTV WSPCGEEGLLNVNSEVRVTPLTTTNTALLTVDSTDLSFETVHYLQWQTC PFICI_07991 MDHDKNIDVTPSFDHHAWYDLDQDKYHTISCCRRRRGKFSHGKG IISSLASRSWFSGLAGI PFICI_07992 MAPVPIEHPPSYVPLAVSATHIGLVVYLTYAVGASLYTSYKSLS PSQDTRQRQEWRRKLSPVFAGLAAVALVSAAYSSISYATLSYKVWADERGIQLPSRFV GDGGFFPGTHNSSQVHLAQWLHDTPVYYDAFEIVAEKARRYWWGQQVDLGIIAWSLLL AIEGRRRRIPLVTAFLALAHLVSLSFAQNLFYLALLLTPAPISGDDDLEVPVVPLPTS TWIRIRNAVLPPKPTNWCPHPILFLGAISVNFTSLFVLPYAAETPSFVKVLLITRLST FLPVILPKVAPVSWGTVHPHPHDAFSSYTTLFRLISLASFALHDKATFFGLRYNMPDS YYHRHSRFLPWDLEKRSMWEQSTTALGKILGSTIDHPVVAAVGWDVLLSALSLGFWSA VRATDVQGIIASTFPYLAKSDPKGAQRSSLSTLSSIKEEDNFPESPLAESTPALRQRG RPKSRGTSVASIASSDAATDGQASATTKRRGRPKKNMAHEDKTYEPEPAVAREISEGD ILPPPDELDWESAALGWGMAAFGGLGFAEAGVFGAECTAR PFICI_07993 MYHLAKGLYRLATSKEEYSVILLGLDNAGKTTFHEQTKSLFMPE HPDPKLKTVPTVGQNVSTLTLPDMYLKIWDVGGQLSLRKLWQSYYASCHAIIFIIDST DIGDGLLPFGSAPDNAEGGSGVGKETLGRLDECRLVLEDVLQHTDADGVPLLILANKQ DREDCVEVVRIKEGLVKKVFEGEKSSGVRDSRVLPVSALTGTGVSEAIDWVRSRVKWN KESRPPVMR PFICI_07994 MPREAEPSLNEKAFLVQALQEGHRLDGRGFEQFRKLELNFGDQY GVADVTLGKTRVLAKVSAEVTAPYPDRPFDGIFAISSELSPMASPAFEVNRPTETEVL LSRLLEKTVRRSNALDTESLCLVAGQKVWSIRVDLHVLSHDGNLIDVSCLAVVAALRH FRKPDTSIEGETLTVYTPAEREPVPLSWLHTPLCITFSFYGDDGDIVLLDTTLLEEQL RVSSCTISLNKHGEICQVAKLGGTPVEALSLVQCANIAMQQVKVFSNMLDQKLAEDSK RRDKGGLLAELSAENAR PFICI_07995 MTTAHRPTFDPAQGKEALRGPAYHQRLLPAYTQLKFRQPGQGGD ADADEQRDLRAELLAAEAAHFAKKNGTPLPPVGDDAEAVSGGATAKRPMAITADGEDE DPEAKRRRILEETRDIDADSEEDDDDDDDSDDSSDDDSDDEEAELQRELEKIKAERAA KKQKEEEERAAREEDERERDIALGNPLLNKQNFTMKRRWDDDVVFKNQARGTDEKGKK KEFVNDLLRSDFHKRFMDNPLDFTPTSHNTSLFVDEILLIRCNNGQIILVLECSSHLL KGFDFEQGTAIEISERRSGTSFWANGSRTGIQGRLAMNLVLMSKRSDTR PFICI_07996 MFGQMAEPAEVAHPYYPLGVDIPHYAANTLPLPVILGSLVGMLG SAMLATSTLALRFNPSLGKGQLVIFCWFIVCYFVLNHATVASSQNLFAQLWKEYALSD SRYLTSDPFMLSVESITVFLWGPLSFLCAVSIVAASPLRHPLQIIMCMAHLYGVALYY STSLVETHFTGRSHSRPEFLYFWVYYVGFNFPWVVVPAFLMYDSLKTISRKLRSFEQV KIGLKGYEARNGSAKTAVVSKKAEKKEQ PFICI_07997 MSYFEVAISWLFKNFRGRDAKLFITNPAFSFHPTPTISVTSPDC GETNGQLGVEYTFDGAGKFPELSWQAPEQVRANIKEWLLLSEDPDAPLPTPIAHGIYG GIPSSKTTVVASDFEIADEAKASLKGGFHYGVTRRGTPYIAPRPLMNHGPHRYFFFVI GLSEPLDRQMLEAKATREQIAEAIQGKVVGWGQWFGSCERVWA PFICI_07998 MPEESSVAGATRIKRNTACTSCRDAKVRCNPSQNPSQPCQRCLK LNLQCVVDKTHKRVSRKSKLDELVQEIQSIKQSVGDRPTTQQNTALPSTFKDQAMPRI GMPSPDLSRLSVSHSSNHATTTSTGLTPAPTFVIEANVEPSLSRALGNMPFSGPDIDF YFMKYFEHFHPYMPIVRHRDPNKLYETGGVLFWTIIMIACRRYARDPKALSFLTEAVR AEMFSAISKLPLSIHVVNALILVSTWVFPDVRFINDPTSIFSGVIMNAALLLGIHSGK GSHPEYSIGMFQNNFSDEEAHYTWAGYCITAQRQVLSVRLPPVGSIFNQTVQNVIDGN TPFHVSGSFRVLLECQKYANRVSKTMAACLDETRGVSSHLVRHLEDEFDHIRGLICSE RADDLDRWNALLVQLEIQAYYLIPLPGYNAENLKRNVIRAYTTAQTVVQVALELEKSH GFLKHMPHFYFRSLLSAYCIIYKVLRSAYMDFLDRAQAEQAANEVIKSCRQSVVMDAD LPERLGNLLESIWNWAQLVRWHEEPVSIFSHRLSASIVFDCLKRWKSDMDSRPKSQPP PANGTETPAAIMVPDPLANIDWSFMDDFDWNIEPTLLGPGIAPGIAP PFICI_07999 MIKLALLISSLLAWTTLARDVPSNVKSLYDEIKSTGKCSDSLAS GFYSTSDGANSWTYCGDHLDMDGIIYIQGTNGQFTNMDIDCDGKQHGPGNDGRCGYSA DTQSQTSFQEIVAGYERGINDLNPYVHPYVVFGNVGTKSGYTNFDPQSHGIEPLSLMA VVCSDKLIYGIWGDENGDDGDLALVGEASISLATACFGDDMNGNSGHDDNDVLFIAFT GSDAVPGADGADWAAQSYDDFAQSIEALGDKLVERIGATGRNGGYDSGTAPSQTSSSS GARPTAHSGLLGGPHFGKRLPIAIE PFICI_08000 MAPKDKKILFDDSEDESEGGAQIKINDEYAKRFEHNKKREERHR LEEKFGKGQAGGDEDDDDSSDDETEDEDGYLATEELDAQLNATLEALRKKDPRIYDPK TTFYSPIDDSATAPQPKEKKDKPVTLRDYHRERILAGDTGADEEEGTSNTYAQEQSNL KNSILGEIQAQLNGEEKEEEEDEDFLKPKSGEAERIKSELADGMHPSRAAKVKATKGK AAKPLTANDVANADKDPQLYLSNFMAARAWIEPENNNWQAFDSDDEDEEDKADDWEAA YNLRFEDPSKSNEVLKSYARDVAAAKSVRREEKTGRKKKREEEREQKEAEKQERREQR NRLRNLKIEEAESKLKQIKKAAGFAGKKVDEDEWMKLLDGAWDNDKWEQEMAEKFGDE YYAEGEGGSDDEDQKKHKVKKPKWDDDIDIKDLVPDFEDDERPDVALSDVEEADEADD AEEDGEDEDGPARKRQKTTKDRKREKLASQKEARKERSKIEALVDAKMDIDDPLVGSS SSKSEGPKFAYRDTWAESYGLTAKDILMAPSDAALNEYVGLKKLAHFRPADKKAKDKK RLGKKARLRQWRKDTFGPDAEREGPAFVFGGAQGGDEGKSAPDEESNIIDGKSKKRKK RSGRGKASA PFICI_08001 MAEAPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENKEPTIG AAFLTQKCNLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDLTKPTSLVKAK HWVAELQRQASPGIVIALVGNKLDLTSESADAESEESGDARKVSTEEAQTYAEEENLL FFETSAKTGHNVSEVFTAIANAIPETSLKSTRGPGAANAVSRGGEEQRVNLNGPREAV KEGCAC PFICI_08002 MSWDLLQRFLESDVFNQNPFLSVSYLSRYADHVGIHYVLCSKLR QFPYEDIEFFLPQLCHLILSIDNESMALEEFLLDLCEESVTAALLTFWLFQTYLHDLA STPQAQAFKTCRRVYNKVQHIVFGLSDSARSEKIKENILPVTVLASFVLGSIGVPLLP QWAGPLAVAQARRDTPTEGDVISEASQAVKITRSQTVSPQTTRSKRRDTNRVPSTPIT SQEAKVLKEQQQQSPESPRVTLSRPPSSGSPKAATEKPRKPDFIDSKILDARLSSSSL PLPDVRSPKTGTRPATPISAGLPRPGESLSRRHSHHIKTLMTQAEMSSVQKGKLLRQN YFRCQTAFLTALEGISNRLVIVPKQARLSALRAELALITRDLPAEIDIPIICPPTLVG GSPSKSRHHRIVRVNPGESTVLNSAEKVPYLLMVEILRDDFTFDPESPDNQRLITTLL VEQGSRKRLFDLSDAPRTSTSDRALDSSSEKVDSVFEPSSGDLGSSPMVKPIDDETAN KKNGKLPAKPHRVPSGTTTLSNLSELTLTTPRTSATSTSRSSSPGGLRRMTLTNPRNN SVEQPDFGALATHMRTASQMLAQLEATSGKRPKHEVASIRAKIIASMQNLEEQNFDVN DAQGPTFDMIIARTSVANAAAEVAADIEQELEPGLNESAGQARMENDAMTGGVRRKGD RDDPSAAVFGEAWEQKKERIRKSSPYGWMKNWDLISVIVKTGADLRQEAFACQLIQVC DKIWIDAGVPVWVKLMRILVTGESSGLIETITNGVSLHSLKRSLTLASIESGQNPRRR IATLKDHFVKTFGAVDSEPYKAAVDAFKRSLAAYSMISYILQLKDRHNGNVLIDNEGH IVHIDFGFMLSNSPGSVGFEAAPFKFTYEYLDVLGGVGSPDYEDFKKLCKQAFQALRR SADNIIDLVSMMGRDSKMPCFAVGITTVTATLRQRFQLHLSAEEAENFVETDLVAKSL GSYYTRLYDTFQYRTQGIY PFICI_08003 MTPSDASAEASHELACTSCRNRKLKCDRVKPACGRCSRIKGECV YPESRRKPAFKRRNVRELEARLAQVEGLLKQNGGGDKGSSSDEQVDNTLNFDFSDFVP STEDVFLQGMDYTFPSGPVEGDSFLQSQNDPSVPLAASAGASQPTGDAFSGELLGLGM FEALPPFEVTEELQIFFQRQQHFIPVIHPARYMQAYYSAPHMKPPMCLQYAIWALASN GHPKYGHLHDVFYQRARQYAEADELKGHGEHFITVHHAQAWSLITTDEAKSMLFTRAA MSSARAVRLANMLGLHHLDTPNDVSSPTLMPPKDWAELEERRRTFWGIFCLDSHCAIS TGWPHLVDPSEVTTHLPSSETAFFSGEPVTTCTLEDAFKGSSYSSFAGAILVCHLFNM ILKHVHRPKPDDDPQNHEYGEYWKRHREIDNAISSAFMFLPEHFRLPENYGDPTAVHT NLNYHAALICLHLAALEKIEKYQIPIFAKRASETRLFTAAQEIVNIVKMTSHMKTNPR SPMAAISLYCAAMVFIYQCKDAPTPQRIDNLDFIISAMDAIGREHVITRAFLKQLLLD LERNNITHITRVPKLDHLPDIIAIGNHNIPLLTRSQFSSHSKPQPPLPGRLPLGNPQG NLNAYKMTECGIGFGYKIKDGCQATNNTNADETTANKRKRSAPSDDSGASASTSGSGP SDGHHDLWGHNNSSSSDMTPSSASTTSESAPLPQAQQGGGRPNNSFASFDKTPWAGLA RTITNTLPVRTGSPAAATSSPHPGISPSAAVGGVGFGTGANAQNAAAATPNIPQIGRP GLDNAGVCMYAQFSNTSNLANAGKNAAMDSWPMAGSTSGLDWNAIASSVGLNMPTSFP GVLNPSLLRDDGNGNGSSAGANANPG PFICI_08004 MSTLTMPIPHHHTGFHREFSWQEARSTDFSRPRHEPEKIALPSI RQAFPELSERIEQGGSARTPSVTSPTSGLTGALTPPEYIHTAVQSKRRRLSFDDERDG ERPSQVPRLYASPSQVASRHQSPPLVSRPAPDMWPSSRRSSPYMKTAALSSVPSPRPM EMRERMEPRLSLPSLPPMDFERNAAVNRMRSMSRDEYVQEPVRQGMPPHPHMAVPPME VPAPVYRAPSYDYAYHHPTRVQSLSLGSIHPMDRTPFAPGAYGHPFQETYMRIGELGM GLGGDNKQRKRRGNLPKETTDKLRAWFVAHLHHPYPTEDEKQDLMRQTGLQMNQISNW FINARRRQLPTMVNNARAESEAMASRGSDGKILPSTERSEYDQDGKRASVPLSDGEGS TYDDVDMDHLSRRRSVNMKRGSV PFICI_08005 MADSSYVTIRSRSRSRRDNDHDSTYGSSAGSHRTPAAATHDTSR VNYHVKHVSDFAKSLEDSAARAFPNRGRTQRYKKVQALLLHWRTDDLFVLPELEDLDR CFRDDYSFETDIFSIPSDNPHLELMMRVGQMIKEHESNDTLFVVYYGGHARIDESRQS TWCANRSPDSPWLQWSAIQTLLERSLSDVLILLDCCAGAASATFPNGTSITETISASS WDAIAPDPGRYSFTSALLEVMSNWKFRTYSAAMLHAEILARLKHPRPVMLNGRNFEAR STPVHFMMTSNHRAPSIEIARLSSDDRMTPPIPPEADDNVSQIATGRSGITQDVVNSE PNEDVPHVMISLALEENQNLDVNEWEEWLGAFPAIAKYVKVQGVFKSHSTLLLLSVPV MVWNLLPDNMACNFVAFIRSNNLATQSQGAARSTTAGDAAASKSMPPATLGEPKHPTS TDPGPTPSSNPESARSSNTALHLPQSNTSSTAPSRIIGRRQTAPTLGAMTDTPSDNIA KQPIRNQNRSSDMNIPMASKSIQKRHELAPHVQARLEVFFEGDQAPKAEVIEFLASNM GVETTDIHAWFAHRREKQQVHNKLVSLRLDDQRQASSRKGTRMILAGHLNNLLEIVPL AQILLIDLRSTADYDKSHIHGAINLRAPASFIHRATLPMIEKTLKDEMSRETFDNFPN CECVVFYDRRIEYDWECPTARALIHKFRVDGWLGQAFILKGPYREFSDSFDKYITGQK MTGNAKKYLESLQERSLQKSNDHQDEYNEWLTLLENEDRVPPTNLAPAAKAQRIDAII SHQKDLEDDLKRHHSALYRKALELNPDVNDNIIASMVEPLASAIEQISGGGRGGGGPG NVSSYHQPEKLLRETYNTRDHDPTDSDDELRARDGATQKTDVKTGPEQASTESVKERI RSIWKTRSVR PFICI_08006 MFDIDLGLARPDDDANEKKIISVLNDLVHDRIDAVTAARTIDEI ITEDCQKTLVAYNSASKEQKKNGTVSGPSPQGWQHYLYDCLATAAMKVPAAHPGQDRL VNLIDQLGRLPRHKVPALYSDSEQIVEKELWVLNRENHYDGFGQWMWERHEGTFVGWR QVEMDPDAADAYLNFSAFLARLLSGGVAALLGLSALVLPFIRRNITVAASHEPHKFEP YVAAAAQWILYSGKVLYTMCEIKVMASVRWKKELWDRIKTQFDTINGDGRFCTETRDW AAQAADFMRKVEETGSVRETDAFQRHHFLSLEEEQEGSADE PFICI_08007 MALLVGLLDKLRAQSQNQLAVVVVIIFALVLRFILRLRPSLPSN APELYKGHNAVFGLHPFSSSRADYLERGVKQSSNGHFSFWYGGNHIVVLSGEAARTSF LTARGLDPSAGFMALFGAFLNVDELTSANTRKSSLVYKRCAQEDHLSTNLHHLVTDSD NALRKIGVAGVIDPLKFIGSLIYQLTHRMAGAHDIASDPQLLQKTLNVYGPLEDSPYI DVLFPWLPTPSKLGKMVGYARLHFTIQGIVKKRRAGAKRDTDMLQKTIDEGFSDGMIS LIVIGAILAGVFNTTLATTWNLCCLAKSPAWLAKVKKEVNDTIDRHRLSDSEPLLDVF QRFSLKEWETQFPILQLTIKESIRFTMAGAVVRKNISGKDMSIGGTHQVVPNNSLAIH ATADAHMSEGIYTEPLKWDPDRFSGARAEGVNVPHSYLGWGSGNHPCRNISNFAELNV VVANVLFIASYDFHMCDKTGRKIDDPLPDLIYNRMGAGRPRAEMYMKCQARS PFICI_08008 MSSGPLYLGFDLSTQQLKAIVVSSDLKVVSEAKVDFDADFAAKY GIRKGVLRNEDEGEVYAPVVMWLEALDLVLSRLVEKKCPVENIRGISGSCQQHGSVFW NAHAEGALGALDGGKTLHEQLNHVFAYEFGPNWQDHSTQKECDLFDSHLGNAEKLAEV TGSSAHHRFTGTQILRMRRRRPQVYSETARISLVSSFLASVLLGTIAPLDIGDVTGMN LWDIPNHKWDESLLELTAGSKDAVPELRQKLGEARQDGGGSMGAISSYFVTKYGFSKA CQVAPFTGDNPATIMALPLRPLDAIVSLGTSTTFLMVTPTYKPDPSYHFMNHPANPGQ YMFMLCYKNGGLAREIVRDTLEKPSDATDPWATFNKNVLDTPALGVTPDSGDRAKLGL YFPLPEIVPNIKAGTWRYTSKQDGSDLHEETQGWDSSLDARAIVESQALSMRLRSQNL VSGHGKLPAQPRRIYLVGGGSLNPAIQRVIGDVLGGVDGVYKLDVGGNACALGGAYKA VWALERSEGESFDELIGKRWTEKGAIERVGQGYREGVFEKYGDVLGAFGEMESKILQV AHN PFICI_08009 MSSTQTRSHGGHHHHHHHHDNVYLTSQNKNDAGVRITRLGLYSN LGMAIAKGVGGYAFNSKAMIADAIHSVTDLASDILTLATISWSIKPPTDNFPTGFGKI ESLGSLGVSSMLFFGGLWMGYGSLLTLYGHFFLDPAAAAELLEHAHSHGHSHDHGTDI VPSMHAAWLAAGTVAIKEWLYHATMKVAKERKSSVLASNAVHHRIDSLTGIVTLAVIL GANFLENAAWLDPVGGLLISLMVVKAGAENTFAALFELADRGIDDEVKTSVRKHLRRT FSDIPDGHQIELRDVSGIKSGQNYLVDLEVAVPNTWTVEQTRDAEEQIRTRVGSKVRG ARRVRVRFVPKAAAVAPKFDEFISGDVSPRSSPEPEDDDHNHGNGHHKSH PFICI_08010 MLRKPYMRIPYTDVLAPPTVTPKSATTIAGAVSALSEFFTAPTS RGNPASTLVLTGAGVSVASGLADYRGTNGTYRVNKTYRPIYYSEFIQNHEARKRYWAR SFLGWTTLHKAKPNAGHYAVKQLGDLGFVQQVITQNVDSFHSIAHPNISTIELHGYLR ALVCVSCRHELPRDVFQDELARLNPAWAAFLQEIIATGALDTENPQERTKRGMRTNPD GDVDVPDAPYTTFRYPACPRCLAHPPAKVEGGKSTVEVDADGAWKPTSNAGILKPAVV MFGESIPTQIKTEADHAVESADKLLVLGTSLATYSAWRLAKRAKDRGIPVGIVNLGGV RGEEALCADLDPLQNGTRGFRVEMSTDLLLPEVVKELKQSSDFHHAHESIHHKVEDHG ASVFKDMMS PFICI_08011 MEEILYRRAVQASLNARGAMLFPRARHAAVLPAVRRYSSMPTVA QPSFWRSLIPKPWRPKEKRPDVNFGPPKTLKKPKKDWNPATFYIVIFLFIGSMSIQMI SLKKDFNTHMRRAETKIGILREVVEKLQRGEEVDVEKALGTGDAEQEKEWENVLREIE QDDGIRNSKKGDRSKQPPSTSTEPTPASKDQQGTAAANTKTSTNTFTGFY PFICI_08012 MAARGKAATSAKRPAQTKTTDARSPKEEATLATQVINETLKSLG EAAKTPTSQNNNRQTKPAERGALRRSNSTPMTPLQPRSLNRVSTSPSVTKPALPVAGS GCLATVERARAAFATLRTLSSAGKVTLPELQLESGMSSFINKLMALNLFEHAFKELRL LKRRLEGRTLGATSKSSKATAADATTAKALTDLFDYPESSASGAVLALIISSQLQALR LLHGMKKSRLLEDVLPFLAVSSPSSPLSLLLSSAKEEKSDSSKCARQLDSLAHILLSL TPSVSSQEDALATDPKLSPSAEAAFRAQALALLTRLHSWALSGQKGSVDDDILLPLSK CLLAFSRRSTSGPADKLNTINSVFNQLWEKTESMGLKPSQVSKSPLPTIYQVLGTASR EAGKLREAAAWMKKLKEITTPEQDSPARCCAVTSQFITLLLKQSPPTADLDLLTEVID GLQGSLSGSSTELDDLLVSICQLRSAAINLALGNSKLGKVPVPLRDSLESLILQLPRF TSRWLGKPPASSNSAKDMVRFEQRRQLLSRYIPTVLDSALVLIKDLLDRKKMPWALMD SVLQEILAVLDNMGDLAVLLSKSNATAASYHVKVSSFYYQQHVILREEKDNHFLRALR RSIDAVKQRPEAEQTKAQLQLKQERLAELYRSTGRKEDAADALRAARDSLVQEGITQQ VFEALSHQTVLQAWASSDKTVSLSRSVCSLAKLDVTPSDWTGLLPDAQKSLALEHDFY FIYLVDSKRRKQLAPGEPFIESLLGAFDRQIHPIRRLRILLQLLSTNLDSRDAVRVWM EEAEALSEAIDNTSCEQDSGLGRYIPHLKSLTACISALMHCEPGAAAVEQALQTWNEA VQRSQSAGDINEYIDSPTQLITVLQGLADFARMRGMSSLLGSTLKLSTAVSRMVCEAN PELFMSQIVALSLQHLSLGHSQKAAELLKTGQEFLAQRTLSPDSMANYHLCSAEYEMS IGNFERAEQCLSQAHTFATAQASEKPTKSSRFTSKMLVAYASFLHSLLALERGQSHHA LHNAKTAVRVLFHDWTRLEALRSSLPEHNEDASHSHHSQLSEDDASFNNSQCSQLEFA RASTGPEFWAIVYPLFRFVTRLSTIYANIGMYQETIYYAEQALRIALSTESPSHISQS RYWLAHVFMKAGNQDKALELAAQVMESLPTLDPTCAVVDMTCQLSRIYRQASELDTEA YLLAMADSVLNNLEEGSGDKKPLEIETKMEALTIQDKPAPKSSARQTKTRTTAPTRKT TSKKLVPAKQRTLVAAKVPKAPQDVQLTFLRAAMLQHKSSSLLDGKHWEQAVASLETA NELSKLSTDIVQERLLRAMALIGQSLELMGRDSVFSVIQDSTLSFPAVATVSTAKEKA SPRDRSTSPRKGRAASNSVGFLAHLQQAHDCLIEAHSIASLNGDAGLVYRIAALLQNV FILLSTTSPTKSSGIGHPAHATCSIELARNLVWRRERKTLRVDHNKSEKAEWPLLQQA TDPRRSSLGNSMDMTRFQREFVDIIPKSWNVVSISLSDNKRDLCITKLQADHSPFAIR LPLERANSRDADSDVFDFQQGRAEMLEIVKEANRTCHDARDMNNKGAKSAWWAERQAL DERMKALLDDIEHTWLGGFRGIFAQHRRTELLAKFQKSFQNVLDKHLPSRRQVRGKRT KAAATTGKVSLDPRILDLFIGLGDATIPECDLDEPLNDLLYFVVDILQFHGERNAYDE IDFDVMVVETFDALRSYHAAAKNNNHSDEHTHTVLILDKALHIFPWESLPCTQGIAMS RVPSLACLRRSILEQRASPVQCFESSEEAEAGTTRSEASGHHISFHSGTYILNPSADL KSTQATFGKPLATLPPTWSSIETRVPTEAEFEQSLISSDLLLYFGHGSGAQYIRGRTI RKMEKCRAVALLMGCSSASLADVGDFENHGPVWNYMLAGSPAVVGTLWDVTDRDIDRY TGRVFEEWGLMPRGTFAEDGPNIKGKGKAVGKGKGKGGNKAATGSDDDVDQRKSTSLV EAVTKARDACLFRYLTAAAVVVYGIPVYINK PFICI_08013 MSTDQIRLQHQSYPDTSRSFPESAIPVANPGSADLNGFAFGVTE NGLADSTRPQSMMEAPDFPDFSFPYHGLSEGSHMMGLEDHGPADLFSNTGAGSISSMG DRRNSVASGSNFAVNEQAVKSQPQDGSEQESPGSNQHGVNSLEDNMSDEFGFSNNLQG EGQDHGHARDSKSDATPAWSELKTKAGKERKRLPLACIVCRRKKIRCSGEKPACKHCL RARIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEDRILKMVPKSEQDPSAANVVRAQVK PQLPSSATASKQSAKKRAADEAFGADFDNWARQPPKAKPTATATTEDSNKPTSLQVQE QEDGKLLVEGRDVLPTKEIQVHLADIFFENIYGQAYHLLHKPSYMRKLKTDALPPVLV LSVCAISARFSNHPVFAGKPHFLRGEEWAVAAREIVTRRYDWPNITILTCLLILGLHE FGTCQGGRSWALGGMAIRMAFALQLHKDLEYDPTGTNPATQLSFIDREIRRRTMWACF LMDRFNSSGTDRPMFIKEDTLRIQLPIKEKLFQLDMPGPTEDLDGSVPHPVPKDQGQL SSAKDNMGVAAYMIRSIALWGKIISFLNQGGKEADKHPMWDSESRYSALLKQAEDFSP GLPDGLKYTSENLHLHDTEGMANQFLFLHISIQQNILFMNRFAVSSPSGLSQSDVPKT FVTKAGAKAFAAANKISELLRDSDSYLIAAPFVGYCAFLSSTVHIFGIFSGNAAVEQS SKKNLATNVRFLQKMKRHWGMFHFMSENLREQYRSCADAAQKGSLPTDKATASPIFQY GDWFDRYPHGVSQSDFLDPAGNKKKETGDDAVLGQKPDVYTVEEFFNKLSPPQSQEGR DPASGPARPSAAKRRSFAATVSKKGSLAARGDSSQQLEPLLTDLPSSIPEHVQQRIQA QRQQYAARLKTQTSDPSSLHLELPHDRRNSQHFTGAAAPNAVYHAMSPISPVTHGLGH PFAGHHAGAHPGNHPGFYGPDLVALGLPNNTGLLPQLDRQMVFNAYGGMDPTANISGP EGMTDWDELASSSRMNGGASGPSRDGVSDGLPTNFTQPSSAWFMPFNMEAHELGQEMS SAGLGSMDNFGVFSPEGMSTAAPGSGLGMRRGSHR PFICI_08014 MSSPPYQRRGRGRHWTNFELDAVLALICKGHHLKGSSLGFATKL NEALNGRGNKSRYDQDIPVDDVRDLLADLEKHHKAALDFIERQPWPHVITRKKKLVFL RSLDFGGSKDEFLDERCDEWLDARRDGEQQQAMGKQMTADLDHGQDSAQYFGQDNHSN NPWMPSTAADGNNNNKSGEDHWYSSRGN PFICI_08015 MARLLIKTLTTLLLFHSCSTFNLYPPVNSDKLSKALNISTECFA ALHVPWILHFTPNARRLTLYSSNQSLPDCDQTLFGMVGDFENFWWEEDNVTTLCNGNC PQATSDWRLNVFDACYGEYISAYGKLVPANSVSDRFYDSLNIACLPSGSDDFSWCLTE SQQWVGSDIVRPDCNANPSAPSCGGNVSAIPDSSQRMANLYGDDILCNQCFIEMLYSR VTSSLLSNEDHSDYLVDQLQDVADICSTQLRDFTVRAVPTYAPAPPVTSIPTTTTSGG TAPAATTCAGQAVNTGSGCDALSTKYRVATGDLLAIINSSTCQISSTVCLPAACTLQR LTGSQTCDTLAASLKVTTVQFLSWNPNIIGLCDSLTVGQYICVSAPGTNSSYALPPPP LGSAANAGNQQRGGAGGVVTPSTTVLGTLTNPASQGSISAPSPTQDGLAKNCNNYASA KAGDTCFDFVKNNGISADKFYSWNPVLGSNGVDCSTKFWANEYYCIGTQTTSSTAPGP TQSGIVSNCAKYAMAQTGDVCSVFASRNGISTTQLYSWNAVLGSSGQNCGTSLWANEY YCVGTSAAAAVTTTKSTTTTTKPAAVTAPGPTQSGISTKCNKYAAAISGDTCGAFAAR NGITTANLYAWNTVLGPNGSQCASSLWANEYYCIGVST PFICI_08016 MLFLLFVAFCFIGALPCRSGNVGIIQPTLIAPRSLDSDSLLINR ALPIGTCNAQTPCENAACCGGKNNNLCGYSPGECGAGNCTSNCDAKAECGQYGKPGQQ KCPLNVCCSKFGFCGSTSEFCDSGCQAGFGGCGSVHRPSCNGNSIAKRTIGYYESWAA TRKCQAVLPEDLNLNGFTHINFAFAFFDPKSYQMAPMDSNSGSLLSRFTALKNTHSGL EAWISVGGWSFTDPGPTRSSFSDMTSSAGNRKKFIDGLISFMEHYGFSGVDLDWEYPQ ADDRGGVTADKANYVSLVKELRAAFGNKYGISMTLPTSYWYLQHFDLPAIQPNVDWFN FMAYDLHGVWDAQSKFLGPNLAPHTNITEIDMGLDLLWRAGVEPSKVVLGLGWYGRSF TLTNPACNTPNGVCQFSGGAKAGPCSDASGILTLQEINDVISSKGVKPVWDKTAGVKW ITWDSNQWISYDDDDTFDQKRKFANSRCLGGSMVWAIDQVNQKADNGLAPAPGVTTQD QQDAKQKSDDLAAGITCYTTDCDQSCKRGTNEVAQMNGQPGKLSTNDRCKPNQYRSLC CDDGTKMGTCQWRGYRGVGLSCMGGCDDGETEVVQDTNSHDKKTGDRTCTGGLQSFCC KGFKPSSSGKSLQGKAVDVGEAAAISAGEQAALDIAAKAFCRIAVPALLAPLEALEAL IPIVGEILDIAEIAATPALIQLCVKGVEKEGKAVFKVFGKKHTISLNKPTTTPKPRPP KSSHTPAKTSSACTRKRLLKEKRADCDAETVVTIVTEVHDSFPNPPNSIVCDGAVAVG HPQACLNYHSISAHYADFRTLTCPYYRVDDKKRPVSASYTRQRDLARFLPQFARLPSG GKCSPDEYPPAAICDVNDGYSKILSLRDDLKRNRPGFRDRGQRIRLIESADNSKAGAL FAGCSRVAHYDWDNLSSERKRGKRVTTIYHYVRANFERQRFTMDFVNLQNLVDDGLVD NKCQPTINGVNHPGFALLNGDDWFNAHQDEAGLTAGWAKGAAKRDWLDEISRLVYVDA NSTTPASREELRALFGFDECSDDTCSLEIEALKAIVASMRENVSPSSPIEIEADPTAV AQNQGGSDAPPSQPGSNFILPHLPGETGKP PFICI_08017 MVSPAELSPDPRLRGKTGHYDRDEIASQLGAFYKFLPHIPLSSI HRAPPEGWPSITAEVLEKRGIHKTPEAVELLRHLPYIEGDHPWIAPEAFPCDYRILGG QVSSRDTPGWVQDVQQDSGNVTSPDGSPAGVEKWPPWVVQLTTGTDREGSCYMLDTTD GTVTKYCAVGYLYEPTYNEGDPRAWRDRLCGEDTVTLVDQVNTWRREYHQLRYLGIPD AGDGNGYPSLHFHREEDGPDSYDWKETEELRSIYRKHGWPDNYDKETCREALKAWYLA Q PFICI_08018 MSALTFPLSANGLGLDMWTLSPDAINKVLYYFYWEEILYAVGLA ISKISILLFYLRVFPQQTFRYMVFVMISLNAMYAIGFSLAVILQCSPVDGAWRSWDGE YKAKCFNVNYLGWSGAGANIFFDVTTLILPLPVLAQLTMSTRKKLQVFSMFAVGFFVT LVSILRLRSMIEFGSTTNVTQDYVEVGYWSMIEISIGIVCACMPACRALLSMVHQTIS GSLGTKGSGSGGSNRTPASYQRDVEGNLSGGNKTIGSSGRNNKKVLRRYSKFGNSTEI TLDTSNANWSDVELVAVEKAPSPQSTDVDLLAPTTPGGSGGPPSPHLKRWSALSGNIR DSLGWKK PFICI_08019 MIVYLSSLEQTITVKGMAPSSQMCFGRVDHLSLIVENSQFISNE GAEVSVITIKEVTGEWKAIVLRLNHGVREALLSSDPSESIQKAIESLHLKTAEAAAIY VKNNGFSLLPDPNKDEDDFSDDETVSVVSEQNGSSSDDGLSVSDSSDDELVTPASSSF KGKRSDSKASKKRSKRSKARRPRSYEESEVSDEDVVRAPPARSVGPRCAPPPAPPVYN SSLRPMHPGLAAMQPPQLPRSPVLPPGGMHGVPPHPGMLPHGMRHPGVVRPARVYEPA KPVHDVRITINWLQHGEQRIFESTNASIRALQDAAVLHVRNHMSNFDNVTPMDHSPNR AWNLRAAVKQAFFGAEAYDMSNYRGDDLTKLFSVLGKTDIPSFEIEVDYAVPPHPPVP AGHVIGGPMHNHPATA PFICI_08020 MPKIKGWTPAWLDKPAPGSNLFAPANVDSKSSPFTSKTKPKPGP TRTIAQSGSQVFVAVGKEVRWADLIDLKERWEERTSRGRSGARVKRESSGNMSEDDIL KAAAEEDYAGFRTINVSVGSDIKQLVISPFSDYLAVLTTHTVRICAVPDLAHLSQPNN EIIKPKWWTLGPTTHVVDRSPVASALWHPLGVNGSALVTVTTDAVVRVWELNPLDRWS FDKPTLTIDLIKLADGTSLDQNFSASTDVTRKGFSADSFEMDVAAACFAGRGSGGWAP MTLWVAMTEGDVYALCPLLPSKWAPPPTLIPSLSVGIVGNLAAVEDDPAVSVARKLLA QQQLDWMTDLDNQEPTEVDGPLGEAPAEIYNRPSRPGIVPRLQGPFVLDMSPEMEDDQ DTELTDIFVIGQKLDTAELMMGEDEELEVDDIDQEGLSLNVICLLSSSGQLRVCLDTD GVEAQWLPPRNKFRIIRQENEPEPTLLTFQTLDTLSSLEMTEGAWPMFSHDVTSRYAF YVTNPSSITYISLSPWVFRLEKELQGDSDAGSEFRIDLLVKGQSSSRERLHTIDGGVA KPIPAVVPIRDPDLGYLVLTATDDGPLCIIFDMPVYDFEPINSASPSLERSDEVKPLD FYEPRPTFQAPHVFERNSDLPDMLLKLKTSKHKAIVGQEVRLSPATLQVFTDAHQILS GETHRLGTAASELFRRLETLQVELRKQIAKANEVKSRVDTITGEDQGSDPESINTAIE RRIQAAKQRGEALSQRLENMRRTVSRATTRELSDKERAWINEVRGMDASISGSGGPPA DGVSRFKQIGKRYNEAKSLKEELASQAEKIAPTAGDGEEAATAASDLRIPADIRRNRL SQVRSLLERETALVDAVKSRLEKLAVNG PFICI_08021 MRGGFRNTVERLDRPSAYYQSRNNKRRRNDRGRDDRDGDAEMID QKPEDKPEEDPLANATTLYVGNLSFYTTEEQVYDLFSKCGEIKRLIMGLDRFQKTPCG FCFVEYYTHQDALDCLKYIGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYRD DFDEGRGGLGRAIQSERMRDNERDREHDKDEQMEKDYGRLR PFICI_08022 MFGFGAFRATSALSSGLLWKTPWRLSKFQKLRQRRRLRAVDSVI ATLDAALAKKGESVKSIETWKQEMPTEAEMLPRDKYTMFDRKEKRYRKGIHSEFWSFH IAILARACKWPRSNLGKDAYRRARNAYNLFLDRWLTWRKTELPKWTRVSQRVNPPGY PFICI_08023 MSYYFVIVGTQDNPLFEHEFGTSKQGGDGQSRFSEESRHMNQFI VHSSLDIVEEVQWGTSQMYLKHVDRFFNSYISCFVTPSNIKFLLLHQPVQPSAASSSS ARSSSTSIAANPTSPQTEEAVRSFFTEVYENWVKACMSPFYRVNMEVTSPVFRQRVAA AGRKYL PFICI_08024 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G PFICI_08025 MGLSAQAVTGQLRQLIYYHLDNINYENALFYAERLVAHDPRSSE TAYLVSLSYFRLGDYRSAHEFSKPLGYRGVHLGCAYIFAQACLVLERYRDGIAALEKS RGLWTNKFSFGKHGPSTRASNPDSASVACLLGKLYRAYDDKKKAISCFEESLKINPFM WDAFTALCDMGATIRTQNVFKLNETLLQGFESDQTSGVLSEQNGTNAPEVPISRKATQ RNLNHGPSDPFEPHKSTDSDALTGNNLLSTSITENDFMLKISAARSRMAGSTIPVPDG LDAPLTTNIDAPYIARTGYPPEPPAHGAPRKTKTAAATESSNIADAPPRVGYRLGTRR TQRQQEKVQEEQSVEPSHPHMLRASASAAVSGIERKRTVSGQPVQPRQQSEEPGAPPR RSTRINMFKGTSTRTNSGAATVGAVPARELKKARPPISRIMRPTSAAGASVGRAVSGN RKPVEDHGMDVDHAEAPRVKELHPPPPAVKTVEPEAAKVEEALKSLLGLLKAFGSGYL ALSQFQCSDALVAYNSLPSGHKNTPWVLSQMGRAYYEQASYAKAEECYRKLRVLAPSR IEDMEVYSTILWFLKREIDLSFLAHELVDSSWNSPQAWCALGNAWSLARDHEQALRCF KRATQLNPKFAYAYTLQGHEHVANEEYDKALTAYRQAISADRRHYNAYYGIGRVYEKL GNYDKAYAHFHSASVINPTNAVLICCIGNVLEKQRQTVQALQYFSKATELAPNAAQTR YKKARALLALGQFEAAQTELEILKDLAPDEATVHFLLGKLYKCLGDNGAAIRHYTIAL NLDPKANQQIKEAIESLEDEEGYDDSMMA PFICI_08026 MAQKARKDRAKSNAEALKNLHLGTLIVNTLFVLSNILFRRRSLL YYVILSIPAFICEYILESTGRPKYDPTTKALKTAGEDLNAPGLTEYMWDTIWVTWASV ITVVLFGNGGWLLWLAVPVFAVIKGWGFLSAARNMAGGMQPPPEGAAMPQGAGNRKQR RAA PFICI_08027 MGDHIEEPPFPLTDVDKWVLSLTDEEFKYHDWEDMRKIIKENNL SVLKRKPSDLRRYMKWTAETKAEYGSMTQYLLAHRLPQTWGAPPFTPKSSIPFEDPSD YSVLLNDWPYGLTSDITHIVVWSRTPIKTDSETGDMTPESRRIIQAFVQRFFIDRLGP GGEGRVLWFKNWVALQSVPALEHIHLMVKDVGQDILDEWARKLDGHE PFICI_08028 MSAASSLTSSQNLNGIIIPSGLLIFGTLIVKKEWAPYAVLLALA LGSFKYFNNLPKIFLKPDTFQDLELKEKTILSHNTAIYRFNLPSPTTILGLPIGQHIS IGAACPQPDGSTKEIVRSYTPISGDHQPGYIDLLIKSYPTGNISKHLASLAVGQNIKV KGPKGAFVYTPNMVRHFGMVAGGTGITPMLQVVRAVIRGRATGDKTQIDLIFANVSPQ DILLKEDLDALAKEDSGFRVHYVLDKPPEGWTGGVGYVTADMITKWLPKPADDVKILV CGPPPMVSGLKKATESLGFKKARPVSKLEDQVFAF PFICI_08029 MILGPISYLDCLVFCILLAPQLLIHVGLFGTVATALQCLPFLLF RLPYGFLRDRLVVPHAQRSPFVQVASPFEDFVIRCVRYAFANVSPRVGRVFFSKAVAL PFMRFRMLRHGIVRKPVHWHEHVDKRFKGVWLIKDPLKKPDVCIYYAHGGGFSMGSSY FYLEYLLAWLSMMGESGFDNPAVFALEYTLVPDGTFPKQLHEAIAGYDHVVSTVGDAS KICISGDSAGATVQMSLLLHIANREYDQSKMNESGSWQLPKPGMAAFISPWVTLISER HQNTASDYLDSGNLHQYAREYVGKHARADDPMISPGNCRDVSWWKRACPKGGMYFAYG AEEVFAPEIESLVEFLKKNKVPVSSKGEPGGIHAWPVAALFLASSTEERQKGLKSVVQ CVRDSLPT PFICI_08030 MSSEEGTYAPKSPDLSSFLSGGSDPNLGRAASFTSAPNPNSLSG YSPSSSTYSSHQHQHQPASHYGQPQQPHQTYSSSPATAYNNYHHSYTAPSPSSVRSQQ PYFSSPQQPTNLFAHAQSSLPAYILSPQQQHSTPPSHSGPQPYYANYAESPINHYLPP QSPQLVQQHGQLQPSHFPPAYDHKQPQPAQDSAAVAMPPRKSAAAQVAQQPEIMPSPV RTKFPTARIKRIMQADEEVGKVAQQTPIAVGKALELFMVQIVSKSADVAKDKNSKRIT AQMLKQAVENNPQWDFLQEITAKVSEKEEREPKGKKASENTDSDEDLDAEPKKKGRGG RKKKAAS PFICI_08031 MADPVGIVGTAVGIVSLGLQLYDGIATYFDALDGRKDDLASARA QLNSLRESLNTIQSALPSSSTTSTGAGVVPPIVTECKNELDQLEVLLQELVGSSHPSN KLKERIKTLKFPFRRDNLMKLEDRLHKTNSVFQTALSVLNLNKSLDNDRRFANVEAAT AHVPTISTNVVQMSADVQDIRTDISQISHNVDNAISTLDSSSSTMTSLAENFATFAPA TTLQLGEIHQDMATLALQDDVQELARTSVHNSDILSRVDLSVTQLVATAGQSLPQISN SIASIERHMQSISALEQRLQALSYLEQALDIQDGQAQDNPQLALQRLLAKPSQTREIY DSMSNSLAMTSYHGSSTTATYGWTLNTRSRSQPCSCLYRSNRKSTSRRFGSLWLRTET SHHGHGQDCELSSLNIQKSRRWFGLTAAKPSSFLPWAVRLSFGITTGAGGFSISPGIT MRPVVDERQSPVFRILETLQCFLFYQAVDNAELSLALSITKDIILRLYGKKKASAYET DRHGNSAVLIMVNQQLFVETISDFILDLVQAGLPGDVCNFAGSETISTPLSLLAVNGS AIHTKELLPTLYNATSDPEHNDPGFGFEMKELTNYHEPNDPSLKAVYERIRVEIFGCG PLTAAISGRRSAREINDLITANTAREVNFLGQTAWHLAAGTGNTNMARNHAVEYAAAY SGRSCSKGREPVLCSGCSCTSALDVLLRTEGYFDGYLERISRGWWWSLADIFSLGSHS AKRQWLIEIKLRRADLKRLALAYLGAEDIKRYKIDGDRVLDYHGIRVVKCLTNMGIKV PARLQNSFFGLDRYLSVYHMLLRVKELDRNIAQLLFDLGFHDIDEPDELGSNTVMELY AHGRGGAGTLLWFVEHGIDLQRSVSCTTYKGKHTSPLAAHLVSCGWQYHPLSITSEDV RLISTMIPVDGADMCQCFCTETGCTTASIYFHEIWLGIRFIAVARPHIGFWHQQWQTT EDVVSKLAVLFVDHDIDMTSHRHVCLAALRMLTFEALGIRHTCGFRLDSVSDDDVENI WEEDTDLIMRLTELQIEWETSFDDSGMTFDTFLIEKWAPRMEIVFRELDDYELTETER KDAEALGVEWGDTCEAQHDEQDYDIRDPCSLQDYLKAIRKIADE PFICI_08032 MAKVDDVEMAKKKNEPTGPLSDADQPPTEYRPINWKKVFLTPKY IPWHILMIVIIVITALITIYHDKVVEILRPFSEKVRDVPAGWLIPIAILIVISFPPLF GHEIIGLLCGVVYGLWVGFAIVAAGTFLGEVGTWFAFKRALRKKAEKMERTNLNYAAL ARVTRDSGFWMVFIIRFSIVPSHFSTAVFSTCDVKFWHFCVATFLTLPKQIFIVYLGV LLVAQDENNKTQTIVLVITFAITVVMGYYIWVKMKKAKTILLQEQAARQTNYSMERLR QDQGASTAALTANAADGSGSGSGSGDESSERTSMMWENRSDARQDVPLGYAMRQSQDI GVAHGDPYAYPRYEGATPTPEMSRYDTGMSRPEISRYDTAEYPTGPYQQVEVRQAAPA YAMEQKPYYQRAARQESTPTQSSTDLPQVGREWV PFICI_08033 MFSVFVLTLLARAIVAQGFPETCPPVAPYNPNATLGVCPTDFTI IGPELEAVHESESAPTGFAVDDSLGIYLTYPRNAGATPINVAKATGFTSEEPWPNAAI QNCTADQDLAECFINVQNVVFDAVGQLWVVDSGIIPGAKTASSRGAKIISFNQTTGET IRTYIIPDEFFYDYMNANDVRINNTLGLGGYAFITDESASGSVLAINLDDGSVTRRLH NTTVVKADPTYVGSYNGEPIYIWNGTIKSYATTGADGIALASGNVYWGVLASRRFYYI SQETLIDTSLSDEDMLAAVRDPGQLGSEQAGFTADDRGRVYMLASEQNAIYYVDTQQA ETTEEVNGTPAGGTGPVASENYLVKTLVRSGLIQHADSAAILDGWLYFCTNQLELSPG RQYKNIDARKGPFRSYRTWIGRGPAV PFICI_08034 MEQSSPQGLPDTGQTAATNFSKFRCLPAEVRFMIWECAMDEHHQ VISGGRARKPKPTALLWTNAESRYLALKRGGHIIVVKKIPLVSSSSSCPAAASDTSSF HTIQESRYEEYDFHLYCSPNITNNSFRESLRCVPKTCIMKQNRFRSLVLGLEIWCCTF DNHRCNDYRCFLFDRMSTILIHPCDPCDPYTLRQDLERGVVEVDPRGLKAATRGLFGY DNHLIVNLLDPAQVGRAIGILSADEATKAIGAKILMVSNEIHTYTFYNGRFTWWEIMV SAAQRMWLCFNYRRLSEDERAGLSYNPCTWTSYPEPYDWDQDDPWVKGILERLPVLLP AVVLVLQA PFICI_08035 MSLVSGEKTNFQFILRLLNTNVKGEEKVMYALTRIKGVGRRYSN LVCKKADVDLNKRAGELTSEELERIVTIIQNPTQYKIPAWFLNRQRDIVDGKNYQVLA NGVDSKLRDDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKGG PFICI_08036 MSSRINQPSNQIKLTNVSLVRLKKGKKRFEIACYKNKILEWRQG IETDIDEVLQIPNVFLNVSKGQTAPKEDLAKAFGKDKPTNDIILEILNKGEIQVGEKE RAAQLDRVHNEVISIVASKLVDPRTKRVYTTGMIEKALDMLSSAAHQQQGDKSATASG TGTPATTEDGEAGKPRAKEHTWTGVVTTKSAKSQALEAMKALIAHQPIPVSRARMRLR VTCSTNVLKQSVKAPKSAEGDGDQKAAPGTVKDKILSYIEQVEAQDVLGSEWEVVGFV EPGAFKALSDFLGNETRGQGRVEVLDMAVTHED PFICI_08037 MRVATSTLLLGAASVAVAQHEQIVLGGYQDAVKPIVDSTSKSAG SILEKIEETLGKMPTEAQGLWDEMQLLVPGFMEKAQNLISRPKAHKRRPDSEWDHVVK GADIQSMWTKTAGEEHRVIDGQLEAYNLRAKKVDPSVLGVDKVKQYSGYLDDEANDKH LFYWFFESRNDPKNDPVVLWLNGGPGCSSMMGLFMELGPSSVNSKLTLKYNDFSWNAN ASVIFIDQPVNTGYSYSGRSVSDTVAASKDIYALLTLFFHNFPEYAQQDFHISGESYA GHYIPVFANEILSHENRNINLKSIAIGNGLTDPKTQYAEYRPMACGDDGSHYGPILDE SECQSMDNALPRCQSLIQNCYDSGSAWSCVPSAIYCNNALIGPFQRSGYNVYDIREKC KDQANLCYTETSWISQYLNKPEVIKAVGAEVTDYESCNTDVNRAFLFNGDWSKPFHLL VPDILKKIPVLIYAGDADYICNWLGNKAWTEELVWSGKQKYNEAKMGPLEVSDKQYGE FKTSGNLTFIRVYEAGHMVPFNQPEGSLDFFNRWIAGEWYA PFICI_08038 MPPEKIRRASEPRDTSRGLPPTIYRLAVLLIPITIAIWCNLAYL SRNDPFHCRTLLSDGAWASHTGPRDPEQDFAKWEPHNCRMIEYSRGQFHDCLGGRRVV FAGDSTMRQVYWAAATRLDHMKAHVALLDVVVDDSKHDNLYFEAEGVKLEFVWDPWLN SSYLHDELLKFRAQETFADYGVVKSKDEESAALVVLGAPGLWAARHGGDDYFAIFKRG IEAMRIHFGSTLQDSLVSPTKDFRRNNDLAPNQILLAPVQEPWYDSLSADRAQTIKPE KVDRMNAYLAKWSSEEQSHILWAYNQMTKGDESAYEVNGIHVLDRIAERKIDIALNAR CNSATGHRIPQQASCCVRYPKLNMLQKSMIAAAFILAIVLGVRHGVSSLFSLRSDNIP GLVFCILAILVYCHVTDRRHEFLRLDRHYDSFKFLMSCCIFWATSLLSWRSDSQPADQ VSKSRRESTVQNDKGFLSREQSNEWKGWMQCLILIYHFNYASQTLWVYKLIRVLVSAY IFLSGYGHTMYLLKTEDFSFRRVAATLFRLNFLSAVLPYMMSTSYNFYYFAPIITFWY LVVYVTLRVFKRYNQDPLKLLVKLVLAAIIATPVIFIPQPLQLLSTICRVVFHSQWDS KEVSFRLRIDRYIVFFGMMTASIVHRMSVLRANSVIPEWSQLVDPRVGDSSAIDPLLA ILEFPDALSKPIKPLACGCAALFILCFTTVTQTAIGSKEMYNAVHALTSWVPIISFLI LRNSHRRLRNAHLALPAAFGGISLELYILQYHIWLGGDATAKLSMGLGDRFGSRSWLA VPNKYLEPLLIGGMFICISVYARYATEALTKRLFYSTTPHEDVNGDSGLASKERAAGG GQYAEAGWLSKSGQLVRMDVRATVLGIVALVWLGNMIS PFICI_08039 MATTTPKTGSCLCGAVKVKVTGQPVFVNLCHCINCQKATGTLFN SSATYQAEDVEFTCTSPDIIQEFQVSNTATGSPLSRFFCRVCGSKVRNKSGMGGEKYV TIPFGILDDKSGLKPQYEFFCANREDWFGDFDGVPRANGMEPVLAMAQGS PFICI_08040 MSTYYELRMLNKMSEYTINKNAQNMLRSHDEWKSGIIDESELGR RVRMSRENRAAVIQTMVKIASIMQKKPEESKYVLNIIEMCGEIVSIADKPLSDGGFPF FMKLPLEVRRRILELCLYSREYYYKARVLTHLHKKTDCSCPKDSRSLILMPHIGALAT VSKHFNHEVLQCLYNTSTISFQCACEMGASLRSSAFFRNHVHKILFHWWGPNADKDIK ELRNCSVEDLTVVVAKTTMKEPTKREKLIRESFARLVAKASFPEALGFEELSSLRGLK SVHVMLANRRRVTELCSMEDQAGLQRWLKKRIVGNSEGGNSEGDD PFICI_08041 MGLWRRKHSGSSGESSNSDTSGTVTPVGSSQEPELNSKRSVRVM RSLTNGLRSNKPKKASITNNYQEMTPLERRINRPFTQQNLEHQRMFDDFTFDFGKRKS SYGGRSIISGISPCASRTASIDSNYAPHSHHQHHGDRRETHAHYRNSLVEDVPWEVSG EESDKDRPSMPPADAFSNLSLHHRNFH PFICI_08042 MASSKAMFMEDADEDGTVKPHTKKTAKSVAAPSRAGSPVKQEPN TGRKKSSSQRTHATATTSPHDSDATEHASSSSRHKNRERRSSRKGKEVPERPRITTAQ TTPSMRNHYAEDSAHYSVPHRAIAVSSGRPRANSRPQSYMAPPLSQSAFFQASPLSYG PPPQWGGPPPLGSSPLGTSPMAYPVDYFTGRADYDTGGADRLASRFEARPRSSMGNYG SSYEDRYTDFDAPSPHSRPSTVIRKPSLSKRTTKNNEDRRQMPPPPRPSTVGPRQHFR PPPPPPSQRKSVGFDDVSSDGESDLFRDAPHGVAIDFMKAFPIRNRRQSTTRRQSLGG EYPGEGYAIEPAGPKTRRPNRRSVSYSVEDKMNDANRYQNAVASAIPAPLTPDNLRRV KNSTSSRSTRSSGSRDESSFRQSETTKTTRSGSNDDDMTIKVPSGTVVEYGGAKINCV KGGEMTFGRGGGGSDRGTIYGDELRSHAHRTERSGTRPRASSQSAHARRPRAIMSPNP HDHAADYPDNATYFGIPQYATYHGYPYDDDEYDHVYDT PFICI_08043 MAPLLPTIAAGARTLLTRAMSSSATSEKLDGRSPVDHLGPLQVR SLLLARDDASSTDVDPSRGVIDPHNINNNAMFALFALIGVAFVVIGIWFFFWAKNGGF YFQENDWDDYKSTVLRRTGPNGTILSNATKSTKLGGGSIYKDVDDGMTEYTGGLTQLS GDTGSTLSGITAGPSDIGAREKRAAKKEKKKLEKERREREKEKKKDRKSRSGRKVGED GALVDEMAEAEAKDAMRQYRHERPARVGGLNKESEGSQWDGSTNPSESTAGSTLLSDR QSTPTNTPEKKSAGIRKVYSVADRNAAREQERIRAEARRLQEKGRAASRPGREFSFRH GDSIVEESVGRSSRSEITSSSYLIAEEEESDLGTKSYHHVIPGLSSSQGGGTDVVDYA DERRKRRGAGYRRERRE PFICI_08044 MPPSGTEQAKQKFQEGIQKTRAPADPSSGPTGTNPKLGDFVSKL AKGETEASTYTAKGGPSGTDRTKEPGGL PFICI_08045 MVRYAATEIAPAKSARARGAYLRVSFKNTRETAQAINGWKLTRA VKFLENVQTKTEAVPMRRYAGSTGRTAQGKQFGVSRARWPTKSAEFLLGLLKNAESNA DAKGLDTSNLIVKHIQVNQAPKQRRRTYRAHGRINPYMSNPCHIELILTEGEEVVQKS ESVVSRENAHLSSRQRGALRRKAITAA PFICI_08046 MGFQPRGGRGGGGGFRGGRGGGDRGGRGGGGFRGGRGGAPGGGR GRGGPRGGGRGAPRGGGRGGARGGARGGAKVVVEPHRHAGVFVSRGKEDHASNILLTR SLAPGLEVYGEKRITVENSEKGEDGAPVSTKVEYRVWNPFRSKLAAGIIGGIERIYMH PGAKVLYLGAASGTSVSHVADLVGPTGNVYAVEFSHRSGRDLVNMAQNRTNVIPIVED ARHPLRYRMLVPMVDCIFADVAQPDQARIVALNAHMFLKVGGGVVISIKANCIDSTAP AEAVFAQEVQKLRAERIKPQEQLTLEPYERDHCIVIGQYQEAK PFICI_08047 MARNRGNRSRQNNRRGRSEEGNSVRDSWSARGGHTSTNHDSKFG RGRQHDRQNNYHQNRRHHLHQHHAYRPGQQAWNWQQGLSSEENDTTDSSDLDTSDADM VSLNQFPPAENNVFSLLLPPASRSAAAATNSTFRCLRGDCARIKKNLDWIKRRDRRLR RMIRLALEQLGPHLYDFLLDSDEEGGGHDEDVEDDSDSMDWTPEPTVHLVVNATSTPS LEFSPHERTGEHTGLGIIWNTTPYQAHFPPEQPPEQQPSPLGASQQLYHHQQQQQQHS NMNMAGRPSPQMRQQPQSPYHPQYQQQPQPLEHELLHQQQQTYRQHAPAVDCVSRMHA YRSDAHV PFICI_08048 MSSTNPGSLSLEQKIAINAIERAGSVLSIIGCIFIIFTFSVSKA FHKPINRLVFYASFGNMMTNIATLMARQFVDARDSAGCQFQAFLIQMFLPADALWTLA MAVNVYLTFYYKFDAERLRKMEIWYFLICYGLPFVPALSFIFVSDERGRMYGNAALWC WIAQEWDIFRIATFYGPVWLIIIATFAIYLRAGRDIYEKRKQLHYFNSSHDPDLLTMD DPFSHKTTEVMVTTEDAVDSSDNIDLTTLGRDGENAGSSHGAGPSAAYSVTISSEVRA NRLSRAEFKLPASGNVQIREPSTARPRTRRRAAFEANTAAWSYARCAILFFTAILITW IPSSANRVFSVVHPGEASIPLEFMSAIVLPLQGFWNAVIYISTSWSACKYFFSELRHR SKTSERTTSTLSGGISGRNPGYKRPSRKPKHLYDTESMTELAVPSRFNSDDESKRQTP PFICI_08049 MKSTSLIVGLSAVATVFALPEYLKRDGNDTWVPQEWIAPGPDDS RGPCPGLNTLANHGYLPRDGRKITLDFLRDAMLNGFNIAHSDAEGLFPLALQTSPDYP NTDTFDLEDLGRHDILEHDISLSRSDAWFASPDPFNETVWAETTSYFTTDFITVQQLA DARMGRLATSQATNPNFTLSGLADGFSWGECASFFEIMADGTTGTVNKTFIDYWFRNE RMPTEIGWSRRPTTMQSSEREYYTMLLMQAGGVLH PFICI_08050 MYDVYWNDDKPELVGERNARKEREKREASDKAASERAPSVRSDD AKNTNKRHSFVSMPGSGHSEKSFGFLSINGRKRASTNTGGSMEVKFNANGSKRAASDE SSIIEDNTELNPSLGGGENGVNAGIHGAQDEQTAGDQSWISLRESSVSHWATPSLAAS STLASSSRNSQNTSDNRDSGDSRSMVDSKLIKSEHHLQQLDASSFVSQDKQLTVSLRP ANDNGDNSVTSEVRITSDSKKAPMPALKPLMLGSTERYEDVDNSMLLSYHEATFPEGT VFGQPDEEHDSSSISAGPSSQSVGGNQTESQRSSVSLDSVTLPTPVALHALPPPPGPP PRGPPPAPPKGKNKQRASASITQSAMPTPSPQAQRRQVRGPVQPPPLQTPIVRDSVWK ITSNLTTVQRDIIMMATASPEVLLANVKADPPALKNAKMYEELEAIKKRWMFSCLYQN DVYANWLHQNNFDPQNVEESASVTPSILALYERSSSASFLAGLNPTISLTQIWTVPTQ RELFPNLHPVTAPTLQAKLGFSNLKHESFTSVTCLRMGSMLAKYDVPIVLAEIYKLLK PGGALHVTLMDPCPEEQVCGPLMRKWMRDNLIVELEKHARTTSPSRDVPAWMKAAELR GRGSHYTQYHVPVVPAGYDRIQDDKQWEPAMGEARCRVMAMLWYEIWGNLIHDCKWWW DDEDILKECAEYGTYFAYFFVTGVKEAKPAAKKAKKAKKN PFICI_08051 MVILRWARLVGDVARGLAADAEVRLIQSRVGRFFRLEGSGHPNE IANSTFIKEFRAGLTTFATMAYILAVNSQVLAETGGNCPCDDKITFCKDNDVYNQCKI DFKRDLVTATALLSGSASIAFGLFTNLPVALAPGMGVNAYFAYQVVGFNGSGMVSYPL ALTAVFVEGLIFMGLALTGMRQWLVRAIPSTIKTASGVGIGLFLTMVGLSYSVGIGAI TGGVNTPLAIGGCRIEDLDSTTHMCTRGVMTNPAMWIGILLGGILVAVMMAFRMRSAI VIGIALVTVISWPRDTSFTYFPYTDEGNERFEFFKQVATFRPIGSLTFLHDWDLSGNA GTHFVLALFTFLYVDIIDCTATLASMAKFSNVVDDHGNFPRSTVAYCTDAAFISIGSF LGCSPVTAFIESASGIMQGGRTGLTAITTGICFLLAVFFAPIFASIPPWATGCTLILV GCMMIRQVVKINWAYIGDSLPSFVTLAFMPMSYSVAYGLIAGMLNYVVLNTTIWIIVH ASGHRWVPENYHLKEAYHWQLNERNLPTWMRTLLAKIQRRRPEEDSVHKEGIDLGARG TSQESSQGIRGSSQFGGSTRDIHMANLQAQIRRFV PFICI_08052 MADVSEYTALDMPEAATADKPSAPSAAAVESTNKFQHAISAWRN IDLTSLVSTLDNTASDIVAYQRDSTVQRKDLAQKTKDFRKLDDATKLTEIKGLLKAYQ TFIDLLTNHSKSTNSAFLQVYSALSDAPDPYPLLEASVDSMLVSEDTLPKLTEENEHL QKNITKLTAQLEETESKLQSESAARKQLESNLDEKVKEVEASWTAVLDEKRDNWEAKE KALEEKVENQERLMTEIKASYEVNQRLKGSGDAESEGQGGHVTSAELEMVHSDLERTS TRLAEVEARNEQLRLDLAQSKSQVSTQAPVLEDDPNYMRMRSENSSLIRKLDSARLEK EGFKRDIDIKLRGLEREVSLLKEDRDNLKAKVQKWHDYDDIKQELEVLKSIEFATGDD DDAVMAEADSNGSAGKSKGDTLEQLLLARNKKLSDELTILRVSHQDLQSRLENLQEEM SRTNADLERAQNLNTQLENDLANLQAEGQNAFPSGASVAGTYARYAPSVAPGRRGGRT SPTSSIISGFNPRDGGGEAYGGGSGMLPMITAQRDRFKKRITQLENELSESHRTISQL RSETAALQKDNLQLYEKTRYVSTYNRGTASSSSAYSSNPNPSTVSIGGSGNPGMSLDR YRKAYESNISPFAAFRGRESARAYKRMSLPERVVYSVTRMVLASRTSRNLFAAYCVAL HLLVFLSLYWMGTSDAEQHASHLSQGVAGAAALAGTAGKGGTGSSHGEWKEDSGF PFICI_08053 MHSSILLTAALGAMSVIAAPTYPSLNTHAAEPGALDTVSEYFNM LATKVQESRQMAVAPVCDLSTVQMPEAPTPLPAVSEGLTLKHVAVGRGTQNYTCDTTN ATAAPVAIGAMATLFNASCLISTYPDLTNMITRMALKFDLSAEETKLGPSNLAISGQH YFTNMTTPFFNLDRPNLQLGEAPCQKLNATSAPTDAAKGPDGASAVPWLKLSTRAGAT GDLQEVYRVETAGGSAPATCAGMPATFEVQYSAQ PFICI_08054 MSGGWNTIESDAGVFTYLLDNLGVKDVQFEELLTLEPDALASLY PVYGVIFLFKYPTDRPYTTTEKPLDGDFDHDASERLFFAAQTIQNACGTQALLSCLLN KEGEVEIGSSLKDFKEFTMMLPPEFRGEALSNSELIRDVHNSFAKSSPFVDETQRTGG ETEDAFHFVAYTPINGTLYELDGLQPAPITHGACTSDEFPTKVMEVLQKRINRYDITE IRFNLMAMVRDLRIKAREIGDMDMLEREERKRRDWQFENALRRHNFVGFAGAVMKGVV EQKLKQGGGAYEKWVEDAKETTKKRLEGRRRGGGEDIEMEG PFICI_08055 MASDAQDDDRSDLETGPFVLRTLLKDVPLSGDGAKDDDVKINCV EYLDGNLYVGTSASELIHFVQIPADPSDDSATPVFVQASRLQPAYAETSSSSSSPKPG IQQILLLPRVGKACILCNGTVTFYSLPELSPVFNNTQVKNCNWIGGIDLNELQGDHEN AEGVGVTILLSLNRRIQVVRIGEDARGIKRIDIPGTSLTVRRDTIACVADSRNYSLLE IERQLKIPLMSISSLDDAQPAVGQMQDVSGGNDGPGITRSASSASRPQSGLQESHQHR RGTSLGNLISGNRRQEQPQVEQEESVFQEPPESRGSPAPPEQIQSRDGTPQPQAEGLP RPAPTPKPRDVLLKPHIVSPTPEEFLLVTGTGPSEPGIGMFVNLDGDPTRPTVEFDRY PKEIVVDGGASDLSSSRPSVDEDDEGYVLASMDREFEDGLHNGLEIQRWDSDGSEAEP TRYWLEPPGMRSPSQAIGIRSLRGSNETHFQEIVDKLSQQRFKPFSQGLEASTMSLGS LDSRTALTMERVSKEKELFERDNDSPDDETLPSGWENNRLDEEKEFARRLAGTTARLA VWSGDHIWWALRNPLILQLDTQLKSVSKSSINTVAERRELFSLLNSFRGRDARSELEF LTFNYMRQRTGILLLTSFLQPNKEPFGESELRALEEVLVDSLLDPRVVLSLIPGVRNE VIETRKGIWIFGGVKQEADAYIATDAFDKGRSGVNVLPASVLQFLKRFLTAWRKKKGF GSIPDENEVFRTVDAALLAVLLELDQHSPPGLARGKSTRSELYDLVDNGVDCFERAET LIESYRRLFVLSRLYQSRRMAEEVLTTWRRIVEGEEDAGGEFRDGEQRIREYLTKISN QALVREYGLWLANRNPKLGVQVFAEEKGRAPKFEPTQVVEILRAEAPDAVKYYLEYLV FGQGHTIHVNELITYYLDIVITHLESSSEAREVFVATYAAYRALRPLKPTYRQFLTEN APEDDEVWQSRLRLLQLLGGQYDYDLAAIRSRIAGLEATAPDLLVPETIILDGRERKH EDALRLLVHHLGDYDTAVAYCLRGGSSIYSIYQTTPPSPHPTAPDSTKAPKRRDSAPP TENEQARLFRALLGEFLEIEDVSDRVEQTGMMLERFGGWFDVDEVLSLIPDTWSVDLV AGFLVRALRRMVVEKNETTVARALSSSQYLKVQHDLVTKIEEKGPTFEGE PFICI_08056 MKTDFKFSNLLGTVYCQGNLLYSPDGTCLFSPVSNRVTVFNLVE NKSHTLPFAHRKNIARIGLTPQGNLLLSVDDDGQAILTNVPRRVPIYHFSFRSKVTAL AFSPSGRHFAVGLGRKIEVWQVPSTPDANAEGELEFAPFVRHHTHTGHFDDVRHIEWS PDSRFFMTASKDLTARIWSLNPEEGFTPTVLSGHKQAVIGAWFSKDQETIYTVSKDGS VFDWQYTRNPNAPPPEDSDDEMEDESDMQWRIVQKHYFMQGNATVRCASYHAPSNLLV AGFSNGIFGLYEMPEFNMIHTLSISQNDIDFVTINQSGEWLAFGASKLGQLLVWEWQS ESYILKQQGHFDSINSLVYSPDGQRIITTADDGKIKVWDVESGFCIVTFTEHTSGVTA CEFAKKGNVLFTSSFDGSVRAWDLIRYRNFRTFTAPTRLQWDCMAVDPSGEVVAAGSR DSFDIHIWSVQTGQLLDQMSGHEGPISSLAFAPNGGLLVSGSWDKTARIWSIFDRTQT SEPLQLQSDVLDIAIRPDSEQLAISTLDGQLTFWSISEAEQVSGLDGRRDVSGGRKVT DRRTAANVTGNKSFDTIRYSTDGSCLLAAGTSKYICLYSVNTMVLLKKYTVSVNLSLS GTQEFLNSKLLTEAGPEGLLDDEGENSDREARKDTLLPGSKRGDPSARKKNPEVKVTG VGFSPAGTSFCAASTEGLLIYSLDNNLQFDPFDLNIEITPASTLAVLENDQDYLKALV MAFRLNEAGLIKRVFQAIPYTEIPLVVEQFPSVYVSRLLRFVAAQTEESPHMEFCLLW IKALMDKHGKWLIANRSKVDVELRVVARAITKMRDDIRRLADENVYMVDYLLGQAKDT SEEAKAQLSISGGSDGTTNLLMPAGGETLADILQQEEGVSEDEWIGLE PFICI_08057 MDIHSILRGGLPTVVENASTVAKNASSPGQMHGNLAETLLPLLG LRALLPVYSFVGNSLGLDVTWILTLFGMIWAVNKLFRQAYSTIYAFVAENLMSNIHIS STDDIYIHLMRWLALQPRLVHSRSLTAETVSKTAWEDEDATNVSRDKTGNYLNFSNQE ARAPPRFIPAIGVHSFRWNGTRYGLHRKQESIFDDGPSTGLSFKDREDLIISCFGRNP EPIKRLLRHVKEAYYDSHHARTIVKRPSPQNLRRFGTRHCWQQVADRPIRDMKTVVLD NQQKANVLGDINEYLHPATPRWYANRGIPLRRGYLFYGPPGTGKTSLSFALAGVFGLD IYVISLLEPSLTEEDLSALFSSLPRRCIVLLEDIDTAGLKRPDDEVSKSQVDKKDDND KKGSGATDDGKKETPSDWKVSDLAKALKKEGNDEKKGISLSGLLNAIDGVASHEGRVL IMTTNVPESLDEALIRPGRVDLQVGFTNATHAQVEELFIRMYEADQGRRQQQQQGPAP AAANGHVKGDEKGASAANGEVVAPETDSALKAGELDISVEELPAVARRFADKIPDGRF SPAEIQGYLLKRKKHPLKAVDEADKWVEAMLQQKASKSKVLQVQ PFICI_08058 MPTSKAIYLEETGELTVHEITETYTPTDEQSHISVKYSAINPAD LRHYYMGCHSYVAGMEYIGPVVATGPNSPFEVGDVLFGVAQFGHRRPLHAGAHQDFLL AEPFMTYKVPPHIQADESEWPQAVGWLVGLRTALDALFNCMDFGLPGLGDQVQDPGNW VLHGVDPRGKSLLIWGASSSVGLAALQLARTAGFSPIFATASPHNHAALTELGATACF DYRSPTVVSEIQAAALASGKPLAAIFDAVTAGTGFAAPPPSSTAPPPDLSKSSPAIAK QCLSPGSAAAKNDAKLCASLGVGFDPDWAFCLAARDQKETPLFHQRLETGMTWVLDHV REIQFTVPQVRIIKGAEEGCRMIKEVFQGKISMEKVVIQHPM PFICI_08059 MGVGNALSQLDAALGDLIGQWNAYSTGIATLLVLTITYSIMSRV EPDIHPMLLARQAQGSPVRQEGESPVYRGNSAPHGMPLNDGLKVKPPGASKWAQGRDG DLRDVWRRVVSGSEEGSAKGRILTVQGREKVIEHKLNEITRAINLIGQHVSQQGGSRV AIYLPNSVELVAALFACSFYNLTAIVLPFDESPDALVSMLRQSNADTVISLSGSFPLD SVVKSYPSLKLLVWVVEQGSSHLDWNEVPEGFGGKVNVSTWQDILHESPVTAGNELPA ETDPNGSSGVVFFWKSKAGTQEEMVEFTQKNLSSAIAAQLAAIPTTQRLSPADLFLSA APLSASFPLTLTLAALYSNASVAFNSVAGSVADIVLATGGIAPTVIVTTPQTIEKMHT ESSSKITSTLTSASHWVQTRSLVQNGAMPVASFLSSYNDKIKPLIGTTPGKLRLVYVA EAAGAGTPVLSERTISNSRIFFGARVIYAFTAARVAGAVAQTQFNDYRIHGNGTGSHF GPPLSSVEIVLKDSGNHKTSEDGQKIEGDINVRGPAVAGGATSLGVVGKIREDNTLAY P PFICI_08060 MSSSTLQKTLSTPVKAAQSPSSESPGNWKHPRLQEIIRRQNAST FSDKNVKKIAWNVAAISAATAFVAVLYRYFPIAPDGGFANFIGWVHKLFVLVPLFNIG IALLPLVRPKDDLSDIPLTPGQRKLLGLAPSSAPPTPGSVYSTPPRYSRTPSLSGSTG KRSFSGLDSPSAYRSPTEGNSGGFSGKESTWSPSGSHLIQKAMNGARRSSIGSMGSPS PFAKSFGASTNFGASTNFGASTNFGASTSIFGGAPPDSPSPSPINGKRSSVSLNNKWL YERGRRSSGNAWAS PFICI_08061 MQLPTAVDVLIIGAGPVGLITAVGLQQQGVETLVVEKRERDEQA TYGRACTLYPRSLELLEQVDLATDLIQEGFAGRSSVNYANGKRINERGWNMMFQHFKA SFHDYALNVRQKYSEDIFRNALMSHGKQVGHGWKLSGFSIDTSLGDGYNVSAVLEHAT LGESHIRCKYIVGADGSASTVRNLADIPQDVDSTVFQWIRIDGKMTTDMPGAELGFAA VETTTHGNVLWVKLNKDAYRVGYALSPALLAKYPNGLTKEVAVAEAIEGMKPFKLSVE RVDWWTDYKIRQSVATQLQKNEFILLAGDAAHTHSSGFAQGMNTGIHDATNLIWKLSG SIKGWYQPSVLASYHEERHAAATRLINIDRNAAAAISGEVPPEYAGRDKSPHELLKLI FVENIGFNIGLGVSYAKSVLNQAPAETTLPSGWRAPDALLHEPGTRFPLRFYDALLRD HARGRWNIVVFAGNPAMTSGNYRIAADSLSKLVDRRPAMFHALTIIKGDTGGAWAALG GPAWGKFYLDLEGKTHSEYGVEQSLGAIVVIRPDHILAYAASLDSAGDIATYFNSFIM PFICI_08062 MSTMTTSTLLHDRLDSLYEVWQSLSPGSSSEDFKAFADFFSQDC TAWLLSMREHETPSIGRSGVIEGIQTAIQDSQIKARRVLKRFTDVTGSTISCEMQNSL TVHGKPLDPLYETAVVAFNDQGFITDFKLYSCRSAIVAIIQDVTGVGPYERHNECHKL PFICI_08063 MYNSLTRLQNVFGFFTTVAFVVAAIIGASDFAVPRTPSAGIKTT NVQVVKGRPHYYSTKKEEYAIIKFSLDADLSSLFTWNTKQLFVYVTAEWTSPKGNNQT NSAVIWDTIITSPSSDHLANIGPATLKKLRKSAAGKPIDKSRGLLQLKNQKPKYQITH PSGRIAETDDVVLKVHYNVQPWVGFLTWNQGQDYGLWKAVSGGLSKAFSLPAVKKKDE KKA PFICI_08064 MDRQSAYSVSVFSSTPQNDDTRLQIQEQLVNFILSFRLDNKFIY RQVDQLKDNALLKKYYCDVDIGDLIKYNDELAHRMVSEPAELIPLFEAALRKCTHRIL FPHDPKAIIPEHQLLLHSTAEDVSIRNLDSMTIARLVRVPGIVIGASVMSSKATELHI QCRSCMHNSVVPILGGFTGATLPRQCGRERATGDPAEKCPLDPYFVVHEKSQFVDQQV IKLQEAPDQVPVGELPRHVLISADRYLTNRVVPGSRCTVMGIFSIYQNKASKGSGGGA VAIRTPYLRAVGIQTDVDSTAKGLSIFSEEEEQEFLELSRRPDLYNAMADSIAPAIYG NRDIKRAILCLLLGGSKKILPDGMKLRGDINVLMLGDPGTAKSQLLKFVEKAAPISIY TSGKGSSAAGLTASVQRDHSTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEA MEQQTISIAKAGITTILNARTSVLAAANPIFGRYDDMKTPGENIDFQTTILSRFDMIF IVKDEHTREKDERIAKHVIGIHMGGRGLEEPVEGEIPVEKMKRYLSYCKSRCAPRLSP EAAEKLSSHFVSIRKQVHASEMEANTRSSIPITVRQLEAIVRITESLAKLTLSPVATE QHVDEAIRLFLCSTMDAVNQGSNQGSRELNEEVNRVEAELKRRLPIGWSTSLATLRRE MVEGKGFSEQALNRALMILQRRDTIMFRNQGAQVYRNGA PFICI_08065 MFSNREARLSMDSLSSPLSSPKGRGEFPFHRQGSVSSFTAGRRG SNASSIHSIGGHLDTASSWGQPVTESGQNAISTLLQPPIVRTGLLPHTTAPASSAHKP PTARDIPPVTLTNIPHIDASEFKPYLSQVGALYEQLRRVKESEEDGSDLVFRRKSDLT NFADDGHLTPGANRGRPTSSRKPSNASLTMSPMESPQMRRRSSSGFGRRAVQGPPPLN TIPNVYFEEDFHLENPRTFDVVSERSEVVRQASGSTDDKAMLNGNASAPRKALATNAI LQEKLSWYMDTIEMHLINSISTASTTFFTALGSLRELHSEAAESVDRIKTLRKELEAL DHEIATSGLEIVQKRRRRENLQQLNNAIQQLREIVEAVAVCESQVDAGEVEKALESIE GLENLMAGRSRPIIAGSKPDSGLPLMDLREATALQGVTGDMSTLRYRIGKAFESKFID ILLGDLRHHIDTISSHEVLLRWSSSANRSRGGHKRDTSKPPAYLSSIDKLRADLMPIL SGLHQSKHVTTAIASYREVVLREIRSLIRRPLPSSNDDDNMTINSTSTSSVSRLSQQE KSSILARNLRALDYQDAEDLYQKIYISVTETLRRLTTQVKVLFDVASSMGQTPDPDPM FGLRSPPPLKSPPLNSANRELQEDIHLTMDMANLLGQAVDIAQEKIVKVLRVRAEQST HLPLVWFLRYFTLNLYFANECESISGRSGTSLKTVVNTQIKDFIQYHGDSEKQSLVQG MDIDQWSAKDFAEKDTVLLERILDSSTKDAEAWSVGKDIWNPYTEAAPATNGRPRSES NGGAPKEKTRSAIIEGESFILPNSAILCLEGMSHFLHLTAGIPSMAPDISSSLIAYLQ LFNSRCTQLILGAGALRSAGLKNITTKHLALASRAFAFVSTLIPHVREFVRRHAGSGP NVNNASVSALMGEFDKVKRLYQEHQNSLYDKLVEIMSGRAAAHTKTMKAVDWNKRLPD DGQIGVNAYVETLAKETVTLHRVLTKHLPEDTVRLIMAPVFESYKTQLGRALQGHEVK EESGVKRMAYDINYLITRLSKIEGFGDTGEYLLNIVNSKKVDSPPPAVPEKDSSEAKE TDGTKTDEGANGQEPEKKDSDSN PFICI_08066 MEKITDKIAALPADANYFSLEFFPPKTAMGFSNLRDRLDRMARA LRPLFVNVTWGAGGSTASKSLELAEICQRELNLTTCLHLTCTNMSRKQIDGALEDAKA LGIRNILALRGDPPRAAEYQDPNEDPENAIDEGFVWAIDLVRYIKKNYGDYFCIGVAA YPEGHADESHPMGQSLEHDLPYLVEKTQAGADFIMTQLFFDTDAYEKFERTLREHPSG AFNNVPILPGLMPIQSYQMIKRTTKLSHARIPDHLMARLDAVKKDDEKVKSVGVDIVS EIIEKVKAIKSRTPGPRGFHFYTLNLEKAVSFIIERSDLIPPTTPDDLEEEAVVDDLI SVPTLQVNGSAHDSTAVASLRRSSRRHSSIGSDPRNRVIVSDRPLSHPEYETSAADAS IPAEPINTRANTLAISEGEGVLGREATWDDFPNGRWGDARSPAYGEIDGYGPTLHVTN AQALKLWGHPTKREDISNLFVKHLQGELEAIPWSEEDLNAETNTIAPQLLQLNTKGWW TVASQPAINGLPSTDPTFGWGPTQGFVFQKAFVEFFVPSAEWKVLYEKLQSSEVQDTV CFYAANAKGDFVSSDMTGGLEGQQVRQASTNAVTWGVFPGKEIVTPTIIEEVSFRAWS EEAFGIFAEWAKVYGKESESAKLLEGTLQDLWLVNIIHHDYVEKDALWNLLLN PFICI_08067 MEEAQWIEQLARGESSKPLPKDMSHHYSEVTKRRTPSKMKEYYK YFLIPGIGQLAGGLPHRSFFPYDTLEAQAAKPERFTPSPNHPTADSISSRLESTSISS HKKSDPSAASHITVPALATPSDPLKKIDLATALQYGQASGYPPLVSFLRQFARENLHP NVPYRGGPEVVLTVGSTDGMSKSLELFTNIWNEGKDDISERPGLLSEVFMYGNVLSQA QPRGVQVVPVEMDEGGMAVDGPGGLEDVLANWDPAKGKRPHMMYTVTMGHNPTSGVLS LERRKEIYAVCSKYDVIIIEDDPYWYLQYPSAIVEEAKARGLPTPKPEMANTLARTSG YPYLDSLAPSFLNIDIDGRVVRLDTFSKTVAPGCRVGYITASPEICERFVRIGETGTQ GPSGFVQSMLAEILIGHQPEATSRFQVAKNQNTFTGWNVDGWVRWLAGLRGMYERRMQ RMSSILEEGAYQLKQSTPSRDADSDWGVITKTQLYDFDWPRGGMFLWLRMRYETHPLW KAVGSAGNVINGVALSTALMIFLTRKPYLVLVSPGFMFSATPEIREQRGWAYFRLCFA AESEENIDRCSERFVAGVQQFWRIKKVKDLEEILADGPGGSSASTLDTDGLVDLSTPM GC PFICI_08068 MASKSTTNFRSYEAQARLLAAVIATAKPRLDYKEIARHMGSDAT HSAIDHRLRPIKQLAKLQEKCVEQGKDPMNLPVEKAEIQKLFGESTPAGLEFHFREVK AIGKAQQDAVSTGGDPTQVTVGTAAKGGKQGKAKTQRSAPSTPATSRKRQAPVNGAAT TGGRGRKQVKREPSLDDDIKSDIDSPEENFDELDIQQTPSKFPSRAQRNPAYTVDNST TNSDLDSPVFHQPYAVTQAENQARAQAMYKPDDFYGKPGDAQGNNGPTPHRSIFGGGD GATWSAGPSHPVAHTTEQSEDELMEIDGSQFSAARKTTNGPPKAATAKRAAATTPKQS KKAIKEDPFEDSTTNTGDFLDLTSTPSKRGGLPLPSSAYPSADQQDDWATSFDDQGDY YGDGEV PFICI_08069 MPFFQALAGFAAPIFLISSPIISYTDQAMSMHKKRSSAGFSLDI PLIMLVACIFRVFYWFGAKYDNALLIQAFVNIFMQVILLKIALDTRPPPSTRGGDAGL PFSGAKEGFGFKRPYNFWQWRSPKPYWQFLLYLFITLTVLELIVAPMDSLYPTYWSFL GIIGLGVEATLPLPQLLANYRSKSSRGFRVSLLASWILGDALKMYWFFTSTTEIPWSF KICGMFQAACDLGLGFQYMIYGDHEPEVLARQWPYAGLKPHQLSMNSGRSTPTGRKTP MSEKAY PFICI_08070 MRPISQLSSNLLMLSAFVRGDPIWPSVNDELEEIMYQVKGFQNR GFGGTVIPCSSEASGPGRQNAAEWLRTGFHDMATRNTFFNRGGLDGSLQYEVGRGENT GPGFKTTLKFMSDYYSTRSSVADLIALGVYYSVRSCGGPAIPVRGGRIDATAAGDMGV PQPENSVFSFQQRFLGMGFDSTEMIQMTACGHTLGGVHTSEFPNIAPANSPNGEAALD STVAVFDSKVVIEYLANTTTNPLVIGQSQTNGRNSDARVFASDGNATVQAMSDPLAFQ SVCKTVLQKMIDAVPPNVVLTDPIAPYFVKPVGLQLTLEGGATMMTLGGMIRVRTTGL AAAPLSVTILYKDRNGGSDCMLAGCAYTISQQGISSGFDDMFAWYQFGGGFRITTLAG ISSFIIVLNFADGTKQTFDNNGASYQIQDGVLLQKPQSCLLQTTGALTVTAAVRNDRS ALPVNLIVYQKTGSNSNPVATLSNATTPMTKGACVGPYTLYTANYQIPDASLSYSAKI DVISGAGSDVLRDDFNKATELGGSCDAFSAPPASLCTTGQAGTTSGTSSTTSTSISIT SFASSSTKLSSSTISSSSSLTSSSSSSSATATGPIQKQSLGTYQLLGCKKEPSNARAL SAARYAYDGMTLESCMKNCTGYNYWGTEFGRECYCGNSIDSRSEDAAITECNMPCSGD ATEYCGAGNRVEVYVATATPTASLARKPTVSPYSRLGCFTEVAGRALTGTRFADDAMT LELCAAKCSSFSYFATEYSRECYCGNILDSRSIKVADGECNMVCAGSIYEYCGGSNRL ELYGLSTIPSPGSTTVTSSSISSTIMKTTSSSTTIQSLLTSVSSLTFTTSQSSSTTIS IPVSSISSQTSSSTVTTQPTSGSAKPTSSASSSSLSSTFTSTSSITTGTGSISTKGSS TGSVTSSPSTTTASSLQHIPTVGNYTLQGCRKEATSGVRALSAKSTSSPTGMTLETCA TFCSSYHYFGTEYGGECYCGNTLASSSNEAASPADCGMVCTGNRYQYCGGPSRLELYY SSSATSDGPGQPAMVSSGGGGGGIWKWDGCRTEADNHVRALTGRSVSANDMTLEKCAA TCAGFKYFGTEYGRECYCGNNFSAGSNETDAADCNMACSGNSGEFCGAGNRLSVYATG S PFICI_08071 MADEAIAGHYQVLEELGRGSFGVVYKGIEKATGEVVAIKHIDLE STDDDIQDIQAEISVLSTCASSHVTQYKASFLRGSKLWIVMEYLGGGSCLDLLKPEPS SFSETHIAIICRELLTGLEYLHAEGKIHRDIKAANILLAETGKVKLADFGVAAQLTNI KSQRNTFVGTPFWMAPEVIQQAGYDFKADIWSLGITAIEMACGEPPNADLHPMKALFQ IPKNPPPRLEGNYSKDFKDFVTRCLVKDPDLRPSAKDMLKHRFIRYAGKVEALQELIE RRRAYDASRTNKRNRAMYQETLRSISPRDDEDEWLFDTVRSVAPRKTPAKTRKPSSIF STDEALRRLDIKDAPLQPSSPAQPSTVRKATTRRRPSLAQNTGTSSMRVPPGPALRKP LQPDMSFGNSGSSQRLFKRVPSDSSTSGHMSWPDDDDVFSDENRQPKTVEASSKEAIL GRRLYSKAVEPTLAELHAQTSSMSKREALARFSDAFAGLNAIDPEGAYHMMQGVMASV AQDTKLSNTFLPKSDSDLRSSVSTGRGSFNSETPAGTVIIRNTPTTDRSQMQLQPQQS PSRLVLANNNPHLRSHRRRQGSVISDISGSPEKPATDKEKAAIGEKYPGRDPQPGMEH CRQLSDVLYSRWVDGLRLRWPNM PFICI_08072 MPAAPPVPMRFPCWCRAVYSWGGESQRDLGFVEGDLIECLNAGD GSWWTGRLRRNKTVGIFPSNFVEVLPENFRPVSRSTSPLTSSNTPSPTSGIMQKTKSK PFRKPFEAYAKAPHYTTAKQPEIIRDMPQRQNSHNSFQEPQRQNSRNSFQEPPPRTQR LNSHASIYQQTNLVDEMGRRPSAPEMHGYGSRAPSPAPSMSNYGQAYTQRAYSPAPPM LNQAYSMRAPSPGPGLQMSFFGARAASPQPPPSPMQHGSRAPSPAPSFSFTPYRPGAE RNSPPPPAPPPHRSAPLSRGGSNASYDARPHTPRAHSPVPPSPGGEGFTPSPLREAMD GVMEQLDALGINHQSDSPEPPLDPWSPESFDMVHHRSKRKNQSQMRPQTSMGVALQDE GYETRSGGSSTENTFHSSSYRDDPHQLPQLSNYVERMESRLKKMHQHSSSLSVLEDHH EMGPPPPPKKHGFDRPKSAMDEGERRVRKQKSSYDMGRQALNRTATTKTTSTEATNSS SNTQSTNHSLMSGVSAGGISATSAGSLARKNRNRAQSALGYRTAEEALLPEDRPTTPF SGISYHPSHSSSQFRSQSQAGFHDDGIASIGGLVSPSAVKPKRSNFIKRILEATKTGV ASGRSTIAGVSTSASSSPVRPSVMTAISGGASSINLTRETTARDMGMSAGVDWVQVRR DVNRSNTLSKNERNERRDRCQMLDYPAIDPVEELYESIEGDEDAEGLPVRNPTDYQSL NLSQVDKNSRFIKSLPPMTTAITLATTYVCRPYRSEVQRLRAIFIWVAEMINWEEDFE GDVDTRRVIMTKRGCAEEYAALVHEMCEAVGIHSEVVRGYLKTPGEVPETSIMPRSNH WWNAVLVDDEWRIIDCCLASASNPRRALYSSSPNVNADGWWFLARPSEICWTHVPEHH AQQHICPPVPHDVLLNLPGACPPYFKNNLAMVDYNTSLTRIEDLEMVHIKFNVPADVE VAAEVEVRAYSRDSDGDFFESGDVIKKRALAQAEWYNGDKRYTIKALLPGDEGSGVLK VYAGKRGLMHSIKDIPHPLAFALPIIHTGENPPYEFVTRHPTPHAQRHDIYVVQPQCQ KLALNNTFVFAIRQHPSTAAGAPSSNPGGTSPIPFMRPGSAMSMASSLPSNASSSGYG GGPINGKKPAKLAIQTPGGKILRLMRKEERRGMGVGSRNLGEEEEVSDGGSWETIIKC SERGVWRGLVLADRTAKWCVFAEWICVG PFICI_08073 MEADPHEGSSLLAHADSSANTGHTTKGSNHNGKGDEHALKPRQA IKAYPMAIFWTLAVSMTVIMEGYDTILIGNFFAYPTFAEKYGNRIDKHGHHQLSAPWQ AALSNMAGIGSFFGVLINGHLVHLFGQKRVIIGALCMLSCCIFFTFFAPTIQVLAAGE FLCGLPWGVFASSAPAYASEVLPLSLRVYLTSWTNMCFIIGQLIAAGVLATLVTRTDE WAFRIPFAIQWIWPAFLIPLLCFAPESPWHLVRKGEHKKAEQSLLRLRRSDLVDKSEV QETLRTIIRTDRLEKKITAGTSYWDCFRGVERRRTEIACMAFAGQMFSGLAFAYNSTY FFQQVGLSPSQTYRLNIGGTGMALAGTVVSWFTLMPYYGRRKIFLTGMFALFLIQYMI GILNFWTDNGTVAMTQAVMCLVWTFVFQLSIGQLGWALPAEVGSTRLRQRTVCLARNT YYIAFVIAQTLQPFFMNPTQWNMKGFTGFFWGTTAFLTWLWAYFRLPETKNRTYEELD WLFANHTPTRKFETTVVEIEDDDDDEYGGAEPHGGQAETSG PFICI_08074 MDTSSQGMLAYAAPSFFQPHRARDAVRDAYKGRIPPLLGYYAGL SSIPITRAVAPMNFDCVWIDWEHTACNVETMTTMVHETIFMSQGRTIPWVRVPGHDHA NIGYALDAGASIIVPQVETVEQAKHVMASTKFGTRSNGNRSVPPFRLMPQLADMSLTP GRDLHQGLNDQAAVMIQIESLEGINNLDAILTEVPEIDIVWLGSVDARVSMNFPANLG VGDEPEWIQAREKFFEIAKKHDKPVGGHCFEVLRGTIQPLQKLAERLSFIIMTVDVFH LMKMEDDLKEARDLFRRPATRTETSDS PFICI_08075 MDTTPRILPASELLVEDILHVFRSFSAISESKDHLQTKLSTAYE GFIRTTILNSQNSGRPIKLLLPAFPWKNPNLDKVLSGNADFGEELGLARLDHLCTSLA QIYPMGVELTLVSDGPVYNDLLGIPDSDFYDYGLQLRQMAKEKGCTHIKFTRLFDVLG LGDGDSLSKKEYLVVADVCRQEMEKRLTLTRDQLQAKIENDPDTLLTYQQYVKSAFED LRWGPEVDPVIKASPAKYESETQEVAKRMMQRLLAYEQVLSSRFPDHIRLSIHRSTGA SKISIPLIPQPDEFGMMPWHSCVLVRADGRFQTGHSSQFRDTEQFEIISRGSSPYLVR EKHPMFSWNRRVEISHAYGGFVTVTNLDRDEKNGKLDDDSKGKLVDLALRFGTIQLRG FDIS PFICI_08076 MAVQAPRLGHIATRSDDESRFPIDSEQTIKRIGTYLAEVDRVVG LIMDILEKFSLVEPGNTEYVGRKVFSPRVRRHVICGHEIPMVLPAFPSKSINFRDKVL GTLPDLGEELALDRLNDLCSQIQKIYRPGAMVLIATDGACYNDLTGVSDSNLWEYGAT LRAMVAKKGYHCIKFARFMNLLGLYTEPVMTKETFCRLLQPSRESLMQRYLPPNFDVN VCIREDPDYHDTYNAYARFLKKDLAFGAIRDAMTSGKKYKAKVNQTAKDMITRGVAYA ALIREQCPEHVRLSIHPSTGLTKIFIALVPQPGSVSMSPWHCAVAVDVQGRFKTGHKS VWQETHDLVYKSNRPYFFRERSDLYNWEAKVDFDHLYDRKLVIRNMADKPHELSESDK GRLASLIALQAQVTLEGF PFICI_08077 MTATHSFGRRLIPQIVDDRSKSSPERIVYSFPLDPQLTSWHHVT ARVLANAVDTLAWKLAADLGRSSTFETVSYIGPHDIRYVMVVLACIKAGYQALLLSPR NSLDGNMALLEDTDCKIWMNASSTFSAVSEILKKREMVVVNVPGLFELLEADPVPHFP YEKVWKDASKDPFCILHTSGTTGLPKPIRWNNGLLSTVDAVRLLPSVEGDNDMKPWTS LWSPGDRLYSAFPFYHGAGMVFNLLMNNFYETHGIIGPSSIIPNVDFIASLAEQGLVD IWNIVPSLVDEIGESFDVIDKFANSKLICASGGPVRYAPASKVNSVVRVLNLTGTTEG LFIGNLVPDREDWIYFCWHPWSGFEFREIEPGLYEHHVVKNEHANLFQGLFHTFSDVH EMSLKDLYAKHPTKPNHWIYRGRADELIVLSNAQKFAPSAVETLVSSHPNVAGCLVIG SGKFQAGLLIEPKNSQVRNLSERNEMVDAIYGLVQQANELSPSYGTIGKDYIMFTRPD KPFLRTDKRTIKRRATLQMYAGDIDSLYKEQELVQSAIEIIQSADFSSLGDIQSSLLE LVGSLGSGYGDLSPQDDLFRAGVDSLFVLRLTKSLRLMLSSKGIEPTAISSRTIYTEP TIERLSLFLAHILRMGQPIGSSAGSPIQKRDMQRQMARLREFYSPRGESITVLLTGST GSLGSYILARLMSLDHVATIYCLNRAEVGLRLQTDVNICRGLGTDFPKEKVKFLHADL SKPYFGLRFEDYSELLHEVSHVIHNQWPVNFNWDLESFEPHIRGTRRLIDLCKSTRKS SSLFFISTIGVATQVRGAPTIPEAPIHSDEIDSNGYICAKTVCEMIIQDAVARTGINA SICRVGQIAGPVRTGEGQWNRNEWLPTIISTSHNLGILPSSLGDFNRIDWIPVDILAD VIIELAGLEQNMATGQFSSQTSSHTKDVRFYHAINPNTTTWSELVPVVAERLGESLKI LSWNEWVDALRRVHDENMTIGPEELPGLKLLDFFESLKSGSQSVPLETGLSVARSKTL SSLVHVSPEWMDLWLQQWGY PFICI_08078 MDPVTTALLPSPHRAASQFSQLIVPTCTFETAPSLDLLIVPGGQ GTRDPKISKAVSFVTRVYPSLQQLIAVCTGVGIAAQAGVLDGKRATTNKLSWDSTVAL RPEVDWVYQSRWICDGNIWTSSGISAGIDVTLAWIESSYGETTATAIANRMEYVRATD PSQDPFATLYKKETS PFICI_08079 MTDFSRPLGRPPSKRTGHINDNNLETSGDSPASAGQLHLSDREA DSGGIEYLETAMSEDPFDAESLANFLSNESPLPPVHFDTASTPNGFDHFNGDILSLFN LLSKDFSTEWPEFEPTNKLDNAENLSNAFDEVTDIGIQISDIHLRISKQLVLVRQLPW DKETILKLRCSEGSNTFRQPHQIESVEEFDPMTSTMSLISEFTQLTKGLREPNKDDNR RFSSAQHLANPSRFMDNANLATMLSTNNKLLSIFEYILSRAISALSDAKRQNSTIPLR PLLSLGKYEVSPQIERSIVARLLSNLVSHEIGMLERTLGVPPDWAVIPQDFKDDYRGS AMLGGTRGETMLKAMNVEERDGTDSSDRKDCIVDLLRKRIHELGQMM PFICI_08080 MTYSSSYQWDDEPIRPLDADSTIGWAGVPLSDTLGYYGVIKRPQ LPTNDILGFVPDYLSVDVNRLVDSFLPAFAQALEAQDVDAILSYIHDEGFWKDVELLT WDIRALAGHENIRPMLTERLAKTMISNVRISPHIPSSLETLGEDLSFVSFHFEFDFKH GTGVGVAQLSPIKAKTDAATELADIDSWKLYTIGTAISTVDGWDAETGDRMRNREARV HDPLGRGRNYQELREDEREYNNGCEPTVVIIGAGHAGLAMAARLKVLGIPHLIIEKEG AAGQSWSSRYASLSLHGPTFTNHMPCLAFPHWFPEFLPAQQLSKFLHHYAEIMDLNIW TKSKIDGENAVYHEDEGKWTISVEREDGNKRILHPKHLVVATGISGTLPNVPEIPGMS DFEQSGGLIVHSSRFRIESDWVGKKCIVVGAGTSAHDVAYELNENGCEVTMIQRSATH VMSIDRSVRNFFKSRENTNRPGGLTVDVVDRSLYLKQPYPVEYQLLCRGQKKARELDA KLLQSLRDVDYRLHDGYHGGGSYSMFPFDQGGFYFDNGCCKLIADKKIALRHSEIDHF AEGIVVYKDGTSQEADIIIFATGYMQSKSPIQALMGDEMARKCHERWEKGNALFLGPE GESIIHFCPLPQKGLYAMHHQFSFCRFHSARLALRIKAEELGIDVMPYQGKPIGPPST AAGRQPRPPKTTQEVSIH PFICI_08081 MLFILLLFLTTCVAKAGPVDNGAVVTLAGIPYYVGSKPIGQISK EIYHQIMSAQSVNDDSDFYPLTVFETSASQVESEELANLTAQYDIEDDVFQPAFLYSI YIRSIINQTWGVDISRLGPYLDGTNTSLLMSSGNIAADLTGRAQYIPPLNIAKGPYFV STCTGGIFKAHRLYNDDYLAFVQGVVSDEAGAFKSLPAVLENVMAKSIAVPSRLYYTA TPEQPLAGLRFGVKDIFHVKGVGTSGGSRAYFYLYGTQNATAPSVQRLIDLGAVLVGK MGTVQFANGDRPTADWVDLHAAFNPRGDGYQIPGGSSTGPGAGVAAYEWLDLAVGSDT GGSMRGPAGANGIFGNRPSTGAISMDHVIPLSPVSDTAGIFARSGSLWAKVTQVYYET MASNYTSYPSKIYRMRNDGSGRNSAGASEEVLSMVDDWILSLESFLQVKSTAANYTEL WSSTHEADTDSLADMLYLTYGTYVSHDQWRLLGKPFLDDYAAVHDGRQPFINPGPLSR WRYAQANVTEEIYAQALFNISTFTTWWETEGYGRSDPESCSEGLYTYIWGNGLPSYRN QYTAAPTGPPFGLDDWAIANFAGVPEIVVPIGEVPYNSTVSMQIEYLPVTVVLRMARG CDYVLASLVDDLEQAGLLRPVATGPRLYT PFICI_08082 MHRLLLILLAQSLLAAAQTSFNSDGNPILSDGSIYSADPAPLVV GDTLYILSGRDEAAIDENNFVMNEWQVFVSSNPDPAGGDWQLYIDIARPASVFAWAAE GTAYAAQIVQGLDGKFYLYAPVTEADSTNSDKFAIGVAVADSPLGPFVDAHPAGPIIS QSVPSPGNSIQNIDPTVFIDDDGRVYLYEGTFGQLRGFELADDMVTINSSATTVTSLT GYFEAPWLMKRGSTYYMLYAANNAGEDSPCTPTSYHACIAYGTASSPLGPWTFRGIVL GIVSSTTSHPGVFVMNDTYYLVYHTADAVNGGHFRRSIAFDTMTFDDGQSPPLINKVT QTHRPAAEQEPSRNIAPQATASSVNTTPIQYWIASVNDGKIPGNPLPPDYWCSYDATA SPETSRLVLTWNATVTLNGTRMVFFADQPAGSNIGVPPPASWSLEYLDPAGAWTAVSA TTEYFTDVTDDPNEVGFNQVDTTALRATLIASGSGGQYGGVGVKEWEALAPTAQ PFICI_08083 MLSTAFSTDDGSLPYNIRLGIWTNWSKGSVMGSTLTLTRKDASL LIALTAFFISFVGSRFWKIVCFLCHRYLSSSLTAPEDALHHQRQVILRNSSAAESGLW TLIQVMWAWRHHASRSAVRLLPICLMALFCFVSFVLAGVFSANISSSAGDEVLIDGTD CSIFYSGDASNIDTAHDYSIWNSQVVNNAYNYAQQCYAVDSATSMSSCNSYVENRLDA MRDTAAPCPFANDICRDNSSNLILDSGYIDSHNHLGLNSPPDERILSRQVLHCAPIKT TGYNTSYVVGNTSFTRYNYGPNTRNTGNFTYQVSTQESQYTRANASLSGVDYRLGSVL AFMSNGSVDQLMSDFTPIPQLFRHDADVMIFFLSGNGVLSITPIDDPWYRMTKPIDHL GVVGVNGSSQSYGMEIAASPVACTSQFQFCNSVSTSCGPLTNYLDAMIGTAPLFNTTE DWISQDGPPPTKFGSRFQWFVHELSWFPIDISSIVSTLGTTGLASRQSLGAGVQQPLP SNQWQTEVTGWWATCLAAWQAVLVERARGVQNPAWAKYRALPQNQYQRDMCYNQKVRS TLYGSFNMFGLLLTYIAGLLIIIISYLIEPVLACRYRRSKYKPYKYLEWTTGSILQQQ RLVHENAGSGDWDQCTSDVPTTDGTLKLGYLDLSDPDHPRISACRETETQSRDDAKSL QNNTSEVASRSSLQPVAQAARTRRGRATFEHRNSQLNCRWGR PFICI_08084 MKFHSAIVLASALLVNGMAVERATVEECRVVDIKIAILLEENPV VADDFCSSYLSLGPSSATDISDSTIFVTTTITTAVATTTISAAIVTTTETSITTFSGC GIDGPLAKRALEYPRDPKHRHAHGHLPGHKPHPQAVNYGQGYGYGAYGAATTVAPTTT TTTTTATTTSLSGVETLSSALADFFPADISLGCDCQLNPPDATTTDLLTIFDSFTTLT ITEFVSGTASVTDGGQTVTHTATSVVVEEACPTPSSCGNRGVEWAEYHNDQGYNGDPS YYQFDPAVYKTATPNATGTTSKINVDSTFANTGDTFPVPVYDIEVPVELFVLNHRGYF YAPTTGVYTFYMPQVDDAGFVWVGSKAYSGWTRDNADINFITSLPFDQQKTSVNIQLV AGQFLPVRILFVQAQGGVVLQLQVTGPDHNQYVNWFTDSPFLVSYPCADPSLAFPPWG QET PFICI_08085 MAPLAQEGYSLFVFPFSLYSIMTRYTFTLGRAGLPQETSSLDIN LKLVNLHRDENLAEDYLLNINPKGQVPALTGNDLPTPLTDSLDISYWLCNHFPGLLPE AHKPVIQQFLTQLHGFEGLSLSVPSKADRQEGVPNPSLDALLARSDITPEYKRALEYK KKFHQETQEPALWEENVERAERLAKSVFDRVLRIHSWPQHTSGPWIFGEAATVLDAHL VPLVARLLDCGRTDLVPDELQEYALAAMRGPEYQAVTHGRRTIWDVSLGHVGLMKDF PFICI_08086 MTITRFLGLAGLFSMANSQKTVDLPSFCNLPPVVATASVLAQYA PALSYCSEHFPGATNPITLVQSAGPELIEVVTSTVGITTDAFTTTTLIATAVVTITPS PAVVTTETVTFGVRTTTTIISFYHDPLPREKRSKEARQHYGPQHEALPGHRGSGQYGK QGGYKLHPGHGAPYAVNAPNPYSHSSLHTSITATPSASSAFDPAAVFESLQLLDSTII GPVCTCIEAPEVILTISALPVATNTEWIMTTVTVFTTAVPQLIATVSVATTETIIASE TATTVTTTPPEATVLCRGPYSGNIVTNGGFDDADPEKDWDHIDFNFVDQSSSSGVRAI SPPGLVQSNVTETDGEIKELSQQITNIDPSVRYTVSFWYQPLFISPEQTSDPDVVSPG ENPLCELRVEWGDVPLLLDEFATSWGWRNVVLQNISQPLEIATLHFYPLCGFTFTRTN TVILSIDSISILEQSQVTCEDA PFICI_08087 MTKTKRQWLQGLPKAELHLHLEGTVTPETLVVLSERHDAKPLTL EEARALYVFKDFLHFLRTFKLVLDRLQTVEDYALITREMLRNLHRQGVVHAEVYIAWG NILHWKSHLKVEDVMTAVEDARREIERELGGPSLLWIIDATRQFGADEVGRVFRLAAE LKGRFPSIIGIGVGGDEVGGPLEWFRDVYAEAQKAGLRLTAHAGEATGPVQGPLQIRE ALAMHVERIGHGLAAQYDDELMAMLAKQQVPLEINVTSNVLTGGCPAVEAHPLPLYLE RGLLCTLNSDDPTMFGSDCLDEYVRVSEVFGFGLEKMRELARNSIHASFLSNERKEDL LSGIDAYV PFICI_08088 MAPPAPEISVSDLTYTFPDYSTGVNNITLNVPPRSRTLLIGANG AGKTTLLRLLAGKRLAPGGSISVSGADPFKDGLEGVTYLGLEWVLNPIVRTDIGVNEL LRSVGGDAYPERRDELIGVLDIDTEWRMHAVSDGERRRVQLAMGLIRPWTVLLLDEIT VDLDVLSRAEFLGWLKHETDIRECTIVYATHILDNLAGWPTHLVHMHLGTVREWGPAE QFLGDTQGTSTGNSQLGELVLGWLKSDLKSRGPRNHAKLGNAGRSYIVGGFGGYGDES TEKSTETN PFICI_08089 MPLSSDERGEGSRTLLQDSLSDVIQGMPSLKIIVPLHHDQYCRP SPLASIRTKRLTSSLTQASKVPAKRRGSSIKPTVETLASECYDKGLLPDALGELIDLI IHPSHLDQASLNGLIRNLYPAVSIDGELVIKIVGCLGHGVLKPSLGIQAALLKWLIMT HNVIKDQDALSKVYAVLFNLLDTAAIRPQLCHLLALITRRRHVRPYRIQAVLALSRQT GNDPALTGLLRVFKNYYPEIIVGDATRGKASTFKYPDPEWRERLGEVQQAHAQSLRDQ NQPRDAFRVAHRLGNMSGGKASVIPEVHTSHAQEDSITLEEIDSADRLVNNLEKIELP NQLVSVLADPLLQKLMLLRPNDVYESRITNWLSSYTEDVVRGEPTSTAELTSFLQVIQ DYVSTARDDSLAPALQSFFSSYLKVWDGKTGSQLILNILSHTGIPQRGFSGLYEDTFA SLERKVLDGSGETQLEMLACYTALLRHWVTVFLAKEADKTFGPVISDLVCHVNKLCLT LIQTSSSTSTLSMILDFYELTAYMVSTPRLRKRARIDIPSSALVYMLHFNSSSTSLSR LCGILSCYKEGFQAAMTSSRTAYPAAYVNGFNGFLMDICNCLWRSKAFNNTDANAHGC LVPESARVALANYVSSLKNGSNLSSMFTLSASPTLGLMATTYLRDLEDIEMEQGSSGL DTRHAGPATRTSLIALGKNGGVSLTWDEFRLGILGYLEQQHLGGVGLLMHSTMTTLMK KK PFICI_08090 MAPDLVHRAASYPRSEKVLLKRDLQSCIPLMNVLNEGDLLVLLS PVVAPLAQDDVVLDPFEPLGRALAARHAWVRHVPYTANRGITEFHSTFIKRAKVIIFV IAGAAVPGQVSQIDMAELAQVMAEYRPLVVVACQEEYLGFEENHFGTIIQLSGYAPSQ LEEAAAVLFGETPATIDRPLGLQEPTAAPKKWTVEALPDSLIQFDVSPILDLWNECLP KQFTFNRYTLQSLLDRDGFGRHYVVRQPETREIIGFCATYTTWAFSDPDFLVGSVAIL LVKNTYRKMGIGSSLYNHATGLLTRTRGVERLQLGSTFPRLLCGIPQELSPSRDWFKK RGWDLDSREPGGGKEVCDWLLKIHDWPSGGLGSIPEGYTFRTCTPDDFSNLLDFLRRE ESRNETMGLFEIYKWSKDSTFDIVLSLHGQTIVAAALTYMPDSGSTADTEIPWPRTMG PLVGGITCICISEDNPAVQRYRNSVMIRLLGTCIEQLSSYGMQEVFLDGMRSGGRGFE DLGFRKWATYQEVWKPVGNARP PFICI_08091 MLRRIVSLGQQADEGHAEADNMSPSPGDDADTKPSGGLASVLKT LTGGVKSSKSTAKTQDLPASTNQDERPNNSRTANGLPPNFMEALEQLRVGSSFSERIA AAQLLRLAVIDYPLNPVLDIWYAAKDMIDPGNPGPVRVAGWELLAACVKHASSTDLER KEYFMTVTALANPDDFRLQLKALIDLTNHGRNLSGFEYEVYPLVTNWLEMRFDAAKTA RKQASRTKGKGVTPEEDVDFANLFAYVMDLIKFNFSVMSDQAAQALIDALVSICLNTS GTDDLRACIDVMGAIITFGAIPNGKLKDCVQVLSSVYCLVTTLQKEAWHALSMLCRSH YGHAVVRTLLNALRETDNDTEQSKEGTRNIRGALAVLQKLVSKSTEKGYPPVAYGLLV DGLATVTNSITTWKIHLDVLRLINTLFDGPKGQMNPMIIDEDWTAMFDVANTCVTTHL SSTTNEEVVNSSSSSESSESLQSTLGKEVATLISILETVLVAQAGALLQRQSCIIFFS KINKILPDSAATLVLDYFTEFRCCYPSDLEWEDNLRLVLDVLFANRTRSSQLRVQALR VVTEVYDMVELVNDQLEPDFVPKLVKSILRDVAEESDIDVLQDIVSFTVGIASSGDHP LFHYIVDTLWGILTVDRLRSPAAPTASPPGEAHVEQAISQSPSDVVAKGYVRIFVHVM HTDATKAIRLFSLLVSMVKSNSTQPDARLTAMKLLFRLRADWANRVYITTFTETEHLA ASLYRTDESLNKKLAEEAAQPSRLSRGEAGSGRGSRGVSVGHGQTQERTIAERGSIAR ASLKGFAPGYQQLWSASDLDVLPDRASRLASPVLSSAQKPELTLDVTKEEAVEGVTEE EAIPDDTEKTDQPQVAALPTSEWLDAIVSLLQGSDWEVQSYVLVYLPSQLSNHALFKG AITQIQELRRVLCELIRTNGFREPPSATGLRRADVAICLFHALTMILSYHQHFQKTEE DEIVATFVRGIAAYERTAKCCIHALSICCYELPLSTSKALVTILQKMSQIITQPSIAM HILEFLACLARRPSLYSNFREDEYRIVFGICFRYLQYVRDKKRSSRVGSIASDHHAML PGLHGNQTHPNASDDLPQYVYALAYHVITFWFLALKLPDRAKHVGWIVKSLFTDVDGS EVTEEQSQVTVDFMQRVTYANVGESADDPLFTPERYGEIVRRRWLIGNSVVTVEQATS SGWAQITKRQPSGTSSYMIRETLLQPPAHQEHTTNEMMGADGHREPSDNVLPSHLLVQ VLSALPQCTDQARPIPLPDDDSVNRALRMFDHSSTVDGHKVGIIYIGEDQTDEVDILA NVSGSREYVEFLNGIGTLTKLKGATFNTQGLDRQYESDGEFTICWRDRVTEIVFHVIT QMPTNLERDPQCALKKRHIGNDFVNIIFNDSGLPFKFDTFPSDFNFVNIVITPESRAS FVTTRQRAPMGVRESFYNVQVMSKPGFPEISPASDMKIVSLKALPDFIRLIALNASVF SLVWANREGGEHISSWRHRLREIIRLRERYAPKGAGHSHGPSPSPPGTAFGGGALANA LSVHGDLSRPGSAVRDSFSSLRRSSVATFFSNTSEQNTHSHRSSILSTAPTETTEVVH PNNCDTVVDKLDFSKWA PFICI_08092 MAGDLNQVVQLLDATLKPAERRKAELALKAEEKHQGFSLLLLQI VHNESLPQQNRLSAALYFKNFIRFNYVDAQGNYKLPSNEVDTIKQELIGLMITCPPAI QTQLGEAISLIADSDFWERWQTLVTDLVSRLTPDNAKVNNGVLEVAHSIFQRWRPLYR SDELFTEINHVLKTFAAPFLELMIATDGQIKANQNNKEALKAYLLTFNLLIKVFYDLS CQDLPPQFEESLDRIAALLHEYLVYQNNLLVTDDDDEASVLENVKADICDVLQLYTTK YDDEFGRFAPKFISSAWNLLSSLGPQQKYDNLVSKALQFLTAIASQDSHAQNFNDEAV LKQIVEGVVLPNVALRESDIEQFEDEPIEFIRRDLEGSDTDSRRRAATDFLKKLQEKF EALVTGVAGNYINHYLEQGKTNWKDKDTAVSLFFSIAAKGAVTAAQGVKTINSLIDVV DFFQKHIAADLINVESEPISKVDAIKFLYTFRSQLTKEQWQGAFQPLIQNLGSDNYVV YTYAAIAVERVLYLTDDAGNHVFSRTDIEPFAKDLLDHLFKLVEKDTAPAKMQENEFL MRCIMRVLIVMKDGVLPFADMVLDHLIRITAVIKENPSNPRFYYYHFEALGALVKNGS PGASAQLQERLWAPLHTILIEEVNEFIPYVFQLFAALLEPNPSGALPDHYKALVGPLI LPTIWETRGNVPGAARLLAAIIPRATESIIAENQLEPILGIFQKLLSGKKTEQSAFEI LDVIVVSIPKPVLEKYFVTILQLLYTKLQNNPSDSYKRNFVCFYHLVSAKTEAGLGAD FFIAQTDSIQANIFTPIYLQQILPISKTLARPVDRKLAVVSFAKSLAESQAFAQRYQK GGWRFTCEAMLTLLANAPTLATGGGNEVVNEADVDDIGFGMGFTPLNTCRQPTRDEFP QIQDVPQWVKQYLTHANASQNNVIAGYVQERLTDEGKQALAVYIS PFICI_08093 MRAFSCLLGQSLVAACGLASTVSAGVLRSRLSEGIQIEYNEPNI CETTPGVKSYSGYLTLNSTSLQDYPQKLFFWFFEARNDPENAPLSIWLQGGPGAPSID QALNENGPCRVQSDANSTVLNPYSWNNHVNMLYIDQPVQTGYSYDVATPGVIDGLSGD IYPNDTWTGPLNVTAVAGVFASQDLTRTVPTTAVAAEAIWEFLQLWLSEFEQYQRKSI SIWAQSYGGHYAPAIAHLLQTRSKSCSKNHLKISVDTVGIISGFTEFATYAESYPNFA LNNTYGIQAYPVEVAESAAANWSAPGGCKDQLDSCRALTPNGYHDQYGTNDTVTEVCG SAFLFCWTYVYYAYDALSGLDPFDITHSTPISFPPPYVYGYLNQDWVMEALGADVNYT VNSNPTQNTFFGVGDFVFDGFTDDLAQLLDDGVKVSLINGDRDFRCNWVAGEQVSLNI DYKNKDDFAAAGYAEIVTNDEYIGGFVRQYDGFSYARVFEAGHHINSDQPETAYQLFQ RTMFGKDLATGSIDLADCGTEDDDNDDNDKGLYSTEGPASVFNVTNTPPLDPGSECFV LVLPFSGYCTDEQILALYDGSAVIENNIVVSPAGRPAANSTTV PFICI_08094 MEGFLLVPPDRTALIGRAAWKQRFVVVGSPQRDVVQSSPSLSQV LSTSRIKDARGSSNKIKQIPAPDAVYLSIYKNKEDWDAVQQHSFASITDCVVQNFALR KQGPPSPTLILQISPDPATDKLRKRRSSRTAGLTSTKDSGPMTLYFRPADEHYTLHDW ARCISSLMQPGVPGGKAFQTPSTPISPLFSNPFATSSRDASESIRQTVSHSQSQSSQS RSSRDRPVTFSSGSPSLRSRKSDLSSHASSVNAMGMGYMVPGQHYTTVLPTDLPSPAT TVFDYPGDYMLGWTSAQGRSSTLSSPIRGRGSVGSQGQQGPPMSTSPPFPRETILDRA FQMRRIPGSDREALPGEENLSSLARFEALMREKEEQRKKEKEKLTLITPPMTSTWEDD DSDQDDLNDATDDESTDEDVFEEEITGDRHAIGPSTHRSLHYLSNRHSSISHTGRTAL SFHADSGAYDGSSIARPHTAHSRPRPLMSPRTTSQPQVPSSPLEPPPRPREDANLRPH AEHRNSASSAKRLSFTEFTRRLSSTSSLLIVQTNQSTGSSRASSEYEAQQTTPRSGHN TRNTPRPTEQEKCNWRGSIGVFGGGEGGFL PFICI_08095 MRLQPNAVARSRPIQDSEMEQFLREWRQDAYNKAQYDSAIFVGD KLLALTNDDNDAFWLAQVHFATGNYTRAQAFLSRQDLISRNPSCRYLAGHCFIKQSRY DEALAVLGDRNPTHLIVSSTNKRKTQKNSGKSDKSSRRRDGSDEEAANRRFEAAMCFL RGICYAKQNAFDRAKECYKDAVRIDVQCFEAFQQLMKNSLMSPDEEWQFLESLDFDSI NVGGDTSSSQEAAEFTKMLYTTRLSKYRNPTSFMTACETLSTHYNLASNPDLLLARAD LLYTQCRYKDALDITDSILAEDKYNFSVYPLHLACMYELKKKANLFLVAHDLADSHPE EPVTWLAVGIYYFAIDKIAEARRYFSKASMMDAHFGPAWIGFAHTFAAEGEHDQAISA YSTAARLFMGTHLPQVFLGMQNHALNNMTLADEFLKTAYGLCKTDPLLLNEMGVVYYH QDRPLDAVKLFVRALEVAEDIDSEPAAWLAARTNLAHAYRRAKKYPEAMEQFDEVLRL GGKDAAIFSAKGLLFMELGQPEEAIVVLHQALAINAQDPIATELLNKALEEAAGLEPV VGEAQNEALDGFEKEMEKIRGPKKHRPPVKTQKPRAVSAYDRTRMRIMGTGGPLSDVE MDAME PFICI_08096 MSERKVLTKYYPPDFDPSALTRKRGPKSVGPKVQTVRLMAPFSM QCLRCGEFIYKGRKFNSRKETPENEKYLGIQIFRFYIRCTRCSSEITFVTDPKNGDYR CERGAKRNVEPWRQNTEDETDEQRLARLEQEEEERNAMVELEAKTVDAKREMAVADAL DEIRTRNARLERSERDGVEFTVAAPEDDEAARQDKEDEEAAKAAFAASRQVDDIVDEV LEETVLDAEMPPPPLPTIRKAPPVKKKVGPTALGIKKKEAEPQPQAVKAPEKKPMASL VSYDSDSD PFICI_08097 MAFAARLSAWTEELIDAIVTQQQQHGPKRRKPLRDSSLQKLRHH NFLRTNQFDVEHQLNGLEERFRVLNRDGLADNLRDNLEKLSTISNKFTPDVLHFLLAL ADRPFENSKLKDLEALRQPEEDPGLQLSWAEIAREDDWAADRELWRKVDFRDDSSEDE LVEDSDASEKSASRSPSSVEAQYRRRPTDLLLSPQEVDLNQVRESQSWRIVTTEALSQ PDRTEITELHALREVLFMLRGLSNDLFNADCRPAARCKLPHASWKSFQVVLGTWGEFG RNLHVLRRFTRKDQQSPLLQVFRSAIEQRLQILDSQLAKTEAGIVAIRKDTVVSLLKA AEDLRPCLQPLCVLSQIIDKLEKENYPHPFRYLELLFDRIGIAQLEGDSQLYRALGTI FFECFKVYLRPIRQWMQDGVLLPNDSTFFVVQVRAQLPLSQIWSSQFNLRKSSDGALH APSFLQPAVDKIFATGRSVVVLKNLGKYEINHDTGTEPSFDFDELVTSGNGDYAPFSD VFSSAFEAWMQSKYHSAAARLRQILFDSCRLRSVLSDLHHIYLMMDGSCVDAFAKPLF NNLDLLNIKWHDRLLLTQTFHDAFRAVVDVDRVVVTTAGDVPQDIISARTTVQECLPV IALTYSMNWPLRIIISEESIAHYQALFTLQLQNRRAISTLHRFRLKMDSSSNLNYEQG LYYRMRSRILWFCNCLKTYLANLIIAPLTSQLRHDLDRSEDIDAMASIHTIFTKRVRE AACLSSKLNPIRESMLEIMDLAICLEDARQTEAKRQGKESEEALGASSTPMRSSQNPK YTNAAEDEDSSFLDDQERTTNSSPQKPYVEVLADINKEYDRHLQFVSGGLRGAARAAK DDAASKWDLLAEMLEVGLEHNHNSW PFICI_08098 MAIAKPVRALGLAAILMWCFFLYTIWRPSTPMGPGDSLKNLERD PNLDPTGEPEGNLVRVSPAYAPDANPSARINATLLALVRNEELQGMLQAMGDLERTWN HKFNYPWTFFNEVPFSEEFKKKTQAATKAECRYEVIPAEHWDKPSWINDDLYTESMKI LKENDIQYADKLSYHQMCRWNSGLFYKHPALEHMQFYWRVEPKVHFFCDVDYDVFRYM QDNNKTYGFTINLYDAPKSIPTLWPETSKFIAQHPHYLHKNNALQWVTDKERRPSHNE QANGYSTCHFWSNFEIADMTFWRSQVYEDYFNHLDRAGGFFYERWGDAPVHSIALGLF EDKSKIHWFRDIGYQHIPFFNCPNSPKCKGCVTGRFTDGEAWLNKEDCRPNWFKFVGM G PFICI_08099 MPFPDRPVAHLLGSNGPVHAVAYSASPGTYILTGSSDRSVRLYN PAPSTSALPQNSYISKQQTAPPIPQGRLIQTYSAHGYEVLSLSVSADNARFASAGGDR SLFLWDVATAQTIRRLGGNQQSHTARINTVAFAGDDDSLLVSGSLDTTVRIWDLKSGS FKPVQALTEARDSISAVVVRDAEIVTGCVDGRVRTYDVRMGKCVTDVIGPSVTSLSLS KDGNALLVGSLDSKIRFMDRKDGTCLKTYGDPGFRNEELRVQSILGGKEQYVLAGDEM TATPGRNGEGRLWAWDTLTGNVAAKITVPWGPAGSEPRKKLIGKDGKEKERSNVLSCI AWRADGFGDQFCVGGTSGTVTVYGYH PFICI_08100 MLRLRSQAIHRLGKAYTCPSCLAAARPPVAVSVRPSKIASSQLV YTRTKNRLFTTSARLSSEDVETAKDVVPGASSSSEKAAPNPPEAVTKAKAKKKKKSPA KTPPEKIAPASADQSQHLAVLQGALAALKKVLEQQNIHYGGTKASVTKEQTEPKKPQK KPQKAAKSQPDQKPRETLAEATPKAKTEATHKVKTEAKTEAKTEAKTKAPPKAKTEAK TGAKTEAKSEEKAEATNQQPKSNGRRRKRKQSPPSPPSPPSPKISSKKKQAVPKMDDV ALLNAALLRSGVSIGALQASHSESKEPKNVPTKGAGKKGVSSKPVEAKKVPAKNKSTK NQADSPKKSKLEQQPLQISNINANELNLVPIDKPQPPVPTLSYGLERALFNPGVYYLQ DPRSKVYNFDPYLSNIMPIKEFDFDALKQYITSSKDETLISVAREHGKKYTGSTSSMT AALSHFHYLLSSWREINSGMMSQEFQVPNTNFTRLLRSPAATFLHYKDGVYAIDADKE FDSANILSMLGKSMEKLLTLPKEEYEKYRRVNSHQISEEERNADEAYHYTGLGDFMMR SQLDAHDPRVPGTGMFDLKTRAVISIRMDVQGYEKGLGYELRHRHGNWESYEREYHDM IRAAFLKYSLQVRMGRMDGIFVAFHNTQRIFGFQYIPLGEMDLSLHGTSDTALGDKEF KLSLTLFNQLLDRATAKFPETSLRLFVETRESNVAPFMYAFFKPVTSEEIESVQSKNK DSVEVVERQLRGITDAIVSEARGETEMEEGTEGAEVEEKIDEAEAVEGPRETEIKEEE EDEDEDDDVVSWGDIQLMVEDAMEDDELGIGAVRQAIEDALEESGLLEDQNPEEARGY VDALLSVVTDSSQRSAAESADVVDDEAEQSAAESTDTVDDEAQQSAAESTDVVDDEAQ NSAAENTDVVTDEAQATSELEATEVETAAPENTESVATRSEQVEAEPAKAFEEATSEE AASQEAASQEAASQEAASQEAASQEAASQEAASQEAASQEPASQESPAENDLDESESK DAESKDLDGESASPNMSTLSNLIVRMTRQIDEKGTSEEQPDAAVSSKLKEFERILSKI ISESREDDQATDSNTVPDAVDETPALADKVAAETTEDAADPSEPVAEEAGVEKQTKDK FPLLGMVLTVRNKVNGKYVTRPENLTKDDVWEVEYNIEELPDGRAHRLFMQCQRRRKT TFKQNDKEKQWNTMFGGALPRYTKEGRDFRDQENELAKKQPIHIVGQEKPVAYNEIFG DNGKEA PFICI_08101 MSESDQFEQSLEQLYADLKETIRDSLSKTGSGWARRRPQTALIL QKNLSQLTHWGTDIRNATHKTLDKVEMEDESFALTIRNQLEDAEEYMSQLRQLCERSG NGPALDIDIEAGLVESMQVSVKCLQYQVDPLRAFIYSLNQPWALGNSASGTQLSNTSS TKEQTTVERGCFEFSDTVRRLLDDPESDLRTLIRACVSLNFENKTFYSEGPLRRVMTL PRVVIFLSQEYPDMSESAITGLLKQITARFLRVFSILLILNRGSHIAEFIEKDVSDDK LPLTMKSDFSRRDELPIFFQNNGDQLPLDWEIFELLDFDHLQWKFMTPVLDSRHFHHW RLDQRIPLPWKSGTENMPTSGGVKKVRIQLGSHNLSNITADIIAVKTMRTDTSSPKRT LPLPNLMNMSSPTSKDELLRVLNILHSFKSPHLIQSYGAMEQGNELHLIFPWAECNLR DYWKSNLGMLSSASHSDIGNEPTKAFAAWDNIIWLSDQIRGIAHGLATLHDLVNGTVI RHGAIEPESILMMRASRELRGKFVIGGFGRSHVYSELDRSDENRMVAKPNAYIPPEDN MSGRVLSRRSDVWSLGCVMLEFMCWMLGGNEMIESFTRKRSPNHTHLHQFNFLDMVGE DTVEDSLAPDGVRRHHYSNPVTFYDRSGGGTIEIHQAVAECFRDLRELRSCTEFVNDV LDIVQNHMLLIKSTKRVSVIELTHMLDSTHTKVMASEVYATHPMPEQKRLAKKEEHFI YIKYVPTLAVIKTLPGDATRRQ PFICI_08102 MESSGILGSIGFSIAQVSFVLITLPHLYQLKKKYEDCRSLLNDY KLSLEASESKYRNWEMVWRRFDTAAYQTIWLDKYEDILTARQRIHDLSQSTKKRIQGV TKDLEAPPTRWKIFKSTIGIKSDEELKTWKRVLFALSTDVTIKKHIEDLKTAIDNITL LCQHEFSRRIGTTRLSTPTLEDTERLVQLLFFFSPFTQRAKDLYEARRIGRISRETHS WTVEIGRHDGARNVKEWEHWDPVVVRFAFNAFPTGLDGDIMSMCVEHNRDLHDGSVPP GFPSIDWQHAICGNGNPTQGVSKEPWPISQRCRSFGRLFRDGIFEDEATLMSWTPRRA ELILSLTNWVFLLWDTPWTADLCSLGLRSVCDAPALKNDLAVRLNTLGVDFQHRTDCN HAHDNKLLSFGILVAELLTTTPIRQAPRIDEHTAVRYQKWNREVDDWEPISVRQLVTM VRDKSGSADMRDVVSYCLDEANVRTPRFEAGYMLEYISKLYEPIERWCEDELDAYEEL DVEDWTSAALESPAPAQDVISPQTAVKPNATHGVVGVCVLLFVLSTAASMWA PFICI_08103 MVSQNTLGIMEQQNPQEFILDVFTDPASVRDVVRAILHTIFFHR FFPATIPQSREVLDITLPFVQDQELDTMIEKRTAELDRELSAERTHAPYSAGGVSVGG GGRGNISVQFFEKKRRKTWYMRAAYGGGDEEICWESWTVKVTVAEPKTESERAKVRKA MEQTLLTTVMKIITNVNQHKDHIPPILTSESNPFPYQINVNQKDAGWAKRIGIY PFICI_08104 MLSATRRWFQRNRTPIAIGAGVIGAGYFATQYLLSKLNDARERM SSDRIAKENLRRRFEQNQEDCTFTVLALLPTATTNVLETMNTEKITLEIQQMKGVKNA RSAESTATPPSIADTTLTEEEGKSTVSLQSESGVHASQITLPSPLASTTDGTQEGGQI PASAQKSRRTKRQLWDDLTISSITRAFTLIYTLALLTMLTRVQLNLLGRRSYLSSVIS LATGSGQSTISLENNDDDNGEHVYGNDFDINRKYLTFSWWLLNRGWVDIMQRVEEAVR DVFGHLSPRDLLSFDTFSQLTIEVRKKVEAPSQGSKWLSLLLPPQDQEAFVLRESGVL GDSAAAETSTDTTAPSSPTPSALRRMLNETSDLIESPAFNHVLTLLLDAGFSVLLNKK LLEGAFDRQPTSAVIPESEDEQLSKVVLLPKILSVLTRQAHVIGNGMPNEYLQEMESV KDLEAFAAVVYSSNWENEIQADDDFINVSKSESIAPSDSIRQSQVSESVVMIDREEPS QFSFESAWSKATEQS PFICI_08105 MPVQVYSFYIFDRHTECVYSKLWISAPPPGSISSSDGAGAPGTA PPPAPVAPTANSIKRAGDDAKLIFGTVFSLRNMARKLGGDDDAFISYRTAQYKLHYYE TPTNLRFALLTEPGAPSMRNVLHQIYINLWVEYVVKNPLSPVEHKGGEGVRNEMFEMG LDKFVRGLM PFICI_08106 MTTEPAIATLAPAALGGDSLAPGSDGRARMASTNSLPSPRIAIN EDATAAAAAAQNRPAIVPHM PFICI_08107 MDEATHMVYNVGKTFAKKARSAPAVQQYHSPMRQHKRTPSQHRE VKETLNAISEYTSDDPDGTKLRINQYVIKEEIGRGSYGAVHLATDQFGNEYAMKEFSK SRLRKRAQSNILKRPGGLRPGRGMRSPWSAHKRELSEHDAAEAKDSLFFIREEIAIMK KLNHPNLVSLIEVLDDPEEDSLWMVLEMCKKGVVMKVGLDSDAEAYSAEQCRHWFRDL ILGIEYLHAQGIIHRDIKPDNLLLTEDDCLKIVDFGVSEMFEKSADMRTAKSAGSPAF LPPELCMARHGDISGKAADIWSMGISLYCLKFGRLPFHRDNVLEMYDSIRTEELPIPS DEDPNLSDLLRRILQKDPEQRITMAEMRNHPWVTKDGEDPLLSEGENITELVEPPNEL EVNHALTRKMSHMLTVMIAINKFKGLIYKSRVGTPDLKASHRMSRTLEVPRAAEIELP QESSKHSSPANSGNTTPTAEEPQQENRPRNKSNAEEAAELVKARKAYLASNGHPARSG APDVKGQTQNSSEGAPAFLGIGLGDHDEFAGSETPADFVSDSPTGIDFNVYDRAFEAE MERIRSEKRGPRRTTYLTKLVNEKEKYFGDDCMILEAGRSLPALASSGYNKASSAAVR TLGHMGLAHREGAGAGEESSKTEHLRQALEAKKLESRDSVQAVKERGHKFAELVMGTM ASAKAKATGEAKEQKDS PFICI_08108 MPLLKPKAAPQAVRVERVVVKKNIPAKSSAAATPRSSSSLAPNG SRVVSSKYPSSSPRVSPDPRSLARTKSASPYPSSSDERLRAAERKRKVAVQRKDSTPM WGSDDSGDDDDQLEHALTGKRRKVVTEWKDPNRRVRHKKAFAEEPREPRIIHAADLAS ISTGCPPAFFGAPEEEVAVKVQYPSRTLPERYELVFRKEKINAVEDIKRLVKHVAETY LTLEQAKPFIDNQSSFYRLMERASNNASHSSKRNGETPNGSNTQSKEFQDFKAALDGY NKQISALVKDGSVEMNLDAIHDVPDDLVDFILAQVYERTVSPNVESLNKYQPGGDNVY GELMAPFVRTILSTHSNMTSDKVFMDLGSGVGNVVLQAALEIGCSSYGCEMVENSCNF AEAQEKEFRSRCLLWGIRPGLVTLERGDFRTNKKILETLKRADCILVNNKAFTPALND ALVRMFMDLKPGCKVISLVSFVMGNEKNGVNDIANSIFEVEEHQYYEDWVSWSKAGGR YFVSTRKS PFICI_08109 MPDWRSQYLANLHEAEFRNPINKDIVSACSQLADRVAALEAEKA VLEQKVQQQPPSITIDNTSPGNAASSDTTATAQLRLDLAEALRSRGQLQAKQRSADTE LTTLRAKSKADDRRVRELTAERNSLAAKLRDRTEELVGKNRLLKDVQDDNLTLNIQLD VRENECKKIKAENKELVDRWMKKMEKEAEAMNLANEPQANGRKR PFICI_08110 MGEIAATFPPNMHPQSYITAIAQKYNLKGIFYLDLWPVADPQVV LIEPELMDQVQVQRAYNQHPMSEELLSSMVGPNVVAAANGPVWKKLHHAMAPSFLMSH VRTLTGLMADETILFRDRLKSLARDGGAFSLEKECSRLIFDIVGQIVFNFPLHAQTQG SSYLDDLKETVELINESLSMNPLVKLKVRMRKGAITKRLDAAIRTKIEERWDTLRNGN VFPTRKNFLSILDLMLREALAKEGQGSVKSAKLPKEELGLLVTNVKGLLLGGQGTTVD TLCYINMLLSKNPEVVQKLRDEHDAVFGKSTAETLGLLESDPSRLNDLEYTSAVIKES LRLFPVGFGIRQAPAGSVVTYQDQSYPIDDLVIVPCWHTMHYDETYFPNPSLFRPERF LGDSVPRGWFRTFSRGARACLGQDLAMDMMRVVLLLTVRDFDFVCAGLEPNEKPKSTY TDLDTVFGDVVFAELALEARPRGGMMMTVKESGYSL PFICI_08111 MANKTAPVGFVLPFAYRLFFLVIEPISALVGAFYAHFRQAEYLA LTHASTAPGGTAIPTGTSVVLSQLANLYLLFALNEALVLRSTGDLRVWKTVLFVLLLA DFGHLYSVSAVGTSVYWNVSSWNAIDWGNVPFVYLGASMRIAFLAGVGMESKKTTKSK PFICI_08112 MSNPNTKLGFNCPKGGDFYVCDTAKIRFLGCCDVDPCADGSGLC PQLNLKPSSFSSDYYNEIKKQECVDANATTDWWTCQASPPFLGCCKSNPCDLGECPTK DLEAARLSDDPSAAQVLIGTATTTSTPTSTPTPNSHDGVHLSTGAIVGIAVGGGVLLL ALLAILVYRCGYLARHRKAQKEVEKPHSSINSPFGYSPVSPGFSQTYQDMPQSAHSVA FPDSPPPQGYNPYSPKRPYDVPPGSPPYENNSNLGVSDAWKNQPRHGSQMSSATWDSI STAIAQRHHPMSMELDGQDTEMPRPVSELPGTGLGAR PFICI_08113 MSSPALNKIAANSPSRQNPSELETSIAGALYDLETNTADLKVAL RPLQFVSAREIEVGHGKKAIVIFVPVPSLQGFHRVQQRLTRELEKKFSDRHVLILASR RILPRPKRSARSRNTQTQKRPRSRTLTAVHDAILADLVYPVEIVGKRLRTKEDGAKLL KVVLDEKERGGVDYRLDTYSEVYRRLTGRGVTFEFPQSGSTEY PFICI_08114 MITNQSIHHCRCTRSCFQCPICIGPLAVQSVAPAPEPSHLTADN AGSAPPGPWVLCCSYCNWASSEIGVQFDKPQGIYGQLLKMRHGIGSSDIPNAPVDAVP TKRDVLAEPESTKSRFAAMKSFYQSQLASANPATSSMSGSFGDYGFGSPNALTRIMSL YSGTSGLTASKTKARPTIIREADTENEGLRFPDLDESAAITKLRHQGYDGTVTRPQAA VQVEEGARFADEMWPVPYLLRSKRAKRCPACRHILSKPEAKVNNTRFRIRLVAVNYLP EISSKPLIQPGLPPPPSDLLIPMKPTQYLLTFKNLMFEDIKVSLATPNTTPGRFASRV TVLCPQFTIEANSDDYDINEVLKDDRRRERADTLQQVEPGKVWERGRNWVSIVVEVIP SSLRIEPRPTILKKDGDGKDDLSPLKEDEDILEIPIFVRLEWESDPAGDQVSTSASKE KDGKEKKELAYWTVLGIGRISQE PFICI_08115 MASSFTPYSFVQCPCYDAATHSRTSSGRSSPTSQNTPNDDDCAF DPRAPRSNYSLYPIEHLLYCVDCNQIRCPRCVTEELVTIYCPSCLFEVGASSVKAEGN RYVPRVL PFICI_08116 MSLQRHFSLYNGVSERSRGWKSRRTDPAATTEAQLSNNSNIMRW DGAARSSIPWDCLKKDPELWQKVGDCYVHLCGQGQSRRGPAFKVSFSTLQEAKCQPLL DRFMSEESKRSISALSRSRSKSVSKSSDRQPRIELYIPAPPNSDKQQAYSYHLATRNF FAFVFRRSLVGEHLGEALINLMHSMHESRSPDADNVADLMGYMDEEGYLEMKNRPAYA LANLRLAETFQLRDLYIEAFAHCCGMSERLSSCPEYQLVSSVTRKLMRRAVVEMEVKL GQIGKMLSTFLQDELSEAYLGLSPGARAHMERFRTLLQGFYAAKLGYYPPRSIHARTT IFEANVYQTLQDDFEALYQYLVDENFEPTAGVLPSAQGGICALQSVQSFDTRCKYSTL KNPLPLLPNISQQVNSRRMSWFGKQPKLSIRERVECHIALMKATNESKMQLLKNDLVR AYRKFEEDCIFAPIKGDKQEQISLTDARKVRWILVYTMYQTLLQATRAPDEVKDCARA PYSLCISTSNLPPWDHPIPSFIWRQSDLVSRNPSTSTDGRSGQSDGRSTPESPVLEIK PDIDYLALCNKDVEAYIESEMARSPVVEAPARRPKGLSRSNTFRRSMRVFGCREDDKT PTGSKSRRKSQHYQEITVQGYGNGMNDLEPMPTEDESSVAVMVAQIKNTVTTSRSAST ASQSSSVYASASEDGSASTAATSFMGSLTSSPVKTSRAYPWELCRSGTIGSTTRDKDE GVVLPRAMSMGSTSRPLSAFFESRGSQKQSRPARYRHSHMEPPKPRFAMHKHDLSKIS SSDEILEDIKTFSELHSSQLKTENIVWDSYNDLGGLTEIDAMPPRRVSTIF PFICI_08117 MASQDDMLKVASAIGFEIPPDQLDDYTTLLGRMKGALDTLSAMD DYTPTPDFTLTPRENVHFPDRADNPLNAWAWKCDCAHAQPTSDLLQGKSVCLKDNIAL AGVPCLLGTESFTGWTPSVDATVAGRVLEAGGRIAGKAVCENLSRGAVSVSAATGPVH NPYARGYSAGGSSSGTAALVGAGTVDLGLGCDQGGSIRIPAAMCGLYGLKATFGLVPY TGIASNDASVDFVGPMTRTCTDCAVLLQAIAGADGLDDRQGAGCPFPAQVPKYAEALA TSGRDGAKGLKIGILKEGLSNPVLEEEVKAKFLAAAKEFEKLGATVEEVSVPLHESAR TIYSVMSKMGNHMGMLGRATGRRQVMMTDLFEKKGLPYSPEALSKMSIFSKEGLFAGE FAWSNYPYAHAKAVNLCRKLRDDYDAALAKYDVLIMPTTLRASFPLPAVDAGPLVHMD ANKGMTENTAPFNASGHPALAVPMGLVASAADRAVQVPASMQIVGRFWDETTILKAAY AWELAVDWKSF PFICI_08118 MSVRQLLIDISVAAVVLHNAATIGYLLGAGQQSSLPPVHLGSPS PSPAPPVPPPVTPPYESVSRNVPETQNRELENRSTMSSSFLTSSGLFCRFVGSGIVQV GDTDDSDSD PFICI_08119 MVTTRSASKAVTVASTPNPQVWYHAPDRATLLWFAISLPLVIWD SIYVLGRPHTMEGGFLHWPLWAPYKLYGETDYVYGWKAYNSRNGFTSAQGSLNVIETA MYLAYIYLYFNQGKPVGGVLGGEKRVLTGRAAGQAVLIAFSAAVMTLSKTVLYWACEY FSGFDNIGHNSLPDLILLWIIPNGAWLILPTYMIYVMGGEIIDGLATASKGANIKSE PFICI_08120 MTSVTSASPSAQSHRRTESNEDSDSWQHVSSNPASVFFPSPGSG AGSMGSWMMAGYPNNIERSPQGMSPLHLDTDSKNTYSGSFPGSSENVLLATADDLLDS LTHDIERNQQFVPNQDFMYSGAFDANVDLPPYYDSLQNEIDFSNASSLDGLDFSAQPT DLGIPQDYLHGPNVSPWTATNVRSQELTFENTTFAASDASQASPGSSSHHSPRHSPIS PPAAVAEKAAAAPPKPSLRKLQGKSKVEKKKAAEPASSKFLIMTPMLINAASGKPNPY ECFEAMRMTHKGRKGPLANDTKENALHVRRLGACFCCHARKVKCDKERPCKNCSKLTA AVPQIMCWQFQDFLPVLFPDFIRGHFKKDQMAAFVAENIESFTVGGVESPCEVELFSG TRFDSTLKVRAKFFTAKTREALQHWHMNDGLNQLDLQSRSSAPIGIELDNATYRDELR KRTRDYIQKITLEPQYAEQVTDSCRHTTLPWRVLKIVQRFGQRSDSPMVKKALSIYAM HYVLTRHLCITPASIHALQHTNLMPRNVPWLTPRVLNRQIKSLLDEHLLKEMQALFEG FARSLKPKTRGEWAPCLAAFLVLCLFMETVEAAADTFVVAQNQVDGLGGSTAARPSPE YQRDFALRICREVENMPFKQFAYQFHQIYQTHTKDISTKAFNPLVDDSFVEQGDLDMP ASEMVASLKELLQGDSYYELDFLVADPILPNEGTHTFPRDASLNYTGRLLARFLLSFL DDRYLFDGRY PFICI_08121 MQLPREWIVLLAAATSAQAAGWSVFDINYDQWSEVVERDVCVVG GGASGVHAAVSLVDAGKTVAVVERNDFLGGHTHTYVDPDTQLPVDIGVLVYQPIPDVL DFFAKFDVPLLNLSTVQTNQIGQPANLSVPAALYSSARRNVDFRNGSATTLDWPDAEA QAAALQQLAGILYNYSYLLYGYDLPDPIPEDLYLPFGAFLEKYNITAAFPVYYQYSEG MGDLLHLSTIYVIKYFNLEDLQYSASGYLTQANGNNSQLYLRAGEFLGSENVFLESVI VSANRQNTSSGRPELLISTRDEGLKLLSCGQILLTIPPTLTNLRGWDLTAEEYAVFSQ YITTNGYWTGLVRNVGLNQSESVYNTAQETVMNIPVLPALYYVKPTGVLDDVWYVKFS ADNPTLTDGLVKSYIERQIATVQDASNMTAAEPVEWLIFESHTPFHLQVSPEAIRDGF FAQLTALQGGLNNTMFYTGAAFHTQASTALWRFNNDVVVPKMLGQA PFICI_08122 MENEANVAFNQYTNHLAEASKHRRLAQWEDAFRELKSAENFVDQ WRQRVLYELGAVERRLGRVKNATSYLEQALAITRVDELQRIHILGELAIVYQHAQPVE ASKALNAAKDQYEDAKDLAKVEWQSYHDHGLLKHKHRALQADAQACRAIGNVGLINYL RSLDDGNTDLLHESILQVEERVQRAQTLQAVLRQDPSTEDSDYDFHASLIQQAHTWES IGYDRLTLARVTNNELEEALRCGEKSQALTQHSHDSTVRGLSRFFYGYALLKNRKMDL AKKCLGFRDDHLDTCTSIVALCREPLEHYPKYLEEITIKELGLNMEQYDEHGYNALDY TVFQESAEMKRVVLQRLREGNYNEAEKDESRRAETIKRLEEESVLKKHYREVFQECLR PNLMKGGRDVVQKVRDSYADILKNDEAKMASFDQLRVVPYSDFIAHGRLPLFTDGITR EYSSIRAAKSEKTTKDCVVFFSYRWRLPLESRPDDAVETRYGEGTQYGRMCNSLESLL EISNRDCWDGSGGLDGRNLYIWLDCACINQENADPGIKALPIITTQCDVMITLNEPGF FERGWCAVEAALEQTIKQSFGVHKWYEHNFAENRLQEFSRPIVEDISRLHVTRAEDRP AIEFLFRQRKFLGNGAK PFICI_08123 MSSGPGPWNSSRSIPVSASFFDTASGRPESAIFSSSPVHTSRPL SGVYTTTAGPASSSSSHIWDARAGYSPTDSVDDIITSPGPSDYGFENGAAGLSLSSNG KPSSSSRSGTTTGKSVSGRPQRRPSNRDEFDGPHQFLQRPPPSYIAMQERDLPHLPTN LLVQEQDSVLNQVNDRLSQCAYDFVAKYQFPIPLTQDMRPVERPQDREWTEWVYLLKR LATKRRIPARVLYNGQIKQFVTILENSLEMRHAAKHQSRPLKDDRNILQLISAGIQVA KILKDAAAMDYLDRLYISTDQQIKERSAAAAARFR PFICI_08124 MFVASREASSEELQNDDASSTASWSLLDATPRDPFEGLPIEVPY KSRPLFQLCFLHAALLMTSLQWTWSTGISEQIQVSYLYHKLQAIRFVNDQLAKSEPGV EDGVIAAIASLALVEVSLSRGHSRRSKLTLSKKNCLGSADAVAFHLRGLIRIKQLQRK DGTPRPLGLFQRVILMATRRLKSRPPFNILDIMQTDDIHQSVVISLLSTAIRPMSPRH DLFALSMLDDPLQALGNVDHDPRAALDSHSSCPMQSVDKSRSDFLSCYFYLYIILQDE RVDSFVLNWFLEQLLADVCRTQPCMQKGQYSQTLWFWTVMFGACATVAAKITSATEEV QMRAMRDAYMDKINLASQVLRIKSWEAAKTTMRLFAWEDDFDGEDEIKGLWEEAVWAD GGHRPKVVVIGPGFPSG PFICI_08125 MMNTSAGPFYTQTVPTDLTGSLWDATAEGTQNFHGESEFPFSFG HITPRAMGQDPTEDDFSGKWMSAEDAPAMIAEPMRRMTSQSSNGSSHKNRTIKASSLK SRPRILSSVSQGSQMSSFDITGNAQADFLLHDSDAHSVSSQPMFYPTLPMGVGMVNGL SYATDMLPTNMGQQHMDPTQMRLDYNPSLTGNSPTASWSDSFSPIGGSRTSSPAITEA AWSHVPIGSSSQGSTTSHAIAQSPTLSHHPGNGLNAADDFYGNELLENAVMGSGFNRR SSNDGESTARDHPLYKNALPQADGLFHCPWEGRDSCNHKPEKLKCNYDKFVDSHLKPY RCKVESCENARFSSTACLLRHEREAHAMHGHGDKPYLCSYEGCDRAVPGCGFPRNWNL RDHMRRVHNDNGSSLNMSAAPHSSRGSQSASAKGRKRKSKDSETSAGRKPTSKPSAAE EAAAAAARAEAPLIEQWWSHRNAIQTYLQRFDNPVAFEVLEQSGEAQEHFAAMDKISR RLKKSRDPHRRSYTHHSG PFICI_08126 MSTNISSFAPASWPEPNYINPETRGPAAKIVGCILISLASIVIF LRMWTRQFISKSFGLDDILIVFSYVPATVYAIISLSSEVFLQGNRHIWDVEPRFFSPS LQTSLAELILFDLATNATKLSMLATISRFTTSSVSKKKNTVVLIMATVISLDAFIFLV VVIFQCSPIYAYWTIGLKSKKCINEAAHLLAAGIINTLTEIIVVFLPMKTILKLELPR KQRAIVIGLFATGFLACAAGIARIVFTWLTTSAADHDTVWNAWVLRLASGIELYLGII CASIPAIKPFFATYLPKLIDANIRTSKTSLYLNEPKLPTMTKSNFSDSRARSQTSVHV LFSPSHPLLLPVPEPARPAQQRRLSLSSSRAAAAATAAPTNPLSLSPVVSHKKSLSAD LNKPLPTIARHASASSQVQQFQPQLPPPPPPQQQQQPFPMGPLPPPQRSFFDDNDHED GDEEAGLGLRRQFSTQRNARRFSNSSSVTSTESSNDDDKVDRTTVFIMYQGENEVSGR SFF PFICI_08127 MSKITVAGVRSNVQELLDYSLNEKKRNFLETVELQIGLKNYDPQ RDKRFSGTVRLPTIPRPNMAICILGDQHDLDRAKHGGVDAMSADDLKKLNKNKKLIKK LARKYDAFVASDSLIKQIPRLLGPGLSKAGKFPTPVSHSDDLTGKINEVKSTIKFQLK KVLCMGVAVGNVGMEQEELVGNIMLAINYLVSLLKKGWQNVGSLTIKASMSPPKRLY PFICI_08128 MATAIPDLPILATDDSMLSRKFGREVANYFSGNPLNRLSFLRAD HAFLSSAFTHPSTSFLLLENSSPSVKNPSSLAYLAKSDIEPLTGADFFEKTEEEWVKN FNSSIARPVVLFLGLDEKQKSGFEYKEYKGTPYFAIDVTPKGALADKAKSVVEAVKAK GITFLPGARPLLTLNAPEAAIYAQARSLLDWNARNPFCAGCGSKTLSVNGGMKRVCTP TDLAGVPEGGAPKEREDCPTRHGVSNLCFPRTDPTMIVAVVSADGTKMLLGRQKRYPP YWYSTLAGFLEPAESIEEAVRREVWEESGVTVGRVVIHSSQPWPYPANLMIGAIAQAT PDGETIDLGNDPELEAAKWFPLEEVKTALLQGVSGLGEPAPAGYKEGALRLPPQTAIA NRLMDAVIGGFLTAAKI PFICI_08129 MRSLVESTPRWQETIKHSSSISHLQQAVKSNGPDSPCITGCRSV CWKVFLLGHGSSTAAWSHALLESRSTYASLRDHFLKYIKHPEYLANVSSDPLADDPDS PWNTLRQDEALRAEILQDVQRLPDEPFYHEPRTQTLILDVLFIYCKLNPDVGGYRQGM HELLAPIVYVLEQDAINPNGEASEGAADLTMVEMLDANFIEHDAFTLFSKVMDQAKAF YETDDNPSSIGRSTIVEKSQHIHEVLLYKVDPDLSTHLKNIEVLPQIFLIRWIRLLFS REYPFDQMLVLWDTLFSVDPKLDLVDLICTSMLLRIRWQLLEADYSVALQLLLKYPSP QDPNGPHTFVDDAMYLRDHLNPDGGSALVLKYTGRQPASATASSRPTTPKSSLGIDIK QTADGRKSPLSPSRFPTRFIQQPGGVEALFQGAAKGIFQRGEKLGVNQAVRDALGEIR RNVNEARSTMKAGRELFSEPGPNTTAMQAVAALDRRNKQLAVMLEETLANLHALAASD MEDKKKHGEALQIAAAKIQFVKVYLEDSTVALPEAQAANDDASIPLPADTEPVLPADA AASTSTQSPEAKSSPAPEADNTATLPMITEVEHAPDAIPTIPPAAAAAAVAAEAGPIA EIDPLSTSQPAPLPVLQRPHPPIPTRSTLAQSSFAWMLEPDQSASSATGPKSSAFESS SLSSSSSKPKPAAKKHHKKSSDSADRQRTAFLFGEEPAGGEEGGSALPEDIFGLQPMG GKTKERVRLWDD PFICI_08130 MSSSTGLSRTRSLRQPQATSRNQLSQRDGANNTSESGSASPSRL PQIKPLTRSGTISGTTSSSTTSSTAATTARSRAASSAKSTTTTASGRPLSGIFSSRPS TTSTTTTATRQRAPTGPENAAARPLGRAPSIRQVSSQQPPAATTTTTTTTSRRVPSGS SSVGAKRPTSSGGLPSSAAPKTRAPTHTRAKSTATALTAATTLRPPVSSSATATAASP SSASTTSAVSHAGRPSTTRLHARNVSQSGAAATAPPQSPVHGSRRPTFDTNQQYYSPL KSHAPKPLTSTFLAPPSPSKLPSNVAISAETSRLQTELLQLSLLHREAGAVTRQWHES ARRKLGRRFKAAAAEHESICAQERDGVEARNVAALLRWGSQDGGRLGLEEKLQALDQI LDEVWALTESEGRYGRVVEGFEAWAGRMADIMAKRRSGRADDLVRGDEVLFVSDLDTR WKDECAGHVRKLEAWCLMLNEIGPAPDEPSEQGPRSSLSRILEGCTSLVVDMLAELEV MLGIERDARRSEDDWIERMSEQLKIGDHETMDREPPLWKMVI PFICI_08131 MEWNTATLLAPRTGGRSRFSKALPMPPSPPHETPPESITAAAAT RTPTTATSPAFQIPRKLPGLPNMATTMALPPRKDSVGARFIPKPIDSPLPALPALPDK VSSPLELQKKMPPIPRKAVASPPPVGSSFTSSPPLEQLEPEAVDPVKLKRKSSISSLL SAYSNTSSESVHMSSHRSSDTKGSEASLSPDRDGQRGGQQRDLSAAYKALSRNPYEEP SAREKEFMMHEPLPPPPPTKEANASPRPAAQRTGLPATPRGGRLPAAPAPAAAPATIQ KDGDAFTSAARKTPSPPPRREIWRRRASSKSDRSIAVSGLKLAVSHGSTAATTMAASQ PAPAEEASQKAAQHPAILNPTLALSANNGPQLPPQPNSGLPGRNIRPQAQAQTQPQQS ATNNAIDALDNDDEMKRLRELKMQALGQSASQDSDKSTSHLQPLDSPTLKPSPAGFPT ILGAPESTRTAADDQPPLSPPTKSLRRREVGRKPSLQNIKGSEAGAAQHLREARSAID LRAARDKQAEAAMENFPALEPPHFPGQYYGGAPSPRSPRTRGLSSASNASGRRVPNPQ LMVTSPATPPAPRPRVEYKDMEYIQMTPQQQNDLSAAIRKAFGHSKDWARVTPNKDGV WPCRELSADHLTCASDHKGWVNTPNAHYPIACMLCHDGSKESRRVCRSCGIRVCPGCS ELLTGVKIENLDFNALASKEKEKEDAIAGDQEGLYISK PFICI_08132 MSAKLPSEAAVAAETAPLLGASTSENGITAKPNGNNGTFQEPQQ SGEPATASSENVAEGGSRDTQDHSGPKIKVNMATLLPALAIGILLVAMDQTLVVATYG KIGSDLDALNSTSWIATSYFLTLTTFQPLYGKLSDIFGRKECLLFSYSVFGLGCLGCG LSKDIIQLCVSRGVAGMGGGGMNAIVSILMTDLVSLRDRGVWQGYINVVFAAGIASGA PLGGFIADTIGWRWAFIGQFPIAIVAWLAVFLVLDLPKTDHSHWAVKFKKIDFPGAIT LSGAVFLLAFGLDNGSNEGWGELSTIIPLALAPVLFAAFILIENKWASNPFAPGHVIL DPPVLAAYGANFFGLAAQMGAWFFVALFYQGALALTASESGLMFLPGTVSGLIGSLGG GLIMRRTGKYYWLTLIGYGILCLSLVLIALFTGIVSKSLIGVLVAMVLLALGASISIT TTLIAIISNTAPEDMAVAVACSYLFRSLGTIIGISVSTAVLQQVLKTELALRLSDSSA EEIAERVRESLDYIRELPIDVANVVRDCYAVATSWACLPAMIFIVLCLISSAFIREKK LDR PFICI_08133 MASTELTLILAATRNMGIGRAGGLPWTGLKKEMAYFARVTKRLP HDVQPPAVNAVIMGRKTWDSIPPRFRPLKGRLNIVISRSHPEAAAAPGVEKLDLDTEP VRVSSLERAVAYVNEQGGSIGRVFVIGGAQIYTAALQLKEAKRILLTKIDTDFECDAF FSLRLFESQGDSKWAKCSKENMDVWTGETVPEGVQEENGTQYEFQMWEKVE PFICI_08134 MARRYIPLGLDNFEDIEEYRPGGYHPVHLGDILDGRYRILHKLG SGGFSTTWLARDNVGYHALKILKAEETELSTELQTLERLARLQAVHPKDSHVRHLIDH FVVQGPNGQHDCLVTEVAGPSIYELYNVPGHGYAAGARRLRVDIARKIIRQVVEAVHF LHSLEICHGDLTPSNVLLSLRSMTDWTEAEVYERFGTPSTVKLVKASKSSSDNSAPEY VVEPASMPDAKYFTHDALLVDFGESFPFSKPPSPGDIGIPVRYRAPETIFESKLTPAS EAWSLACVLFEFRAGNPLFTSILGSKDEIIQQMVQMKGKLPDQWWKSWDKRSMCFDED GKPLKEWPNGIPMAVEYPIEEMVADIGSEDDEAAMFGSVMSMLEPMGTRVPEDEAENM KDFLNGVLKWSPDQRWSITHILQHPWISGHNGPISTASYSNADQP PFICI_08135 MKLLKKQRKPDLAYEQVQAEKRTVEARLDEVERALAAEQDDHRN DIRNLLTKFNELSVACAVERDEQNREIEALSAKFDQLVRARAIEEDNQRDRNSNLSAA RLTEDQWWLIGAGPGPSATNPFEMQETDRYLFTAASSDTAPDLRPPTPRPPTPRPYGP GFPQKRDTDIGRSGPLQECNVCVETKPITAFPVLSISNQCEHIPQTCYSCIATSIKTQ FGSKIWNQIHCPECHGLMDYHDVERQADEETFAKYQALSVQETANAIPGFVWCPTGCG FGQVQANASMNPIVQCGGCNYVFCFRHRVKWHDGLGCEEYDEMRANPDGFRSHLEILN EEAENQNRREAALKQEQEEADRRFAQSLVDDEEEMHRAEQLAAEERRQRESREVAEEA RRQAEREAARQEAEQRNREAAIRKQQENAGERLVRSTTKPCPSCKWHIEKNEGCDHMT CRLIIFFLSIPLAPLCLDFLRSMKILWCTFPLLVHHPTYTEATLAPEFQVSNVVLSFA GYVCIHGIAMAALAEDSSADMST PFICI_08136 MQIPQILLGLAATAAAIDVYLFPGKGCKGNSGVCRGLNPGVCCP GGNGNDLGSVGFYGIPTAWRIDAKGHNGGQCANTIAQSFVSGTTWVCIDGSRYSGGSY VFWGKKRGEGESSDGAKLDAFLLEDGTELNIADLDENSISEL PFICI_08137 MWPFKRWIGQPSATRYEQLYGTSTEHSSSARNGAPESSMASHWP PEDPHDPEYLSRSGKHGYMCDYQRTGYQVELDAVLDSRCQNAAAGTPRNRAYRFHQLG VAFLDKYRWLGNLGDLQRANQNYQEAINCDLGDHPIQSYILYGLARTFFYQYERTGKL ACLDIAILRSQEALITTPSNHPKRLNRLNGLGRALLSRYERTRDVTDLEAAIQQHQEA LDCAPCGDSYWLTEQYGSGPCHLAEFRKTKEEKYRDAAVQWCKEATEIGTNYHPDESD PLYGLGGKFLERYCQTSDLADFDSAIQRYQEAFDLTPRGHPDQAVRMRSLGYAYSVKY QTTNAQEDFDAASQWYKKAAELAPDAQSGRASYFADLGAIQRDKYKKTGAFKDIESAI HYLERSIELSSDGHTGRNFQKVIQLLEDKDWKPLHSASEEGHIDVVRLLLEKGADVAA AVHDGATPLLAASSKGHIDIVRLLLEKGADVATGDNYGWTPLIGASSEGHIDIVRLLL EEGAGLTVADDEGWMPLHAAASYGYIDVVRLLLEKGADLTVINCQGRTPLHTASSYGY IEVVRLLLGNGADLSVLDFNGRTPLHAASRYGHVEVVELLLEKGADLNVVDFDGRTPL QTALDNGHDGATLTQLLSADTMAGHSASSSGI PFICI_08138 MGNLLLDRSTWSQSHYQAIQNWHRDCHLNHKECNKSISGHEKIH PDDVLLPTRCVEFEFFDEQNPWTSSIQWVLRETKGQRGKYIALSHRWVQDTGEASTTT DNYEDRNNTWNRAQGYHLLVRGKLSALFLDIGRLACHLGIRYVWIDSLCIIQNDKDDW DRESIKMADYYQRSWLTVAVTYPSDTGGIFQQNVSKIPRITRLPYQDKKGDQNGHFYV QAGIDKTWAEDYNKTIINSDLRKRAWVFQEWRLSRRILALSNQGMFLQCQSESPRSLD GYKVDTSKNTGIDSDYVRWLRNLDKDSYGYQILHSWEFIVEEYSGLELTKFEEDRLVA LAGIAREYGRALTRYASKYGKQQHTYICGLWTGWFRGLLWEQADPYRQTRTKGFPTWS WLSIASVPETDTTGMTEGTLTGLHVRWPGRTEESAVCKFLSPILVPVTDNCRPRFERA GQHELNNLFDDLHSGLFSDVRNDLHGKIFGNDIRFAILPLEGRKLDICIDRYFESLED ADIAATMTGHRYPKRVPHEYMTMAERSRDSGRLSWRKVCLKSSCNTIIGWGSIEHPDY QADETVQSATPIYAFVVCKLSEAPGGYGLGHLSRSHTAFEVLYVRHVEIVGQKDCYER IGVGRLFGNGVIQEFESSLETRVNLV PFICI_08139 MTSPRPVLTEAEREHFLQYGYLHLRQCFTRDQASEVTKDVWTRL GMSADDRSTWTTARTHMPWHKTFDASVFAPRAWSAICELCGGEDRISPSSRDWHDSFI VNLGSPEGEGHPVPPKQLDNWHVDGDFFVHYLDSPEQGLLVIPLFTDIQPNGGGTMIC PDAIPKVAQWLYQHPDGVSPRMVPRDHADFARERNLQWYGDVIQSCGQFVEAAGQVGD VYLLHPLMMHSATNNALRRPRIITNPPVSLEEPFCFSRERGDYSLVEQKTIQSLGGEE KLKSWQITKPREAIVPERVRNQEAMKRDEARRLQTAREIAVEV PFICI_08140 MAQPKYLTGDSAAINEFIDRFDVFLLDCDGVLWSGDHLFEGIVE TLELLRSRGKRVVFVTNNSTKSRQDYQKKLTALGIPSDIEEIFASAYSSAVYISRILK LPEGKNKVFVIGESGIETELRTENIPFVGGTDPALRRDITPEDYGKIADGSMLDPEVG VVLAGLDFHINYLKLSLGFQYLQRGAKFLATNLDSTLPNSHSFFPGAGSISIPLVYMS GQQPLSLGKPSQAMMDAIEGKFQLDRARTCMVGDRLNTDIQFGVEGGLGGTLAVLTGV SKKEHWEAKDAPAVPAYYVDKLSDLRGARL PFICI_08141 MGKQANLFAFPAIDALASALRAYIVQAQNAGFTRHGVFKVGVSG GSLPKTLAKALLTPASSAEDKIDFSKWEIFFADERAVPLDHEDSNYFLLKQELLDKIP AELGQPTIHPIDPTHLGDTQELADQYEQLLVRSFASRDSVKLPIFDLLLLGTGPDGHT CSLFPGHPLLRETSAWVAPIEDSPKPPPKRVTLTLPVVTHSVKIAFVATGGGKKDIMK EIFEQGNGLPAALVNEAAGERCSWFVDEPAVEGVAFPRRGFL PFICI_08142 MACRSCRRALLRDLSSRPSLFASGSDIQYTAAKQLSRQIIATAP HHQQQQQQKRGFRSTATAAAEKSSWIPESVRNLGGMILKSTSEPYMVHAATENVYKIC AAEAAYTISETDKRNGTVKTTEEGEEIGTGTTLWHTDFDLPPTFSTWSQITMLHLYVV YARLRNQPLDAARSWQKQLTDHFFFDAEERMDLVHGITSRGLRHRYLKDLFIQWRGAI AAYDEGVAKGDAVLAAAVWRNVYKARDDVDPRRLAAIVSWIRACLRSLDQTPDFALFR GGANTAFSVAAKNEFRLVDVPARELEGVLPSAATSAQTQGSAPRVVKEAV PFICI_08143 MRRVVVTGLGAITPLGVGVRRTWSRLLAGETGIVSVASSEPQRL WRDLPSTVAGVVPKADADGDSSSSTEGLWRPGDWFTATEQRRTSTFTQYAMAAASEAL KDAGWDPKRQDQLEATGVCLGSGIGNLDEIYSTSITYDQSGYKKVSPLFVPKILINMA AGHIAMKYGFQGPNHAATTACTTGAHSIGDASRFIAFGDANVMVAGGSEACIHPLTFA GFGRSRSLSTTFNHDPPSSCRPFDRRRDGFVVAEGAAVVVLEELEHAKARGAHIYAEL NGYGCSGDAYQMTAPLEDGSGAHRAMKKALQHANIRPAQVNYINAHATGTTIGDTAEA LAIRSLMVGPEGYMNDADVTVSSTKGSIGHLLGAAGAIEANAVPPTMNLHDPDIEPKF NLVPLISQSKQVDVAMSNSFGFGGTNASLVFSRYHK PFICI_08144 MDDTASSQSSGTTQRRKLVEDDDRSWSNKSSVEVSGREVAEGMA VSRQEDPVESLKRRLSEWGESSVHTSQPYEEGEEYEMLLDPNLPEEQERRMHELELDD PLGGESSLKELDDTEDSPYPEVRAAVHNYDEDLPCNTVRAWTIGLFLVVIGASMNTLF SLRSPSIGLGALIAQIIAWPIGQAWEKVMPKKEFTLWPFGIKWSLNPGPFNVKEHSII VVMASVSFSVAYATDIILAQLVFYKQNFGVTWQLLLTVSTQSLGYGIAGMMRKFLVYP ASMIWPGNLVSVVLMNAMYEKHAPLDPTIIGGNMPRYRWFAYITLGAFLYYFIPGFFA QFLSVFAFPTWLAPNNPIVNQLFGGTTGLSLLPITFDWTQVAGYIGSPLIPPWHAIAN TTIGVVVFFIGLASAFHYTGVWYSQFLPMSDASTYDNTGSPYNTTRILTPQLTLDEEA YKNYSPLYISTTFAMAYGLSFAAIASLIVYTYLHHSKTIWRQYQNSTTEKPDIHMKLM RKYKEAPTWWYMSLFVIMLGLGFITVLAYPTNLNWWSFLLAVSISFTFALPIGIIQAV TNNQIGLNVLTEFVYGYIQPGRPLALMIFKTFGYITMSQALSFVADLKFGHYMKIPPR TMFMSQVVATTFSCFIQIAVLNFALNNIEGICTPTQPEHFTCPGGRVFFSASVIWGLI GPARIFSPGQIYSGLFWFFLIGAITPVIIYFAAKKWPKSPIKYLMAPVIFGGAGAIPP ATPLNYLSWGIVGFVFQYLIKNRHSAWWNRLNFLTSSGLDLGLALSTLVIFFAFTLNN ISPPEWWGNTVITTTMDYQDTAIQTVLPPGETFGPATW PFICI_08145 MPLKVGVIGAGIGGLSAAIGLRRAGAEVEIYERSKFKNEVGGAI TVTPNGSRVLESWGFDDVKAGAVEAKTLRMVDAHTLEPAFTDDLTDVRGDFGAKMAFY HRVDLHNSLKDLAQGQQEGPNNELAGPPAVIRLGQAVVDIDCETGVIILADGTKVTKD LLVIADGIKSRFISKITGEADKIKDLGWSAYRCLVPMDAILSDPETRPYFEGQAPGYW TPFYLPEAFYMVAYACRDNRLLNIAIRHETRAQDRDKDEDWNAAATHADVLEILNGYH PVMRAVVLKAPDVKVYKLVRREPLPRYWRGRAVIVGDAAHTILPTHAQGAVLAIEEAA ALELLFTGRDGGSGSGSSSGVDVSARLELFEDVLKRRIHAVQFLSDSIPGTRDEARQR AEEICGDDLFDHEAMNFTEPVRKFFYSYDIRKEVTRRMREAGYLQA PFICI_08146 MVNNTTTTTLPVPGWTPAKRLVNDYEPSTSGASAYSADTGAEVE KDFLEPVRPTTANPFPSRTRSFSSTVHWRRRPKSLLLSSENDDTQTPSVFPEPAEEDT RSESQDSNGSLKGKFRRASLSLMKGIVHRRDRRSSEPEKTLEFTTQHTQHQHTRSSTA HSAWNKLRQAASFKHSKMINGGRANALDTIYSPIESTFSNVPIPGNGLQPPIIPSHTG AAAKASVVSHNEYLAMLQREEWLADQVQNDRESGIGISIMNSDETEVAVRENTRISRV DFISRLPAELSIRILSHLGANGLTAAARVSKKWYDVTQDWQIWRQSFLREKTATYATA APIEPGTGFGVPAILPGNDWQKIYKAKQQLEQRWNQGTSFRSVYLNGHLDSIYCLQFD ESKIITGSRDKTIRIWDMHTFQCRLVIGPPEVVNDTSVLFDANGRPLHYVSAPDRARN HESVPAVVSFQLHHKASILCLQYDENILVTGSSDGSCIIYNVKSGYRPIRRLEHHTAA VLDLAFDDKHIVTCSKDISICVWDRATGAMLKQLKGHTGPVNAVQLRGNSIVSCSGDF KVKLWNIDSGKVVREFEGHTKGLACSQFSEDGRYIASAGSDKMIRIWDARTGECIREM QAHDSLVRSLHIDSVSGRLISGSYDTDIKVFDMATGDQLLDFPRWHASWVLSAKSDYR RIVSTGQDPKILIMDFGHGVQNIGMLERTPTADVARDAGFI PFICI_08147 METTWQDPELRFLLGEIIKASTVDVPILAEFIRAQNIDPNWLNM QLPIGRTMQQCMGAAERVLAIHQPPPSTYYGLKRKSLEASEQPPKRQVTMGPIESSHP PRNIQPRPIASNFQSVPLNMNSSPGTIPVTGKKRGRPSKADKEAQARANSFRTMEYAP IIPAPVPAPVPVPAPVSAAAPMTTINMAHAREYIPSPGYEYAGSTMDMQGKKRGKLGE YSPTGSYLLPSPASAPDHRAPPEPVEQPSRTGSPRDHGGGQPGDKRPSTFHQPMQHPS PSPRQTHSTTPVQQSNTLPPLKSDRPHEQYRPEAPRVDPIFPDRDRSRSGFDPMTRAT PPIPTVANRG PFICI_08148 MEDEERIKASLQGLTVETKPDVFAVNETEVKKEDDSAPGSSGSR SRSHSMSPDQKPRSDSASTPDVNGVQPQKSRKGAQKSAPRQPPLFNHLPDVTEEATGH FQLIPDCLYGSKSLGASEHDTLDCDCAEEWRDGKNHACGEDADCINRITKMECFDREC NCGDGCENQRFQHKRYANVSVIKTEKKGFGLRANSDLQANTFIYEYIGEVINEPTFRR RMMQYDQEGIKHFYFMSLHKNEFVDATKKGNLGRFCNHSCNPNCYVDKWCVGPKMRMG IFTSREVKAGEELVFNYNVDRYGADPQPCYCGESNCIGFIGGKTQTERATKLSAATIE ALGIDDADSWDTTVAVVKKPRKKRVEEDDEDYVNSVQARGLDDEKGVQKVMASLMQCK EKWIAAKLLERIERCEERLLPSIVRVHGYEILKTTLNSFKDDRNLVLQILHILNRFPR LTRNKITDSKIDVVVQPLVDSEHEDIAAESKQLLETWSKLETGYRIPRKARNAQGATA PVNSFEERRGGPRLEEPQQKVTNAPLPDNVPKGPRNSVPQRNMNVVHQPRQRRPPDQS TLPPGWFIAYDQSGKYYFYTKGGTVQWHKPNVPAPEAFQTAPSRAVQEQKSLQDLIDS VSKPKSATQTPQQVSTPAQDAKKEKWRSLPVEKQMKIYENTLFPHVKYVMDKFRHKLP KEDLKKFAKEVNKKLVASDYKNRRVEDPNTISKKQEQKIKSYVKDFFDKAVVKYNANE RRKNDKLRNGASSSPKGPQTAAKVEAELKDDDVEDDMAMTDDEGANSTPSEQKRKRDD GDDTGSNPSEPYAKRVKEEDAPTPPPPPPPPPAVDASDNVVASADSDALRQQEAELER ENELNRLEFEREQLGNGNQQQSTVLSH PFICI_08149 MKHTHGGEQQEHGADTENARYDEEPQRGRGRSKLSTPLQIPKNR SAGNLAVVSESPVREGESLERSDSRIRFSFDAGSSVDYDSLTRSSLMTDHDHGLGPSG LRRIRQNHPVRAPTLPSSAASSRSPSTSLARTASLVMMMSPTNQTPMSAGPSSPSFTE DLSRFPSESLHSFSFAHQSEDFIQNRQSVLKRSIEFMKDRMGWAVSHNAAIASAQARV TGDVETQTMLDLLAKAQLLGAGNLPHQDIDSTFVPGPLTGPADVSGQNVFEKDFIPRT HSPESFSTPLVSPSDARSPRMESLSVVKSTESNISPKTKYLEIAKEPSDSENSSRTPT NESATTAGTSPPISTKPILKRTYTDVAPMSMQQKLLDALSQPYVAGQQPSYPDVLISP TIPPHRGPSSLSIPGSLSSSVHGHTTRWVPAAQAIFTTESKPPWTILAANDLACLVFG VTKAEVRKMGILEVVQEERRAWLESKLKGIDTDELVQVPTPKKAAKPPPVSSGSALLG GRGGITAQLLSKPNSRAQPPKAPPLRAQTVHSGDVTPSKPRALANHNSTKSRGVLLCG DVVPIQKRNGATGAASLWVKEKRIGLIWVLEEIHEDVAFIKLDEEGIVTKISGETAPI WGDNLIQPGIDIGKLITRIPRQGIDPREGAIDYAQIARRKYFTCRNSNKTNIPATVVQ TRGETELRVSSFPHIAGIVVVETGSLHIKSSNSVFCGALFGHEKPDGLHINNLIPDFD KIINTLKEQEGVQFQDGIVIPEHNFRKAAAFYAVRAGRPDAPTNFLNPEGLPAKHRDG TELKIDVQMRVVKSEKRAKSESGGSDDEMSSSEEAITFSEPELVFALWITYSRHLHAP RLPSIATNDSLLSTAETPLHQPSPGQTPARSPPREVSSDNDEEEDDKDGLDQERQGNE KESRKVSTASALTTQLKNMAINATAKITGGAKTDEKTAKSAQAVPKVVEPHTGKKTID DFVILEDMGQGAYGQVKLARYKQNGGRKVVLKYVTKKRILVDTWTRDRKLGTIPLEIH VLNYLRKDELRHPNIVEMEDFFEDETNYYIEMAPHGLPGMDLFDYIELRANMEERECR SIFVQVAQAVHHLHTKANVVHRDIKDENVILDGEGHIKLIDFGSAAYIKSGPFDVFVG TIDYAAPEVLAGNPYGGKEQDVWALGILLYTIIYKENPFYSIDEIMDRDLRVPYTISD ESIDLVRCMLNRNVSQRYTIDQVINHPWCQMEL PFICI_08150 MAPANLSRGFAEKRNTTQEPKTGLYAGIILGIILAIVVVYFIVS CCRRKGKESHKSSHGHKHHHQDNPQHNNHHRHHHGKLHNTKIEEDTARIPKLRMPLPV VTAGNDQVVRSVPRNSSSSSKPSSAPSSRKSSRRSLDRSYAARAARLHRHQQQQQQQH NKRGESPAIGPRSSSRRAADTHRERSHRHQRQKRGSSAAPRRSDSLGWPLPRVVVTDV EPHKKKPFVRAQIRPPPSAAAAVAGGGGGGGALSAQQLLTIPRRELRRTEKFSMTRSE RGGWVSDDEEEEDELDHRSSYVSGASSGICDYLKLLNSSPSPEEQVKRKDSKRSPTPR LPALDVSSKLSLEMYDDDKYRI PFICI_08151 MYCQFRSSFTVDPTTGVYTAGILSPTGIPTDPPLTSYGKEQANE LADYLLNIDPPVDRIVSSPYYRCLQTIEPFVVKRNETRAVSTKDAQGAIGSADDLAKI EVESGIGEWFGHAPWEHPAPAPLGQLRKLFPDIDDSYRSLVTPPRNGETLAQLHDRVA TAVDRIVTQCDREGKKAVVLCTHAAVVIALGRVLTGNMPDNVEVEDFAAYTCGLSKYR RRTGVTRSESLDQSALTEIPEWRGGIGISGGWTCEADSDCSFLNGGPERGWRFAGDES FSAVEGHSLAGSGAGLGVDVEGPGSRASRKQQQQQSAILDASKL PFICI_08152 MSSTASLPPSPPRSPLKQENTDPQLHTILPRRPLPQPETQSPWT LAPITRRESSDGPLPGLSSILQVPRTPPASHPMQGISQSLSTFKLSPSPDLAASLDYS YARPSRERLPVDPISHHLMEQRRERENYLQEEMRYSASAQHTSQRVSPPNILRTAASN NRYAHRYSPYASSEQFSPKDPGRKMRRNDVPHNNQRYAQEEKHFIRYLKIDCGRPWDD IEYTFQHVFPADDMKRRRTQGVQGIFYRENKGLPRLDPETNSVVYMPNGHMASKELKC REQKAEETLFMESSGTNKPFGLLNLFPEAALSYGWVREEDKRRIAGLAARRREERLAA RSRAEQTGSFEEISQNGNCACCLDYKREKLSSTRRGSLPGTD PFICI_08153 MSLPPELIRVKRKASEIEPVSYLRVQEHKRHVTDAFVYQRQKQE AAFAHIPVPSSQRPIIHTSGAKRGNAAFQSTKDNNNNNSTSSGDEESSKAPDAAGGDL ESAGPGDEPRRFHMSRADIMLASSNYPTQRKYRGIAKKRSAPALFVERKHKRLPSTKF QSIAHGLNVEDPKEEALGASRHSTSQTQSPSRSDQMEVDNAATKLRKKPGLARQAQQE GTASPKKAELPTSMVDRWNVNLDQLTADMNAFALEQIGINLQRQEEEERKQRERDAAQ RARIAAVTSSSTTFSSPSPSRFKPKAPAKRYAERHPENVPKSVDDDATSPDGAASGTD DEDYIIETYVRVPASTIRKQHVASKDVGLLVFDEEPDMEYFYGGNSDSEDEYAEDEDD ENAENHYTADYPDDEVASDDEFGQNAYAYRNGNASDLEEYDVNGYQEINPDDDSDSKD GFKSFIGRDGTIRKHL PFICI_08154 MAQRQVVLTYQKPGTNPPVFVAGTFSNPPWQPQEMDSSVDEHGN HTFEKTVSVKSGAAIQYKFRLGTGDWWVCDDNIAKTPDAHGNWNNVLRMSALSVDVGD FEEEEEESEDAGPMFSHESFSYHDDSEYTASSDDGVTTPNEPTFRFPNAFKPAYAGDK NEDEIDFNDPTLEHFPHENRRSIIAELQRIETATEPDRSLPGGIAPSPLVSPMGSTFP PAIHEPDSPKRRTNAPRAALGSFASERSHISLASIDENAEEESEDGMTHNLQVPDDRS LKATFDSVDFGGSKKSAASAARQVEPSTPIVRLETPSGHEDEGISLNTANSKTISSPG QESTIDSKTFTESSVEPSAHSESKDSQQQHWLPSFLDLTSQLFSNVLFGNRRKT PFICI_08155 MTNTHWTPEETIQLFIQVARHGNLSGAWPSQNNQQDANQKQGNP CGKVKDWKIVIAAMPAPPRKSAAYHSKWSKMANEIYHATAGMTFRDKEDRDHPKDPDH HKLLVRAAALGYMVGPIWIQPRYNAPPPPPGSFPLVPPLAAAEAASAAADAVATAGGS GGRARAAAAASAAAASAAASGAPAAAAATAAPSADQEVAMADMEVDEEYYDDVPAAAV AANPFAAFSSGPAPAPAPAPALAPSPVGFPPPPRWPDTVELGGPYLDHIMQFPWDWRQ EYRRALQEELDELDRREGEVQFNEFL PFICI_08156 MAVESSAIFPHDLVSKLKSELPEIKSHEAPDLEDDHSDTVYAAS PEHGTAWSSYRTPDVSHQIISSYFIGPQAENLRYFENNIHTILEELRLARTKYYPEDG KFITESVQKSPAFKKSMKKLSNAVQKAANLLGRTSIPFWSPRYEAHMCTDMSMASLLG YFMTMIYNPNNVALEASPLSTVAEIEVGEQLCELFGYNIDEDNHDSPQGWGHVTCGGT VANLESIWYVKAILTCNRARNLKFYPLSIREAMLDDGPLSFLNSKFSIETCAGEEKLF SELSDWELLNLKPNDVLDIPDRLYQEYGISNKFLSDVMNKFTIQSTGKDGLEYKYTKD KPAQYMLATTRHYSWPKGAAVAGIGSNNVIGIPVDNAARVDLDELEKKLEESLENERP VYAVVAIIGSTEEGAVDPLSSILALRKQYQAKGLSFLVHADAAWGGYFCSMLPKSYRP GDITNLPTEMGDGDGFVPDASLRAETQEDLYAMRFADSITVDPHKAGYIPYPAGGLCY RDGRMRYLVTWTSPYLSRGSVTSIGIYGVEGSKPGAAAVSTWLSNKTIGLNQQGYGAL LSEVTWTCTRLSAEWAAMTSSTSPFVCVPFNELPSERAANSSPEKVEAEKTRIREEIL KKSNADIVKDDQSRPRDQKAMKLMRDLGSDLNINAFAINFRYSDGRLNEDVEEANYLM KRVIEALSVDSPDDDPSKIPLYLTSTEFSDELYGNCKKHFMKRLGLEDSTQDLMVLRN VIMSPFPTDGNFINRLAEIFYKTVKDETEIVRKRNEIGEDLHSFLIQGAEKIFLIHLP MFHVANHRQQLIVSVDFDQKSRQKYIDMKRANPNEPMILVTQNKTLLPEIIKNNGTFA AQIKTEHSGVILKNVKVKVTSTVVSRPLNSKWRLPNYPQKFMPFYLYGSANEANIDHV IVRAPNTQLSAGRCTVNLEGGSGGDDIWSKPLILTLEDVYEETMQPFPPNSEIVSKNG AIVAEPRTKPSTAMNGSAHGPGRTSPASVITSETRSGKMRGSGFFFRPGAKFNIKVYE DGDRDDDDVGFASFRLGKELAKGTIELGDSVYVDSEAMNFDPFQKVDKVADWRHEFSQ IGKELE PFICI_08157 MATSALATISLSSCPTESLMVRLPPYLDPTMNASGNETSGCSSP SSNFTAPPPGWFAGSWAIRNTSGTSYLSYGNLQWDLTPTISITCEANTTSSCPTGSLA GVINEITTWVPADNKTALNGAIGGGGRTVASIRAYNTPRRLNFPELNSDWDAVYDNTI IEGPGKGYMQSWSVLHWSLDGHAMPWMIVHETPALYPGGTWGVPAVHIISQNRDGVDE GTLGPVLDALMELGNEEVTEQIEKLGMTKFDDKLLGGPAICGETCQKNEAV PFICI_08158 MSHFSTETVYTFSSYPSLIEAWQTTITIDAASHPFLLHGMLAIS ALHLASKRKPHEPPQSPTSASRSQTTAQNFTHDDYMRAFTYHQDQAMPVYRSLLQQAL DLDHNEEFAVHSKQSWPAGPVYAMAVLTAFIATASISDNSPSLGTEKTQGDEGDQPHE ERRHSHTTLVHPPPTLSSSISRPVSPETPAESARSETIFSNLLSLFINTRGTRVIAKA GLDMGAFQTTAYRHLITSSTSADEVQFPLPSSSRDSRREWYATLRKQMLDDVFDADDS DGDAGNVNEKGGKERELCAAALVCLEDVHSGAVRLLEAKKERLWHLRQRRQDPRPIKH DFVWLFKWTAIVSQEFLALVRERRPPAMVVLAQFMAICSLFEEEWYVEGWVRNAMEAV EHVLSENKGESEGENNEKARKWVSSIAERWIGTRDDMNEAGVGE PFICI_08159 MVNVHSPTYREAINHTDDSAKPPVTGSPDAKRHAGLDFTNISLN RVDLVIVTSETVSKKSLTEYTHDVGHNGLKEFLSIDLPQARIIPCELNLQDNLDGDLS YPATKLLKIVLEARRTDPYRPIIFIAHSLSGVVAKLALLLASKNPEFHPIADSTRGIA FFGTPQREAIYDEELRELLAGETFRAPLKLGSKDVCTDSGSVFSMQSRGRLALSLDSL HVAWVNIYAKQLRVASFYETIAPVVPFKIAVLGLAGESIVALNTSHNKLLHVDSRNDK SYRRIRDRLAWMLNEALESDASRRYIGFDGVKLLERLWPGELVSCEYKKPLPAIGTSS WFLSHSSYRAWLDTKDSGLFWLKGKPGAGKSTLMRFLTRDLRGHHQDVADTSSQYYKR QSPSAIVCSYFVDYTAPPRSSELILRSLVHQVLARQPSLMQFVPASLKQHQYWKDDSD FSLSATLHHLSSHNRIIILIDGLDEANEIIQHNLISALCQLKQPQRLDRTKAPTVNNS PNPTTQTQLKIGISSRRYLSDIGLDEDLQCYYVDLSLGDAAKGLKEDAWVILESRLHL GQTETPEVLTPILEQLASKQDTAGILLWAQVIVKAITSSFGGATGGYFPNSKHMEQRN QHDFAALLLSGPRSQALVENIAGLPKQLSSLYQSCLDRHDDQVKSVAHQALKWILVAQ KPLTLVELSDVLTPRSPRHLKASTDYSSQEMLHKALGGLIEVINSEVYFVHQSVKDFL LYDFIDKRQDGSREHASPQLLNFHRDSLISCIDYLGAVESNEYTALPTVEVKALSLRW PFLSYAATYWHSHLELSNLQAIAQRSLNRLSSPESLIFKLWFEIWKYHQSRGGSGQRG LPERPTKVIVWCLLGNKAQVSHSLNERSMMANGRSRSDNQWTPLMAAAWSGHNEIVTL LLSRPEVRDSRPQQKAEALIYAIERGHTAVAQSLTAFFANPAAGPRVLNTVVNHETAL SAAIVSYDLCLAQLLLDLGADPMLHLDRRVHRQRRCGVRNSLHYAIYSGVVEPLSLLL DSLPESERQHQYRELLPIASCRGATSSIQYLVRNADIPQLHEGTTALQLAARFGHADV VDILLNHGAPMHHEDKTVVYTIFQFACHFDKTQAARLMQHSSAQWVEGAFFHACQSVS APTVKHLLSSGVSARVAKEGIPALQWVLIDGESFPGIGFGKPAHEESRIEVLKLLLDA GSDVNKTDGSGQTSLHLAANRGEKQITAFLISRGVDVNLRDRHGNTALDIAASSGDTH WIHSFILAGSEISSRTWKSVLASADVEAACYILDSVTKTASALWPSHSGHGTSFTGLD PHPSEVYFQFLNYHPPQRFTKAANYLRYMHITDYANVRIETCAPLSLWSSFTRWWEEF TGTRWLWWPLPAPLPRLRRGETYIHWKCHGCHGNVHSDVIPQQLGQEVEMLIDFQRRL LQIWPGEAPQFQQVAIPSTASNALQHNPLPHGQAALPVENLPLDPRSQSSTAPSAGDT EAFELQAFREQTHHNSNAAFEVKSDTDESEEESESEDDPDDDHPMRKGRYVHVKPRGF PLAHIDTEATPSECEFLEALHETYRARQSCFRRYLSIYRFSHWGFDRFTFWQGRFLSH SEGSEKDCYLPDAQESLDYDFTRAVDDGRPPISHEFFHQSYHNCPYICRRRGFMHQVW CNSKGDDVEARGTGAENHLPKIPKRYEKWDPERDPDCAQACWGLSAFELPNIIGCILY TFVLPVTLAVVFWILWLSDMGHEGDLQNATVPLFIFLALWAVLWTTIGKRGWNKSTAG PFICI_08160 MPDFQLSDIKTLPDLPAEGSISEGQYVLKATGEETVLTSQDDQI VSEVWFQSRPLRLETIQRIKSIRHFAELHDQGPVDDPSAGNWTWFELVILEGPTSKTP RSKDGVQLVWESHRNRFQTTSYEWKEGDLFGNHDDIVRLIEPGNVLAVRLCTRFQAWM IFARQGYLRIEMSEEPIDREPIKYSDSVSQIKCIHDAIQAINDSIDAP PFICI_08161 MLEISTFLLEILRLRLTRFKDRIPSVRLVIPILIVVVGIIMISV TFAGIKGNPYGLIDQCTTQVDADVGGDGVRIAVWVQIGSLLGISILSSFHTSVTGVKE IGAGLILTHLSLSIAMLVQLGQGTLSFADAVIGTMILDAQNMALSIQLGSKETLASRW QVGITTVTQAFGLVVEAIFISKLTSGPSLVTDQCKCLTFFWWGWISNCAKIPSQAAIL WTYYACRLIAICQSNFHALWNTKNFDRAQKAENDPKSVLENRLLDNVTYLHMNKYGVA IFKEYPATVTFMYGFYGLFALTSMITTENTMSRYGIRPSSQISSIGQIIALVIAGASV TRAIWLLWRLFKGLDGEAFVRWPLSLEPAKVGLQYQSFWPQFDTFLSPDQLLLGDLVT DEVRPENSILSRNDKPVQPHETQEYYEVSQEESRMAKIKSGLICGLDLITRSKSTHNR LSAASVKRRSFSPDQKFIKERFSSLRSTHPRFESELRCVYMVVSQRVAYDYTLAIGHT TTSAGTLGETSIQPEAGVSFQDVVFFKEVVLDVGLLRILLPIQEDGNFKVSLVPLKKQ KTNVTLKLPRAGADA PFICI_08162 MAEHRAISLHEPPTSPASQDTVVHQTEDMLTLKNDPSSDEINAL ESKHTMSTQARTLSNDSRYHEPASRSTKLQRTWLFVLTAMLATIAFAVVIIFVLSTYF AERASEKRQQLNNLMKVDASMTLSVLRASQGLLSVLMGLLLEEAFTLLQWDFLNRPNG LPYTSILILSPTTTAAGIFALAKSSVVAASAKCWAVLRLFLIAVVWLSGLVLFFNTSI TTVYDTAYTYPVTAGVGPFNGSLVAPFKEFLHSLRPGYPYETLPYTYFAAAYTLVINP LLAVTVPPANCTGESCSSYLFSGGLEMVVPWVPQSYKEHSLVKVEKTPSIQVDFSSPI QDDPVFQDSDCDVFGQTGIAIGIRLCLDESPQKEGWIRAALYTCSRGIDNGSCTAHVP RPNITAEVSFYTLQTSLVASRLNYSILGVFDSTQPAQIYDLDLPAYRESLRWLLNFTA ADLPAPSAIAQSFWGSQMQLSDPSTWGILAQNFQSILVFPFWLFNANNWGNTELKENV TVSTLPPDFYTQASLIEPYSKLDVDDTMFAIFLGFQSLAMGFVLCVLVWIWTGSRVPP KMSSFPLFDLSFRTERCNEVEIPNVAQADNSDIIQGVKDVRLMSLGVNQADASRKQSC PFICI_08163 MAVRAILMLLLHTGISFSWPTVERQISSDNSSECIRNCYAEPQG ESAVYGWVSPVDDLPESGAIPNIPQIEWSSFTATVITQINTVVYTTQVVTHMPDDWTP PETNAEGTQIQRITYGDGLTTTIAFPTAFIAWPNDYAWSGTGWDADASACVTATTSST SVLTRPGPQPTDAIDMRYIRYRNSTKPYSDPKGLFAHPFNFYGGGNWLFWDSNSVESL NFTGHKLLESCIGGADGGPNWGGMLTSWAISTSTVYTS PFICI_08164 MSEIAESGHANTERTSDLRNHGCSQSNDEQALATEQTTHDMCQE RSSVKYAQQLTGGPGRMESNYVPFYLRRKTLLAFTVLFVAFIVTLEAILAISNARHGF SQSGPVLRYVMSYGTTGILTAAMAYWGRVEYSILRIIPWLQSQPIIDNKDALALDYLD MWRIMIPFQAIRNGHHRAAAAETVSLLLNILIVLSTGLFVTENVKIPSNPESLLLQTH FSDDPTRLQDVSSIPNDIVQAFVMDIQSSSDLSKSNITRLNGLSDDIVYQSFTTTRAG AQEVNVSVDGILASLDCQQAAVQGFNNLELTSHSDYSGGWNVNFQEMTIQFEDCQKTL NVLFSIGQPLPYWNESSSYFQMQSVVDGFDLETCNSTSLDARRLLIISGTMDYAFISN QTTELPGNRSETITTYTTTDTGTIVLVCKPTYELTQVDVVRAATGTTKVSRHDDAPSR VLDHVNPWDILNSSLKSLELAHGGSMTQDLGTKTSVILGLFLDTDCSGSCIIPPSVQN ATILGDIFTRYYKTHLAAVIYNSLMDQRNITTEGTSRRNEERMIVRSFSSQLMTALLA SCIMLSLGLVITPIKGLSLSVDPGPIISIPLLATKDSALKFSKDLGSVSMRSMIRSLH DDRYTDKRFAIREKLLPLKKSQRHDENAASRRMDCQTPRVLRPTYRVTLLIALAIFIG GLELTLRRSNANNAQGLGPARGEYIYLHYAWTAFPAVLLALLSTWITSIDSQIRTWAP YVLLGQNAQDMSVLSLDLQRRVTLAALYCEFRVQNFAALAATTSALLGSLFTVATASL FRVATVMPSAVAELRTTHTLVENPYLITEHVAFDSGPATPLILESNFTYQSHVFENLV FPAFSLEGIENFNISQSSNTSTIKINATVPALRPGLSCRQAPDDTLPLLYNMFTDSSR YASSSHWPENQPCFQETRQRDANPVGNGPVRSYFGASSRKESSCFPDHLEHDVMFAWG ASLTSSGEPPNRTGWAIACNGTVASVDVLINLLGPDLSLDEANPPKPIESTVRYIPRP DWALPNNNTRALGSLFRMWTQTSSDSLSSLLPSNNTFMDTFFQQLITSRYAIPVEYLA DASQVENVEKAISFQYSVISARHISETYRVSIAYENGTNNPNTTLIPALSGTSDNQND TGIYTAIATDLPGSDFVFQDTNATRILQALLAATLVLTLLSWLLGAYEPVLPRPPTSV ASVLALLAGGDVLEHMYRDGLGDCETLEEAKSRFSDDLRFRLGWGLGENDEPGAPERF GIWIVRRTPFDIDCDDTSLDGEVI PFICI_08165 MIEILAAQSQKLEEARGPASLVLVGDKALRYSEAIKKYHQYLCV AGVLPDTSKFRDFSAKDDCCLKYLKNLPREGGGDQNGTVLINQRSNICSDGRDIIKEI QSSKPELVIILVIRGTKIDSSLQDTVKRFSSILYLPKGSIEPGFGPKEARERVLKLIE SRYGGEMQVEGGTDGPILSACIRKISRSCEERASKESIDDAINASLREIYRRQIDRLL EAKSSTEDPSYFLLTAEDMLGAEEQALVERYRTQTKTPVVARQLEGFDKLLDHIGKKL PVILKVLKSRWGELLGDQSRLNLAFIGTDNLDYFEAGSLYHKELRSTGAISNDSQYIY LCAQRHGDSDRLDGSDDSGDDNDASKCVTVTNGVQAGVILVEHAERLCSTCKSSIDSL QEKRSGLVVVLAFHSTSIPSFLKDFVEERFPFQIDLQKKSPDKNNEIQHRVREALVSS IQKQFQGHAQFEGGVNGSSIQACARRISQKCDKDANATDIENAIKPRLTDIYQRKFDR HLEDGDFTVESSPFLFTASDLLGRAPDLTKSNLKEWEELQAMIGLTAVKTSIRSLFDS LLLNYYRELDGHEPVQTGLSRMFLGPPGTGKTTVANLYGKLLASLGFLSCGQILVHNA NDFIGEHIGSSVAKTKEILEKARGNVLLIDEAYMLDAKRNYGSSPCPFRQEVVDTLVG EVQNKPGEDLCIIMCGYEEEMRDFLREANPGLARRFPIENAFFFEEFSEEQLGKVLDL KMKEQSFSTSDQGRATALNVLKLAKQRPNFGNGGEVDNLLSRAILSFQRRFSVAHPDQ KLEISKNSLLLPEDFDSEHLRGEDIENELEHDFGMIGLGAKLDTFKQLVRNSNAIKKY KKAPQTTPVTLVLKGPPGSGKTAFARKLGRIYYNMGILATQDVVEVTVRDMVGYTPGQ TVHATRRLLESSLGKVLFIDGAHRLNGKGVLEDCVSEARDELVDALSKPQFFRKLVVV LAGYTVPMNEMLLSNPGLSGRFSTQIHFEKLSSAACAKLLKKDIQDLHILATFDEDDT KIQGIFRKIRSLDCWANGRSIRTLAGEVAMRSINATYNESLELPEPVASREVVLEVLE EWKRRKVPKIPAKKQKTSDDYLNAETTDLVQ PFICI_08166 MSGLSTNLFEYEQLPQGRFIRLLHLYPGNPGTPLIAKLEIVSLD QDPSYQALSYVWGSSTLDCSLGITTESQGIKTVHITTSLSNALNDLRQPPENNQHPRI LWIDAVCINQKDINEKNTQIPLMRSIYQEAETVVAYLCSDPTGILGANLCLSIDQIKQ KPKPDLVAIIESFAKPAQWLERSWVVQESILARHLVFMMRIDHAFAVNTWRFRIDVRK DSLLRFHDYAQQQRVMARSLVNSSRHDTAFWSLVVLLRILRVTNCQNPLDKVFALLAD YNQSVTEVYTDVAHRIITIPGGVYMLCNAYRRQHPILASYVPDWSVTDVLYPLAKSMN RMPRKLSPINIPRQYRNGILLRCLSFGRLKKVKAFPKLPTVSIFEELNDQLEPVSQFV RDFVEHCVPFHPDEGGRSVKRALGRSLISMAMGKATDKAWEDYSGWMVYNSPPKEQIR ASIKKVSRVIQYAQELCRRSQEPWASKMLVSDSGFIGNGCEGAEVGDRIIIPFGMSVP LLVRPVAKDAARKGPQDAAMAGKKVFAI PFICI_08167 MSPNIPQALVKGDTIAFVSPSARINNAIPWRLERATAILERAGY HVKIIHDPHVPHSPLSANVAARAEEVHSAFRDPTVKAIICCIGGSTANELLPALDPEI IRNNAKILTGGSDNTLLHHFCYVNGLRTFYGPSAINQFGEWPEPLSFTWEHFFQVTTT TQKPVGQMPRSVAFTEQVADRKTEPERIRNGTMRARVMKPSTGWRWLQSGGSEAVEGE IWGGCLPSLLQMMSTKWQVSYHEKIAFIETPKDYTLDVALCHFQDLRMRGIFEQIVGL VVGRPHGYSDADVQGWEDGMIRALEGYSFPVLANVDVGHTDPVLTIPLGARVRLDQGQ DLWEVLEPGVC PFICI_08168 MTSTSVPNNAPVVETEVLIVGSGPVGATYGRKLVDAGIQVLMVE LGAQETPIPGDHKKNNFVVQKDINSFINVVQGELNLLSVPTDPRAAPSLNLDPSAWSI DASTATIHNGQNPCQDSYYNLPAAAATRVVGGMGSHWTNCTPRQHPKLERSDLFNDSE WDALYGEAEERIKTNSTLYNDSIRQQLVLDTLRKGHYHHGDREFKPMPLAAQKLPDQT YFEWSCSATLFGDIAKTADNPGAKNFTLRSQVQCIQLITSHDTSPDGVVLGALCKDLK TDKKFLIRARKYVLCAGAVLTPGIMFNSGWNSSPEQLPALGKYLTEQTMAFCQIVLSR SLVDEVEHSTNPDWAKKVNEHHKKHPHDPLPFPFTDLDPQVYTPVSENYPWHTQIHRD AFSYGQVPSTIDQRLVVDLRWFGWIAPVESNHVSFSKAITDQFGMPQPTFHYEVPKED AERTHRMMEDMCLVASKLGGFLPGAEPKFLVPGSALHISGTTRAGTSKKDSVCDRYGR VWDTKNLVVGGCNVIPTGAACNPTLTAVCFAIAGADKIIEEFQTKADINRA PFICI_08169 MSQIGRLQAALASATNEVTVAAANINFDFCLVKYDAPKEYQPIG ALLSTQRKQDAESGSSHVTARRLAALLNGICPDTPNLLKAYGQRVSEISKTASSQEST DFANSMFAPYSGIDATSIWAAATSSEPAHGSALHVHLLASMLAKIWDPPEAISIWDEL VKERRRVIADQLERGDSLPFSLATAAAQQDIPRAQLAAWDASARAWLQTANSIMAKQQ TQLRLIVQNIQLPISGGTNLYSSVNEAWIKALTVMERLVSGTPQEIHDGAALLGLAAW HIYPDMQIFGAQTLDIPMQDALVKPGGVLTLGCATSASTPTSGITWSLSLANLKFYGR PVESQGWVQSNPDHISFHELMLATLGRVMTLWNISWEEVPLLAKVLMAMAAKLRQNPS IPLLLQGIEHLAEAASAYLREPTINSPFVNLGINRPEFLSGRTESRGNQPIQPVFYLN EREFLAHLKNNEARILFLRRLAGRILNQSSDPPECIIRCNGFRGTEWASVFPENPAKR GASCQGLPHARWNPSDPRASPTEVHYNNSKQARIHYVDQAPFVQRRQGAQTTPMTEWF GDTNSTAIYVKYDPTQPAILRPQIDLGDLLWALEKDLLLFYLPEDEVTLVLENLAFAY ERVFKYISSPTIRLQTFLRPLSRAGWISFRKRLDLASPTLLQKQFSILSYFVSDHNVE PLDIPENICGISIGDSFYCVTLSMRITIVALQGY PFICI_08170 MQLRISARACPLCLFTAGRQSAQALRQPWAAQRFYAFSRPGRTS SPSRMVLSDNVSRSPNARNDRGRDSDKPKRRPGPFGGMNVKEFRGRDGSTGASYGSRG GQDRGKKNDRRTKAMKMQSSLAPIGYGHRTRMKENMSAIESFDQFELLPSVKTAVTDE VLKGMTDIKPTPVQRLAIPALLGQELGRSRRSKNTTGREEFLLAAETGSGKTLAYLVP CINAMKEAEAADPEIAKYREYFANQREAMQQPDYTGPQEFEPHPTAARPRVVVLVPSA ELVDQIGAVAKSLSHVVKFKTIMLSANKSATVIERSLYNPGGCDVVVATPHLLSSMAD SDPNILSRVTHLVVDEADSLFDRSFSPVTTTILDRALPSVKKLVLCSATIPKRLDNYM ATQFPDMVRITTPNLHAIPRRVQLGVVDVSKDPYRNNKNLACADAIWSIGKDAARHEG SIPGEIDVKRIMVFVNEREKTQEVAEFLVSKGIDAVALHRDTSEQRQSEMLGTFTTTD AMKAKLEEAAPKNTGRRTLPNTKVIVATDLASRGIDTLAVRHVILYDVPHTTIDFIHR LGRAGRMGRRGRGVVLVGKDDRRDIVAEVRESMYMGQALI PFICI_08171 MARTRVASRRAAAARVSKEPSPAPQDTDSKDTDVAAEREDDGKR VSRRISARTASRTSIATTTKTTITSNPRQSAALDAARMERDAAMLRLEDITTTSTTGG DSAGLDGEDTESSLEVEMGRRAVAATPAHGRASAAARLHDVSGLDLDDSMFDDINTTI DTLGPASASRSLDTSTFSASQFRRRPRAGSFMSRDDGPVRPSSRAGPNTPGISSTFNI GVFKRRAREPSILGTAQKPRAERPMPQSDLGNETDNNYDENDNDEEALEELELSPEHE STPLRRSKRRSGAATSGDAEPSSNIKSRKRKSTEAHEQRQRSPPFPQDDSNMVDESDD EPLSSPPSLPPVRRASTPLMDENIAPPESSGSEDEAEVWPPLQSLARGRSRRAPSAQR RTPVRDLGQGDNESDMSSPPSLTYSPNYADPSPPPKSKQTRAASKPISTADLTGLLPR RRQRSARNDPLGGDDSDEEVDAAGLGNDDDELSYLDVRRTRRRPAKPLARAGTSNQTT TARAQKKDKVASKPARTYGRLSDKENQEDGEGEGDSANAAPDDDDVFEQEDSGPGEEL KNAAKKFAEVDKWELEFEERMQSSSPRDAR PFICI_08172 MTTPVTQTMGPPGHITSPTEPYPSPEALARATTTHRQGRFAIST RKLPISKAGPIDAMSADLGIPIPEMIFGDNLVRVAHDGSGWSVAFTARDALDAVDKTG DKMLQVSYARDWSASRERTSAGIQEVVKPFDWSYSTEYRGTVAVEQEGAANKSFAPSD RPIPIELLKRRDPILFFDDVILYESEMDDNGISIYSVKVRVHEERMLLLARLFMRLDN VVVRIRDTRIYVDFATEEVIREYTAKEAGFEDVKRKLLMTGLRPDEVPAALRDANQIA ELLKDRIVDGSLESVKLGS PFICI_08173 MSANAADSNPPTTSGDSSSSGTTPAPSTATTATTATTASTQTTA TTPATPSTTAAATAATSSSADESLVCRWGNCNERFTAAEPLYEHICERHVGRKSTNNL NLTCQWNSCRTTTVKRDHITSHIRVHVPLKPHKCDFCGKSFKRPQDLKKHVKTHADDS VLVRSPDQHAAMGGGYRTQSKAPSSYYDHNGHMRTNAAPFGQPHPGGHPSGYYQHQPA SFGSPMYYQPLGNRGDHIGYSAAAAEFDHRKRTFDVLNDFFGDVKRRGLDPASYHQVG QRLMPLHGFANPEYLPAPAVAVGGGGGNAGDPYAQHYSLPPMPSVRTKSDLIQIDQIL EQMQSTVYENSNTAAAAGVHQAGSHYVPLGYRQSQAPAHTSAASQSTLPAYSHAHDAA SPLTAVSSTHSNGTPAVTPPSSSMSYTSGHSPSASSSGLSPISRHSSTASALYPTLPA VTSGYPGQSATSTLGPTFDPDPRRRYSGGMLQRANPAQRSIPEDRETTPKATESVVSS PSAESESSGDDSPYEAWLENVRVIEYLREYVIDRLKRRDYDADSPASSDSMEVDSPPR QPAYPSLPLEV PFICI_08174 MGDWSRTGLIDEPCNSTSSASTKSTGHTMDDSSNDDGLRVERLL EQRSQLTDQLLESPYDLMLYLGRAVVYADLGYPDLAAGDAYKALLLTDEVSDESFEFH EQSLEALEKYQHGPLPTVLDHGLLSQMAGTEDVQCDANMLARVGSVRAYQILSLGLLL IGCLKSAHTFCERGLSIAPDNQELLNVRHYIVTVGQRRLRQTNFDPNDLPEWGMVRRE VYPWNDHEPDRFSDESLEFLNRELSTMAPKCAVQVATLPVLLEAASDMDNYQVIPTCK QLGVFATEDIAAGETVLEEYSLVTANNRLKESVCDACSEELPPLGSESTAVNCEECYD TVFCSQFCYDKAQELYHPAVCDKDVDSIAKDTDARNADEALHLLLLARILAMAAHQDM HPLDVSQIKYIWGDFVSSRENEIDPSPNAGPPPQWTLPFSFTYNIETPFHILEKMDID VYATVAQHDLWVLNTLYSKFRGTASARKNPRDGRPDVAAVHPFWCLANHDCDPNVTWN WGGRMVLKARETRVVGNRPGGIRKGEEILNHYCDVTIPVQDRREWARGSLGGWCMCSR CREEAAAASTSTDTNTNGWQTH PFICI_08175 MGASVSIPQGNSESVLDGPALTPPPGIIPNFDNPPNSNIMARAI MSTCLAIALIFFMIRTYSIWFVAKKPRISDYAMIPTFILYVAFLAIFFAGEKYGHFVH QYNVRLKDLPHILYLWTCIITLYAVELMMIKTVILLEWLYLFCPTGARNSFWWTAHVL MAVNILFYLAAILALHLSCFPHARIWDKTLPGTCVDTRALDVTSAFVNVIVDVGILLL PQRVIWKLKMTRRKRIGVSAVFGLGIVVIGFAGTRTVFTILHAVRNSETADFTWNSSL QTLLFTVEMTLGIVIFCLPVCPKAFASFSSSSLRPLSWFARLRSSATAATAVLSKDGT SDSNNAPTRHWPHSSWAPVTNKRKPSAVQYGETFASHEDHGEYVSEIWLKSRHDSFQY FPDEQHVKPQWANLDPINDGRANHGMTA PFICI_08176 MPVDEDFADTQDQMEALAIKGKNGGRKGAGSEQQKRDLNISKAL SMLLRHKAEEAGVELDSEGFARLDKVLQWSRIRSLKPSFAEIKTAVADNAKQRFSMKP IDGSDDPDSTNPADWLIRANQGHSIKLDSEGLHTPITLEAGNIPDVVVHGTYFAFWPQ IVNSGGLKKMGRTHVHFGTGLPEDGNGNGQVHDAEDENKENAAKKNAKSKVISGMRND AEVLVFVDVAKSLEDGDMKWWLSSNGVVLTEGNEDGVVPMKYVKEARGRRQNVGQLWK DGQKVADLLPSVVARVPMGKKGPAGRGAARANRKGGVKKVA PFICI_08177 MADTTPTTTTTTSDGAATTALTTNTTTTTATTTTDGPVPRQRSS IDFSSSTAVASSHPDEKHKINTTTTGSKTNNNFHAHRQSHNPSKLPTFRFADLRKQSL AHPSLLTQSQGIPPSPVSPRTDPTRQDSDLPAAAKSQQQQPPKTAQQSNIPASTRRGS PQGHRQRTSIRRGPVSPGPLSPTSIASLQHVVASSSASSDTSSGRSRTATLLSPRKSA SVSATRPVNSRRPISLGSSFSPSKTSDSPSASDSATTIVLAQPQQPRASSSSRRASAS EPHPPPAGSPEESLENATVESTLGQKELSNSVQKTSTHAKKGSIAARRPPVSYSRRSA APAPAKVTKGASTKIPPIRSFRTSGDRQTLGIDTNLYSPTKHDDQTGDSDHHDRTLKA LEGRQDDGNYGMTPPDSALEQHDADDSGDVFLNMAREEPDPQPTPSRVIRSAHRRPLS SAIPPYQPMSPTQASRRMSDLESARSKSREEGQLAERPARALTFRSSLTGGNTSEIKN SNSKASPITPRTLTFQEPLTESTSSSYGRRRQSNADSTSAALPSRMSSLKQPVGSTYS SSRTYNSSPLAPKLMDTQKPDAQVGDHQTESSNSTAAPSTVWDEIDDIRSRIQRLELT GKLPPTSGAAMSRASEERPPTATTNATTMSASPKRNGGDPTPQTDAVSVVSSSYGNKE CPPLLQSAMNKSKALLPPEIYNALEGAASDAITLTAMIGRIGEPGPISSAASSVGGTA ITDRQLRRKAESICRNLTELCLALSENVPQAKLPQDVPMPSLETGLAGSPMISRFSGG AASRRSSTILTDRSPVTAAVSSPRAMSRLEERRSSMLFSSAVPSPSSRYSSQTPVTPT EIAGRRTSLLVPRSRRAGTEEPEEAGSGISGISGHGRKSSLLLRTRRGGTEEAEEQAG RRSSLLRTRRTVYDEEDDRSPRIRSVSRTATDFTGPRPPVAQEFNPQIPMASIESASL SASPMQRRRLGLSSINTRIQPTTNSSLATRRYMDRTTPDYESSNLAEKLAEERGQRSF SGPQSSGLPSRNSSLQRRLRESVLTSSTATGQAAGYR PFICI_08178 MYRIWNIYVLAAFGTIGGMLFGFDISSMSAWIGSDQYLDYFHRP DSTLQGGITASMSAGSLVGALVAGFLADRLGRRGALQAASVVFVVGAVLQASAQNVAH LIVGRVVSGLSIGVTSSQSCVYLAELAPSQIRGRIVGIQQWSIEWGILIMYLISYGCV NAIHSPNAFRISWGVQGIPAIVLFVALFFFPESPRWLGQKDRWDECWEVLAHLHGHGD RNSPVVLTELEEVKEAARVAAESSDVSVLGLFGPGMWKRTLAGCSVQVWQQLLGGNVM LYYLVYIFNMAGMSGNVALTSSIIQYVIFLVTTGGILPIVDRVGRRTLLIAGAIVCCI IHFATGGIMASYGHPVDSINGNDILRWEISGAPAKGIIALCYIFVGVYGLTWAPIGWI YCSEVFPLKYRAVGVGLSAASNWTFNLALAFFVPPAFTYIQWKTYMIFGTFCAVMTVH IFFTYPETSGKTLEEVDELFASKVPAWRSKNATQKFEDRVAAVEKSADIVHHENRETT SS PFICI_08179 MGLYFEQSWAILSTVGLVNVLYGLVVISITKLSIVVLIPIIVSA AGAVANGLCYYAFYSDHPLTNTLVASGFADIFWLIQEVGVSFYSYAILIKLLKSRSRM VFCILFWTVVSAIITVRLVILVGRIKIIQDPDTKYQQSVNYLHVGYFSLIAVLECLSA FFLLRKFASAQRASIDTLLNTSLLQHLMRSTETRVASLALLGISRAITYAFCPSLPQA LTTAGQVDRFIYTLECMFPLMMYIDILACKIKFTDRSNMELPRVQSFRSDHVRLDSSE QATPRLLQRQFDRGTEIKEDGIKGDE PFICI_08180 MTRSSARKAAATSSSSSTNQTLDQYLASQPSIYIVAENLHPRDL SRTKGEEGYRVLSVFSTLDVANEYAKKYAHGLKKWDSSPKGATWAERDLDVTQDQESI KQAVDDSDGTHEYVVTWAHFTTVKVARWQIKDSAPAVNSDTDFFDRVDFVVDAGAADS VYGESDGDKEDDLPSDEGEDDEEDEDIQELKDELKGLNVDRQKAVGELVGADYRGR PFICI_08181 MAEIPNRSPLVEGVTWAMFTIALPPVLLRTWARATLMKKFGIDD WLMIFAMISFTFNTVICFEGAVHGTGRHYWNLELDSMTTAFEWWYFAYLTYCTSMILV KSSIACFLLRLTPDRTHRIIIYIAWWLTVVCGIIFFFIAMFQCRPISFFWTRVADPAS GGSCISIDTIVYVTYTYSAFSIITDFTFTILPIWMVWQLQMSLRTKIAIIPILGMAAV ASCAVVVRLAYVENFRGNDFLWSTADIAIWSQVEIGLAIAAGSLATLRPLFHSIGQTL GLPGLSGSSPGAAVAVPASAKFAPSSSSHGQKNHKSAVSCGKKNMSPLSRIMAPYSLF SLQSNAGTTRLADEEQTVDIHMEEVIPARNHNCSNGSPQQPGNEGYTTHSLKASSQEG LVGDHAVIAGLEDDDKISMHDSCHSKLPCVEFHLEI PFICI_08182 MSRPEEPSGGSATQAPKFSRYRSLRAKSVSTGSSTASSTTTTTT STPTTHAHANTAQHVSRVQSRESEPPVGVAAPAPANSIARSMSRYRRRGASVSVPDDN ATSKIAAPQECAQPLLPPVPAIPSTVKPSHDGRDNLLFSQSQDDGLSIHQDDFPLPPS SRRDRQLRHSERATTDLARQAKELQDQPNHDSQRMKMAQRDDSERRWPDDRDLSRHVE QALRNEGGAERPSTRQRKKTLENIETSMANRHQTPLKSKSPVVEKFVALTKRRKSKEG LSPTSSTAGSIAGSVDFGNSQIDLPEVPKLPVGIEAGGKGIVPQKDAPVSASNHGDRI VSVRCGHQTFVLPITPETTPVDVVLETAKNMTYDLEWSPQQCVVRESYGPLGLERRIR KYEHIRDVMNSWDRDTHNHLIVTISTDVRQDLDLDIESVPDGEEPPRGMQLYLYHSNR PGKWSKRWITLTENGQVLCAKKPDASPSEKDTLSLCHMSDYDIYTPTESQMRRRLKPP KKHCLAIKSQHKPAMFMDTENYVQYFSTDDPGVAALFYEKVQGWRSWYLVDRQPAPRR FSIPKTDEKPPQLPFVKHAPQKSANIASAGGHRLKVSVDESPYALGEFQPLLDMKRFD KRLSLFGQDFLPAQADHPEMPKQASAYARKASKDGKADGLLVDKIKSSDDDAFTGNGL LGQDYEARKAGLEKAGANTRTMRKDSGTKEDHFMPGSLLPNQRRETDNSRKVDASWFP SALEHSAKYREPEAPRPNTSAGVVSTRSPHGRSRSGSRPPPVPQQSQSRTRPDRDRPP MTALPRPLGSHDPRSADNLHALANGRQQPKPLVDLTPAIREPPQWAKKGHGVQPTEGM RHLVDMISVGGPNDKPHGLLEVPPRSALRKSPTNTAPLLGATLQSSSPVPRSGGGLSR TRSKSSGAPPSRPLIRDVPPVPTMPPTLPGAVGGGSGGRSMTMTDKDRIKMDAMRDAM MAREREFKDRERGRARGRDREREAREEFYSSGRTGTMKVV PFICI_08183 MSGKTVLITGATGLLGRQVVRAFERKDWTVKGTGYSRADGASVL KVDLGSASEIEKTLDTVKPQAVVHCAANRFPDKCDKDPEGTRALNVAASKSLAALCAA RDIFLIYISTDYVFPGKPGDAPYQADDEPAPTNLYGQTKLDGERAVLEEYKAAGKPGL GVVMRVPVLYGDAETPSESAVNVLMDTVWKAQEEGAKIKMDHWALRYPTNTEDVGRVC HDVAAKYMAAGDRSSLPQVLQFSSEDKMTKYEICQLFGEIMGLSIARIEANAEGNDPN ASVQRPYDCHLSTKALKDLEIDVSTQDFSGWWRWSVRAFRK PFICI_08184 MPYTDDEDSGKMKRMSSLSLSSPKKKGLVLLELFDFPRRQAEYQ PIKRSSVEEVDSIMTEEANSLEQGRVVPHRRKRRREGYLICGSLWALTLLAIFAFMDL VLTISRGLWGWDEDPDRIFSKWGEPSTGTEGLKWYPTDFLRDVQPIPCHSHNDYWRAV PLFSALHAGCIGVEADVHLFDDDDKLYVGHDSASLTSNRTLQSLYIDPIVELLNQTNP RTEFFNGTDTKNGVFDVDRDQSLTLLIDVKTNGAKTWPQVVAQLQPLRERGWLTYVEN GVRHNGPVTVVGTGHTPFELIIANSTYRDYFFDAPLHKMYEDPDGVIDESTIDMTYNS TNSHYASVSLKKAVGLTFLGMSRDQMRIIRGQIKGAERRGLASRYWDTPGWPVGLRDG IWHKLAYAGVGMLNVDDLRSATRKLW PFICI_08185 MFSLQASSLLAGAFLGVAAAASNCSNTSTPYSALPRCKVTPSDP DWPSITDWQSLNSSIDGALISTIPIASSCYAGNPFGASDSCDDVSFGWGYSYFHSDQP ESIDYPIWANNSCLPPNATGYDPAAGCHIGGYPSYVVNATTAEQVATALKWASDRNVR VVVKGTGHDLNGRSTGAFSLSIWTRHFNGLEINNSWLVPGANETAQVLVTGSGNNWGE ALLYSLNYDRIVTSGVDRTVGLGGYIQGGGHGPLSSTYGLAADQLLQVTVATVAGNIL VCNSVQNQDLFWAVRGGGGGQYGVVTEYVILTYPTPANIAASSVSIMAANMSDYSDAT VNATWIALSQLMSSFPDIMDQGIAGSGAAFTGLSGNSILGLSEPIPGVLASFNFWALN MTTEAMDDLLSTVEYDILSAVGDSRLISLTFSNSSSSSNYTEFFLSMNSSPSSAGQVS LTASRLIGRGQLGDLAVSDVASYFQRAMASQSGNGSMLTVGLQGGPGPRNVPENRRGA VNPVWRDVYLHILHGGANVDTDANTPRMALQAAAEWLDVNKEAVFREWAPETGAYMNE ANPFNTEWKHDFYGSSYDRLVQIKREFDPTYTLFVWSGVDSEFWDYDMDSGKLCQI PFICI_08186 MANNDVSVVSAAINHHPHETKPALLDEVIAKHDEASVDADDFTL MLMGKKPEMKRVYNFWTLCAYQIMMCATWSCTVVLYGTIFDIGGPVGLLYGTVVVAIG QTLLMASLAEYCGIWPTAGGQQYYVQVLASPRWRPLLSYLVGWGLIVAEMSVSASCAL NNADIISSFVSILYPDLEWKAWMTFVVYLPMIIVPLLMNINASMLPAYSSFGAVLTVV GFVAYAITFLAMAPKSDASFVFTTFLNNTGYKSSGWVFIMACYNSMYGLYGTDSMMHV VEEMRDAAKDAPRAMVWSMVFASVTAIASDLILLFCCGDYEAYAEALSPYVAWFIDVT GSIYGGGLWVVILFCLNNLLICVGIMSSCSRLGWRMAQDRAFPYSEWLAQLHPRFGIP LNMMLLVFAAEIVVGLISLGSDLAFYAIVSGSGVFFQLAYATPIIAVLIRGREILPPR PHFDLGRWGLIINYISVFWAVLMVFMYLFPLYIPVDEDNLGNMNWAIIIVGAEVIFSV GYWFYAARYKYMKDTISPVDGSVAVIDGETPSIEPTEIIVSPKSD PFICI_08187 MVAESKARVLIIGTGGVGTMTIYALEIGAKAEATAALRSSHEAI MKNVTSIDSLEHGQGIKGIDEIGRKTPLLVDLKTSGENYMTDFHNSGGMSVLLRELKS LLYLDAMTITGRTLGQELASATILSIPTDLSIVRPFDEPLYPASSLAVLRGNLAPGGC VIKASASKVRRLLKHSGRAVVFANSADLAARIDDPHLDVTADDVLVLKNIGPIGNPGM PEAGVIPIPRKLSSQGVMDMLRISDGRMSGTAGGTIILHVSPEAADPKSVLGIIETGD IVTCDLDSRSINVNLSSEEIDKRQAKRMEELKTADAPWMSREATRGYRGLYMRTVNQA EEGADLDFLRA PFICI_08188 MGSQLDDVTLKIINSPKFEIITTFLYSTGLRESSSITEGNQTPL NNCYLLDYGLHRLRAAAVDFSFAQALSSLDCPGLLEALASDIEKRYLEEHPQDGRRAD KNFIVRLAYGKNGEVHIMTGPRPRIPFIQYYPTTLPDPNATNIGVPVVDLFVDPGRIE PTLFTKHKTTFRDDYSDARARVGLTPTTPFLEGEVLLVNQRDEVLGGGFTTVYFWRNG SYVTPASSSGAKIGVSRRWALENIDVQESVVLIRDVLEGEHVWLSSAVSGFCRGKIRF INEAM PFICI_08189 MSNSTNTTAGYAPFDLYPYNPSQPPAYAFLGLFGFAAVVHFVLM IPYRVFFPFPMVIGCAMEAGGYYFRSQSHDNVRQTLPYIIQYLLIFVAPPMLAAMIYM SPGRILHALHAEDCSIFSPRWQAKLFVLIDMFCFATQFAGTIMSDSDTGPTLLLAGLI VQVAAFALFIVALLVSHKRLNNRQYELSRAAPDVHWRRYYGGLYCVSGLFLIRNFIRI IEQNQGDDGAIYTTEAYLYIFDAVFMFAAVVVMIVLHPGKLVRNAVRAAKAADLENEL AMK PFICI_08190 MPHKHTRKDRDASTFDLPPTQIARPLPVKDSFFSKPDEDAKAKN NKRKREGGQNDMPRAFRRLMAYSDGKKPRSGLDNGDAPQTKTKKKNNKKAAEQAPAET QTKDNKPEVPTIRPGERMSEFAARVDAALPVGGLISKSAKNGKDPLGLKVRRTRKEKQ MHKLYDEWREEERKIQEEKAEEAELKAEKEMEDEEAGISWKANTQVPATGKKKKKGKR AKYLDEVAGAEEDPWEELKRKRGESRPGLHDIAKAPPELHLKKPKKLTVRGATVAVDG VPKAAGSLRRREELQEVRDDIVASYRKLMEGKRPSLRQP PFICI_08191 MEQGLDELIESLLTKIAFSGLEGLSALAFVKAVSSFYRQGSDAN GGQAASNDKQVTAEEVAHASIVWRWVTKRKDVIVTPKSAATRPLADLVTQLKLSDPST KAATEQSNNDEDSSDETVQDHVRLLLSEERQWRAIAGHGPDYKRIPVFEWKALVAIAS VGTAGILQGDLTRLTGQDKRSLPTRTDALARKGYIIKKQTMLRGCKTSKLWLAQFSDS VQDDDDAPRGLPEDVLNTPASEITDNWDPVPFSHLYSQEPLNYLSISQAFLMILKAFT AMRYCDLRSKMNVEGHVTIMRGLAKSSRWWARVGVVRFEPMHSKQKNKLFKDCLKYVR DPTPKEWVDYMSTPKANLRIPSTRRKNKKSAASNVDSAMEMSPQTQKTGKSSAAKKAQ RRAPPSSALIKLSAWDPYKPLTSTFFEIIKRGSEKGSSNTELGMFNLGWPYRKFISTL TTLISLPRSLPPHLQPFAVHSDFTRVGRTMTYKFYAHQGPPKSLDGLPEPTGQVDSKE GDIPTHGNESRSEDNPFPAPLSSQFLKSGGRARGYRPVLGTRAIQRVGHKRDEVDEDD IVPAARKRARRTSPAHRESSPREQTPPPSLPPGVYYGKKNSLDPFKSKGRPRNSIVMK FVSPALRDPQFFNQPRPSVETRAIETPLPEATSPFELEEDPETAETPNVDATPVALET PVQRRQKAARGRGSSNKRFQCDKCGVGYKNINGLEYHQKKSQTVCNPDWQPAPPPAPM AKAPPSRDEQRTGEALQNDANESPERTSATPKRPLPSRRPKLAESAPPLPAQTTRAAT RSVTVQPVAVHGVLDVSATGKTRNTETPQRIEVRTAPVEHHTPLAAVSPARTTPAGNT NSARETRAPSSSAGGQKLYGKHKAEQDRKKFTIELVLELLERNDQVLPGDVSLYCLVA STWSREVKRASLPVLEWKQFQKLIKSMEREHKITAHHFGKIFHGSFQPISVICRGYYH TNSQPMPDSISKKIAEVKNKCEELYPNPYVPSRLSISKQELEMCTELARKHRGFPAQG SNVDTPSETAAKNIARLDHEKPSARSGTTGPPTNQKTGDDSDDSVQPRSATSRRKRRR TEAVGHASEADDDFQVHKRGRLSKLRTGWRAAAKLDTRWAMEVAPPGLAQALEASSSI HFIAPTTPETFERATASTDNLLEADEEDGETEDDIVDGESPINVDKVDEKRGTTTFTS IHSIRQLQDGTWPNNLSQAHFMDKSGGSFAVVGTFPEIRWFLRQNLPQNRKEMVERAQ LRSDAAEREFSRYGSFGPNVSAIEAWERSPEGAYLQNMGNIAPEHIFINLTLEPDLIP SEPVIAEWQPEFQLSAKNIPDEITLAVSEDDDPNPPEQTYVVEAPRRRGKRVKDDNSA RPRRFIKRSQGNWKHRVLFPITKRETGRWNKERAVGATIGREKETELVVAIVMIRKLL GGVDRITDWGLFLKLYPEWSVAGIRKFWIRVTKERASYIEALSRKFEAAFLEAYEKGE IAPLDYEDLANYDWRALIRWGVKLKTHTGVELPTTRAQFDTEYTMTDPKKDEEDWREL WFHFQTSTWDRLDAAASLPLTLPATKSSITARDMTEADLQLARSWVRALCNNKEQSTV GMEIREELLRRGNRDKESLDELLERAVSQLLETKVISKLFGKGLGQVFKLNNHLELRL KKHAHADKYSQAIAFKAELDEAFRSGRDVHIPINSNDGTIMAMINLQAYGRIRLEDVN FPHIPFGFEPGNYEGRKYPKRYYIFEVKVVPTENYISNEDLPLLTAAMKVPIPTKGPL GEIPIWCDFFGNIDRARWAQYLAVVAFAVSVKGPLAADGSVDLLQPVVEVFEAQLIMD WLEGMGLIDRVAAGHGATVSEWWWLVVGDQAVDKGKSLEMR PFICI_08192 MASTKFNSKSPTMRRIMKEAAELSNSPSPDYTATPLESDLFEWH FTLRGPPNSAFAEGIYHGRIVLPPTYPLRPPSFRFATPSGRFEANREICLSISGHHEE TWQPAWGVRTAIVALRAFMETDASGQIGGLNSGPEVRKRLAAESKAWTCPACARSNEE ILREVAERCREMDEEAAAAAAANAADGGVASSSARKREEVEIPAELKMGFRDEMEKKA AQAASTGESGNTREEDAETAQLAEGFVQTAPIPPLQQQQQQQQLQRETPPSAPSIPAR PAQGVPQPTRTVPLPPDPVGQAMAHRARDFDHDAGVPVWIDYAIVSLVAVLVAMLIKI LLGY PFICI_08193 MAWNQEPAEDDIHTLLDLSGHCFTRNEAIARLKNNGLDITRAMN EFFDDTTSGSKYKNEWDENVWTADREGPQNTAGVQFNVQGPDVTSSHFDISSGAPTRP PSRANNRSPMGAPTTQADEDADLQRALAESAAESGLPPQESGIIGSDVNEKKFGPATR TEYEQDQWAMVPTKLAALTQQTPEIPPSNRKRTDAAPAFLQTSQDHRLGALVTILANI PLARNALLSCGDLARTYGYDNQWWQSKHDLPQGHPNFHDELHRLVAFLDGTDRAYGSV DSLASTIALESDPDSPWAVFDAEEGFLNVLKQDFEVSGNPAIKPLVSHAATVRLGHSD DDEGFEIVNLSLLTIPLVESQSQMINNIYNALDTVFWNEATTTSGFPQSGSATSFFTE AGDIFAIRIGGDGLCKPCDIPLTLYLDRYMESRRQDALIIQRHINVHQFGLMKLKSLE ETTQTYDVTGLNDQKQVNWLQVQSSRRQSLEHAIIMLNSHLTQQRQRAQMRSTDQKCQ GGEKITDDYQITICSEETPCELTEAEQENEENLKAAIATAREELTKLDAELEYAKDCI RFIEDGLEHLSLKLTVREDEASPGFVEKYIHIRDPKGVEPDIYEPNEWNPTHKYLLSG VATTNEITYVCTRRAPNLIETSDQVEPREQWWRLVYAAHEKNPISVEKSDAESVLIAA GSESKYPIMVYASETALEAEPIQLSEALRTFVRADNKVFQKELSSEQTRTGAQQPEPD APLRLTAQTIEAIPEAEERWGAKGKRKLSVGSSNATIDSGDSRDVNMAFSGAIPPERL NEHYGELGSPAAQQPARMGGLVESLEKCQTSEPHRDQEMEGVNDDMGKSPEMQERVGS HSPFMMRRPVEKASQAASNSTEAVDMDKMEVEVEHHEG PFICI_08194 MNAKNKAGPEKSLQNLTLSDLVFSSQANHNFSRILTDLKKSNLS ITNRLQSVKQDATFVAHVASAYGRPLIANERCGSWYIPPSSKGGSAYFKSTDGHAGQW KFSTRRLNLHLLDTIGKRDGCIIVDSTRRGKRMPDALSKTIPIWCCVLNRVLFPKDEA RHGLHTPPNAVSASEHAQMEARIPEFVESFSGLGLDITSLQQITKPLRPMWITQDTQL VETDTIFEDYHPVICCTSSRRVVGTEMSEGGYIQGAGDDTENWAHGLTAPIFWANAEQ LLSAPEAELPALIESLVDAVSKESTAQKPRQVAPCLFVAPLTQVYAASEYSCVISLLP KTTDQSAWGQSPVQMKVGLGKHKVASRNLRSALPQICEFVKSALAASEKCEPKVLVLC ETGKDLSIAVCLALLCQFFEHDGSFSSSEERKDVNKNVIKIKLSRIMTAFPEANPSRA TLQSVNSYFMG PFICI_08195 MVKETKLYDQLGIKPEATQDEIKKAYRKAALKWHPDKNKDSPQA AEKFKECSQAYEILSDPEKRKTYDSYGLEFLLRGGAAPPPGENPFAGAGGAGGMPGGF GGFDFGGAGMPGGGGGGGARTFHFSTGGGGPGGFSFSNPNNIFAEFMRQQGGGGGGGA GFDDDDLAGIFGHLGGAAGGRPRMRTSYNGAGGDPFGGRGGREATPEVTTVERPLPLT LEELYKGVTKKMKIKRKTFDESGKRTTTDQVLEVPIKPGLKKGSKIKFKGVGDQEEGG QQDLHFIVEEKPHPLFVREGDDLIHTIELPLKDALTGWKRTVTTIDGKQLTLDKAGPT SPGSSDSYPGQGMPISKKPGERGNFIIKYNIKFPTSLTAQQKEELKRIL PFICI_08196 MAKAGGAAARLMQELQQLQKEKWLNVDLIRDNIFRWDIGLIVLN QDSAFKNGYLKTEMTFSEEYPYAPPTFRFQIPIYHPNVYPDGRVCISILHQPGEDLMS GEAASERWSPLQGVESVLRSVLLLLDDPEINSPAHVDAGVMYRDHRAEYNKKAAECVE RSKKDIPEGFVMPTNIVEAPPTVKDADDDFWNEDDDLEDFDFGSGTDEELQEDDEEEE NGSDDEES PFICI_08197 MTFNPQTPQSPSQFSPSTADPHSSMNSSMTSVTTASLPTPAHSV NNCDSSHDTTMIDAESPNKRKRLADDTGDQEQKKAHLEDPRRLGIENLHLDVGPKYLL LRTPHSASFPRTSDDLFERFGLAGIAAEVARVKPNGEKNALRKTFKGQIKSLGISGSF EPVKKEIEDPEGFMHMLAAPEDGWFVHEVTGKEINRGFSDMALANLTRAMTMAKGPIS KQVWDSSVLGELAPPKKTKDDAPKQTTSGTPAASAASTTKTNKLQVPQGDRLQRTKKR SYKDSSFEGYGGGFPDDDLGAETGYSTGEGEDRSAKKRRKQNPGIASSLSGGIRQAVR PFICI_08198 MTTPSDHHDFLGVPSPSNTAAVYGYLGGGYDGTDYHDPRTSSTQ SLAPSDYDEQEKRKLLLIYIHGFMGNDNSFQNFPFHVHRFLRDRLRSTHAVHTKIYPR YKTYKAIEVARDNFSRWLEPHESPETDVVLIGHSMGGLLAAEVALMPTRDRSDIRMLQ HRILGTVNLDAPLLGLHPGIITSGLASLFRKKPDPPKPPQAGDLISGAAQTLGGLGQG LGSRLTMDPNFNPNYVNDVRMKERSWWQNVVHFASKHNSEGLMDAATKHITSHLEFGS CLMDYNGMKLRYEKLRRLEDYDDLENRGMPHVPPQVRFIQYYTVCHGYPKKPKPSESE DSKTIEPASPENNVVSGNDGHHSEPASPRVSSESSGSDSHSEPLTMLEPQPEADPEDD SDERQHGDLESPGVASEQFHTPGASQPSSPAPGTGEVIGGNVSHSGSPDDGASHAPDT ASPTHEPMPRFTAPRRAETGRFREDLPPNAEFTVEAALQEFIDGLPPLPDEPQKPDEL KSYDASNKDIRKYVEKEMKRRNKDYEKQMKSWRAAETQRNKAIHKMRAKLQAGQKEAK DKQGALADAAASVGAENQHNPQAEEHPDTSEQGAGTTTGHDTPVEAASNLGNNNEHSP SHVHFDELRPASPSNDAGRDSLTIQRRSQEGTKKPEKPEKPPKDRKFCTCPSKVNGQM DPKWIRVFMKDVDEVAAHTGIFFAGPHYEKLVGDVGELICEWVEADATKRLVLDMENN PFICI_08199 MVVLSADLPAGLRMLSLLALSNLAGLSSGAAILPRDDVPAGYVA APYYPTPYGGWDDDWADAYTKAADLVSQMTLAEKTNISSGTGFFMGPCVGNTGSALRL GFPQLCLQDGPLGVRTTDNITAFPAGITTGATWNKQLMYERGVALGQEFKGKGANFYL GPSVGPLGRKPRGGRNWEGFGADPVLQGIAGAQTIKGVQEQGVIATLKHAIGNEQEEY RMYSVIQAGYSSNIDDRTLHELYLWPFADGVHAGTGALMTSYNAVNGSADSQNAYLIN GLLKDELGFQGIVMTDWLAHLSGVSSALAGLDMNMPGDTLIPIVLGTSYWMYELTRSA LNGSVPMDRINDMATRIVATWYKLGQDQDYPPPNFSSNTRDREGPCYPSALISPTCVV NQYVNVQADHATVAKAVAQEAITLLKNNGSFLPISTSVPLSVFGTDAQTDPAGANSCT DKSCNRGLLGMGWGSGSADYPYLDDPISSLKRKAGNVTYYDSDTFPSSIPTPGANDVA IVFINSDSGENSYTVEGNHGDRDASGLSAWHNGDALVKAAAAKYSNVVVVIHTVGPLV LEPWIDLPSVKSVLVAHLPGQEAGDSLTEIIFGEATPSGHLPYSIPKSEDDYPSSTDL ITFEFLGQAQDTYTEGLYIDYRYLNKNGKTPRYAFGHGLSYTTFALSSVSLTSVTELT TLPPTRPAKSTSVVASLNQTIPAASEAYYPSGFNRIWRYLYSWLDSGEADAAYAAGQS GTEYAYPAGYSETQKAGPPAGGPSGGNPALWDVAYTISATVRNTGASHAGKAVVQAYV QYPSGSAYDTPVIQLRDFIKTDSLATSSSSTVELSLTRRDLSVWDTTLQNWVVPAGEF KIWLGQASDDLGWVCSTSTGACQESDAGPV PFICI_08200 MKPFTWTYIASLALGASAGPIPDEMKLYLNRATTVTASEVSSFD LYAEYAAAAYCNTEDDVGTVVTCIDGVCSNVTAAGATVTATFAGDATDIQGFVSTDAT NEVIVVSFRGSHSVRNWITDLVFVQELCDITTGCLLHTGFYAAWLEVKDVITAAVSAA KTANPSYSIVFTGHSLGAAVATVGAAYTRKDGYSIDIYTYGSPRVGNRAFVAYVTDQA GAEYRVTHLDDPVPRLPPIILNYRHTSPEYWLSDGTANTTEYTASDIKVCEGYASLSC NAGTTGFDTTAHGYYFGDISGCSPDGTPLRKRDDTDNSDMTDADIEAQLNDYVVQDAD YLATNLTGDIWG PFICI_08201 MGSVDAGTHGAFDVKSVAVIGAGPCGLAAAKYLKWQPPSSSSSS RAASGSYFDKITVFEQQPRVGGVWLYSATPPSPSPIPQTSAHAPPDPPVYNGEKGDAA SAPIFPSPMYDRLNTNIPHTLMQYSDFPFTDEQGQTAEQGGDLRIFPERQVVLRYLDE YTDQAQIRPLIRFSTSVLKVRLRKTAVQDSGAGEAAAVAARQQKDQWDITSRNLLTGH EQTETYDAVVVAGGHYNTTYVPDVEGIAAFDQEHRGVISHAKTFRSPGAFADKKVMVV GNSASGLDIAAQINAVSRGPVLVSVQTPTSDEARRHAGFDEVAEIARFLPGPRRAVLL KDGREVEDLDAILFCTGYLFTFPFFEGKDGFLGASTAEATESDNHSNNKSSDPPPPPL ITDGRRVHGLWKHFLHIRHPTLAFPGLPIKVIPFPLSESQAAVFARAWANALALPPAS EMRDWERREEAERSPHKYHVFPALGDARYINGIHDWLRQEQQQGGGCQGKEPPYWSPE MFWQRGVYAQARIKFEQDGRKATTLAELGFVYEPEKEEDGSSQVAVQPEAVNTEPGLD IAG PFICI_08202 MPPNYSAGLQPHARDSLELASLASSSQRGHDDTYSDTDSRPSIS SSRKLSLEQDDPLDDNNPAVRPGQGYGHGRTYSNASNFDYTANLFPLSSSAGNGYAPL GAPTSTGLQGGGLGGTSLEKHKTLTYMNGLGLVVGLIIGSGIFSSPSQVNTNVGSPGA ALIVWVIAGVLAWTGAASYAELGASIPLNGGPQAYLSKILGELSGFLFTWVAVLVLKP GSAAIIAIIMGEYLVRAAIGAEAETINPWIMKAVALVGLFAVTFINALSTRLGTRLND MLMFLKFFALIGVTIVGIVVALTGFSVTGTANNEWKAHSWFEGTTTDVSRWAVAIYAG LWAFDGWDNTNYVVGEFRNPGRDLPRVIHTAMPLVIASYLLANVAYFFVLPTKVINST NTVAVMFGGKVFGPIGALVLSIVVSASCFGALNSSTFTSSRLVYAAGKEGYFPAVFGR IGVGSTDPGLSSTRTRNWFSKKLHSMLSDEETGLFFTPVNAIVLNGALTVAYVIVGEF GELITFYGVAGYTFYFLTVLGLIILRVKEPNLERPYKTWITTPIIFCCVSLFLLSRAV FAQPLQTLIVIAFVLVGIPVYFWRIHGRDQVTKRDIGGTSRHGWKFWKRWAN PFICI_08203 MRSLLLQSPARLGLRVGVLRACTASVRHYSARTSPKRTDRAPLN LTALDQKWRETWKKNGSLQSAQGAVAKDNTASASPEKDNMYILSMFPYPSGKLHLGHL RVYTIVDVMARYHRLQGKEVLSPMGWDAFGLPAENAAIERGVEPGEWTRENMARMKEQ LDVMNGSFDWSREFATCDPEFYKQTQKIFLILHSAGLVDRKRSEVNWDPVENTVLANE QVDASGRSWRSGAIVEQRSLEQWFLNITKYKESLLEDLDKLAKDDAWPDLVLSQQKHW IGKTKAAYYDFVVNLPGAETETLRVYTTRPETLFAVQYLALSPHSTLVQRLAEKDERL RAWLDTAKELPHDSKEGYPISYLSATSPLDLAQHATGSAETTSIPVYVAPYVRGDYET GALMGVPAHDLRDYHFWRTHRKEEPIKYAVSPASDGSTAGLDGPYIGEGKMTSLAKSY AQMNSEEAAEKIVHEIATETSAAQPITKWKLRDWLISRQRYWGTPIPMVHCNKCGVQP VPDADLPVILPKVDHHWAEGRTGNPLESAEEWVKTSCPKCHGPAKRDTDTMDTFVDSS WYYLRFADPRNSELPVSEAALRKYLPVDMYIGGVEHAILHLLYSRFIFKALMDVLYPG VAADLPLIEPFKRLITQGMVHGKTYTDPDTGRFLKPDEVDLSDASNPKITASGKTANT SFEKMSKSKHNGVDPTSFIAQYGADTTRAHMLFQAPVSDVVNWDEDKIAGVTRWLKRL HSFVATLPPLEVYTAWDAKDYFQKKSGSPTCHEADAHVWRATQKAIAAITQSYDKVYA LNTVVSHIMEWTNVLIENSAASDAVKAASVSQLLPMLAPITPAVAEECWEMLYPKMGS IFCPESARWPVVDGTLELLAQSTIKCAVQVNGKLRCVVHVNKPSAELKQGSPEWRDWF VDQIKASDEAKSKVMKSDCDIRQAKKIFVVKGGQTANFVL PFICI_08204 MPRLPPRLLYRAHRISPHLRILLPACRDIESARHELRWIREHVS SFPSTRHAKVPVTSSAAATSKREEQEAKVAHLCARRGRGEPLQYVLGTQPFGPLEIRC APGVLVPRLETEAYTVYLADLLTRHYSSSSPHPPQRHQETSGGELVTKGDDEGRLGVL DLCTGTGCIALLLYERLRRHAQRRLLPPPRVAGVDISPTAVALARRNLDDNTRAGLLP RENANAAVVFTEADIFADDFLARSQDGLLMPSGQVDVLVSNPPYISTRGFDRDTGRSV RNFEPRLALVPDERLKATAVLLECALEDVFYARLLDIAKELKPRFVLFEVGDLEQAKR VVKMATTLGNSEDFAFEIWRDEPDAEGTEVFEMGSGSTIATIRGEGHGRSVFIYRKTK S PFICI_08205 MSLRSLRPSSSRSWRNVFRIRQARLPRHLNTVAKCPSPTCGCAE TPAMPEGLDIDYKGKLNGLIANYAEQVLICTGKDDWPSRIEEDNAGDNLAADLKELLG RGGVYSDPFHNVSVLNSSFPSSISNRPEIQTTSAYLLPSFKYVPFLPRVSFDSVQALV RGFLLPEKLHPMHDGLSPIHRDRLVRKERYQQLLYGVRDVHDILVLICGHGGRDMRCG IMGPVLQKEFEAKLPRAGYQVMTGPLIDESRSAPALPGTTDEPPSTARVGLISHIGGH KFAGNIIIYLPPAMKTKDGEPHPLAGHGLWYGRVEPQHVEGLVEETIVKGNVVSDHFR GGIKQSGEIIRL PFICI_08206 MSASKICSRCLRASRTGQSQSLRLPYNSSLVLQRAPLQASTAHL SFSTWSPQRQDSRTLNSEPGKGRGKSRKPVILSVAAALVAGAAYYGFSSETEDDSLNS TKFTPFNIIAKEHVSPTAFIITIRCPDVLASKNNPKIMAAWQHGLWSVEMKQPQLQIA RHYTPLPPLSDAQENAGELRFLIRKMGSGEMSNYLFRLQVGDQVWLRGPHYGFDISKR MGEAKDVVFLAGGTGVAPALQIVHKLLDGSQNTAPEQRPSVRILWANRRDIDSLGRKE LHGKTKRSGDVGVPNSLTDQIVDLKQKYGDHFNIDYFVDDEKFITHEDVNTAITLRQQ PALAATDKRCRWHSHEGLAATSDEDDKAAKDIACTCRRHNMPPEFIGRNILGVSGPDG FIEAYAGSKRWFGGREIQGPVLGLLGSMKRMDPKMDDWLVLKL PFICI_08207 MTSKEQKVFIVGPGFIGWNVLELLVKEKYQITAFVRRKEHGAQI KNSGASEIVIGDLGDKALISEQAAQHDITIHMATADDLPSVEAVLDGVQKRADQGLDT IFIHTSGTSVLDDGCHGSEKSDRIYFDNLRSDIDSMPDTAPHREIDLAILRRQKVLGD KAKLAIMIPPLIYGFNHDHKRLTIQIPTLTRFALKHGYAGYVGDGLPVESNIHVKDLA RAYRILLHHMEHTPAANLLENPYYFCESSGNDEPSWKEVAELIGDSLHKAGKISDPTP RTIDPKLYGDLFGDDTGAIIGLNSRSRAARLRQLGWRAVEKSWRASYVEDELPEILRE KGHADFKGYTGKTLK PFICI_08208 MNMAKRSQIPELEPDGPHLVYLTLSFFLIIYALFSELMRNRLHL SEPPLATLTGIALGPLAADVSGANKWAFQDNITQELARVVTCVQVFAVGLDLPSAYVK RHWRGLLVLLVPNMIFGWLVCTVIIKLVIGISWSHAFIIAATLTPTDPVLSASVLSET KFSMRIPKRIRYILAAESGCNDGTAFPFLYAAIFAVTQNSKSEWAKMFFLETILWQCT LGIVVGAVIGLVANRLLRFSEKRGYTQEATLFVFYFLLAIFATGVGSTLGLDDFLVAF TAGAAFCWDGWFREKTHKMKLPSIIDLLLNSAMFVYFGTIIPWDKYVGFGRLSAWRLL AVTVLVLLFRRLPSIVLFKRLIPETRTWSEAIFAGHFGPMGVGAMFLAMEARARLETG SSTPEPHPPSHGPHHEAIKHMWPIVSFIVLGSIMVHGFSAAIMSVYGHLTRDPKRRAS HVGGENDRLAGMCSEDEPGLSSSEDEYVEDRIEGIGQISIR PFICI_08209 MTHNHAGGISPALVESIAGLTAGSVATLVVHPLDIVKTRMQLHR SNAPTSASLTTLSLVRSLVQMPQPLRALYRGLTPNLLGNASSWASFFFFKTRAERAIL GLKGSPSGQDSAVSLSTTDYFAASAVAGAGVQVLTNPIWVLKVRMLSSDRGAVGAYPS MWAGAAQLFRDEGLRGFYRGLGISLIGVSHGAVQFAVYEPAKRIYFARRRRRLDQEVG RDAAAASDMPPLKLSNEATLVLSSASKLVAGAVTYPYQVIRSRLQNFNADENYGRGIS GVIRRTWQHEGIRGFYRGIIPGVIRVMPATWVTFLVYENMKFYLPQWTR PFICI_08210 MSGQKHWEQDKEATVYVGNIDERATDALIWELMVQAGPVVNVHL PKDRVTQSHQGFGFVEFNGEVDADYAAKIMNGIRLYGKPLRVNKASADKQKPLEIGAE LFIGNLDPMVDEKILYDTFSRFGTLTVPPKVARDDNGLSKGYGFVSYSTFEASDDAIH NMNGQFLMNKDVTVQYAYKKDGKGERHGDEAERMLAAQAKKHNVTIETQPMPPAYHQQ PGQTTPTPPVVPPIMASGGFDPAMNVAVPPPRPAGFAPPAGNRPSPVAYGLNGNGPVP PMGMRPNNLPPPPSGLPARPPPVQTGYGSPADFHPGSFRGPVPPPGFAPAPGTGAPPA PPGFAPGYGR PFICI_08211 MTQHPPSANWDHRWHGQYQQQQNPQYSNMDTSSMMPFDPRVPSS APIQPHHESQYAIGTTYPMASIPSMAPHTAFGVNSYAPASPTSMVMPFRQYGDERPSM SETPSNGVSYQHRDAQQVYRRNYVASPPIKRDPGPPAQLPLPTTAAPPAAPAPKPLTD EAGAKPYQNKTLIDEMMRVIQAQESDSEEAEEEEEEEDLEEEEEEEEESKPALNSPPG SRRGSRCNSPRGTRAKQRRNSKQSKEKRRCPWEGCRQTFNQGTHMKIHMRKHTGEKPY ACSWQGCKWTFSQHGNLKTHYRRHTGDKPFKCDQCPREFAQKGNLRAHLSVHDTTKRF HCLIDDCNKPFTQRGNLKNHQNKFHKEAVMKYMTLFAEHSLESIKDEKDRKLFVHFKE IYKNMNRGIKGRGVGRTIQQKKSTTGNAQGQALHDVPMYPVQQHTPPIEHSMPHQFSQ LPPSYPPQAQAQHQHQHHQHHQQSHHQHVHGLPPPTWQGYPVATAAGAPSRPDGSHYV MPSARAHYDVYDMEHENMSSGGSSAGTAIHTPASSVYEEDHGRALTFRERMY PFICI_08212 MSSEAETAQNVVDAVSEQPTISLVVEFTGGLEMLFGDERRHSLS IPAVDKDGKPATVAFLIDHLCRETMKDSRKELFVLDDHL PFICI_08213 MASNQPESENDEWVMVPNDIESSQEKSVPDDGEWVDLMADFKKL ITSESSDGSGMARGTTTSEEPPQPPTDALMSDEEYFNIIHSLWRDISTSHGTELLDRF SYDMNIFHMFRYAWLCRYTETLPSSASSASASHPHSNSVPSIDVALRSPLYHLKIPFS FWRKF PFICI_08214 MGIIRFAVRLGVLGFTGGVASVGAGMAYLASGTTLVDLTKSDPW FKTKTYTKYNPKSNPALIDDCIKRVPLRKIRPDLRDNEEALTLEFCRGIWGRWGFWPQ SKLQKRYDAPPGSEHHIWTTSELAESKYEEGLPIANHFEVVENSGNQIAIRCGGSPLL NPGLRNSDGLILISARIDREKQEAVLSLKSALFNSAGEFPADAEHTVPPKITFLHGWY VRILVQAGYGKVKA PFICI_08215 MDKINNAANYVADTVKGATSTGSKEANKQVAKDGNADLSTRLSA GKDAIGDKVDEQKHNASADVHKEAAKH PFICI_08216 MPKEIGDIKQFIEICRRKDAQSARIKKSKGTNLSKFKVRCSRNL YTLVVKDSDKAEKLKQSLPPNLQIKEVGKKNKKGQHTA PFICI_08217 MSAAATLPQKRVFGGARPPSSPGTKKRRLEPLTSSPASRLNSSQ PAPGSKLGSAQTKSTFESEVLEKLSQDISDLKQNNSEKDQSWERPPVTDFNPKRDNLT FQQIEAEEGTLHGGKATVKLFGVTENGHSVMLHVTDFKHYLYVAAPVSFQPKDCEPFK AFLESQIAQHQPAIYSCQLALRENIYGFQGNTKSPYIKITVTDPKFINRVRSTIEKGD ANWKGMWKGAEGDIMTFDNLQYVLRFMVDTKIPGMSWVECPAKQYSLIPEQEKQSNCQ IEAEIPYTHLISHEPKGEWSKMAPLRILSFDIECAGRKGIFPEADMDPVIQIANVVTR YGESKPFIRNVFCLDTTSPIVATQILDFDKEQDMLMAWKHFLDKVDPDLITGYNIANF DFPYLLDRARHLKCKDFDYWTRLKSVKSQAKETNFSSKQMGNRDTKATNTNGRLQLDL LQLIQRDHHLRSYTLNSVCAHFLGEQKEDVHHTMITELFNGTPESRRRLALYCLKDAY LPQRLMDKLSCLANYTEMARVTGVPFNFLLSRGQQIKFLSQLYRKALEQKLVIPNMRS QGSDEQYEGATVIEPTRGYYDVPIATLDFASLYPSIMQAHNLCYTTLINARAVEKFGL KKDEDYIVTPNGDLFVTTKQRKGLLAQILEELLMARKQAKRELAVETDPFKKAVLNGR QLALKISANSVYGLTGATTGKLPCLEIASSTTSYGRQMIEKTKDEVEKKYCIANGYSH DAQVIYGDTDSVMVKFGTTELAEAMKLGEEAANYVSSKFIKPIKLEFEKVYFPYLLIN KKRYAGLYWTKTEKYDKMDTKGIETVRRDNCLLVQTVIEKVLRMILIDRDVPGAQEYV KDMIADLLQNKVDMSKLVITKALTKEVYDGKQAHVELAARMKKRDAGSAPGLGDRVAY VMIRGAAGAKNFEKSEDPIYVLENNLPIDTRYYLDNQLAKPLGRIFEPILGETKAKSL LTGDHTRSISVAAPTVGGLMKFAKKTMTCMGCKKPLVGKEESAGAVCSNCAPRVGELY NKTLGRVSDLEVRFGRLWTQCQRCQGSMHCEVICSSKDCPIFYMRMKAKKDLEDAGKE LKRFDLDQAAIW PFICI_08218 MSNLPSEPEFEQAYKELASTLENSTLFTKNPEYKTALKVVSIPE RIIQFRVVWEDDSGNLQVNRGYRVQFNSALGPYKGGLRFHPTVNLSILKFLGFEQIFK NALTGLNIGGGKGGADFDPKGKSDNEIRRFCESFMRELSKHIGADTDVPAGDIGVGGR EVGYLFGAYRRERNRWEGVLTGKGGSWGGSLIRPEATGYGLVYYVGHMLEYAGKGSWA GKRVAISGSGNVAQYAALKCIELGATIVSLSDSQGALIAEGDASFSPEDIEKIADIKL ARKALTAYEHKGSFKYIPGARPWVHVGKVDVALPCATQNEVSKEEAETLVANGCLFVA EGSNMGCTQEAIDVFEDIRKEKGAGAVWYAPGKAANAGGVAVSGLEMAQNSQRLSWTT EEVDEKLKLIMKNAFENGLNTAKEYVEAKEGELPSLVAGSNIAGFVKVVRAMHDQGDW WAKQ PFICI_08219 MAPSAMPTSSVEPATNGVGKSTGVSNGLSNGDGSSVSNRFENSH GNGPVNGNGSSELPPTANGEPSMRRPVTFSDSIDYSPALPSPEPSQGVRFGSGTHRIH SIGRHETEASGPGRSYARVRSWGKSSSSAQYPRLSKPLELMRSSYDCVVIGSGYGGGV AASRMARAGQSVCLLERGKERWPGEFPAETGEALDQMHYSGEFAPGWLPKQLVNGGDP TGMYHLIFGNGQNAVVANGLGGTSLINANVYLEADEQTLKQESWPREIRKDPKREMER YYAKARKMLEPETYPDDWPALPKLDLLKKQAEALNMKEKFRKVEQTTRFRNGPNAAGV EMTPSGLTGQDCTGVNDGSKNSTLVTYVADAWNWGAEIFCESEVRYITKAPGREGWLI YFAWHGRNRGHFKANLHGDLMWVHAKKAVFLGAGAIGTTEILLRSKEMGLEMSDQVGL NMSGNGDMLAFGYNTDEEVNGIGRTHGSPYHPVGPCITGIIDNREGHANPLNGYVIEE GVVPKALAPFLQAMLELLPGSVGPKDEGLVDTLKANLARFGSFFLGPYYRRGAIERTQ TYLVMSHDSNQAMLTLKDDKPVLEFLGVGRSNHVKFLNNILEKATRAVGGTFVQNPWY ALMGQQQVTVHPIGGACLASEGNGASGATNHFGEVFIGDGAETHRGLMVVDAALIPTA LGANPLATITALAERCVEHYCLRQRLTISEQKNGILDLFGSPQYDSQIFAESEDDQDD VVSISSASDVIKSAQRMNDGGFGFTEVMSGFIHHDQGLKEESQQSYDLAARIAESRCE SARFFLSVQSFNTRSIVHDPEHRAMLTGTFSCPTIPGSPFMVQRGDFNLFRQYLDAPG TKNLVYNFMMRGTDGRLLHFRGFKVVDSSVALNPVQFWRATSTLYVTIRKARYNGYFR EDMREEEAMTGTVIAKGIMRIQPADFASQILTMTPTGSGLLKKAMSAASFMTYFTRKS LSLFLAPFTPLEYPSQSYNGYINETLPTATYQIQADDKVMTRMQMYESTNPNVETKVL FMVPGASVDHQIYALPTIRCNAVNYFTRAGYRVYVSVHRICALMIAQNNWTTFDSRLD IKACLEKIRKDNGKKPVYTIAHCMGSVAFSTGLLDGTIPADWIQGITCSQVFMNPIWN TMNMIKIMAFPIPLDKIYKLLCGSWFSCSTAHDDSYIQKALNEVLRLMPDERKEMCNN ASCHRVSLTFGRCWNHANLNEATHRQIDRFFGGVNMTLLHLLMKQGYDGGVMTNAPLF DRLDTPENIANLRGIPFLLFVGRDNAVLSPAATEKTYEVLCDTFGTRDTRDNDGLQYK RRVVPNYGHLDCWMGRNAYKDVYPFVREEVDRVTRGEDYRFVEPNDKFTERSDF PFICI_08220 MSGDNPEGQVIVHGPEGLSDDQLAEARRIWDEAKSMAPRSSRKL WKNIQAYDKKGFQEFLRAVFKHHGVEWNSLRRPRHMYSFRAKTVDMFTLPVGTWKKDL IPKAVTKAAGDVTYKIAAHRRKGATALCRPVIDGDTIAFKIIDSHAHPHSHDDVLWSG DGLSAELKAWICLHHDEEEFRHISEWNVRAAVIRFQYYLWKLNVSEKAARDVQVPEVK QMYLCVPIFENMYRDAKVVRQLAKEKRRRDRALYGL PFICI_08221 MASSTNVPVIVGVGDFKNKSLKVEDAHDPSELMIRAIQAALADT GLGTDIQHNLSAQIDSISVVPPWTWTYPDLPGLLASRLKVLPQHTYLAPHGGNGPALI CDEAARRVALGDSMVAVVTGGESLATLAAMGKIGKMPPPNWPKPNPTEKPIAAGGLSV IGDTVGTRHSVGLPVQVYPFYENGFRARRGQTLKENSAESARLYAEFDRIATQNPFAW NHGRPPKSAEEIGRPSPKNRMISVPYPLLMNAFNTVNLSAACIITSVANAKALGIPES KWVYPLGGAGTHDSDDFWERPNFHSSPAMEAAINSTIESSLITKDDIDCFDFYSCFPI VPKMACHYLDLPLTGGAKPITLLGGLTSFGGAGNNYSMHALTAMTRELRSGRFNTGLI LANGGVMTHQYAICLSRSPRKGGSGYPSRNPLPHHLEGLSTPQFLEYATGEAVIESYT ADFDRTGAPRLGHIIGRLVETGQRFIANHANEWTLLRLADSNIECIGQRGHVQSLEEG RNVFKINRQAGL PFICI_08222 MDSSTNSRQEYPQKKAATPQKSATTPQKKVAIPPKKSVTPQKQA VNSNVPTDKRSSLRMNKTWRPESESSKQDERPLTNGYVSGPRKAPLPESLEPPKFLGV QSQPPRANPRQPAPVNPFIHENVRQGPTGGPGSLNLNLVETRTVNLITRILKSWPQLM AMHHTSHLPPMIHPVQLMCGIPKPLERCYRLVNMWADRTETSTGFVYDAILLEIQKLI GECHTYNELDLLPAVQSLLILMIVLFFCFSGPPTTSELSIQAQILGQVWNVKSQLASS DLFVPLEPMDPMPKWKEWAVKSAKQRTLLGLHHVEWVWSLRHGHAILTGFELGPIPAP APSHLWRETNEQTWKREYKIWLATWERQPYSMAELLSVRADGVLGTRAEMWLAEADEF GTMLLPEINAIQ PFICI_08223 MTSLLSWPAILVQAVIILFSIAIYRRYLSPLSDIPGPFWASITR LWQVVHIFKGDQNLQSIALHDKYGHFVRIAPNEVSVSHPDGPRSLLLTPQRKADWYRV FTVPDYRFETPMSALDPKEKIERSKWLAPGFSLSNILRSEEYMNKNIGLLLDWMDKFA ESGDAMHLDKYLTYAAFDNAGEVIFSQSFGYIKEGKDIRGSIANNLVLNPFVAAAGFF IWAYVLLVANPIITWTGLLPMGHLFDTADAAMKKRKENPDARFDIAAHWFKAQRENPD RLSDRDIQAQATVAVGAGSDTVSCKNPSFYVLESFHKLIQEKGGIQTFVYHMLRNRDS WLRARQEIVAAQAEGRCRDRVVSFDDAQKLPFLQACIKEALRMFGPTPMGLPRVAPKG GITIGGRHFAEGTTLSIHLQ PFICI_08224 MKFAVERRDQVSLLAIAAVFLVLPTIAIILRLLARRIAHRTLDV SDYLMIAAWVLAVGFQIVCFLAVLNFGVGYHASEIKEIYGERATEGFSILLFPIQGLW ALSLSCCKLSVLLMYRKLFPVKKVIHAVNISIALIFLFLIIAIIGGCTICQPFAYNWD KTIPNGHCGDLIAIVKTTGAGNVITDVAALTVALPSLFALTLPCYKKLVLMLTFGVGF LAVIVSILRLITLVTVDFDDLTYTCVEALIYSAVEPSLAIVLATIPMLRPLLGRSKYS ANRDGKSATARFSFKSRSTAKGPNGSDGFEQLSGNTSQYELRPPGPKHNVHISVQLPN SRDSSTPISPLERRTIMIQHDWEVRQEPETEGPR PFICI_08225 MSEKESQQETSTPGEGLPPGTIRLIDTDGGISSKHADGKGQSDI ILVPSPSEDPEDPLNWDLKRKILATSCVVVYTIMIAIPSSAVYSIVTPIRAATSLTLT DINNGTGIMFLFYGWGCIFWQAIALQYGKRPVYLFSLVANIIILATAPLCTTSGTYLA NRIILGFFGSPVESLCEISIVDIWFAHERPKYLAWYGWSLSLTGKLAPMLSGFINYGM GWKWTLWWCSIWNGIALVYCFFLMEETNYDRKHVATTASQVHAVPSEVAVPSQDAKSE KPAPSAALPEDREVGQVSWPRKSYMDKLSLKDKKRPNRLLDIMAAPFKGFTYPAVVYA GFMYGANSLVWQGVQNATIGTVYTTQYGFSTATVAAAYAGGVIGTVIGGYYCGKVGRM LTIRLARRNGGISESEHVLYIFTASVFLVPFAMILYGLGVTYHLHWFALVITQVALAI NAALCVSTALGYAMSSYPELSGQMVTTCVLIRNTLSFAINYGITPWLNASGYLRVYCI VGGIGLVWNASSFVMARYGRSLREKTAARYYRDVDRARAKGLGH PFICI_08226 MPSYVITGASRGLGFELLRQLSSNVNNTVVGLVRNKPAMEKKVS EELGNRPNVHVLQGDLNSYEELQKAAADTTTITNGSLDYLIANGAYVPQWDAYDPIGV LAQNPKKLEQELTKLYTTNIVGNINLYNLFLPLVLKGQAKKVVAITSSLANLPTVNGL GLEASPLYAIVKAGLNMVSAKFSAQYKLDGVLFVSICPGMVEAGHFDNLSPQQQATID TMVTKFKAAIPDFSGASTPEVAMRNLINIWENASIENGDGGAFLSSRGTRY PFICI_08227 MAMSLKTEGYTPIDDEESANLSDSESSETLLKGQHKRNKSRARS VPHIHCNVAGFVALVILVMIIILATIKCTILYERRGSNAPRWRPAFSPFYDMMDIPII KKYADHSPAPNASDPRHIYRLDPSPEVDAAWTRIATASAIYPASAQDIARAGKDPRYA VDAPESWNYPPGKTKMMGIEAFHLLHCLNALRKGLIINYDYYWGAHYGFAPPAVFARH LNHCVDMLRQHLMCHADLVPFTFDWRIGQTKPYADFGIQKTCIDFDYLLDWVEDNKDP LAEERWKALEKPEGVLQKEAPPDIPQITNNSVWSKDHTMVLETLAGLEGREYCLGR PFICI_08228 MWLLDTSNYSLVEIFRPEDFQYAILSHTWNSGNEVSFQDLRNLD RARQRSGWTKIERTCKLAAQQNIKFAWVDTCCIDKTNNTELAEAINSMFEWYKLATIC YVYLEDLPSSSVGPESKFAQRQMAKDLVRCKWFGRGWTLQELIAPSSAEFFDRDWILR GNKKSLQHQLSQITDIELGVLANSEDLSSIPVARKMAWAAKRKTTRIEDIAYSLLGIF NVNMPLIYGEGMRAFLRLQEAIAQSTYDLSLFAWSDDEENPQTPEYNGIFAQTPMQFS RCGTLLNIESPALLAGQSFNLTNRGVEFETYLRRDTSEGDFEMCLFCAPGPRNRRKYI YIRLVKTVTGYVRHRTQKLFYLSEDSLAWNDWDPHLVSVRVPRVLSLTESAKVKGRLE NSFVITTPTKHIESLRCEFFPNIRPDLSRDDINVPWYYDASSSRILTSGSTQFTGMLY LAIMTDENEIILVAPIFCGLAAKKDKDKTETSGSLAVQPPIPWVMISVPNTWSKGKTK AEVASNLHNPYFLLKEGRIVRGLLNMSHAPTPPTSISFSYGTRDFSVNVFVEEKMMEG TRTFSIQARISSDGQKKSEKPSPAAIEYKRERPEENLGQSNRDALVVS PFICI_08229 MGLQLTFLNTSHAPTQGAAETKRMRAHVNKVNFAKRRQRLIEEQ RGKHDTDAISKFATPELHKRKGPSYISADQPLLTMTNHTTSSIHYLLCQFRPFVFPEG IGGPGSAAEAAWVHLLLSEPALVEASVSIGLKHNPRRQCMWGLRESAIRKGRAIKMIN ERLDTPSGLTDGLLSAVFTLTWAEHLGSDANAMKIHSEGLAQMIKLRRLSGNNDVPPW LSDFLLYASMAHNIPAARDMHKQLIQALCDNNTPDLMDINYIQSQIDRLCQDIDAYHA DSKEKDQRKARIDVQFRRLQSDVDTLLRTQDHHIRTLRRSIQLFLLLLWPSTAQHELR TLAKDLRQALEEPHIRLCSTVDLPVWQFSVGASAADPQGDTRSWFIDRLTDLSVTMNV RMLEQGTASLASSFMPDACVLEKFKAIWRQVDCSVVHRSGGGIKHEYVRK PFICI_08230 MASASTKAMRAWQYTSAAGGLHKSLTLSSTAAHPRPTTPPKLAK DELLVRVRAAGLNPIDYKLAELPYGMSRAMISVPASPAMDFAGSVVAHGAETEFPVKT GDSVFGRLDPSQFGALGEFVVAKSPGCATLPSTVSFEHASGVGSAGQVAYRSVVYNVP EPSRERSAANANFRIFINGGSGGTGTFAIQIAKLLGCQVTASCSTGNVDLCQSLGADE VIDYTQGSIVDCLKAKGQVFDLVIDFVGLPYNLYKASDHFLVPNGKYVQVGADMTLSS MGNLTSRMVLPSFLGGGSRKFALEAVKNSHRDLSRLGEWIESGKIKVVVDQIFAYEDA PKAYEKLRTGHAKGKIIVKGAP PFICI_08231 MGDTVPNVEHGYVLETSDKNNSYAVGYPVGLVPKPLDPDSPGIA LPPFVVEVDWPVDNEWHQTSQDFANETGITAYQVGTSYSPFYIYFVEIVTNEHYNYKF YDQTGESYDLDVFVDGDHVIRYNSQQPTIGQISGS PFICI_08232 MGVLDWNEMAQVPAELILLPASAPVSIYAFSYWSRVTAVPIMIL RHHRPVYRIVPEGFLDELYVDPDDKHLRFTPPLGTLWRDGEKGRFCGSLADRALGILD PILRRVPMTRPYALSCCVRYILDHLDAGGYGAFWNSNFAAVMALHAEGFSAQHPAVQH LLTAIDTCLWEDTLGIRMQVTIGPVWDTALMAMGLLETGLADSRMELTAQWFKSRQIL ETQGDYRVRNQMLAPGGWPFQYCNSHYPDTDDTLVALMTIIMHTPSDVTSQSCIRAID WLLGMQCYDGGWACFDLNNDHRYLNLFPFGQGNQFYDPSVPDITGRILECLGMVLSIL SSPATKRGSTFVNTFHYQRIQQACRRGILFLDSVQETGTGIWGSRWHINYLDGTSSVL CGLMSVRVFFEDDQQIKETIERMIVCPLAWLKSTQNADGGWGEDVASYSDATKAGRGV STPTQTAWALMGLLSHLPPTDSAVIRGVQYLVKTQTGSPVSEEVGAGIGAGPGATWTQ KEYVSVGFPDILWLDYASSRHGYPMIALGRWLHEMKRKS PFICI_08233 MSENLENTTGLQKSVSTAVQLAADYAHHAMKPDGHWLTELRATV GFTAGYICLRKMLGPPLSEKEAGKMAQWIQSRQNTSDGSWGLLPDRPGDVSTTTEGYF ALKLLGVPTESYAMQRAQSFILSQGGISKMGVFTQLEYYEYAPLHKNKQMR PFICI_08234 MEQIITMDGALRMSNLFVVCFAVTVIVWIIVEAFYNVFFHPLRN FPGPLLWRAFRLPYVVKAIQGRLAFDMLPIHEQYGPVVRIAPNELAFAHASAWRDIMG GKQDFAKWDEYYKVQDRQATNIMFAPAEEHAQMRRAVGVGFSDRVLRDMEPLIQNSIG LLIRRLRDQCKSPEVSGKIDMSAWFNFTTFDLIGNLVMGESYHCLENADYHPWVRPIF QVTYISAIMSSLGHYPWFKSTLLRLFRPIISKKILNHQAYTREKLEKRMTVKRTDLVE AMLDINKKNEMEMDKLVMNASVLIVAGSETTATVLSGVTYLLLRHPEKLARLTREVRS AFVSDDDITMAKASQLDYMLACLEETMRLYPPVPIGMPRIVPKGGRIIGGSFVPENTH VAIWHWALYRNPAYFINPTSFCPERFLGDPKFAGDERDILQPFHVGKRNCIGRSLAYI EMRLALARMLFNFDMVLSDESRDWLANQKAYNIWSKPPLHIRLSPRNLS PFICI_08235 MLSTAVTLSCLLSAAMAGALPNKRQESSSVVGVSCVTEMGSTSI ATVPSTTTTITSTNQVVFETTTIPITTETPDAVTVTSTDYTTETETVTETTITDTFST TSTDFVTTTTTSTVTATETTTTDVSTTTTVTISSKPSGTWYDIHDTADGYPSTGSKVK RSKCKHDPEAQSSSTLPSASAGMSLIYNTTQPTATYSISNSSTTVATFGAEKTISCGS DDTPEDNGFYAAAVYCSTTVEVLTTSTVISTGAAMTITATADTTSTTITSTITSTSTV VPDDVSTTLSFFETATITETAMVTTTATTTTTNTNVATSTTSAFSICTDSANFVMSPF TNNAGRTVELWQFTSTASYTLAVGDTSSQYACCNSCAALGTACALSYFNGNGYCYMLK PVAAYTKQSNFHLIGYTRSTLTGTKLGVANGEGGYLLQNYSG PFICI_08236 MAQSEQTPQKVTAVASVWAISEIAESILARLPMKDLLLAQRVST SWKYLIKSSPVLQELLFMRPRQSETVPKSSSNGKIVREYNPLLLEHMPTWFSRPKGIS FHTVENAPWAQTGSRPAFLCRDASWRHMLLAQPPFTMFESAQHVYTNDSSDSLLVGCI ECPAGVRMGLAYDQAVQPVQDGLAIFPNHFYTLMDGVIAHDAQGLTLLDGGEWPERLF GGTDKFTIFSWVTFSNVTPSEEASEMKRNHFTSAGFDPVEIVLHRIKSPDEIVLYGVP WWCQEGYLI PFICI_08237 MQFTLATIALVASLMASEAAAKPVLFARDQVCGTTPAGTGSAQP LSSPSVSTAEDCKEAGEKISSCQSFAFGLPPNANTPICKLFSVPAAQVPAQQTNIVVF DLACTNVPTKAPTTSNPVGLLRRSQTCGATPSYSGSATPLSSPSVDTAEECKEAGAAV SSCQSFAFGLPPSADAPVCKLFSVPASQVPSQETNIEVFDIGCSDVPNTEPTEENPVG LVDDSTSSTQTKTTNTASTTSTTNTNNQDTSSSSSSNMCNTTPTGSTSNSVSPLKTNT AITSAGACLTLGKSTSGCKSVEFGKASSTGDNECRLFSVAASNIPAPTSGQSFVVYDI SC PFICI_08238 MSSPMELFLIQDEAKSSWRFAMTADVVKFFFREHKKLRCQARAT TTYNKLCGHTLVKNRKLIEKHLQSIHTMAIPSGDFNRVVLTLSDIALCNRVGHKGLVK VQEVRNDWCKKLRSSCTLGSQENNGTAIECHAEWDQHGTSKCWMNGTSDQSPKLHQPI TLGPLQPAGIDRNNQESQHDVGEYSEQQPVYSDGEEDKKSLGSFGGPHARLDNSNIPK MPEFPPHGQPRYANSKGEHTSQVLPSVIHPTQDFTTPKKHRQRPELYRSDYYTLPISE TFHLGENPWAQSSLDSPDAGSSPGSSDVTSSPLSAYPFPSTIFDEPTAYSTIQDTPPT ELNETSRMWDAPPSRHFNDGQSPSLQRWQTGVTPLIYREDAANKTSPPTGFGIDEDSN FEICDGNRVWSNNYKDVSKLLFKRSDPRKNGHDPFLDANLLGAVKRAQTLPIHILKDR TPKWIQRRPERRCSIHELPARQVLRSALTSDEPAVRVFRQRHRRDSLPLNISSEDVLL RFHNADDGAFAEKAELQTYNNEVDSEDDYDEEEIEITDDHKIVGTTTTTHTSCIQTGS SPTKLKPSYPVFERFQLSKNPLRELCIEMRDAINNEKVSRPGYIYCYEHRNQPGHIKI GHVRIPEDRYERDLATCTNLLHQSALESKATQSPTPPHSVITRLKKWNHCGYDIHLLY WSSVVYGAWKIEKLVHGQLAEYREKVVKCEGCNRKHVEWFQVDLQTAKNAVDMWCQFA GLRPYDCGMLKMPWQKISFPGCGFKSTITVETLSGFLDCMGLYLESEKTTMNNEANSG PFICI_08239 MDHLPAPRDAGAPIRVPYLDVIPYDLVDDLPLDAALEHFRQFPD RREFGHQGTIARDLDLAKLATVVQSWLYFGLISAFFNTHIDLTDFTTTRPPDDPLRDP GPVCVTSAHSNKLLKKLDRREREARNKERESYSEERGETDRHRKEVLREQRKALSASR AKRRKLRDDLCIYSRHTVSSLDEEDIEKSPDLAAICLSIQLLTITLLGCNPTKDPSIG WRDNDDDSSIEPDDGLSDLPCWTKLYLYGWLFGISIKSFFWSIPSKVKALFRYEPAPP PATHEIYYPSYFLDLSHWESNILERKMVRNGWCRSDLDHIFTSQDLLTIYYLSQIRRS PVLSLPHFQCTREECFPFDSPSRPPYRRSHATDTCQCRDIHVDTESLCRIIEDGDIPL VYVEPAGHGTFCLKLTRMGSLSEYVSISHVWSDGLGNPDANSLFQCELESIMDSFPEV PLDSDTRLRTLKGQLQMVLRHLKYKFVSRDEPVFRKQLFWMDTLCIPVACASNTPDQV ARINALKQRAIHHMNMVYYGATTHAMILDAQLRKISLTGAPKCEIAARIAFSHWNSRC WTLQEGALGSTWLVKFSDGLLGGDRFRTSPRRLEEEDSHMRNHMLQYLKEPETIFEAD ELSHPMPRTECSVEEHIRKQLVSVLEGPMQLFSDSDGIFQSDEDSLAAKDHAARDVSS FSDIWNMLAWRSTSEEKDVPGIFANLLTFNFHQLVQYFPGHNMLMGLLWNLEGVPLTL LFNQGPRLKAADDEMSTEAGARYALLLDGDPRSTEREPEEDTRTIRGALLGVREYMPI DYEDTDEQRKDYPRNGLRISAIFDCPAELHLYKSQTAPEDVPVVAIDAVELLRNMKIG RSFSRLIRAIQNL PFICI_08240 MPSIQMTRDAVLALISDTGNVAGKQYVIVDLRRNDFVGGHIRGS INLPAQTLQSSLPTLYNMCKAAGVQKVIWYCMSSRGRGTRGADLFSNYLQARGDSVME SIILVEGIRGWANAGDKFTDWLDEYDASYWESEEK PFICI_08241 MAQADLEKTGSRIKVLVLYGSLRKRSYSKLAAYEAARILHRLGC DVRIFDPSGLPIRDSVDADHPVVQELRQLSQWSDGHIWCSPEQHGNLTAVFKNQIDWI PLSQGSMRPTQGRTLAIVQVNGGSQSFNTVNSLRVLGRWMRMFTIPNQSSIPTAYKQF EDEGGDGSGQARLLPSDNRNRLVDCMEEFVKYTIIMREYVDLFSDRYSERLEKEGRKV EEFATNALK PFICI_08242 MSKPTIVIVPGAWQQSAALLDFSRKLNEAGYPTELVQVPSVGAA GNPLSGLADDVQAVRSSLREVRQSGKRALILAHSAGGVSGSMAIDGYDVIGIIYLAAF VIPKDASILGLVGGEPLPWMDVQGTVIANNNKGDRVFVKPEFMSHVFFNDMEPELQNK WASEMTHTSAKLFSTRCEYEPWMNGVQCGYIFCSQDNALPPQYQEQMINLLGPNVVCA RLETGHCPHLSAPDKLLQAFQSIVSKFITK PFICI_08243 MYFLPITLLVAAQLPAALTAAACGSAEAYAAIDAEAFTVAAVRQ PPVNFALPVGLNKTWVDLDLNATVDQAIQTIAEAKDDGVALIAFPELYFPGYPVAINT AYTAEQIAQYVSQSMSIDSAAFRKLVNAFKDQGVYGEFGFSEIADGMIFMAQVLVGPD GTILRHRRKLRPSGVERDIWSDGDMSGLTVTPTPHGRIGLLECWEHFHPTMTFVMQAQ AENIHIAAFPYAPDFGVDPAAWESAEVGGAAARAYAVNSGAYVIMPAVGTASIFGNDG STLSIINATDSPEVNYITATINTTTFSNATYDSDGEQSWAALQQIVAEFPAYIPKVNS TFFAKKTVPIKSII PFICI_08244 MAAFVACTSASSVTQLLNVTYYIPSKVELPYHFEIPTPAPVTVL TLPEQFVTASWLNNTISGFLDQDDVYTKGFLSAFYIQGHHNVSFADDAHQLIQSLGVE NIFRADSSCNVTLPDGPYFATYAGLHRAWRLYDDFTNSFVLASVPSANDANVYEPLAA NSGNQFGFMSVAVPSRLFYQPSPEKPLAGYRVAVKDEYDINGLLTTYGSRSYAATYPP ANRTSGVIQALIDQGAIIVGKTKLSTFAQAYFTAAQWVDYSLPVNVRGDSYQIPGASS AGSGSSMIAYDWLDNTVGEDTGGSMRYPSALNGLYGIRSSINSTNTTFTDFGPFDAAG HFARDVDSLNTFGAAMYRGSNFKNFTKFPNRILYPQEYWTNVDADYIAPCELYLQKLE AFLGVDRTPIDTNRLWLNTSGHGNTSIGDYFQNTFSYVQGTSTFFLRFQKDYISKFGT YPYMTLDDTSSNVSLSTNSQTQLGAAQRAEFQAWYRKHILSPDDTTCSQTIVVFPFNG NGGKPWYRDSTTSDSASGTASALAGYISWNLLSVLNGSPEIVVPVGEVEYLSRVTLAT EKFPAAVEIQAAYGCDLMLTNLVREVAYAMDLPRGVKTGRFMF PFICI_08245 MEDNRESSHDSVEILEQQPAKRRRIALACGSCRDRKTRCDGQKP VCGPCTKRGNADSECAYAVLAGSAKRQSEQEYISCLQQEIRDLRQALTSRQNRESAPL VPQIRTTAFSVSPGRAPAPTSTLPQSLQSAHQYDPRRIPAPQDGSTYPDPSSILPYSV QGDASPLPVLSNPQSSTHPVADVNPRSDVGSKRQTTSSRHGVSPRVESAAQNTEMTPV NAMGAATSIWSSSSRNREFFGQSSIVSLLEQVAHPVLQASSYAAESTSARSDIPAPRR GLGDRANEPTLRFDGREGSLSGLLGAQYSLPPRDVADELFNNYYANVHIFYPWTHPAR VRKTYESIWALQDNQPQEPAESFEVGLGSPCPQRIFFLALNAMFALGLQFSRFDAAEK EDASKMFYRRMEELVNMELVGGNSLAHVQAFLLVGQYLLCTQYPSRCWNVSGLACRMA MGLGLQTKTYPEGLLPIEVEIRRRVWYGCAQMDMFISMMLGRKPSTSMNLEVPLPTPV DDEVLERVGSPNSHTKDDPSINAFMVENIRLTRILGNILDVLYETTPKDPNRHLPLRL QGSDLNCEDFNAIASLDGQLNRFTKELPAFLQQNEFEPMQSRDRRLVRQTEVLHARYV VFPNTRHR PFICI_08246 MTNVKKAIIIGGGPAGLLAALRLQRSNGIHPVVYEIRSETTTLG GAIGIPSNGLRLLDRLGLYHKLAARGALTSAVVIHSLQGSVVGTMDMVSWSKDKTGFG YLRIKRTDLMDVLLEATAEAKIPILYGKRLAKIEDGANEVTAIFADGTQDSADLVLGC DGIHSAVRKLYVDPDCIPEYSGIANMYSMVSTANLPPSAAAIDQLNATLTTDGLFAVS PATNARDTLYWFFSREVPMPETHDVRDGWEHRSQSEVQNAIKMMLGLFGDADSDWTNL IRDIVKSTDVIRFYPVYKLPPGRPWCKGRCLILGDAAHAMPPHASQGVSMAIEDIFLL SKALQNDLPLHGSLQAFEKKRKIRTEEMLRTAERNGTIREKVPQWRLKANEFFMRGGL WVYETANLGKLGIGQKALAYDVEEEDI PFICI_08247 MSCQPLKPISIEAALAVGQQLATQLHIPQKLILKNIQEMSRPLT PAENGFINSVVGYLRSIADTVQSPQYINPALSQNNRTTTVQNIRGAADIYAAIDNTAN CGKALCVALGGNGFIGSVTGVIFKDLSPQSEVYKKLNTDVGAASNKMKALSLSLSTTN GYIPPVSDGGIA PFICI_08248 MSCTDSLEYQDANKSEHEHQGIQASKNQFSFNEEFDVFLKKLAT SPDGKQDASVVSSRLITYHDLQDKAAQDGYSLRSLPLSKLSSSFGNGYFCLKSMDMAR VDSSMSLQDYKDREIQSDNGEKGHQSMNVYWTRDFQGDLKKVLKDVSETGKLPKDERE PFKPEAIPDYKSALSTEQSIKMEKPKEKDSLYGLSQDNWSHIIEGNQLLYAFQVNEEL VDRLHGQSLQDFLAVKPSKATESNDAKTTEKAAEDSNQSVDSNDAKTTEKAAEDSNQS VDSMAIHDEPNVSAMSTVNSVVPISRSTRPVFIINPDSGIGSSGKKELESSKIHAGLF WSSPNILSTTEIIKVEDTVQQWSIQSGMSSKSFTAGISFPIGKASVGAKFGFEREQIN ENEQKKAERCSTLTAVHLIPTAQININETTVLLSPDAEADIKKLRQKRRFSDLFTFLM KYGMKTQRSSSSLSLTVSAGTQVYQTVTLGGQLYHAQSLNTSDAQQVQEQKNRVKKSM NASLGIPDFVEVTTGYSKEDSNTKVEGNREIHTTENLSWSGIGGNPTLIVDPPKWRES LNEYTNWRPIRYETPVRIDTFIGRIQGYSDVPVLFSEILSTGVFNRSIASLSPVGLQR SDDKSSYLVPTPGMIINATTGTTLPSSTSLSTIQTEVTPGGYSWAVYAKPRGIQQEIR AELQSTLNFMVNIHHHARYLKDLCADTDSFSILLKWTSSSVRDSFIVDVEPNTQKSEN DRTELKKASTQLEAAKETQKNAETAWEQDRSTLEKDKASWPAWQRGTPAEQQKAKDQK KQFDDAKAKRDKEKANNDKQVAMNTGRLAYARVLGLTGPDDNADKNMLLLRSVTSEAW IVGLWTIRRQNPANAPSKKSGNSKETSLSIEDFEDLKSAFVRRFSGPQGIEGGCDFIN DTLRTRSQAVVKFSMFASDGIARQEAEVHSKIDPRYAFDFIDRRISLSSIHYLAVSGE IAAAGGGPLPLQGYMPEAILLQYQEALACLIFLQTQKENVKDSKKKLLKSIQVQDAAG AQKQLTEFFETMYKLEVKKKKED PFICI_08249 MFTIKDSPVENHRKLKIRVIGAGYSGIYLGIRIPQRLRNVDLRI YEKEDQIGGTWWVNKYPGCACDVPSHSYQYSFEPKPDWSSMYAPQHEICAYLQGVAEK FGVTRFVKQQHEVTACTWNATTKQWILDVKDVQSKTTFRDEADVVISARGFFTTPSWP DISGLRTFEGQIMHSASWDTSYDFGDKNIGVIGNGSSAIQIVPELEKIGGTKLSCFVR SKTWITNPFGDSAMLELGLDPTRPKFSDEQRNAFLNDPEKLLAFRKTLERHGNTVHEV AHRDSDLQKMAVTMSTAAMRERLSSKPEIADFLIPSFGVGCRRATPGPGYLEALGRPN VDFITDPIKEVNSKGILLKTGRQIDLDCIVCATGFNTSGVPQFQVHGSNGTTLAQRFS PNSEAYLSLAVDGFPNLFFMLGPNAGVGSGALTIIIESAGDYIIKCIRKLQKEDYSTM NVKKERVSDWVEHCQAYFKKTVYTDQCKSWYKGSGGDGSHIIGLWPGSTLHALEALRS PRWEDFEWESLRNSGNKMRWLGNGYSITHTKSSSDDGQYGGDPAWYIDPMFQDKPLPG RPEDDMRYKMRPFSH PFICI_08250 MQAQPQPQRMGTAYDDIPIVHPTDVYLLNYRPGPSIHPTPYYIA CQQGHLDVVESLAIAETHSTPAFLHYGLAVALGSGQIAVAAYSLSIGAPIARQTPRCI LSAPSSSQIALFELLAQHGWTPNTPGFYGAVLLPEIVTNHNLLEWFLAHGADPNLGPQ RDYRDRLGGPETDSCAALERAARYGDENAVRHLLAAGASISNGAPLHRAAGALSTDRV SNPFVGRVTPSRDFDEKLIPTMALLVAHGADVNSREVSRHQVPGLAVVEAVMAGAVQR VKWLLDHGADPKAKGAWGSAVDYANKLGSQEMKDVLACYIPEA PFICI_08251 MATLNELKKALWLKADSITPLPRQVLSEYQYATGFELLARDPEW ATDESFMAQLSQLLGPLFGSRTGISVLEIGPGPKSVLGHLSQEMRSRIKRYTAFEPNT LHATRLEAWLSDCRGGECPLPRLRSEPIIHRAPFEMGRHAESSTPPHEDDQDQGFDLV LFCHSMYGMNPKRSFVERALELLAHDAPGGLVVIIHREATLHLDGLVCYRTISLPSGC VYVENDDVSLDSFSAFIAGFAIEDAAADKNVRDHWRIVCRTLGRLDKDHLNHLKFSAP QEIVAFTRDSTSLEFLKSEGLVFQEQKTVKNRDARLHSPAAIVRPTGIRQLQHCVEWA LDHQVGLTIIGGGHSGQCLWSNVVAIDMGAFDQVHILEEPGEEEGANGPLPLDHLIIA EAGCNTGDVIRKSMASGLTVPLGARPSVGAGLWLQGGIGHLSRIHGLTCDAIIGAVLV SVDSGQVLHVGHVPRAYRPAGSVRPDNEADLLWAIKGAGTNFGVVTSVTLKAQPARNF LVRNWKVPVPNMANPVVFLADFDKQIAQKLGRDSSVDAYIFGDEGMLLVGVTLYQSFL AGSETPDHIDLEKVLGPEECVKIVDSVDVFDTEMYISGMHGGHGGGKTSSFKRCAFIR NIGAAKVANVVMSAIQTRPSPLCYIHLLQGGGAVSDVAATSTAFGCRDWDFACVITGV WPREQDGTVISRSIKQWVYNVATSLSSLSSGFYGADLGPDPRDLELANKAFGPNRPRL AHLKENCDPCNVLAYACPLPKLPIGPKLVILVTGDSGAGKDYCAEIWVAFLNQCVHVN LKCASVSISNKIKRQYAAATGADLDRLLGDRIYKEQHRPALTAFFQGQVRKRPGLPVE NFLSAVHNAGNVDVLLITGMRDAAPVTTLSHLVPHTRLLDIRVEASTKTRILRRSFDS HNTDTGIEDGNLCKSDSITVDYQPSLTFHNETSGSEAAEHFAQHILLQFLDEDLGKLA KMVRSVPNYPRPGIEFRHILGIVEQPGGLDLCASLLEAQYASEWSQVDRIACCEAGGF LIASALATRTKVPLLLMREASKLPPPILSVVKSASYISSSSRVKSRDQRIGMDRDASS NCKSVVVVDDVLATGKTLCAMMKLLEESGLGLDAADITILVVAEFPIHRGRELLRRHG FGNVRIQSLLVFGGS PFICI_08252 MPATSTLAIGAAIKDTNQFGDSNVDRNGRRQRGEDASTLHGQSV VGAQTDIEAAIRTILSHIGEDPDREGLQKTPARYAKALLHLTKGYTERVQDVVNDAIF TVDNKDFVIVRDIDISNYKSKNGSLVKLPQQ PFICI_08253 MHFRVATAFLAAAGVAVNATPVNLISQVECLVVNAVVKALATYS SATPFCSSYLSIPTITSTATATSTVFPTETTTTTTGTDTSTAPAVTVTETASETITTC ILSAVQKRGQKTTTTTKSSTTSVSTSKSTALPSCVATFASAQISTACSCLSIPTPSTT VTSTVTVTPTATSIVQVAASATTTPTVTVTATSTSTEIVCPTPSSCDNQGLQWAEYYN SQGDNNDASYSNFYAEVYKTQTPNVQGVTSTIGGIDASGGVAISIYGSSSTFYSDYFA LDHKGYLFAVATGTYKFSFAGVDDIAALWLGSTAYAGWTRANANLVVPFHQGYGPGSG STTIDLVEGQYLPIRVLFGQGQGNAVFQLSVTAPDGTVFLDSSTENSPYIVQYSCDGT TAPAYTAWGTEA PFICI_08254 MASKLTLDGGIALVTGAASGIGKETAIAFAEAGAKGVVFADIND EGARAAAEESRQYAKHAGYRALAIKVDVTDAKSVQDLVASAVKDFERIDYAVNSAGID LENYSSFTPAVDLDAFSKISDTNIAGAVRFVRAVTAAMAQQEPLTYTGRHGTRSLGRG SIVLLGSTNSLIGAPGMIGYTTAKHAVIGIMRSAAVDALALKSAIRVNAVCPAWVDTP MVQVAAEKNPMLKYAISNITPLNRAATVDEVADYIVFVSSPSGSFINGTALPIDAGLT LPSPPPLPPS PFICI_08255 MSANPLSSVEQRADDLPGSKAQRDDVGATSAEHEKRQDYLQGAK LRLITAAICMVLFLSNLEIPVVTTALVITLLIIAGLRWITFLLWERHITLRAKPQEPV FPWRFAQNREFMGTLLCSMFLGGPWICAVFQLPQRFQVVNGLTPIDAGIHFMPFTLAA PVGSVITGILAQSAKIPPLYMVLFAAVLQVIGFALLSTLPVSQSVAPAQYGYQVIAGL GCGTTISLLTLLTPFSVQERDKAVAMGSVAQYRIIGGAVGLAIVTAGFNGLVRSRLGN VLPPSQVDSLLTAPPSLEAFPEAIQGTIRAAFGDGYNLQLKILAGLAAGQIPSALMMW RKQQIVT PFICI_08256 MAVALSVTAVNIFLTRMLPLTASVILILFVLGFLATIVPLWVLV PKNATADVFTEFANFGGWSSNGAACIIGSITSTGSFFGVDGVAHMAEEIRDASWTMPR IMLLTIFLNGAMGLVAIITFVYCVTDIVDMVLENPSPFISIQVYYLGLGSASGATALV CIGISMTYLSAISVQAAASRQAWSFARDGGLPFSSWFHKVHDIGVPVPINSILASLFI TAILSLLNLGSSAAFNASVGLLSSAGAFSYMISIGCVLLKRLRRQALPPTRFNMGRLT IPVNGVSVVFMATIVVVVMFPVTAQPIPQSMNYGSVMFGGVAVIAIVYYSFHGRKVYE GPVVRLTQDA PFICI_08257 MIFPCLVLIFVILSLPFTNAAPPLFESEEFQLTAQEIQSAGNLS HLFSFGTLQVTKAEQRPCKVYPGDDEWPSSQAWQALNDITNGRLLQPRPKAAPCYSGS EYNSTRCNEISEKWTDPATHYDDPLEMMAPIYQGLTCLPPTILDTKTCTMGAFPVHVL NATRPVHVQAAVNFARNTGIRLVIRNTGHDYLGKSGGAGSLSIWTHHMKDIKYIPEYT AQDGTYSGPAFKAGAGVQAFELYQAAHEKGRVVVGGDCATIGIMGGYIHGGGHSPLSS VYGTGSDQVLAFEVVTSDGRFITADAGHNQDLFWALRGGGGSTFGVTISVTVKAHPDV YTTVSNFSFSSEILGIEPFWRGVRSYFDYFVANADAETYSYFLILPDYPRQGVTTFDL VAVVAPNKTTREFLKLFNPWFTRLKDLGIMFDPNVKHWDSYYDAWLHTFPGESVEQTH SAMGSRLFPRNNFVDPVLLNQTFEAIKESVEQGRQVYAFNMKNTSPDDTDNAVNPAWR ENILFAIQSFSWPENATAREIMNVRRRFTHDHMQKWRDITPGAGSYLAEADRLEPDFQ RSFYGYKYPRLLRIKQKYDPMDVFYAATAVGSERWRVRVDSGLPGENGPLCRDGSYAT LRQQFLL PFICI_08258 MAVDSLTSTPANHLYNVRFPQKHETNVQQDDEWCELLTKDHVQH IRFHDYAFIFNNRGLYEQLFVDRLKCSSHRVVTDLLGKETHRLQGAPLHAMDLGAGNG LVGAQLHQLGASRLIGCDILPEAKQAALRDQPGIYDDYLICDLTNLSDEHSTLLHKAD INVLTIVGALGFGDIPKEAFLAAIGFVKNGGLIAFNLKDQFYGSEDQSGFSETIKESV VNGRLAILSEQKYCHRLSVTGQELYYYAFVAVKRS PFICI_08259 MKVATILVCLLYGVAAFEDTAAWKSSAILPREEDIHSVLTSLST SLASVKHSVETFTSDTTQSKPDLEALISTVNSAAALIERSPQNLTQDEFFTIKDALIG LLHELDIKKELLAQSDAENSVDGARDGLERLSAAMASRLPKAPGLPRDIPYKNVDGPT ALRYLVEMVSGNLWDFLGVFQPFINGDANTQPQLNLVTKDADKLLVGLIQATSAMEKI TGNVSMDEVVNIEGIYVTMFNSTRDKLNNTEYMPNPQFINQGLCTSLSDIETHFENFF TTTYGKLGSFVLLNDVSVSVWQNFLAGVIIWSNDINTAFNESAEKCSAAKGGTPAPGL PGVIVVSVIETVTGPCHCATAGPPTKTRDSINTVTSTFMDAVPGDATAPPGPSPPAAG QANTVVVSVFDTVTVPCLCATSSLATTTDASKTSTSTAPATAESEQPSASDPSGAMTN VVVPLGLVAGMFFLFF PFICI_08260 MPGDKILVFGGTGPAGICLLRELAHGNHAAIVYVRNPAKLPEEL AKHPLLEVVKGEMSDRETLSAAMSRSSTVLSLLGPQISDRKIDPSLYADMYKNYIFPL MRQHGVRRIVAMGTVSIQRTEDHWTAFQFMVVCFMRLFSGTLYRNMLNLADAFDRDAA GLDWTVFRIAQIPGEPDEESWRKDRDDGEVSVGWIGEKGWSSSIKRALLARWLVDAAE GKADEWIGKMPAISRGS PFICI_08261 MPKKKPENCWTCRLRRKKCDGTQPTCRVCASLEISCHYSETQPE WMDGGPRQQAEAQRRKSEVKLSADRRRKEKVVRRLQSELLSDPGRDEYHQGVASPLTT GATPAPDKESRGFTQSSSALEATSPGGSTSNVWLSHLNCDYDIGLVVAFLDHVFPLLS PFYQPSVLEGGRMWLLAVIMRDKVFRLHTNAITSYLLSVIPCRSETITNTCKLYALEG AQRQRDLAISHLQSDLSSLITRGIHNNLSETATLLTNMVNVLDLERTLADGDEWQIHL EAALNLIRDLLQDESGLQGSNWSLVLRRLSDRSKPAGFDMWSIDQAAFRFSVAKLVVF DIVTSSSLGRPPGLLSHHQELLRGDRDLMDRNPLQLTEVNGCQNWAMALLGEVVALDA WKKDQEKQKTQYRQHLLLRGEDIYTRLNDGLVQLDKCSNSQDNVMTMDSLTSRAPPPP YVITKLWTFATLAYLHIVLHGWQPSNREFRHSVFHATELIKQLSPWPLHLRAQSWPLC VAGCLASEEERPFYQSLESILGALASFGSIQQTKRIWKRVWADKSDEPRKDVATCLAS CDYKAFLA PFICI_08262 MQAYIGANAKTYMRAHREAYFAAYRAASQEAYQEAERAAHGAAH GAAHGAAHGAAHGAAERKSAGQQTFRTTLDKMARSFSIPMAFWGMMILLITLAHAMPI PADGNQYGDLDHQGWDHHVPQALAQHATEDQLRFQPALDFDKDGCYNAPAIDAQGNVN HGMHHDGTSANDRCRNPSYLDNNNVYVRSKCNNNWCAHMYAYYFEKDVAVENFIIDIG GSRHDWENVIVFHEMNSTDVKHVAISEEYGYSVRVGDYVKYDSGTHPKVVYHKNGGSR HSFRWSDKGDEKDGPENDKHVWYRGPLVDYDNGFPSTAIRDTLMRHDFIEDKNVIPLR PWTFEAYLRDAIVRVKGNGVGDFHEFHKDFNREFFLPSEMDKEMCKIWKPPLLFPPET YERPCKNNDPGFWDWIASFFDGSHPRWPL PFICI_08263 MDNINPLVLIATLAAIFLASYCFYAVYLHPLRDFPGPKLSAVTR IPYWIVCLRGDQVRHLIKLHEKYGPVVRYAPDDLSYADGRAWKDIASVQKGKKENPKQ VEFHAPSCNGTPNLVTENNQERHAAIRRVFSPAFSEKALKAQEPLFHKYADLMVKKGG AAGTINMTELLNWATFDIMADLAFGESLGLLEKGAYSSWIAIVFNAVRVLPFVQMISF YPLLKRLYDFLEPKSVVAMRLDHFNHTVERVDKRLRDGSESPDLWNLVEETNVLTVKE MHTNAELFMLAGTETTASLLTGLTYHLLTNPDKMKILTDEIRSRFKDYQDMTFEALAQ LEYLNACIREGLRIYPSIPTGIPRVVADGGNIILGKYIPGGTRVSVHQSATYRSSTNF KNPNDFIPERWLGDPEYSHDKRDAHQPFSVGPRNCLGMNMAWHEMRLLLAKLLYSFDI DSDVGPEWTEQNVYVIWDRKPLVCRLVPASS PFICI_08264 MAGTSVEEIMSAAITAPPSPIEESPGAATPLEGPATPKGGRRKK LMSRIQRISSSPTLAQLGRSRSNAPYGTRGSLSCVSLAAAGPPAQYTPGPSSSADYFS YSSSTTPGTPAVEFPSYDGIESRLAIRKVENASTSCLTSGSIGLPAELTTRSRSSTAA LKPPYDFWANMPDEIRVYIFSFLWPKELVRASRVSKEWHSYCFDGQLWTRFDASDFHQ DIPAESLAKIIVAAGPFVKDLNLRGCIQVEHFKRAEVVAKACRNLINATLEGCRNFQK TTLHSLIKANGKLANLNLTGLPAVSNTTCEIIAKHCPQLETFNVSWCKHMDARGIKMV VLGCPKLKDIRAGEIRGFDNHDVAKAIFETNNLERLVLNGCADLTDEALKIMIHGADP KIDILTDRPLVPPRKLRHLNLSRCRHLTSEGVRSLGYLVPNLEGLQLSGCTALTDSAL EPILASTPRLTHLELEDLIELTNDLLSHHLAKAPCAPQLQHLSVSYCENLSDTGMLPV IKNCVGLVSVDMDNTRIGDLVLSEAAAMVRSRGNRTPSRDSRPHIGLRLVVYDCQMVT WTGIREVLSWNAEIRQPMHGNDATYPTEVIGMKCFYGWQQTVDQHTQRVLRGDFAAAG RLERKWADYMQAVEEAGASGAGYRRRRRRAREAQILHADEEEGGAGIGGRRRARTVAS SCAIM PFICI_08265 MTVPPGILQDIATWIHEQQEFEAKHGKPAPIHASIRDALCELHA LLKPDAIIPPGADLGNTDWISLLFRYKQARHPLTSSAMVFTDEATQDLTHLQRWMSHV TLEEHSEPFPTAVNAGGTLLPPSFGRKKDARQYAAKCAVSWLLDQRRMPAAWLMDQRI ASAAAPPPVTSSPQAAKQSTAQVPPTKKQKTATEPSTSSSDHTTPAPPAESTADTPLD PSPAPVPTSTPAIIPASSSSAFGAGEPSVLQEVNETCKKLMIQPPAYKITADEGDPDF FSGHAEFSGAGALLRLPPDVGRVEHVYGGKAYAREAIAGRVLEELRKVLDERLRMYRE IFAKLGES PFICI_08266 MSFAKRKFSDFKVEPEDGRNESYGNAMGAKRLKNRQGRRTVAPP KNLNDTKRRARNIERQLRGAEKLPADKRNDLERELSHLKQKIVDGEESKKTKKIISKY HMIRFYERQKADRLAKQLQKQIANTEDEEQLEKLKRHLHIAQIDSLYANYFPLREKYI SLYSSVLKDSNGAQSAEEAKAEAKSTNLAAKSLNSERPQFWSVIEKAAEKGMPALSAI RERKPGSESRDGPAKDDALKSKKATRSGDGKTESKKLPKNKVKAENSDDSGDDSDGGF FEAA PFICI_08267 MDRPEPGLIKWSPNPANDTFIHINLQQRFIQAYEPTGHAHRSRF DFKRTTRHEEYPFGLTTYDWSPSIPGLVAMGTREGVVNLLRIDDNSNAVTDLNLRVSR LCHAVAFNTGNLLAVGLERVRNDQCLHIWDVNRASSTDSDTPGFPLDNSAILEPVQRL EPSVAVSSVKFFEDNPQTLVVGIKNNGIRIYDLRDPHGSVINYQTRMNNNIAIDYADQ NYFASSALDHPGVVIWDRRATSRPSSSQVYLDAIDKDNLPWGASLKVDNAVDMDLEHV EERNSLIRSVRFCRDRRGLMAVLSRTGQLRVIDIQKEYTNQEVDSESSPELLEARKSH ELDIDYANRDRRNERIVSFDWVNLDSPALTPRALVLRSSGSFDILEVPHYTTDHIYKM VPWQAPYRGLHEGSSYHSLMEFEQYQSSIMLGPLTVQQALSQVPIFGEHKTDIGGLIQ KALQPHYAFPQDYTEDSMISTAQLPESFSKELSTAQKLQELRGFSRRTSRKASETAMS QSYVSTEDNKQGSDDPLVGRNDPPSNRQLHEKLLSQNIDTRGMPKEAQILLDHILLLR ANNKYLFDSGINRDVVSDDPWLRDLWDWIGGAEKDAAEGGMTALGLDLSYMGARSLWA NDLGELYATRLSDGASLPDRATWERCLQTINQHVGSPKYDGVETAWPAHRQACIRITG LGRSSKMSLDDYQKTPAEERTPSWHTMITAHALFAGNTEQAVQLLKKASSEHPELLFV SLALQLIGQDTGNAREQLDFDNAVASKTDPYLRAISSLIATNDWENIANQESLPLRDR TYVALRYFDDDKLGKWLDKLVNKSIHTGDVEGIVLTGITDKLVDIFATYVEKFMDFQT ATLVLSICAPRYIDDYRCHVWRNAYRAYLQRHKAFFQRTKFEVESTKRSRRDGIPTVK PPSRQIALRCVFCDAEYELAKTGGNGPSGAATPRNPLMTANVNAGVSCPNCGRHLPRC VVCLEVVGIPRSDKPGVDPEKRTASKFPTFCLKCEHVTHLDHARQWFAMHVECPVPEC RCELGVGV PFICI_08268 MSQNNQSEHPSLVGGHVEYIKGAAESTIGSVTGSHAWQSSGEQA KAHATGVMKAAGEARDASQQGYGKAEEIAGKVTGCEGMQKEGLASKKPE PFICI_08269 MSSTIGLNYPVLAPLLPVTGSFAAPFAAYFSFLSLRVVSNRLND KQYLGDSSSKTPAEQHSNKLYLSTRAHTNFAENVPLAFVLAAVAELNGGNRKVLTGAL SALLTFRILHTELGIMKPKGMGRGRPIGYFGTISTMLGLAGYAAFLVKDYWGF PFICI_08270 MSTDALIDEDYASEDDSDFALDDAAARDASDASDSDNDNDDDGE AAPAQTNAKRKRAAGDGAEDEGYDNSGDEAIISKGKKRQRKKDKSKDGAAAAPTAAAD EDDEGGEGGLIKTRSMRANEKAERKIAAASGPVTIDVDDVWAKMMAGPIVPPKTTREN EKTSSQTPAQQDGESGTNAAAPDGASSSAQEPSDMIKIKRTYNFAGKVHTEEKLVPRD SAEAKVYLATLGEGAEAEAAALAADEADDPIKRKPRRAFRSAFEPIAADGALAQQRSD LNLGMAARLQMREQQAQKAKKLNVVEKSRMDWAGFVDKEGIKDELELAGKSKQSYASR QDFLARVEANRDEDARRVRMAGRA PFICI_08271 MAAVFGDPPPPPPNSKPSNRNKKTPQEILSEFWDKFHTKKPGKV TTIFPKSLYKAVLPHSYPAGAKSSRNAAESYEAAAKECREKVKRIVRECHRTNEKFTD PDFDIERDPLNNCLNGLVSESGIDDAGGSAKPADAAPTVSAWEVKSSLETLAQSQALG SNGTVPFDANALSRLIGSDGDSGYASGGGWGSWANPGSVHRIDWIFESPQFTVNGYSS SDIKQGGDGDCWWLAAVATIAHRKDLMEKVCVARDEECGVYGFVFQRDGEWISTVVDD NLYLTEEDFDYYGDVYDATGKKARRHRKEKQTGSEALLFAHCADPNETWLPLLEKAYA KAHGDYEAISGGWPGEGVEDMTGGVTTTIESNRVLSKDKLWKELTCSDGDCVFALAAM SQGWDYKRSGLALGHAYSILQSREEVDEDGNKVRLVQIRNPWGQRGYDGLGEWNGSWS DGSKEWTPYWLKKLDYKFGDDGIFWMSLKDMLDNFMYIHRTRLFDEKWTVVQQWTSAN ISWITGYLPTKFEIEVKKAGLVVIVLTQLDDRYFLGFEGDYDFELHFLLQEVDAQASE HICRVRPVKMWRPRAVSCEVHLEPGTYEVLPKITAKRHGRSKPIEDVVKEYSETNPQK LRQVGMQYDLAHAKGGIPDEDARVEAKKDELAQKKKAKKQKAKERTKKKRAMTKAAKA MRKAADAIEDASKSDQKPSQAKKEGETKEDSAAIPETISSSTEQASSNPQQPGKPIES KPQPSAAPEAKSEAKPEQATTATSTNQSSSEAETVKDVPAPVDDKEVSETAVAEDTQA VEATAENIDEDVDEEESESASDSDSESETDDNDNEAPIKPWNAVAVIGLRVYARDPDV SIKLKEAAAEEATTLTVEGEPTGATI PFICI_08272 MHRPVTPNHPSRRLSSSSSAQDGQAYQTALKGASLAFQRTGPSS TSNGNGAGNASRNDKGALMAATHISRQTTGGSVQDGKHGRVVDKNGTTQRLTQTLTGP TQTSQNNAGGSTLKPPGRLNLATDPRATSFIAATLAASRSASPSPNQRPQVRPSNNTQ PGAANVKQKKNSPASSVTSLDLTTDITSIPPTNALISMFETNNRGHSASTSGDPTKKP KLRPTTPSRGISSDKKPAALRSPSPSRLASNSASGKSESFTASNTNSKSDAPEENPST LAKKKKKPPPLPAASKPLQIKADMAGEPMQAIVKSKHRASTPPRSASKTDTPVLSPQP QRAASHKMVEPPLPPEQQTEVTRKPPPPAVRRKPQSQTAQQIAQMVLSQSTAPKPPSQ PLIKSSDRRSSVSSDDTFVSASSVQTSRPPSPHRGRSPTMESEQPPQVLLRTRPRSVH TLARDATAKNQSPAPPPPRRSQQPTNMTLNNLTNATMAGIVASSRSPLLGSAAPSPRP PSVPPRQNTPLMRQTLRKPRSDSSDEEEKWKARHRTKPLQSKKKHSHHEGARKRWREE ITIRERRRYEGVWASNRGQLLTPSPADSNGTTPQTNTPSPSNGGNGDGDLVVNVIVRD IWSRSRLPPEELAEVWDLVDQTGRGALTRTEFVVGMWLIDQRLRGRKIPQKVMDSVWA SARGLSVKGPPRDKQKVKHH PFICI_08273 MDASYLSQQVNTIIGQLHGLFDEIGVQPHDRDAREAELFSALSE TLNNQVRQVTAEKKDMIEEANRTIIVIRQMEQSLDDANSSRSRRSSDDLKITYPLTRC LAVLKEKHGQVARLHRERFEQIKKLVIALESYSSHLESTFVKIALPPTGPNQSLPPSF DLSSSYVEQLDNEFTRVYEEYARRVATVKALSEHIITLWSELGTPQAQIDGAIVKYHR DSPEQLGLHEEDISRLRAKRDKLVDEKKAREKRLRDLKVAVEALWEKLGVDESDRKAF LNSNRGCGVRQINEFEDELSRMNELKRQNLHLFVEDARDKLQSLWDALYFSEDEMLDF TPAFSEVYSDALLDAHEREIGRLEALREQRAPTLALVDRHRELIKERDELQASSQDAS RLMMRGQKGEKRDPGKLLREEKMRKRISKELPKVAAELRKGLEQWEDEYGRPFLVHGE RYLDLLEDGDARPAIPARSKTPAGPPPSASKRSAAGSVSRANSVISRAGTISRPKTPG AMSNGTGTLKRAQTVSHPPSANSNSPTRLPQRAPLSNLLNATNSPERPRPESRAGYGT LRGAPPMMRAPPPKMRDLLQSPPLEAPANPYKNAGLNSSVIVRPIEPEDVYDNRFSDE SYGRHYGNESYASHGSIRQVGRPDYPQAPPPRQISNTSGSTVSESENWETYDDVSEPE ADVSETYYAKVRAARTMQITPDEPYSHLQASQIKRPRGVPAEVTHGTIDAEGNRILSG SEWTDEDGF PFICI_08274 MASTSPIDAQPEVAAPARNDATTTTASKKPKLHGRAFYESIGSP KFVVAPMVDQSEFAWRMLTRSFMTPSENEKLLAYTPMFHARLFEETARYRDTHFQAVH PVPAAKKGEPEPPVDTTPWLDGNPNFDRPLFVQFCANEPSHLLAAAQLAAPYCDAVDL NLGCPQGIARKGHYGAFLQEDQDLIYRLINTLHENLPIPVTAKIRCLDTKEATLAYAK NCLAAGASIVTLHGRRREQKGHLTGLADWTYIRYLRDNLPPETVIFANGNILQRSDVD KCLEVTGADAIMSAEGNLSDPSIFAELPPPDFETREYWRGKDGKGGYRVDAIFRRYMD IIYKHVVRDIPAPVRRPLFVVGDDEGWMQQAQAEEEPEEEGPAKKKRKKEGGSKPKPC FDPNLLAMRPHLFHLLRHFVAKNTDIRDALAKARPGDVPAFENVLQLVEHRVAQGLIE YAKTDGKSAEEAEPETEAVPAAQTDTNTNDNGVDANGEADAPLDSESSVAIVKKCKRP WWIAQPIIRPLPKEALAKGALSLSKKDKKKMEEELQKTGEAENNGGDETCLPKPDGPD GIKEPKMDDKQKGLVSDELVCG PFICI_08275 MAAMIQSFPQQNGTVTMLQTRPGSSSAMSGHSHSHSQSGSGQQY ASASQTSRNNSYAVPGMVNGQTSYRGIAANPVQPYAFTSTPALSNNGQWQPYGTLRTS SSPSLPTTQTISGNMVSRPQYQQHASMTNLPSSARIPAQTMARDDSALPSATKQQMMS QPTFAQVAGGKAAPDRYRRPAQRAPVDSSSSTSSQSQSSSAGSGSAMPSGSGMATVVH LYNPRVTGQRMPVHRNSATLAARPHSLYGYVPGMSADDMLIQRQPTEEEMRRFRRRSM HSIDTSDYPIPLTPQELKQQTEAARRARKASISDKSQKASPRVVPVPVAASNTNQNTA TQARNGSSESLVSSKSSNSRPSSSTNRNSNASVPTASPASTITTSDDKSNTLDTPKSV NIPPRGSSSDAAKRVLTPSPLSKPATMPSDEAAKPASDNAPAAAPSKPPGSSHGPAAN SPAAQHLAAINEKGKSKSKTSRLRRAFSFGSAADFKKAAGQDVSDDASRIGHHDAGKL RKEPDTDDLYEQEQARIAQKQEASGLGNNIYSGTKIFTGSTDNLSISSTASSASIMLR KMGKGMKKGGRSLAGLFRPKSVIGSPIGEVAAPEASQAAVSMITVEAERERVNVTSNA DLSHQAGASGFPRLERNSLDAMDQVHHDSLKSDRVGSSDNDSMARKSIVGGEKERAEV LGAIRKGILKTRSNSPSPSPQAGKGPVFELPMIPNVSDSPNSTAPSTPNEDGSGKLPG SITIGSEDYFMSALKLRQDSKSAPGTPQGVLSKRNATFSPRLIFHDTWPPQEYDRRGE IATCNRLTPMLAQQIKEELNSFKMEMEVHETSKIYTHFF PFICI_08276 MVGASKTRRGCQQCLVRKIKCDEARPACGSCVKYNTRCPGYQQR GLKLFVAGKHAVKPRGRQQQLSSVVQVGPPHPDSDPSVPGTEQTVIVLSHTAVGGRRR QESRNKPRAPQPVTALEKLQAAVVRKHKVLGAPRHNTTLFVGALVESMYCALPRDEEF LPDIWNGAAAGMHRSPALMTALCAIGSHLLLYFDDMTTTLSRF PFICI_08277 MLGMSTHVTFDEHDPNESRKGAEHVDGPPSALDYSPLPRLTARS LCMGALVSMGGLIFGYDTGQISGFLEMPDFLERFGQRQGDEYTFSNVRSGLIVGLLSI GTLVGALVAAPIADRIGRRISICLWCIVVSVGFVIQVAAVTAWEQIMIGRLVAGFGVG ALSLLVPMYQSETAPPWIRGALVCAYQLFITLGIFLAACFNYGTYTHQTHSSASWRIV IGLGWIWTLILGIGMLAFSETPRFDYRRGRIEEAKKTLMKVYGAPANHYAIHVQMEEI GNKLRAETQIQGGVVKNTIEMFRAPRMAYRIAIGMLLQMFQQLTGANYFFYYGTTIFK SVSISSFVTQIILNAINFCLTFIGLYLIEHYGRRKSLIIGSIWMFICFMIFASVGHFL LDLDDPTQTPTAGIVLIVFACLFILGFSTTWGPQVWSLVAEIYPSRYRAKAMALATAS NWIWNFLIAFFTPFITSAIDFRYGYVFAGCNVLGGLLVYFFVLETQGRTLEEIDTMYV EHVNPRKSSKWVAPPPEEMDKIRKEAGTEVSTPPAGSTHGGVLESR PFICI_08278 MNPFNNKAFSLEYQQILQESRRLVVAAQRQKFLDLYQNEEVMIV VSKTGSGKSTQIPKFVLYDELAGNGKIPVTQTRRLAAISVAE PFICI_08279 MMAKMKMMQMNICKTSWTVTLDGDDDSGVYVNDQEVEEEEDDEE EEESIDAELSDHNVIPSVYIKAQDPFQERSIHGEDDARQYRDSFRNELRSIIDEYRKV LRIPFHDFCAIDREDRTMCPDVYQLLQISGADWLVNFLMDAIPLPVQELLGNSETSMD EFLAHLPRFPAFEQRISCYVDLAQHQRTGEVRRYVGSATEKIGGWSRMQQYLKQSGQS LEECRETGEHQKWICNEDVEPNLFVLALFDNDARHKPFTLLLEGIFMIMFGSLRKRTG RCYSTCNLGFL PFICI_08280 MAAQIPLEPINCRLLEAAGKLGCLEAMTVIAAASQLPHPLSQHP LDVTDAATLIGRSQFAHPFSDHITMMNVAYAYAHIIKEEEMDPAQWCHDHFLSQSSLD HLWSMQSRLRQKVNMRWPNRLGVSDVRDPNYELTIRKALAVGLATQSAVLKKGTDTHI TVNYNHSGLINADSAVM PFICI_08281 MCGEEVGYAISLEGKESERTRLRFCTDGLLLSEAHRNQTFAGYS CIIIDEVHERSLQTDILLLLLKTAIKKRNDLRVILMSATVEAAKFQEYFGGDTPILSI SGRQHHVEEYFLPSPAEGKTPATSTSEGETPVLEEEEATPDVVETAVCTALHIHNHMG PGDILIFLSGEQEIYRARAMLMAEATSLEVIPLHASLSKTAHFSSSKVHCQHKRGRDK FDYRKHCLHH PFICI_08282 MSELRDYHFRGPLLRRFDDCGEDAKALIGDLLKIWAERRGSNTD ISAAYLKAFTDNHVFSGWSSDQEPCRPSRQQPKITDQYVKIGRFNRDLPDPKQENTFG YERTGLARISGMLGVKTYKTPLVRKAGRDAYVRARLDRSGELLIHDYVDEKGRWAPFE YIDFGGQGIRHGKPSIDGLAKAYIRADMVAAHYADDWNKKLAIYLSRVMLFNASHRNL YQPRLEANEVALNINHFLSPARICATWGKRREIIDQLGQAAGTATYYGQAGCNIFSNP PAMDNQSQSQA PFICI_08283 MIWKQRNRMIPKCVRKKNRDDKAKFEEFLASIFLSNWDSSAVPG LVTLNPRKQLDCATPVGVWSRDLTKDNKGQEGSVKLPEYKCKGGTAYCRPDIINGMVN FKIIDRRGCHQKEEDVVWSNGKGLTPSLKSSICGTYDRYEIQHIHEHNRKVAKARMQY RLWAKGGSLVSDSLPPPEPEFLSIRLRQRLFCGKRFKEAKMIRRFAKDDIANMKETLH L PFICI_08284 MPAVNILKFGVGSPSDVTPVDELRKAGYDPSQVIGLIGKSEGNG CVNDFSRALSAMVWEPLLPRDAVTVFSGGTEGVLSPHVTFLVREHDGRNTGMLEPHEV GTVEQAREVSRTVSKLVDELESSSNAVHLVIVKGPLLTDAKIQAIRDSGGTPPTSDVH QSLGMSRYASAVGVAVALGEVAEEEAESAIKSGAAWSAAASCTSGAELEDCHILVLAS APEPGRLRAISTFMEDAIDGRAVVEALGEVNRDGGHVLQVFAKAEADPRGEIRGKRHT MNTDSDIQSTRHARAAVGGLIAGLVGDTQMYSMWGMFA PFICI_08285 MGTNPLNERLQWFRSAEQSPTYKPLHSESQTVNFDHPHSCAHCQ HISFNVEDFVENPLVDLGQGAAHRETTFSQEIGRGLEQAIAAAIAGCALFKWVLDTLG DEENHDVPTADSLDQVVFSLEFETGEQISCAPVIGGLYKDGRSYEPWISTYYGNRRAR LDLCVRRDDPAANFIAQHPPESDLFSERSIQFGKDCLATCLNYHEHCRTEWLRADLDR LTHDRVLESINLSDETISLKDLPTRLLRIEAAVDGALLVRLVEVSKLTTEGQRQIAAA GFAALSYCWGEEGNPIQLRSETCEGLKQGIAVSTLPATISDAIHFSEKLSLDYIWVDA LCIFQDNAEDKHIEIRRMGAYYGANTLTLCAASADGSSRGLRNRDSRSLYRMPPTALA FQVGKDVGQIILHSKLDEHRERTTERGWTLQESLLSRRIVIFSDQLYWCCATANAECE GLLTKLAKEPNRNFGLPESLVPGIFPAQVLRDYPPEVQWQSVVLDFSKRRLGVASDKL LAISSFASWVHARYRASPVHLGGDSAYVAGLFLSTQNAGALIRQLAWRPSKTSDCSRP LDYRAPSWSWAAVDGALALRHEPDMITCGFEGFTLQLMHAAAPYGSVERATLSLSGCR LQPLQSCVKFEPHIREEMNEHNQEGMWLVPDTPQDRHLILSALNLSTPSSLYLCQLAV ADLDGWHWSGAQLSYGCVGLIITRVPGEAPNSFRRVGLFDLFRTSSREKAEEDAELDG FFDVEGQNITLL PFICI_08286 MSSQSLEKPAIGHDSKDSAEHLEFQVAGINNISNDALDNLDTIE LTKTGFYSWLVSITAAIGGLLFGYDTGIIAAALLYIGNDLNTSLTSQDKELITSITSG GAFLGAIFAGTTADRYGRKIAIYVGCVLFTVGAILQAASFSLAQMVVGRLVVGFGVGS AAMIVPLYIAEVSPAKYRGRMIGLDNMSITGGQLISYGVGAGFAPVRGGWRYMVGGGA IPALVLGVLLMFCPESPRQLIMHGKQDEAKVVIRKIFPHGSEEEVENKVKLISYHVEQ SKQLNAGKSQWWILKQLYVVPANFRALLSACTLMAISQLCGFNSLMYYSPTIFAQIGF SNPVAVGTIIAGVNFFFTFVNLIAVDRIGRRRILLCTVQFMGIFLVVAAVAFHYIPMN KDLTIVEGASIGWQANLVLASMIFFVAFYSSGIGNTAWLSSEFYPLEVRSMGTMMLTM TCWGANIIVASTFLTQMENTTPSGAFGFYAAICLLGYVCIYFGYPEVAGMTLEDIRVI FQHGFGVKKAREIQKQMKAQRTSKA PFICI_08287 MNMLSASDKEPSPAAVSQSQTSAPIPGPQRKYRVRFRHTLNPSV PPQRPSDARQEYTFAADQRWVRAPRSFNFIDETREIISIHEAENSDSDLEDTRTSLQI NGSSNSSSWRPRGNSDSTVLPAPSCTPRMSGFVADSSRLSATDSSLPARAKRSLNADG PNRKRTMSSSASIGPSPRPSTQVVTDLLAQPSISGPVLQSPDVSSLALASPSTGSATV ESPFAYIPFSANEDAQSLSHAPVEQPRWPLKDSQEAFLFQNFIREVAPMFDLCDNARQ FAKVVPKRAPFCPPLLNAMLAAAAKRLSRINDGDSSVAYGYYQECLRTLIPLLSSSGA AKDENLLAATLILRYMEETDVAFSINGSQSHLVGSRVFLAAREDISEFSELRLALFWI ALRQEIFMAFIHSRPVYAGFLSRNVAPVLEGAYDECSYANKVILHCAYCIQYCFGEQE QRPSVWNELSDYLDQWERNKPWSFNPMSLEQFDDTSFFPEMTYISDEVLTGMQHYYLA RLVLEAHSPKTPRLGPARRLALEGVNGELRRLVRIVCGIAESNPQVAPGYVNAAVAIV MAGDRFTDRPDQELLHALLVKIEKYLAWPTGAAQIDLKNAWGWARTPDMSIAGVLNSG IMT PFICI_08288 MASTMKAVNYAGPSSVKVSEVEKPKLEHPDDIIVKVTTAAICGS DLHMYEGRTAAEPGITFGHENMGTVEELGEGVTLLKKGDRVVMPFNVADGRCRNCEEG KTAFCTGVNPGFAGGAYGYVAMGPFRGGQAQYLRVPYADFNALKLPAGKEFEADFILL AALDIFPTGWHGVEISGFKSGESVAVFGAGPVGLMAAYSAVLRGASRVYVVDRVPERL EAAKKIDCIPIDFTKSDAVEQIIAANGGEVDRSIDAVGYQAVSSSGSTEQPNIVLENM IRVTRACGGLGIPGLYVPSDPGAPDAASKNGMISLSFGKLFEKGLTIGTGQCNVKAYN RYLRDLIIAGKAKPSFVVSHEIDIKDAEMAYDKFARRIDGYTKVLIHPNGGF PFICI_08289 MKFQPIRTLWGVEPGENMENWDKLFPELKQIGFEGIEIDVANLK STSEYQALRTLADKHGLVITALMFSEWPQTAGPRPKGLTVADHLKFYRKTLQDVAILK PIKVNVHSGSDVWTYDQSVEFYKATFAIDEELGFAGKVCHETHRNRSLYSPQATDYIL SRVPNLRITADISHWVVLSERLLDANEEDRDLLERVYPNVDHIHARIGTTQASQCPDP TNPVFKEEREFFERAWLRILELRLQKNDLSTVTFVPEYGPFPYHPINSTHTFDAVANS EATRLFGVFNAFVASKQKS PFICI_08290 MFARTARSLRVAAAPRPTLAIRFSTPISIRSLTIESTPSTTTES PALASQPSANQSSEPSAFSQLSTDALSSRPPQDLPYFVGRNRMNNMAVYERRYRGGNL KKTLLKKGEGNLQALRLDVAEALGVTEKDVKLNSITNHIEIKVSASETVEARVLDAD PFICI_08291 MAEYDLLPKLVAHLDRHLIFPLLEFANNQVAEDDDAKALEFTKA KYELLKTTNMTDFIGSLKAELDGLDEVPAEFNDKRQKVLSRLEQFEDETSKITDLLGN EEVVNNLRSDKVANLDFLKKEHGVTVDNVNALYDFGNFQYSCGNYAAASELLYQFRVL STDNDKVAAATWGKLASEILTTNWKEAVEELLKVKETIDGKLFNNPLAQLNNRSWLIH WALFPLFNDDSTRDQILDLFFSPPFINSIQTSCPWILRYLTATVITGRGRTRNSGQYQ KQLKDIIRIVKQEAYEYSDPITDFVRALYIDFDFEEAQRQLSLAEEILKTDFFLISTA DLFVDAARHLISESYCKIHARIDIKDLSARLGLGQDEGEKWIVNLIRDTRVDAKIDYK EGTVVMNHPPSSVYQQVIERTKGAFFRTQVLSAAVAR PFICI_08292 MADIEGPSTGPTTPLGAPSLASFLGLLPPPGFSSIAIPIPKGSI YLNPMLTEEPSSITKPVSAPTSPSVLPAPPKKAEPNGAFTLLIVNDNPIDRKILAKIC ERWGQPYEMAENGQEAVEMYKRDPVKFRCILMDLVMPVMNGFEATEQIRKFEEDSWNT YSEYLSSPSSSRKNVASPEPVRRSVIVALAPSYAVAGIHQRIANAGFDLSMCRPLYPN TICNMFFSGPGVNVVGLLGGVDDDQLRAAGYPLKVVRRKPSSIGEREMGEALGRAVA PFICI_08293 MASSDYNPAFPRSIAAEDKIRAFVSNFYQISDDPTKNEEWVSHF LPDAVLVMGPDRAEGVNVREPEIRKIREKMWEKVAARKHQPLKVFESNFADDGGAQVT EYMLYGDLVYKLKTGESQGVSWAGNMVLKQVEGELKFSYYRVYIQR PFICI_08294 MPSAAFQVEYTGRVAIVTITNEKKLNALSGPQYFELSQILREIA THDEVYITFLTAKGRYFSAGADVSFGKSVPADSDPYFEWLRSFVANNLNATQAFYTHP KILVVGLNGPVIGLSAALAAHADFIYAAPHAFLLTPFSSLGLVAEGGASRALVRRLGP SKANEALIMSRRIPSDELLQTGFVNKIFDVEKGDDAKFRQLVLQEIDDKLGEHLIGDS LTGIKALIRQPEKDILDIQNTSEVFAGLGRFMSGVPQAEFAKIASGQKRHKL PFICI_08295 MAAPDTSNLTSSSQVFETYTLPQIRAIHKTLHVEIDEKAARLRT QVGNSYRELLGTADTIVQMRRDMSDVQIVLGRMGGKCGRTVVEGKVAGLGGFRGRDDV EAELSRAARVKLLEACALTAGRLLKGGKEGRGERLVLVARTLVLIRLLIASFGDASTL EEANLRTALETAKKSQRSLKNRLLRGIGKILDKVSNDADQGDILKALCAYSLAESSGA KDVLRHFLNVRGEAIVLEFDADEHERDRNTGNVLRGLDLYTRTLLDVQSLVPNKLSDA LADLKRRPLLADASLKALEGLRLDVYERWCGDDIQYFTPFIRHDDLDGILAKSMLTSW AKKGGETLLDGLGKTLAHMSEFKTIIDLRTKVLQHWVREGGKARGFDPSELLNGLRGV INTRILAVLDVKVSKLRLIGSEVAATLDTWQAGAVDPRKSLWDEEMLNMDVSIGAKHI SHEVLSRLHGRNDAASRAVSSYSSWYRLIDDVEEIVQQLQRQRWDNDVDEIEDEETIE TRQELLSKQDPQKIHEQLDKTLEQAYQSLDEQLGKLWHTHKDASNGQIAMYLLRIIRS IRSQLPRLDNTKSFGLEMVPSLHERLVGHVIVAPLEEFQKTALTRNRVIGRVLWEGEP ALPSQPSPDSFKLLRNLVTSMGDAGLDLWSPAALTKLKKRFGTQLTDAWRVKLDEFSE SNADVKTEKAPEAKEIKESEENQEGTETKEDSNVQGILEHDGDDDLELKEKAAKESET KKELLIQWVYDMLLLQTSLGSGGDSNESLATLAQEVFKKTDLPTEARERMAKTSQEYW KRTSLLFGLLG PFICI_08296 MDAFPSEVLHIVFSHLELKDVGQFRLACKTFNDISAYIFFQNIT FYLHTDDLRHLRHVTQKYPTCIRSLVYRGRTIVDEVCADDFAEQHSEYMILKYGRELE HSSDDLQEQYARYKDKLAAQRRLIDAKDDFRCLAEVIGSLPQLQSITVNPDVYFFPDH NPHNLKSPFDELFYECSQKSGFDLVRHVEAVFSALQRAAAKIEVLRLGPVHWRVIEHN VSVLSTPIQALSNLRHLELVFTLGKWSPCQASACREVVRSGALRSFLSTLPHLEVLNV DFSSIGHSRKFGASVDDIIPSDRTWRYLHSLALRNMEEGQQDFMSVMERHKESLREVC LSNIRLGEISLPVLLEEIRNTLSLSYTCICGFITGSADAQGKTEWLLLRSTIGGDWHR VINYCCRGSGSPKNGVCPISEDWDMNRTDDDKIQYEEIYQYEARFRRSQPFPGFFAAK KNGPGC PFICI_08297 MDVTTDKFTLEAEPGTDIWKKPPATDVSNAPIARASSGLLTKFR SARTTFWGPWTERYDQAGLVLVLKHSSSTQPAKWVKLGLELYNGKPHLSTVACDRWAD WSIVPLVEDYSKSGLTLEVVREADELGKSAWVYHLVLDESGKVKEKRPMREICWFFAD EDETGGEEWILDVSPLVARPEKNANASLKVDFSEFQVKWHT PFICI_08298 MSKLSPESKIRLASGHDLPQLGFGVYQTPVAEAERCVVEALNAG YRQIDSASAYRNEAPCGSAIRSMASTVPRSEVFFTSKVRPKGMSYESAKATVTSTLDE TGLNYIDLMLLHAPYGGSAARKGAWRALVEAVEAGHVRSIGVSNYGVHHLRELKEHIA ELEAERGGPGRGGVISVGQWEVHPWLPRPDIVSWARDNGVAVQAFCPLVRGERWDEQV LKDLAAKHGKTAAQILIRWSLQKGYSPLPKSVTTSRIKENANVFDFELDEEDMRKLET DQYAPCTWDPTTSAIDN PFICI_08299 MAPPTGPLNLDVEAISGICGSISIAAWVVVFSPQILENFRRSSA DGLSLQFIIVWLLGDVFNILGAVFQGVLPTMLILAIYYTIADMVLLGQCFYYKGFTWK DEVVPPPPKKTPRPATGEADERTGLLNGPGPLAHSRERRDSSQSWSHLSPAVPMLSEP PTRPPPPSTRLQVAFRNAVAILMVCVAGIAGWYLSRRYARSKPSQPADNLPEFDVLGQ VFGWLCAVLYLGSRLPQILLNWRRKSVEGVSMLFFLFACLGNLTYVLSIFAYEPTCAS PNHCRSGEAGRIYGRYILVNLSWLAGSFGTLLLDLGIFAQFFIYSKDESISGDDDEEA FEEAESEIDQERWDQRPVLERLGSGHT PFICI_08300 MSADLFAAFDGPSQPPNQGQKQQQNAAQAKTQANAFSSDPFAFL SSAAQSPVVSQTQPFPSFPSTNNDPWGSFAGGQGIRQPAKAEVNDDNDDNDDDDDGWG DFETADPIAPPAPKLATAATPIPASTKPPPQNPAIQRTRIIRAPTIELMTNSLIDIPG TNVLPEEVRSPPWMRQSFDRGQKSTPPPAAKPIQPVQQPQRADPNVLFDADEFDGKVA EDDDDDFGDFETVASPAPAPAASSDLLSDDLFSPPPTARPTSTTKRMNTADLLSSLTL DAPASPYPQAPKSPAFRDRNPFPGLGLKTPTEPEPVPKNEDAKTATPLTAWPSFDNDS TKTQTARKTQKINDGWDAFDSLAEEPAASKEADTANDDWGEWDAFDNQKSEPVKAQAT GSTERDDVSTWAWNAIDNPNDKSVDTKADSAPPINIPPPSVLLSIFPQLLAQANDSLF KPVSGKSTSIKNRILSDPKTVDFLRGYLLLATVAARIIAGRKQRWHRDKFLSQSMSIS AAGSKGMKLAGVDKTQAIREDREAADVLDLWKEHVGRLRSAVAAANQSIKDASQQLKI PELRDNMQAQTAKGVLTAPKACVICGIKRDERLARVDFDVEDSFGEWWTEHWGHTACK RFWLKHESALRQR PFICI_08301 MSATQDIASSIVDNDLDPAVANLRLDDESGLGPDGQPAPKTDDE YAVANLTLRAIVSSKEAGVIIGKGGKNVADLRDETGVKAGVSKVVQGVHDRVLTITGG CDAISRAYAIVAKALLEGAPAVGMGGVVQNNGTHPIKLLISHNQMGTIIGRQGLKIKH IQDSSGVRMVAQKEMLPQSTERIVEVQGTPEGIQRAVWEISKCLVDDWQRGTGTVLYN PVVRTQPGGAGSLGGGPVSAGTGSAAGAGGGVGGGYGYSRGDYGSSRVTRTGNGADFS NGGSSRPYRRSDSDAGNRDRQGPPTHDENGEEIQTQNISIPADMVGCIIGRAGSKITE IRKTSGARISIAKAPHDETGERMFTIMGSAKANETALFLLYENLEAEKMRRSQNQPAE PFICI_08302 MARTKQAKPVERTPSSEFVSKQNGTPVKRTSSYVIPKETPANGV ALAGKSAIMLPEPEKKEAGLVQLVIAVAGIYGSFLTWAFLQERLTTTPHGPEGSTEVF KYPVFLNTIQSLFAATTGALYLYLSSPRNAPIPPIFPSRRILAPLVLVALTQSLASPF GYASLAHIDYITFLLAKSCKLLPVMFLHITIFRKRYPLYKYLVVAAVTAGVAVFTLHS GKKAKKHATPDEERNVPWGMLLLSINLLFDGLTNSTQDYIFGAFQPYSGPQMMCANNL MSTAVTAAYLVLSPYLVHTGAGEWLGMDVAGSAGELTEALAFMARHPSVWTDVLGFAA FGAVGQVFIFYTLSTFSSVLLVTVTVTRKMFTMILSVVAFGHKLSQMQVLGVGLVFGG IGVEAAIARQEKMAKDAAKKKALEGKSQ PFICI_08303 MPSAAARRLYEDQIPSFMSIIDSEVEPMVDDSGVVTMVDSKFMG MEPTYPSHFSGPNQMTQQLQQQHLHSLPADALHRHHDSTSTQNSADSSPTTTLTTDTS SLSDPSPSSSPDSPVNLIPLNNFPGTSFGALPSTMDTLRVKDQPPTINTHFQRPMTSP SPRRRNMKGLSIQPPFASNASNSSLVSEPSSPSFIKPQIPAMKRKPSQLSLKTDGPGL SLRASNVEVPPSPAMGPILQRRALKHSTSSPHMLSAMKSATFGPAGGMSFPTISDRGE SGLSEILRPSRLNSTSSGNQRASIIMEEDSPIRTQQASCAAMDFEPFRDESEANEDQK SPGYPDGPIAIYGEEVYLYLEPSADEAAKFDVVINVAREVSNPFDSKFGSLRDFPRGN GAESPIPDTAVTSDSFSTAFEYPTTDRQETPTTPKATNALQEPEYIHMPWDHNTDIAT DLMTLCETIEKRTKERKKVLVHCQQGASRSASLIIAYGIYQNPGMSVNDAYYAAQAKS KWISPNMKLMYCLQDFQKEMAKRKAPRSAVGTRTGRSPTKHRLTLSADGIDVKPKEPL SAPLPDESPKQAEIRTPERSPQHLRGKSTPNLESISPGPSSAPSSFSWPQREVEMGSE SFGRFKSDKLLDPPMLIEPESSNAFPPPGSSFFKPPPSPGFKPPKTSLGFTHQEPKVS WKNAPSLGPSLSFQPPPSPGFFPTREAYRPPPSPGFGPRLEQGASFMGFSSLNLGSRD PTFGSFPSFQDHVQESVNHTVHVTRELPEDDALMSPRAETMTSNPIHDSFGEMAGMKF VEHPPTPNEELFSPRQSVFPRDPLQPFGRPTQVADPRSPPTKGETPIVRSIDELL PFICI_08304 MTIADKVRDSDRLFHNILKELEVSKTDPAYGIDHSIAVDTWDRF QLWVGNIGADRQDASMLSLESRMTSSSEVLQEISNLLGSLIDTLRDLLDILTSQRKNA DNMPEQSREDGIDDSDNDSEVATRDYRADNPSEAQAFLGIVSECITGLFRLSTLVIKA TPQDRFSKAQEGRDSFSIHHADIQDVTDLFPKLLRTEYAWLRERLGQANAKRRNFVEC CREHQATITEESPKRSGPQGLRFLAISVDIEPTVAKQEPISTEASGSNIDLLQEDDDT SESGDTRTLISAASSTDLEVEQFPQILRLSEVNNGNDTFECPLCCVTGRFADESSWQR HLYQDLRIYVCTADEPECSNRLFSDRKTWFDHELQHHRCQWTCIICQEGPFHAASILR DHATTHHAELEPELVEGLIAASQRPLQVIAASECPFCDEWEELLQSDARNKSTNTSGS VVVELKEFQNHIASHLQNVALLSLAKTSDDYATKDVSGRDEASSQLLHTATIREQAVT VTAESSQARSAKPEGSVDAAMQEATTIIPDSLQRQKQPAPSIASLKQRSVSDFVDLFL FHKQVKQCTRISVFRKHRSFLLMDRLRSWMQEPVESHGHIQISRASSLLEKLYPEREP FEGAYQDYGKHLVSGSKQSLIDILVILLQISTDALLLIDRFYQRQVFDSDIPNIIRYP SNLDDIVQGSIYYKSHDLKNVIGKFMTLAHKITASQNLNLGHGQDVPLGMILPFTVQQ SIGHEGKSDVFRVEVPAESMPGYIIEYISYGPSLDTLHARKKRFDKEPLTYELVLKRM EHEEDWKREVEFHRAADGAKGIVKCFGSWKTARRNDHEYYLLLESGWENLEYYFLTYS PPTATTEILDFWTNFRDIAMTLKCMHTLEISSAGTKKTYFGWHGDIKLSNFLLCPYSE GDEMTYVIKLTGFGFAKLEEAIAGDQMEATTPLHGGTEEYGGPERIDPTTGKIDDNKR VTRRFDVWSLGCAIYEAATWIALGAEGIEQFRLLRKIKDNHAQDLNYEDIRGNEEFHL RAKVASVVDIWHGYLLSVLRNQDCITGPVLEIAKRYMLQADPAHRASAEELVNLLDNA LAQAHSDAPKYSKQPGLLQTALRLHHQRSQQNSDARASMSATLQFARNVDRTSEEAVD TSQLPSFEYWDAVRFLNGIGLTHSTHIKIPRPTGALPDSEAQRTGPSRKVIRPMTSLS DDRGQDNPHNLTWSQYIESVSNVSQGNHETFRSSAEPHKEQTLQEATFGDMFNDRDII FLFDDRQRMYVHWPQVQAVATVLMVILPGQGQDGFDTYFSSHRRRPTTKPGGRELDWD FLNQGKGKTYSHKVTLIIFTDGVWRDQQRQLIELAIEKWLRYNKMKGAIDMDPRQYSI QFIHFGNESGSFKALESMGDGLCKGIDVTDVIDVERADGNIHKMIMGSLYDTWHRSRT PTTISTTTTMTDIAPPIPRHLD PFICI_08305 MSGFEVVGVVLGAFPLAISAFEGYRKLATKRKLFLEFGAEYQRS IIKLNFNKVRFIGSLKQLLLPLAIPDDEIHFLLHDPGIDLWRNPRIAVVLQARLQDSC SLYLNTVHSIHLTMEEVNRELAIHKAFYAVMDQNETKDGAPGSIPTKSKNPLSKANRE YQMYKLKFSNGKRNRERLFEQLGKFNDQLEMLLSISDVDSDLQKARNVAMKSATASVT QSAICKFWHFASQFYQTLLMAWNCNCLEQHYAHFLLQHRTSATKDIKVVLMPLLSSER GQWPSRQLNIEVRDKSSTRIPDTSNANFISPAMHIHDNDRSDGSRVLDDVKGKGKSTL VVPITVAHQSLCADISGGEKKPIASLCKTMAEEADSICASYLQDNERRYYLYPSDDSC NNYSPSPVTLSDLLSGKVGQMPTRRQRYSLALILASSFLQLHGSPWMVVPWGKSSIYF PREPESLNSILLDHVSLARGFSSSPINGDTQESPALEPPVEIQGLDSLAILLLELCFG RPIEDHRSRKGITLGDGQARAALDFVVAWTWHREVNDEAGDDYADAVKWCLTCCKSAP VGNWRKEMIENVIAPLERCCKYFRNALV PFICI_08306 MSLSQSLKVDWHDATCRQLDCAPIDDHWATCLSCGSSGLMPYSP PTLATESSFRLLRLLPGWPEDSLRCKIHVKDLKDEPIFNAISYTWADEKGDDRKLAEI FIDGKAISVTRNCHAALRRLQQTDIVAYIWIDAICIDQENDIERGHQVKLMPQIYHQA QKVFIYVGEHDDLSLDMLKYLDIPRAQKRSKYGSKDDSFFSSKHGRVIWAALEGRKYF TRLWILQEIALARQAIVLCGTSSFPWKDITDCFADKFELAPNQQLVLGFNRSIYTRPG MELHLLDGGRPACAKDPRDKVYGLLGLLPSRRIGTVVADYTISAQQLYTEVAVQLAKI HGWPAVLARAGSKYQSLSSLPSWVPDWTCAPTKLTEYYAPPPPTAQSSQSSLPFKDRL RLGLIPYDTKGSEWIVPDLQNGSIGTYILIPQDRFWSGLLHPSSDYYSKHQRLRLTLH KSPDGSRNILYKAVFSEEKEMVHIPIEQGFFAPMGPRMLVP PFICI_08307 MSATTQEEKSPKQLAYEKFENVFKDTLVEQILGHAKKYKLPAEY LTYFEKSLRANTLGGKCNRGMSVPDSTEQLLGRELTAEEYESTAILGWLIELLQAFFL VSDDIMDSSISRRGEPCWYRQKDVGMVAINDAFMLESAIYMLLKQYFKAHPAYVDMVE LFHETAWQTEIGQLCDLLTAPEGRPNLHNYSLEKYTHIVVYKTAYYSFYLPVALALHY LDIATPKNLKQAEDILIAMGEYFQVQDDFLDCFFGTKDVIGKDGTDIQDNKCSWLVNQ ALKIATPEQLKVLEDHYGKKDDADVAKVKELYNELDLKNKYYAYEEKVVGEIKERIAQ IDENEGLKKSVFETFLNKIYKRSK PFICI_08308 MALNNRETSQLRGALQEAVIKCSERCLYQSAKWAAELLNAIPEP ETDPDSSLLSDSSIFPPTIIAQNSEPQEALLEAKEFNKYLMAKSFFECREFDRCAAVF LPETLLSGIVNATEPSQNPKGKGKAKATAASFSASSAPKLPDISQRSLFLALYAKYLS GEKHKDEESEMVMGPQDLGLCVNKQLLTISRYLEAWFEQRRDEHGEDVDGQGWLEYLY GIVLAKQKNEDLAIYWLVRSVHLFPMNWGCWLEITSLISRVEDLNRLMQRLPQNIVSF MFHIHASLELYQHDGNLASSLNQLLTIFPGSSFLMTCDALLSYHAKDFVAAEQAFSQL LSLHPHRLDSLDHYSNILYVINSRPKLAFLAHVCSSIDKFRPESCVVIGNYYSLISLH EKAVQYFRRALTLDRSCLSAWTLMGHEYVELKNTHAAIESYRRAVDVNRRDYRAWYGL GQTYEVLEMHAYALWYYKKAAGLRPWDGKMWMAVGSCLQKMNRNLDGIKALKRAILAE SYYDTGSSFGSAGSMAGGLRGAHMDPDILLQIAQMYQDLEEEEEAKAYMELCVAQEEG TASSNVGESIAIHNDDGSDDGGDHAGPSANGGSREGTGVTQATSKARMWLAKFAMRNE DYDTANRLLNELCQDGVEVEEAKALIRDVRSTLEAGRTTRGLLLDPNE PFICI_08309 MQLIQTLLPIAGLLAMASAWGETGTYWTTVVTTAYTTYCPSPTT FALSNVTYTATEETTLTITNCPCTISVSQPAPVTTTEYCDEDPIDYYTGTGGSGSTLP STGTKAFPTHGNSSTVITYTPPATTYISGTTPITPNHSPTFFGTGSYSSRSPTKTPIG PSTSHPVTVPTAAAARVGPVGFVLAAGIAAMAL PFICI_08310 MGFFAKIAAMVAAASAVASATNTVTFVSQDSTTRKVIFTPSSGY SEIDSIEVAGLATETVSFPDGWVGNWYSVSEGADNTSGMLGEVTFQGWDDITYFDVSA IVNADDHDGVHEMWPASENTIAIKTLMSGCSIFPCNTAYYLADDVQTVATTETELYCS LGSQTNSTSKRDVAKVVGRNFVLGKF PFICI_08311 MASIHSIPIEVLDLIYRKLDVKDSVRLGRCCKSLYASLIPEIYK SVKDDVRVMLWAAHWGELGTLKKLEGANFEKTWNKELVHDHTGLTNISSHYSQSSEPT VQNSVQQVARVTRPRIFPEKVYAEITCTTLHVAASKGHLEVINFLLDKHIDINALGED SNWANSQIDIVHSSLLPHNLSEYNKTGKWTPLHFAICNRHLEPAKQLMSQGSSIRVGK RSITSDRRRYPTALHSAAAAGCRLVLSAVVGHFLPEIGDIDLVDDKGYTALIWAFKYR NWDAFEFLLGYGANMARAVDMGTSMIQISCKSLDVDAVLFLLRVGKGEALPIRHITLA LHNLIRSFCAVWHPGGGDLIPEREAKVESIIRILLTRGAQIQPPMDPFTSFQLPLVAM ARCCRSGIIRILLNHGANINAHQIDGLTALHAACSAIAQEPMSRVLATVKFLLQRGAS LQNTSPGPTVFDHLFQALYWCYSNQRDPGGGVAYLLHILLDYGLKRGTVRPSCLDQFL DYFRINRFDCCRILARHGAIVPKPTLEFMIMHAIQDGDAGVLRFVFSLDGADGSLVTD AVMNAVMEQASSWRRNEMIAFLRGIDTRRAR PFICI_08312 MVAGESGLGKTTFINTLFSTTIKNYADHKRRHQKQVDKTVEIEI TKAELEEKFFKVRLTVIDTPGFGDYVNNRDSWMPIIEFLDDQHESYMLQEQQPRRNDK IDLRVHACLYFIRPTGHTLKPLDIEVMKRLCSRVNLIPVIAKADTMSPADLAKFKATI QAVIEAQNIKIYQPPVEEDDEAAAQHARALMAAMPFAVIGSERDVKTGDGRIVKGRQY SWGVAEVENEDHCDFKKLRSILIRTHMLDLIHTTEELHYEAYRAQQMETRKFGEARPR KLDNPKYKEEEEALRKRFTEQVKVEEQRFRQWEQKLIAERDRLNKDLEQTHAQIKQLE GELEQMQGSAARSGRR PFICI_08313 MLSSLAVQNATRALRLTARPANIAAKQFHTGQTSSTSNNSNSTT AVGSTTTTTTTNDWQARGIQTTTKWRPVQVLDDWVAKEARPISLRQLMVFGRSLTESR LISSANYVRTELPTRIAHRIRDMQRLPYVVVTNPHISDVYELYYNAFDTFRRVKEIKT LEDNEAFCQDIARMLRAHLSVIPKLAMGILQCNGLMEKEELDTFMNTILRSRISRRVI AEQHLALTETFNSPWFSPGAKLSESDFIGEVFIKCVANDVVQRCGEAVQALARVANGP DVQIPEIKITGHLESTFPYILSHLEYIIGELLRNSVQAVIDHHAKSKNKHLPPPPIEV TLCEAQQHVIIRVSDQGGGIPRDILPYLWSFSKGPRSRQRLENLTKVPLMAATLQELR VDGNFSGLQDGQVGQPAHDGSLTSLSARPPHLRLGVGLPLSRVYAEYWAGKLEMHSLE GYGVDAFLQISKLGNKNEQLSTRAAMDSL PFICI_08314 MDDDKENTPLPPRLGKTSPDSKVNRQNNSQSYDSEFHSPNEMVI DTPDELASAENEKEQVVDINPESLENGPDAKENAILATDFEAMRDLVLPPLLEEPKIL EDAVSTWKIENWRAFQSKKEHGPIFMAGGFPWRILLFPYGNNVDQCSLYLEHGFEDPS TIPEDWSCCVHFGLVMSNINDPSLFVHHQAHHRFTKEESDWGFTRFLEFRRMFNSDFE GSGRPLAENDSVLLTAYVRIVEDETGVLWHNFNNYDSKKETGYVGLKNQGATCYLNSL LQSLYFTNAFRKAVYQIPTDNDDSPTNSVYALQRLFYQLQTSNNAVGTNELTKSFGWD TRHIFEQQDVQELSRKLIERMEERMKGTAAEDALPRMLSGKVKTYVSCINVDYESSRI EDFWDIQLNVRGFPTLEDSFKDYIQQETLDGDNKYWAGDEFKYQDANKGVIFQSFPEV LHLHLKRFEYDIQRDAMMKVNDRFEFPEVFDAAPFLEKSADMSEPWVYKLHGVLVHSG DLNAGHYYAFLKPNKDGWFYKFDDDKVTKATIRETLEENYGGELRTSQGPYRTSISKK PIMRSANAYMLVYIREPRLDQVLMPVTREDTPAHLRLRLEEEAAEREAKRKEREEQHL YLTVKAVTGETFKHHGSTDLTNFDTDPKEDPAAPRLFKVKRKDSMESLVATIAEDMKE DPRKLRLWIMVNRQNKTTRPDQPIMDLKPTIEETYARSVSTSRDASLRVWVEVAEETN EQGEAVWPTYQGGANGVVVKTDLILLFLKFFDQDKQALEGVGHVYVSKEKKIEDLVPI ISKKMGWGEKLPAGEQVAMWEEIKPQMIESLKSRQTLKAAELQDGDIICFQRVPERKA GDGLASLAKIPRLSEKPTNGAPRKWDHFEDAREYYDFLVHKRDVRFWPHPRCEKGYES FSLTMPERLTYDQIAERVGDSLDVDPTHIRFFTVNASTGNPKAPLRRTAQQNLGQILS SDRNHPANAQAKSDSLFFEVLDMSLAELDTKKSVKVIWLSEGISKADTYDVLVNKAGH IEDLVQALVKKAGIDDEQTGGRIRVYEIHHNKVFRELPPSYPVLSINEYTDVVAERIP EEELDADERDFIKVLHFQQDSSRVHGIPFKFLLKDGEEFSETKKRLEKRTGIKGKAFE KIKFALIRRYGKLTYLVDDDILHQEGGLEEDISLGLDHVDRTRSIRNGSEMFLK PFICI_08315 MNSLPGNVGAAGGGGYDPNDPNIKRINAMMESCFGKTAMSGVMG FGMGGLFGMFMASMSYDTPYHVPGAPGAAPKELASLPLRQQLATGFKDMGTRSYSMAK NFGKVGAMFAGIECGIEGFRAKNDLANGVAAGCLTGGILARGGGPMAVGGGCAAFAAF SAAIDAYMRSPGEE PFICI_08316 MPLTDEESQNNNHIRRTKTSYQAAAFGTSALNLQREHLGYTPFE YWKGPKPVIPGSSQRTTGPIASHRTTTIAVRELNTSSGPGAQAAQQQQQHLSGTTAAA TTVALVAHLEGNEEKTTGWWDSEKTQNNEKLDWARAGSTITLGPGAPATTAKNMGWTD AYNNGGNNHFRSAGPTSFHVHDRQGSVELLTQRPPSYASAKLPPRPTADMKRDAASRW DPRGWRKRTWAGVALVLIVAIIVGVVTGVLVAKANRYPDYSKLTYALEDSYSGTDFFD NFDYFEGYDPTSGFVHYVPQARAESLNLTYASSSTAVLRVDTSVGNTSSPDASTGRFS VRVTSKTQYDSGLFIFDVKHTPYGCGTWPALWLSDPSNWPTNGEIDVMEAVNKATDGN QMTLHTDDGCDMKVKRKMTGTVQYKTCSNNTNDNAGCGVLGDADSSYGSGFNSGGGGV MAVEWRDAGIRMWQFARDSIPDDITAGDSPDPSSWGTASADFPNTDCSISSHFKNQSI IANIDLCGDWAGNVYADSGCPSSCEDYVANYPSAFKNAYWEFGSFSVYKAS PFICI_08317 MASIAASLAQALPKPKYTGEDEEIPAHAQQRGPRVVGPGQIDES QIVLRRTGPPAYGQRSGWRPRGQEDFGDGGAFPEIPVAQYPLGMGQKGSSTSNALAIQ VNADGKVKYDAIAQQGHREGRIVHSSFKDLIPLRQRADAGEIDLAKPSEEEIKATTER TQNALAALISGAVAAQKPKNVNIGGKRDATFVKYTPANQMGDNSKKQDRIMKIVERQR DPMEPPKFKHKKIPRGPPSPPPPIMRSPPRKLTAQDQEDWKIPPPISNWKNPKGFTVP LDKRLAADGRGLQDVSINDKFAQFSEALFMADRHAREEVRQRAVMQQRLAEKEKAQKE DNLRMLAQKARDDRAGAGRRRDSSGSRDSRSRSRSYSYSDSGSDSEDSEIRAREKARR ERQKEEERKLRQSRMGAERRVQVMAREQNRDISEKIALGLAKPTQQAETMYDSRLFNQ TSGFDSGINEDNPYDKPLFAAQDAISSIYRPRQNAEDYEDEAAGDREMAKIQKSSRFG EVLGKGTFKGSGDAEAREGPVQFEKDGGDPFSVDKFLEQVDQSSTSKRGYGLQEDESR QSKRAKMDDDDE PFICI_08318 MKSTLMSVGLLAWLISLVSATALTYKLGANEQACFYAISPKENT KMAFYFAVQSGGSFDLDYEVTGPEEKIILSGEKERQGDFVFTAQRAGDYKFCFNNDMS TYTEKIVDFEIAVEDEVRAQLPAKQGTSPEQTSALEESIYKVSGQLSTITRNQKYFRT RENRNFSTVRSTEKRIANFSMIQCAMVICMGGLQVFVVRFFFQGARKGYV PFICI_08319 MDLQRRTVAVASAGIRIASYFFLRWAALPPAIYVVYTLFAIYVP TFISQWRREPEVALVDEVDVAVTDKLVNEDGEPVIEEDVEIVEKVALVDRQPTPLWTL LSGLPNPASKLPSLITLLINAACVAMITDVVYRPRWQYPSHDLSFARVGYVSDTEAKL LIREPDQSKMPITVQVHLKDAQPPFDNPLWQTAGGVKWTSNETDYTAVVTIPLTHGKQ HTYEWTTSNNHSGEFTSAPKAGRMPDLYDGKFTFLATSCILPRLPYNPLDHPLAIPGM RHMAKVLPSLGAQFMLFMGDFIYIDVPNLFGSTVEDYRQKYRQAYASPDWAPIGQNLS WIHVLDDHEIRNDWDSKSAGVYKTAVDPWHHYQTEVNPPRAKTAATGGTLSRSDATYF SFTQGPASFFMLDTRSYRSKNNEPFESTNKTMLGEEQLDDFLYWLRRPEPVGVKWKII ASSVPLTKNWRVNTKDTWGGFLHERRTVLEAMWDAGVAGYGVVVLSGDRHEFGATAFP PPRESKWPESVTVHEFSASPLNQFYSPIPTYKQTDDEDVELKYINTGNSKFGALTIEN RADGERSSLFYRLYIDGEEKWNTVVVTPELVDGVKPTVSFWDRVRGAVSGKI PFICI_08320 MANTQPTSSSKATSELNDLEANKKVDKNSSLSKLRAITFRFIPI CGAILVVFSLGGGIGYAFNGKPLPRDAVVGISVTFLILGILFGLGCLKLYHRRSSQPP LAARPESGPDVARQESSRLKSHMTRVFTSKSDLEQGEAENVEEFLERGRRDKRKPQRG TIVEVAATEADETGEGLRPDRSRIPRQPTQQAAPRTGGAPQVPSSMAQPMLKPQTLVP ESQEPRYSWEIHDGIQVHTAQAANRNIVATQDTPKQVIHIGLGNHAGQHKTASSGRDE SAKNLPKRTQATRRRDTPHPQTNAVTLPQRLNPLPGPGNEDPDRLAYDIVKMSGMART QADLRGDGEPILPRSSSKKKTEPVQHNQIPGSETAGMTRPNNHMGVNHILGVGPVASR LRPSYSQPAFIQDPFANNDGGEQGTEAIHKLQQPKQEYGRSLTTVDEWQVRNNVMNSA PEWI PFICI_08321 MALAAVFMLLACLFYLPTTFCSIVPQSRYSLLSSSVDSSSDTCQ LDGYVSCGSNAPANFCCSSADTCMILSSNTTVVCCPEGESCDLIEPILCNIRLQNVTA FPDSGVYTTRLGDALPTCGDGCCPFGYRCNSDSNCELEDKNGTSESDNLTATTTTAAL EDPVTTESSSSSTHKASHTKTSTAESVLPQTTIGDDQVSASPNEDMSQGTRTGLIIGC TITAALVMIILVCILFCYTRRGRNKKRQDSMKNTMIFEKPYMESPRPRERRQHDPTLT KFRTWRGQSYYARADDKMSKVSPRTKIPEPIIEYAELPATPPPRLMSFDSGPDILALP PPIRAKTTRQRFEGTRPGKSQDRWSLR PFICI_08322 MHDHPKASWKSGQAAGCDFCNLVTLVIQHASTQHRLKSIDMPTF NEHGDKIDTNISVWWGRKFDRFVLTIGYFTPIKKGENTTYTITACPEKKTWLLEAQSA KLTDRSSPAAINLIQWWLEDCKKRHTSCTRATDGFMPSRLLKISDGMVKLELDIQTTE PYVALSHCWGPTKPIKLLENNLQAFQQGISETNLPRTFREAVKTSQRLGYEYIWIDSL CIIQDSDLDWKQQSSFMASVYGNAALVLAASSSRSSEDGFLRRTKDNSALDHLPGITE TCIKLRRRGRSRRIERSVQLRLEILRSHRGPLDDRAWAYQEEAVAKRYLSFGNLEMMW CCTEAIHSEFGSHSSQHVEAYMRMKLTTLFTSITDPRSLGTYWRRTIVFMYSCRKLTY QCDILVALSAIAQAFHFKANLTYLAGLWKEDLLRGLSWYNKRRSASQQQYCPSWSWTS VGGTSQYYDYFGSLNDDSEPCAVVVQAGTSPATTNIFGPVSDGLVVLRGPSAMATLKL NTTGEILQATSETFNGVWSQFYKLSLQGGVYQDTPLVKTSVLLRDGTREISARRLAFG ESIQAQDDLQTLENQTTNVWILPLHFVSDGSEIRLQILVLGRDEMDPDRFQRLGLISQ RVRIEGKDKIDTFHKVMQREMVCREFTIV PFICI_08323 MQRGFNTFDIPRDVHALSVESKEGGVKVRWSDDAHESFYPWDFI DYYLEEDQPIPEEVTINTFTSKGNALAEKWPPSVSSKDIEKDETKAIGTLTNYIRKFG FGFITEVPYDTAEPSQKLLEKIAFIRQTHYGGFYDFVPDLALADTAYTNIALAAHTDN TYFSDPAGLQAFHLLSHVDKSAENGQASLGGQSLLVDGFWAAALLKDEDPKAWNVLSR VKLPWHASGNEGITISPDKLYPVLEVDETTGELQRVRWNNDDRGVVPFHNEYSPEEWY DAARKWEAILKRESVEYWFQLTPGNLLVFDNWRVLHGRSAFTGIRRICGGYINRDDYI SRWRNTNFPREEIMRRVVG PFICI_08324 MEEDGQPPSIVEEQQRNMQVDNAIRAIREKKPVPEIDFTIHSME DGSQVNTQERVCKDVQAPATFTPSDEQFFEDETHTKPNITFLKQHFYREGRLTEEQAL WIIKKGTELLREEPNLLEMDAPITVCGDVHGQYYDLMKLFEVGGDPAETRYLFLGDYV DRGYFSIECVLYLWCLKIHYPKTLWLLRGNHECRHLTDYFTFKLECKHKYSENVYEAC MESFCCLPLAAVMNKQFLCIHGGLSPELHTLDDIRNIDRFREPPTQGLMCDILWADPL EDFGQEKSSEFFMHNHVRGCSYFFSYPAACAFLEKNNLLSVIRAHEAQDAGYRMYRKT RTTGFPSVMTIFSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTW SLPFVGEKITDMLIAILHTCSEEELKEETPLTNSPGPASPPLNDPLNDPESIEYKRRA IKNKILAIGRLSRVFQVLREESEKVTELKTVSGGRLPAGTLMLGAEGIKNAISSFEDA RKVDLQNERLPPTHEEVQKAATEQHEAAMERAKREADNDKKLQSLSRRLST PFICI_08325 MAAEIKYNKNGIPEFEDLPLGKTDPRRSAWGLYGDKDELGFLNR LTDERVAAAAKSEIQTGHRVSLNWPLDAQASQGGTAFFGRGAFHKELLGKAPFTGNDD VWTFNSQISTQWDGLRHFGYQKEGLFYNGVTMEQIHASDDKGKSTVNGIQAWSEQGVV GRGVLVDLHSWREANSELGAYNAFDSTNIPLTDLKACLEAQGTELRFGDILIIRSGFM AQHAQKTQEEIKAHQEIHRFVGLEQSEEILRWIWDNFSAVAGDQPALECWPTKKDWFL HEVLLGGWGCPIGELFNLEKLAAHCQKEKRWSFFVTSEPCNVPGGVASPPNILAIF PFICI_08326 MTTAKLELRTALGPVYRDVLLTPPRNCTPDEIPVIDLEHLCAAD IAERRDVAQAIRSAAVNTGFFYIKNHGISQDVISKAKRQGLDFMRQSLEQKELISSRK YSKYFNGYSGAATTNISPSESVDIRESFSFRYSPELDPDHPTAMSEIPPEVRPWIRGE DFVWEGTAHLPDFKTDCVAYWASCLQLARKLVRAFALSLDLAEDYWDDKVTHPGADFV FNYYRPRSEQEVKGGFVGLGSHTDLQLFTLLWQDTIGGLQVLTKEGQWIKAPPIEGTI VVNIGDYMMRLSNDTYKSTVHRVTNESPLERVSMPFFFGLNFNCIESVIPSCVSETNP AKYEPISCGDWCQMRFKIERESFDKKQASAPSLAPSAVVVQAQA PFICI_08327 MEETDIREDAFPAPSKEAVGQVDGVETQATSTFFSDKIMVTLSQ EGRLSQWIQVSLSAPSAASVDMALPDTSLLPLLHLTPKTLLGGGGEERESSGQLYAVQ IASHISRRNPDEKRMLIVGLGLLKPKPDRESFFDVIELVQQIL PFICI_08328 MVKKFVPRQRKHKVLARQKASGSGQDDGAEVPIADSNALEIIPS KKDVETKKMREELKDQGVKVSGKKAKRLEKYIDNKLQNDENKALLAKLANNKVDTSLF FSTRTLGQGKETKKQALKRALQEHKAGLRDDEDEELFEQRKDSDDESDSLEAIQQAIK PATKPVAQPTLKVAAPKPQEKSEPIQEEPQPAATASSASIQKPAQIGSGLKRPLDLGE DGRPVIQKRQKRGGVASKLSAPKLPEPESESVSESGEDEWSGLSSGDEDHDDEDSKDA DMQEDESSESDSESDDEDMSDDDSDEDANEQQENTKQRSSAFKAWAHQQRNEALGYQP IEPTAALLDIPKPENFKPRPMEQDPLPLELQATADDDRKAYSVPVIRSTEIQEARMNL PVVAEEQKIMEAIHNNNIVVICGATGSGKTTQVPQFLFEAGYGSPDSPTPGLIGVTQP RKVAAVSMSKRVGQELGNHSEKVAYQIRFEGTADEKTAIKFMTDGVLLREVSSDIALR KYSAVIIDEAHERSVNTDILIGMLSRVVKLRQELADEDSTVKPLKLIIMSATLRIDDL VKNPTLFSTPPPVLDIEGRQFPVTIHFARQTKHDYVDEVFKKITRGHKKLPPGGMLVF LTGHDEISRLSKKLKQASGGWTSAALPKVRMSANDAPLEIEDIEFGQTNDTTQDDFDE LNFIDEDEEEEDDKEFDIPDEEGGTGPLKMHILPLYSLLPTREQMRVFEPPPEGHRQI VIATNVAETSLTIPGTRYVFDCGRAKERRFDKESGVQSYEIGWISKASANQRSGRAGR TGPGHCYRLYSSAVYERDFVEFADPELLKMPIEGIVLQLKAMRLQHVVNFPFPTPPNR LSLAKAEKLLTYLSAITPEGQATDIGTTMAKFPLPPRFARILLIGHLHDCIPYTVALV AGLSVQEIFIPEGVAIPAISDREEGTFRTNEDVLAETQAARARQKYNKIHQNFRSLDD ASDAIKLLQVVGEFAHDPSEQWCENHFVRFKALQEAQKLRKQITSLLQKDIPAFANLT YQDKLDRPSPKQISALKQMVAAGFIDQVAIRGDLAPNPPEMGRKPRRAIDVPYVPLHP IDSLSADDVEKCVYIHPSSSLSHYSPQECPEYIVYSHLQRASPGVDAVKIPKTRMIAL TNVTGAQLAALAKGTPLLTYGKPIKEVKTNDPNVRESFVVPYLRAESAGGQGWPLPVK KVIQKKVPGKGWVAQ PFICI_08329 MGSTDIPLDEIQWHHPQLADSMQGIHSNSVLFYFAESPFFDKTS NNAVIANQAMFNPAMYQYIQTREAFEGRLKTMSGVEFIVAEQPAQMAPGTGTGVWVIR KQTRRKRPGEEDEIIVHSSYYVVGYNIYMAPTLADILSFRMATITNSLRKCFPAADEA RTWSPAQGHAYKPQPATTNSRERQLAASKEATPLPDSQAAAGKPSEPKKSAQSSILDA RLAEHSFAVQMQYGGEYMDENPITGHPGSFHLSSTGRKERPRAPTLNPLTTNLKNPVS TKAVDKKDGTKEAKTPKTPNSGISKPKRKKTKTGLTPGATPS PFICI_08330 MDLVSSIRKSGSRGGVNFSWDEVANSAHRENYLGHSLKAPVGRW QQGRDLEWYAKNKDADAGPSDETPEERAARERREEIKRVKEAEEDALARALGLPVKQR DQTGANAIEIGKSRIGPQEAPSSDTKQQEAPERKRHRRHDDSERRHRRREEEKTRVAE RGTIVARQVEELPAKTVALIDDNTGGTTMTADGRTTDGGKHTAEIAATRSRIIALEGN ALGAQGQRALISRNGADHTRGIDDGAVALTIESVEEVPHHDFVL PFICI_08331 MDYGKLRAAALSHGEDEEAVTVDTRALIDKVLARYSGEWTTLRE LIQNAADAQATTVKIKWETLPSTQVPLPTSPDRSEILKHVLQHHTLRRLVVSNNGQPF TKTDWGRLKRIAEGNPDETKIGAFGVGFYSVFADCEEPFISSGSEAMAFYWKGNALFT RKLLLDEDQKTNDTAFVLDYRNSTTTLPNLLSVGQFLATSLTFVALQHIEFWIDDYQV LSLHKKTSPSVDVTLHRDIETTTKDRLMKIQKVERASAQIDASYMSALGWKPPVASRS NESYGMGNTEVPSLRSFFSRLTANTATSKARKVAKEEKAVQDAISEDITAVSNSSIFL RVTTASIKTSVTASFSAELERATKKPPPKVTRLAILTSSYDELQASESSTQSGALSKA IDVFASVLPSKKPGGRIFIGFPTTQTTGAGMHISAPSVIPTVEREAIDLNARWVRSWN IEMLRAAGIMTRLAFANEMDELQKKLQRSMEQPGAKISQGVVAKHMPEALHILNSFAF GESTPSAQVSQIIEEAFWTSYKKAHVEIYSTRGVRMTTQVRLGSEELTKFVPGVPVIP PELKDISFVRKLIYYDLLSEITVDDVRQELSEYPMNKDQLMAFLQWASRKAAREELSP TDRDRLLDAAIATLGDQSNQGGILTLGSIKTFIIPSKIPAGLPVPPTTIPFEFTKQIN INDLRALGWESLEIVPWLRFLLETRSSRPDSEDFTKTPDFAVQVLTVLSKGWDGLSPS SKDTVVSLLKANTVMPTKLGMRKPADSFFPTVKLFDDLPTISQQCSGLRDKFLTALGV RKTVALEIIFQRLLNPSEGQAQWSHVELIKYLASVRADIPNDDLKKLKSSRICPAEAG PPGLEFSKPSSQLYSVSELLEPNAALRPLGVKILQWPGLYRASSAEGKFLTALGLRAY PSAPELVELMCSSDKELRNHAMQYFVSNHVTNRYGLFDIGSCGKGFLPLEGKDGNLVS PGSCYTNEAAAVLGFNILRRDLHEHALKFGVKRDPPIQDCVNRLLRNIPQTSQAAITV FNYFATRVNELDRSIISRLQETPIVPVVRRKLQSDVSEKSSNSLVSHISPRNCYLGSS TTYGDIFDFVDFGQQANSFLFSLGAKSEPTKLEIAHMAAGEPARLLSVFQSSEKYLNL LRSLAEDAHTLKRDKELWRKLRTSRFLLAFKEMPTGKGNASDLDEDDVPVRQYQLASP DQIVILDDYISYRLFKDGLLCAPEEEALEAFYVALGSQNLGSLVTDDLRVGGHSESQD GAVWLRKHVIERSKIFVHEYSKHARDPIKHDIRWLEKNLVVEVVRSLALRRTLRGHGQ PNTEKKSAAAAMTNKGLVLYVASDRPRPDMYQVGHAICQHILHRPSQQAYTFFEPFLK LDLLDLRSRGYNVDRILRVKAAEARIAEEEKRKALEAEQARLREEAQQRARTQSTAEQ APTQRPSTPQERPRMPVMPGSFDSPEERTTPQAQAPAPDLPQWGRNLFSNIQKRLGLE EGRASGDGSSVDDRGKIEERGKNTKSSIQQSGLSNVQDNLQAAVNSTRPFSSSTLFSP PVTQDVQEQATYCDNIPQQDLELAAEAANGMPVFLMRNLNMNAQQFISQNHENINTFA VLIRHVGEVYSVKAKALHMFYDDSGTTIAFNSGGSIFCNLRYFNQLHAAHMTDGQKQA EAAIWWWVVLAHELAHNIEKQHNAQHSFYTESFIQQYFPKMMLKADALSPAARSLTTT PSSQPPPYSKH PFICI_08332 MRENERDWGIACHWSAPILAELMGPEKWSRMQSVQCDPNFKTPD VDAPNVYNGATGEVVNQLHFPKVYRFLRSRLRALLAEGINVCFGKRLTSLTYHQQPPS YVTAHYEDGTSERARLVIGADGSNSITRHLLVGPERAKLKQLPFNAVFINASYTREQA QFLRSFHPITSVIVHPDNLMAVLMLLDAPEQDKPEEWRFTFYISWRTPVEQQEAEAQV MGIPERLQQALDKSKAYADPIRSAHAWLPDNHDKVYWTRNMNWDPSLPEHAWDNHGGL VTLAGDAAHAMTYHRGQGLNHALDDAGKLVKLLTGSSGRSQSEIIDVYETEMRARAGE EVRLSEMNSYMLHDWSQVKQSPLITRQLAKGSRDEPPAVTDGTCEANNST PFICI_08333 MAAFAFTNCPTAAVFGRSGYNKDGDDDKDEQKLFGRSGYNKDGD NDDDDKKNFGRSGYNKGGKNDDDEEQ PFICI_08334 MTTVVLDSDAVVLYLPAPKTVTGEDVLELHVHGGNATVKAVLSA IPASCPGTIRYAEPGEFTKRAFINDRLDLAQVESLSDTLSAETEQQRRAAVRGSSGSL GKTYETWRQELLEARAEVEAIIDFSEDQHFDETPIDLLENVTRQVRDILASIDVHENA SQRTELLRNGIRIALLGPPNVGKSSLMNQIVGREASIVSGEAGTTRDIVEAHLDIRGY LCTFADTAGFRSASNDDAPIGLVEQEGIRRARAKALESDIVVVLVSVEQDSSSKFHLN YDAQTLDLLSTVGKGFLVVNKRDVLPEEQLKQLLEKFRSSVLGELNQDSALNPVLVSC RDAQNKLGDAKDPGGVHAVADRLSCLFKDMTTLPTNQQDLHGVTERQRQLLAQCREHL ENYIANTTSEDAFDELNFVIAAEELRYAAGTLARITGRSEAGDIEEVLGVIFEKFCVG K PFICI_08335 MASDEIVWQIINQQFCSFKLKTTKNQNFCRNEYNVTGLCNRQSC PLANSRYATVRAHPTKGTLYLYMKTIERAHQPSKLWERRKLSANYQTALKQLDEWLIY WPNFLIHKAKQRLTRLTQVAIRMRRIAKEEARLGEKVVPKLAPKIRKREATRERKAEA AAKLERTIERELLQRLREGAYGDQPLNVSENIWKKVLNEMEREGEGTRDKDMDKGIAS DDEEENEYEEEEEEEDGAVEYVSDLEESDDDLEDIEDWLGSDQESEDDEESASDDSED EEEQPAKKPDAGGKRKREAPARKPKKGVKRRREIEHEVEMEPRARELAF PFICI_08336 MSWYSNILTNTTSRINNLQRSFLGGETDGDTEDDTHVCRVLRQH YTEKGRPFPGWLPPDPKAPPPVQPVLAQNSGVGSRYGGLTQQGGGGSLSSLWDNNPVS QPQDSQSLRRGRGAPPPTRGNDPRNNPMNPFNSGSGGGGRDDIQARPLPSQRAGSYQS ANMLQAPAGGSAGGSAQDRLRQRLWGGSRTASPAANSPFSPPPQQSSSRGASTDDYDS RFAPGGTYDPSGGGSRGGDKPFMASNSPWATDESQMYGGGGGGSGRSGGLPGANRRAG LPSGPRMR PFICI_08337 MSDDSENDYDTITHTESGRGVKLLYSKSKVYIHPTPSSKDNIPG FIALLQQKGVRNERPTSSSSRASTAPAASDLLLAWVPEASLGDAASIYVKVDLTDGES PPKQSYLVPPIPTVTTHRGSIGHYAFAIPVSAIYSLLVRPPSLGWWFGSVVVNTRAGD SFPALFFHDSECQSTILQKKKRAKDSFDPFGEHGQMFWGGDEVLRWLRRYVKIERSEA EPNIYLVEPSKEDSEAFGGKLTSSASSPNDRRNSSRGANITGAAGTGAAGPSGQGGSD AQMDPFTKFVKETGWNIMEKFSKVTTFTRRTAQDVLENPKMPPQVRRLMRNPEVQTLQ EEFDSARIYLARWAMGIAEQSERDRNQRVWTARDVLELEDTEVGEFELLETTDMSLED RRKPVTMKEWNTFFDARTGRLSVTIDEVKERVFHGGLDPDDGVRKEAWLFILGVYDWY STSEERRAQIASLRDEYVKLKAAWWERLIDLGGEGEEGEWWREQRNRIEKDVHRTDRT VPIFAGEDIPHPDPDSPFADAGTNVHMEQMKDMLLTYNEYNKDLGYVQGMSDLLAPIY AVLQDDAIAFWGFQRFMERMERNFLRDQSGMRNQLLTLDHLVQFIDPKLYLHLQSADS TNFFFFFRMLLVWYKREFKWMDILHLWEVLWTDYLSSSFHLFIALAILEKHRDVIMTH LQHFDEVLKYVNELSNTIDLDSTLIRAEALFHRFQRRVEAVDKKQNFPKPKTSRQSSS SSAPQGESGDPSATSNGKAKEDAAPKVISPELRKLLSREVEVLPRKVVQKKGDGFTAD LRR PFICI_08338 MAIRDQLTALLSFLLLLVAGNAFISGARAEAQDETILSDAVARE NNQSLLWGPYRPNLYFGVRPRLPKSIMTGLMWAKVDNFVDVQNSFRYTCEQNEGMAGY GWDEYDTRKGGVQTIHDTQNKIDITTSFVKIPGGTHGGSWAARIKGEPRSDAPDDLKT TVVFYVTSEPRWDEIQVTDDGDEFGFDADVTLTGSSEDLGEWKMVITKGKGDHPHSNH AISQRRDLEKTIVQSLAMPEEHLWRAREVLFTQLKQGVDGIVEEFGADNAPPPWQTYQ LSNNAGKGNVQMIQKVFEGPFEFDVLFSSGSAGKDVTSDDLTREIKLTTESFSEKFLD VLAPLEPFNAQKYQKFGRSLLSNLAGGIGYFYGNQVVDRSNAPEYEEENEGFWEDTAE ARARHQEQAEGPFELFSSIPSRPFFPRGFLWDEGFHLMPIIEWDTDLAMEIVKSWYNL MDEDGWIAREQILGDEARSKVPAEFQIQYPHYANPPTLFLIIENFLEKLQKANGTQAS KRETLSRQGLHHDSALLANPSMGLKYLQDIYPLLRRQFYWFKKTQFGDIKSYEREAHS SREAYRWRGRSVQHILTSGLDDYPRPQPPHPGELHLDLMSWVGLMAKTLNTIATAIGE EADAKDYATVLDNIEHNLVDLHWSEEEGCFCDATIDAFEENQLVCHKGYITLFPFLTG LLKHDDPKLGNLLKLIGDEEELWSPHGIRSLSKKNELYGTDENYWRSPVWININYLVV VQLYNVARQDGPFKATAVDLYSRLRGALVDTVYNSWEETGFAWEQYNPETGRGQRTQH FTGWTSLIVKIMAMPDLAAPGHSHDEL PFICI_08339 MERRGGRGPRDRDYDRRRDYDRRSDRRDDRRDDRRRDDRSHRHR SRSGSPGRSDRRDRDRGGARDRGDAPSDRYRDRDRERGHGRDRDRDREHGDRDRDRDR DSDRRRRDDREQESRHDSRDAEDLRFGKETARDATSRKDLRSEHESPLPSRPKNDRNT PLSFRVGKNDEDVPRPSREDESRGRATSEDRGDAMDEDGEVDEEEEEVEVDDGLAAMQ AMMGFGGFGTTKNTKVVGNNVGGVRKEKKTEYRQYMNRVGGFNRPLSPGR PFICI_08340 MTDIYQNENSTKSYVYLAAQPDKPNIFTIADKNEHRPKRKLISH VLSDHSMRKFESSMLAQIDIFLKQILYFKSSAYNMTPACRHMGLNIAGKLGFGFDLGL QTDDTNRSLPTAITLGNHKTNACMQFTTLAVLLKPGFIVNMFTSSLRARLMDMLMSMI KSRLAKPSDAEQDLLAVYAEQSDSDIKNIKQQSLWAEAVFFFAAGGETIASTLSAAFF YLSRNAEIYEKLAEEIRTTFQSADEIRGGSKLSGCRYLRACIDETMRMSPPVPSTLWR EAVKGQSLVVDGHAIPPGTQVGVNIYSLHHNEEYFPDSFKFDPERWMPGAQPGRAHHA AFTPFSFGSRGCAGKSMAYLEMSLVLAKTLWYFDFQRASSGAEKIMGDGISGFTHHGG EETEFPMYNLFAAGHDGPVLKFTSRGTWCDELQ PFICI_08341 MRKTSFFSPLMLASCVAALPVANDAAEYEYVIIGSGPGGGTLAA NLARSGHSVFLIEAGADNGDTLLQQIPAMADPVSEHPEMSWEFFVSHFQNDTQARRDS KFTYRLANGSYYYGLEPPADAEPLGIFYPRGATLGGSAQLNAMNFALPPDNDWEYIAE LTGDESWRADNMRTYFTQVENCTYIPPGTEGHGFEGFISSNRNNISYVTSRPGVVEVL QHAFLEAEDIDITSPEQIGELMERDLNAADAGRYARNGIYQLPLHYDEFRRRDGSRNY IVETLAARSPTDDSALYPLTLSTNSLATRVLFENTQDGRPKAYGVEYMVGEGLYAADR RYDAEAAGELRRVTASREVIVSGGAFNTPQILKLSGVGPRDELEALGIDVVVDLPAVG LYMQDNYEAGVTVEAAIPWENNPFAECLFNVSDSSDPCLVEWQTTHTGPYGEGAAPIS MLYRTSVSENEDADLLIFGAAGTVFRGFFPGYSTAHYPAESWFWSIAKMQTPGDQSQG TVTLRSADPRDVPSIDFNWFTEETRDRDLQALEEGVAFAMRMFNATGPPYAPFTVVEP RPDVGDTRQAIVDEAFSHHVTSTCRMGPSAEDASQRYCVDSKFRVHGVDGLRVVDASV FPRTPGAMPVAPTFVMSQKAFNVIMADI PFICI_08342 MDSMTDRDKLALLAKQETTDGPHNVFDISQFEHQVDQKVSPKVI ERLQKEFGNDMAGAIDKFFGMKNKSPEQEPPNGKAETDGESGSESEGNPTPDLDNRQE IVTRDRYWDRFKRQFVLKKPAKPKNESRRYKDCVIFVRRDYNPEMTDYQTVLIISGEV LRNALRNIFRGAAGFGLTENIITELDPSFMFWARPEFELLAKHYHEVGDKTAVFEMGA AFNFIDTQYGEMVSVLDSLLPHSITFEYLWAILPPDCLIVGTDALDFQSIWCVRSHSV QCIQGQNFLIMEAENIIWDGSKAGSVHQMLRIPMFTGVKLIRDLPYIPLDYHPQREEA MKNVLKRSVKALEFWQPHFRHLEHQGTGLAKVHDKVDYYPFSGRVVVDPKTMRRMDPS NPVMPHSSAISNLRKISRVSMAEFSMSHEGPNTSEELLKELLKDASDAMLEFVGGDSG KHNARNRSYGRGRPPPRVPGIMRPPPGLPPFYRSSSSSSGSASSSDNETWTSLPASRQ NRSTARRVQLSKKQMLLVSGFVYGYSLRDSTWGAFSVDRVSEIDWNETIFDSLVMEKS LKDVIYQLVVAHGAGNSDFDDLIKGKGKGLVGLLYGPPGSGKTLTAEAIAETAKMPLY AISSGALGHEATKIHDKLSSILKLASHWKAVLLLDEADVFLAQRTTTDIERNAIVSVF LRELEYYQGILILTTNQAQVIDEAFQSRIHLSLQYFSLDTASRYRVWDSLMENARKSK RIKVDVTQDALQTLAEVPLNGRQIKNTISIAVNIATTSESQVMTAETLSNTARLLQNS QFRRQESDHGEGSRTLAKGVLADSGDDELATNTVRQTTNSTAKENQFMISPRTMALLI GFLGAFLWNTYLT PFICI_08343 MAADFLGLRVIWPPDGALPPKHKYDIVFVHGLHGGPISDWQNED GVCWPLAQLPLDLGNARILAFGYDPNRLSIRSDDFYQGGIVFEPGQTLRMALMRNRMS KKIQVPLVLIGHDVGGLVIKNALCSSHVDKDAVVLKKTKHVIFLETPHSDLSWDTWRQ ISMASSSQDSIGRWKIWSAVVESTQKAFLEIASRFNITTGLVGVNNDTADVVTNIESE AFNFASLNHVNRAFFPGADHRSISKLCRGTANYDQLLRCIQEGMECTTQLPEDIQRVR DWLGRRPDTLNKIDYQKQLDQYHTGTGKWLLELELFRKWVTLESPSPILWVTGPEGCG KSVLCATTSQWVQRTSQKPAVVYLMLKFDHPRSEYQLLVQIALQLLDYLVERGHGVDT EVLSGLNGHPDGHEKMLKVQDLIKCLIRQCHIAFVFIDGLDEVSLELQGSSQGKATDI DLPKQQLHSTLTFLVGLTKEGGEMPLRIWCSSNRSGEMGKWIDECGALELPLDAQSLA GDVASYAHHRLTGSLEGEMPKVVGSEILETTSDNFLLAATALDRLQMSMLSDTSSAET KQLKMPSDIREAYAESLDLLLNGEEDSSIPAMKILSLVLFAQRPLKLTEIREALAISH KPFKDYAPIGFHFDGNEIQKLCGPFIHFLPIGEASEDGYLRLSHASVFWFLRGQLEVE KQRLQLRPKVIADACLKYLSQRRYAKPMDSLKTASLQSHSFLAYAARYWHKHLNESGA ELLAKVGSFLRSPQFLSMIRFQSLHLNGSFRPNGKSEKEDEKMVARRSRLHTNPSGEE QLDNLIGDYQAFLEEWTNFLHSGILRPPRSGDIDDCFWGALGHNNFLHTHGTRIERNK SFLLEFDLGIAAQDQASGSYDFQETISDDGGRIAVLRVPIQSQAKVVRESWLVDGKRP PSRYGQQEVLLFDPEQVLWSSYGASPETKLSLVPIVDPSQRVSAMHETQYGSAVRIGC NLFLRGNRGNWAALDKKDEQEKTYWDDIVMNDSWIFQSRRRYVGLKQPTFTSAAYQTK SLDSDSDSISDVHTEDEDSQPEYSSVGPDQVSSAEEFHLDTASEIDSSDEASQDSALA SGADTADQSDFESSSELSSSSGSSESQSSSSGSSSDSDSVSRSPNKHIRKHRRRAPRK ASSSRSKRVSSPSIVERQNINDMHTPDDSDADDEESGSESDDETNSQPHAGPKALKHL FSYGRYCDLCKIPVVHDTDLETNSKCSIYYQCFPCGKDEWDAFDLCSACFAKGSWCKN QNHVLSRATFTHRDRRVRWEDGITQDTSMPVVDILVEPRHSDIANPFRYTHRHSSSLH DSSAILHPTLPLFIYPLDGREFLFGDLQKKTYFKFHVPFESCETSETAGNTCIPIGVS MRFSSCGRFVHVARFTGRSTLFAPLRLFVLFATMALSPKDTCSRKPRILDRRHGVDLG TWPKVVTHLPYVITWTEKHAHVSLTGDRLRVLRFPLHAPSGDTSDDVGTSDTEVSVLS HQVALPCSAQSRSVYFFPARANSPAVIILGSLHGRQSQPPVVVYLDPDALGTWKSLRK DEFKHNLTSREIHEAHVDEPDFHDDCEKTIDTTVKYDYYTLQPNQAKHFQDWLKNAFV ERSIFCPSCFDLAKKLTFLGLPSWLRRFDVDAAMPDEMKTQIIWEVTLLDLVAAIEAG CQFCCYVAIRLFGSLRARSIGQLLGESTPRCCALGSSTDDGADELQNIIVRLRSAARA VGTEEQVMGLICRPLDQDPDTRSFSKVSLSLGDGGEKSELSFLPNVSFTGTTEDGDKR SLMWFVNPPDSFRRTTAECVLELYSLPDNILQQWIPAHPLTTFPGGGENLRLVKSWIH TCDSSHSFCQRELEIQAELPTRVIQINDDRTIQLVETNGQPGRYVALSYCWGPDPSNH SPTITANFRARCQPGGLLLNELPSAIKDAISVTEEIGIRFIWVDALCIVQDDLQDKEK ELGVMNQYYKRAYLTIAASTPDCNSGFLRQMGRCETHTDFPLPRDLVPLDVFCLERDR DSGGSAKVYVREENPYQLALEPINERAWTLQESLLSSRVLFFGHRVIWFCRHGTHSDG GSEDWSFDENNLESTRREFQMRLATRRQEENNRSHTPNSDDDRDMYDIWHRIVGNYSR RAMSRPEDKLPALSGLASEFAAMCKDEYIAGLWRSNLLRDLLWSTRDSAMHYPDVWRA PTWSWASVDDTVLYDQLPPHDAASLATIEEVHVRPKSSLVQFGEVQEGRLVMTLPCLA YSLSDEDKRAEAFKVWTSGYGSKSLLLSERDWVLEGLRNSARTGVVPRQSDDEKKHQQ LPDTIVVALVYRKRDELVQSNEGLTATHGGTAPEKWTAWGLVLKPVEGTSMRVFERLF AFSKSLTFESPDSIFKDSIKTIEII PFICI_08344 MGTSARYWPGGIPDTIRFHPQPILSSLKQVVKGWQLFLEENVVP RSSTEQDHAFETRQRQKLMQQWVSLTQAERDEYQSCAPVRPKESWYPPALRSRTGAPR ETITMVNCIAPWPLSPRNRALWTKLRIMLYCLDGDSGALFGEHDGEVTAPEPSPAGPN PLTPQEFLKWCYVENANFDHIAMTSDGTVVCHNWQGILLFADQEALDTGLMLLCHIEN NGQVMCQGRVWPVLMKDAYVAMMASGKPVEAILDQDMFKGPVEFRRVNMEDPIIDILE SQSAYLNNADIDVWEEAIDRCAPGYLEMEEAAEGMVFDYDHAKFRSHKELEQMPWSDE Q PFICI_08345 MSYSNGQGWTPLHSATRFGHIVIIPLSLERGAEWSAVTRDGRFA LQDAAWKGHSELVQQLLKNGADAMARDSSGQSALFYAIMSGLNKVFSMLLNHAPALNE VRDHSGSTALSVASRLGKFNMVEMLVSLPNTNLAFRDSLGRTALWWAQTQEHDSIAEC IIRSAEVAGVSASPLGLPIGSRNFLIRNGNYCMICKGNIEFRSAFYLCRIFYDGRFLI CSDCKRLRAHSTFKSHVLVPF PFICI_08346 MPEPGDYTIGWICALRTELVAATAFLDEKHDDPDHLPANDDNAY VLGRIGKHNVVIAALPHGQYGLVSAANVAKDLLRSFTNIRIGLMVGIGGGAPSDKHDV RLGDIVVGTPGNGRGGVLQYDYGKTVQDKDFQMTGHLNQPPQCILTALTVLGAQYEVD GHDIQAQIDRVLEGKPRLRANYQKPNAILDRLYSPSFTHAGNDTENCATTCDDNSKLV TRLERLEGHDSPVIHYGLIASANQLMKDAHIRDDLSTKEDILCFEMEAAGLMNNFPCL VIRGVCDYSDTHKNKVWQGYAAMAAAAFAKDLLCKVAPNKVAAEKKLNEYLSDVDAKL SVISDSLSQSQTKMEALHTDKHVERIVRWLAAPEISNNLNAALEIRHQGSGQKLIEGE AYVKWRKDAGSFLWLSGIPGCGKTILASTLIQDLQSNPQSSQNLLYYYFDFTDTLKQM FKNAIRSLLTQLYLKSESAKITLHSLYASCKNGWEQPSVSLLCSTFATMVEQAGEIWI VLDALDECPRRYDLLDWLRDLRANQKNAHVLVTSRPEQDIKSAIDRICTNQEIVTVQN ELLKDDIRSYVQARVKEHESLSRWRNRPDIQEEIEVVLVDKANGMFRCVACQLDALEK CCDPKTLRSTLVSLPKTLDETYERILARIPPEHMCHAIRLLQFLTYSERPLRVNEAVD AIAIDLGPEVPRGSRFHSQNRMPIPAEITIYCSSLVVLVRRELKRSGYRPKYILEEIQ LAHFSVKDYLISDRLANGAAQHLKETSARSLMAEACLAYLLELDPKVAAIRQKTAFPF SEYSANYWASYAMVGEQNSTLVRKLAMELLQSPESIDTCRQLQTRFWEPPVERNATAL YYASLLGLPLCVDMLVEQGADINARGGLYGQALQAASANGHRQVVQLLIGNGANVQAC GGHHGNALNAASSGGYAEISKLLIAKGADVNTEEDGHETALQTASAKGHVEIVQLLIE NGANIHAQGGKHGNALRAAIAGRHSQAVQVLRGNKPYAHAQSTADGKKSYLDLADVDR NGSELLRSAAADGDTGLVDMLLDLGVDCNTYAHGWTLFHWAAGAGHVGVVKLLLDRGA DASISDNFGSTPLNVAARKGYVEVVKLLRSRS PFICI_08347 MAEIAMDSQELPIWVAFTGNSRPLVFDLSGAVPFELYLTARRSA VDETDPRDLVLLKTGSVFDFSAALDKGLVELVDDATGAVIPRHRSTVETGAEEVTCQS LDDESFIRLPTDVQRRDRPTQKLPLNVASCLRAMVESGRNYHVRLHGKNLGVRWWKWA ENSKLYIDGSELPPSEHQEIVSVGPPRSKTFTVKSEIPIPPKPYIGLSLAHTGESASD DASSPILQITIKNTSERPIILKTIGDQRHLKEPGEITNPRARVTHERPNLQNFSIIDQ ETKEDLISDAPTFTTPVPGRGRGWPRKQFLALAPQEQVVRTAKLPGHRLVPGRKYNIS LRSTGCWWTYGTLDDLFGKGNNVFQTWPPGPKVPMPLESEDVVVVNCK PFICI_08348 MGIPRILFLDAYDSFSNNVVSLLTTLLGADVDILHIDSPRFDPK SNDFYEKWRQELQHYDAVVCGPGPGSPTNDADVGLIKHIWELEGNHLVPVLGICLGFQ SLAASCGAKIRRLHGGGLHGMIREIDFCDTLPCTEDNIFQDVRPFKATLYHSLCADFG QDAATEADWTTLKWQRPPSLDVIPLAWVEESRDTSSERILMGMKHASKPFWGLQYHPE SVCSEDAGHQIISNWFNQALKWNQQHGRTVTPRPQASITGPTTQSLLKQSSPLKDEKD AFGSLSDFATDSKYLSTTIQLPTHVEVPDIVELLQSNSRDHIILDSASAHTNRIGLDV RGRYSIIALDVEDSLRLEYHVGNPHVCARMPASLADEQHGTKTIPLRQGQTVWHMLSD FLSARIINAPDAESPFLGGFMGFITYELGLECIDVKTVESRSHKRPDLCFAWVQKSIV VDHLEGTLRIQYLHSSTEEANEWIDSTSATLQSAALWEDGLRINDTKLKKTAHLTPPI TPTGVANAAAIVTPDADTYEDKVRTCQEYIAAGDSYELCLTDQTTITRAVPNPATVGT MASSNSWAPSSAAKTPDSWHLYRTLRARQPAPFGSYICLGGATLISSSPERFLEYDRN GLCSMRPMKGTVRKSPTACATLAEAERILHVPKEEAENLMIVDLVRHDLHTVCGPGRV RVPQLNKVEEYASVFQMISVVEGQLPSGPSHQPEQDDGAYYTGLDVLAASLPPGSMTG APKKRSCEILQEIESHRERSLYSGVVGYMDVTGKGDWSVTIRSLFRWDDETSRSSTTG SEGGETEVWRIGAGGAVTALSTAEGERDEMFTKLKGPLGVFEERS PFICI_08349 MGKLIRLELFNFKSYKGHHTLLFGDSYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSAHLKDLVYRGRVLKTSKINDDGSADTPAANGVANGETNGIDQS EQRPTRGDPKNAWVMAVYEDDAGDEQKWKRSITNQGASEYRINDRVVTAQQYNEALEA ENILIKARNFLVFQGDVEAIAAQSPQDLTRLIEQISGSLEYKAEYERLQTEADQASET TAFQLQRRRGINSEIKQYQDQKREAENFQAKTDERDKAIVTHILWKLYHFQRIMDESS AQIQEHQENLKEFRRNVEKFEKDLENARQAQSKVNQEVHKVERHIKRQEKELEEKENS LVPLEEKVQQTNQAVAQIRARVNDVGADRDEQAKVIAKVEKDLARVQKAQKQFEDQWK ETMKKQGKELSDADRKEYNSLRAQAMAKSSENQAKLENLIRQLKTDQVTVNSLRGKVE NYEAAAEKLETELAAIKERRNTVQDFVKQTTGEIEAKKKEFNRIQSERVRTNQRRTEL DEKLQDVLRKLIEADDGRRQNDKEARLKEMVTSLKRVIPGVKGRIGDLCKPKQKKYDE AVITALGRDFDSVVVDTEKTGQECIKYLKDQRFPAMTFLPLDNIKVNAVSNAIKSIQG ARLTIDTIDFDSSLERAMTYACGGSIVCDTLDIAKDVCYNRRIQVKAVTLEGFVIHKA GLMTGGRGPEHKGKRRFEEHDVQNLRNTADKFRDEIEKLPKADRRGAAEESLQSELSG LEQRLSFARSELAAFDQNQTSKKKELENVRRQLKELQPKLKEEDDKLQKTRSTVDKFK KAIAEVEDKIFADFCKRLGYADIRAYEAQQGSLEQEAAEKRNEFELQKSRLNGSLNWE KSRLADSESRLKRMRDQLQRFQDDIEKYEAEKAEIEEAMGSDQDALAALQEQYEKLRE KLSERTQKVNDAKADVQKRSKDIEGRQKAISALETEVQKNSAGKFALLRRCRLEQINI PLSEGSLDNLPNEDNLLQQDPDAMDVDEAEDEAMEEAMNDHGIEIDFEELPADLREPD DETVEDKLQSKISSLTSELEKLNPNMRAMERLEVVEARLQATDQEWNASKEAFKVARE AFDEIKAKRFELFNKAFSHIQEQISQVYKDLTRSENYPLGGQAYLDIEEDTDKPYLSG IKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALDNTNVE RIKNYIREHAGPGMQFIVISLKTGLFQDSESLVGVYRDQEVNSSRTLTLDLRKYL PFICI_08350 MSASASRSDNGHTDSDPVLTPDATASPPLSTSSPEDAVVVSETP ILGPSGSPKLSRNPSFSGSSSYQEDWEAFPPLDRMTVLDLLDNFALPQQLEKIQKGIT AQTEKVRKSRDAIKSKSHQARERMVEQWRRRVPSAEEQLDKYRARMKKNVDRLGRQWN DTKIITAREKVSFIFGVMNIFLSGYLIGAYPEYFHLWYTAQLLYFMPIRFYSYHKRGY HYFLADLCYFVNFLLALAIWAFPSSKRMFVSAFCLAFGNNAVAIIMWRNSLVFHSFDK VTSLFIHIMPCVTLHCITHLIPEELQKERFPALWTVKTSPPGSPTAYANIISMLAWST IPYLFWQLSYYFFITVRRREKIAAGRPTSFTWLLKSYSKAWIGRLVLSLPESLHEPAF MLIQYSYAVLTMLPCPLWFYSRWASAAFLMGVFTWSVYNGSTYYIDVFGKRFQKELEL MKAEVSKWQNSPDLILLSPVATPADEKKGRAPALDASMEMQARERRTVMEGSVRAVDS ATGAHLDVDSIPLLNDESAAEAKKTS PFICI_08351 MTIAPGCPAGIAPSKRAVVEIHSPNTATPARISTPPPVSERGRL EPRINSDIGNAKAVRHSRGTTSSVSGIDPEILTKALSRELSSERRESTPGASPSRKRQ RINGDRFIPSRSGQDLHASFSLLHDEGSPATPSRQKKRTPHGELHFQRTEEANRTFST LLRSELFESSIPQATPPAMSPEASISNHSTRVHDPTRSSTPPNNNPPSSLPSSFTPST PHKNLFSYLSPRHHTNVAGHPTPSRTPQSRHGPNLDTRSEIYSLSPVRFGSQQMLLSP RRQPRAVSKVPYKVLDAPELADDFYLNLVDWGSANVLGVGLGSSVYMWNAQTSRVNKL CTLEDDTVTSVSWIQKGTHLAIGTGKGLVQIWDAERSRRLRTMTGHTARVGSLAWNTH ILTSGSRDRLIYHRDVRAPDQWFRKLVGHKQEVCGLKWNCDDGQLASGGNDNKLMVWD KLSDTPLWKFSDHTAAVKAISWSPHQKGLLASGGGTADRRIIFHDTVRGTVINEVDTG SQVCNIAWSKNSNEIVSTHGYSQNQIVVWKYPSMTQVASLTGHTYRVLYLAMSPDGRT VVTGAGDETLRFWTVFGRRPGTREDSDGGGGRLAEWSVIR PFICI_08352 MDAMEMDLDVEMDTEFVPDQPIAADAQDTPSPGEVVENDIQDQP QSNAVIPNKVHLTGGLDNLNDKEVRAYVKEHTDVQFERLEWIDDNNLNVVFPSDSVAQ DALLALASVEIGDVSLLPALELLPAKPFSQKAGISLQVRLAVEADKKQPHAAEKSRFY LLNPEWDRENRMKRYRDRDGERGGRRRGRREDEPISHFDVNLYDDDAGALATRETAPR SRRRRSYTPDYDRDDDRAPSYRSSNHNKELFPDSGSARDRSASPGRRDRDRDQRMDET PPSESSAQRNRRGARAIKDRLVSSNRAKELFPSSAPLEESNRLDDADELSNRFALPLY DGSHDEQPVSRSKRVGERNPAASSGRLADRVTDPTDSSGFSIRGSAGQRGADQGFAIK GGAKSARELFPDKLGGSNAGKELFANRVDGRSRRRQKAGDLFD PFICI_08353 MSAHSSPPPAQGQLAPDIDETKLPSGQCRYILLNPEIKGHRCGC VGFTLNRSVPGVSCECGHLSCYHIKAPEQPPDQAQIEQLVRRVRALEEQLDRENQGGL GSALSTVINRLGDLEEQYEKSKEDFGQENRNIYRQITSVWQSVDQAGKRQVEMHNRLA VYDERLEEHDDGMRRLERRLMESDDAAIDLEERMDRLEEADQRDPRPRRSSSSNSRRP DVLSLTTDLQRGRSGSREVGRHQTGNLPLATQMTNTTAAGVVRPWTVHVSLMPMATVA FPFEKDTNAYKRCLSRGLHQMIAVQGTDSESFSRAVAAAFGSLLKGRPWMPLQARLCE AETLLGLPMLRPLEPTLIDAKNYDQGFLSTHCAVCGPNGKMDSLYIAMQLDTFSWHFL QRSPCYLQGLEESWAYDPLLDRNDPIEDDSMDEEDRPSAGDILQPLPCLKRTSSQISR TPSFSAGSGEGEGSRSKVARTGALAVPVPLELRRRAEKV PFICI_08354 MSSDPAAALTSLLRASSIQDHDEILKAANAAIKASKSNIQAHHT RVVALLKLDRFDDALRALADGGDKLEQECLVEKAYALYKSGKLNEAEETIKLVSPATR TSRHLAGQIAYRAEKFRDAAQVYRELATQGDGIPGEENDLNINTLATSAQLQWNGLGH LVDEEHKQPSREDLEAFETAYNAACGCVARGDLSKASVLLKRARDLCEASEELSEEEK KAELFSLLIQHAYVLTRLGKEADAIALQKSLILSEITEPSSRVLAQNNQTAVASEPQN PYLTQRWLESTSELSGNDKLFGYQAAILRRNQLALSLQMQKFDGVAKSTNKQIQQTPT PTASSEIAGLGVVNAAAHAHLDTGKAAIKEILPMLEKRPADIGLLLTIIQLYVQTKNP GPALGLLEAFLKRIEQATTPDHNDVRFSPGLVAVTVAVYRLFGRQNSVRTELARAATH WKSRAKDDAPSSLLREAGVELLKSSNTEDLALAGATFEDLAANPTNDTIAAAGLVASF ATTDYAKIEPYLNKLTPVDKLTSGTDIQALINAGVASVATASTQGTKRPADAEPQKPH KRFRKKKLPKDYEEGKEPDPERWLPLRDRSTYRPKGKKGKKRAQEATQGGVVKEQETL ELVGGAGAVKVEKAAGGGGKKKNKKKK PFICI_08355 MPFLRRRGVMASENDMRRHTFIEPDPPSQSGLAPFKPKPSAPRK SLGNDLLDPNAAANGHRRTSVDSFTAANGATDPVNGERSRTDDTTNDENNSFESNMPS LSTSMSIGRPSEDPFDRPESPPIQEETPKHRRFSMLRFRNASDSQLSLRMRQQQLAEK PPPLPTQPPAIITTAPTIDSSKSPKKSRMKLPIKIRRSGELPRPSQDGGHLGVGLGKK DRRKSMAGVVEEVLEARNKQNVSFQDSRPRPYSSKSHPGPRNTDQGNSALSLPANRLS ESERSEASSGEHSGGFGNTAPVTNTSSTSSNIFRLGRRKHKQPEPMFPLAHLPQQRKN STLQPTISNTSLSNVPATSTQRPSTGNGRYTPVASPSFGSSSRAPGSPATALFRPGSR NSGQGSPTRAQLGLRERSSTMSSLGRNSEDHPFPGTARTSTSIGRKSFGDLLGLGRLR NNPDLGRPGNLTPATPGSLTSKNNSLQLPRERESVVLPERREDDTPAKYLARLEDVLN RGVIASALSKGSDPWSASVLRSYMRTFGFFEQPMDMAIRKLLMEAELPKETQQIDRCL QAFANRYHECNPGIYSSPDQAYFVAFSLLILHTDVFNRNNKYKMQKADYLKNTRGEGI FDDVLECFYDNITYTPFIHVEDDFDINGDPVAGNKTKKKVMFPNGATEAPKRARDPID PYTLILDGKLDTLRPPLKDQMPLEEHYNYIGTAKELNIKELQKTFFKTGVLQIVSARS RPDAFMTEKTLSNPQEAEPGIVDIKVTKVGTLWRKDAKKKKTRSPWQEWGAILTGAQL YFFRNTAWVKSLVHQYETHVKSGNDGIPLIFKPPLESFKPDALMSTEGAVALFDQSYK KHKNAFVYVRHGGFEEVLLAHDEDDRNDWLAKLNYAAAFRTSGVRMRGVVGGNLEGQG RRAIRRLDSSEVTQVIQTPTGEVSINRSRIDHQMAHDILAARRFMMSERIAEGEDKLQ AAQKQLDDQLRNARHLLLLAPIQEKTREQVRTGAAKIIAQLRWSRTEIWRLKCHMDIL LLDLEEDKHINGDLEDSEHEPSVASDGPSLKHKESLASSHNTMQQSPRAPAPLSLALA SGDVAQNDGESPMTEVFQTPPTSATTPVFPKPQPTLGSTQPHSETTKPRKASVSSAAS SAMAAMSPPRQISSPGSDPERTPYDELNEEDANERQVLEQAGLLDTQLSRTSDRPGSS RGEDTPERHKRSSISASDKDRTERSKIRRSLQRTLRESTGHLAHHRSKKGHDSASSGA IADDMARDDVLTRGTGSFTLHGKKASVVNFGSDLQGMSSNEHIRQRKQPLSEELTTSP LSADDDAESILGAMSLTKERRESAASASTATARSFRELHRKYSSAQNAHRVASGGLVV PSDDDSDAAVSFSDGRRTPLPPVEDGSGDDDDLSARRARAQSKQSVYFTPEPPSSPVE EVSEDEDEDDEEEAGTQHAHEHERFSPPAQSVGA PFICI_08356 MRSSLFLSYLLAACVPPNSPVVAHALPPQHGDSSPFAPRASTTS VKGSWLNVWPLSLIRTTLTDDDVIPLKPNYDRQVVLRFNVSTYEHEAAFRRAADQMLL DIWDFGPSYTDIRIPKNRIRGFLNMLPEPMRSDHMVLIQDLARAVAATYPAPKDRHDF ASAVRQGQTPADTRNRGQGVNDLFKKHQMDDLFFKDYQPLETISSWMQYFASMFKYQK EGLVSLISIGKSFEGRDIPALRLGLDTQRHHTKKSPRKTILITGGMHAREWISVSTVN YLANMFASKIGKDDVVDAMLKHFDLVFVPVLNPDGYEYTWTVDRLWRKSRQITTMRYC HGYDLDHSFGYGWNAVEHQSDPCSESYGGNEPFQAVEASQLARWAANETNHNVDFVAY IDLHSYSQQILWPYAYSCDAKVPNLENMQEVAMNIAKYMRLLGTGEYYSVGSACESAV SGSAPTEEGMESVQNRRIEAAGGAAIDYFHHDLKARYSFQIKLRDTGSYGFLLPRDNI VPVGDEVFEAVRFLGDYMLGNNGIEMVPDANEGQQQTMPSESEPSGFELRRRKRR PFICI_08357 MPFALELPSEYGYVLTVASASFFVNLYHSTLTSLARRDSGLKYP IPYATEEQAAKSPAAYKFNCAQRAHGNFVENFGPFLGALLISGLRFPTVGAAVGAAWV FGRALYARGYVAQGPPGRMMGFGIFLLSDVALKVMSVWTGVQMILESRA PFICI_08358 MDLAQTLVRSVVRAFYDPREVDTRHIVIVDALITHSALRDDDLS YLMAQNTKDMTKICANLQADRFIHQHVRAELREGQQKATSRKYYYIDYRQAIDAIKWR VYHLDKSVQGNAVPAAEKKEYFCTLCKSDWTAMEVLDNSSEKGFLCHRCGSVLIHDPE RQSGGHEQSTRLNNQLKFITDLLQQLDCVEIPNNTFETALEAARPVVRDATNQVAPSV VVESTDKPTAVRGMADTGPKSIAISITATNGPSDAEKDAEKVRKEKIAQQNALPSWHT SSTVTGISYDATENTSLVGKTEEEKKPDLDSIDSKEDTAASQAYWAKLAEEAEAERKA AEEDEGDEDDEDEDEDFEDAIPASVNTSFAGEKRVVSSGDTSAAETPASEDRPAKKVK VEEPADDADSDEEDVAFEDV PFICI_08359 MAELQMPPIYLLATHIEPDVIPQLESQIPTLTFDIQEAEIILGK ISKKERAMFELRKRGIISTEVVANATRSSSPPAKRRKVSSPVPPTQKHDDSGSTASEN EDDAGPEKPNVQPPGRPSTSCVASKNAIKVVHLSWFTDSVSKRQVLPLQDYIIYQGQR KTSETTTSSSRPVIQNPEEIVSRAKEDGNSTPRLSSSARSGFVGSSSTVSKALLAPKR PALLHETTSEHEYEHDLPPIPDYLNTIYSCQRPTPFNPPNEAFIDQLKKVRTIRALTG DKVGVRAYSSSIASLAAYPYVLRGAHEVSRLPGCGPKIAVLFREWNDSGRVEEVDKAA RDHYLGTLSLFYEIWGVAETTAREFYNKGWRDLDDIVEFGWDSLSRVQQIGVKYYDEF LSKIPRAEVEAIANTILERANSRREGFQMVIVGGYRRGKEASGDVDVVLSHPDSEATH YFVEELVMSLEKDNFITHTLTLSTKNSERGQAPLAWKGGDRKAGSGFDTLDKALVVWQ DPHWDKEKFARNPNPHRRVDIIISPWKTVGCAVIGWSGGTTFQRDLRRYCKTVKGLKF DSSGIRSRADGHWLDLESHEGRPAPDLLAAEKRVFAGLDLEWRPPTQRCTG PFICI_08360 MSDRPWLPFGQRPRDNSSSRSPSSPPSSHHSGTLDSEDNRRPGP SRTTSLLSLGSLFPLSLDTAAPSTRTAEADLLVNDADRVWHRPSTLQMLESLQVSMMS RRADAPIPLEHNAYVLHLIEAFRGMQAKINTANSAREEAQLKYTRMNQDLQAVRDEWA KREEGYRADIKRLELIIARDSRDGLKAVTLARANSVVDRQGPNPQQFIQKLEIMRNQE EAHQPNPVRHFDGVDDDNDDFSAFLGHAQLARADTSATVSYMEKDGRASTGDPSGRFG KLSNPFNNSSSSYHGFSSKDAPYDYFSQAPYNDVDTVFLHLEADNLTIQ PFICI_08361 MDVVTSQRLAAKDKEANCRAHSSTTESYQLSSSRSEHDIVDTHE NRTRYKKLGRFNEDYGSLSSSSDSAEDEQELTSIHLSNSHQHLLDHDTTRGPGVEEAR VLRQPRRVTEEESRRTSRQRFSFVPGDDGASPSANSQDMSIEHDQMTGVVGTSDETKV QQKDAKLHHSKARIP PFICI_08362 MEHDPTMNDPTMDHQRRGPWSQAEDTLLMNLVTQNGASNWVKVS SMLQTRSAKQCRERYHQNLKPTLNHEPISAEEGELIEHLVATLGKRWAEIARRLHNRS DNAVKNWWNGSQNRRRRHDQRSSKRRSSYDSNESYRYSPYRTHMQPTEPYSPLHRQHQ PQPPRPPIEQLHRPMYYHDSNHAAAAAAALPSPTDSWTGGNGQYPGSRPFSRWSQDDS QSPVGEADMSEAGSSYAGSPTSHTSDAHLPPLCVPRESRLYPAQPSPSGYFAREESAH WQQLPPIRDALDRGSHLLTAPSSPGTMPLSSQQVPRTYSYQTGPRSPSSSRDRGDWAM CDTNTRMHPNPDPAPTTLSAHDSKMKMKLGNLLS PFICI_08363 MLPLVQVHQAVPTPNPNPFETNPSFRQQSYAYHNQFDQGPKHGL LAQHRAFPIRASQPPHSGHLSCGPSSNENYLRRKTPNGIIDAAYDGTHAQLATGPPPL KHMILSGAASLSSTPAASTNYDYAAAGQPAWLPRGAAAAAAAMATGFSEPRGFNTADM NSFAYDMNWRQGPDHGLRPAMAVDSAPSVPSGAYYPYNNSLRVPAVIQPNYQQSPGPT IFNNGGMAPPQAWPEMNAQGLEATAFGIHAHQYIHPQAPYPSMPMFQAAGHGFRPDLV QNNLQTPAQRLESLTLDSSAYNGTGSRMLDSSNSVGFREKALSNAHRTYVELLAHLHA HRKSASARSSGRSSSKMIIYPKVPSVPKQTASTFSRHQSFGHANSLQHMTPPVSQLPR GHGGSYDPLLNVTAGHAARLGEVHNASFHQLSHGGPVTYHSAGLQRPMTGGSPVHSAM TAANVLHHLCEQSDWQWVDGMLLGGCLYYGLEKYEEALEWFARVLVVDKSHIEALCNK GATLYCLHRNSEAEEHWLEAVKQKPSYLDAVEHLVGLLCSAQRSREAVGIISSVQRAL RIQDHSNTGHQRIMQGADLHASATENIPTDTAIVDYLVAREPVPPSAQPGYGSSGYRV PGSENGRMVALVHAKGNMLYALKEIGKASEAFEEAVLISAGRGVKCVQSLIDRIHYVL APRNANALLGHGQETIPAGPLLLPPEKARHTAQLVFTNNGELPGLRFVPHGVSKKSAI STTSNSLLSLAKIFQDGMSHGGLIQARMRQPPGVGDILALYYLSLSLSESPSTANNVG ILLASVQQSAIPQPQALGESAAATYSIPGIVPGSGLDLALAYYKYGLELDNKHVHLHT NLGSLLKDVGQLDMAIGLYEQAVKCDGTFDIALTNLANAVKDRGRINDAVMYYKRAVQ ANPNFAEAVCGLSTALNSVCDWRDRGGIVFPGYRYDRWHVDEGGMLRDARNTAANFGL VSRVADIVSRQLGESSHWGAGVLRNGTLEAIVAQLRAAGADRTDGELNLELEVQKWAG RSWEGSRLLRLLERSIKATTRLWYQDKYIRGRVSEVGYPRPRIPASLTVPSAPTVLPF HTFTCPLSARDIRMISQRNALRISCSTLRSPWLPNSVYPPPAPPQPHLNVGYISSDFN NHPLAHLMQSVFGMHDIKRAKAFCYATTASDRSTHREQIEREAPVFHDASSWSTERLL DQIVKDGIHILVNLNGYTRGARNEIFAARPAPIQMSFMGFAGTLGAEWCDYLLADETA IPSSTLRPVRSNISLEDVFRDEESAEEEDWMYSENIIFCRDTFFCCDHAQSSGAEERG ITWEEELSRRWKMRKELFPNLPDDAVILGNFNQLYKIDPATFRSWLRILSNVPKAVLW LLRFPELGENNLRRTAKQWASEEVASRIIFTDVAPKQQHISRARVCDLFLDTPECNAH TTAADCLWSSTPLLTLPRYPYKMCSRMAASILKGALPRGKEGARAAEDLIAEDEDDYE ALATRLASGLSYKMTNRSYGEGQGRLAELRRTLFDAKWTCALFDTRRWVRDLEDAYDE AWRRWVSGEAGDIYLSDVKTVAKAQGG PFICI_08364 MPPKFDPNEIKVITLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATGDWLCSLVEKEHMIWLTRNAIQQKGLRVTVQLTIQNRQAAVSVVPSASALVI KALKEPPRDRKKEKNIKHSKSIPLDEIIEIARIMKHKSFAKSLEGTVKEILGTAFSVG CQVDGRSPKAIQDDIASGAIEIPEE PFICI_08365 MDYEALKEQWSEVEDRDGVRLSWNVFPSSRMEASRLVVPIGALY TPLKEKPDTPLLQFEPVGCKQPCRAILNPFCQVDVRARLWICPFCLSRNPLPPHYKDI TANAIPPELHPSNTTIEYRLSRPAPSPPIFLYVVDTCQEEDSLAALKESLIMSLSLLP ENALVGLITFGTMAQVHEIGYNECAKSYVFRGSKDYTAKQVQEMLGLLGPGVRPGIPQ QQPGRPPLPMGAATRFLLPVQQCEFQLTKALEQLQKDPWPVASDRRSQRCTGVALSVA VGLLENAFQGSGGRIMLFAGGPATEGPGMVVGPELREPIRSHHDIDRDNIKYYKKALK FYDTLAKRTAHNGHIIDIFAGCLDQVGLLEMKGLCNSTGGHMILTDSFTASMFKQSFV RVFEKDGDGNLLMGFNALFEVLTTKELKVTGLIGHAVSMNKKSTSVGETECGIGNTCA WKMCGIDPTASYGVYFEIASQGGPTQHQQGQQKGMMQFLTYYQHSDGQFHLRVTTVAR NLSGPAGDPAIAQSFDQEAAAVLMSRIAVFKAEVDDGPDVLRWVDRMLIRLCSRFADY RKDDPSSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDVSNSLIM IQPTLDSYVLAGDGSQPEGQPVLLDSTSIQPDHVLLLDTFFHILIFHGETIAEWRKAG YQDQEGYQNFAALLEQPKEDARDLIGDRFPLPRFIVCDAGGSQARFLLSKLNPSTTHT TGAYGGVGAQTAQTIFTDDVSLQTFMDHLMKLAVSGTN PFICI_08366 MVGPDPPTYEEATSSASFSRCDRNGISPEVRRSMEDESRSLPLG WVRSFDPKTKHQFFVDTKADPPRSIWHHPYDDSEYLDSVSPEERERIRGLARHPSIHD ITAETSDEEQDSNDNKSNHGHPAVARHGRNISSSSSSSASAHNAGPRSFGRKVKDKIT GTTHEQREAQRRQREEQERDMHKQHQIFRKGLSAAIETGQPQYLGEDDHGLDVYLEPP GSTYSGVERVERISPYIQEIIYKPPGPLNKRARHLRPDPIYPGGYGPPCSAYDRPYGY GYGGGMGTMPLAGPLFGGLLLGGLAGGLLF PFICI_08367 MPRSSASSKRQQGAPNQRDTRHEAGSVGPGKRISKQKSQNLADG SSSNGTPSNNNTNSSSGGGSSSTTLPTSDAPPVPATPAHLNGYPKQSADMPLEPAVRR GSLGTSSEISSVDSFAATQDDHHRRIDVNATKNSNVHRDTGPFDFALTVLRSCPLQDT IAILIILMQIPTFALSGIYMLFTLLTFVTTNSGLTLSDVFEWNLGAPSVATVVCVDGI VLLIWLFLWGPIQHVILDLAQMVIALGLGGGSTSRDGGSLKNSLICLSMILLSHGLRH TKMKYSPVGYLLGSSRFMTPDLDDPLESLESMRGYDKVRSGWMNWFRSILAIHILTQG VVKCIRDWYLRRERRDNLAQSVDPEAGKPYSGDVSTDAGFSTPDSDAVSIQPQSALNS KKKRKQSAQIRNRQPLWAAIASTKIVVVKEYELTHSAVDSAGSNATDIHNLGNAPFNT EANQIWISYVGCDEVCFNTSHFPNFVASPCPQESSHSSRIDTSKPFYVRVNHAIWQPT RITAVHNSQQDGSQGTTWSGDIYGLTPLSNYECEFLSTVTHEVLFSTSVRTTRAKTAD IDAAAASKAGSQNSLRPDSPTTTLKTSIAASEAKLAEEKTRQKALRKEWHRKANALKK ENEKLSAAVQSAGAGDDKLRQKIQQNMTQQKQAEQALASLAAELKDFDIVPESLKTAW KAKQSTFSAEKCKFDEANAEFKSFKAKLDGDVKMLMDEKASLEAKRNKISSRIAKVDG EHARIADANARGLDEAERRRQDRAALEAQFLRWEHDLMEKIASHEAESMSRDEILVTL KGQLDAFHNQQSSFYNGSFDYADPTVMSQLPPTTTAAPNTSTVAAMYDAATAWSNSAY NASLWGPSPLAPGFVPQSTAAAFSPMHSTPKRGRSSSMLSDVSGFTQSSANDEELSNM VSGPGHMGPSSSFRPPPGFEYPHYLPADADVVSDESSSASGSGSASGSAGSSGSDSVR DPMSPPPS PFICI_08368 MSPNGVGLIMKSIKADYKFPVVYPDRISVYHKLRSLPGRSDTSL ILDCMILSHRHHRVAARTAEDVVIYDYRNATKTTTPPFVQDVFQSTWEKQEAAICSSR ARIWQLTKQVEEIEKETWDREDAVEDLGASGSTRPAN PFICI_08369 MDIFMPVASTYRPSIQKQRQAQAQAGGDGNKDKTDNAERQAVDA PGRAGSSSAAAPGKTRIRSKSRSRSKSRTRTRKGLQRRVRRFGHNDSDSESNQAQAIG GRGSRAGPSYGDVDEQRSNMAQDKDTGRRAVLTPTTPSSITTPTGLREEALRNGADDP ASFVSASSGSLWKKQSTKKQQQKKGRGFSFINTSGGGGGGGSSSSSSSSSGAFSSGRG LDPQRLDLSHSGASVPSGNATAVASPSNLPRWGGRSTSSSTGQAGGHTGTTCNDAILN PVAPPEPIAPFTTTTTGANTTSSPHSSLSKTSSSSDGRISASQQISQHPPNPHPSAPA SVRARAASLGASVAGINRQPAGSAATDVSSSFSSSSAPASAVVVAAARGRINRDIFPS SLATAAPTTNYSARQSTTAIPSRLTAHGSRSAALASSDSEPLAATPSPPGAALLLGLG AGAGAGILPPDRGAQRRHSSNHYAAMLSDAQSALPTKSSNSAAAYRRTGSVSSASVSG PPHLHRTGIMTSSENLPPSSHEGSVSASNLSATSNLALKNRVNVSPKPLVVRNGRTYI HDDQLPYPLPVDLAELHRQSLRTLLLFQLFGGPIISDAFASKPPQRILEVGCGSGFWS MMCHRYFAQHGHGGVSFTGIDIVPLCGTGPDPNSKPDKDMNWRFVQHDMRRTPWPFQD GEFDLVMVKDMSCAAPGQFSQIFMDEYLRLLRPGGVLEIWETDMSMRMLRPHVPATST AATAAAAPPIPATSAAEDSESGSEDEDDATRLGVYVVSANTPLSAPLNQFLVEYNGWL SKALDKRFLSAVPCTMIGPMLLQEDGLIEVRSKRMAVPLSEVRWEREGVGGVVTKDGK SYIDSMKGKGKASDHKGGKGGKSLTAAQAAIRRTALETSVGLIQALEPMLRDVSGKSQ DEWDGWTGKMMNDLLRDGGTSWGECLEIGAWSARRKN PFICI_08370 MYGPQQGHGSRLNGVPGGRAMAPQMMYGYVQSQSQHQHQHQHHA QQQQQQQQQHHHQQDHAANSAFSSGILSTAAPFTPSSHQNGVARTQQSQLAGELLSEQ AKAYEESRRAHQSMTEQHQPHFFARAKAAENRGVGPVLPASITTPSNTNNGTGNDQLD GEEEDRGRPVNVDKNEDRQDWQSLDLSGQGLRAISLTVFDRYAFVEELYLCSNNLTYL PPAVGQLRKLRHLDLSNNHLTELPPELGMCTPLRKLLVFNNQIRDLPFELGALHHLEL LGIQGNPLNSEMKQMLMEDDTKGLISYLKEQAPVPMPPEPRMPIPLQEGVSSNLERIR VLSWNTLCDRYATTAQYGYTPVGALDWEYRRSVIMDEFRQRDADILCLQEIAGDAFEN FFTPELAQLDYRGVHYPKTRVSHMRDKTEQGLVDGCAIFFKNTKYILLDKQLVDFRSM AINRPDMKTTEDVFNRVMPKDNIGMFCFFESRATGARFIVVNAHLCWEGHLSDVKAVQ TGILMEQITKMSEKYTRRLPISLSEKCLVRSVTTDVVSELDSDQMESPPAASQEYRSN TDIPLLVCGDYNSIDDSSVYELLDRGRVAPDHPDLVGHSYGNFTRDGISHPFSLRSAY AHVRNTPDDLTFTNYVPTFNGVIDYIWYSTNTLEATELLGPPDYNYLKRVPGFPNYHF PSDHIQIMADFVFKPRKEKKVAGDHERGSASRI PFICI_08371 MALGRRGRGDAADAYAPEPTMAIYATAFAQENMPPPPPDGGYGW FCVLAQFLINGFTWGVAASYSVYLAHYLSHDIFPEASSVDYAFIGGFNFAFALLSAPL ATLLIRIGGARTPMLLGAILLPLGFASASFAIRVWHLYLSQGLCVGVGIGLIYIPATA IIPQWFDKKRSLANGISAAGSGIGGLSLCFSAQVMLDTVGLALTLRITAVIVFVVNLS ATIVMRSRNREIKPDMHLLKLHLLSSYQVRLLLAWSVVIMFGYMTLMFSLSDYALAIG RSRQNSATVAAVLNLGAAIGRPLIGYLSDRMGRVVVAGSATFATGILVFALWLPTTEY GLLIPFALISGAILGIFWAAIGPLAADMVDLKELTALLTIVWLSVAAPSACAEAIALQ LRHSDFGPRSYTYAQIFAGVSYVAASGIMLELWRVRRAAERIMQSNLPHGGDIRGSSP PFICI_08372 MRAFAALGVVLAAAHAAHAKTGIILPLYEYPYGDAALADWDSTI AAVSAHPNLDFYIIMNDNSGPPYDPNPPNSNRDFAPYLGSLNSHANVKLIGYIATKYS GKSISDVTAAVDQYAAWETGKGWKDDSYDIHISGIFFDEINTAPDQLSHNLEITRYAK AKFAGLGGPIVLNPGTFVQNGSESLFDVADAIMDIEACYTGVPGRIDFNGYACDPSVS GYSAFTPALLDGLGTDQSRVSKSSILVHDFYDSWSPYQPASESKLKDYVDAIVSKGVH SFYIAQFGYIGNFTLAPASIGNIAGMAASAQGLS PFICI_08373 MILSKEAAVTAAGTAYNAILASIQSEAASLESSIVSAESVAYAS LIGNATGIAATTTAASTTATAADGTSTGESAVTIYGTDSSGAGALPTAVAGLQAIAGG IAMLAAVM PFICI_08374 MISIIGETGSGKSTLIAAMVRMLAPVAHGEYLVPVSGADTDSFD FTSSDVHIFAGPRTCETEHPRLVVGCEGFPATDKPIARQLLAQAQKPQTLQPLTDGTM RKPSTNRSVEETIADHTSTASDRIPLGGGQTTLEHLTISCKKCSSGPKMNAGMPSALA SVNQATTSLLGNFERSTRFTELRRRWRSRGKEIPTAEELILCYYDSFRVISIPQYTAT PPTTVKKTSDQIKTLYKELIHMSERIRSKRQLVNMELDVVNVNVYFKRALIKLGRDYD IGVMASLAKKRNFDRSQTVGGEAMQVHQMISYIAVCILAQIGDHTKLRNAKTTSWMKP GVV PFICI_08375 MSSQILTSRQADELHKAIVAYLSSSNLNNTAEALRQELNLGDTF DANTSKKYEGLLEKKWTSVVRLQKKIMDLEARNASIQAELDNATPTSLARRSQDPSSW LPRSPARHTLQSHQKPITCIAFHPVFSTLASGSEDYTIKIWDWELGEHERTIKGHTKA VLDVDFGGPRGGTLLASCSSDLTIKLWDPSDDYKNIKTLPGHDHSVSAVRFIPSGAAG APSSSNLLVSASRDKTLRIWDVTTGYCLKTIRGHADWVRDVFPSFDGRFLLSCGTDQT ARLWDISLTNPESKVTFIGHENVIECCTLAPPASYQYLAKIAGQKTPPASASAEFMAT GSRDKTIKLWDGRGNCIKTLVGHDNWVRAVVFHPGGKYLFSVADDKTLRCWDLSQEGK MVKNLDNAHGHFISCLRWAPVLIKEPTVNGSGDAPNGKTANGSSSKAGAAPEVQIRCV IATGSVDLNVRIFAN PFICI_08376 MPFDRLRRLICKVADNFPLSASNPNKTRDKDPSFSFKTLKLRTR QKPQEPAQTTPSPAPVSPVDSPLNTRSTGYDSTLSAPFIETPIVFSHRPTAEMADGEP DYSSLPLTDRWVHKVWKVRKAAYEEAAKQFEATPDEYDPAFRPFNQDPSLWKGAVVDS NVAAQSDGIAAFCAFLKFGGKENCVRSRNQVVTPICEKALISTRPATKASAMEALLLL VELDVPGPVIEDILPALSAKLPKVVAAALAALTSIFHNYGCKTADPKPVLKILPKVFG HADKNVRAEATNLAVEFYRWLRDAMKPMFWGDLKPTQQSDLEAQFEKVKAEGAPKQER LLRSQQEAAARAPAAGAGGEEEYDEGDAGEDPGEVDAFDLAEPQEVLSKVPASFHENL ASSKWKERKEALEALYALLNVPRIKDGDFGEINRGLAKCMKDANIAVVTQAAQCIEVL AQGLRKSYGKYRSVVMAPIMERLKEKKASVADALGAALDQVFLATDLSECMEDITTFL VHKNPQVKEGTMKFLVRCLRTTRDVPSKQEIATLVEFAKKLLAESSEGLRSGGAEVLG TVMKIIGERAMNPHLEGLDDIRKNKIKEYFETAEVKAKDKPKPPPAPATRAPPGGPKK VVGGARKAPAGLKKPPPAAAAAPADAAPLAPQPSSRPAPAANKIAAPKTALGGLKAPR GRLAGPSGLASPRRAPAAAPPPPMEDEEPVQASPPPRPRIGLGRGGLAGRSLAKPAAP VAAPPVSTSPPPTSGFSAMERAELEELRNANERLLRQADEMRQERGKLASEIQELKNQ NAQLIEDHTRDVLSIKAKETQLVRARSDAEAAEQTNERLRRELERLKRALSKAEAASA NSGVVSPGLGSPTHDDMGIYRDGGGFPGGRATRSSFASTFSEEKENGDPPPSYPRNKM MSPELRYGSGSSGRGSPARGYRSTPIDDNAGAGGTSSIASSTATGGGNSSSNGVESWK RAAEVTSQLKARIEQMKVKQANSPILVWSKLT PFICI_08377 MTLLQIALAGARQPRDEMQPGGSRIAADNTERLIRPWKLPPRKS YTFANALVVDPAAGRIRADAWVKISGGLIEHVRYADKPPAPIDEDEDSIVIDCTGLYL CPGLIDAHVHLAAVPGSPSLDGSMGDDAAVSHFRQPFVALQSLRRGFTTLRDCGGATL ALKEAIADDVFPGPRLFIANRALSQTGGHGDRRGPHDHTGSLCCGGQQADGGLSVVVD GVDECIRAAREQLRTGADFIKIMVGGGVASPSDKLSNTQFTSAEIAAIVEVAESYGTF VTAHAYTPRAIRHAVANGVKGIEHGNLIDEETARLMAERGAWLTPTLVTYEAMAKTEK YKGFLPPENAAKNKEVLEKGLRSLEIAQAAGVRMCYGSDLLGPLTAEQSGEFAIRQRA LDNADVLRAATVNPAQMLGQEAFLGQIKEGFAADLVILNHNPLQNVSILGNPEKSLVS VIKDGRVWDSHWKSLPVDVYQASSAWIR PFICI_08378 MGVELPRMVTEHPSIVACEEAMVDTIFLCVAKKLCRRRSKLTGL HSHNDLLSVRNDLKNGVEHNIVIRLCDVGLTMQEAVDRVGEMLQGCFEKWHTSRAQIP SWDGLIDQNVHKLLNVYRDLALGSLYWSYETGRYVIPGNEKGEKVEVLMFPPAERH PFICI_08379 MVVSDLDSSEELMIRLPNLFTDVMAGQYAKNVFYEKVKSVAERW IEQTLKMDEKAVERNKKADFCLLSALWTPEGDEWGLRLVTDWNHWAFPWDDQFDEGHL KLDAPKAADEVMNTLAILDDTQPLISTEENPISHAFQTVWLHFKEVRHSTVVLVWRMS LF PFICI_08380 MMLLRVSLFVSAVPALRLRDDFFDIAIDDDTGAIVSIRNPNVDS LNWVSSPDNVPWLPLSSRWGLGYADIGAASLHRGYWSHASVVSDAATTQSSSSYNVGT LNVTVERTLDSSSQSFGESFTFTNIGNSSISLQQASKQSLAIYTPFNDHYTNSLDVQA NRTHAHIWANGLTSSWIKTTRMNGNGPHLGLVITAGSLRGYSIEARDTVTSSNTRGVI LVHPVVPTLEAEESTTLSWTLFWHDSWDDFFNKAVALSDQFIEFNATLWTVFPNENTT ITMKGAVNDTTVVAGTPVTALSDGSGYAVTLSWSSIGEKSLTVSTGPAGSTRNSTIVV NVVPDLDGLVASRTQFIALNQQMPNQNTTLDGAYVVYDTQINGRVTFDTASDRNSGRE RVGMGVLVGRQLVDTADADLESSLIDYYRFVCTQLQRDDGYVYNGPGVQSLRVYNFPW VAQLHLQIARSNITLPDDLASPTPLERFMLTLESMYANGGADAYPIGVPVYEGLGLLK SAGNETAYERALELFVAHGERIAKIGTNYPAGEVNYEQSLVAPAAILPLELYLHTGNE TWIAVAEPHFGLLVQFGGRQPDYHLHDIAIRHWDGYWFGKDRMWGDVFPHYWSTLTGL AMHFWGLATNDTSYIDRAEGIMRANLALFASDGSASCAWLYPLTVNGRSGHYKDAYAN DQDWALAHYLQLQSFVAW PFICI_08381 MEFSLPPRQELLTSRGYRYSYVHIPSSIIAKPTLLLIHGWPSHI DDWVFQIRYFTARGHGIIVPDMLGYGDSSAPSDASAYRLSLIARDLGELLDQEQLQRV VGVGHDWGATILSRFAMYNPERLSALAFLGIGASRPGTMFDLDAINEMTKKATGSEML GYVAYISRNPDSHCAMERNSESVMSVLFAADPECWNRHLRPFHGFKSLVQDGGGQVMG DWFPRELRERHLSVFGRKDGYLGASQYYKMLDQNLSVPDEEHLVDFTIEQPVLLVIPR EPAESSQMQSQMLFAWVSEMNVVQVDSGHWVHMEKSAETNIALEEFLVKHTERQRASF PFICI_08382 MSTSVPLGEVLDNAGPAKSPEHLAIDGEYTRLEPVATRHASALY QCLCRDSPVETWSYMAAGPFTGEEAFTRQIENLVVSKDPLFFTVMTQVDLPGLAAGSP VGYLSLMRMDEKNRGIEIGNVTFSAALQRTTVATEALFLAMRHCFEALGNRRLEWKCD SLNAKSRRAALRYGFVFEGIFRKHFIVKGRNRDTAWFSIVDNEWPTIKGALEAWLRAE NFDENGRQRRGLVEIRKELESSRSRTV PFICI_08383 MFWRFGGYANISTIDTILEKPDFSLEDLLDESDLIQELKQHNTK LIEYLREDKVLQKLLEYVVAPKLEPVENPDEDKEGDEDKKKGKLVLPFGRPRASSGAT TDGEHDEDEGEKKRNRYAYVAAEVLSSDNWSIYEALMESTSLLRDFWNFLKLPAPLDP LQASYFTKVNESLFDKKTEEMLDLLKSLDRAIPDMLRHVDCPMIMDLLLKIISLERTD SGQGIVEWLYTKDVMPTLLSFLGPEHSWATQTSAGDFMKAIITVSANASQNEQACIGP NELTRQLVSKPCVEQLIGYMLGGGNPLTVGVGIIIEVIRKNNSDYDPDVGPDNNAAPS SRDPIYLGTLLRRFADRVPDFMNLIMNTNAQKQRIASTFDDDIEPLGFDRFKTCELMA ELLHCSNMALLNEVGSEQVIALRDQERRRLRAEGKLVPIRTEEAQSSAEDLTMRGRHS SPDDSRRLEITNASDDDGFEEVTPSGDMTEDTSHEFVKAEDEMPSGPISSFLDKDDDD DFVDEPLSSPRLHVQDAKVNDLPDIENPDMVVAPLSPKKVAAEPAAAQVGQTESNETT SSEDSATAALDIAPVGQEGVEATSISAPSSENTAEVAAAESQLAGDKLQAELESTISD LTASNKVEIPTKGLEGDSESTHTVTGAKPGAIELEATPATDSGDGSIVVGHDAEQPTQ QRPINESTEPVVGDYLKMQFVEHKVVPTILSFFFKYPWNNFLHNVVYDIVQQVFNGPI DRGFNPTLAISLFEAADITNAIIDGQNRSEESQAKNKTRMGYMGHLTLIAEEVVKFTE RNPPELLSELVLDRVMSQDWINYVEGALAETRERDNAILGGVRPEVAMSNRAAMAGNN LGMALGQIGLGSTQGSNALSEAGLNGGADDNGSASSYGGAFAIGGGIAGSGSDFDRNS SDEDEDSEENEEDAVHEFRSYTGSLNDQSSLDPPSIPPPPPPPPPLNVPPSRARLQLA ARLAMHQKNSAGASAQSAHIEGGDDEDEDGDLQLTREPDSILRNPFEDDAEDVDDDSD DGLGGDENSAWNSHGRGSWWRSALSRNRQEKFGDGRDDSDSDNDDQGDEEDEEFGDFA MPEEEAHNEKSNVIVKPLPVHPPNQNQKSSAFTSLWPFSSQGFGSKDKEKEKSKEGDS EKPEHEEISSDDGGKIKSTHEAKARSSIEDPDDEEVVV PFICI_08384 MHSFIVIGLNHSKTPQAPYPAAIYDLEAVILAIMSDPTLPIDRT RTAIGGSFSGATLAFAVVQLQSIRQHVGFQAAFSSCGLLELGIAASAKAKTRPYKEEL SIARSGSADSLNMALPPIQWSYTPEGTDMTDPLYAPFYAPANALPPHVCLVAAELDSL AHDSWRMACRLAERQIPSMNEPVGRPRSGDGKALKLDDERFSFERVTLRSSGERSSIK WLLVPDVLHGFDLRTPEALLGDESTTEDALEKTKQMMALLGQWLRNTVWSIGSPAWPG DGS PFICI_08385 MSSTYLVLPPIDTSLGLFDSDAVLSGSRRRPLPRIDTAIRPLSE EITEDVIASAAEAVAEALGVSLNDIPETCVTASRPEPESRRPSSFYPPPPEKTWSTPA QATSIERRLSIAVAETLGIDIGTVPRDESFVDLGGDKRAAIALRAKCLKAGLSVQTKD ILGSKTIAELETHVTPFSPSPLTSRTADLPPTGLVSPLQLSSPLAAVSSATKAAATRR RSASSAEAQTPIVPKAHLKPKSSKRYHNQVEQCLSLNGEVAKASVLKPKAGLFEGQVT AFLTLSSCVVEGPNTGDIKLLNAYYTIPLPSIRKAVEAKVPPNVVPKVWIVLEQMPLD ENGKIQRRKLQTWIQNANEDLYQKIMSIDSQDRSGPPESDNEKKLQKAVGKVLNLDIA DVGMNLSFQSLGGDQTTAMQLVVRCKSQGLSFKVEDILQDMPLIQLAAMATPSEIFTD KINEDTTEEFDLAPMQRLYFHTAMGQRAPLRADKKNSYRFNQSTLLKFRRPTAVEDVR AAVEAVVGHHSMLRTRFRARLGSWSQHTLTDIPSSYYFGHHTVGTNTEIETIIQQAHG SIDIENGPVFAAHHFHTHDGHQLLYLVAHHLVTDLKSWRVIIDDLQELMMNGSLVSGR RITFAEWNAHQRSQVESAEAATKLPFATSAADLDYWGVNDLSNKYGNTASLGFTLERE VTSALDASNRAFRTDSSDLFMAALLLSFSQTFRDRPTPSIWNQEHERIVLDTEIDLSE TVGWFTALCPVGLQVTPTDEIPDVLARVKDARRSIAGRGVPFFAANMLNAESADCFVK SRCPMEIIFTYAGSVESLDSQKNLLEQLPVTGSLASSTSDIGHNVGRISLFEVSAAVD HGEAKFKLLYNKWSRHEDLIKAWMRNFETLVRETVFRLQSQPPELSMADIPLMDVTYD NLAKLNREILPNLGIDILNIENMYPVTANQQDLLISEPLNPGSSRSQTVYELNTHGKF VDIGLLCAAWQQVVQKHPALRTVFMESISRFSLFDQIILRRHSPNMLFIENDRPDTAL YSMEKLTPINLMKGTPWHRLVVCQAPGKTLLMLEVSQALCDASSITILFSELQEVYFG HALPNTTDVHYPEYLQCLKTTPVSTEFWRELLQGIQECHFPSLISKSLDTRAYEHTFV DLGVAHADLEAFAEKYKLDIAAVLRVAWALVLRAYVGSDSACFGYRTSGRDIPVDNLS DAVGCFSTEMICRLDVHSSQFIAQLLLDSAEIHQEALHHQHVSVNSIHHALETKGRRL FNTCLSFGYEYIAEEPPVGVKFRHVRNMQASEYDVNIDVVFKNGTVVIDLGHRILTSE QASHVGHAFGRAISAVMEITGGQVKEVDLFSDHDHRQILVWNGQPKISPPKEHVHELI AKNAIEHPDIQAICAWDGGFSYAELDKMSMILAGALSQAGVKHQTPVPIIMDKSRWVV PAMLAVLNIGACIVPVDTSLPSIFSWVIKSVGAKITLASESVRKHLKDVNCDIVFINE ETIASLPEEPTLVTSARTDDDDVACILFNSDVVQTRRGITYSHSALATACVGQGPALR INPSSRVMHYSSHSSDIALAEIFTTLTNGACICIGKSVKASDFAIAAQRMNVNWTYLT PTLSRRLSPESMPDMAIVCFRTQQLDDDVYSQWAGKAKIILAYGSAEACVLGLSASEV RDPFAVRGIGSPYCGNFWVVNPSDSNKLMPIGAVGELVIAAPTLAVGRDLDAGPIQLN SNKKKASTARDGRSNRPGRLLKTGHYVRYVEHGQLELVSAQADNVDLGSGIVNTAEIE RKLRRCLGRSIDVAVTKIAFNYTDSDSAPIIAAFVELDDELYHGEDLSRLKPQTKERL YLAKQMAGLSLRGALPDTLVPVRQLPLTPAYEVSHRELQKMIRGLSKTQLLGLAQVPN PQEVNSAGLEPLPLTATEERMRSLWQEVLGLNNNSPIRPTDGFMSLGGDLDLAHNLVV ACREQDVAISILDVVRNMPLDELCRGISMPDSPIYHAEQSRYMQPSPSNAFVDDAIVP QIGDRDSIEDIAEASATQTMFLEGMLSSRPGNVNYFVINVNGPLDWGKLENACYLLTM AHPILRTAFVSHNRQLFQTVIRTYHPEFQRYQSNSWRLSGLAAKVIKRDQIMPVDFRQ PATKFWYIDALKQSVLVMRLSRAQYNNLTLPTLISDLSRFYEQGDLPTPRSGFCDVVR ATQRSSLNSALDYWRVLLEGSSMTEVISKSGPDVPTSKADSKTLQQVIPTGSLNNLGI PFETILKGAWSIVLSNLSGSEDVVFGESRSGTFPGLADAVGPLGNIIPVRTTIPSVPT SPLDYLRNVQNQHITSTSIEHENMQWSDIVKKCTKWPVWEKFSTVVEHENHGDRDDLM SNFNIGSVACRMNCIESNHQNTDIFVRSTRSGSTYANISLTFSEKKMHPFFVQEVLSM LCATISLLTSAFIMEPISLRGLHDNGSTPRIPLSAPKRDVQFAAPVISVSPDHAGAIH AVISSGWNAILGAQGVAEDVRSVPFYQFTSSLVPAAELARYYTDSMPRLNLPGLAHAN FALEDILENPTMMKQYEMIISRQQVPPQLRRSQSFVHTVRRRLTVTGGPGPASPGASS SPIRKTRGGSAGSSMESMTTGSSQSDEEHYDEVPEMVAQPQRRKTVAKPFEVKKRSSV LFGKMKLSSTGA PFICI_08386 MSATHDAAGVDKAEAIGLEHKASVANGTATALQQQFASSAPEYH HDMTKRLLRKVDFHLLPFLILMYLLNFLDRNNLSQARLGTLEAELGMTGTDFNLATSI LFVGYLLMQLPSNLIITRVRPSLYLGSVMTIWGTISASQAATHSFGALIACRFFLGFA EAPFFPGAIFLMSSWYTRAELAHRIAWFYAGSSLANAFGGLLGAGVLGNLSGAHGISG WRWLFIIEGVITIGVAITAAFILPDYPATTKWLSEEEKAYAQWRLVDDVGEADEGGAA SVKEGVMLALKDPRLYLFTLLQHISLLSQSFQYFFPTIVKTLGYGNIETLLITAPVWI GTFLVSLVVTYTSGKYHDRSIHIICLMFISVIGNIIVVSSLNTAARFFAMFLMPMGAV SAYQIIVAWVSNSFPRPLVKRSACISIANMIGNCANIYGSYMYPSSDGPRYLAGGSAT AAVALVVAIMAFGVRLVLQRDNKKLAAREQAAREAEEAEEAGPREAAFESRVNGFRYV L PFICI_08387 MPQESRIKSVAIVGGGAAGAVTAAALKAENYFDKITVFERREKA GGTWIYDADPQPPLAVHPGALPVEIDPPLEVPSQLPAVLSPSKQERYSKTPVYDSLTT NVPDIAMSFSDAKFAYGPFAPHYVPRQYIENYFATHGIDRNLVLNTTVEDVSKLSSSN SDHAQDKWKLTLRKYDTARHVDIWWEETFDAVILANGHYSVPYVPQVNGLEQFMDKFP GRVVHSKYYRSPTVFTDQKVLVIGNSASGHDITFELLKSAQLPVYQSRRSKSRWDGKE PPPGIAWKPIITEYRQDGRIIFQDGTHLEDLDRIIYCTGYKPSFPFWNSKANGRPLWD YQAEKLINTYWHTFFQDFKTLAIVGVPRVLSFRSWEYQAIALARLFSDRNAAQLPSIE EQKKWELDRENETTRERRPFHGINWDQGETQEWLNGLFQIAGLGTLEGDGRIPPVLSK ELIWALEHIKKYPEPEPELEAEAEAGDDVDLPQQVRHEDSSGWVFVPETCKDTLAFI PFICI_08388 MDMASTQSPARNARIQTYLGQRKRQTFAEPSRLSVIKVKDAQRA KFSYGKPLNESESDVSGQDGKRGSAQTIGWLLATATNLEQDIETCKKELELVRSLMEE IDQRLQAHDKLPSRKLRDHKVLMAACREYSDSMIQVKKERLRQVRFWASSREMGRSAP AAAPGEWLDVDLEWRNWLSEQAAARSGAWPIEASKDTAWRILGPLPHIIAAYIWLEG PFICI_08389 MATLESAQANSSLEKDGALPGYSAPAHEHSHQDGAVRSDNWFTR NGLNLESFKKRDYGHGLVELDRSMKPRHLHMIAIGGSIGAGFFVGSGGALAKGGPGSL LIDFLIIGIMMFNVVYALGELAVMYPVSGGFYTYAVRFIDPSWGFAMGWNYVMQWAVV LPLELTVCGLTIGYWDTEGKISVGVWITVFFVLILITNLFGTLGYAEEEFWSAVIKLS ATVIFLIIAFVMVLGGGPSNGQYSEYWGARLWYEPGAFNNGFLGFCSVFVTAAFAFSG TELVGLAAAESENPVKALPGAIKQVFWRITLFYVLGLLFVGLLIPYDDPNLLGSNAYI NVNASPFVLVGKYAGLKGFDSFMNVVILVSVLSIGVSAVYGGSRTLTALAQQGYAPKI FTYVDKSGRPLFSVSAVLIFGLLAYVNLSASGTTVFTWLQALSGLAALFTWGSICLAH IRFRSAWKYNGHTLDEIPFQAIGGVYGSWLGLVLIVLVLIAQFYTAVEPMDAEAFFES YLAAPVVLFFWAVGYAWKREGWLKISQMDVDTGRRELDWDEINEYRRHLAAQPAWKRL YHVLF PFICI_08390 MAFCAPPKYRFTHLKPSTSTHVVLEAPELLPLTGHDDVFLYTRC SPHFAAVSKVWNWNNTAEPLAVARCFSISAVQRVIRFCSGQTPNGQQAGRQMPFVVRS GGHDAFNRSTIQHGLMLDVRGLDSITLSSDRQSVTIGGGVSGGNLINFLDEHGLITPS GYCNTVGYVGWAAGGGYGVLAGPYGLGVDQISGATLVDAKGNLVDTDSDPNLLWALRG AGTGNFGVVVSMRVKVYERPPYLGGMILFPGSEIGQVMTGLQQVTEEVGSPDEFSGDC TVGQMPGLGAVVAIIFSWAQRHGCGSDPLKRAREVLSRCEGLGTVLLNTVDETTPVAL MSTMQPMTSAPMKARMQTFTVSGLSKKLAAILAQSPVPSNSSMVIIHRSHGAATYPHS THCEDPVTSTSCMPNRKPHTILCITGSTVPGGEGGIPETPGEYKKVSAWVEEISQRIV QSGIALPRTYVSWTTNEEADTRRLYGDKIAERLKDLKRIYDSHNLFSTAYPSLA PFICI_08391 MSSEIESKRVNTTEGIHEKDADGYRSSDVELPIDAQVETDLNVT EQDLQEARELAGNLTLEEIRPMMEKVLAIHEKDPNFPFSIIERIRAFLGNEDIFENPE KHGVLIEEMKLEASLITVNSPYAEVRAVVDNHDDPSIPCGTIRAWVIGSGFAILLAFI NQLFSIRQPAINVQANVAQLLAYPIGKFCEKTLPDVGFTLWGIRHSLNPGKFSKKEHM LITIMANVSWNYPYTNNIIWVQYLPTYFNQSYAGQFSYQILIALATNFIGYGMAGIVR RFLVYPSYCVWPASLVTIALNSAFHNDKTGPVESPFGRLFTMTRMKFFGVTFAAMFVY FWFPQYIFTALSVFSWLSWISPNNTTLSAVTGFNNGLGVNPWPTFDWNILLFDNTDPL MIPFFSTMNKFIGSCIACVVIAALWWSNAWNTAYLPINSNRVFDNTGSLYNVSRAIDD RALFDAAKYADYSPPFLSAGNLTVYMFFFSIYSATVSYAYLYHRYEIAMGFRNLFNSF RKNKDTELGTYTDVHNRLMAKYAEVPEWWYSLVVVVAIALGCAGIANWETYTSVGVVF YGLALCALFVIPVGIIKAMTGIEVTLNVLAEFIGGSWVQGNALAMNFFKSFGYVTCAH AVWFSNDLKLAHYVKIPPRQTFMAQMIGTLISTFVCVGVLNFQMNQIPDVCTVDAPNR FTCPGINTFFTASVLWGTVGPVKVFGAGGLYTALLVGFPLGFVVPIIFYFVQKRYRKQ SWLRQIHPVALFYGALTWAPYNLSYIWPAVPIAWFSWIYLKRRYLAFWSKYNFVLSAS WSSAIAIAAIIIFFTLQWLQVDLEWWGNDVVADGCEGSACTLKTLNDGDYFGPRLGEF S PFICI_08392 MSSDPLLLTAPQLRALFDILTHHETYAEVESFKDPDAIDNYGFP FCPSSSSSSSLNQEKDIKHGNADRSKSSSSSSASSSSPLLQLLLTRLLLPMPGIKDLE PGFWNAKFRGIMKSFAEADLSESYDKGALGTRKTLATAASAFHESITRGMLGGVPQRT DDAGPGFTSEHSTATGLEKAWDHVVYDIVYGKLLDEMFELAAKSPDLESHSPAVSDAV DYVLIHAATILHKIFVLSPEGQYMLKLIESTHKLIPYSLVCQTLRVGNAATMISGLVR IFLAKVSIGGLTNWIGLTQNAADGQNLMQRIIGMVLGWDAGDFKKTVDGIRNKKELSK SGFIPAIDAHLNATPREHAAVRQKSIQEKESIVSAILKSQSQKCSAEPTEQQHSLLLE YYSAQLSAQDREKITQVMCRQSPDYVTSLIRDAVAAFDPIIRILHDHVDLRKYVTNSQ RFIDELLEVNKPRRDKSKGLIPPSIEDYVFLLRRHRKWWFEYLHDFAKGCPEVRDKFF AWLKDNVLESFRQNSSNAHTGGSRQDSDRMDGAGSMSEPLRSAFSRLDKETQQTVAQA LDAYDAYTATLDEQSNKRLQSIVEGLQKQKENTKDNPKLGSMKGPGVYHARWQWLLDE TLITPSKPSGPPRHGKDVKDVKARGKTGALASKDVWDSSSLPQAKSDVTPEPPNVHVV IEALGSQFKNIVADISTRQRSE PFICI_08393 MAWRQFTLSALLGLACAQFPPKLEGITLLKSKFHENVTISYKEP GICETTPGVKSYSGYVHLPPGLLNEDTGEVQDYPINTFFWFFEARTDPQNAPLAIWLN GGPGGSSMMGLLEENGPCFIAEDSKTTYLNPYSWNNEVNMLYLDQPAQVGFSYDVATN GTMRLVEEDGEALFMTFAANFTEGPVPESNLTYRVGTFGSQDVTKTSNTTAFAAHALW HFAQTWFFEFPNYKPSDDRVSLWAESYGGHYGPGIFSFFQQQNEKIANGNSTEEGAHY IHLDTLGIVNGLLDMAVQGEAYIKFPYNNTYGIQVFNESIYETFLKNWTEPGGCHDKV IDCQEALKERDGVKPRTEGTDWREVCEKVLEGCSFPAVATYQSTDHAWYDIGHPNADP FPAPYMYGYLTQESVLSALGVPVNYSEHSGAVGQGFQASFDIIHGGFLEAAGYLLDTG VKVHMMYGDRDYACNWMGGEAASLAIPYSRQSDFAEAGYAPLLTDNGVLKGFTRQLGN FSFSRVFQSGHEVPSYQPEAALAIFNRAMFGLDVAEGRKPVTDDFVTVGPKSTWDVKN VPPERPASRCNVLKPSTCTPDMLQKIEQGKVIVEDFFVVSVIESDDENSGVELVPVMD EQSQNIIGEL PFICI_08394 MSTSNQSRQTAREALFNEGLQIRKQVTGAQHVQRSWDNVSDFSR PMQELATEAGWGLIWGRDGLDRRTRSLLNLAMLSALGKSNELGVHVRGALNNGCTEKE IQETLLQASIYAGLPVGLEAFRVAQKVVDEERAKPSEKGETAELKDTGIAS PFICI_08395 MLPPVDASLLAANPGFEQLYNSLTTNVLNSDGSTKNDAAARQRE AVREELKEYRLKATRKHLLRNAIATVPPPSSRPPPRQHTRTRSQLSRIVSQETPQLPP ELLDLLLVLPAFLERGQDMSIEELELLLSSSPMSDFPSLLPHYTRLISSHLTTQARSL ARVMHPSTNPSFIHRAIPHILPTTQTLISSLSNNRAALTSNRLMATHNLVDHLDQQTK ALLLLLRALEAKHGVMAQSSTLRASDASLEAQSRATSVNIQLWETRSMIYPPESQTAL QNYRRHLRDAQMQLSNKLRTREQELGEYGVSVSVEEGGLGIVAKPDAARENKFREMAR VWLEMEKRLKEIDGDLRRLDKS PFICI_08396 MAVLRPMATPTTRCLLAQPSTATATAATRATFSTTATASRQTPT HLKVPFERVPDYPYGPFRWYKQRNSGLYGTSKIRFGNTVSEEHNIKQPTSWRPNRHTK RLWSPSLNMFIRTRLTAHVLRTIDRLGGIDEYLLGTKQRRIKELGPAGWRLRWKVMQS APVQEEWARQREAMGLPPKGSAEAQDAEAAPSPEEIAELDAMLERGDEFVIGEELVEE KFMAEEPVSKVTEARNTPQ PFICI_08397 MEGLKQTFKRCKAENRSALVTYVTAGYPKPEDTPNIMMAMQKGG ADVIELGVPFTDPIADGPTIQTANTVALENGVTVGHVIQMVRDARKQGCTVPVLLMGY YNPLLSYGEEALLRDCKEAGVNGFIVCDLPPEEAVSFRKFCSSGGLSYVPLIAPATSD ARMKLLCALADSFIYVVSRQGVTGALGSLNANLPALVARVKSYSGNKPAAVGFGVSTR DHFKSVAEVADGVVIGSQIVSTLQKAEAGSGPKAVEEYCAYVCGRSTSADEEHTREVG IVEAINGAKEPEAAPTVNGVITEQDDADLVAKLGALHGSIPDRFGEFGGQYVPESLMD CLSQLEEGFNAIKDDPKFWEEYRSYYPWIGRPGHLQLAERLTEYAGGANIWLKREDLN HTGSHKINNALGQILLARRLGKTKIIAETGAGQHGVATATVCAKFGMECTVYMGAEDV RRQALNVFRMRLLGAKVVAVEAGSKTLRDAVNEALRAWVVDLDDTHYIIGSAIGPHPF PTIVRTFQSVIGNETKEQLLAKRGKLPDAVVACVGGGSNAVGMFYPFSNDPSVKLLGV EAGGDGVETARHSATLSGGTKGVLHGVRTYVLQNEHGQISDTHSVSAGLDYPGVGPEL ASWKDSERAKYIAATDAEAFTGFKLMSQLEGIIPALESAHGIYGAIELAKTMKKGEDI VICLSGRGDKDVQSVAEELPTIGPKIGWDLRF PFICI_08398 MLPALRIASRRVPAVRRSAAFQAARAASTWANVPQGPPDAILGI TEAFKADSFDKKINLGVGAYRDDKGKPYVLPSVRTAEEKVIASRLNKEYAGITGLPDF TKAAAVLAYGKDSSALDRLVITQSISGTGALRIGAAFLERFYPGAKKIYIPTPSWANH GAVFKDAGLEVEKYRYYDKKTIGLDFQGMLADIKAAPKGSIFLFHACAHNPTGVDPTE TQWKEISDAVKEAGHYAFFDMAYQGFASGNTDKDAFAVRHFVKEGHNICLAQSFAKNM GLYGERVGAFSIVAEDADEKKRIDSQIKILVRPLYSNPPVHGARIASQILNDPALYEQ WLVEVKEMADRIISMRALLKENLEKLGSKHDWSHITSQIGMFAYTGLEPAQMDALAQE HSVYATKDGRISVAGITSENVGRLAEAIYKVKG PFICI_08399 MSFLQGAKCLLLISSLAAALPHVNLKRDIETNCTAIRQRVPWTK LSQDEKLSYIEADLCLMNTPSKTGLAGAVTRWDDLQWPHITQTVTIHNVGGFLPFHRY YVAAHETLIRDECGYTGRLPYWDEVAEVANMSASELFQDQYYGANGAGGDSCIENGPF ANLTLRFLANNQLGDHCLSRQFDQFSFSAAAQNYINKCNTFTKYVLAWNCFTLAPHSA GHNGVGGIMSDPTFSPGDPLFFLHHGYLDKLYWEWQKLDYPARLYDMGGVNVPRTAEP GSPDYPPTAVTDYFGDNGTITTLNHNLWMTDLVPNVTIADIMNLNGPTICSEYLNAED LE PFICI_08400 MSLQPNGRASGESSGPRRRVQRHRRRNRRDRQEPYTQRRDTRHT NGQQAAITNGSTTDVHETVPEPDAHEEASVASINLDEQATAEDRNGHQNRNNGAGNPQ HMATTASLSSSAITTAQVMYDQQVQAADSFQSAALYAWSTAPISQDAWTPLGGARIDG PGKN PFICI_08401 MAQQSQLFTSGHFQTIDLSSANDQVIIEDQIYGQFTVTEPVLVE LLRSPAVVRLAGVHQHGISGLLRLTPPVSRMEHSVGAFLLVRLVGGAVDEQVAGLLHD ISHTAMSHVVDFALSAPGEGSFHEVHKMRYVMKTQIPEILARHGFTDLRPLEEVLYPL VEQDAPHLCADRLDYGLRDAVGFGHMSLEDAQSVLKSLKAVPSSSHPKRILVLQDPQL ALALAKAYMACDKEVWGNTAHGDIYIRTANLMKTLIRNGSVHEDELWTLSDAEFWIRM RQAADAEGQKAMDRLETEGLPDEKGLPLPRSAKVRTIDPDMLLAHTEQPLPLSVVLPE YAAEKQDYIKIRRALYAPA PFICI_08402 MNFTRSVIVTGGTQHLGYHAALSIAQAHPEYLVVIASRSARADA ANTINRTLNQQNTRFIPLDLSSLDKVKDFAQKWASSGHAPIQALVLNAGIQHPYELHL TEDGLEETFAVNHVGHALLFHLLYPHLAQGARIVLTSSGTHDPAQKSGVTDAKYETAE QLAHPAGSDLKYPGRGRYSTSKLVNVLWTYALHRRLQVNMPEKSITVNAFDPGLMPGT GLSREATRFERFLWHKIMPNIIPLLRRVFTPNVHTTEESGQALARLAIGIDVAGVSGK YFEGLKQISSSIDSYDEKKQEDIWSWTINYLGKWGPVSF PFICI_08403 MSRLPSLARRLARPSSVVHQRTLPSAPLSSAPLSSAYRASYATG PFGHYPSPPRLPAEEQAEFERLQRTANTQESLDPADRPLSSSLTDQEEAAAQQQINPS PSPDVQSPVAREALSNQATLGEVSNLPLRKGAPPEFEGEVNPKTGEVGGPKNEPLRWG SAGDWSYNGRVTDF PFICI_08404 MDPRQQQHQHHHQHPHQQPPPPPQPQGANPFARTIASPPAQYRR SPFPPAQNSANSAHFPPPASHSQSHPPSASPAPGAYGGPGPDHQRRLSTDSHPYFSHQ QSRTPFERPDPTSHPGAPTHSRHQSASSIGGPPSRNMGPPSDPPQQQPMGPYSMQQTG PGPAPASGLRIPPPTTNSTTMFSSGRELPSLSSLARTAGMPLSNMLGGHAVQREPTPG SHPPGHAPFPPPSTTPGPSGPGPAYAATVHASPRMQSAAAADYPPFSRRPETPTNHAR PYEPRDQRGSVAGSPPQGFHGTPEMSTRYGTPGAHSVRGPPMPPFEDRRDQSGRLSAG SLPPRPSSQPRAFGNGPGRPMEMGRGPPPGEPYFGPRREELRPGQEYNPEGPPRSIYE QEQRRVMEQDREYRDRMERDAHFREREHMERERMERARFEEHQRLQQHQQQQPPPPQH QHQPPFMTEREHMDRVERERIERERQFDMRDRERRERTSSDPNRHGRPVDFGPQGPPG SQGPPPYGREIRDHRDAAGWQLRPGYDQTPARGSYEPAYAPRHGPPEHPVTSGPPFGS FPAHATQHGERYPHAGAASHPPSHAIPVTQSERGPQPFGSPDRHRFVPMPAHHQSQQP PHRVRHDEEGPPPPSVAYNGGPGPAVSELPRQPRSLDDGHPAPTLARQQSSSGFLAIG EINRKGRVSPLPQAVQGAQPQSMTPSGEPGIKSEFGRMFSGIGAGAGAYGMPSPGPSH LPFTNSGLARRDDADPLPAEVNAEQPAKQPRKRQRKVKDDDQKGDDDSTGRLTPVGRT KRARTNHAHHHHHHHHHHHHGIPERTASPLHAGSTPLKNVKTGTPVLSPTGLPMTHSH QIPRSSANAINSNNGVAPAKQTPQAPVVFIKPKKTVDSQMVLDSVAHKPRKHLGDILY EPEIKADHFRTHSKGGFRTKPKPLPRDLIQDNENSTLTVKIHRRHLEPHCREELTRNR AVWGTDIYTDDSDVVAACIHGGWFRGEWAEEVDISLLGLERPLPKAKGRGGNAGGEKG SQDTLTSPPATGPMHVPLDRDLHVTILILPNLIKYAGTTRFGIMSRDFGGSYKGRRSI HDGLSFKIEQVRWVDGAAPQSRLRGKARRERIRKAMSEVDRSQVIDIGGLKKMDKPAE APSTTNGDVDKENRPMQVEIPSPAQETREAAPEKPAGAQEANMDSDMPTLESEKTATV EVEATEAA PFICI_08405 MNQANHSGLVEISHDAAVSSGKQVDATVSRGEVGNLRDDPEAKA QFLATFDADEETRIMRKVSRHFFLLIGIMYMIKSLDTNNAANIRVLQVGQPRNIMAEL GMTSDEYNWVGSIYGIAYIIFEAPSNLLLKRMSPHLWQSRIFLTWGVITACHAAVQTR DQLYAVRFLLGMFEAGMFPGVLAQLSSWYRTDEMGTPVAIFFVFNSLAPIVGSLLCYG LSYMNGVAGISAWRWVFLIEGLATIVFSGFVFWLLPDYPKSPRSNRWLSAREQEFIEA RLPENAPLTNDPAFSKKEILAVLKRVLIWSFMLSQTLVNIGGYALTWFLPTIITNLGF AELPRNQLLNIPPAVAAICGILFSAWLMNQAIIVRPALIMIIMAGMVTCFVLFFTISN HVGIYISCILGSLFYQTYFIPFWAWRSATMSGSTGTAFTLGLQSGIAQLGGVIGPQLF QSRWAYNGYKNSFAIAGSTIIAGFVSNLWTWWLTRNTEYDVLRVRRIMNQARRDGRTN YEDDIRIFEERRFFEGIRRTKDPVSEDNSQV PFICI_08406 MADQGPIVDIEQASASAQAAEKHGDVVSPNAVTAVDSKDPAAPS AQVSGKRQKLSDLFTIIAAGAGLASDGYQNNLMTMLNVLFTQKYPDVYTSAVKTQVSN ALLVGEVLGQVVIGFTCDYLGRKFAIVLTTLMVILGGILATAAHGTTTLGMFWMLTVA RGIVGFGAGGEYPAASTSASESANEHTLRNRGPIFVLVTNLPLSFGGPLAVIIFLIVI SAAGVTHLSTVWRVCFGIGCIIPLVIFYFRIKMLNSKLYRRGAIKKKVPYLLVFRYYW KDLIGTAGAWFLYDFITFPNGVFSGTIISSVVKGSNIVKTAEYQLLLGAISLPGVFIG AFLCNKIGRRNTMMVGFSGYLVFGLIIGCAYDQITKIIPLFVVFYGLMQSSGNLGPGD MLGLISSESYATAVRGTCYGLSAAIGKVGAAVGTEAFTPIQTNLGKRWTFIIAAICGI TGVIVTRIFVRDLRGEDLREEDERFRAYLIANGWDGEMGEEDLKGLAQEGVEPALVAE VEHEKGMKH PFICI_08407 MGFAFRGKGSAALADESASTATGIAIEIGGEEVHPAAGLRKFKR IHKWDPFLDIQKLDDVDHALATGDIEKEAAIEGALLVEDSPYPEVRAAVHPTDDSDLP VNTIRAWTIGFFLCTIVAACNILLGLRRSPTTISSTVVQLIAYPIGRGWHAVVPCKTI RLFGHDLELNPGPFNVKEHTIITMMTAAGATVSYAIDILLAQEIFYNQYFGWGFQILL IISTQAMGLGLAGALRRYLVQPAAMVWPANLIFTTVMNSLHDHSPSDPSTTNGWRIGR FKFFLIVALCTFCYEWIPQVMATFLQMFTFICWIAPESVVINQVFGGQTGLGLVPISF DWSVITGFLLSPLQTPAFAILNVGAGILFMMVGCIGLAYAGPEFMKYLPISANSNFDH FGQSYNTSRILTDEYTFNQEAYEEYSPLMLGPAFSLSYGMSFATLASTVVHVALFYGR DIVARARNARFEEGDVHLKLMRRYKEAPGWWFFIVFIISFAFGMIASQAWQTHLTWWA YILCVIIGVVFILPVGVIQAVTNQQAGLNVITEMVVGYMTPGRPVAMMLFKSWGYMLA CNGLVYVSDMKIGHYMKIPPRSMFAAQLFAVIWLSIVQVAVYNFLLGNIEGICTSSQP NGLTCPHAKTFYNASVIWGLLGPAKMFGIGQLYSWVNWFWLIGAGLPVIQYFLAMRYP RSFLRYVFFPAIFGAAGMIPPATTWYLGQWVIVGLVFNYVIKRRFFGWWTRYNYVLSG ALDIGTALCIVVSALALGLSGATFPDWWGNLVWQQNLDSEGTAVSKVLPDDGSFFGPS QWS PFICI_08408 MRIPKLLSFLCLASKALSASVVNGTTCTVTPLGSGSNGKDPDDT PQILDAFKKCGKNGRVVLAEGLFHIGQVMKTTDFSNVTIEIHGTLKWSSDIQYWLRNS ISVTYAGRSTAWMVGGNDITMLGFGRALFDGNGQLWYDQNRNQGNQNGRPISLTLWNA RNVLVDGISWRQSQFWHTFVAHSQNVTMTNLDMNATSSSQWSTVNTDGVDTWNSKDVV IANWTVTCGDDCISMKGNSTNISVSNVTCYESGCACIGSIGSQASQPDYVENVYFDNV TCIHSSNAAWIKTYSGTGRVRNITFANFHISQVDQPIYVTPCIYSASGCDSSRLGISD VRWVNITGTSRYNVAAGIHCSAATPCKGFSMENVNITPAAGGSAKWLCSNIEAQSSSG IPCTGTCPANWPQQLKGNQ PFICI_08409 MADWAGKLYDIARADLSHTAAMSAFLAVSFHLVIQSVEFEDFMF HFLAVCTVLWPMLLGFFIHYGQYHPTAAVAKSAVVATTFQGCLLLSIAVYRLGFHRCS KFPGPIGARVSRFYATYLSLKNVQYYRELEKMHTKYGDFVRTGPREITILRQSAVDII YGPKSQCRKSTWYGQTGNDPLKSSLHMTRDHESHRLRRKAWDKSMSVKGNIIALASYE PRIMSGVNALVAQLKQRGEDGVNMTDWSMFFSFDLMGQVGFGKDFGQLHTGQEHSAIR PIHAHIKTLGIFQTVPWLLYLMSAIPGAAAAYSEIFDFCANEIRTKQQTWNKEKDPSD IASWLIKAVQEKDVSAAPSPQAIEDDARIILLAGSDTTATTLSHCLFLVVKYPHEQKK LQALLDATMPGGADDWTFEKVKSIKYLDDFISETLRVKAALTLAGPRETPAGGLQIDE VHIPGGVNVLVPAGQIHRDPRYWKQADEFIPERWGERREEMGTDGAPYLPFLLGRCQL GRLDCIDRV PFICI_08410 MILGTAHEEHAEAGETRPLLLSSTERAATSAPSEARSPTHRSRC SWPWTHVVGLVLCIAILADLGESMFMAPRMRLYESVICSEFFSQADPSLIGPDGTVPE KMCKVTPVQEQLATLLGWQSFIDSIPAILLPIPFCYLADKYGRKWIMALGLIGFTLSY AWAELVVASGLRLQYVLLSSVFYLIGGGPTTATTLLTTVVTDVVPPELRATVFLYRFC PTLVADLVVPPITAALMAKDVWIPLLLSVALQGFGALLVILTVPETLPILILENNLDE RDNPRAEEPNGAPDKGQKLTQRLVDWTRDHIASFSFVTRHASIWSLVFTFLISKLGRQ SSNVLFQYVSKRYDWSLGQAGLLISVQAAVSLALYMAILPAIATFVLSSFSVTSKDLL LAKGSIVLSVLGAVVITLSATPALMIIGVVLFTFGSGFAPSVRSLVTSLVEQHDVDGS SSDVGRLYALISAMEGIGSLVAAPGMAWAFRYGMSLGEEWLGLPFGLATALFALVLII VFSVRIPEA PFICI_08411 MRRISTLFAAAQLCAASRHGFSIHNDLLAYPQFEVVLSDAYISE HEAKVLLDPGNRGNADDKDIQPAKPVAHGIHDGSNDDGSLETTETYEVMTMHDTRYLC AIPVIETPPVQNKTETELAKAEEARELARASTHGWELVNGLDGTCLYYVSGWWSYSFC YGHDVVQFHAAPSKGGPPKRDPNSQEYVLGRVQDPSSTTNRRGRSSKTTAPAAANKDA AKPTTSPPNTELQVKGDQRYLVQRMEDGTLCDLMNRPRTIEIQYHCSPGSTQDRIGWI KEITTCSYLMLVNTPRLCSDVAFRPPPPSRANTISCRTIVPEAQQADWHAQKTLEAQA AMIGQQLKDAAQAPVIIGGVVVGGRQVLGKGEDGKEAPQLKPPRSFHKEPIVEIIALG NTDEDGQLEVLSDEELEKLDLDPELVEELKKQIQELAGENGWKLQVVEEPGNNGREIQ GVIDTDGGKGPKVDAEGDEGEESEESESSDSQGSEEKFFKEEL PFICI_08412 MSSLDVPSPGYEFQLSSLPHAALSFDQQDMFSRRKSIPRSAGLS SHGSLQSRQTFPMAPQSILPSQGVQQQRTLSHQTMSSHQMHRTASNMSTQSASPFMCS GPVTPPPKSIPAAQHYMSSNESAAAYMAAMHASPARPRARTAMSMNAGLTTVAESKLL DPETYFANLEGLQDAANASYLSTSLPGQMRLSDMPSQWHPSSVSVCGSMTTGLTPDTA PMTRENSSLFDSQSVGGAMHMMQLGSHQGTDQSHLGSPRYAVTSSGQNSPLSKHNSPA DEDLNGVGSSLVQPYLSSYGHSTTAEDGPVSQAMSRSASNASAVSTKSASSLRYRAKE TLHRQNRNIATLKPKPAAEQKSTDGNSGKKDGKAVITKAKYVRPRQPKVFCEKCSDHP EGFRGEHELRRHRDAKHPEHGMVRKWVCVDPATRNLPVGVAVVNPLDKCKACKAAKKY GAYYNAAAHLRRTHFKEKPSRAKKGGNGASRSDDDKRGGKGGGDWPPMAELKNWMKEI WVNKDDSKVDSDEDNDEDNGVEHSSGDMEVDLDNNMNQMPVEYPMAQHTPGGIVSDIT FSNMYPAQLGMNHGMVPLYSSQQLISSAGFTEYPGSPMGHAYPWNGHMPQYGSVVSSN DTITPSMANYGETMSNVGDIHYDGMMYSQ PFICI_08413 MGSYVSVPICKSQYNVTIDCNLTTYNSSSSSNGTLVFKSIGGAE KGHVEPDPDIAGIGILGVFVGVTAFAMLISIADVLRQIQKTFKWKRMSPKEYKRTLTD IFEALLQSCSDQQIFTGAAYALTLRYWRGCSITAYHYNIVANLMLLTCATHLMSVTII RNYWKFPLLALARVLCVSGVFVLTGVLMSNQNAQSSAPFPTGVPSEDTIENGSAMYLA AACFQSEDSHLTDIFRDSTSSPDKFFNGALWQSTPRNYIQGWRWYLVTLLFYGAAIIA EFIRFCRRGQKRPGWRKNLADKLGPYIHTGTHRRLLIKWIFLIYLIGGIGISCATVVF STQYIYGLRSYVDRSGWLEVESNNGQNPENDATSFGQLVPIFLSALVFFTFLQTISEK WTDHKNRKHGDEEIADQTGNIHFLDPSHYDLINQMPTETKPTATYGVSTVSSGPGKTK PKPTGPPMKLHNTVSSANVTAPGLQKPVGEPLMSHSSVPATSPEAQSLLETNPHASNH EELYIADQEASGPRTESRNATHGSDHDYEVGLAAALAFSPFSPTPSHGGATP PFICI_08414 MSPSRGAFNPDPEDWSTLLAVTAFLSIALYNFFELNTIIYTTFK RRSGLYFWSFLISTNGVAPYAIGFLLKDLDLSPSVYIDISLIIVGWVCMVTGQSFVLY SRLHLVMREREKLRLVLIMIIVNGFVCHVPATILVYGANSAHPDKWLKPYNIFEKIEV TLFFIQETILSGIYIYQTLGFFRLESALHGKAATKLMIHLIWINVLIIILDSSIISLE FANFYNMQTAVKGLVYSIKLKLEFKVLNDLIELTKAGSTLNEFPSATCGSHHCYCAHG GRHDGLQGIAANGMVPGGIIGDHGDCGGGDDSGGGIYDGTDPRSTTVDFETFNETMMS RMRDGAKSERAESAYEVRIFSDGGGAGGETAGGGGEHGNNAPPEGGITVRTETEVCTT RLDDWENMEWPGLEEAIAHASRPVTRADVSRRQSSEIDFANKQA PFICI_08415 MASATGDGAPLAPPPPPPHYQQAPVYQTPDWEAHTRSPRHSFLS RFLPSAAAATLLARGRDENHTAGHHDLEASTKEANAPGAQGNGNGAAPRSVESTLPAA AAASTGAMTGQSPSMRQRLDSILPPHRTYFGRSRRFILLYVLLPLAILLFIILPLAIG LGVGLSRRNGVDSSSLPLPSNTATFTGDLTFYDPGLGACGVTSTSNDDIVSVSHIIFD AASTGSNPNANRLCGQKIRIERANGSGGNNSVDVTVVDRCTGCAAADLDVTLAVFTQL AQEEEGRVQMSWAWLS PFICI_08416 MRSAVFVSTLIGAALAERPFLNEPDTGIEDVFGDTPDGELIPLD GIVGLPDFDWAARKAMPIANYSYYRNGAGGEWSYRNNLEIHNKYPLRPKMMVDILDIE STLPTTILGYNVSAPFFISPCSRGAYGNPEGAERGLIEGAAAKNILYMAAFYANLPIE EIGEIAADNQTFFRQVYLDQNDTTTQSVFDSAAAAGAKAIIYTVDSAANGVRHRAARY GVGSADTAYSYITWDEYARLKTLTDLPIIIKGLQTVEDVQEAVARGADAVYLSNHGGR QTDGVRSPLEVAIEIHNQAPELFSQIEIYADGGIRYGTDVLRLLSLGIRAVGLGRPFM YANVYGREGVEKAIDLLRSELAWDAANNGVTDLRNVSSSIVSYGPFLNLCFCVQV PFICI_08417 MISNKLAIGTHSLGQHDSHSLDQKIKSAARHGFSGVEVVYGDLE TYAQACGIALTAAAKAIRQLCLEHNVAIISLAPFENFEGDKSPLRQRLSKAQHWLDIA RTLGAEYLQVPAQFTVDCDGDEDVIASDLQQLSDLGSAKQPVISIAYEPMSWSTHVST WQTTLRIIKKVDRANFGLCLDSFHILTKIWGNNRDASGKYPNADARLATSLASFKRDF PLEKLFYVQMSDGERFDPPFSREHPWFLEGEAAEFTWSKHARPFPGEKDYGAYLPVGE FLKACVVEKKFRGWVSMEIFDRRMRDGQYSIEDAAMRAKRSWEYLLRQVSPPQKL PFICI_08418 MQLTNIFVSLVLATAVTAKGHKNGTASVKSQCAQVAKLTSQAEL ATNDTRLADKFDNNQTAIDAFKAKVADKQTQLDTLSANSTLMESCSVIAAHEDALDSC DKIQSWEKNIATAANDTKLTDKFDGNTTKVDAFKAKASDQATKLAALTSNTTLTDFCS VQSTLSDCKTMSKLQKEVAFASNATALSAKFDGNQTKIDKYTAKAAKVQAKLDALMSN STLMSTCSSLTQASTDGTTTSENAASSTTAASAAGRVEAASGLIAAAVLAMSAAFFL PFICI_08419 MFPSVVNIRPCPKCKDIKKHEWPSKIPQNTGHNDPDQKSFKMSL ENWFQETDVFLATVGSEFSSTISRGYPKDIIQSLHTAHASCQCHGCVGSRPKKRRADT AHDPVTQKLQKLGPTQHKALHRESPNNATGGSHDTMNLESMAVDARQSASYQVSEARY LPSPPNSQYGRSDGSNTSSYNSGTHNPVLESIPKALDGAALSSGLEQHGVTGRQGKNN SGFNRALEAIRKAFLEQSPPRSVTPEHLVAQTHLGALNAQIPESHGRSPLQKTVANGP QQKHGQADTLQSNTKRKNVTAQSPNANDRAIKRVKAQHHVSESMGGFQDPVFQIPTNV DKRIRNDHGLKTSANGDYRESNALGISVKNNEMQGSIGVRQFAQPVKSQAYAPESQKR TLFQKSSARSSPEMMKPVANSGAGCNHGSSFSHAQKDHGVHRVTHRDTHPVSITGDNI ILNNNGRPNESIAVVNGIPGSNFYGNKYPSDSNVHHNPKPVGKLQLSHFLGPSCRFLG RETKVTDLVSLQQSVDNVWGISYETDHQDSHFAVRTPSLSSTSSVTVDSTDEEIVDHQ SATGVAAEAVPEKEVLHKDKFGEKGTIQKMPSEETAAENECKQDVPKQNKQALPTEDQ SQGDKSAQQSSKSFEEEMASMMDEAHQDGSNEAFTHDEFNSLLEGFFEGF PFICI_08420 MKLLALFTLATAALASPVIVKDTKRQSVGATANEFVNGGCRDVI FIFSRGSTETGNMGTVIGPEVANGLKTSLGDSAVAAQGVDYAAALDTNFLPGGADPDG IATMVSLLNQAASQCPDAKIVTGGYSQGAAMTHRAVEQLDAATVDRITGITLFGDTQY QQTNGVVGDVPANRVKIYCAAGDLVCNGTLTITAAHLSYGVDAGDATSFLVNLINAA PFICI_08421 MSSNIARALVVGGTSGIGYGIACRIAQEAKSATVIISGRTKPSD IPHANIEFRKLDASSMSAIKTYTDAYKSSQEPKLDLLVLTQGIMTMTGRAETPEGIDR KMALHYYGRQQLIRELLPALQDDAKVLIVLGTMGSPDNLNWTDLDLKSSFGVSAAAQH CMAMNDAMIQYYAEQQKQTGKTRHFVHASPGVVNTSLGGELPWYLRGSARILSTLLGV SPQVCGERMLKGTYECATLSEKQGRYWSYMDSKGKPVKNKASWDDEQTQKVASHTWKL IDGVSSS PFICI_08422 MSVLLIGGTGKTALRVAALLSESNRAFLLASRRGPDAAPSQYPA IKFDWTVESTWGRAFEIGPVESVYLMEPQVAQPWVPMIKFVDFARQKGVKRFVLCAGT STAIGKDGMGRVWEHFIQTGVDYCVLRPSWFMENLIEPGPVHTITKLDTIFTATQDGQ IPFISADDIANVAYHALTDAKPQNRDVRVLGPEILTYDKIAATLSEILGRRIEHVKLD KARRIDGLAKAGVSDYYAQFLANLEVLASEDFEKATGTDVQDLTGRPPKSFREFAEEN KGIWAPAT PFICI_08423 MPLVYMPAIRSIIARDMTFSEKNLIYALSALTSFHMAGKSLAVD GQPAWDEVGRFFLDECISVRHRYDFLEDTSLFAVISSFWLSTSFFEINQSRKSWFYLR EALTLATEIRLHDDKSYTDLPPEETLCRQRVYWILFVTERSFAILRNKPLTLRKTPSL PTTKHSYEAPDIHVGFMKLVSIYVPLDESFVNAWNDGSDPRVSATTYLHLQRLLAQPL DFLRPKRKSPTPPTTLFPTTMDSPQDDESDEPDPTSIQKADLMITQQWLRLIVWQSSF RQGLLSTGANDQSFHFHFPLSIARDTASILQSLPSRAVEVHGMGIFEKIFEIGTWCIN VMGAYDSGTPNPSRPFGGASDGVAGMDYVGGELSLFGQSGRSGAVLDPLEFFIKTLSA SPNSKTMYADKLLMFAEQTPSGMRTNLSPVIIPFGGLTREDSWSTISGAIGETVKDEA ADGDVYCDTDLTTEPMTMDTDRGMSLSEIVMTSGFGRVNSASTDELGLNIVTTRSSGH STLDGGPLSAGLSNAFSDLSTSGDEYSLHPVYTNDVLPGMSIPGMNSMSSASAWASSD PDEERRKGQARPPPANPNAKAERVLGIW PFICI_08424 MEELPIRPSGLFWQDDFITPEHEAKLIDIFRHELEWPDRAGRLS LHYGYTFDYKTFGVDPDVPFKAFPDWLVPLIPTREDRQPDQVCLQHYPPGAGIPPHVD THSAYDQLYALSLGSPVLMQFQLGGSGEEGKENRVEVDLTPRSMLQMSGDSRLHWTHG IKKRKTDTLPDGTVRKRDDRWSITYRWIREPAVCECGDVKLCDTAQMRVGIEKEYRWK QGNETSDGVAAAAATS PFICI_08425 MSEASLSNSAGSARDDGIMTRSSSVRKHAAATLRPTPPNTDDDD LKVMGIKEIEKTIEKLKKQNWDLKLELYHRRERQAMLEELAKTSQERAKILQDEHASI IAQQAETMRLNDDLAQELDKRDKALFEAIDMIVDLQTTVAELEREKAMVRIVEADLHG PAISGQVDNFDRSTNARPYQPNSSGLPLTTDFSSRLGDPKTLERMSSFLSERGERTAN LRDMILHNKSSFFHSRKISEASTIQSEFNRSVSPGVSLLSESSFLSVYGMNKRTPDQM LSPPEPEEPLVMQSIETPGPRRNTRNFSDGSRASSIITPLAWADSQTKFLDIRSPLQQ LERLDGKLSVEGGSRPTTSSAARSAHPPVSQRQRAQIKTNQEKRDSLRRVVTSSPNAD DLANAHMLPPTPDTTSSSMLRRHQNSHETLSEKSSITPRESIAHEIHMTPVTALPPQI STSSAQGDFSRPLSKLATTERPSFLGAPLPEEEASRTQQLVRTASQRPRSADETTISR HLANSWDSDSDSEGGADAYSEANDMDYWIREGAKPNPKSTAPRSTSPDLFSFPTESGR WETDVIFGAMRGTGFMGSPAPGLKRDPMDQLSSSPLIAPEDGVYYPPEPDAPDGGISA PQRQSSRSARTSSMTGSENTLEPVKARKGPVRWSDSTRGSGRTRSSSIDSAALSSSVN GPTKYLGDGSGALKRTNHPPLAGQPARQKKPSTLNRLFRRSLGGSQDQAEEDQEQRTP TGQAHARPRRSIHSGRSSVPPPATTPWRAPAAVLESDLTSATPPPIMRSRGHRPDFEL HKSGDKLQTPRSSRVVSPSLLHQVTSETDNEMDTPQSGRRKWLSLGRRNSLRGRN PFICI_08426 MARSAINAESGAPRRSQRNIKANSTSNGTIKNEADLSDPYPHAN HKATATTPKSGRVKRVKPEEEPGLGAPEALESSTPKSRKRIKREASASENVKEESPSP RKVKKEKTKADKAADLQAKKLKQYAQFSKASPFPDFAHPTPEECKLARKILVNLHGDR QRPEEVVAPTNRAGCGDSRSVLDALVRTILSQNTSDKNSTRAKLSMDKAYGGSDKWDA IVEGGQAKLQKTIESGGLSQSKSRVIIDILQQAKAKYGTYSLDHLFEASDEDAMREML AMHGVGPKTASCVLLFCLRRESFAVDTHVHRITGLLGWRPRDCSREESHAHLDARIPD EDKYALHILIINHGKRCDECKAGGKNTGKCELRKAFRGGKIKGEAGEAVKEEEIEKIK EEESESETEKDAIAHAVEST PFICI_08427 MHLPAVLLASTLFSSSVVHGLFTRSAGTVACANLTSSLGVAKVV STPLNPEYIATTQDYWNARQSVYTPACIVYAQSAQDVSVSLKAIRAAGSRFAIKSGGH NPNNFFSSVDGGVLVSLEQMTGMSYDASSTLASYEPGSNWGELYEYYQQFDVTVMGGR LAGVGSGLALGGGLSYLSPQYGVACDSFRELEVVLPSGEIVTASESTNADLFLGLRGG GGNAYGVVTKYTVQSRPIGNFYAGNLVYLFEQCDAVLEAIHDFIAYNNDSKASILPTY EKLPTPDVNLNLDEAIILFVVYDGEDPGTAFDNFTSIPHIIDTRSVKTYTEVVNMPVP FVAELTRADNVFRSGVHHIEDESYKTALDTWRTWAEDNKGSYIHTGLYLYPVSRSLTD ASKAQGGDAMQLPDGPWFWTAYDLATPPGLIDSVYDAIQDSFRDMVAATPDADDLPLF LNEAAWDQNPLSTFSTYSELQQTKQKYDPDGFFANKTGGWSFA PFICI_08428 MVRIPTLALFGAVALNVSTAFGAVANSSPDRRAEGLRLVKSSDE DPGTWMTEEEKYEKFTYKGLGFELEAIRIDRMMNIAEVQAAAFPTTPSHQTEANALIA NVEQTTLSSWLTSMAAFYNRYYKGSYAATSATWMYNTVVDVASANSAITVKQFTHSYN QPSVIAAIPGNSTDVIVVSAHYDSIGSPANGRAPGADDNASGIIVILEALRVLAEAKY KGGNTLEFHFYSGEEGGCLGSRDVMQSYKTSGVNVLAVMNQDMTGYSPNNVIAVYTDF VDTSLTNFLKKLVPVYSDLPLSTDACGYACSDHASARDAGFPAAYVCDENMEDSSPYI HSSQDTVATVSIPHVYQHAKFTVGFLIEASYF PFICI_08429 MEERETAPQSASAPLLDEEVAVDEFQATVEAQLARHSSSVRALE LPESDQDITLQNVKRELSPGRDEESAERELLPGIETVIDLTGEDEAVQQEEHRGSSTD SAPPARNSIPAPADQLQVFQHNPSEVSLRPGMLVEINEIRQLYHAAFLMIQYIIDTPD GIVLRGLPLTRTRFLRGQLPRYRNEVCLVLQIDENDHRDDMLQAAVDVPVTDVIRERE LHITNEDFPIHRFGGVFHSIEDIENKALLACRWKYRLLYKSTAARKARQLPCHYVLTH LRAEDILDAQLQVSDTTRLNRWRGNKVRGGSYNVNTRKDLEAIEDLESDGGTEVAWIK KDQGQRYTMGDMFSGAGGTSLGAQQAGLHIRVACDNAQHACATHRRNFPETDLREEDI WKFISEDLTWGGKGDYVDVLHLSPPCQFWSPAHTVSGQNDDANIAVLFSCHELIKKLK PRIFTLEQTFGILHPRFEHYFNALLHGFTQYSYSVRWRVIDLLEWGLPSRRNRLVMIG ACPGEKLPTFPASTHARNPPPGSGLKPFTTVKDAMKLIPPNATMHEKKHVRFKDHWDP SIPLARCITTHGGFGNYHYSGRRDFTNRELATMNGFPAWYEFHPQNVKKQIGNAFPAR VVRVLYSHLLKWLEDEDRVMPVEEQSRFEEESEYEVVETDVEDDLEYLGQAVKERKNS ASRGTIVL PFICI_08430 MTSADESKPVQPALQAQYTNASTTLPAEPFTLTQTLPAIPSSSS SSEPPSAYLRALRDAVTQTQSQINEALTSRMEDDKRREAEAALAAGQGTGAGAKNNKR KKGKTEIDEEAEEQNYGEEVVDED PFICI_08431 MLSAFTARPIIELKQRDKSKIETILAYGDRVLVGLNTGALRIYR LNNISTDAGNVNGGNNNNGVASATGSLPNDTDQPKHKSNPKATDLLREVEKFSTRPIE QLARIKEANIIVSLSAYYVSLYDLSSYELIETLARTKNASCFAVTSNIVKDAATDIPE IISRLAVAVKRKLLLWSWHASELSDEVKEIVLPEAVRSVTWATATKIVCGMNAGYVLV DIDTLTTMEINGSGAASAGGQGSRFGAASMGYMGLGGYMPKPLTAKLADGEMLLAKDV SSLFIDTEGKPLDKKQIPWQIAPDNIGYSYPYILALQPPSKGSLDVWNPDTLSLIQNI SLPGAVQMHFPPPTVSLAHAGKGFHISSDRAVWKMDATDYDSQVAELIEHKKYDEAIS VLGMLEDALLKDKVATMREVKMRKAELLFRAKKYRQSMDLFNEDDVYAPPERVLKLFP RAIAGELAEEVAREEPVSESEQEAQEAEQESADEEDKANGEKSSEDESTPAHAASPTR GGGFARYWPLGGGAKKADSDTASIMSKKGHVVDKDTETASVKGSRHPSETIPAANSVL EGKDLMEAAGELKFFLNGARARLQRILDVETGKLKRQSSSLSGTQPEQLTNEDHAAIN NMSASFLVDTSKLDSDQALEESIRATFTLVDTTLFRVYMLSQPNLATHLFRIPNFCDP KVVNEKLLQTHRYNELVEFFYGKKLHKEALTLLRRFGIANEGHDHEEKKTLLRGTRRT IGYLQALPPELIDLILEFSEWVLRRDPDHGMDIFLADSENAETLPRDRVVEYLAAFDE NLELRYLEHIIDELDDATPDFHNRVVELRIKKLQEQKEGDDSIGEASEKLVDFLKNSE QYGPGPAYRLIPRDDPRFYEALAVVFSKMHQDKQALEVYVFKMRDYAKAEEYCNRVHK TRRNSSSGTSPTVSIFGRTSRPSSSQQRSNEEPTDQDDPDSHPSIYHTLLGLYLTPPS PHEPNLEPALDLLSKHGSRLPASSTISLIPDDLPVKELESYFGSRIRAANSRLAESRI VEGMRRTLLVDTQALLLLGDGMPGGQGGRNRRVVVGEERICGVCHKRLGNSVVAVLPN NSVVHYGCLNRGGGRRPTSTSGGRVTAGAWGRSS PFICI_08432 MSTKSKSSAPAQKIELFSPTYFAACTLGGIIACGPTHTSVTPLD LVKCRRQVDSSLYKSNIEAWKKIYSAEGLRGVFTGWAPTFVGYAFQGAGKYGFYEVFK YYYGEQLFPNAPKTIVYLGASASAEFLADMALCPFEAIKVRMQTTIPPYAKTLREGWS NVAQKEGVSGLYKGLYPLWARQIPYTMVKFATFEKAVEMIYKQMGKPKESYNTLQQTG VSFLGGYIAGVGCAVVSHPADVMVSKLNADRKPGEGAMTAVGRIYKNIGFTGLWNGLP VRIFMIGTLTAFQWLIYDSFKVYCGLPTTGGH PFICI_08433 MATDKGAKNALNLGDLTNNDLRLVAIAWNCLEDSKASNSTFSPS RKLWHKMDRTLRRPSNPLLSIDLDKFTAATGYANPTSARVCFNATKRKLTTFFNTISN AGDATIAPSPKRKGADNTADNSVPAAKKGRTTKKSRAKAKNVKNEDADVQEEADVLED GEI PFICI_08434 MSDFPLPPRPATPQVLVHRLPDKIEDSDNSRKPEKYVVLISGST NAPGKVQIAREVSAALSCAFYLGDSMHESAAKAASVGFNRQMPTTGMSSDAGAVESPT PLGPNEARYQRMWLSKMTRTGLLFPEKSRAAGEGFSGFGGASSTSTSRRGSASSVASV SSSAAPGSSIASSRRESTSFAHSGPPASSTFSSEGPVANLHTSIPPSEKERRRLANPV LMVLTHPELEQWHRDAIRKAVKDYSIGIIFVPLERGSDEGGDEEELPILRPLDPTTMT SFPTSFRAFASNARRVPSLDEELKLNIDIENDMEGQIAEITESVRDMINIEV PFICI_08435 MGITFPKIYNVHFVAIIATLGGALFGFDISSIAAIVTSQQYLDF FNKPAGALQGAIGSALAAGSVLGSAVAGPLSDKIGRRDSIFIACFFWLIGTAVQVAAG NSGTLIAGRVINGITVGITSSQVPVYLAEIAKAEKRGSIVIIQQLAIEFGILVMYFIG YGCTFIDGKASFRTAWGTQFIPAFFLLLGLPFLPRSPRWLAKVGRDKEAIETLARIQA GGNIDDPLVIAEWNEISTTLIAEREAGKGWRKFIKNGMWKRTLAGMSVQAWQQLAGAN VIVYYLTYIAEMAGLSGNVGMVTSGVQYAVFIIFTGVMWLFIDKTGRRTLLVWGALGM GFCHFVIGGVMAAHNYSVPDGVDGNPNVIFAVTSGAPANTVIVFSYLLIVVYALTLAP VCWIYAAEVWSLGTRATGMSLAALSNWIFNFALGMFTPPGFINIKWNLFIVFGVLCIA AAAWFFAFYPETCGKTLEEVELMFSKDGPKPWKTKKGESRLVAEIQAVMEKHHEEPVT DAVEAKA PFICI_08436 MNASSMQRPPIIIIGAGVAGLTLAQGLRLRSIPFRLFERHPKSH SSQGHRFRVSKEGQEALSTVLSSELKNIFASTAPGVPRIEPRYVDTRNLDFSRPKPVD PVSIPMDRTWFRMLFTLDIEDAIEYGKEFKSFTTIDQHIQVHIKDGSHVIGRLLVGAD GIKSHVRRQLQPERKFLDLDRWVLWGRTPMTESLKEYLSQDLLSWCMYLDNDANVQAI VEPMYWPISLAHKSQLRLPEFQDYLYWVICTAKGQFSAELPKTTEEKQRYLMDATKAW HPTLKALFSSASHERSACVWVLSSIPDIEICSGIGTKSVVLIGDAAHPMSPMGGSGAD TAIRTAADLAETIAEQGITPEKIVDFERRMAILAKEKIEHSFSGGKKFWKGTEWNEYR EMNI PFICI_08437 MKFSHSIQFNAVPDWSSHYIAYSNLKKLIYTLEKTVHQSGTSDV ETRPLLQGEDPEAVFSRALDVELEKIVSFYQIKEKEILDEVEELIRDVGAYEELGESA YDIAPRPSSGPSADHVRQVASGRSARSAKSTKSTEDGIEDSDSGDDDDDETSALTKKR RPSVLGGSRRKTVPNLLASTTDMSASTEFPRSARRASVAAYDDYADIGSKFSSGVMIK KRIIALYVQLCELKSYSQLNKTGFRKVLKKFDKIIDTNLRQKYMPSIVEPAYPFKPET IQRLDDHISDIEKAYAAVVTEGDQEIAKKDLRSHLREHVVWERNTVWRDLIGIERRAE AASLGRGLLGAQQDGMKTRLQGDDAPLPQTKEIDTPLGRFTCPTWVLGKPMLTLLIIL AIFIVLLVVPIMELPEQQNCLAMLVFVSLLWATEAIPLFVTSLIIPFLCVVLNVVRSD DPPNYRLDSKAATAYVFSAMWTPVIMLLLGGFTVAAALSKTKIDKRIATFVLSKAGTK PRTVLIANMFVAAFASMLVSNVAAPVLCFSIIEPMLRNLPSDSNMSKAVIMGIALASN VGGMLSPIASPQNVVALGFMEPAPTWAQWFFVVIPVGILSILCIWFLLLVTFQPGKGT TIVPIRTMKEEFTIGQWFVSIVCLATIGLWCASHQLESTFGDMGVIAIMPIVIFFGIG ILTKEDFNNFPWTIIILAAGGLSLGKAVKSSGLLHTVAESISKEVHGLDMYLVLLIFS SLTLVVATFISHTVAALIILPLVSDIGKGMEEPHPNLLVMAAALMCSAAMALPTSGFP NMTAIMKEDATGQRYLQVKHFISRGVPSSIITMLIVVTVGYGAMRIIRL PFICI_08438 MQPNRIQRAPGSFSPLPGSVSTSAAAAAAAASGSGGRDDGSSCA PHPPLRPLPQPYQHPSVSSSSQQSARPQIRRIESSSSEEHISLAKVRSPQSPVERSGG GGGGGVTSPSYASFTQHANSSSSSLHNFSRPVRSNPNTETNSVRTASPLTLPSRGLHT RKHSQTQGLFDSTLPSKSSLSLSTSNIDTVGGSTRSPSGALPASHIAAQAAVQQHQHQ QHSRNRSQTVPFPGSEGTETLRRPAARPIQGGGSETAVGARGPLSPPMLSLTEASVPR DGGTLLGPTRSNEQTYHNGLLGSSAATAAANVVFPRASPQSSPSLSTTEQTQQGASPY LPPLTIPEKPAKAEKSKVKLFSRPKKIDVKGDSKEKPLPSPGKIGSALASLQRGNFST ASLVESQSIYNLNNSSSATIRPLDTSADGPAAKEKEKKHHFLSRQKHKISGKDDYHLP LSSAASNSRPVDPNAPNSLYNFNLPPQSPAPTTTSFSKSVSGLDLRHGGRALRDKRKE DKLGMAHDNESVFTLNNTTSNPEWPGPSSLGSSLSQTQSNHALAMYDPVDGTKYGLHN MTLDDAWPYLKAKLLVVFEGEDLRLPIEDFNRVVTMHIQWCIQRRSAHIIMEDLRELL STGFASLDHTLRRSGTEDTNRLIPALVDVWLATFTTILPYMQAVFLPLDLEFSGNGTL LTPEQARDFWGGVVGGPGSTAKELGTVLDVRRLVLLAFRDGLILPRYDKLRTIFSRLS LEHLPGSLASLALSSPPPPGEAIMSSSPRDHINILDSARPSTAMSLDPSVASYNSSSS TLLNEGSNPSRSRAISNVSFGSGGSGGDGSQGFLRSLGGRARADSDRDRNRDQEAESG SKQVTDMVGRMLQCMSVLASVGGNTPSPDSNRQEDPGSKQVAELGRLLKLNWLGRGRT GRNRRGMVGGRIKRDVSAQRSIGGHSRANSALSGGMNGLGIGLGGSRDGLVIPV PFICI_08439 MPGTFHPGMPQGVHAGHAMAPGMAHNPSQPGSQQGMAPQMAGHM VSAPGGQPNPAAFMAGMHPNNPHGQAMQQMNPQLFHQQQQAQHQAQLMAAQNPALAAQ MRQQQMMNQQRQQQMLAQQQGIYGSMAGNGMPVGQHMGPNVQMTAQQFQQIRNNMNGM RPMGVPTHIQQAHLAQGQHPHNMQQQAMMQHLLQQQQQQQQQQMQHAGQPGHPGQMNP QQQMMNHNAALAQQMATMQQAQQAQQAQQAQQAQQAQQAQQAQQAQQQQAQQAAQNQQ AQQAQQAQQAQQAAQNQQQQAAQQQQAQQQQQAAQQQQQAAQQQQQQQQASQANQPTP QAPGQQTQPQPAAQAGPQAQNTTQPPPQASTPANSQTSQPPTQQQTPAQANQPTPQAQ AQQGQAPNPQQPQPQGPNLQLLQAAQMKNFQMQQQQMQKNEGNIRGQCILKLVSFAEH LNAFTGPVDDHDEYWPSFVRQFFSPTGTFRYGLMDISSPEATEKTYEIVASALGRYFQ LHFRGGVTRIQLAFGSRMIDKSLPDGSHYLENADSCITYSFGDTTRVITNGRLQAIFD TNQKLSLFEFTTTAQEEYLSRSMVLHSALPNHNWVKDWNKLNFGDAKQSPEMSKKGKA KQFKSPNTAPPEIDLPHSSINQNFGVPSSVFQYLEMVEVMNLLNPLMSYSLQNPQYSP NQALREYVNQHVANGVAQNMMNGQPGGVMGNPRTPSFSQFNLGASPAAAHHQLPGSPH MGGSPALSHMQAPGMALQQSQQGTSSSGPSANTSPASNKRRRPSGVKNEDDSGAATPA SMGGAPQVNGMGKAKPPTPRIPQKRVKASS PFICI_08440 MGWGFYSPGIACPQGYTTAAMATAGGSTGWGLEYSLTDGETAAA CCPTGFTPSSIETFNTYAATCVNLATSTSFSTVVCNSGSFEDFSLLELPNDDMKTFTV YAPLYQLNFQASDLPQTTESESEPTSSEDGASPSSTGLAIPLETGSSTTTSDPVASLI PSSLRSSVTSSATSTAAAASSTPGSGMSTGAKVGIGVGVGVGVVILLVAAFFFGRARR KDKNPVTEDGKSTAALSIPKLEGPDRKNSLRAELGGAEISELEGKNTQELPATALSVG RLREPAAIFELEADNPNTLAPPTPRYGQGDTLVSPVTPTRQGAHSPSFAERRNWF PFICI_08441 MDYQIPQGMHLIPSELLDLRPDSEIDNDILHPKPVTDEKNIWVF WHSGFTNMHPYSKRNIRAWFRRFSKLGWVIRVMDKEPGSPLNIEHWADTKDPDTFPRA FIDGTLIGDHAVQHTSDLVRWPLLLRYGGVYADVGMLQIGDLDRLWSETVGNPDSPYE VLSYSGGDPEDMSLTNYFLCCRRNNPLFLRAHKLFLALWAEDGGKTSTDGMHASPLLK GVPQMTYSGSFTENGRTYGPLEVGTMLSDYITQGQALKMVMGMEDPEDGWNGREYVRD HVYAIEFMVGAQLINEYTGWDGQLAFKLMSLPLPHEGEAESEEQAKAREIVEGCLSKS FGFKLATGLILRVKGDTLSSLWRKNVGSDDVPGTYAHWLRHGTMYWTQNELPPTTELR IDQPFKRGPLLRAT PFICI_08442 MSDSTPNQASVGGKAGPIQGGGNTTENSVLSGPNFDNLYLQKRS TDPESVAKRESLSEQQPKKGVIGGMIEKLVSGPAGPNTSQNQ PFICI_08443 MLFVLSSVFVAAAAACVIPDVGLPNNIVEPFSIQLQNASFPDVH NHFLNLWDWGGGDQHLFVSPAGNSTSELTLVEGVITLPWDPIRRAVINGEYEVKDNTT KMFMTERGDPRAIWDVVYGCNPDTDALQTELRFKSRGDIEEGGIMGVRPFNGAYDFRW RPAGTSVIDPDRLWIGVTLVVVEPE PFICI_08444 MRSKFKDEHPFEKRKAEAERIRQKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGDSA PFICI_08445 MCSGPSMPHQRQVSKAKSHAISGQSSGMERSTALVLAQFGARVS FCDHNKRRIAQVEAEIVPSSLYGKHGVLSNSVYIENVGEVVAWIHAFVDKFGRLDGAI NAVALEASKVVSITEMKSPTWHTAINANLPQLKHD PFICI_08446 MKATPGTVNDIIITEDNTVNGTASPVKTQTNLSSGNGSFQISIT NNMGASGVNAYITGRNAAGSVVFLSPQGGWYYPDPHGSAAPVQITDDVKLPLGGAGSS STFALPGYLSAARVWIAEGELDFFTVASNGIQLVEPSFANPKDPSTGINWGFVELTYL AEGLWANLSFVDFVGLVMGMTLTLGSGEVQTRKGLRSDAIAGICKDLVSQSNADGQPW GDLCVTDDNGTPLRIVAPNIYLSDDGDAFSDYFNQYIDQVWSRFTSEPLTIDTQSDPG LVKCNVQGGNNLFCDGDNRSYSKPSAADIFGCNSGPFAIIDGDNAVHKAVVPRLCAAF NRGTFLQDGGNVQPKQPSSDYYQSNPNNHYSRIIHQYETDGQGYAFSYDDVNPDGENS AGLVAGPDPRLLHIVLGGIQ PFICI_08447 MASHLPADGSTLAPLPKTVRNGLIAVTTLALLSFVSTALLVLYI TYRLIKWDVVVKQTKQQNIDDAATRQTEVDGTNDLSLGLEERHYVFLKAKFPSPPNSE PPTPRFEKEHGHHEIKDNRWNPVLMLIYNLLCANLIEAMAFLLSGKQRSVLFSTPSWG ILATCIDRLVQYLDDLRANGQLRMRLLISISVVVWVKEDGIFVPTAACWAQGWFMQVG KLVCSGMLVLISVNTYTTIVKGYKLPRMLIYILTGTVWVIAFAFPLISILSTHNGADH GGFYSRAGAWCWVNPKYTVYSLWLEYFWIFVAMAVTVCLLMWVLFSLQRNNQSARHFP QVLCDSMEAREKNEPPKPSGHHPAFLIYQIIYIICTAPLAISRMAAMTGTKPGWVFYG IAGAMIASHGWLNVLLWSTTIIFIGDHDIRETGLEKFAFLRTPQRVYGNMVMVQGGND TDGNSARCWWPPRQVLNAAGASVPSSQHGRHGSQESLRRSRSLDQSVIEVEIEAKVVV EDVKHSYPDQGKESAT PFICI_08448 MTLLARIQVHTQQICGLAWSCDGEQFATGGNDNLCCLFSVDKIL ESKDEQDDVTIETKPMTPSWSTSFAASEKIEANISKEEPGEQTQTTLNPHAPEFTPIN RSADNVPWPSWPDESRASPQLPYTTRYTAWAHNVDNDDGDDLVLPIIPSTPPHPPVKT WRASAADQTWHHLAAVKAIAFCPWRPHLIATGGGSNDKMIHFFHSTSGAVLATISVNA QVTSLHWSATRREIAATFGYAQPEHPVRIAVFSWPDCKMVGSVKWDGEHRALFAVTYP GSPMARLSLGTTGVAMDGSEPPSTSPLEDSSRESGSQGTDMGSHSRRRTSRRRREMGE GCLIVAASDKSVKFHEVWGTSRGRSITATGPGALGGSDIIEMAEGIDKEGDIIR PFICI_08449 MAYSPTCNVLAIGLGSLLYGWSETTGVSLLNAGPKDGSWLTSVA FSSEEGGKSILVFGRSNGHIGLLSLFDSMLPRFEAQHQEPIACLSWRPVTKTRPSMNP FNPGVPVPTEDLLVGEEAGDVYYYSVEWPGG PFICI_08450 MLTGTTVNILPRNAVSFNPTERQVSVGAVWSVGGTAPGAVAIDG GRGRFLGSGTTAPLYTMPFTKMRPKSEEHQEKHEGRLAQALQIDPVQRILGSDIFSTF PRCRSNRKAQASLDTSRTNWTGTEWLNDGQKSGMSR PFICI_08451 MDDQHEENKKLSTCHVNSPVPLSDLQPHCPALFKIRRRRPQLLG CHDQEAARSISCEAAPIGSFSTTRGSASQTCDGTETCAVETKRLGAADACLDGAQSSS STSGSEASDNDADPHDGANNKTPNTVPRDIGLRPSMLTAFWSDSKINRRRNRRQALTE GSPLSRGGFTRLSDRFVPSREPSSLIADRFRTVKDSHRLSTSERIVRNEAALPDPFIS RSQRFAAARTIPRGSHSDGSDRRNGEPND PFICI_08452 MASSAGSHGIARTIRQRTEEQRQQDLDKIKKYHDLELQIRDKAK QQDFADPTLFDLTTKLLRLNPEYYTIWNVRRRCLISGSLSKPLDGSWPSKALPNTSAS DTTKPPSDDSSPSFSGVTPPDLKSQQATRSGQSGLVHDQSAANEQQRDQNMKDALTVL QSELQFTIPLLLEFPKCYWIWNHRSYILHLCIAHLPLNTARDIWTAELGLVSKMLNKD QRNFHAWSYRRRVVTKLESAELHGQSMVESEFEYTTKKINSDLSNFSAWHNRSQLVLR LLDERKADDAARRHFLEAELDLAREGLNVGPEDQSLWYYHQFLMSHLLDNVGRPTMAP NLTREERLVYAEKEMEEISDLLEDYDDVKWIYEALLEYSIALDVFRKAGGDTTSPTSS QDEFVTWLSKLKQLDPLRKGRWHDVEQSL PFICI_08453 MSTMRALVTIEDHKVAVRNDVPVPTPQEGEIVVKVHYAAQNPTD WKAAAMAPPGRVVGSDFAGTVHDANGSSWQQGQRVSGFVQGTTYRGAFAEYVVVEASL VYPIPDSVSYQDASVIPLAFATAAQALFQRLQLPEPSKPAKSAFPVLINGGTSSVGKY AVQLVKQAGLFVIATGSKKNHDLLKSLGADAVVDYNDADWVDQVRTLSHDNLQHAFDA ISEKETTGQVIKTISPTKGGHVVVILSRKNSEYGDEYSKVKIESTIVYTVFERTLKYG AFDNCGQPTPEDKAFWEKYLSQLPQWLEKGVVKPNRVKELGGLEAIQEGFDLHQAGKV SAEKLVYKIAAE PFICI_08454 MSNDVDFIDLSSHVGANSVIVPMSKGRIAAWTYKLAILASTVVV FVLTIGPWPSMLAPVSSISLFAVLSPVAAQAAVDLDWHAPAETDINNITQVLSTSGVY GFIFNSSDTGKNPYGTYNWCNMPHARKTEYPIPSSSELTLKYVEVIQRHHKRTPYASN AFPVEPYRWDCDDQGLFYYGQHLPTDSGRGSSAYTYWDVFNSSVNPFTPSGWIGSCQF PQITSGGLDDSWVHGADLYGVYHDLLAFLPDKASDWQSKVKFRVTNNQITSQVAGMLI AGMWGSASQNVPLLVQASTIDSLEPTYTCTAGSDLFNSIKSSDNPAWANHLAQAADLY EALDAVSGVPASDSGFHSWFDHYYDNLSARQCHSKPLPCNATTGECITQEQANSVYRL GLWEYSQIYRDAEDSLAVSVSTYGVWIAELAAHLRAAVSGMSAIDYWHNVAHDGSLSR LLSILQIDEMVWPGMGSEVIFELWEGKTNSTNLYTENDHKRSSRSNTGHYIRVLFGGQ VMRSSNPSLGLLDMLPVDTLLSYFDGLVGENANLIKGKCENSD PFICI_08455 MQITATLKPSLLSLAVYLALVQSTSATATIGITEAALALNGLMT TYDPLTGLWGYGEPDAPWWQSAVALQAVLDFMITTGTRDYVPVVTNTIDIQRRPNPNG PQGSGDFRASSTDDTAWWALALISLHAITGEQWLLDVAMADEAYISQWWTTECGGGLI WQIRALSYKASISNELYIELTATLHNLIPGDKTYLAKSLLAWEWMKQSGLMNNASLIN DGLTETCGNNTPTWTYNQGVILGGMIQLYTATGDEKFLYTARSIADAVLASSKLAPQG VLTEPCVGECNTDQHSFKGIFVRYLAKLNAKLPDRPYSTFITSNAASMFANDQSTSEG RPNDDYYGLRWQGPFDKNSLGSQESALMLLTAAFSLDRAMG PFICI_08456 MDSGGSVSPNGSPPSDGLSGGPARPEFSYNATEAQFVIIFGAFM SAIATISVLARLYSRYFFANHVGLDDFMAIGSLCATIVVNVLQSVYAAVYLPQENGFA PPSGESAKLFYVIKIIYTMGVAFYKLTFLIQFWRIFRYIYYMRILYIVAIVLISGWSI SQILVTIMTCLPIWSNWEPIDPTSAQNIVCLPVWVSTYLNAGGTVLTDLIVLLLPVPT LLSLKLRRSQKWAAVGIFGIGGIVPIISAGRIWSLGIAPPNGFVAQACFNTAELSAGV ITAGLATIRLLISRHLSSTTLSTLRSRGEASRMDGHWAPSLRRKISLTFNSKIDDTQL SSRDGDPEHYDMSNMLGGASSRRDQMNMGNRATVTAERYDRPMSDGGAEFLKEFGIIV ELNWEVTETIIEMR PFICI_08457 MSSEAISIKAASGISYFTPAQEPPSGSQINGSTKLFTPLTIRGT TFQNRLFLPPLCQYSAKDGYANDWHLTHLGGIIQRGPGLTIMEATAVQAIGRITPEDL GLWEDGHIEPLKRITQFAHGQNQKIAIQLAHAGRKASCVAPWLSANAVATKEVGGWPD EIVAPSAIAQDHVNPVPKALTLVDIEKLKQDWAEAAKRAVKAGFDVIEIHSAHGYLLH EFLSPVSNKRTDKYGGSFENRTRLVLEIAEAVRAVIPKEMPLFVRISATDWFEFDPSS KQEFPETWTVAQSAELSSLLADRGVDLIDVSSGGVHPKSASAIKSGPAYQVPFSQDIK KVVGDKILVSAVGGIKTGQLAEEVLQSGLDVVMAGRWMQKNPGLVYQFADELEVDVKM ANQIGWGFGGRGNRGARKNRL PFICI_08458 MSETRVEPVAPARTAAAPPTQPTAPPNAQSGPMLYQPYPYAQPP FSQQPRIIPFNKTHYWAKIGLTAASLVFCVILLGLSVAISIGPGYDSWNITIYWIGPL IGIAGCWDIAELITLFGCGKRHGGQFRRGIHPGAHVGVNLILWLLGIICIFLSVLSYV TARSQLASCQEAKDSDSSTSYYGYYYYYCDDDEYSMLSSGLYIPALRAIEAFVALLTL DHFIFFVLACIETNQRNKSRAAVMMMPPQMYYNPGMAPYGYPMMPPQAYMGQTQQIGQ MEKSPAAATHPQTANPGPGGYYAPAAPVAAQRTPETPLPAAAPAAAPAAGSSA PFICI_08459 MEVLAVSRSIPKEYELRGMKISTSIIHDPLTSKNDSIELYDNGV VGNETAAHDGPVYAFFAENYDYWCSKLGVDRPSWDWCHWGENITFRHKERVFLEDDIH LGDVWKIGTSVRLEVCGSRIPCSKLAWRCGQKDQWLKPLADTGRTIYDHEANIARDQL NRGKAAWKGWRDLEVFRVAEEGNKVKSFYLRPLDGVPLANYLPGQFLSIQLPDRRIRN WTISDFPVRDSPTYYRISISKVNEASLWMHEQCKVGSILSSRSPAGQFFLDWTQELPF RQVYVSAGIGITPMLAMMKAHSIHPKYRKTPAAWVHVTKDSSALNFSGELSKLDHRFT RHLFFTDPLESDRRGLDYDYTGRPDAATMTRILGAPYHWAPLSDKILILDAKMSTYHI CGPAAFESLIKECLKSAQIPAPMIKSESFSRAGAATGELKTAKVRFSKSGLCANWSQD KPQSILELAESVGLKPDYGCRVGACGSCAGRVTCGSVNGGIQPDGTILTCSAMPASEM VELEW PFICI_08460 MTDQRIVLITGGNTGIGYEAVKAFLQSDRPYHILMGSRSIDKAR AAIEKVKSEVPGTTNTVDVVQLDIASDQSIQKAFDYVKASFNRVDALVNNAGGSFDWE YKQGGITLRESWNKAFDINVSGTHIMTHVFMPLLLESSDPRLLFVTSGLSSLQQTSEK FYPNPNPPKAGWPKSFDFDTMAYRSSKTALNMMMVNWAWRLTEDGVKTWCVSPGFLAT GLGNEPELLKSRGAGHPSVGGVFIKDVVEGLKDEDVGKVVHSSGRVQPF PFICI_08461 MSTTDMKSSLHRFRVTSRASPSSQSDPSSMSDRPPSEGGSEKPL QLSSAAKPSHIPACDSAGTKCSFSTPASSSDAQTHHLRARVEWLTTYFNQALPLGAPA IETIETGADLTELVQWIANTAALSQGQSPGSAISSSQNVLLQGNQLMVDHRNLDPGID GQPRRHSSAHQPVIHQSTEPFGRTSHSAAADGGHVINQRLPPNAAARRFVDAYFRNVN RAYPFVDRNKVLNDLETLGDFPKRNQDADSTLLYLIMAIGCTTLQRAGQIPADMSSKF DVSYPDIIQECLSREGIESIQILVLLSLYSLFDPTAPSAWSLVGIAARQAMLFGLTRR TPEGRSISPTEVELRHRLLWSVYVLDRMMATSLGLPAALTDENLDVPLPGLTVDEFAS PERSQFASILQTSRHVIMLRQIEGRILDQVHLLKQSKISTLNHADRRSIVQDIRADIE NWYSNGCLVSPLEPDNVPIHNSITWLSARYYYLLVLLYYPSHFNSAGSVVSKAEVLRF AQKHVQSTSVLFQQRQLPLNRVTLFRTFPVGMVLIHGFVACAAECAPYPAREEVTMLI NILEAFSDGWIYARQASQILRQFITIVNSGPGDYLQFPNNAFVGGQMSNSRESALISM VRPMVTSFTELMQEALGRITCYSMLEVTEERPSGRMGSFASHSSANGTGRGSMASVSG VAGGDGSEMDYGWSAEPIDVDFL PFICI_08462 MSSSTERYTALVDWVREGGGRLHDGVEIAQFHGMRGSFRARENV KKGDVIVALPLSKTLSYLNAISNHPEVPNFSHETFTPPSNTTFFPGDFINSVPPHVIG RFVLMQQYLLGNKSEWWPYIAALPQPEHIGGMLPALWPEDDVDFLQGTNAYVAVQEIK ATLKKEYKDAMKLLPEALRSTYTKPLYLWSYAIFTSRSFRPSLVLPETESMKLPCAID DFSVLLPLYDLGNHSPFARSTWNADHESQQVSLECGEAYEAGQQIFNNYGMKTNAELL LGYGFMLPETDNFHNDYIHIKTKATSDDDFAGTHIVSLRPLSDPSSVVGRSRRLTSPD VKVVPEFSHIQDSLVSSLYDAITAASSDGDADSLSMDDIMAGNLPKAIHERIVQALGS KLTFDLDTLEEIEVPREDLNSNQQIAVQYRDQCQSVFENALRSLTRAMATE PFICI_08463 MARAGRRSSNKFADEIDAITPCPLPTNASATCAAIDSHVSAGTI ARHCRSSPPSSPGGSGTVTPVSGFESRRNSIKTLEEGTDGNPEQLWKRMLALQQLYGC YKSARMSAALELGDAGSLLPSKTCLDLMNEDLHALPGDVEAVLKESSRAREHKIGSKH H PFICI_08464 MMCSSLKVRQTQATAEVTTEVIVISIINNVTVTQTDRITTTQTV LSQTGDVTSTSGFSNLTSTIASTGTGAIHPNATATLLPVLGQATTIRKQYVWHVKIPL LAAAYALLG PFICI_08465 MSSPRSANRTSSQFTYHLRRKSYSSPLPHTGSSNTTKRTTLQPH SNSTTINPSPLKMVTTSTNPHYSQQDASSHYMVAEGGSQACGWMESISIEDDDLMFGG KSLSAWHEEGRQKVSYPEEERRGRQRVGVLTQKRFDYSLADQLIRFDNITPTHNNHKI LNQQRSPKDSQNSISS PFICI_08466 MLEGLVAGLLNRFLGMYVKNFDPAQLKVGIWSGDVKLRNLELRK EALDQLKLPINVVEGHLGELTLVIPWSNLRGSPVKVFVEDVFLLASPKEEAAYDPEEE ERRKQRLKMEKLDSAELLKERSQAGLSQEEQKKSQSFTDSLVTKIVDNLQVTVKNIHV RYEDSISTPGHPFALGITLEEFSAVSTDGEWKPTFIQNSSTTTHKLAKLEALAVYWNT DTQLLGSGREVPDDGDEALPHDELIAEFKRMIGKGEDAATATHQFILRPVSGQAKLEL DKSGNVQVPKFKSSLLFDEIGVVLDDDQYRDALMMVDLFHYFIRHQEYKKLQPKGVTP KENPRAWLRFAGDAVLSKIHERNRRWSWDYFRERRDDRRRYIELFKKRKANQSLSAQE ADDINRLEQKLEYEDLRFWRSLARNQLKKENAEALKNAPPKPQQQQGWLAWAWGSKPS EQQQDSSENTQMTEEQRQELYEAIDWDEKAALADAVDVPRETVQMQIDACLSTGSFTL RQSPHKAKRDLLSLHFDVFKAKGLKRPDSFLADISLGGFRVNDGTTPESLFQEIVRVK DAPEASKQKRLSIADLEQSKQTSFFDLQVEQNPLDGQGDFAVTAKLKPLEIVWNPNFV VGIVDFFKPPERHMESITALMETAGATVEGLRQQTRAGLEFALEEHKTLNAKLDLQAP LIIIPQSITTDRSTCLILDAGHISVSSELVDKDVLKQVQDKQHQRYSDEDFKQLESLM YDRFLVKLTSTQVLIGPSIAEAKSQLVEKDDTRMLHIVEKINLDFVVAMSILPKAPNL TKMKISGHMPVLHATVSDTKYKSLMKLIDVAIPKFGGDTQQSQIQQQQTKRPRLLSSA SGRSYKSHRRKSSANLFATQQTAVILNDEDDDDDDFEDASEGSTNQQIKMQQRTFEFK FAVDKLQGSLYRSDPDGIKADSLLVELVAEDFDLNFYIRPFDMVAEVSLGSVTVDDFV DNPSSEFKSIVSSGDVDDRREKRDLVEIKFIKVKRDSPEFMPVYEGIETNINVAVSTI NLVVTRKTLLTLLDFILVTFANNDSGNGQQQGAIASTEDDDENDDNETPRVVEPVIEQ QSQESGSIRVKVDLKSIRMILNNDGIRLATLSFNHADIGVYLSGKTMRIATKLGDLSL VDDVNVGASENSSLRRLVTIQGDELADFRYETFDANNEKAYPGYDSSIFLRAGSIKVN FQDEPFRKIIEFLVKFGKMQAIYNAARQAAANQAQQIQQSTSRMKFDVVVNTPIIVFP GAIIPDRPRRDLVTAYLGEIYAQNKFVPLDDSQDAQIAMKLSAGIRNVRLTSLFHYSH DDSEELEMIDHVDLGFNITYAEHQKGSKRPDMEIEGKMTDLNLKITQYQLKSLLDISR TVPAAFSTDTEASGEEAERDVDEGTLRRAKTMSSSTTAGEESLIDLSPELGSHEATWT KLDLVFTVHKIGLELINAPAAKPVGNTERASLSQFSLDDSKLKTRILADGSLEAEFVI HSFTIQDSRYGEGNKYRKIMTSSNKSVQQFMASISMSAGKERNLIAMVAIDSPRVIFA LDYVFAIQRFVTASLEVDEPVQVEESVSDSADGSDADSMQVVWTGSKSPNSQQQSKTQ SSEDKGKLNVAFRVNIVDAQVILIANPLSSSSEAIVLGTKQVLLSQQHALTFQVSKVG MFLCRMDRFEDTRLRILDDFSIQLSLDSSQPNLTNIHIDVEPLILRLSLRDILLVLQI VRKASELSGNEPEINKPSASDKKAQELRRDGLKERTASGRGQSTIANRAKTTGTVTTR GRQPSQPQQPVHDIKRGPKRHEELTATIDGIRVVLIGDVHELPIVDLSIKSFSASAAD WTGNLKAETAMDMYINVYNFAKSAWEPLLEPWQVGLGVARSQDTGLLSIDMQSRKTFD LTVTTATIALASKSFDFLTENEDVLGKPRGAESPYKIRNYTGFEVLVQARNQSNTENI SVKLEDGQEAPWSFEHWEKMRENIISENSTANSVSVQIEGSGFDTVKNIRINRQGEYL YSLRPKTDNTLHRLLVEVQLGSDNIKYVTLRSPLVVENATQIPVELGVYDAQDGHLLK IEKIPPGESRPAPVAACFVKSLLVRPDSGFGYAWSSEHLWWKDLIKRPTRTLVCKGDG NDPFYFQMNTSFDKSHFLNGKYPYMKIKLSPPIILENLLPYDFKYRIYDKNTKKDWTN FLRKGGVSPVHVVELSHLLLMSIDMQDTVFKPSEFAIINPGQQDDFHKEHKLVCKDEE GLQLQLRLHYFKVPNGGGAFKITVYSPYVVLNKTALEVNIRGKQFLQQAKRAAGQSPL MDNSDQEQPKALPFMFSFGSDDHRNRALLKVGDSEWSKPQSFDAIGSTTDVVLPAMNK SAEYHVGITVEAGEGKYKMTRVVTLAPRFVVQNKSGEELNIREPGSSNIMTLKPGALQ PLHALQRSQVKQLALCYPGIDNQWSSSFNISDLGTTHVKIAKAGQRQRLIRVETLMED ATIFLHLSIETKNWPFSMRNESDTEFTFWQANPNVDEDGVEDRSGWRPIRYKLPPRSI MPYAWDFPAAKFKEVVISTSNKERHVKLAEIGNLIPMKFVGASGNQKIIDINVAADGP TQTLILSNFKANKSLYRQRTGTGLSTNSTGGFEVKQLDTGTTFQAALKLSGIGISLIN SQLKELAYVTFRDVQLKFNESALYQSVSASIKWIQIDNQLYGGLFPMVLYPSVVPKQA QEIETHPSLHAMVTRVKDDSYGVLYVKYATLLLQQMTVELDEDFIYAVLDFTKVPGAS WTETHEGKLCDEGLDIPEPKQQQSGQDIYFEVLNIQPMQLDLSFMRTERVNVEDKTSS HNPVMFFINAMTMAIGNVNDAPIRLNALLLENARVSIPVLVQNMSNHYSQEVIYQVHK IIGSADFLGNPVGLFNNISSGITDVFYEPYQGLIMSDKPEDLGIGIAKGAASFVKKSV YGFSDSFSKITGSFSKGLAAATLDKQFQDRRRITRARNRPKHALYGFTAGANSFFTSI ASGVGGVAKKPLEGAEQEGAAGFFKGVGKGALGLLTKPAVGVLDFASNVSEGVRNTTT VFDGSELDRVRITRFIPSDGVVRPYNQREALGQSWLKQVDNGRYFNEEYIAHLELPRE DMVVMVTYSRILLIRSRRLTTEWDVSLKDVQTIAKERTGLSIVLRGGTNGPFIPIGEE SGRTFLYRMVAVAVEEFNRRFRGLE PFICI_08467 MLRSSRTLWTSSARSLVSKDPSTITPRPLATRARSLYQSHLPRR LADPKPLYLVHKTYFATKPANPEEEKKWGQEKLKPHPESVSTESSVRQFLEPDQSRSS AQPVQEGIAHDLNLVKETFALSTVPKESYVLGLAGTIPYLATSCSNLYLSWALNTDWP TSSNFLNSILMNHENARYWLSVIEPLQMGYGAVIISFLGAIHWGLEYAEKKPSYPRTQ FRYGLGVLASVVAWPTLFMPWQFAMTSQFAAFVGLYFADSRATVRGWAPYWYGTYRFV LTAIVGVAIMLSLIGRAKIGDTAPRLSGLGEKFHQTHGEEAYNSKWAAAESEEKQRQK KQEEEDEKRKKEEEAKKKKEKKAQKSKDTSQEKKEAKKSEEGQDSDKKDIKNDEKKAE GKKDKDEPENKENQEQNS PFICI_08468 MIAILIRYVQSRKKISNWTPQGNDTAATTETSAETIQTTETVET GKSRRSQRNHGLYDRWLMVRFTVAFVILAVFEVTNTLFQLQSVNNNKKDATLTEPDYS VERARSTFFLYLPGVTPGIFLFLVFGTTAGGRRRKQKLSDVEAVDVAEPTADPFADTS AIDAKAEEAANDPILSIPRSALVSKYNRSFDRYKDSIESRMPMGSERQWLQKKDDEFE SEGPDGIELRGRSTLDEIRKDYYQASPEESDDSGPVLPIMTHQQRRIPGSTNGASIPS SDKGTSAHPG PFICI_08469 MAVCQEAHKPHDFSFGASRHHKRRMSNVAEQDGTIGPSLKCLFM GIAAVKLDDNKVAVSLAAHDTTYLLDFTVEHLRLNSDPATTQDVIADYVIDAVQRYEH EHYVKMIGAGVSSIVTELSPKLCSRLWLQVDVIPLVLHHYDSTERPSFWEAKQVDEQA DSMARKCVMLCFGPSFVPLLQVGWRGAVQVDSAFRVQLSTSADHQNTVTRETWSAVTQ FASRLKQMGTKIAFFSATPQGGGVALMRHALVRFSKLLDVDLTWYVPKPRPGVFRSTK NMHNILQGVAKPDQRLSDDEKDAIRGWITDNARRYWLAEGGPLRPSAEGGADVIVIDD PQMPGLIPLIKEMTPNRPVLYRSHIQIRSDLIAQVGSPQEDVWKFLWDDIRHADMFIS HPIPQFVPHNVPREKVAYMPATTDWLDGLNKPMNDWDSGYYGNNYNIQCRAQQMTELD YPSRKYIVQVARFDPAKGISTVIDAYAEFRRRAKISGLKEIPQLVVAGNSSIDDPDGN MIYDHALDQIETHYAHLAKDISVMRLDANDQLLNTLIAKAHVVLQLSTREGFEVKVSE ALHAGRPVIATLAGGIPLQVKDNCNGFLVAPGDYSAVAQHLLELFSDHALWEDMSTAA RTGVSDEVSTPGNALAWYYLAMKWTETCSQKPKGTLAGKEAWVNDLARTEAKCTFVEA ENRLPRWFTNQRNVPLLSRDKSLENYQA PFICI_08470 MFEASKPLATTTSPVERAAPPTPVSAPLTPSQLAIVKSTAPVLK EKGEEITTLFYKNMIGAHPELHNIFNQTGQTTGAQPRALAGAVFSYASHVDDLGRLRA LVERIAHKHVSLMVQPEQYEIVGKYLIEAVATVLGDAVTAEVADAWTAAYGALADVFI HREKDIYAGHDDWKGWRPFKIQKKVPETPQITSFYLVPKDGKALPSFQPGQYISLRLF VPEFGYMQPRQYSLSDAHQSGCYRISVKKESGKAPTLPGWISNALHNKYKEGDIVELT HPTGDFFVSIGPSETTPIVLISAGVGVTPMMSILNSAVSAGSRRPIAWIQGAHSTELQ PFGDYVQEICTNHHNVQASIFRTISAPSLSEHPNHYFEGHVDLGRIDRSRLFLDTNDA EYYICGPTDFMNSMQKYLALNEVDASRIHMEVFSVGDGK PFICI_08471 MYKRPSSEPIAIIGSSCRFAGNATSPSRLWQVLSNPPDLSKEVP AARFNARAFYHEDGEHLGTTNSIKAYWLEQDHRVFDAGFFNITPKEAEAIDPQQRILL EVVYEAMESSGITLHQYSGENVGVFAGVMTADYDTLSQRDELSASQYYATGNARSIIS NRISYFFNFRGPSMTIDTACSSSLVALHQAVLSLRSGEVPMACVTGVNLMITPEQFLV ESSLHMLSPTGKSRMWDQTADGYARGEGVAAILIKPLSRALQDGDEILGLIRQTGVNS DGRTPGITMPNPGAQESLIRSTYLASGLDPQCPEDQCQYFEAHGTGTQAGDPREAQAI HDAFFGSKPDAKSDKKMLVGSVKTVIGHTEGAAGLAGVLKVLQAIKHGQVPPNLHLDT LNPAVRPFYKHLEIPTSLVAWPRTLEGHPRRASVNSFGFGGTNSHAIIESYDPQIHGA ADVGSPLQEITLQDPSLSEQLDSSALSHLPLLLSASSRKSLLEVTRTWRNYLAECQDV SLPQLCLNLFRYRTALPYRLSVSVASREQALELLDAALSREHGIQEFGIRSKNLTHTP RILGVFTGQGAQWSSMSRTLLQTNRMYRETIQDLDHVLQQCPNPPSWTLEHQIMADEP QSRTHEAQISQPLCTAVQIGLMNILKDLGVQFQAVVGHSSGEIAAAYAANMLSARDAI LISYYRGLYAHLARGTNGERGAMMAVGMSESEALEFCRDPSFEGKICVAASNAPLSVT LSGDVHMIQNAKNMLTEQSRFARVLQVDTAYHSPHMTQPAQAYIDALAKCDISPLPAA SNVSWISSVHGYSQVSDKDLTSVYWRDNMVESVQFCSAVTTAITDLGPFDCAVEVGPH PALKGPVTQTAKEILGAPVPYYGLLERSKDDGLAFSEFLGAMWCQFGPLSANVSQYVH QSFAHSLMSDRLRNLPSYLWDHSQTHYRESRISRQYHFKHSAPHELLGVRTRDDNAFE LRWRNILRPGKLPWLQGHCFQGQALVPASAYCVMALDSALQLLNGRSASVIELRDIDI MSGISMESDSAGTELLFSLRVNEQHKERGDRGTITASFTLASCSADGSAAMRKNASGN ILIILDDMATDALPFRQASLSETLPASTDAFYRMMDNTGLVYSGPFRALQSIKRRCDF ASTTLLRRHPEDTTELTLSPATLDSCLQSAFLTYSSPGDKSLWTSFLPTRIARIQFNV AQLGKNSKKEDSDLLTVDSHLTEVRGSTSDSKATFTVEIGIYNENDEMEIEIEGLTVA AFANTRPEDDYELYLHTVMDIDPTDEIVSLVQNTGQYISEMTELCEKISSLYSTDGSR AVFAPASGINTNPFGKRDNESIHSQSRGIHTVTHSKVFLDPCDESLRFLHEIGRSVPA LLPSAIPVVVQEAALQLRHAHHLERVVKQISHKYPRMNVLSIAGTGIDLSDSILHGLG SSYLSFTTAVASQETHKAREVSEQDPGTTGQAQWLDVTVDVLKQLKSATLLDLVVVDL SILAHGSLQDSLTNVRASMRDGAFLLLVRFDESPLRRNLHHAVQPSTIMRPEFQPQEN RILLEECGFSSVARNSDQTIAPGFSIHVYQASATLVTSRKTSPPLEASPQREKVLIIG IGRDRTPTIGHTLQHNLIRDCSSVELIESIESVDTSRLHDYTSTIVLEDLDFAVMSSM TERKLSVLKELLRPNMTILWLTRNTRFGNPEHASTLGFTRTVSAEVPNLRLQVLDLGD MVDCEDVIAKVFVDLTAPVPDPGQCLWIQEPEIYMDQGHRLVARVLPLKESNDRVNAI RRVVSRPANTINEVVQVMPRFAEEGIVNYAIQNLGPAPTGSRAKNLVALRVLYSTMEA VKSDNDDFVHLCIGKDIATGALRLTLSTTNASYIEVPVDLTQVLPRISLSMPQFLHCL ARYYVSIAILRNQMRTTPGCVIFIDADPLLVRCARVVAQSQAVEFIVWSTSSSRISQN NDSIRIHERSSERKLKALIPPSGANIYDFSSGNDFSERLVNLLPNNCDYYFGSSVLTS HWMSQRVNWDVDLSSYTELFNHAVTLTLGDTENFHEGLNKTFSINEVIEEKGLDSTIN IIDWTAERTTQEVVEALVEQNLLSENKTYVLVGLTRDFGQSLARLFIENGARHVVLAS RNPGMSPAWKEELRQTYGAQIIIERLDVTSLEDVSRFGRKIEETMPEVGGVINGAMVL DDRVFSQMTVETWNRVLRPKTVGSRNLDTVFSDLDLEFFIMTSSFAAIGGHPGQCNYA AANMYMNGLAANRRKRGLAGSVLNIGVIYGLGLLQREKEELYIGLEREGYPPISERDI HHMFLEAIVAGRPGTSEPFDITTGLRRFKWGSPNPLHWHQDPRFNHFTLDEGASSMTT ETGIQNSLLEQLSSLEDVEALTDCLIDAFTSHLKVMLQLPEGSISRQSSLSEIGIDSL VAVDIRNWIWKNLGRDVAVLKILGSNSIDRCKSYPLRPRGTFTDGLTVCHDIAEQMSA EYYSAQESSTADTPSTPRRSDEASLSSSPGVSTATTGQPPVPTGTYLK PFICI_08472 MPIRLPTSTLRAASQAVRGARSTSAVGGITFARGKATLPDLSYD YGALEPYISGKIMELHHKNHHQTYVNGLNSALETIEEAKSKGNEAAAASVAPLLNFHG GGHINHTLFWENLAPANQGGGGEPEGALKKSIEEDFGGFDTLRKQVNTALAGIQGSGW AWLVKDKSAGTLQVVTRANQDPVTGNYVPLLGIDAWEHAYYLQYQNRKAEYFDAIWNV INWKTVANRLEKA PFICI_08473 MSYYDLDAILTDAEKVPCKFEIEVPELGYLDNNPSQPLKANTAV SLPLWLAELLALANRSANSQDTAPFLTLSMPATLSNEVISALKADPRAVPLRDQSHNF YALATRMLDLFEEPGMCAVLRRTFVTRAGETALHARKAGASDDHNPAGGGDFTRGLEA WERALFVRAHNGAKDSKDWMAGVKK PFICI_08474 MAPYSGNISKADAAAYSSSDSDNDDDDDHLNPSSGDPRSDYLDD FKPRKRRKFNNGKESAALGIFGSDSEDERPAPKGKSKKSLRHQNMNFVSAGPQKDEDG EHIDEDEDEDMDDDPEYAETARFGLGMTKQASTNVEQNEDDDDDLEDDEITVGLGLGF GASGRASGWTPPVQASAPPTSLKSGEIRAGPTTGSKFDANAPLGRGFIPSSANMPTLK PSLANEPTSATHSPKPSAFGGNGNSRGGSKMSFGQKMLAKMGFVEGKGLGAEGQGRNV IIEATLRPQGVGLGAVREKSKQEREEEKRQARIRGEDVVDSEDEEKKRRKKARDRKLK GLDSGTASGSSTPRRPKTKYLTVNDMKKAAPGLHIPDAFAPILDMTGPGHKLLTPGSG LMTPTAGTEVLESAEARKLAGRAQRDLNAFVEEWKTLEERKAWLDMEVHQRQQTLDEL NNAFGAMELVVSLLEKISHAASQKQWDPVISGLKEITSARAGHDIDDDDVSSVAVAAI HPFLRDAVQGWQPLDDPKLGNFADDLTAIMTILGLGRKTAQTAVTKWNDHEMDGTHRQ HQKSTTAYESMIYKVLFPKLVTTIAQTWDVYDAKPMLALFDKWEPLLPAFVRSQLVEQ LVRRLSDAISNWRPKKKQHNLPHLWLFPWLQHLPPYHLEPRGTGIVAEVRRKFRQVVD VWEFERGVIPGLKEWKDVLRPSKAQDQWRPLVMHHVLPSMAKYLRGNFRVDPSDQEPY LPILNGALKWTDIIAPSMVAEVIVAEVFPMWHDVLHQWLTSEDANYEEIGAWFEWWKD EALADVASFESVVAEFERGTAMISNALELGAKISELPKPTRQPARRPETRPKPALVVE PVMPATSLEPTQKSFREEVEDWCNEHDLRFIPTHNKTTSSGQKYYRLTARMDGKGGVL AYFTANGNDEILVAESRKSEFKLKRGEDWGTLVGVLYREVEQ PFICI_08475 MSVHPTKNDLANGVGSQHIELPKDADHNDIVEHALLDPDAEKRL VRKCDLHVLPAITVLFFLSFMDRTNIGNARIQGMSGDLHMSGHDYNIALFIFFVPYIL FEIPSNIIVKRTAPSTWLSVIMILWGIATVGQGLARNVGQLIACRFLLGLFEAGLFPG CVYLISMYYKRYELQWRMSLFFCASIIAGAFSGLLAFAIANMGGVGGYEAWRWIFILE GLLTVMVGCVSKWWIADWPETASFLSADERQILMRRLAQDTGEARMDHLDKTSAKRIA TDWKIYAGTVAYFGVVNTGYAGSFFIPTILKQMGLTAAAAQVRTIPIYVVAAVACLSA AYLTDRLQHRYTFTLIGVGVATVGYILLLCQQHVSVGVRYFALILVVSGGYTTQPITL TWLANNVSGHYKRAVSSAAQVGFGNLGGIVASNVFFDAEAPFYWTGYGVSLGMLWLCA GACTVLYVGVRVENKKRDRGDRDLRLEGEDVNNLGDDHPTWRFTT PFICI_08476 MSEPKRQKSSKDVPYELIYWPGIPGRGEHVRLVLEEAGATYTDT AHIENGVKSVTAQIDDKNVGDNENPPPLAPPILRHGDLTISQTPNILLYLGARLNLVP DPEDDADGLYKVNQLALTALDGLSNEPHDCHHPVATGLYYEDQKDESLRKSKDYVKNR LPKFLGYFERVITGKASGDGPWLHGGNLSYADLVLFQCLDGVKFAFPKAMESAQNSGK YDNVFRLYEAVKDRPRIKEYLNSDRRQKYSLGIYRYYPELDVIE PFICI_08477 MSRRSRYPDSMQARTRTERGFVKTESDLSNYSGSDSSSLPKLPT NSKLNDILSYFGSRNPLPRSVSKKDTVWLFDNTAYRNSKTGRWEAEFVSAVFAQHPSC VVIDAVTTVAKEIGLEDSNPEHATIEERILPFLQDIRPGTQVKALHAGNLSLSLGPGG RNGISNDIKKLKNSHDGSLLVPSFAKVPVGANGLLEMRTFFAEPDGWGVISDIDDTIK ITMTSDPIGILRSTFVDSPTPCPGMPELYEFLHATIKETSPFFYLSASPYNLYPFLRE FRNDYYPPGTIILRDSSFMSLPGLLSQLTLGTGEYKVDRMKKIHSWLPKRNMICIGDS TQADPESYGEIYRAFPGWVKLILIRKVIDIASIGIEAKNEPERFEEAFNDVPRDRWHV FEDPAECKSIIQRVVESES PFICI_08478 MARSPSSSGLLPMPGSPSSSWAVYRARLTAILKSTDNAVLVAFW LFGLINNVLYVIILSAAQDLVGSDVPKGVVLLADVLPSFFTKLIAPYFIHKVPYWVRV IFFATGSSLGMLLIALTPSNKSVGFKMAGVVFASLTSGGGELSFLGLTHYYGHMSLAA WGSGTGAAGLVGAGLYATFTTWFGFSVKNSLLSSAFLPIIMLLSFFVILPRGPLREAR GAKEYQTVPENDHERDDIDDIPTDNAATSLLVPETAVMTTAFSSPNGGRMTKFDMHLR RARSLFFPYMLPLLLVYVAEYTINQGVAPTLLFPLESSPFSEYRAFYPMYGFLYQLGV FISRSSIAIIRIRHLYLPSFLQIGNLVLLTLHALFFFIPSVYLVFIVIFWEGLLGGAV YVNTFAEIMENVPSSEREFSLGATSVSDSAGICIAGFVGMSIEPWLCNYQVNQGRDWC KRIKVS PFICI_08479 MDGNAAQRNFTIDQYVNPWIPRPPWAYLPYPVAHFLGYRSSPRR DAGYIVTILWAAVGVFTGLLLIEITGRYIPSFQQHDAPVIIGSFGAAAVLEFYAIDSP LAQPRNAVFGQLLASIIGVGIRKLFEKGESLQNLMWLGGSVSCALATAVMALTGTVHP PAGATALLAVVDNNVAAIGWFLLPVILLGCVLMQIVALLLNNVHRRFPLYWWSPEEVG YGWSNEDSRAKDTEKNDLCDSSRAEARASHHTFVQLVVSREHLHVPETVYITPEEFAV LEALRARL PFICI_08480 MMILFASTLLAFLCLRVGATGETQNDATFDEACAQLGSTVFSPE VKTLSAEVLPAGTTLIVPGLDSSCTNSTTIAADLCRLSLNVTTSPRSDVLMEVWLPRN WTGRFLSTGNGGINGCLSYDDMAYATSLGFATTGSNNGHSGQNGTRFLNNIEVIKDYS YRAITTSAAVGKEVTASFYQKGHTRSYYLGCSTGGRQGFKMAQDFPEIFDGIVAGAPA FNFQGLMSWSGTFHSIIKRGGADGFPPASTWSAVDAELLAQCDHLDGAVDGILEDPSL CNFRPEALICQNGQNTSTCLTGKQADMIRQFLSPVYGVNGSFVYPRMEPAPGFLSFIN SSYSAAQFLYTDHWFKYALYNDPNFNTTFLGPDNWNYAFQNDPTGARTWSGDLTQMAA HGTKLIHYHGLQDTLISSASSNTYYDLASRTMSLSSDGLDDFYRYFRISGMGHCTGGI GASAIGNRGANTASIDPAQNVLTAMVRWVEEGQAPEAVIGSRFRNGTKALGVEYTRSH CKFPLRNMLKPGGNSTVMGDWECVV PFICI_08481 MGFTSYSGPSGNFPPQSQWKSFEEIFNINKPEMLRTGNTGEDVG RIWNAVLECAKIGVEERVIFCIIMQESTGNVGVRTTTNADGHFTAGLMQCDGSPGFPG QHGLSQEQITSMVRAGTNHYKANLKEFGNADNADTIYKALRAYNSGSVNVNNLSDGRG ATASYVSDVANRLLGRTN PFICI_08482 MACKLQDYLYLITPNIWPLNRKYKGYKAPFYGLNDEVPILLTII LGLQHALCMVGSIVSPPLAIAGGAFYFDSATTQYLVSAAFITTGLATAMQVTRVHISK TPFYIGTGLLSVVGPTFDILPIAFTYTDMRYNNGTCPTAADGTKLPCPEAWGAILGSI LCTVWVQIAMSLSHQSCSIKSSQRSLWEVCYYSSAYAWWEMEWTIGAAVATATTVLVS MLYAPIHQPLGHYHGLGFTVFLVIVLVELFGSPLMKSASVIIGLFVGCLMSGLGGYWS MTNVNAAPAATFLWVHTFPLSVDGALILPLLIMFICEAVSCMPDILATSEISGVEIQG TEFNSRVQGGILCDGVGSLVSALGTGPPMVSQAGNNGVIVITGCASRRAGWCASAFLL LMGIFGKFGAIFGAMPPSVLGGMQVFLYSSIAVAGLRVLGMVRYTRRNRFILTVALGI GFLDVTRSDWWDSILTYSGSNVALSGFEQGLNLIVQTPFIIAAVLGVVLNLILPEDAS QMDSMAGVEHSRLSLPHHSHD PFICI_08483 MSLPGRIIIPGLINTHAHLAQSLLRGLAEDLPLHSWLCDRIWPL EAAYGGQGDTADGYIAARTTIAEMLLSGTTCFLEAMLTHGSGIDNVVRAVSEMGIRAC LGKLVKFVETNPALKIKDARDKDLSSMSIESLLSAHATFHGGSNDRIHVWAACGTPRG APLASFAEVGRACRAHDIGITMHCAEAPRDLPIFREVYEGRTPMQFCRDAELAGHKTI LAHMCHLDLEADIPILQESNTTVAHNPTSNLKLASGIANIPRMLEAGVNVALGTDGAP CNNSYDMLREMHLASIVHKGAMLDATVVGAQEALEMATICGAKALGLDQDIGSIEVGK KADLVVLDVAKVWAAPWDPDATWGMDPVSLVVGCCTGRDVTHVMIDGSFMVEDGSLCS INEDEIILAARTAIRGIQQRSGVKVNSDLPDGHKT PFICI_08484 MDVPTDSDYSEDDTRVTNHCIDLRNVPALVTWRDSRGDIQSLAD LQVGLVYRTDTQKALVKLHTNTKLKKGPAKPTIFLFIDPHKIRSLEYIDGNGAESDEA EKDQGRYARGHLNTSTHGLKFTLRASPTFVVPAEYPFQFFRAGSQNVWRTWRNFARDI SQFTVHFPSKTARVTPLSAFCKIASTPDTLLLLEDTIASLYGGKGGKVVNLNADESDG GAGGVGATCDAASSENDAPPAYVEDVAGSSISAVAPPLCLSPGPGQRKRRRMSNGCGL DEKSTARDETCSTATVLQMILKLQKTVDEGRAAQDAALTKILAKVDTIESRLDRLEAQ QRNLLEEVETQVEAQVDPLWDELSARLQSQEDREHDYIRDMIEESFDEKIDEKIPDAV NQYFSTEDDAEILAGGVIGEKIREETRAYLRSQQFTGHFTIVHNE PFICI_08485 MVGILKLPNRGPYDPMYDDLEEPEDGMVHSQMPPDEKFYEDPDF YDTLGRSLVEQRKVFTVERSAEEQIDMVHAILEQCPTGPSGVSRAWNVCLEAAIKNAA TVMEYLVKNQNLHVRNAPEQALDLKVEVHIAASMGNLDVLKILIERAGADINVINNLR TPLSIAALNGEIDTVKWLIEHGADCTEDSETLILSSHGGKVEILNLLLDHVTEKESDK PKTAWFDRQMLGNAASSGSEEMMRFVMELGGYGTATENSEEQREAALEAIPRAFKNDR TNQACVDLAIRSAIPKNGAGDFIRSDNALVFDCLKSAVSNCCIIAKPEDMRHMLELVL QCTKVTKDSAPFSQLLVKAIRGCYHPKSQACAKELLDHWGASANTNDPNEDNCLPLAP AAISFGELDYVRFWLDHGADIHRATGPFSNGPTALSWAVRLGNVEAVLLLLDRGGPID YIAGGLERQKRLCVRCTGELGVVSLLAAESVKSKEDDWDGEDGADDGSVADGVKSIDS GTNEDDDEDDETDYAPGADEVVIELAQVTQAWLEKIQLRRPVADLAQDGTGRPVKETS NSE PFICI_08486 MPKQYPGLGLPLQYCGNEQDNYPIGLFASYQNTVSDILPVRELA MMSIMDRLSDKEDWHKKVFDDTIVSKWREEALAIPDEQFRALARVAKHQKWNHKNEVT LLDAPPQSEYRMLKDIMNQNSFDCCIKELQSKARYFEKTGIVPTLDACASIVKADNLV PSSLHTALRDALDILKREQSADPDWHPGSQDKVQDLLHPSMYPLVYGRSRVLKDEVVG VSDAIGTWAGKGDIIPKEDWDIFPSLPEDEAQYWSETYQWLPSNLAFQGDGSVKFTSY INNLHPEKYSSIYRTIEKLIDITLPAWDQCLATHKNSNAAVQPGRCSSRFPYPDDPND WNAEHWFPSDPREVADVGMDWTEIYGSEYHPQDAEKWVYLRQPVNPEPSYKEINYTIA SKDRLAQRFRDSGLQVIVKMASIELTPEKPDFPAGGWHVEGQMNERICATALFYVDSE NISPSSLAFRMRTSDELQEELDIGQDEYHWLEQVYGTELGPSNAPCIQNYGSVHTNQG RILAFPNVFQHRVSPFQLEDPTKAGHRRFIALWLVDPTWRIVSTANVPPQQSDWWIDS VFGDSIEAQKVSTKKMPPEILTLLKEKGLAPDVSIKEKTTLPPELRNMVRDHFNAARD SLPMTLQEAKEHRLKLMEARTAFLKTDQGGWQENTYSFCEH PFICI_08487 MHCAVSYLVAVTGFASLAFSQSILNGTVDEVSVRWLEGAPSVNL GTTFGLPWPRGKLSANSTTFAAADAQGDQVQLQSWITAYWPDESIKWTGHAIGASESV SEEYVVKPSSAASNLTESTSKRRATGLIVTESNDDIAVNTGKITATFKKSGSVLISSI ESASGKTIGQNGLLVLQSQSSIVDDDSTGSQPDKLAFESEIEETTVTEENDVRSLVTV KGRHHSLAGGHQSWLQFTLRFYLYQDSEAIRVVHTVIYDGDQEKDFITGIGIRFDVPL SDQLYNRHVRLAGVDGGFLSEAVQGITGLRRDPGQAVRAAQIQGEETPNITTWDTRVS SAIQWIPSWSDYSLTQLSPDGFTLKKRTKAGYTWINIPGGNRSEGLAYLGGALGGGLA IGLRDFWKRYPTGLDIRNAASDVGQITLWLYSPAGPAMDLRPFHDSMGEDTYTEQLAA LDITYEDWEGGYDTPYGVARTSEVFLFGFDSTPSSDALADFAAYMNEPPLLVAEPDYL KDTKAIGTYWSTPDNSSTASETIEEHLDFLIQYYQGQVEQRKWYGYWDHGDIMHTYDA DRHTWRYDVGGYAWDNSELSPDLFFWAYFLRTGRSDVFRFAEAQVRHSSEVDMYHIGN FSGLGTRHGVLHWGDSAKQIRISTTIYRKAFYYISGGDERIGDVVHGVLDAEQAFYLV DARRKVRPANVTYVPDREALYINIGLDWIGLVGAWLMEWERHGPRWEEAKAKLYKGME GIVGLKYGFVTGEALYNSSDGSWSPPPTDPENNGIAVLSHLDAVFGLQEIITQLYDHT DGDLPEGFLDAYLEYCYYYGASSAEQAARYGSKFSGLSLYQGHSRLTAYLASKTQNET LAARAWSEFNKDGLLSTSPWATVHIANSSVLAPIDDAGWLATNDAALYGLAAIENLHY VGSSLQ PFICI_08488 MPPLAGFSDNPFQTHSDLITAAVALIKPLEVYKSNGKARIKIAS SSGAGFSETAAQVEGFSRPLWVVAHLLELESKGCVPNLAQTGVNLQSWVLGLKSGTDP SSNEYFGDLGDFDQRMVEMEAIALSILISPSNFAFRNDAFARSNLVKWLSQINRRKLP VNNWRWFRVFVNLALYKELQVPRGQVQRHLDEDLAILDSFYLQDGWSSDGTWGEERKQ ADYYSGSFAIQFAQLLFVKYATEFDASRADRYKQQAKEFATHYWRFFDTNGAAIPFGR SLTYRFAFAAFWSALSLAEVELLAQLNNVGVVKGLLLRHLRWWAKQPHIFNTDGTLNI GYTYANLFMSEDYNSPQSVYWCLKSFIAAAIHPDSLFWTSEEAPHPAASGIPTKMQLI WPAKQIVCNTPEHHFLLSSGQSTRKNHRSREAKYSKFAYSSAFAFSVPCGVSLEQLAP DSVLSLSTDGGETWKVRWEPFNVSHECVSFRNEQLPVLVSSWKPWNNLNIIVETRLFS PHTAWPGWSLRVHTLRWLAERCVPDQLIALDSGFAISSQTRLGHSIFEQPCTSDFSSC ATNEGWWKDDMSCLIVSEAGASGTVDLTPEFLPLGPNCTLHPERQARIIKPDANTNLS AQRTLLPSSQHHFSAAHSNSQDSGGKTVHHIVTGVFAAQSSKVQLSNVWSLWQNRPSG SLDPSYGTLTLGG PFICI_08489 MKTTAAPPSEQAQNSSEQTNDAVLASESRPVIRSPKYAWLYIFD WYPSHYSPGEKKLLKKQDYIILPLCCLMFFLKWLDSSNINTAYTSGMREELHIVGNQY SLFGTFYNLGYLLFEIPSMMLISRPRLSRWYLPTMEVLWSMLTFIQTNLASDKQIFGL RFLLGIFETPAATGSIYILSSWYRADEMFKRAGVWYVSSNLGSMVGGYLQAAAYKNLN GVLGRSGWRWLFIIDGCISMPIAIAGYFLFPGLPTSPRIWWLTEDEQKLAQRRMRADG VKNPKKIGIKMLKRVFGHWHFYVAVLTYICFQCTSYVGGQMNIWLKYEADTHGTYTIP QVNIYPTGVQGVAIVTGILATSFCMVYPLWAVMTVVASCLLFSNVCLLIWDIPLGLHF TCYYLLGMTSCVTPILFPWVNIVMKDDNEARSFTTGAMMTLGWAFFSFYPITVFPVLE GPKWRKGFTVNVILILTFWALFMLGQVLWKRDQKSKKFSDLRDEEESTETKDEKAMHV EIVRED PFICI_08490 MEYPEPGLSPKPLSASDMNSVSTSPLLEAEPASSDTSVQSEPLL CNTKVPSSILPVDHNIGELYDQNILAKLLRTARQAESHLPNKYPEVVPQNGPDMGRYQ FREAEFWTCGFFPGSLYSMLERAIKYPQMLGLNDKGPSSGSPRVKHVREQLLRLCKTW AEPLHSMANRTDTHDIGFIIMPALRLDWELLGDSRSLDSIIRAARSLATRFVAPAKAI RSWDLIRKKDVEITSMEDNLILIIDSMCNLDLLYYAAAHSEPDRGLSEIATQHANTIL RTHLRPEKAESVGDDVYQGQWYSTFHVANLDPASGSIKQRMTAQGFADDSTWARGQAW AILGYAQTYMWTKDEKFLDASCGCTEYFVHRLENSDPCVEIPNHGAPGITKGRYTPLW DFDAPIDDATNQMNPLRDSSAGAIAANGMLIAAQALAALGRDMLAGRFRALAMNIICD LLEFALAPERAMLVCSLAGHVQIQNVEPGVFFEGILKYGTANNNANARKRYANHTLVY GDYYLVEFGNNLLRAGLI PFICI_08491 MANFFLPRILERIAPAWKHTWLAAYAHLTLQILQAIITVVLSTL YAEGLLTGPGLDCSLHNRWQQLWRNHDSQSIQRIQDAFDCCGFLSLRDMSEPHRGTDT TLCGSTYHRLTPCVGPWGRAMQRSSSLGFAVAVVVGAIQLTYIVLVRARIGTGNGYSG LDRGVNVGQTGAGVQRIGAGEFGHMINADDGEVRLPRDLARYEESSVPRGYGTTNDES SPLVQPSGLGDEARQWGAPR PFICI_08492 MAQSEATITPSDSSETLEDRLMRELLAAGYGSSPDTGSSPDTIN PAMLDIRHQHQQADEQEGQGRQLQQQEQQEQQEQQEQQEQQEQQQQQEQQQQQAQAQQ NHLPAGRLRQHRWFAHNHRQRRQRLLEELQQQQPQQQQQQPLLRKNGQPRLNPERKVS DLVAGACKTCRKSRTKCQRNPHGGPCQLCVKRGRECDLNVTDKRTSAAEISDFRADVA YYHMRISRRWSITSALGNGQNRQAAAICRRILQILPNFDEAYASIAANSEAASALSNW DQEILSWDLIRDAQAFLELCRREYQLLSRIRASRREFVEAAAALEEQLEQLIQAGCAN QEMWEAAP PFICI_08493 MAYPLDHFPHLNGAPPTVHPVISGSQNDRSSLTDENVDWDQLLL RFQDDVDEQTWAADTSAIGEAQSQSEHPAGSPGPELDLIGAGDLAPLLKFLNEPVLPV PLPSPQPQQDQEDEPLLLVPPPQQQQDEEEDDCK PFICI_08494 MADEAAAAAAKEAAIKARVVTHMNKDHGGELELYLRAFNGLSSS AAAGAQITDMSLEGMTVKSASGTHTVAIEPPLANWSASRVRLVEMAGTALNKLNLSDI RVSSYTPPQGFDWVVFFGVVLYFICAAALPLVQPDTPAWDALDAFFPYGPVGFRWLVK AIFVPVFVLHVTECWWMATTRLEKHRVAPGKVWWLWAASPFFDGVMSFRRFDALVDAE GKKKEAAKH PFICI_08495 MYAQLLGRDAKSEQLVDLNSLIPLHMRNIHPTNDGIMFLPENDV ISSPNERVVSAAIPSMLAKRLANAAKAGRAYGRTVQDHINSCLYFYRNHSVLINILPN EEQQIRTAKGVPDWTWCYLIFQRHPETMPRAFKTWTPQNTIVNPTPHGTAVIFKDHNQ NGLNGSTELPLPVKISYSPLYCKTNSLINVSSTLNIDIMDIVTRNMTQVSGGLVIGMK NKEAGSLKAAIPSDLARLLKTEALRAAQYDSGRPEKSAAERVCNVVEEYMVDWTRGCI PVLFNLLGPEETTMRRRYQMPDNVATGALFVKQPLLIPQIWWDWDPAATTVECDNKEG HIAVFFKHKNGHHLVGVWIKV PFICI_08496 MDYYSDLEVRTDPQHDPEQRQYAGTAPLAQEQKAIMPDEDLRAS APKIYVPDPTRAPEPVYPDQHLDESGHIYKEVAPGEERVTSETGILKRKVWGLPRRRF FTILAVVCFAILGIVAGVVGGVLSRRHQSSDKPPSETPTTASNSSASAQAINVMDISS LAAANWTDAHGITHRSVFFQDPFNAVITRRWDAVNQTWATDNLTDIISRRSTLLFPAP GTPLTVAALDRPNNYSMPESMHLYFGTPDNQVMDVVTEDPLGDPSNWYNGPYVGKAKV SFLPGSRMAAIWRRSANGSNGDYGIAYQANDGIRVLNSTDWYHGHLALSRGQVLGNAT LTFLPQYYMDNLVGLSLISQTFKSEAPAGVISVTTYNKTWSLETTEIIDELEGDRNDQ KVIATKWGSWSRNLCYVLNKDGAMKGAWWDGMKNATVHKLPSITIEGGPATTNFSAVA FTLDATFYGITGDEIHEYSVDSSNAALLHHTGRVYPQ PFICI_08497 MESDPNIGLFVNSRRGVVRVGLLYADQPLGKSDTFDSFLSLPSL LQTMLSTTNGTLASLVQTLDKFHPPDDGKRAVTTLSAKASYDLYADIHRSWQDRVSGL AESVDLHFTIQPIGTACVQAGQDHGGNILGLEKVPQCWFVFTAEWLSDEHDTDVNKAR ADITEKAHKLASESGLILSFQCLNLAHASQKALGS PFICI_08498 MSNQSGIASQASAATKLLQDALPGRVITPENATEHKVEQSRSWC STCWTPAAAYVRPTTTEEVAKALTIINQSGCKFAIRATGHNPNPGYSNVDQSGIVIDL SGLTSLTLAEDGTLQARAGNTWGKVYAWLEERQRSAMGGRESAVGISGFFLGGGLGPF PNLHGVGVDGATNFEVVLADGTM PFICI_08499 MPHITQRFTYALELGKDAASDELVHVDHVVPPIGNQVRACLWDS CGEHCALFDILPHEEDKIRSSNGIPDWIPTPDIFEEHPETMPRVFKSWAPKYTIVNTT LDGTAVAVKDSKQNGLIRFWISSEESSDDEGPTACITMKHSNQQKKTEFQLTINVSPS AYAVMASTRSQLWRIDSSAYVYSTSRMDQICGGLLIGAPWKAQLKAAIPSDLAQHLKN TATQAASCPLPRDKTPAERVRDAVRNKIETALRTRSNAPNYAFTTPLFIKYPSLLPPV WVDWDPATTTVERDARWG PFICI_08500 MSSLSRVISLASLALSVAALPYGTEESCPAPPRALYTITNDYNN SVVALPIGADGKIPGCSFGRLTSTGGSGGNTINSGKKAAPDALSSQAALTIAGNYIFA VNAGSNSVSMFSIDAQDPTKVTMIGQPAVVSGDFPVTVAASLNSSQVCVGCSGTRSGV SCASYSAEGIGAMDDLRSFELGQSMPPTGPLNTVSQIFFSTDESILYTTIKGDPSQNK TGFLAAFSVTDGQVEHDGAMTSPNGTVALFGTTQIPDSTNLFVTDAGFGSVILEVDGT GAATLQDKVEIQGQKATCWSTISPATNTAFVTDVALNRLVEQSLTDASIVAEIDLAAN GDPGLTDLQAGGKFIYALSPGNGTTQAAIAVVDATTKRQVQHFGLQMSGVSKNAQGIA LLL PFICI_08501 MSTTVFKFFQPKEQRQNPTEKRKDQLRRAQRTYRSRKEKYTRTL EAAFARAQAHEELLLKERARLRATVQRLVDTLNQNGIQPPEDCIQCDEVYNQDYMWVG SPTESSPSTSHGTNLSPQQIKESVHAVAGLTPQSDTTPESFQASSPIDGHLATSIVSQ HGTTPICEFDEVAVGMDFILTIEKPCRGHIHGDPHNHDEPGGHAITATAQLCSPNHRD PRCHSPQATPLAADSDADTTRVSSAAILERLRNLSPLLCTPGESTPIQSWDLIRHHPG YGLLTQRDLWLLIERLGPSVKCHG PFICI_08502 MTLCPCISFTRVLLASLVLLNNVISTSLKDSVEEIIPFNWEKTK YLIAFGDSYTFIQGTNGYPGFSFIGDYRSPENLAFTPQELLTTQINQNYYGTSAGGPN WVEFLSGCSLENGLWFPSQCQVQLWDFAFAGADYSAAFLPVHADFVTPMVNQMMQYLS YAEPVIREHMDKSRALIAIWIGINDVGDAANVVANQTDINDIEARYDAIVSAMFNESV SWLHDAGYRDFLFVNVPPRDRAPGRRSTDLPTAAMIESWNSALNRHHAQFSASHPTSN SFVYDSYAFLNHVLDNYSDYGFENASDFCANYTNATALTNPEALGCRPLNTYFWFNSG HIELQVAPTTSYFLSSFTSNLIDTSGITTIATIATSSISTTIEATTLSSATDISPVHK TFAIQNLARDRELLLSYVSLPLVFGEFPSASLNDNTTLFTLDDEGRLTHVLTGLFAYG NKLMGLNFGGDEVPIGNVAGDVCKCSIDLTSQLTCTCGVQKLTKFCLSPLFLPFLFPC DESDIVPIWPEVTLYAFPTARILPSTSLATTSAPVASSTPPPPIPVGAEPMQLFVGQN VQVVIIDHVPYLFDNSNLIFDRSYTNFAWQYGEGAWYVVSTREVAAYDPSRNESLQEI MFRNPEEIQTLGLRVSVCEDSMVGFECNGRGFCVDQDKRLYLCQYDDPRVVQVSQSFP D PFICI_08503 MAAAAIATFTPKPLVYPPGGPSNMAEFREMYPYESYPIPLNRTV VTIRPSVDDQDDISQEFLEGLKKANFGGTVYLPAGYTYVIAQRLDLTFLEDVEVKLDG VLQFTNDIEFWQKPENLWQYPFQGSVSWWKWGGKNVKLYGQGTIDGQGQIWWDELNGQ TYDEFPRPILFYATNLSNFRMEGILLKDSPDWTQLYVYSNNIEIENVLCDATSNNDSI HPSNTDFFDSINVDGVHVKNVWSNEGDVPDCFSPKTNSTNLHVDTMYCNGTHGQSMGS IGQYEGEVSIIENVLIENVWLFNGANGPRMKSWAGPQIGRGYINNVTYRNIWLANSDM GAQIDSCYFGINATECAQYPSQVNVTNILMHNVTGTLNGRYGREVAIFTCSTNPSAQC TNITLVDWEVE PFICI_08504 MDYKWSYGPRDDEDDNCATCGQDHKSICLINLPDSQKSLGLDPN WIDLGRLKAWAQHCDSAHGGTCHSLTEWADISPQSLAPLLLVDVDTHHLVEMDLSISC KIKYAALSYVWGRLPDVLETTKANLADLKTPGAVNSARYAISLPDTVRDAVALTKCLG LRYLWVDRLCIVQDDMVSKPLQLAQMGAIYANSYVTLVASDGQDANYGFRGSVRGVSQ PRHFEPPVLTYKQGRRSLIIEPVFELDYDSTEWQRRGWTFQERTLSRRNLVFQEGRVF WECPGAVWTEEMAHNSEDGSGDQRWQRRKPSSYQLTMSSWPDLLQYELLVRAYNTRIL SFPSDGLNAFLGISTALSRSFRGGLLYGVPEYYFDIALLWIPFSPIHRRLVNGEPQSM LPSWSWVGWEGGNINLSILPQLTRHVGATFGSFPLEYVEIHPMVTWLKTNSDSGTQDK IDNGYHEAVLMKNDSTLTLPDGWSRTNVTKGRDLGTGFIHKSLPDTVFTWPLHIPEHP IEFSQIQWEPLLKFETTRAFLHIGSRIHRDWEGRNWNEATNAAVETASLYHIRGPSGD WAGMLYSNDFDRAPAADHERCEFVLVSRGITSKDHVGDHNGLEEWAQVPEIMDLSTYE FYNVLWIHRIDGIAYRRGLGRIWKDAWHLQLTEQTHVTLG PFICI_08505 MSSDKRDYWLAWVLSSYNQQTAAHTHFSNVHQYFSSNSHVTYAF GTVRGFNVVMASPADMTDSQSTAFIISELMQYAPAVRTGFIIRSDAIAAPGVKLGDVV VGGALPDLRQGVTYFDAEKTMCEERLVVTGQSGRVSNTIAMAIETHSWEGHHSWLASF TKQWRACHCESAGPTVAQCDEYDDLAIESEAHLPTPSTHYGGIAISSQPLLNHTLLEG IATERNILCFETASLPTNSLPFLVVAGIANSNTENKDRHTYENACLAVMSYIASVMLY IDPDELLSEPPISELFVYESLEMDQPGYRLLRLQAGAGPIECHLFQSYLPSDNDDITL VPYEALSYCWGSNVLTNTIRVNGKLLAITSNLFEALKHLRFSDKDRILWVDAICIDQN NVLERGHQVDMMGKLYSMADEVLIWLGQLECGKLLPALQRFEAEVPCETWKKWLHKDP RFRGIWDMMKIPWAFQDQGPVGANLRSELELLMESPWFSRVWIIQEVAQAKRASLGCT EGWIKATTFAAAPNLLEVEPSTQCQAIIDVMPGPSRLSSWFGQNPNMSTLLWRFRSSQ ASDPRDRLYALLGISSDKPIVADYRKTEQEVVKEFLKYLLQGKFFQWQNHASSVQEIL GTLRTFLESEILGGADIHDVERLSSRHMKPLVLTMTAVDYLRYVKSPLLHQLSRQGAI IMELDERGLFKGIISFPSFWEKSKKIRATSSIFGAARRNGLDFTSQVLAQVRDDLDMN DDVVIESAKNGPSVLNQFLINYGVNGRSNGRTTVPWFYEQVTCPNICGSFKILKRFLD RAGLFLPLSQKWGHLLDGLNMHTGKPDVATLWEMDSIFQVSLQHFRHAIKETDRSSLG EIRGGQDVIRDYLESCGDRVQVTKEDMTELVSKYSIEVFFSSVVEEIEIMEVDIWPSY LKEEMAEYQGPPEHRTMAWKVMIPRSVDSPITGYRYIRLPESRFWVPFEDRGKKRRRK DTVNHRRLGY PFICI_08506 MFQTMPSGSVEPLPPPFTSFAQFKEALDEYYIKTGGMGANNPRM RRPYHRPHIATERINAHDGTPCVVAWQQYTRGFPDQPEERFGTRRVPFGSFQVYLPCP TTDGSRDDVEIDRDETTSRVAELLESKTYMTRHGAEVDFRDRLDVFGLAVRPGSSREE LVSACIAHQQSEIAARNQASGAQEGGDSEFHIWRWNICGADVNQNNYAHSLVVVEPAH HTDVEDEEKWAGDKTTFLFVWFDREERERDEEDELSCPPVKVLVKQGLQQAAGEMYRM RGAGDGIIVNTLEKYTADFGEPYPRPEPVVKRPTRYQLIRRRQRREQGLPSDDEDEDW DDDN PFICI_08507 MATMKALVVTPKDKLVSVLDVSIPEPGPGEILIRVGAVALNRVD WLYTANPVASQDYRVVGSDFAGIVTKVGKGIEQLPDQRVQVGARVAGFVQGACSVNER TGAFAQYVVAEWDLTWAVPATMSLEEAATVSMCGLTAAQGVFSRLQLPCPFNTTEGFS RLGTQPGEPVNVLINGATSSLGLFAAQLVRLSQQCSQTPVRLIGIASASKHALLQEAP YSYDHLIDYRHTGWPEQVKKICESSHGVHFAIDAVSVSPTVEQVESTLAPNGRFAVYR SPALGKFDITKLKIKPLIGAVWEGLGVEIGYQGASIPANPAARQFAASFFTFLGTEGS HGEPRLVANPIRKMTGGLENIAKDGLPLVGPNTPAGVRPVSAEKVVYTIQEIS PFICI_08508 MQSFELPLANGGTVTGIHSIPPLSASSAQHRPLIVGLHGGCYDC QYFDATPKYSASRQSSFFGIPFISINRPGYGGTSCVSPIPEGSDFHHASGLMLHRHLL PKLWQEFGVSNQCNSIVLLCHSLGAMSGIVAASLHGQDMQPSYPLSGIITSGMGATQS VSAKSTSPGFKAHGDDYVTFPSWAKDSVMFKPGTVEDEILEQTERLNAKTPLMEVATF KDAWLPVWKEKYAAHVSTPVMFSLVDNDPFFVVTTEEVESCARAFANSARVDGSLMTG APHCVELSHWSQGWYARCFGFALECAASFGK PFICI_08509 MDFYYSVQACVLSSCTVEQSLAISRLQDTACDRSYRSRRNDLWG FIAVEVVALTCIIMHFVARHDSGRDYGVDDYIMFVVLVLYIPFTVVGQYARLTAFGVD IWTESTSTVTTALKLFFIDESFYLALLALCKLVMLCFFLRIFPNRWFRITVYIAIGFV SCTGIVMVFLQIFQCLPLDYNWEGWMGTYGSHKCLNVNALTYTAASISIFQDVCLLLL PLPLTLTLHTSHRNKLNIVVMFSLGFFILLTSCIRLRYIVLFARTVNPTWDYTDTLIW TALEVNVSIIVISLPAIRVYLSKYLPKVFGSTVASGSESASHPHSRSHGSSSTKQRLH NSRQRGSSNKFSSVFSITTTRRRGAANGVDGDSDTESQLELGDRGRGMMDTEIVADHA CHAEDDLSSYSDGSSHGGINVRKTTVWTRESGEKSGRM PFICI_08510 MNGCGLEFRVLFCPSYKDYGAADLMGVCTNCKAKSSLFKTSVST RSTSFKTSIMKNFQLTVLSALAVRYAAAHATFQQLWVDGVDKGSTCVRLPASNSPITN VASADIRCNVGGTKGVSGKCAVKPGSVVTVEMHQQPGDRKCANEAIGGAHYGPVNVYL SKVSDASTADGSSSWYKIFANSWAAKSGAGSGDDDYWGTKDLSGCCGRMDVPIPANTP SGDYLLRAEVIALHTASSSGGAQFYVSCYQITVDGGSSSAAVPAGVSFPGAIKASDPG VLINIHSKLSSYTNPGPAVASGGETRTPGAACSGCEKTCSA PFICI_08511 MPFAVLPMLVPDITPVYDVYFEAFKNAQIMEFLFPGGVDRQAHK HGTTLWLHHDQNGYTIKCVDSETGTVVGMAQWEVFWRPDKNSLWKKPKGAEWLKGDKR KKAETVLVPNWAMRDKLFGGRRHVYCVTMATHPKYQHKGIGRLLLQWGIDLAEQLALP IYLESSDVALSLFEKAGFERLTDEKLVYKASETGQKADKEVPVLVKLPSKANGLSFRE WAKKGYPESY PFICI_08512 MNNLAGTQGALQSSLETIGTKAFNPDSEEASRGEAQREFGNLIS STGTTSLIAALNALIKPNRVPRWLALRFMDVLTLLPQRPDGVRATLEFIFSTHPSSTV KASEAAGPQKQGANITMEAMKMAANLLATPPAGVTPEQWFPGIAPQLLALLDGAEGPD LVKVAAYVIGFGILGRRQFGAPGTPGWRSFAEPMLAAINPSLSSDKAAHETMIFTAGP DDIVDLQKETIIRQPVHLALALKRLTSQLNSHPNPGLTTRLLSPLVKPLWTLSSWPEA DLETRETICSPARNLLSIYFKIAGQAEKYLNLVDFLLYNGDTLSENWVWRYSQQEPQI CVVRARGSVANAVVELDWESLGPKAVSFVEILQCAASDTDISTVFLTLFRRSFAKQLT TQQDVVMKVDEDEEKEDPVKRIIESQVLQVMMDRIPDKLVADSKGLLELASQVLADFE ASSENAESVAVALSLLNIVITTPNFQRSDMNKDVLNSIESSLERISQAGHPDISQTAK NLRLLLTYRDEIEDPLERTTAPTDRQIEDRKTYSLAMSYITQLDSPPPVRAEGLNLIS GLIKANSSVVDIQGILVLCSSLLGQEDDYMNVRVMKLFVQLSEKHPKSVLKELLEHYV DADERANVDTRLRFGESILQVVQRLGDMFSGDAAIQVGESMLSIAGRRGHRAKTEAKQ NREQRLREQKQKAAEKAWGGDVPDLSELQEEGKGQTEEEKARDDVLAQILQGWESKRG SEDIRIRASALSVFLVGMETNIAGYGSTLVEASVDLCLNILTIETEMETAILRRAAIV LILTFVKALADAREAGKRLGFGLTDASREEITRILDYVAGTDTDGLVRQHASDVAESL RNWQMTSMLPEMRAPPAGLETLAGLNLGRPDLPATAPAVRPRIEEIE PFICI_08513 MPGSPHPAIRRRRQGSPHPIAITADEMEPESRSTTPEPSRNGSN TPAAKPQVAPNHIPQHVGMAPAIYVPATFDFTKPRSLPSSPERTGSSSDAAAAAAAAA NPSATSLRVDRALTDLEDHMTAVKRNISTLTLQEARRCHQEAAAREMELADAGQPTPR SKRLPPTREEERQMLKNLEGRSVRGVSYGVPAEFGPAFVPGIAPHPKDTPREAVTTQI LDLLRYGGFQLDGYESFCATSRRVTAARVKQDIAQEVARSLAQNQGDDLGEKTPVANV GEVQDNSMRMDID PFICI_08514 MADSVDRVFVHALNTVKKIPKTGASRPPPADRLRLYGLYKQAME GDVDGVMERPSHAALGVTPDELHREQGKWDAWNAQRGLSRTEAKRRYIEALIETMHRF ATTPDAQELVSELEFVWNQIKNNSPSTSETSPKGNNSPEPIRKFAQPLPGTEGPMKML SPMSEDDEVEKEYRERLADDDDEGDYVKKGDKWSRKVERALVRLSAEIAALREQITTG REWKSKKDRTFVAWISWLFWTAIKHLVIDSFILIIILIWMRRRKDRRLEDLCRSALKI GREYARRVLPSR PFICI_08515 MSKRQFSDFALPESSTSEDVLGSVSQIVQQAQDLLRAAQSLKQD IQDGKQHRYIEGGSRLRAITADIANNIEKLNAQPSAPPSKAPKLQDVSAAPVRIPHAI DLTPWTPHDDAKARPLPSLPTIRNTTLESAVFTHAGLSKDPTGSYERLEWIGDAYLYL MSSAFIYQTFPQLPAGRCSQYRELLIRNKTLGKYTQQYELNKRLKLPPEFRGQNDMAP ATKKLYGKVLGDVFEAYIAGIILGDPQHGLSSAASWIKVLWRGELEEELHKEFRERQE RPPIVTTVAPLMANRPKSESSTATSTDAPPKPLAPPKVRLSQALGGKDVLIEYRDQGE PKTEKKTGLPWYTVGVYLHGWGVKDFNMGYGSALNKKEAGSKAAQMALDNKKMISRFA QRKKDFDAAVQAQRG PFICI_08516 MSVPRARVLDLMKKQCEIFSTTFNPTGVRMGNKILRQRLKGPSM KNYYPPKGPQLRDLYKQFKSLELEGVDEEWEDWQEHLAGRQQRGKAPPKKKRTAPAAA GGKQHHGGRICRLH PFICI_08517 MGTNGTPSTGSPTVRRPPTTKVSPTEDTRKISSSREDATVKALA HLCVTNDDLASVHNQKQSAISNKENRSIVTELDEEPNPLVDGLLKHIKNNSETRHPMP RKPIGFMTANDAGTNSLPPTPAVENISTAAANVRPASTNAKDNLVIDDEVARLQQQLA AAQSKIFQLEGTSQIRDSNIGNMRASPLESKYEDGASRLSDTGALQLVNSSSWPGFDE NRSENSETLSANGFTRTRHIWNGAKPNQPLGGGMSGTEIPGTANPWASRGGNSMLVES GMPYHPASGSEPYRASDRVSPDYDMAIRGPNNRRSGRFDRFGGFSAYGNQGPYGGGSN YNNQYGQGPFEGGPNQNGQYAITAAPFPNHQPGAIGTALSPLATEFTSGNGHWKQEPT VSEGPTYLPTTEPLNYRRLLDRNVNCNWKYIVDKIVCNNDQQASIFLQQKLKVGTPDQ KYEIVDAIILQSHPLMVNRFGNFLVQRCFEHGTPEQVIKIAESIRGNTLSLSMDPFGC HVVQKAFDSVPEDYKAVMVHELLRRIPETIIHRYACHVWQKLFELRWSESPPQIMKYV NESLRGMWHEVALGETGSLVVQNIFENCLEDDKRPCIEEVLANIDIVAHGQFGNWCIQ HICEHGAPADRSRAIDHVIRYAAEYSMDQYASKVVEKCLKIGGGDFLGRYLDRVCEGR VDRPRIPLIDIASDQYGNYLIQWVLTQGNPQHREIVAAHIRKHMVSLRGSKFGSRVGM LCTNPAIATRPGPGINPNLGRLPGPRYGRPYP PFICI_08518 MASRLGFGSFLLVLGIILVLMPGKAAAFGAGNIPSIAQVEGVNW RHGDIEDMLKTLTFLHGKKWTTLLVQRTYFGNWLRDYSQAVDVGSLKGVNAATIRILV WVLSFLANGYATEEFEVTEERLGVYRPEEHIDNPLGYADGKDAREFDKRLRGPVSPAE TEIDPRTGMKNYIANESGGWATSAGYLRFSFARSIHYGRLYTSGSSGKGKEEDLCEAL RCLGQALHCMEDFSAHSNYCELALREMGYHDVFTHCGTQSEIHLNGKRVYPLVTGTFG GVDFLHSVLGEANDHFTQSEVDELDIALKNAEAAQKTGGGGGTRGFGGSSGAMDFISL VGQLPGIGGGFASEASNLKRMAAEQERENEVRSRGDLSRANANAIPGMSADFDPVKTA QRIYPILQFRDKIVKSINRGIAKIPGLESLLEHISETLTAFVLGLLAPFIRPIISQLT KVLKDGSSGVITASANSQLEPWKNPNCTDPTHSMLSKDHFTNILNSCAGRVGATVLQY VVPRILYAWENTSVPVEEVVNDVLRAFHHPAIRDERVEIQRDMYNTVRKWADEYPRRH ELNHILGSESVKNGKNHVLTSASNKGHSHGGGIFDGGVGQLGHGKPTGSLWSQLQTRD LDAMGAGSGGGVGYMGASSPQPAHAPSRPPAGETFGYQGAATGGEADSYLNPQSHSGG GGGGSYGGHSPQPYGQGQGYGQPQQGYGQPQQGYGQPQQGYGQPPQGYGGPQYGGPPG PQQGWGPPPPGQWGGGPPPPGPPGGYPGPGYGQGPPPPQGGYPPYGQQGYGGGYGRY PFICI_08519 MRRVAVRSFRTSCQSAARGLSRNTLALRTRRASLIAHQQYTLSN TSARLGQLRSLSTSSSLASSSSKTSPASSSTLSQRNRQQQHALGQPLQSSMYFRRFSV ALVGGLVGYGAWYGYKDNGTETAAAPYSTSSKFPSQNAATTRNVLVVGADELHTGTFV GEGPIAKSADGTGQNNVLEMLTPDQATEKLRDNEASFVVNRGQGVLRYDIVQLASNNP IEDDHAEKIVEVSMPSAEQQAKDANDWMFWGVFDGHAGWTTSAKLRQTLISYVARELN TTYKAAHSLGAAPSPEAIDAAMKLGFTRLDNEIVHESVDKVLKSNSKRVAAELLGPAL SGSCALLSFYDSASKTLRVACTGDSRAVLGRRSTSGKWTATALSEDQTGSNPNEAARM RKAHPGEDHVVRNGRVLGGLEPTRAFGDASYKWTREISDRLRSSFFGRTPSPLLKTPP YVTAEPVVTTTKIQPEQGDFVVMATDGLWEMLTNEEVVGLVGKWIESEQVASQSNSQF NKVWSKIFGSPQDRLPVEAHKGGHGDDGQKTPIRVKQWGISPDEKERFVVKDKNVATH LIRNALGGKNEEQVCALLTLVSPFSRRYRDDLTVQVIFFGNGEKTGEVLMNQDATTPP KGAVKAKL PFICI_08520 MDASHNASTGNKDPAVPSAAMQRMRELAARNPDVGHRSFDSYPW TKDNVFQSQLAAALLSPSNSTPVQTALQCRISRFEEKVGIRIDVAKYESYRAQPGRPD VDVVPQVILEQEALYEPDASKRLYAAIVNGSQSPQDSGDQDLPSWQRAAPKAALYVNK DEAGTADGSGKEPYPKKFEEILAFLQTGEEMPGIVKIPDTVIEDASISTTSGRAAPLK PWEKKAAANSDLSK PFICI_08521 MTAIKSDPYGFCDPRDAGEVFLDADEHQIFTDYCRRMEIEMSRD MSAFANQSFIPARDTAEQNMTHEANAEQRSTGVVELSTVVDHLGGWEDEFQGYNRATN SAAPPRVLG PFICI_08522 MPLRLWRDLTPLEQPLRQPTAESPHILVIGGGVTGLVTSWVLLD QGYRVTVLSSAWVNSEKRLTSQIAGALWEFPPAVCGSHTDAISLAHSKRWCMTAYHVW DAIASIPVIGQESGVRMMPSDFFFPCPVEEDDAQYSKMMEIMASGVRGFYRGADLIDE RRVDPSYGAVDAYELLAPIIDTDKSMEWLTDLVHRKGAEYVTETITEDLLDIEDDLRA RFAADVVINCTGLQGELLAGDKTVYPIRGGLIRVINDGSDFPKVDAALTITADAVHDA NEIVFLVPRNDNILLIGGIAEPQKRDLDLTLDSPIIRRMRERCESFLPSLKSARVDPD YPLAQGLRPFRGNNVRVERELRRAGSRIVHSYGQGGAGWSLSFGCAQDVASLVEETLR GVAPRSMKEMEEERRGTSVWSPVEIRAAL PFICI_08523 MDIIIPKLAKVIANLAAFADKHKAVPTLGFTHYQPAQLITVGKR AAQWAQQLMMDLEDIEHVRKELKFRGAQGTTGTHASFLEIFQGDGTKVDRLNDLLCSK AGFPACYDISTQTYTRKVDLRVANAICGLGASVQQICADIRHLANLKEMEEPFEKDQI GSSAMAYKRNPMRSERICSLGRKLANLPMDFKDTFTMQWMERTLDDSAIRRIDIPEMF LLADAILIGMDNVTDGLVIYPKRIEARTREELPFMATENIIMRIVAKGGSRQDAHEEI RVLSHQASDVVKNQGGQNDLIERIKKTDYFKPIWGEIDSMLDPSLYIGRSVQIVERFC SEGGVLEKKLAPYQSFIKGTATAQLNV PFICI_08524 MRSLLNKHAVITGGSRGIGLAIAHRFAAEGASVTLVGRDEGRLR SALDSLSASSPEHKGETTQPSVPHGLHAFDVGEIQGWGELTNKLKESKRPVDILVNAA GVTQDSLLFRGEFHKYQQIINTNLMGTIQGCGAISNWMIRQKTKGCIINVSSLLAIKG GRGASVYAASKAGIVGLTRSLATEIGQFGIRVNVLLPGYIQTEMTQTLDQKGNLSTSI PLGRFGTTEEVADAAAFLAKNPYAHNTVLNLDGGLSAT PFICI_08525 MNQSYGNGAVSASPEFSKLDTSAATLEQKKEGSTIDAGLRSLDH STLSHSSPLELLLLLPGLRFEEAFKGHALTVSSDRRALPKWRYDLRQRLLPLIRWETP YLALLQETLRTPALDSYFAITANLGTHTFFMIGLPILFWCGNASFGKGLVHILAAGVF FTGFVKDFFSLPRPLSPPLHRITMSGSAALEYGFPSTHSANAVSVAVYGMLILHSPDN TLQPNTKFALEALAYCYTVSIIFGRLYCGMHGFLDVIIGSVMGAVISLIEFHYGPAIE IYLREQAITGVLVLALIIVVLVRVHPEPADDCPCFDDSVAFAGVVIGVEIGTWHFSNT LFALVPFDLETLGWVKVIVRILIGVFCVVAWREIAKPVLLKSLPYVFRIIETWGLALP RRFFMPASEYQNIPLRLRVDNMMPNVSEIPKFVNNIRRGGRGRSVSIGPQSAADAYET LAYRERRRRESIGSNGSVRSKASIADLKASSPPPDSPEDQAGRSSAISGASKLKNYED MMGEGTVVVSPSEEKDNEDPTLYIGQQDELGEREVFAKLVKPRVRYDVEVVTKLVVYG GIGLVAVDFVPIMFEFVGLGAGHPA PFICI_08526 MLGSRLLAALWGALCFLLGPAAAFTNPIRSSGGSDPFLVYTGGY FYLMTTTWTDVEIARATTIDGLKTATKKVVYSTSTASRCCNVWAPEVHYFDGTWYIYY TAGDSADLDGQNIHVLKGGATPYDSFTYAAQLTSQWTIDASILRTSAYGNFLMSSCFN GNTYQSICLQKLGSDYISVTGSVYTISQPTESWETVSYPVNEGPAALYYGGKTYISYS ASYCWSASYCLGLLTWDGSTSPTSASAWTKSSGCVLASANGNYGTGHNGFFQSPDATQ TWIVYHATSNSAGACDDSRYTMVQLLGTHSDGSPNFGTPVDFAHVYSEPSGE PFICI_08527 MTDSRFPVANPTASFWLTQPHALASYRSSETTPEECDIAIIGTG LAGVSTAYHILSNHKGSEPKIVLFDARQACSGATGRNGGHIKVLMPTIKKYHEKFGSD AAQQLFDFVHSQMAAIKSAQETEGIDCDLFLTRSFDCYYDPVQASEIKAFLEEQREAG AKWTQEVQWLEGPMLDKASGMKGLVAAVSVPALTLWPYKFVTALLERVVEMGAFLYTE TPVEEISKPGDDGLVTLTTPRGVTKAKKIVYATNAYTSAVLPQYQSSIIPFRGQNSIL VPLAKAHQSQNLTYTRNLFHTPGNADYLVPRPDGNIILGGGGGAYRKDEQDRNPQWFD SVDDATLIDPGVKKHFDGVMARCFRGWEDCEATAAMTWSGIMGATPDGIPHVGQVPDT DNQWILAGFNGGGMALIFTTARGVADMVCNGTSFEDAGIPEMFKTTAERLNVKFE PFICI_08528 MDLTGIYDLDVFPDPTGAPDTDAFTSDGLDGTTIAWIVCTVVYA SLDLMWSARLTTWTLKYKANKISRRRFAKIVIFISSFVSFPLQMLAWVMFFLPWVIFF LPCLLVYFPCGMLGDLMEDQFFYWYRIMKFPGQVMSAKGRWRMDYWKRPSNTIELDVL SSVPPPAETMPNPGLPPSPPQPRPSSAPEAGSSSASQPRPSSAPEAGSSSAPQACTSS ARSSPSCYSVASPQRPWSRLAVMREYEEAWERQQERERRELWGPWDVSLPHSTDYDAV PFICI_08529 MSAKSILEADGKAILNYHLTRAPVIKPTPLPKPTTHNAPPRLAS LYFPEDADVNGILDQAEVTYPWLLQSGAKFVAKPDQLIKRRGKSGLLALNKTWPEAKA WVAERAGKEQQVEHVKGVLRQFLVEPFVPHPEGTEYYININSVRDGDWILFTHEGGVD VGDVDAKAEKLLIPVDLSEFPSNEEIAKSLLKKVPSGVHNVLVDFISRLYAVYVDCQF TYLEINPLVVIPNADATSADVHFLDLAAKLDQTADFECGVKWAIARSPAALGLAAQSS AGDKVSVDAGPPMEFPAPFGRELSKEEAYIAELDAKTGASLKLTVLNASGRVWTLVAG GGASVVYADAIASAGFADDLANYGEYSGAPTESQTYHYARTVLDLLLRAPLDSQGKVL FIGGGIANFTNVASTFKGVIRALREYAKPLKEHNVQIWVRRAGPNYQEGLKNMKAATQ ELGLNAKIFGPEMHVSGIVPLALVPGKWEEAQAAGITEFQA PFICI_08530 MSATTNGVNGTSAGGLSANDNITRFAAPSRPLSPLPEHALFNEK TRCLVYGLQPRAVQGMLDFDFICKRATPSVAGIIYTFGGQFVSKMYWGTSETLLPVYQ QVDAAMNKHPDVDVVVNFASSRSVYSSTMELMNYPQIKTIAIIAEGVPERRAREIAHV ARKKGVQIIGPATVGGIKPGSFKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNEL NNIIAQNTDGVYEGIAIGGDRYPGTTFIDHMLRYQADPQCKILVLLGEVGGVEEYKVI DAVKQGIITKPIVAWAIGTCASMFKTEVQFGHAGSFANSQLETAAMKNKQMKEAGIHV PDTFEELPAVLKSVYEKLVAAKTIVPQAEPVVPKIPIDYSWAQELGLIRKPAAFISTI SDDRGQELLYAGMPISDVFKEDIGIGGVMSLLWFRRRLPDYASKFLEMVLMLTADHGP AVSGAMNTIITTRAGKDLISSLVAGLLTIGSRFGGALDGAAQEFSQASDKGLSPRDFV DLMRKQNKLIPGIGHRIKSRNNPDLRVELVKEYVLAKFPSHKLLDYALAVETVTTSKK DNLILNVDGCIAVCFVDLMRNCGAFSPEEAEDYMNMGVLNGLFVLGRSIGLIAHYLDQ KRLRTGLYRHPWDDITYLLPTLAGAGPVGEGRVEVSVK PFICI_08531 MAFFRVTLHRSAIGLPERTRGVLAALGLRKRTQTVYHPVSPQFA GMIYKVKELVKVQEVDRALTPKEMREERRPERGFYLETPRV PFICI_08532 MNNFLQEDAFHQRPSIKIVLPDVIKGLLVDDWEAVTKNCQLVPL PHPKPVTVVLDNYLEYEKAQRQEGSSSIDILEETIAGLKEYFDKCLGRILLYRFERAQ YAEVREKWSTEGSELYGKAPSDTYGSEHLMRLFTSLPELVAQTNMDQQSVNRLREELT KFTQWLTRNAQDYFLNEYEVPSAEYSEKAKN PFICI_08533 MPEASDYAPSSPVAEDGAPSNNSGHQVDVSATASTRRNTRQTAK ADTQVIQDQDEDSESELVLSFGKRRTRRSALPPTVEEEIGLEAATQRALKLSLLDASV DGVNDDRNGSATKTPATRAAKASQKANKNSKVAKKAAPAKAKKSTKQAEPATKPSGTK SSSSKSSSSSKSSSSAKSSSARSSKPQPATKSSSSAKSSKPQPAAESSGPTALIKKRK TEATLDPHDSAPSPSKKKKQLQAPLDPHEEFRQAFILKEAKYAKAWEPFDNLRQLPPA DSTNHYISSSGTLLLAPEDPPYNRFARTKPIHPKVLEAQERGVCTVGFFRQFRDMPAH INLPTAGVPSDKPHQSKKKMGKLLSATGAAMKKDITKNGHSILSNPATSSMDDAADDG AAIAQVIPGMRLRRQQQSPKGVPAPADNAFSPRPTARLDTLDQA PFICI_08534 MIYCAQNTAALHSWQQYSRTTFIYCPVAVKHLSILDLGWRRYLA EFMERFCEPRKRRIQDSCALCCTEALMLLHKPTFHECASRPVRAGEDHQAVLRSSGIT GRSDQRD PFICI_08535 MAPSKPAPGPPFSKDEKVLCFHGDLMYEAKITEVRSASDPTNTV FSTIKADDAQYKVHYKGWKSSWDDWVSQDRIRKFTDDNKALATQLSQAAKARTAGGSK SAKKAIGKAVGGIDSEMSSARGSEERAANNSYAGRGPRRGRDYELESVSQLSFPLCSK DR PFICI_08536 MAPSEATILENYLLLPARLPAVVSLQQFKSYFPKSQQSSPQIRT LYRDLQQQRNAVVDTVAANIDTEVQRGKALRRAVIKARREAAQEDDIDDEIEIERNLF GPTSNTIRPKKHTLQSILPEMDSAASDLEQEIRKLEEEEAALLESVQKAVGDMSDLRY GRLANTKLKNEVINGLEDFQEICKQKS PFICI_08537 MRFSTAIAAALPLLASAQEVPQYQAQFQNYLDKFWSYVPNPSKS DPIGAAEAKIGELKMDVITLENWRDVLYGHVSPQSTTPEETWVLITGRNKTCFGHCDR IEEAFNQTAAKFAVTPGAPHTALLNCEDEPVLCNSWSAPAGALWIFEMLPPPASINLW AKRLNMTTVTSDDFVKLQQDGYKEQAKLHDGIFHPFNGWFAQNGLSTIVGYVLWFFNL IPSWLFMVCVSMLSRTMMSNRMNNQMAGGPRPAATGQAAPRR PFICI_08538 MAKETANQKRKRGRTANASRDDVNASQTQLTLTTNGAISRPQNN DADDNDVGEESRPKKRGRKAKEPSAAQAEPEEPALEVEPPPKKKRGRPSLQKAQEMER EEIEEPLPEEPKPKRRGRPAAPTRDTQNADEEDLSGVAQNKPPKKRGRPFLNRSQESS QVENSPDTSQVKKRGRPRQPEQKDNTEVTADEADNEGHSNSSLLRRSGRDKRKANRRQ PTPEAQSEPDEVEEEPDGASSPRKGANGTRSGRPVKRGGQGDEDKSPEEVSQPKKAKK RGRPSLQAKADDAPSATEAEKSAPSKRGRRSNDKGAPDVAPNKQEKKRRGRPSLNQDK QDDQDEPVANQKSRRGRKNRQAEPEEQDDAEAESAQEDESSTKSGKTAIKRGRKRRTE QEDTRSQSSSPEPAPYRHLAMRTRKITRQVIQEKWSPLDPTSVEGVSELLQMSTLPVL LRLNNLTKHSQATAALNAITNKLRSKLTRGLPFPPATTSNKREDELSYETTLDGIQNT EAQLDPLIHSVALLRKEKERAEKELDQEYKLLNSITANARSEIRGRREQLRKIHPLVP ELKHDTEGLDVGRRDEFCPIEEATGKTFVGLEDEELLGLTGQLGNHMESMRGNLQQIE GVAPAIAQGRAALKLALMPHLDQDTFEQVVLG PFICI_08539 MRLASAFENRRRKASRSPPRGRSKHRQEKSPDTKEVVVVKRIAI TFSQQEEARWRSEMEYIRKSSKAMNCFPYTFGYSGARESDSGTHIEIVRPSLPGNIYR VKASGLFPLSEVVDATCRCMLKALQHLAANGIVHRDVRPGNIFYSEDRNGNLTLKLAN FGLRIDAEHPALSLRMDPYRAPEAQARETRIHASDMWSLFATLAELADQYRPGSNRTY HEAWSRLYAIATAKPARGTLDLRGMKEVVIWEADCRATASQMLAKFYETPSSTSRSGG GSDFMSWDAWIARVGGEAVAKGLYDGTTDSRSLAVLRNPVVNTSSAKPEKRKEKPKSN TTSEKAKISVALPDGWLDILSDDDAAGTIGADMRKAIRGEIKRAIEEEMRQCDEPQLR KALELELKELLSPTGLGTTRDDAIATLKTKLENAREDRKQMEHQLTEARAVLEKQDKE VKKLMADMREERDARATEATLKDTGHEQDAVAKAEAKARELNKVKAALEAELQEAIKD KKDCEATLRELLEARDASFAQVKEAIDAKEAAESRVDAMLQEKAAAETREKNAIEAKS VMKAELDQALVSRDELRAQVQQFEEALQSRDVMISRLQNVSDMPSDTVELKKFQDVSS QLREALENRDASETQMKEAIEARATLKAQLREALESRDLLKTQLQLLEEERDHANRAL FQSNDQQDGGVLPSIEDNIDAQIKAAMEEKESVEAELKQVAMDRLATEAKLKDAVGAR RSAEEQIRKASVVREDVEAALQRLRRERDSLKAQVVRNAAGAARDEHGEVSGEGDEDT PVITYHMNPLVEEKHRHFLGLEGDSLMERRRDELASNDNDGVTTKRRKIITEFGSPSI TVMGRHSSEFMTGVSGDRRIRILC PFICI_08540 MSKSVPATTVSAPEPRRRTWYHTTLFNAFVIGGVGFLAPGLWNA MNSLGAGGAQEPYLVNAANALVFAIMGFLCLFGAPIANRIGLHWTLFLGAIGYPIYSA GLYCNNRYGNVWLVLVGAVTCGFSAGLFWASEGAVALGYPEPGKRGRYMNIWLLFRTG GPLLGGAIVLGLNHSAGQKAKGKVGSETYLVFVALQCIAAPLALALSPPDKVERADGS RVVIKAEKSFRAEFQALWQTSKRKDILLLLPIFWAAYFNQYNGNFQTYYFGVRARALI GLVINFGTLLSSQLISTLLDYKGLAVKKRIVYGFWYVVLLHIVAWVYAWVVQEKYTAN PPAYDWEDKGFVEGFFVLLLWDFSRQALQNWLYYLVATKTDNISELTRFSGILRGQES FGQAVSYGLNTRKWVGGRVPLAVNTILLGLAAIPTWLVVRDHVPVETTEAEPEEVPGA KGPEIIV PFICI_08541 MGKIASLEYFRVPPRWLFVKITDDAGNVGWGEASLEGHSQTVEG CLDAWFDQYKGLEADDVEHIWQLSWRAGFYRGGPVFMSALAGIDIALWDLKARKIGLP IWQLLGGKLRTKLKVYAWIGGDRPADVEAQALARRSQGFRAVKMNGTEDLGWLDSPSA LDDCVQRLKTVKALGMDAGIDFHGRVHKPMAKQLAKLLEPHQPLFIEEPLLSEHIGGI QALSKLTACPIALGERLHSRWDVRPFLEAGCVDILQPDISHVGGISEMRRIAAMAETY DVALAPHCPLGPIALAANVQVDIVTANFAIQEMSLGIHYNSTGQDLNTYIKNPEVWKV TDGYIDIPNGPGLGIEIDEDQVRSLSTDCKAWISPGFTGPGAEIREW PFICI_08542 MLPTELKLNSGYTIPTVGLGTWQSKPNEVRNAVVTALQAGYRHI DAAAVYGNEKEVGDGLKESGVHRQDVFITGKLWNTHHKAEDVERALDITLADLQTDYI DLYLIHWPVAFQKASDESERFPVNPVTEQIDVIDVPISETWAAMEALVNKGKVRTIGV SNFTRNKIEDLWKTATIKPAVNQIEAHIFLQQPDLLEWSKQNGIVVEAYSPLANNIYN LPRADENEDLKAIAASLGRSPVSVLLSWAVQRGTVVLPKSVTPARIKSNLTVSELPAD AFNKINALDQGRRYNFPARLGVNIFGEATQEQLDEAVRTWKAAQKAPK PFICI_08543 MAHPNTTISPPPGAYVPVPTFFKSPSASSGLQASIDVETQVEHS IFLAKNGIRGLVLMGSTGEAIHLTKAERYDVVAGVRKGLDDAGFKDYPIMAGVLTNGI DETLEWLVDYKKAGAQWGLVLVPGYFGSAVTQENIREWYTVVADNSALPILIYNYPGV TNQVMVSPETYTTLAQHPNIVGCKMSHGNVSHHVQVSLDPAINHDKFRVFSGFGQQLG PIVHFGAAGVIDGLAAFYPKVVVRLMTLAEARPIEPFALQEVQKLQQAVSVAQEFIGK FGIIGIKEAIFRVTGFGTSEGGRLPLKGKLPDGAWETWHLRLLLPVQALEEQL PFICI_08544 MAVATESFTSPQLTEPAHARICLPSSHDQFPQTVTELFLHNVAK YEDAPCLGYPATDRGKADYVYYSFHQLNGLVDKAARYYAELGLISKVSATTTPVVALL APSSLDYVISLLALSRMGFAVLFLSTRLPVEAYVNLLQKTSCHKLIVANNYLATAKEI QEQYDITSIGIVDKSVLDDIEPNEFTYPQQSNTNNSSQVAFIIHSSGSTGLPKPIFQT HEACIKNYSSGIPYRAFLTLPLFHNHGISTLMRAFCAGKRISMYNANLPLAGSTLAEA IAATGAESLHCVPFALKLLAETPGGIETLQRCKLVLYGGSSCPDDLGDLLVKNGVYLV GHYGATEMGQLMTSFRDPSDTAWNYLRPLESVKPYLSMVPLGGGSYECVVLDGLPTKV MSNSDDPPNSYATRDTFIPHPSIPDAWKYLGRLDDRVTLVNGEKVLPVPYEHFVRQHE LVQEVVVFGVGRAVPGLLVIPSDKARGMGRDDLLQELLPTIQAANARTEAFGRVTPEM AEFLDVGADYPRTDKGTVIRAAFYNKYASLIDAVYARFETPVEQDGATSLRVFSHDEL QSYLLKLFREKLGFSDLTVESDLFNAGVDSLQAITVRAQIIREVDVGGKPLSSNLIFE YPSIQNLAQHLTLLRSGQEVVADNEVEVMQELIAKYSVFQSRTPGQNEPRFETALLTG ATGSLGAFMLSQMVQLPQMNKIFCLVRATSQSHALERIVSTFAAKGLAPLTLDQLAKV SILPADLSRESLGLEQHVLDELRSTLTVAIHSAWAVNFNLGVRSFESQHIRGTYNLLN LCLATDTVQPARFFFCSSISAAAGTPIPASIAEAHVADCNHAQAMGYARSKLVTEHII QAAGEKTGMQARVLRLGQIIGDTHRGIWNLTEAIPLMIRSARSFGALPALDETPSWMP VDKMAQACLELAGLVPSSGDASSIVGGGESIHDASVVYQVQNQRLFHWTRDLLPALKS AGLEFDIVSQRQWVALLRESNADPKQNPTIKLLEFFTGKYDNDKPGREGLVFETRVTS EASKTVDQGFDVIGSGLVDKMVSWWSSEWR PFICI_08545 MSDGKAGVETLERVETYVRTPQWIQDLTVEERLEREKKLRAKID LRLMPMIVVMYILNYLDRNNIAAAGLAGLNEDVDLQGDEFQICVSILFVGYLLMQVPS NMILNKLGKPSLYLPGCMIVWGTISGATAAAKSFGGILAARFVLGFVEAAYFPGCLYF LSCWYTRKELALRTGILYSGSLLSGAFGGLIAAGILKGMDGVAGLSAWRWLFIIESIL TIIVAFVAFFCIPDLPRTTKWLDAQERELAAWRLEADIGEEDWVDSSHQSPTHGLKLA VKDVKTWIILAVIYGSTASGSMTTLFPTVMKGLGKDSVTTLLLTTPPYLIAVIACLTN AWHADKTGERYLHIAVPPCFALVAYVIAAATTGFAPRYFAMCVMVAGTYSGYVVALGY ISNILPRPVAKRAAALAMINALSNVCQIYSPYLYPASAAPRYTVAFCVNIGMSAMTII SATVLRFYLRHLNKRLDQDEETQDIGSGNQATNEREEHGLPGTAVRRGFRFML PFICI_08546 MDLDHHVDDLADGGLSGASPRPQLPPSTNRRFRRKKQRDRVRVT RACDRCKRRKVKCDGYQPCNQCSLPGLGCTYEATYSRGHRGSDPKLRNRSDPSSLPLH SPTRVQKEQSLASPARRPMKAGPPGDNDHSDDPNDDLPYEVSESAESLSVDMVDESIP IDMSSQPAPVSSKTSPEPSQTDLQGHYVGPASGVSFLLRVQKKLHQTISFPSNCSIFT FGDAPLPQHDPSFCLLIPRDEAEKLLECYFGVAVPTHRFLHRPTVASWLEEFYQTRGT MRNQDDAAGRRAVLLMVFAQAQTHMPHPDTPPDLRLMKQNSARCFLAADHQLSEETGR VRLTSVQARLAQCFWLLSQSRINHCWTLFGTMSHLAYAIGLNRDRKVDSALGMNLVEV ECRRRTFWCAYSLDNYLSAALGRPRTFHDHDIDQELPSPYDDEEIFPDRIVQSHSRGQ SIMLCPVAHVKLSQIVSTILWDLYSIHPATKTQRAALITKSSKALKEWRAGLAHFLDD QNVNAALLIPIYQRQRNVLNLAYWHAVILTHRPVLLRNFPHLQEPRNANRSHSSIREC LAAAMSIVKTVDALVGAGQMLKAYWFTIYFAFSAVVILYVYVFQQKLSPAASYEEYLE AAIRCHDNIARVAENNTLTQRYSLVLDQLRIEVLSRIRGQSGSNAASASMPEAPLTAL SQALSQSSTALGESEPTTIPDALSAFSSNGFAEDLADSNASSASPLGNEIDWNQFDSM V PFICI_08547 MSQKHFIDNENTSGLIEDALESLMLQNGALHVDKKNKIVYNAAH KPEQCVTLIAGGGAGHEPAHAMYVGSGMLSAAVSGNLFASPSVKQIYQCASTAQGAAG TILIIKNYTGDVFHFHQAAEKLRATLGGRIEVVVVADDVAVGRRQGGKVGRRGLAGTV LVHKILGAMAASRLPIDQCLDMAREVNASLATMGVSLDHVRIPGTSPRAAEDQAIGPD EMELGMGIHNETGAQRLRPRPDSTTVINLMLDYLLFQDDEDRAFVDFGDAEAVVLMVN NLGALSVLELSAITLKVSQQLGLRGIKPSRTYSGTYMTSLNGPGFSITLLRASKDMLA YLDAPTSALGWSRSIEHNIIIPWSPTASTPSTELAHANAGLTNTDLLGPKVDAVLLRS AVTSACQAAIAAAPSITKFDMIVGDGDCGSTLQRACEEVMKMVMTTTPARNGSAIEHL LRIAHTIEDSMDGTSGAIYGLFFNGLVSGVREIEGDAEMTYAHWIQAARTALQTVQKV TPARAGDRTLMDALEPFVDTLQRGSGLAASVAAVTHGADRTKGMQPKFGRAVYVNEAG WDAVPDPGAMGVVALVQGLAEGISSFGASPQ PFICI_08548 MDLTQISHSSPDESLPALDIYITPRYLDGAAHSISVKLTFVDDG TEPRDGTYPVLRAVTNIASTPALHLVEGTLKVSDEAGDVPLTPEDASVPQIIAQIHVA PRKTRGLITVTYDVNPRQIDIHTRNGPLMDFRRQTGGGLMGAGYGMLAVPAVMKRFTV RMHWNLSDAPTGTVGVWTMGAKAELAPPQIQHTYFAVGLLKSSSEQRKLPSGKKQQCS VYWLTEPPFDADGLAAEIRKVFFALADFFEDQGDDFYVFFREHPYPGTGGTALSRSFM YTYSVEEHEEPEPFMVKLKKLAHEIVHEWATFNEGGPEENWYHEGLAEYYSLVFLHKL GILDDEAMIQEVNGRLSAYYTSPFVLTSNRDITKLTWASHTTQTLPYRRGFVFAILAN AIIQQSTEQELSLDNLVLDLKRQLGSCPVGPDQYIDWITSKTGRDGRKMHTDMSEARS LLKLPDQSFPVALRSGKNLQLTREDQYPFDMGFDESTGVAKQVVQDLRPESRAWEAGL REGDRLRKWPVLPHTEYDSEVTLEVLRDSLEKPLRITYWPRGRETVESWQYSVCVD PFICI_08549 MTMSSTRGLPPSARGMTNIANAGVTDMEDDLDDCTFYDPVTNPS GLIMASGASNDLMDDWLETYSEKFGRTFKLSESLAYGGVIGPEKLAKAVAHYVNRKFA PSTLISAEHILPTNGVSSLLDMVAFNVCDQGEGIMFTTPTYSMFGHDLYAKAGTALIP VATDHITDQFSASCAATLLATFERVYRKATSAGTKIKAVLLCNPSNPVGRFYSKETLL AIAGFCGRRKLHLVSDEIYAMSGFASISGQPALDGFTSVLSLKDDPKNFICTENIHAL YGSSKDFAMGGLRLGFLITRNTRLWKACRRCAVFTWVSPFSTEFFTNFIGNDAVVDSY LQTYQTRLREKYLAVAEGLKSHNIPFDPANACLFVWLDLSHWLKYFDGPDRPGIVTKS IKTQEQEEITRERHLGRHLIQHGVYLSAGELSASPTPGRFRFVYTSQGDQAMIVVERI REALNDLERSSAKYRHENAVNEGMHSDEDSISNEKYPRQPSQGSGRASLSRAIRAALC F PFICI_08550 MANNLERAERLEVERDYYRSLPAQQFQDLVEEEIPRIWREDSLV IEHDLQDAYWQSSQSIVSRLRHLAQERIKAQWTEQGIWKDPWDRKLGEHYKVEGGWPH RLDDGTGDRVGTPQRSPTRIPWRSARKRRDEFERCRAVMEDASRPFRMFLEQLLRARE RLGAQGGAAPDALSSHAYDEVKAAWVRRRIWLASWDPLPGMTWGHEKPLREFVAETRP WLSLLCVEGRAQRWAEELVGTKESGTWERDADVRGLVWTIPSQKSDERMEDEGVQQRS AMSEMIPALLGLKQPPDPPGLFGKPEDLATAPKLKIVKPTRPSWGLVKIPQDKKGQLK KLDFRVFDFPWDMPLSQTYYRDNRFKKAVARRLRRDFDSVADAEDFYEMEHLKATQGA EREYRLNAEAEDQLARRHEAYRRWEHDYVKELKRYQAQFKKIDWKDFKLPDDAALGTE IWADARFRAEIMRRVPGLAADAYLDEHRRCKSQLLVPVSEAWTIDVEEEDSLQRRWQA QKKRAQPKKRKRAPQVN PFICI_08551 MKSTTVAIGLLAGLASASYNHPRHFHAPAPYYRRDNTTAEAAAS QTTLTVQVTSVYTVTSCAATVTNCPARNNTAAITDVAATDLTTAVVTKVIDLTTTVCP VTAAESVSSSVVEAHSSGLIPGSTHDVTPTATGDNSAVTEVSASVGTTDVAYTVTVGP ESSRSVITTTVKSTYTEQVTVTKTKSSVTAASNSPEDTTSEGTTTTTSTSTGTRTVTI SKVSSTATATAVSTGTSTSDNSAVGSDSDSESCPEAVTVTVTAAASTVYVTQTAASGS ESSAAAVVSDAAAATSSTASSAAATTTSAGSTENSSGSDDSSSSDDEDECDADDSDDV VTATQVATVVPYPVASGNGTFPAPTAVPSSFLRRH PFICI_08552 MVQSAVLGFPRMGVNRDLKKATEAYWAGNLSQADLLAEAKRLRL AHWKIQKDAGVDIIPSNDFALYDQVLSHIQDFGAVPERYSSAGLDRVDEYFAMGRGHQ KGGVDVPSLEMVKWFDSNYHYVKPTLQDNQTFKLTATPKAVAEFTEAKEAGVATRPVL VGPVSFLHLGKADRGQKVDPIDLLDKLVPVYEELLSKLKEAGAETVQIDEPVLVLDLP SKTKAAFKPTYEKLASLGDKIPKLVFTTYFGDIVHNIDLVPKDIYAVHVDLVRNPEQL ETVASSLGSKTILSAGVVDGRNIWKTNLKRAIETVESAVQKLGKDRVIAATSSSLLHT PHTLASEKKLDAEIADWFAFASEKAVEIAIIAKAVTEGPAAVREALEANAKSVQARAT SERTNDAAVKERQSKIQASDYNRKSEFPSRIAQQQKKLNLPLFPTTTIGSFPQTKEIR IQRAKFTKKEITEAQYDKFIEQEIDMNVKIQDELDLDVYVHGEPERNDMVQYFGERLK GYAFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKYAASVSKKPMKGMLTGPVT CLRWSFPRDDVHQSVQAEQLALALRDEVVDLEKAGIDVIQVDEPALREGLPLRSGKER EAYLDWAVKAFRLSTVGVEDSTQIHSHFCYSEFQDFFHAIAALDADVLSIENSKSDAK LLGVFVEEAYPRHIGPGVYDIHSPRVPSEQEIKDRIEEMLQYLKPEQLWIDPDCGLKT RQWKETKEALVNMVNAAKYFRAKYAK PFICI_08553 MPALCCCSSRGAYPSGDRSTPIAQLPARPAQARLPRAQRKGQNH DGPAVMIDTPAPSLVATPRQQAVLDPTVIEVDDSDSEDEPSDLRTPPTTALGALKTKL IRRLSQKSQSKRQSQQSIGSSEEELARRAELRRLRQKRIQGELSTETDLVNSGHDHVP SRRTSPSPSERPDITGGGPRDTIEFSVCDIDTATSNSSDSPAREMIAVALPIVVSTGT SLCRHGSCPTSATSPCEPSAPHARQALREHRSVPKMLLSPQMEPPTLSDTSTTSSVAS WRLSYSAGHLAEYIGALPDADPESEEMVKHASGQQPTIPRNDANDDTERPHDERLPHA PDAPSRSSTNRRMDHENKSFAAGSHADETNFEQSTAIFHDTTHSEHFSPLDLWLRVSN TLQSISHSSTRRNSDSVLEGRPERPLLSDLDPNTKNARGRPSSSSSQSGMNQNLLKIS SCFPTNEKLNAPSTQHWEPAEQLVQHTTLSRDDRQGLAVVNSPADPAPGLADAECTPS AQRNDTKRSAGYLNEIECDKASGKLLSDTTRLDSNDSGAEFCETEPAQTSTQRTVESE RDRLHPTPAGLDPVSASVINEQAEMKTDSTDFCEQLQVRESIDSRLRPLVENSQARSI KKTSLFARLFPSKAKPRNTLRKHQSERDNSRTVNDGPRNHATPNSSQYATQERRGQQF SNEGGNLKLEETATDLWQRAVRLEAERREGCARNQPESQYEEQTPQVVIQDSRGMSTN DVVPTGPHTREASSVYSRSHNEDGSGIPSTPSRPLTGQDEMQITSTSLESSSRILKEW QHQVQTERSDAEQTPSFRTHIYATQRRQGVPESWAKWPSHDREERNGATGVDDLVTPK DFAVAEASVAGLTKWSTDKEIKVDDLDEGHATPERRSFSNKFGRTLRESLAKLRPERD GPNTNRINLSTHARKRPCSSGSLEYPELELLPQNGGYKELEALEKTIDHLKRPSMTSG TRSRGLSGASSRTPLSLRFAQEVQSLQNYDRSGSPDTGDFPNTVALQPPNTPVEKREL SQARSGASQQYGTPLTHGSYEDCVPTHMLDENDSAKSDTELAVRRTKSVLDLSTAEHT KKYCTWNGRSKSVSLKRLRASRSE PFICI_08554 MSLQWKTKIFSALRQQKSSVDEKQPVSRWTNRDLEPTPPEERTW TWYNLPLYWLSTAFGTAGWNVASSLIAAGLTWQQALVSCIIGSAISGLVVTAMARPGA RYHIGYPVLARSSMGMYGSFFFVFIRAVIGTIAYGIQTFYGANLLSTCLRCIFGSSWE GMGNTLPASAHVTSKTLLCFFLVWLIQFPLCFIHPSRIQILFTLKGLLVPIATFGIFG WCMANGAGLSTIDDQSKSSTGPVGWAIMSGINTVLGTLSPMLVNQPDLARYCKTPRDA GALQGVSVFVAKVLVMFLGLAATSSIQGAWGETYWNFWDLNEAILDRYWTAAGRAGVF LVSFTYLLSVFGMNIGANSLPFGADMNGLLPRILTIRRGQILCAVLSVCFVPWELIAT AQKFITFLGSYNIFMAPICGIMIVDYFIVRRGNIHIPSLYNGRPRGLYWFTSGVHIPG VIAWCVGVSFGIPGLVGSYEPLAVNQSAKNMYKIGWVLYMSAAALTYYVMVTFLERPA VYPERHSDKPRDWELLAKAEPEGYFEDEITGDATIEGVQIIANPETKMNLGAKVGSM PFICI_08555 MSYEPTAAELAAHTFAYDADTLDKELADFLQKFYTLSDDKVHAD SWAACFSDDARMKRKMDDVVGRQNILNVNLESWKGQAERLHIVYKVFPFSAGKTDEIM LYGKSEYDYEDGTTGEMPWSARLHFKRSDNGIAIDFYQVYSPWI PFICI_08556 MDEDTDGPAAHDTADFAGEDTDVLDFGDSDQSDSMQESMEGALM STNFGLVDLEVDTMDGLVDPALESTPTQNMFIQGNDDETDIPEALIERPDIMSSMLSN RHLSITIGSSYASEYFRNAHPMWPFLHQKQWDDCWRRWESPIGYNTGAAWMDFFADMV LSIGALLAQNSDPAPEHLESFKHLKDRALQKYESHERSGWSALLRTQSSLLLTIQAMH MDSVGTMCDRASEAIKQCTITKLQRQSNQMPYNTPNDIQDEIQRQATRCCYTIDVLIS SSTDQMVTADRFLDEDLLDDDSTPGDASSPETTMRRLNHEDHMFQLRRIQYRILKLVQ KLEKQAHRDHHPVPNLWRSQIRHRLDRWVDDIVMFSGSERTLDRFKSQTWLLKLANYA TISLFPNPHLAVRSGDARHLVTAACQVLVTFRRFRVREHLSCYTWTALIHQFQAGVIM LYCLWATPTHQQLSLYDRRSVCRALFACSATLVDYANKWTSAHVFQNVFDLLTEEIPI SEYGDPMQQWTFSPDSCTELLRLSRELERLQVQRKVVSLLRDMARGSRPCYSAPSIEE TQWIQYIHPDRDTDDLIQSSFI PFICI_08557 MTVDTKPRLVRVAVFECLELAANIAQTRGQFVDVFAAWLQRAAL SYNSRRPLAEQVRIETTAYNVVQGQYPPTLDDIDAIIVSGSTASAYDQDPWILRLAEY LKDVYTHNQRVRLFGGCFGHQLMVHTLLRENGAFVEKSPNGWEIGVHEVALNPKFKAH FPVLEGVSKISCQFLHADHAVLDEAQLGDGWVRVGASSLCNVQGVYKPGKVLTFQGHP EFDGFLNEQGVRNLEKGAVLNREQVESALKLIHQEDDAILHGEVVIDFIVRA PFICI_08558 MSATEQPEQTKAPAMGGDASSTGPKKSVEIVQDIDMSTIPVPVE PVPYEQSEERALIRKIDWRIMPYLWGYAVLSAVDKIIISNAALYGMKDDTHLVGQQYS WIGSIFYFGYLVAEFPLVSLMGRFPIAKFLSIMAMGWAVMTLLMAVCHNAQGLMALRF FMGMMEAPALPGLTLMTTMWYTKKEQPLRVALWSSTVASVYVGLVSYGIGNAKHLSIA TWRLLFIVLGAISMFFAVMMFLFCPDRPEAGRFLSEREAIVAVERKRSDNTGIENKKL KFYQVREALMDWKSWAIALFFLCMNVSNGGLNTFSAQIVSGFGFSKLNTVFIGMPTGV IQAVSSILATIPPRYIKDTRCISAAVCCVVPLVCSIVIRKLPSSDTTGLLTAYYFFYF FWGPYAVALSLPMANTSGHSKKLTVNAMIFLSYCVANIIAPQTFQSSEAPYYQSGYNS IAGFESAAIAIMLLYIVGVKWENRRRDKLHGEVVEGDIDSRISFDDLTDWEKPNFRYV C PFICI_08559 MQSNDPLSRRAGPLDPHDYTVAWIAPLEIEAQAALHLLDVRHEG RFPVSRGDDYVFHAGSMLGHNVVIATLPAGQEYGTGSAAALASQVKKFFPKLWFGLLV GVAAALPDLSRSPVRDIRLGDVLVGLPEGNSAGLVAYDLGKETEDGFEPLRRGHALAV TEPIIQSAIGSIKLKAPYDAEEFLPFYETIRSKEHATGVFADPGQDRDKLFWTEDDGT DRPVERTRRPDSQRTRVWYGPIGSGDKLLKNAQRRNELRDKYGVIGVEMEAAGTMNRI PVGVIRGVCDYGDKHKNKEWQPYAAAMAAAYGKAVLYEIPPRDLGLPGQSKQTVAGNT TESNSKQNKPVSSMIMIDSDLGSLRQPCYHIQLAKNSRFTGRTAVLDTLEEKLFGQER CQRTALVGLGGVGKTQVALRLAYRVKEQRPEYSIFWVPIISANSAQQAYVEMAKKLGV EKRSNDEDIRELVRRHLSSAESGKWLLIVDNADDQELVFGSAENGGIDDFLPRSDQGS ILLTTRSRQVAVEFAQADVVDIERMSQQEATQLLGKSLPQKQMLQDEALITELLEYLT YLPLAITQAAAYLNRTKAPIHKYLSLLRGAKKDIPKILGQEFRDNSRYQESRNAVATT WLLSFDQIEKSNKTAVKLLSFIAYIEPKAIPQSIMPRPGPETGSDGSNSEELESAIGY LCGYSFLVRRADSDMFDMHSLVHLATGAWTKERGRRTQIVRDAIGHLAKVFPSDDPAD RELWREYMPHALRLLYGGEGGEAEQCRYQLLSSVGRCLYKDRRFQEAVQCFEEVYEWE RKEETAANNPPLTSMYWLAIAYLSNRQVKKAIELLENVESAKKKVLDEKDHDRLTSRL HLANAYLEDRRIKEAIPIFEDIVAVQKETLDEKDHNLLVSKSRLANAYLLDRRIKEAI EIFEHVLIIQKETLDEKDHSRLSVEHSLALAYHDDRRIKEAVQMLEHVVTMRRETLDE KDHTRLRSEQVFGIACISDRRIKEAIKILEYVVTVRRETLDEKDHSRITAEHSLAKAY LEDRQVIKAIELFEPVVAARREMLDVKDHFRLTSEQSLARAYLENQQINEAIEILEHV VAVREKTQDKSDHFRLTSEYLLAKAFLEDQRIKEAIKILECVVSVRKETLNEKDHERL TSEHLLAKAHLQDGRTKHAIEIFEHVRSVRRETLREMDHNRLISESELARAYLKDGRA RKAIDLLEHVVAITNKHYAEDDPDRQSWTELLTRAREQLEAEWETSEDESEASGWVQE METGEVDVATLSASVDSLIEALDRLHM PFICI_08560 MRLFGSCSGLSWPDVVSKLEAPQNPYSTAATTELDKSKPIWSNS DLDPTPPSSRTWTWVHYTSFWLASSFATGTWTTGSAMIALGMPWYASWLAVVISHIIG AVLLVANGRGPAAYHIGFPVYARASFGMWGSYFAIVSRCIVAAIWYAVNAYYGSNFVS ICIRCIWPSWNDVPNHLPSNAGTTTQLLGAMAIFWVLSMPFVFIHPKNLNWYFVAKSC MVGPACFAVLIWAVVLNGGSVGPSFQTTPKLADPTYYGWLWMSALNSGFGGCSALIVA QADIARYARKPSDQTWSQLITYPVFSALPALFGILVASATSNFWGKQYWNLWDVLSAA LDYYDMSPASRGLVFLASFAFAVAILGTNVAANSLPFGSDIAGLLPRWISIRRGQVLC SLLVFPIVPWKIISSAKSLLTFLSGYSILMGPFASICIVDYFFIRNGNLKVPDLYIGN ADSQYWYTGGWNLRMVVAWIIGVVLPFPGFVASFGTTSVADGANHMWNMGYLLSIAVS GPVYYVLFRIFPDPSIDKSLAFEQQASHYDCLIDGEAVGPDLVKNEGSLAEKGKIEAD AKSL PFICI_08561 MISQLPVTEKEPINLQGGWPTPRLHPVEAMRAATLELFARDDID ELLKYGPEHGDLSLRSNLGEWLSSSYGAPAGPIGGDRIIVSNGASNGLAVILQKFADV TVTRNIWMVEPTYFLACPVFRDAGYGDCIRGVPEGPDGIDLVYFEKALEELEAGQHEA LPSRLPSKTPKNGYPKIFRHILYMVPTFSNPSGRTMSLAQREKLVRVARKHDVLIVTD DVYDLLHWTPGHEAVPGNTTRLLPRMVDVDRSLEGTNEFGNAVSNGSFSKIVAPGVRV GWLESTPAFIKAMGTVGATVSGGCQGHLSSLVLNQMLSNGAITQHLENVLIPTYSRRY DAMVGAIRRLLFPFGVKIMEDPQDPAKIGASEGLAGGFFLYITFPQDGSLPPTEEIAS FALEEYSLRIAPGKLFTVTDKASNREARSNEYISGARLCWAWHEEDVLVEGIQRLARV LNHLHR PFICI_08562 MSVVSSEVSANNPVTSEDVVSCAAKLGFQVKPEELDAHRVLLAA AYETFEELAALPDYEPPATKPRYTRHGITRVDQEANEFGSAWSYRCTLTQGDKHSRQD KPTGLLSGKNIVVKDNICIAGVPQVYGTDAIKPWVPQCDATVISRIVEAGGCIVGTAT CEALSCATVSNTAAAGPIFNPWAKGQQYSAGGSSSGVGALVGNADTEVKQIRVDMGIG GDQGGSIRVPAAFCGLVGLKPTHGLVPYTGVAACEPIMDHVGPMCKTVWDTALLLEAI AGYDGLDDRQIGAQKHGSIKYSSNLQDWYTLSCSRWPSRPLSGRKIAILKEAIDAPFV KEEMKDELRQTARRFEQLGAQVEEVSTKWHETGRSLWMAICRQSLTSIAVGNPMGRRG YYPTGFLEKLLPWSQEKWDRLPAAMRNELINGVFERDKYPTLYAKCMNLSLQLREEYE RLFETYDVLLLPTVPFTAPPLFDREKAGVWEVMSSTFGQTLNTMQFNLTGHPAMSVPT GLRTDMSGASNTKLPVGVQLVGPLHGENAILEFGYALEQSYNWKGTQDT PFICI_08563 MSSKPQSFVILGAGVIGLTSALSLRETYPDAEIVIVAEYFPGDY NIDYTSPWAGGNWCSSATDNGVLEACDRVTFEKFDHLARAVPQCGIKRSPLRMVFDQN IKDAEILSKDTDKVWYEELVGGLDPIPQAELPSGAVFGLDMRSTFVINTQVYLRWLLE CCQEKQVRLVRKRVSHVRDAAAAAGLKDISALFNCTGLGSYSLGGVEDKSMYPTRGQV VLVEQPLVPLKRMYFRSPRRVDNDTTYVFQRPLAGGVILGGCRENGNWSGEVDLDFAQ QIMKRCCALAPELGKPEDLKVIKHGVGLRPSRRDGPRMEVEKKPDGLLIVHNYGASGA GYQASWGMAQHGVKLFGEATTKASYRAQL PFICI_08564 MAVSLLKTIALSSFAASFVGAQTAITIDASTTYQTIDGFGFSQA FGRATEFKNAASATQKTALDYLFSTSTGAGFSIIRNRIGSGGSGDSIEPVSPGSPSST PSYVWDGNDAGQFWFTQQAISYGVKTIYADAWSAPGFMKTSGSDTTVGYLCGTTGNTC STGDWKQAYANFLVQYVKYYAAQGITVTHVGPLNEPDWTVSYSQMQISTDAHEAIEFL PILYNTIKSAGLSTKVVCCDFLGWNNAATYATKLVDGGATQYMAILSSHAYSGDATSP ITATSLPKWNTEAGPSSAFTTTWYSSGADNEGFTWATKLANAMVNAQLSAYLFWEGFE IQETQSASHLVDATDGTNPVPSGIFWAFAMWSRHIRPGAVRIGSSGTMTSTTIGAFKN TDGSIVVVFTNAGSSAQSAALSFSSFTPTAASAWQTSQGSTFASTTASLSGGKVTVSV PAHGVVTVKLTGGASSGTTTSSAGTTVVTSTVAATTTSGSGSGCSVAKYGQCGGSGYS GCTACASGSTCTVSNTYYSQCL PFICI_08565 MAPKALCCISVDIDAVCGWIGSYGGEESVSDISRGYFAGTVGVR RLLKLFEKYNIKTTWFIPGHSLETFPEECAMIRDAGHEIGLHGYSHENPRDMTIEQQE IVLDKTYKMITEFCGKPPRGSVVPWWETSKEGADLMLKYGLEYDHSFSHHDALPHWLR VGDTWTNIDYKKHPDTWMKPLVRGETTGLVEIPASWYLDDLPPMMFIKNMTNSHGWVH PSVVEDLWKDHFDYFYETYDNFCFPVTIHPDVSGHPHALKMVERVIQYVSKHEGVEWV KMEDICDEFKSRSTPPEGARMPAEKGAMLK PFICI_08566 MGEPPVPRAPADGPAPSSQSSNGSESTFFNGDERVEHESVKIHH HTEASETVEYMEEHSQQVEMERRQSAVQSLARQYTQRSSVGGHIGGHSPFTTDDPMSP LNPLGEKFNARTWARTIANLTSEHGGGYRTAGVCFQNMNVFGYGADTDYQKDVGNVLL EAPKALPALFGQKRGLRRVDILRDFNGVIDAGEMCIVLGPPGAGCSTFLKTLAGEMNG IYTDPSTYLNYQELSAKEMHKYHAGDAIYTAEVDVHFPMLSVGETLSFASRARCPRTL PEGISRDEYCGHLRDVVMAMYGISHTVNTRVGNEYIRGVSGGERKRVTIAEATLSNAP LQCWDNSTRGLDSANAIEFCKTLRLQSEMFGQTCAVSIYQAPQSAYDLFDKALVLYEG RQIYFGPATKAKSYFEGLGFDCPSRQTVPDFLTSMTAPTERVVRPGWENRVPRTPDDF AACWKKSQESEELLRLIEQYKQDHPIGGASAEAFRSHKQSVQAKGQRLKSPYTLSYAQ QVQLCLWRGWRRLLGAPENTIFSLIANSASGLIISSLFYNMPQTTTSFYSRAAALFVA ILTNAFSSALEILTQYSQRPIVEKHVRYAFYHASAESYASILVDMPYKILNAIVYNLI FYFMTNLNRTPGAFFFFLFVSFLMTLSMSGLFRSIAALSRTLSQAMVPASILILALVI FTGFAIPVDYMLDWCRWINYLNPVAYGFEALMVNEFHNREYTCGAYVPSPSVPGYENT SMANMACSAVGAVTGQSYVLGDTYIATAYKYYHSHKWRNVGILIAFAIGLHAIYITAA EYIAAKKSKGEVLVFRKGFVPSSFKTSGDQEANATRPGAQIASKDSSGSDSEGAIQAS TSVFHWGQLCYDVKIKNETRRILDHVDGWVKPGTLTALMGVSGAGKTTLLDCLADRVS MGVITGEMLVDGKIRDQSFQRKTGYVQQQDLHLETSTVREALEFSALLRQPASTPRAE KLAYVDEVIKLLDMEEYADAVVGVLGEGLNVEQRKRLTIGVELAAKPPLLLFVDEPTS GLDSQTSWAILNLLEKLSKAGQSILCTIHQPSAMLFQRFDRLLFLAKGGKTVYFGDIG KNSRTMIDYFERNGAPACHDGQNPAEWMLSAIGAAPGSTSEVDWHQAWLKSQEYEAVQ TELADLKSGGLTRTMTNATAKTESLREFATPLWDQLRIVTHRVFQQYWRTPSYIYAKT TLCISVALFIGLVFLNAPLTIQGLQNQMFAIFNLLSVFGQLVQQQMPHFVTQRSLYEV RERPSKTYSWKVFMLSQIIVEIPWNSLMSLFMWICIYYPVGFYKNAEAAGQTHERGAL MWLLIWQFMIFTCTFANACISITDTAEAGGNLANVLFQLCLFFCGVLATPAAMPGFWI FMYRVSPFTYLVSALLSTGLANTSVQCAANELVSITPPLGQTCGEYMSSYISAAGGYL QDANATDSCSYCSISETNVFLTSLSSSYATRWRDFGIGMVYIVVNIAAALFLYWLVRM PKGNKDKSKKEEKKEKN PFICI_08567 MAIGTSTSQTDCAAPEPCRRMRLDALLNFDEDNTCPPQVKSPVV DHTRAGALPLPKRRCCERCSKRKIRCDRRTPCLNCDRAGTKCTVARQSRDAKNDHRVN KKQHLQIPRTELIDRLAELEQKLLRLGQALGKRCDKVQCTEQVVYMPIASRDNIVSRQ TNMHAPEAACAWNLHPITRERINFWLEEQSMS PFICI_08568 MSLLCNKLMHWCLALAAATCVRALELELSLEMPDTALPLPASMC GLSIEADRFVDWAGEPGKANQFTWNLMNNTKEKTGIAPPIRIGGGSQDFIINVADLDN EEPGFYNIFAPVPELDDLESTKQLFPQAYFTGAGYKYWRAACNFPPTTSFTFGVNFLA GNTSEAIAQIKNIEKAFAESDCSDVELYAIELGNEADQWDDGVKRDEDWDIYAYSAQQ ISYYEAINDTLGDNGRKYRFGDSASRWSVKNMLNTDALQGEFASSINSLSVHHYHSTG QLDSRSVWPGKASGLVNKTSIRTELDSYDDSVKLAADANYSFVLGETGSFASHGQQGV SNSAAAALWLVDYSLHAAVVGISGLFFHQGVGYNYSAFEPVDHIGINLTDYAPDATHY TMPEYYGYVVVGEAIGSKEAYINEIEVDSSVPLTAFEIYEDNNLKRIVLINSQPWTEL STGERQNLTIQLPQLEYYDGARYKLLEFESLSATSGLTWGGQSYETLSGKASGEKVFH ELDSSGAISLPSSSVALIHL PFICI_08569 MPDIFVHIKNGDLLAVRRVVEESGTTFSISAYSTIGLTPLGAAI YYGKTDIIKFLLEAGADIKEGYKSQFCKDKDLFQLENWPQISPAIHCAAASGATEDIL RLLVDHGADVNDSSARDYGAEVLPLYVATGQATQALINLGADVCQQNAIGFTPLVHAL AREDVNSVRLLIEHGADVHIERTPKYKIRTNAPDNDDDSDIVGEELLVQGGSSPLIVA SHQGRLRPSSFEMFGILAKAGVDMNKRYHLKDAPSYFDGGFTLLSLICGSRTKTPRLR GQYANNDRYGQKELQAIKAIIEAGVDVNSPPVIHYVCDGVMPFTDFESRSEVLRMLVQ AGARSNHSHSGSSAMNASATTTLLKSFNKCSESEIQFQTMAAILDKAGAQWSGMENFD VATLPDCVRDKTADMKGHLEPNQ PFICI_08570 MPYINPAGKYDLFGNRQRREFLSSARALMKKAEQLYPGQCYKMM TEVGEYTVIHPSLLNEIRNEPNLSFLKAFAANFHPHLPGFDGFAVGGRDDELIQRVIK KQLNKLLNQITQPLSEEAVFAMNVQLGNSTDWRQIPIKDALLDIVARLSSRVFLGDEL CRNQDWLEIAKAYTVHAFLAAEVLRSYPSPIRSFIHWFLPECRILRKDIQEAKRIIGP VLSRRNKLGLEALKNNTPAPEYDDVFSWLEEESQGSKYDPVGAQLGFSLVAIHTTTDL ACETMVRIAQNPEYFSLLRKEIVEALQAEGWKKSALFNMKLLDSVIKEAQRLKPITSA VINRVATKTVKLSDGTVIREGESCVGTTWQMYHPEVYSHPETFDGHRFERMRGISGLD SQAHLVSTSPKHLGFGLGLHACPGRFFAANEIKIILCHLLLKYEWRLVPERTLRTIDH GFALNSDPMAEIEIRSRNEIEIDL PFICI_08571 MAGITLYDASIPVFKSGLISLKGILAKGIAHYGSASADIPKETL IEDLIPLASHVKLVSNIAKKTLTRIAGIQTEAWADDEDTAEKLIERCERTIALLESVD PKDICGHEGDEVEFSVGRYNLKMTSKEYVLKYAVPFFFFHLELAYSILRMKGVPLGFA DYLLPHLESHLV PFICI_08572 MASILKTFWLSIACASYIFPSSAHAKYDNIESPRVGIVNGTYRG VYNSQYKQDYFLGMPYAQPPVGDLRLRVPQSLNSSWADTRNATDYGPICLGTGQTGEV SEDCLTINVIRPTGVERGDGLAVAVWIYGGGFVSGGSAMQQYNLSFIVDQSVQMGTPM IAVSLNYRLHCWGFMWSKEIYDEGSANLGFRDQRLALYWIQENIAAFGGEPTKVTIWG ESAGAHSVGVQLTAYGGRDDSLFRAAISQSGAPSTYDRYQTAEDWQPFYDAVVEATNC STPSGDSLACLRTIETNALLSIFQNDSIIPTHTLTGTTGPQFVSVIDDDFIMESGTKQ LREGNFVKVPYMIGANADEGTSFSTKGAVNTTADFLKLLASWGLDNSTVTSLAALYPD IPEIGIPKTMVGRPPAGYGEQYKRAAAYGGDINIHAPRRLANQIWAEYNVTSYSYLFD VVTTVAGPNVGVPHGAEVPFVFNNLLGTGYDNSTNVFLNGPETFKQLAATMSRMWVSF VTRLDPNYSGTANAYWPEYAASYAQNLIFDVNMTSQVYSQLDTYRAEAIAYIGATLDT AFGH PFICI_08573 MLLNPRDITAPKDGYKVPAGEAYSIQWELGSHHDDKVTIKLFAG IGKDQTNFNELKTIGKGIDNSKGSYSWTPNTADDTSLTYMLTITSDSDDSVYQLSDNF QIITEPDDVSATTTEGTQTSQTSSQTSVTTVVTTASTTSSMQGISSASGDSTITSSPT TTSLSGGSSATSSSSSPSSTSAVGRNVADIFAMILGLALVMYAQ PFICI_08574 MVNIIAIIIPIFVIFFLLAFWLKCSSRGRKFQEKRLRFRAKVNP FYRAQYKAYQNRLQAEVEKRNIEERDTTKGTPVWFSRHIYHGALQHWVMYINETKYEL RRDIESGTYRHNIQNVDWDIKREKREAALQKKQIPDVDGFYICLIGWTRLSEDVLEEK CRTLMQNEFDEYNLFWNNCQHFLKQFADKIISTKALDWAWFRENTKTEYQNCQQLPKP PDALVQMQINTLHHNGHSHAATQLQNSLIAQQQMMNGAQMTANGGLPPGFVGGLPPGA GGITG PFICI_08575 MAERLVPVISLKDFSSRKDEIRQLLVDAAESAGFFTLIDHGISV AEIEEQFAISKSFFDLPAETKAKTAHDIKTNNGWEYKAQLRPSTGTYDQKESLWLMRN SQWPSEDDVPGFKDTTERFMSKCAAISNQVLSCFSVALGFDEDFLVEANNPTNPDCMT QLRLIHYPPAENAAGTWRAGTHTDIGCLTLLFQRDGEDGLEICPGRESFTSFGMGDTF TPLPAVTGPIVVNIGDMLMAWSDDRLKSNFHRVRAKDVGQSPSRYSIAYFNQSRKDYI MQGPLKKYPAVSVGEWFAESVARNFNRQATMAA PFICI_08576 MSDMKKKHSMQNAADVGKGEVMTIDVDDSQPGSAGHDKALDLLQ RHHIDFDPNSDEAKRVLRKIDWRIMPMIFTIYLLQLMDKNSLSFAAIMDLKTDTHLTA SQYSWLGSIVYFGYLGGEIPATFLMQRLPLAKFVAAMAMLWGIVVAMHAVCRDFGSLA AVRFILGAVEVVTAPAAIYITGAYYTKSEQVTRVAIWYTTSGWASVAGGFCAWALNQS RYFKWEGLFVLYGGLTFVTGVVLWFWLAASPTEASWLTEREKVIALERVRENKTGTEV WSFSWPQLKECFQDVRFYLIFLLLVSTGLPNGGVTAFGPTIISGFGFDTNQSALLNMG SGGAQVAGTLLALFIAKHTNRMIGGLWALGLAIIGVIMMLAIPEANYGARYGGYILMM QFPICILFIIAYMTGGVAGSTKKFAFGAAYQLGYAVGNIIGPQTFRASDAPNYYAAKY TMLAFLAFTALLIAAMGLIHMAWNKRRDAKDAADAENVPVRVENEEFEDLTDFRLKSF RYPI PFICI_08577 MSFGFGVGDFLTVIELANKIRRDFADAPDQFRQLTDEVRNLTTV LNDVLVLLDEHDLSEKQTISLREIKDSCQHVLDDIKRVAEKYSTLVPQDTTVKRRAKR VWKRFKWDPNEARDLRSRITSNITVLQSFTAARTDNTILRLEQRQGRQEYRQEVQDIL DWLTPIDAAPQQADFIRRRQPGTGQWLLESLEYNKWKASKGEILFCRGIPGAGKTILS SIVVDDLQKCHDAEDVAVCYFYCNFQRQEEQRIENIVLSFVKQLAQVATTIPACLKAL FDKHKAQRSRPSSKEAIESLHSISRTFSKVFCVVDALDECRTVDGCQRQLIEYLIDFC TITDAEIIATSRPIPHIEEKFKNFVSLEVLASSADIRKYIEGNIQDLPGFVSRNPTFV DEITDAIAEATRGMFLLAKLHLDSLRGKRSPRELRKTLGALARGNNAYTEAYRTTMSR ICGQLPDQKELAIQALKWIVYAKRELSTIELQHALGVEVGECDFFDDNIPDLQDTIAA CCGLVTVDETSAVVRLVHYTTQEFFEDQGRSYLPDAHDEIASTCVTYLSFEAFKSGPC TERRDLEERLIHFPLYDYSSRFWGFHAQYSQDVKALHYFLALPAHVEGSSQVLLAGGR YLSDIYGIPSQVTALHLAAYFGLAEYLATILQSATSIDPRDSHDRTPLSWAAEHGHEE TVRLYLEMTAQIDVADKYGQTPLFHAAKKGHGRIVHLLLEKNADINTCDAKFAQTPLL YAAKNGDEEIVRLLLEKNAQIDIADKHGETPLSHAAEKGNKGIAHLLLDRNAQIDQKS RLKQTPLLLATKMGHKEIVRMLLDKNAQTDITDIFGQTPLSYAAENGNKEIAHLLLEK GCQIDATSGFGHTPLSFAARDGHTEMVRLLLEKNAQVESHNMKGYTPLSWAAEYGYKD IVRLLLDHGASIVEVKSGSSPPFLALKEAHMDILQLFIENGASVEMTDTRGYTMLAVA VEYGHRDIVEFLLNHGANVHMVTKAGETGLLLASKYGYNEIVEILLKQGAMVDAKDTG GRTPLIWAVQEGEWEVMELLLTAGADCQATDTYFRSAFTYAVIGGNVEALGSLLAASR VQPNERDFWGSTAASFAVRLGRLPVFMKIANLPGVDLLLEDSFGRTPLWWALKQNRDS MAEHIMECTQSSYPYGSSKHPRLGEPNTFIGYDKECIVCLCYLDSSHYQCNRCTEKLV RVCGECYDLGARCLSKSHSLEIGY PFICI_08578 MDAVFAEIRKQTPTFNLGDEDRWRQLYEPLYLPFPSDIRVRKDE VYGPAERNRLDVYLPREDGKDKTVVVYVHGGGFFSGDKAWSEKCYANIGYFFADKGIV TVVVNHQLVPHVQYPGGANDMQLARQWVFDNVSKPQFGSGSVDKVFLFGHSSGGAHVA MNLYAAGDPERVPSQPLFPPVAGVMYLDVPFWYDMRKPVRNKTISSYYGSDSEDIWGP ISALGLFQRLPADSPLLDSTILPVYLGSVEWEVPETADATTMFFNAYRARSKPTGSLP IFEVVKGHNHLR PFICI_08579 MAMLSLSDVEQHASKKSCWVIIDNVVYDVTDFLASHPGGSGIIL RYAGKDATEAFAQIHPSDTIEKYLKPEQRVGSVLGSSDSTSPKPAAQLEAKRKVKLSG IISISDFEKAARSALPSRAFAFLVTGAEDQLALSWNRMSWKYARFRPRVLKPIEHVDT SCTILGNKFSIPFFICPAGGAKLANPAGETLMTRAAAKHGALHWVCNGAGCTPREIVA AGTTGQTLYWQIYPKSDLSISEQEVRNAVALGYKGFALTVDAICAGKREYDMRVAIEE EQEVEEDDDQLENHEREPTVKRPPVWTKFDWVSAVRWLRGITDLPIAIKGIQCWEDAE LCMQFGVHPWLSNHGGRQLDGAPSAIETLVEMRKNCPKVFEKCDVIVDGGIERGADVV KALALGAKGVGLGRAFLYALVFGEAGVSKAIRILQHEMEVTMSLLGVQSLSDLNPSLV DTSGLLYAAPLHLAKL PFICI_08580 MPRPRVRPEERQRSERACAACKASKIRCDSQIPCEACVRRKRSQ YCVYTHFDRRRHRHRQENRPRRIQPPESTSSAESHNGTVDYNDPTANVSPQLPGNDLM SPPLVPDVNIAASLPDQVQRSSEVRACRPAETTPTARGDTGEPASFSFLHFLRKTLRP FVGSTSFTDGDHDGAFPGVDLVPIVDNVYDTSPETLRNLLNFYLQATQGLFDLFSDEE MDRLLTTRSASSRHESGVDEDLAALDVALAIGAQTHPDYQSNPQIATSFMLRAKQVAF EGMLANPSTKLVRLFLLLAFFMLGASHRNAASMYMGIAARAAIIGGLHQEKSYQWLSE SEGDARMRIWNSLRILDVLAGFIIGRPQHIPEVWHRNPPESSVIAFGAILEGCQLLEN TVRTLRSGNVLHVPTAEGLLKGLRGWIQGLPDAARNFAYSPGVALDPEKRRTLVGNIH ISCVYYFAVMLITRPFLIAYLVSRLRGRAPDHLIVDPEEATDVRIKNHEVSKLAQVCV GSVVYLTEMLQRLQKLGFAYGNLCLLQSWIFGSGLVLGFSKFAGEPREDIDNGFEASL SLLKDMAESSPQAKFYHHVLQSFSETVMRYRQRVAREVQNTVQDYMEHVLVIETSQTD AQLENNMASLFDLTEFESQLDTEDLDFELNQFEKFFYTVE PFICI_08581 MATKCDTSSTIGLDDAPYDYIVVGGGTSGLVVASRLTEDENVRV LVLEAGANRLDDPKITIQALAVSTYGDPDYDWCLTTEPQVGLHNRRLAQPLGKTLGGS SAINLGMVIYPSKSGFDSWEKSGNPGWGWDTMESYFRKFHTFTEPQPKLKKEFMLDFL NGNHGRDGPIQISYGSEEGFPPFCAAWPQTWANLGKAIDSDPIAGVSIGAFNNAATLH PVTRERSHAGSTYYAERAAQRPNLRVVTEALVEKLLLTEQTSTSSTNKIKATGVSFTG KDGKRRQITAKREVILAAGAVKTPQLLEVSGIGNRDLLESHGIACLLDNPNVGENLQD HGYVPFGWEVQDPMTSGDQMRDPEVVKMCIDAFQAARAGPLSVNALASSFFPLQNPDL TPVAVGPLLEELGQANAQPSVLREQICTPGDCSAQFTVVPFHANPYPGDDVQKVYGFG TPGFYASVVAVLNHPFSRGSVHIKSADISTPPALDPNAMSHPLDLELHARHVLVLEKI CDTEPLRGLWKEDGKRLHNNGQRVETLEQAKEAVKHTYTPHYHLCGTAAMLPREQGGV VGSDLRVYGVDGLRIVDASIFPLIPRGNIQSSVFAVAERAADILVQQPVTV PFICI_08582 MPGSSLWLVPPPDHPVHRILTKLITQTLPNTFPDVAGSDCPSFS PHMTLTSNIDPALYGDDPQGWLDGVPWPQGRDVSVRFAAVQTQDVYFRRCFVQVGMGD GVADIAALARARGVEGEADQTGPKTRKWLGEWAEAFGPHVSLIYGDNPIDDAKLQEIS KVVKEAGIELEGPGWEGGVVWLVPTDRPINEWKPIATKEL PFICI_08583 MSSSQVLRRRDQKPGIGLQAVLAVQANSAKPEPIPSPPETAEEV KEQCDSFTLESFTTEDAWELGHLLYARLLPFAAQKPTLISIALANSGQVLFQTAVGSG TAPDNEIWVARKRNSVLRWGSSTWLLHCKYDGDEAAFRAKFGMSEEQAGKYAIHGGAV PIRVKGVEGIVAVVVVSGLKQHEDHGVIVDVIRSNWE PFICI_08584 MQFLKFALAAVTIGSAIAAPAPVKRDGEVIVTVTGVVTSVKALV EAELSNVESVLGDVVSDDVIPTVNTALGNIVTEVNTLVGDIVPLVTGIVFPLVDAELE NVPELLSEVKDLVSDIDTTVNNLLNAVVGDVLTAVKPEVKAVLNIVEPLLTPVLGLAD SVVSTATGTVVDDVKGLVTDINNIAGGILTPVTGLLSIL PFICI_08585 MDLSKILAAGPITPLEATLLGRDPSSASSTQQEQTPSTTSEPMG AQKLPDHIFYLIAKNYIHHPTDLFHLAVTNKSMWAWLKIELYKTEVLFTKEQERQELI LDPYECETSIDSILDTHLSSVPSAADRLEMFDARPNLQGGRPALHSAVRSNKIPLAQK LIAASVKHWFEYINVKYLKFTALARAVWRRHDEMVELLVQAGCFVDSWHPELKGLRRK VHDGYELVPGVALTSKTFNTEFCLLYSPLCMAIAMGRREQALLLAQHSNDGGWSWDSG CQEPLHLAAFAGMKAVVITLLERGYTDVVESSLYLDADPLYMAAVGVDDNRDVMELFI ADKADWEIAEAWNAAIREHAPKNALMLLQYSFDQHQRNGRQLKGLHDDAVNCLESDTS LPVLQWILQNDEALETRMRVGAACQNLLEQGRGVPSATLAYLRRHNIAEPARPRTVVY EALPHNAPGPRPEAERDA PFICI_08586 MQVKLSTALAIPFLLAGTGAAAKCLSNDTTTPAGTLPSSSTSQA SNSSSAVVVDLTYALHAPQITSSPDDRVYYNFSNIRYAAPPVTPSLRFRAPADPVYNR SAGVQDGTYGKICPQAYTPWQNAALVTAAPGEHESEDCLFLDVVVPQSLWDSRRNTSK PVIVWIHGGGYQIGAKYGTPDSNPLGLLDRSFVDGGDGVIWVGLNYRLGAFGWLQGSS FTSQGGLANAGLYDQRKALDWVQKYIHLFGGDPNRVTVMGESAGAGAIMHHITAYGGD EGEGEAPLPFQQAIVQSPGYVPRPYASQAESSYAVLLDRANATSLAELAALDTLALQT ANKLAQTADFYGAFQFGVAPDGGYVPDLPEKLLARGAYHRDIKVMVAHNTYEGQRYTD PAATNSSAFDEYMALYFPDASAEFLAELSSDVYPAVYNDSSSSDSNSSTQADWTTPFE RLRTAVSEFTFTCHAYFLGDALGPAAGRDSYSYLFSVPPGTHTLDVYYSYFVNSSSSV TNETVALYMQEYFASFVETGDPNRGSLLPIFPAYGANFTDLNLNQTFVNVIQDPAANA RCDWWRATSYS PFICI_08587 MVANKKSEATSLWQRRVKVAMPKADKILETTAWTLLGGVDRKII RDQIDAFLEIAIAEAVKNLPKGERLDYDPMINQAIQEAFSDHFHLFSWATDQDAENKM MDPRHMDGWEDESCPHELFDMHGV PFICI_08588 MSAAGVGHSSWTGRDGAQSQQEASRTIRSIRARLPRSQSTGNML EAVGASFRGNHEPRDINTVSNERGNLQELAKFFRTTAPPLSHASEHAQMRSGLEHGRK RSFQSFIKRRRNTKSGSQSVHLQPSEKFVVKRSIEGYPYTTLPDPEEPIKEEAMASTH YPVFPVTHSRRPSAPLQWPERTSSAGKTSAGPISPMSPKTPTRASSTEHSQSMPQISE QSPASIKPELQPGNEDLKNFTEARVLATNFFNTLAAQHNSLGTPQAESVNDLKQDKKE AVVDNATRQQSSPLSLVLQKPGHAEPQNSSVEFPATPPPSGQFQQFDWPEVVVKSPGF SPTKSPESLLARRRQSSLSNLQMMHTSPKSRGSPRLPPNASTQRNLAVPQENVPPESP GFPKMLAGMTFPSPPKTSRPTSAASNSTSGSRPNTAASPQPVRPRTSSRNATMPSTSP VSLNEIVMRSTRPGLLHSQSDYTLRDSTGTRGGHKTMESVVSTPQSSPGNTAPMSTPL LSPSDNALSTSDAYHARSDSDVSYVTAFDEQRASGTPSTFSEYASYRQSGSSIITTTT ESHRRSTSTNLTNRQSTRSNATTVSTSPSFEDGTSKCEDSANANVHNNPNWPLPADVH GDLLQRRVSGKSSNMNERHIETTPIAELGSPKPMSIAERRIGRHRPSTEHRDKTIDHA HLAARPNLRSAMSFDSVDSPVLGYFPHSVVPSRKASVHGPSPLAHISPLVAVTQGPEA ESSQGSQGEKPAAPFDEIQQSHPGIAQTMHKNSRVTPSVSTQRAERPTWSVSSLMTTE IQPCADAEPEERNDLAISALMIVAEVLPEAVGEEPHLSKRLSIIPPSGAPTAPHLPPK SPRRPKHTINKRLSRQFPVPIRVNIPTSDASGSISNKSNVIERGGMPFPAPGVTSRAQ KRMSLPIYMSGGARPVSWMPPSRLRESIIASDAEDDTEPESESQPEPEPEARPRRRSS VIKERIHLAKLAREKEIAELVAKMAKPTTVQTQDLHEYSSDSSESQACTTDELEQRIH RLEKDNGEWVSMLDPLLTNMTRTLKEMKEGKLNPLLMNEFIIDMAVEARRSMMSSSNS PQESEVGLRSPVTFDGFDGSHQPKTQPESRPATAVRTKKTSMDQSPPRKEHVRLPTEQ PQAEQPQEPEVEEPAVVAQEDLVQIEVQPIPDPANAGVGSPRTPQEHEFEADRSIRKR ILAQEVMMDELMMKWGLPSPRASVDSSRSSAMTLPPIAGTPSQRHSKSSSTATIRPTH KKADAGALEISGDIALKETPINKHLLMDAEGLTGPAGSRRGSRRWSSAGERMSWKPGD TGFMNVLMQELRSTSRLSLESGGDYEVWI PFICI_08589 MVNLQSFNPFAPTVRETAVISELRVYPIKSCRGFTVRSSRVTRQ GLDLDRNWMFVSTTNGKNKFVTIREYSELTLIDTALASISAAAAASVQPAQDEQDTTK HHREVKEDLQLVISIRNHPEKRVMIPARPTRAWLEAHTTCETVDIWGTETDGWVYGDE VNALVREELGQDVKLVYKGPTPRVLRGNGSPELLGRRESTNFPDVLPLLLANEASLRE LNSRLRAKGEAEIGIERFRPNIVVRGDVAWSEDDWKQVRIVNGPAPSGALVVASPSTI DIDVSAHCARCQVPNVNPDTAEKNAHEPWNTLVSYRRIDEGIKWKPCFGMLSCPKNEG TVRVGMKMEVIETTKNHVYVKGF PFICI_08590 MKFILVTLACLVAHPMAAAGSALPGAAALDRRACLPALNYTVEI DYVGCYTDPTTPRTLTGASFTLSSNSPENCGFLCGRAGYSYAGVEYTSQCFCGNSIGN EKADESSCSSACPGDATKTCGQAYFVNVWEVTNPVDGGVSTYFPDCTRDPLCSNAVCD TSLSPQERASALVAELTIDEKLTNLVEEAPGVPRLGIMPYGWWSEGLHGLASSPGVFF NDAGNNYSYATSFPQPILTGAAFDDELVRAIGEVISTETRAYDNVGRVGLDLYTPNIN PFRDPRWGRGQETPSEDPFHVQSYVSALLSALEDSSSGEKKVIATCKHYAGYDFEGTS SVSRHSFDAVITLQDLSEYYLPPFKTCAADEQVGAIMCSYNAVNGVPACADSYLLEDI LRQHWGWESPEHYVSTDCGAVDDISGGHGYAADLGHALAVALQAGTDVDCSFGDDAAY QQAWNQSLITEAEVDKALTRLYAALVSVGFFDPASTQPLRALDWSDVNTPDAQTLAYQ AAAEGAVLLKNDGILPLALEQVGSVALIGPWAQATQQMQGNYAGPAPYLISPANASTT LGVNYTYVLGSGISSSDSSADEAIQVAISADTIIYMGGIDNTIEAESLDRTDIAWPAS QLDLIRRLAALGKPLVVVQFGGGQVDDTELLANESVNALLWGGYPGQSGGTAIVDILF GNVAPAGRLPITQYPASYTSQVPPTDMDLRPSSGNANLGRTHMWYEGEAPVPFGFGLH YTEFAVELAGEPTWLNGFTSEQNIGNIPSQVNTTDWLNTLNLPVLEVPLSVENKGSVA SDYVVLLFANSTAGPTPRPSKILAAYGRVKGVEPGAAATVSLEVKLDRIARVDEQGNR VLYPGSFELFVDLDAKASYGFTWTGSDLTIENFPQPNTSQKRIRYVV PFICI_08591 MVVFDGHEYLTEEEKRLKEDRDRVKYWKKWVREDYSPDGDAWSH FTHDDARSRAFRWGEDGIAGVSDTHGLQNIAFAFWNEQDPFLKERLFGLSNPQGNHGE SIKEAHFHLDNTPHSYMKYLYKYPQKAFPYEDLLKENAKRGKADKEYQIIDTGIFEED RYWDIIIETAKEDDDPEELLFRVTAWNRGPDPAPLHIVPHVWFRNTWAWGREAEDLKP SISAHSENMAKSMHHKLGDRYVLLSPSPGVGPSGEDVQPELMYTDNDTNVDLLYGQEN KVPYVKDAFHQYIVEGKTKAVNPAKTGTKCAAWFAFNESGGVAPGECAVVRFRFGKKA ENYLDEEEFDDVMEKRREEADDFYYRLSPLPMSDDLRSIQRQAFSGMMWCKQYYQFIW DQWANGDPAQPPPPESRKSIRNTQWRHLHIDDILSMPDSWEYPFFAAWDSAFHCIPLA MIDPDFAKKQIDLFTREWYCHPNGQLPAYEWNFGDVNPPVHAWATFRVFKIERKLYGR QDLDFLERVFQKLLLNFTWWVNRKDVDGKNVFEGGFLGLDNIGLFNRSEPLPTGGTLE QADSTGWMAFYCLCMLNIALELAKHRRIYEDIASKFFEHFIFISDAMTFKSGQSEEKS LWNEEDGFYYDAISWGGPWIQQLPVRSLVGLIPLYATLTLEPELVNKLPSFKKRVDWF MEHRCDLAERNMASIRKRGKGNRILLSMVGKDRLEKILKRMLDEDEFLSEHGIRSLSK YHKENPYSMNVNGQEFKVGYVPGDSDSGLFGGNSNWRGPIWLCVNFLLVESLQRFYLF YGPQFQVECPTGSGDYMHLGHVSEEIQHRLQHLFARGDDGRRSINDGNDVLDFDPHWK DHMWFHEFFDGDTGRGLGATHQCGWTGLIARMIHDTGISCRLPQTPRTPSVGMAHYFD DVFHRTVHGHKEKNGKPKMRRSSTARSIGARSDFEGSVNGDDDDVASLGNSVSNLDPE RESERREADAHLHHYISEQLERVKTDLEHDGYNMEDEFETHA PFICI_08592 MAEIVAMVGNIVGTGLQQLIPSNTFLLDPGLSEKRSRLQDEARI FLRVLDFNVDEDSSTQTVGDIQASDDAANQSPELSERVTNMINNLPTQARGAVRLYVE QDCDMNDTYLEHLCQLLPDFSLSKYCSPSHRGFKAAASQWHHTGPVHWCSAWSFGFGT APRYENANEHGLMKLLGFRNVRNTVTPAQVFGQIGSAQRLNRDIMRKARQTCLWNDHQ GELNYGHGSTNDSTNAHNLVTILSNLWAYFAPLTHGASQNLIMKLLMLWYHYAHLLEL ISFIQGARHYYFASRQSLFDSRISSLEMNLMQDMTYDVGFYQDLGISVKNLTVLIESL SSSMEATINLRAREEDGDDGAVNYFNELMADIRGVCADALSKTTDMSSSMEHQLKFLE LRREIKQSNSLWILSVLASIFLPLSLASGVLSMGTRFKELGPLLYDFCGVVVLLMTLV FVIIAAIWLLMKITDVIARFRRPSHRIVERVWTSFLICMALLGWGMVLGSFLVGMLDD IQLGGRILGFGMLCLLGLTVVGGTGMRSGVQVIDSIHEGEDKSFEQPPT PFICI_08593 MAEIENRGPQLLGVDVFFIILALITTLLRIYVRIFMVKSWGKED WFMLAATTAFVLFCSFSISGVHYGTGRHFADLTEDNAMSAMKCWFFCYLFYATSMICS KLSIGFFLLRITVKKIHIWIVYGAMFFSVLSGIAFFLVTLLQCTPVSFFWERVGEFYG DPMEGTCVSAEVIIGLAALYSTFSVLSDFTFAILPAFLLWDLKIDRRTKFTIIPILAM GCVASSAVVARFPYLPNFRNFDFLFATVDIAIWSAVEQGLAIAAGCLATLRPLLKEVS YRLGWSKPSNGSSGHMSGMMSGTFPNTGGRSRGMSRNLDMYDLSEFTQIDDEESKIGS KPAKEGRFSIPSLRSPKLPPSTTERKSVSTKVKAVSEGIDSEEELSDQQSQKVVVSKE FS PFICI_08594 MASRDQKAQHSHRHSTGTPHHRRSESQKHGRSRQTSNASVAHSF APSLPSPSVTSRFSTATTATTATSASRTSASIHDGDEPVSSDSLSSSESIVDDPFFQR QYAADKADVAARKPTRSPVPENLRHETPPPNLQPVPRIQVPRRKSSDVEEQTDDRPPA RTTMESINIVVIGANGVGKSHFIQKALSLTRTPSSQPSTARINIDHVPYAVTLFELDL EYFDVDPDRQIQWPRQVGGAMMPAIHGVLLLYDVMNRESIIELPQTLTALVKSSLPTI LVATKCDNPESARQIDTEGLAAACKSCIGSFKTASSKPESARMPLSFLLKALILGRQD ESGEGIGRRRAASAAHLDKPFDPSKGRPLSQHSKHSRASSDMSLLKGFSQPGIMLRTT GIRLDRPGTGTFREIDEFEADSNRYSEDIPILQRGDDLSFDRPAKKVGLTFDELVDRL IAPKFSRADSNFADVFLCLYRKFAAPSALLSAILTRLERVKEEKPSHYLTKTATQLRI IESVAKWVSSYPGDFAKPTIRRNLEEFIRNLSAEPIFSAAAQQMRRVLDLSVFDEDDS GWVKDDDAETASHMSAPKHPSVSLAGSLDLPESMSLLSVSEPVDRRPSASSSLLTDSS NSLKFHQFQFHSYEDYEHEAATMVPTYTMPLTKFRYHIFMDTSDDDIADELTRLDWVM FSSIRIRDLVRHVSLNAQQKENSASMKNVNRMINHFNHIAKWVANMVLMRDKAKHRAQ MLEKFMNIALKLRQLNNYNGLAAVLAGINGTAVHRLSQTRNLVAADVQKRFARLVLLM GSQKSHFAYRLAWENSPLPRIPYMPLHRRDLVSAEEGSMTFIGQDGDRVNWKKYEILG EIILPIIKSQGTPYPNLSKHDTARELILDCLMPTDEEDIYQRSCQVENPAGGMYDGKK KFPWFAKQ PFICI_08595 MLSRSAFRAVRAAAPQRTVARAAVRSYAAAATQDSKPPIAVYGL DGTYATALYTAAAKSSSLDPTAKALSTLNDVVSKDSKLAAILSTPTLSDADKSAIVAE LQKSLGAAGSNATIKNFLSTLAEYNRLSILKGVCDKFGELMSASRGEVELIVTSATQL DNKTLNRLESAVAKSQYVGQGKKLKVTNQVNPDIVGGLVVEIGDRTIDLSVSSKIAKM NKLLTDTL PFICI_08596 MGRESRKLALPAIGKHAKARHNKMDRMAQADPSIYSTLNALKQA PAPKLKHKSYFEIAENADKKDKILETQTTAKRTPPPGYEFIALGNPELTQMCKDISRE QDAMIFIVSESTDESVHSHTHRLGYHFRERIVDQARDSLTASGVIMPQKIASGRPESI PKDRNQILKEADAVLRDLFPRIPNSNRIDILRHSFDKDNKFFNGREKVGMAGDLPLSR RVQLAALSHIRHTMTRYDDLLREGQKWENARKAVEQPCLDIIVKWRGDEETGRDQLDE ILREVIEISDDEDSDEDSTEEEQVPAAARLAVPSDPGPNAAEAHALAQNPPAPSRASS ARSPRHQPLVISLVSPAPPRKLTRKERKAARQTQQRFKRYEQVAETFRRVPGSPRSPR DKYASGSLPEMATVSVPRNLEYVREPERTVPQSAAPTLSGSYTRQDVPPIASRSRGQS PILVRVADSNMPKVGQAANRLDYSPHAMSPVRSQFQDLLVRSIEPHSPGASQIRDRAT SYPFHPEMGRVAEAPRVVDRSVVPRPDGPTASTVFLGPEELPSSRRQVSTRFTEQPEL FSGAGFIQVHREPSRRVPSQARPDGLAISHAIPVTRSFERRSRSPISHPQAGAYRDAG RVLYRSRPTTVYVDEPRSNGRDEFALSSRDHPIVLDDLNPRATNRSTDAHRSLQDMEY DPRQPGEVDFLRRVPVREPDRRLDRPAVTYTDVYDSRPTRVLQQPAEPPHSHLIPVRS HIGHHPEPLPYGADVFRPAHTSRPFLDSASHHAIRGSAVDAYVPVERVLPPQARHEPH YPDELAYGYQRPQERIIVRDPGRDQAFIQQPPPGPDYTYRPVPNHY PFICI_08597 MGNNNSRITAQDRAILDLKLQRDKLQQYQRRILPLVARETDMAR QMLAAGDKPRALLALRRKKYQEQLLSRTDQQLQQLEQLVRNVEFAQIQKDVVFGLQQG TKVLKEIHAEMGGIERVELLMGESAEAIAYQNEISEMLGQRITNQDEEEVEDELAALA AEVNGTTKLPDVPQDKLPDAPQTEPETEPARVQERQETREAIAA PFICI_08598 MPALTQRLDRDIYQIVIKLENDQAEKTARTGEPPKFTVSAVYDT IKRSNSSLAREKKKPLEDSIYRVLHFRKEERKKDEADDEDEAMDDVSGSATPQAKERD YFLMNKQLTKSWNVARRQRSPSTSDQQPTTGSTATPANGMAVDSAVVTVDRQPNGEPK PKKARTRQSKEKEPVDRTPATGVSLADIGGMDDAIELLYEEVARPMRHPSAYLEADLN PSRGILLYGPPGCGKTMLANAFAAAIGVSYIPVSAPALIAGMSGESEKKIRELYDEAK TLAPCLVFIDEFDAIMGKRENAQREMEKRIVAQMLTCMDELSLDKTDGKPVITIAATN RPDSIDPALRRAGRFNQEINIGVPNEDARLAILQALTRKSKLSPDVDLKVVAKMTPGF VGADLGDLVSLAKADMLKRAMLQHEERAIQELESQGGESYEGVPPRRANILRKLEADK FVGTQTISQESTGLLQDYLVKAVSKVQPSAKREGFTTIPDTTWAQVGALQNVRKELSL AIIRPIDTPDLFSKFGWQSPSGVILWGPPGCGKTLLAKAVANEAKANFISVKGPELLN KYVGESERSVRQVFTRARSSAPCILFFDELDALVPRRGDNIAESSARVVNMLLTELDG MDGRAGVYVIGATNRPDMIDPAILRPGRLGTSIFVDLPDEEGRADILKTRVRNVIPSY TEEQLQALEPIARKCTGFSGADLENLHIAAAKAGVERFDQDGVDELTEVDWLNALETI KPSVSAHAYSKFVKLRDNGWS PFICI_08599 MLGFIATWFTAATAIAVVFFSNAASSFPTHVCATNAAPQVTLDL NASVLNSGPRPWGLVYLNDTIALAAVNFSLGVLDVSELQPKLLNLLPMPPSYYIGNDD YNEDGYGFRDIKLTADKENAYIATGYGAVIYDVPRALAGRNDSVVGVLSNGGLTGRSS IELQITADDKFVFVSQEFGANATLQRGAIEVYNITRHENRTVESHWRGVIALGFATIG QQFSTDHSLLFVTSEMNGTATSLNETSGIISVLDVAKLRTYVNQALIKKVDAGCHPVR AVMSRDGRHLWVSQREANQVMAFSTAQLSDNTSTSALVATVNTGTSPIGITAVNNHIL TADSNRFGYSNASTGITVVNAAGAIQNGRISFPQIPTGPFPRALAVSPDSNTVLVSEF DGGTIRAIDVSSLNALSP PFICI_08600 MISSTRLTRKDFRVPAFLSTRSDNQYMTLKVRYSRRFLLNTQGP LALICCFPTPKQFNGSKVLRGTEGSQVPKSAPMFSLGHQRKSTEQQY PFICI_08601 MASGSSSSNSRSMESRTGRDNQRYNSKNERLVAGVVPLTADKKY VLLIQSTRRKGWVLPKGGWEIDEEITEAASREAWEEAGISIQIDYDLGEITESRPPKK HSKESSKSLYHFYEATVVKEEVDWPEKHKRERQWFTYSQAKEALSARPELLEALTRST IKR PFICI_08602 MAIKRIARHVLHIMSCPLEPCIAFCSCGGHSFYVRATSLTPAFY QSLIDRCWRRSGRLLYRPNQRDSCCPHYTLRLDSSQAHISKDQRAAVNRFNKFVLGDE YIKQADRLYPRSHEESRRRKQEFDLVERIHEAETQRLQTPPQPAHTFEVTLEPDIFTE EKYAVFENYQRIVHQEGPSDISRDGFQRFLCDSPLRSEILRSEAGKERQLGSFHQCYR LDGKLVAVGVLDLLPECVSAKYFLYHESIHKYQPGKLGALHEIALAAEKGYRYWYSGF YIHTCPKMRYKIDFKPQFVLDPERYVWQPLNSETLKLFDGKGYLHLSEDGSPRPPLET VPGAEDTSMVIEDSNPPDVEDGDNRGVEDVDDDAEDEDEDEDESISLLQSNMPGLPSI DILRQAPLDFIPVRLNHGVLPAGDLFQWDDEDIADAKAPKGMVADMVAAMGPELLLQW ALDFRRRDT PFICI_08603 MLHQPYMKLFPISLPPADTFAGQRAVVTGGTTGLGLAAAAHLIN LGAAEVITRGRSKGRARALELDMEDYTSIVEFAAKVKDIGKGSGGVDVVILNAGMIGV EPRVTANGWEQNIQVNTISSILLATLLLPHLKAQRASRSSPAHITLVSSGRFAEPNIV DWPKWHDEGILAHFSKPENWPGSQGMYPITKLSLQYGFRELAELARGPDGRPDVIMNT VCPGAVKTDLSRGYAENGVAFRVALGIFSAVFAKSAENGARAYMAAVTTKEEEHGKMV QFYWSNAQLAAQEAKNLGSEAGRRLQSWVWDEICKEMVSKVPETKEFLKA PFICI_08604 MATTQHLLLSPAELAYLHSTLSLHPPIRPDGRTASQFRPLTAET GILPGTNGSARICFADGTEAIVGVKAEVERTRISPYEDANGNMRDGGSSSSSRTERNT SSKSGEAKDDEDQEAAETEENDTVKIKGSKDWVEVSVEVPGYRDDDSATVFLSTMLSE ALLADGEFTRRLWINRRFHWKMYLDVILISPPLSYPLPLLSLTTHLAILSTRLPRLKS EADEDPMFDDDWDASKYIYPRTGSESRSTSMPPITLLVMVVGDNIIFDPSKEELAVAD TALAVSVGEGQVQQDKNNDAAMDVDDKSQPSRKLRLLSVRMIDPPSRLTPPGVPNSVN ASAWGQGGGSAAQKNPDARQAETADVAGVWKAPRGGIKPAVLSAMVAKVLEKGGVADE VLDGLDGVELS PFICI_08605 MATKTSIPRFLLPQYGAIWRTTARTMPFPRPASLELGQVLVRYA SKTVAASKTTATKSATTASKTAAAKKVEAPKAASTKAAASKVSSVKAAASKPAAAASK TSKTPAAKASAAKGAAPKLSSTPDPTAPRKAVTPDPSKPLVLEKPERFNPPSHGARLP RSTPKHYGGAPTFEEVQAQKTREYPGLPPPPNTWSHWFINNRHIHMFITLGTLVSLTA YTFAAKFNATSPYAEMIPPISEFPSHPIDYIGTCFHVLRLHEEHISAVTAEKRRRKVD DVAKRNEYRKAHGLDAAQGIESWNAPAKEVAPVAAEVEAPSTAPVAEQAQATPEVSED GKRKKFLGIF PFICI_08606 MGGFSAGDSKKGANLFKTRCAQCHTLKEGEGNKVGPALHGLFGR KSGQVEGFSYTDANKQKGVTWDEKSLFDYLENPKKYIPGTKMAFGGLKKEKDRNDLIT FLKESTA PFICI_08607 MKKSRLFYYSLLTGLATTGSLAHNIIDNRSNSGFQDAGDGLQVA RTVLWEKVEYVVVAREATPRRNILERVVPEPTAALEGAAVVLRSPAPTAAPRKRQDDG QIQALSGQIQQLSISFSSASSASQSISQSAQQVQQSADQASRENSQALSRTQSSASSA VSQASQQANDRINQASSSMSSQISRNLASVQSSASSAVSVAQASASASMASAVNVAMS QLQAARAEATAVRSDANNFADQVQKNAISTTNLAIIVAVSVSGAVVLTSIIVCIFLRY RRRKREARDGASLRQDEKEYDKPIAVRGSVGGSRFNPFGSGSSARDKFKLPNFSPPSA RKDEPLNFGFAMSDYSDLKEAPVIQEVPREKPAAFRLQKPPNVKSAEAVRVIRVNSKK GKEPMTADDADQSTDMPAPNPTEPNLQRSKSQVARKPVGTVVAAVAAASAPNSTPTPA PVPSATTDEWPSVMKETTKEPPASATRDSTRDTFMSENGARTRASSRYTMLLIASSEG PPKSARDSRRNTMAPQPIAESDEPGWRPPSNRTSRAASTKSVNTTAQRLAFRDSGDPI PEPDDTGFKQPRGGFSMASVSSLMRSDSYAAGGGLGPAGAAAMDGRQPTMPKLLPKGP RPGPSFAAFPTVRNGPPSGILNRPRPALVGKIREDAERRARDKQAQR PFICI_08608 MENLTIHDTPPPGQGTPQGQFPTQALGGRAPPPPQPQQLPPQMF TTAAQLLDLTDKKLVICLRDGRKLTGILRSWDQFANLVLQSTVERIYTPLQDAPEDSP VKGYYADKTHGIFLVRGENVLLLGEIDLDKDDDMPAGFEEKEWKVVENMIKEKRAAEK VKEKKKLKKLATLGFEGENMGEILL PFICI_08609 MARLTKDVESVTVEEARHTDIDAIAEIGIESLPLQFDDKVPRWF TDFAIEKSFGKDAVARALDKQLRTTLVARSGNEEVIGFAQIIRDCQCPHRPYDQASRI TLNKLYVKEGFRGCGVGGKLMEAVENLVMEEGHRAVWLLVYEGNETARSLYTKRGWKT TVPIDFELRGQRFRDWVLEKKFDCDGVPGTKWSVEPEWILDVYAPW PFICI_08610 MNLSIWFSLYILLGCCDFAIAGNKTATKIDRRKVVQQFNPRRNA SSTSTPLQVGNGNFAFGVDVTGLQTFQPYGALSTWGWHNFSLPTTPGQTSVDNFTGLD WWTHGRLVNYDQPNPAENDISQWLIQNPQRVNLGRVGLSFNDGVVTEEMLEDRSQTLD LWTGSIHSTFTYQGQQVKIETWSDSQSDTVGISIESSLLSNRSIGVFFDFPLPTRNKF DAPFVGVFNATANHTTIITAGQRNASIRHDLDNTTYFTSIAWNQDAAISGPVDKTHRY LLTPSGKSDTLEFSVTYSPEVVNQVPSLSEIRTCSESWWEEYWTMGAFIDLTASTSPN ATELQKITILSQYLVAVNSASSNPPQESGLVNNGWYGKFHLEMVLWHSLHFARWNQWS IYQRSLPNTYQRFLSSSIARAADQGYAGARWGKMTDPTGRSAPGEINSLLIWQQPHPM YFAEVEYRASPPADNNQRSSSNETLTSWDEVLTATADFMASYAWWNQSTGVYDLGPPL YPVSENTNPNATVNPAFELAYWRFGLDVAIRWKQRQGLEAPAGWTHVRDHLAPLPLTT PARDAYAVYEGIPDMWQNNTTVQDHPAMAGIFGLLPPPSSGPPLNMTIVQNTHDKIAS LWDIGDCWGWDFPMLAMNSLRLGDIDQAIAYLLHPLFEFDDAGYPIGGVRVATPYFPG SGGFLLAMAMMAGGWDGNEGSQFPREWNAKVEGFMPGL PFICI_08611 MDNHSLADVVGELDKHQSFSSLDGTLSPSHLAKSSTKVWIEDLK APEALKSGSMSTVSSGSGSPAEYYSVATDSDLLSISDTSDPGLCFDTRPLPPIQQRIL DHILRDYQAITRSHNGGDSYVTPEASASSLSTRSNSQSSLGKRKPSDQEFTEGFDNGP PPKAAKRAKEDGIRLKVLACPFWKQDWEKHRLCCKLTLRRIRDVKQHLHRRHTPEMYC DRCLEVFEDTDRYDIHLQSNVACFRTPGSRLEGITTMQSRAISKKSDRNLGEEEQWFT IWGILFPGAARPASAYVESELTEEMNSFQEYWTNRGHDVLMDELNRNGVWSLSSEERE AQGRQILARGLNAIYEQWVGLRRNASTTPPSSSTSISPALTGPVANLRSPISDGQGNL ISDIMPSVQIDTITANQPVVGFAARVDRRVEEAGSSADHSQSASQTLQTTTYETSPLP SGGTLQYYTTIEGEQTDIGQSSFADFFASNAAMSTDFEFQ PFICI_08612 MTSFITTVNQRTRNQFRPRAGGKGNTSYQLRQYAEATLGGGSLR KVVKLPEGEDENEWLAVNMVDFYNQVNLLYGAITEFCSPQSCPEMKATDEFEYLWQDN ENYKRPTKMPAPAYIEQLMSWVQGNIDNEAVLPSRIGVPFPKQFPSLVRQIFKRMYRV YAHIYCHHYPVIRELGLEPHLNTSFKQYVLFIDEHHLASGKDYWGPLGDLVESMLKSD PFICI_08613 MPAATEAVDFNQQDVTRFQNRPASSMPQFSSGSRSTTTTASTSA STQQHQNQQQQQQQDQDQPRRWIKRYRTQVSASSASVLSTITAFPLDSVKTRLQTYPY NGFLHCVGKTYQSEGIRGFFRGVTAPLASVTLVRTVSFSIYQRSKYIYSDWMGKHMGF CPLEHVNKNGTYPNLGTIACFGAAGATAGSGITLIACPFELTKISAQVSVLMANQKNA DPKCQEIAMSYHNKGTIMTLRNLVKHRGLSGMYTGLNLHLLRDTLGTGIYFATYESGK QLLTTFSGTDAHKNPIAVLIAGGLCGIVSWALIYPLDSVKSIYQRNALMYSKGQTVPM PKIEFFRKDMYRGLGVSMGRSAAVNAVFFSAFEFFKKKINNLEDID PFICI_08614 MADIQVLHAYRHLYRHGLRAIQFSKPARFVLRDRLRAAFREKGA QLDQAGVAKTLQFFEAAQGSRGLEHKVLKNLLFVAFHRYEGRGMKTTTIENFKIRPLN REFRATAYKHYDMTIAMLNKSMGLCLRG PFICI_08615 MSYMVSEYIIRPALQQVRRFSRSSVRSETDRPDSPCRSKDCLPI NRDDAISETGEGSFPKDRDENTSPPTASPSSLIPHLGCEDVEPELQRDRSIFSHNPPT TVAPNAISTTSESGVASAAGGQTVTRERDTSDVSMTSAPAAESDRTDAQASSARDAAP PVAERPDPLPEDDGMGALRKRILEVQAMQLPPTDQARLMHLILMENYNKKSRAVPEED RPISPSSSVGWEQKPTQGVLDSFIWNHLLGEEAPAEKFRLTEDDIRPTFAPLKPGEEE SEYRALGCEHYKRNVKSECSICGRWYTCRFCHDKIESHAMIAKDTRNMLCMYCGVAQK AGEACVSCGETAAMYYCLICKLWNNDPDRSIYHCPDCGICRVGRGLGKDFFHCKTCNA CLNINFENRHKCIERLLDCDCPICGEYMFNTTRGICHMKCGHTLHKDCWDEHIKHAYK CPICSKSIVNMETQFRRLDIAIETQPMPEKFQDTRAIVSCNDCSAKTTVKYHWLGLKC AVCQSYNTSQLQILGEDDSRGTASAAVPDVQATEPLLVSSSTELLQSSTASVARDIPR RRRHSSNLMQLSTDNLNLRPPEIGSYTVQERFARSVSPTAINHPGGDMDDSDEEDKED MIGLWRRVPRSITSNDDDQDEEEYDSDDDSVSSTADDMDEDDAEDEEDEINLFGHR PFICI_08616 MEKFGKQIQKRQLEVPEYAASFVNYKALKKLIKRLSATPVLSAQ NDPNQPIDSQAALQANKAKFFFQLERELEKVNAFYMQKEAELKIRLKTLLDKKKVLQS RQGVSRRSAKFTTLQEGFQQFANDLNKLQQFVEINGTAFSKILKKWDKTSKSKTKELY LSRAVEVQPFFNATVISELSDQATTSLQELGAWSEGDNVNFEVRQEHTVSSQHLLGTD EGDADSLLLDTAISGNLESLRDLLTRMRAATNSSASMESSLMERITRTFLAAIHEAPQ ASLEVLLATGLVDVQSEDDINERNCLHQATIYGNTFVLGVGLSKGVAVNRTDVYGRVP LHYASMHGRLDMLDALLQADVSTVDLIDHDNFTPLIHAIIHGHLACVERLLAATTRLD PQSETDHVPLNLACEHGSLAIVELLLRNGAKILPDAEGLYPQHLVARSGQTPQLLRLL KDFGADLDQIDKLYGWTPLVHAASEGNVPCLRELLTVGANPNILDEKDLPAMYYAAWE GHLECMKLLTPYQRGKTTSPRGLQATAPSLGPMGSGTGPIPMALDALDPDAIPVLELP PPIIPLRRYGHNFLDTKTVVQISFDEQGEQPLVFFHDSKYPAARLTISSKLSDLIPKN IILPFQEDTRLVSFQVEDLDTFSLDFDVFPAYGAKVIAKTVALPNTFRALLQSSGRCC LPLFDPRLRAIGQITFNTQVIKPFQGVPLEITDFETYWKATSQLESHQSMFVTGSSLS GDFVQLFVQSTSDGVPVLWPQWRINCGGVDIPVSRLSLEQFSAVTSQSPARAYLAELH SQPQENIADVHRVLATAGIPLQQALAILPATMHVNLQIIYPSEDEERVLGLGPTVDIN IFVDAVLSVVFDHARAQRQSIKAPDVVRSVVFSSYNSTLCTTLNWKQPNFPVFLCNDL GRDDVPAKTQNGVIQNGGRRTSSIKEVVRIAQSNNFMGLICSSRLLDMVPALVDAIKS QGLALVIDKSTEPPTESQPFGDPFPRMPQGIDGVVKGNGVLRFNESIDV PFICI_08617 MDVASTRSRLRRTFAYPTDDDGSSNSSSALALDETEQEDVIASL ATQNHATNSQFRLFLLALPTVSAVPYLLALANPAAQHTSRTTASLALTSLASTAWLLW SQLPGVTGIDALDRWATGRPGAMDTLHGDHGHHVSTRRRDRRQSFSLTAAVPLAETRS PLAIWLPYLNLALCGLLVLAGLVSSSRGGGGSWGHVSLANMPGVVYLVVLLAKVVMGG VDPEKELSGLKYEFKGA PFICI_08618 MLSRTLRQRALPGLRKLQSRVATPVQRRTMVRAPKPGDGPLMER RADRELPSVESVSFRWSRTFPIFAVLVTISSLAIFNYQKMSSPTVASTMYALRTSQRA REYLGDEIYFAQQIPWISGTMNQLRGVIDISFRVRGSRNEGVMRFCSFRPGPKAMFET TEWSLETKDGQTIDLLEGGDPFRALEGTAFLDEEEEMQQKGRGFRTELK PFICI_08619 MAPRMPARWAAALPTHLLSPCTTGLISTTAASSRILITQSGSAL LPRTARRGVKYGWSTRPKQDKPTRFNQVSSGLPAPTAGPAAALERKRATTPLRTGVLA VKKGVSAMYVAGRRIPCTVLQMDQVEVVANKTRASNGYWAVQLGSGLKRPGNETAPML GYYEAKGLAPKRHLAEFKVRDRDGLLPVGVQLQPDWFKLGQFVDARSNSRGMGFAGGM KRHGFAGQEASHGNSKNHRTIGTTGPSQGGGSRVHPGKKMPGRMGGERVTMQNLKVLK VDNEMGVVVIKGHVAGPKGCLVQLSDAIKKDPPVQSFIDKVRRITLERNPEAEQKLEE ARLRHLDLKQMRKEGTIAGAVLMG PFICI_08620 MVYYFTSTVVSPSAFVYVGKDKFENEDLIKYGWEQDFHADKLSS AHIYLRMPNAEDSWEAIPEALVTDLAQLTKANSIEGNKKDNVTIIYTPWSNLKKDGSM AVGQVSFKDPRKVKRVLVATRENAIINRLNKTKVEKQPDFMQEREDMLKERRRKDMAA VQVRKKEEQRVAKERAEKKYQKDHAYDDLFSADNVAASSNQDVGSDWEDDFM PFICI_08621 MSTHCHDEHQHSHGGHDGHDHVHDHSDDITPALQYSLYQHIDFD GINTMNEAVDDSGRAIVKKTWAERTQEQPELESDADEQIIMSVPFTGQVKLHSILLRS SPSASAPRTLKVFVNRRDLDFETASELAPTQEFELSQTSDVQELPVRRALFGKVQSLT LFFEDNFGNDDDDDEVTRLSYLGFKGEWMQLGRAPINITYEAAPNPSDHRIKGTNVNA MGSGIGGRGPGV PFICI_08622 MADRRYNESLIGNPGRLEYHPLDVRQAEDQGWLSVRKHEANEPQ IRELGSHQEWPASTFHTVPPVIPQGTWHENRRQKQWLLKNHPEAALGNDELRDILADE SPSSNRPHMPPWELTQFAIGEMTDTINGQKPVGVPLIAMATGSAGDVLRFTRPAAKQW HLDSNGTVGSLLEPDKYQETLWSKDVGTIRRIQTVVNTKRFEPVRWVVVQRESVTSVF RPEYQRVPVVSETFSGTGPQYPSHIAPNLLFKISYRDTGCNPHCDAAFNPGIKSKRPQ LAIIDEGGEWTVWDISGTRNRTYKHPRTRLSVCGNIRAGIHPRPSVVPTTLPQWHRIF WVGGPDPNYEDYADDDASAFQSTSKFPPLERSSILLLCNQKSLRLMNLEKNEFLPDVQ FFSDSSKDAILDVQVDPQDRRYFYVATTSRIFVVTVNASNDPTSQAIAEEASIIHSFP HLRSKLDKKLKLMITSEPLSKPDRNALVVLYSEHSNWQDVFSIRFPREPAGTISCHHG YLVTQDVRPSFHGGGLQTFALSRISHSNEQSIAGNIAPMKSSSNTCYFQMFSFRADLS LSYCLGVSTTNKWDQKTMTVQRVPEVDYTDASAKELGKATKLDRLSRQDIERQRAVRY LSTRFILADSIAVFRYRGDNSVTAKRAARRTWKPAMVRRMIQPVHAAFSEMLAKLWAE GTEDVEALDGFGEAPFDPVFILVQEAMETRTLARVALSDIVKDFRVPEDYKEASREWE AEIDQFRQVDPSLQISLLDRPLRFFSSDRTLEELFCTLVRITLGADPLELASDDTNGL NFIVLCRIACELYLARTGMTYLEPDILEPIKSQTADIRSSPPLMSDNTLVDGQDNYSS AFRSSSFAPGSQASSRASTPTSIIGSTVTETARTGNEGIGLIRALTNSGDVGTQRLRL PTPWDVGGDPSSTFFNVDNNTEITEGMRRRAKQEAREARKRKRAETLFRLQEEHNLLP STQPVTRTGFSTQVSQPMTDFSSQPRFLSSTPAVAMSQPTAGAFGGRSMERPKKKPKR KGGF PFICI_08623 MASSFEPSFSASLRGQLPLSTTAPSMADSLPDINFGFDELRDRM AKFTAKFDAFIEQGRKRVLEERNQFRMNIAELQEDQRMKKKDIEILQLKTSTHEQTIS KEEAETQEMQAAITALSQQRNKAQEHRDTLKQQITETQKEIDTKLAAQRAHAAYLETQ SRFNVPELDFWMTNLCLKIEGAGQTDRLKFVYSHVDERDWEREAWFELCTSSRDYDVR HCRPKLEREKIDKVLDKVNETRELSTLLKGMRELFVEAMKA PFICI_08624 MPPPTSKDQGEKQQAAQQAVDILHEISTILNCQLDRKQLSICIS MIENGVNPEALAQVVQYLRKEAQKQNFRLRDQEQDA PFICI_08625 MASNETNKRMSTSSLRGPESPTTVKPFEMDDDDVQETALLGDDG AHETSTTSAAPAAAATTSNTAAPATSDEAPPTKPPRPVTEAQKNEQVLKEAFPSIDAT VIKAVLVASNGRVEPAFNALLSMSDPDAVKNEPAVEPEAPPPQPPRPTGRAPMSQMEA DELYARQLAEHYDNAAYEARTRNRSPGQPVRRQATGLKPNEMYDREHSFVDDDLPVIR ENLRKGFLETQSKVNTWFTQLKKRIDGEYDSEEDESQPSRHTQPGSSQYGAGTARRST DYDRYDADPQVLSDDFAGIRLNPDGTAPQGRSSNPNVYRPPPKSASPKPDGRKVAFKD GHEDIDDPYNASPRVPPKDTSSTPPPGGKASKWQPMSTVDPSPITDHDPFSLGDSEDE KETKDKPTKDAKLDDNERLKQATADAMADTLIDDTKKTDPAAK PFICI_08626 MAADLELGSSFIPSLHKPSALLPIAKHKDSLLYLVETNQVTIVV GQTGSGKTTQIPQFLAEAGWCEDGKIVGITQPRRVAATTVAIRVAEEVGCELGKEVGF SIRFEDVTSAATKIKFLTDGLLIREALVDPLLSRYSVIMVDEAHERSISTDILLGLLK KILKRRPDLRVIISSATLQAEEFLAFFSSSANDDEVVGNTGTGQKGSIISIEGRTFPI DVLYLESPTEDYVEKALTTVFDIHTKEGEGDILVFLTGRDEIDNAVAAISERAADLQP GTQSILVLPLYAGLTTEQQMYVFDEAPQNTRKVIVATNIAEASVTIDGIVYVVDCGFV KLRTYDPRTGIETLTATPVSQASASQRAGRAGRTKPGKCFRLYTEENFQALPAANIPE IQRTNLAPFVLQLKALGIDNVVRFDYLTPPPAELLVKATELLFSLGALDDYAKLTRPM GIRMAELAVEPMMAKTLLMAASFGCLSEILTIAAMTSLGGSIWIQRDGEKKQMESARR KFAAEEGDHLTLLNVYQTFVTKGKKEAKFCYENQLNFKAMSRAVSIRAQLKRYLERFG ISENLTTTTLDKGEQIRRCLTTGYFAHAAKMQPDGTFRNVEGGVVFHAHPSSLMFNRK AEWVIFHEIMETGEKTFIRDITKIEKQWLLEYAADFYQVKP PFICI_08627 MDGHLHGHRHHHRRELGARIASRPVERAPSTLESPSVVERSLAS DNIASRLFRRADCADGDTSSACEKPMSGSSLTVPITLAVVIPLILAFFVLIYLHRRTK KLQKQEDHDERYKSMDFGMGDGIPGKNGKRKSKLFGGEKESGHTKGMSMDMNLSSPYL LPPAMQQSRESFNSLAKTLHQSEDPYRHVDSFISDGASLRSFPKGGDRRASVITSQSG LDSPRAQSMRGLPPRQNSLPTAHQPRVPAPIKESMVPEVHEVPTPHHAEEAKAEFRFT EEVTTPPHVGGEHVDMPAMPLVHEPEEQHHDFAQKARPESYESIKPEKPVIAGNRDSE LGLGIMAPQSAEVVDETPASLRPGKPARVVSDVPSEYSDYVNIVEDHDAEDDHVKEQQ VTAPAPVQPQPQSAGLAVPGNKSNRLSVGFRPLPPSDYLESEDPEFRANRIRSFYKEY FEDGSAPRPPMPQPHAAQYYDQSQDAGYLGDAAYFDPESNAFVMPYAEPVTRRAMTPP PSNRRPMPGPSGRGPPRGMGPGGPGPRPRAGSVMSGRHLPPMSPRPGSSASARMGGRS PGPRKPMPPPAALTTLPTPAMLKDESFMIMNPLDFAPPPTYKDQATGRSQSPMGERRP YHLGVPVSSPLVSSFDDIPALPSPHAMRKSGTFTALDFAPPRKFKDPENMSDAGSVRS MGSGISARTNNALRNGAGRVSRLPEDQVFTQALSGGQLKPKWGMRD PFICI_08628 MSSSNVNSTGSGTAAADPTTTSDSAATPTSSLVSTTDEETSTNT PTTTATDAASTPADTPTTTTANTTPITTSDTSTSETSETTSIESTTSTTDPTTSATPT TTSTTSTSSTSETTSSSTTTTPTSTPTTTTAPSTTSTSSRTPSSTVVTTELTSSANGS TTVIVITSTKAVDNTATTGGAGSTSTAADGSIITNGSNSGSSGGLDSGGKIAVAVVVP IAAVALLVVGAIFLWRKRKQRKDAEEERRKEVEDYIYNPNADPTLPDVGSAAGGAYEM KEDTSSGYRGWGSTTLAGSTGRKASTTLSGGAAGVAYSDGTQYGNVSDTRSGEPLMSD GSHSPEGEILGAMGPSAADTRGGNVHRGPSNASSSYSAAGRSDGSGDVGTGVAYGGPG YYDQYGSNPYDTGAPGGGQPIIRDNPARRNTRIENPSHYPQQSAGISQNF PFICI_08629 MEASPLTQQARPEVFQQKIVQLYEALFKDEVDPDNDIEKPEGFW REFFLLRPDRLALQRVLGDLSPDDLLALHPQTRQLFARAVACLKKGAGPASLHALDTL TTFFSAVLPKKYTNPSSDIIAVLAGLDHVDQIFTDFVAALDAIIRNADTLDLRQKAVE VVLAITSGAYQTSLLTYFIHRDLFPAIMKFIQDSDTPARVLEPFTLLGLLANYNKFEF QNPYQLRLNDFVNEAAIQKIIHCVGQTCRNLRNRYVDIQEDLPEGWSLASTLSMLKLG SITPGARAAPKKPVYDPEVAKQMFSELPGEDAAVLLGTYDFAHANKLFCFNLVSLPAE KGEEQPIACLVSLTSYMLQHAFLSNRATNYAHLSLMVFRLVIEDQLLCKRICGEESKI AVRLCRQRSPYLPVVRGERILATCVLDTMVDGINHNLRRRLDVSLYNLCTGIMLRIIS YLSRSRTRLQYHWSELFRSLLSLIRFLTSYASDLKDLSQIETLLDLVVNLLALSLSSG EAFLPTPAAYDDLFYKIVESGDVLTKFRDTYSLSNRPSNSIDTLINVSTHYKSMLDAG GSKKNLLTSSEVTDLIKQGYETLSIQAKEGLDGWERYREADERSLLKKMARATVGDVK TMVEA PFICI_08630 MNAPRLVLDKAAPSLLLSRLALPTSRSSRPCQHLLRQFSQSKYW TASSEKISSTPSYQPYPLAKPTGPRSNLPESSIASPIPQVHETRPPQPAVTPSGPKEP SPQTATSSSLPEQETQKPKPAPERKRKLRPRKAAMKLTPSAVEHLREMLEGPEPKMIK IGVRNRGCSGLAYHLDWVDKAGPFDETVEQDGVKVLIDSKALFSIIGSEMDWIEDKLS QRFTFKNPNIKEECGCGESFMV PFICI_08631 MRTQGLSLMSWAAALGPVSAAIQAQPASSSSSVLSAPQSYAFGN SSSPHTQTSQSSVVAVPTTSVGLPDVVPVLSAALSDVTEAVAALTTSLVLSTRTSAVT GCPSSAASCHPTAASTYLTTEVVVVATTVCPVTASEATATASSDSAYTTSTIFSTKTS DVTVCPSSASSCHPTAASTSKTTEVIVVATTVCPVTASEASASASKASATVSASSPAS TSVQVYESTSAKASSSSVKVTESTSAKASSSSVKASSSASVETSAVTSAATSYSTSLI VSTRTSDVTVCPSSASSCHPTAASTSKTTEVVVVATTICPVTASEASASASASASASG SASITGSASTTAVAYTTSSILSTRTSSVTVCPSSASSCNPSAALTTATTEVLVVSTTV CPVTEAEATATGNSMVTTRTTSIIGTTTKTVTAAKSTSTVTSAAFSSLSVSNSTAACV ISTVTQTVTPSAVTVTITAPATSSTAKSSSAVPSSSVVVKSSSSSSAVPTTSAYVIQE VPSSSSVPTPYALNSTSIEVAESTAPAVVTMTRAYSVVPVKATTASSSASTAAATATT GVKVPSSGNSTTQQTIVTAGSSGLVSSGLSNIVALVIAAIAAVAFL PFICI_08632 MAKKSVAIIGSGWAGFTLSQKLSLAKYDVTVISPVRTIQYTPLL ASAACGLFNFRLAEEPVRRKHRTDLKYFKAIAEAIDFEKRTISCKSTAVTGDSEDGKT FNVNYDKLIIAPGCDIQTFGTPGADKHALFLRTTNDARLIQQRILEILDKASLPGISD EEQRGLLSIRIVGGGAIGMEAAAEIYDLWSEDMRFLFPHLDGKLTITIHDVAPQILST FDSKLSEYATKSLQGRHIELKTSSHIQNVESDAIFTKEDGRLPYGVLLWATGNKACSL VEKLNVKKPEKGLPRIITDKYLRVLHPDGSPMEDVYALGDAADIEGESLPTLAEVALQ KGEYLSKTLNQDDTGSIKPFEYQQRALLAYLGQHDGVIGGKEDWSGPAAWIAWRSGSL GWTRSWRRRLMIMISWLFVWIGGRDIARP PFICI_08633 MADENKIDLRPVNVSEQPVAMDKTSSETSSLEKQTRTRRLFSSS QLFAFNIVYLGTWYYTAGNMYFALANGGPAAWFFSYIIVSFGALCQTASFAEMASIQP IAGAQYYWTYHFAPESLKLFLTWLQGWTTWFAYVALLASCLNGNTIIFEGLIQLAYED YVPGGWHTAVIFIGTLWFCALVNMYAFRLVPWFELLNGIMNGCLFVIFIVMLWVMSPR NNPDVFLITNISTGWDNYFVSANIGALSNIFLYISFESIIHMGEETKSPKVAVPRALF WSIATNAVLGLIMIITFGICMPSLDVLLNASSPLVTIMVHAVGTKATIGLISCLVLMG ISGNMGVVSSVSRLTWAWARDGGLPHYFGHVDAKQRVPLRAIILACSIGSALALLNIG SGTYIALGAIVSLSSLAAYLSYAIVLACVLYARFAGAAIKFGEWNMGRVGPLVNFVGL LYTVWVMIWLPFPNNLPVTSANMNYCGPVFEAVLVGTIGLWFVRARKHWQGPNRAIIN FVLRE PFICI_08634 MASGCIWQQHASLFRRLYLEENKTLKEVQSEVEDNHGFPSNSLS TYETKLRDLLGLRKNLNPEGWVAIDQHIRSVRSTNWEVYFNGRKMLKRKVMKEIARYT KHRSYPANLPMPPGVEIKWLDVSSQSAALSARGLPRRMVPQQLSRLTLDVPRNEDLDM IDFDSTALSRSPSDVLQETQLLRFNTPAIINPTVDIAVAANKVISAHFSAAILDPRLA DLWHPILEDGPFNSLSRTLHRFFPGKTAFPHSNSILPVSRNNPTQNVDTWTLSSSSLE ANQHEVSGRSHAVEDVENIQAQPSSGTISARLDPLRILALLIYYLSNNFAGLDQTRDT LLFLMEQVPHNLVGEFLQAESFAIETSWMTLAEWSFDLNKKHFFEKVMQVSLRCPEWV ELHGARCLIFAAYFGCTKIARKIISCGVSPNEMSRFVVSLDDNNSSPNFSSLAVNEGY LHMGLDRERTREWKREYTVKTFPLMEAAARGNLEVLETLKNAKADCQLRSFGLTAAGY ALIAHENGVMDDDVLSSVLSLLFDMGESLDAPMWQNDKAFRSGYGSKLEALWSEETLL DAIYLKRDSEVLFQEMQKRSRVPYGVMTVSGILRSAADGCNALRDYMATICYPNGHPR KRIEEVALVRSLTIPQAFESMMGNGFSLEFKALQNAVNIGGACYHLSLPGPTRSDILE ILFRNRAELSASVVQQILDRLMEDQTNDSMSTTLICEHIQDMLPILRPDQIRRFGTIL LEQFCKEGKITKVQLCLEAGVDPRAVDLLKIKSGGCDDTRIHQLLYEHGCRLILPLQP LEHKQLPRRYTTTELQWLITHGLNEVLNGMSIHDIITQFVSDRVSMYKVRKFAQWLSD RGYPLYTQPPSTALFQQCELRESSPSLALLIYLESNEDHISRLLDQGLDINPQRCRKR NEVDHPKLSRWYDEPPLEAAVRNCDISMVQLLLRRGADIHCQIDDSETVLDVAVDLYL DAYDSQLARWKELVTILLENGAKPGHNPSDALSRAIESPEPDLQLIRMLLENGADPKA NYARSLRGAATSDSPNLQLIQMLLENGADPKENSSTLLPYAATSDRPNLQLIQMLLEN GAKVNDDYYEKPLLRTVLLSTEINLELKMAIFALLLAHGAKYNSSEELVYACISGDIE LVRFHLNKGANPNVWFNKDHVTWDDDMLQNPLGPSAQKGNVPIALVLLAAGATLSGRD RSLSLAAENGRLDMVALLLPHEKRLKEVKKALGCALKGRYYSIIRLLRQRLAAANQED PFICI_08635 MASPHDGADDAVHELLARANGLLSELSTFAVHYNKVADSYSGDG AHHTASTVNYLRQQLKSEVQALNGILEKHSGPDSVMSTHRVSSTNLPFFESLWAYAKQ SQDIVALRKWVCNGQFEGKDVLAPGTHIVHMPGDSIPSKHTTTLVDLITDGGRTWVKI AATTSKRLLWDMTKLGWAIGADDSDDGEDADITDDELEDIPLFKAAKSLAISAKAYRI RGASPAVRLILPRIASGESKDVDLVLNRIRALGIEVLCSNELENLTPVPLTEEILNQM VPSPLASFSDSLNIDTSILIGLISDFSHSSVEKQSWFTAMQLGHLANEQKRQIATTWI YPAMGSRRLICTPEAASTCREIVTTIGTPSEVARLNLLLCEDQTISREQLVQDFQKLS DHEVPADLRLPVKIVDNTPNTSDLPSEVWEALRDVTEPTKSVFAFGWASHYTTLTSNG AGITSLTKNLEDMSYQSKWPSVWLCPFSRSLVGVPKHLRDGEE PFICI_08636 MDQTDTFDPELCAKLHNEILEIGWEAAYHELGFEQAAPSTWWDR HGEECETSGVAARLTPQVIQFLKLAREIEPQLCNFETGYANFFYYISGLAPPSELLVD EAMFGSGIIRLYYVTGLESHPFGVILNQNTFRVQAVYSLTMHDEQTPWISLQSLLGGY LDMIRLGKITAGEEESDSDEKPQRPWVLHSHSEQVLDRTVEAFASLLKAIQDRMPSVE SISDDIPAKAQAIDQAISLLFPTTRATFVFKLLRKIRDLVASASPHLRFIAPGIRILN SDDLMKQPYQKIDFHKSIYNNPILILPAEESDGTKAVTPRFDHYRNPFPRPYSNARSL YDCGLWISESRFDSAEFDDQCRLVLPQSATGSLDTDAVHYARSTDGVLLDSRDGPGFN TGLYQTKVNPFISRNHDVELFRVLENWVELVESGVWQVDENGVAGGIEKWREADRDEE KSLKYRIPLTW PFICI_08637 MHSTLVGSVALAGLAQLAAAAVKTTAYTDSNTGIDFQTYFDTTT GYSFGMAIPEDATTDFIGQMVVPLTDGAGWGALAMGPGMTGRLLLVAWPNGEEVVSSF RYTSAYSTPDVYTNSTLSALPIANGTFINSTHLSYTFVCEGCVIGGSTTFDFSADTGA LGYAVSSSNPTTPSDSSTALVYHDLGANIYVANFTAAQSAQYATWASYGSAVSTTPST GGSNSTNSTTPTTGSGSNSTISTPTTFNTTYDVIVVGGGTSGIIAAERLAESGVNVLL IERGPANTVSLGSSQGLSWNDTLTPYDVPALGSSLSTLSGTKFCSDTASTAGCLLGGS SSVNGLNFIHPPAHDFERWPTGWNWDSISEAADRLYSRNPGTTQPSADGKHYDDLAYT TWSSYLSQQGWSEVDSIESPDEKHAVFSRPAWSISNHLRAGPARTYMPFAEQLDTFTL RLETNVIQVLRTGSTVTGVLTQATDGSTQIINLNTNGKVVLASGALSTPRVLWNSGIG RSDALSIVQSGTSGVSLPDSADWIDLPVGHNLMDHAQVPLQFKAAPTFEAFDFSGLAS SPNSTDLDLYEQGAGTITQAAQRMHIWTSANGTDGVTRYLQGTISAMADDTVTVKVFL THGATSRGELGISSSGSTVLNTKPWLTTNEDMAVYSDFIQSLLDMTSSNSSIASGNST HTLSYSTSGATPSSIISASLVSGDHWVGSARMGTDDGRQNGTAVVDLDTRVYGTDNLF VVDASIHPDLPTGNTQAIIMIVAEQAAAKISAYNVANWNGSTGSNSTDTTGGSTGSGS GTSKCRKRKRSVRHQVNWHS PFICI_08638 MNDNETVAAVLETTATRKMNGHLPNAVDLSHHLNLLSRSRHPSP LKDIIKFMGYDGMISLAGGLPHPSLFPVYDASFTVSAPSPTADSLEIKLSNDSGETPP LSKFLQYGTCTGNAELRQWCLDFTRQIHRPAYKDFDVLLHPGNTNAWSKVVGLLCEKG DYILCESYTYPSSQALWIPNDNFAAPVAMDGEGITDTALEEILSTWDATHPGVKRPHV LYLVSVGSNPTGVSMGSERRRKIYEICVKYDVIIVEDDPYYFLQYPEIDTSGTPTEYT STSNKEFLSSLVPSFLRFDRQGRVIRLESFSKTLAPGLRLGYFVANPLFTERLLRATE VETQDPSGLSQALVLALLTRWSRDGYITWLQNLRLEYQRRRDWMVAALRKSFDLAPAS EYPELSGAEGGLVAAILGPNGSRVPVFSFIAPTGGMFIWTKFYFGHNAKYLALKNAAV EVDPEQIFANKLWAELAEERVLLTPGYYYHPWQGADKTSTTARGADPDSSHFRLAFSM TTKDDMELGIERMANVIRRSWSP PFICI_08639 MSSHDIEKSAMKDAPGVHAAAPSIQEGGDGKASDVVADIVHAAE GEYTAAQYAKVLRRADWILLPLMWIVSGTQYADKVSVSTQATFGLRTDTGLVGQQYSW LSSVFYIAYLLAEAPGNYLMQKVNIRYMVSISMLIWGVLVLCIAFCRNFAELMVVRTL QGIAECTTYPALLVLTASWYTTEEHSSRVMVWGTANAGMDVITSLINYGIGMRAKQDP TGLAPWKGISLFLGSLTIVLSFIVYLVFGTPREVRWLSAEEKRIAYARVVASQTGSDA QERTQWRWDQVRASFRDPQLYFVFFFVVINSIPNGGVTAFGNLVYVSFGFSSLDTIVK GKIPQQLLSIAVFAAAGILTRKKANLRMYVAALSVVPSFAGMLGLALLPKTGHLWTRW GVYFITSIGNVAAPMTWTLIPSNIAGRTKKSVISTVLLIAYCTGNTIGAQVFQEKDAP RYIPAIVVCSVMYGLQFVILSIWRLYYIRQNRKRDAMVRDLGMSAEEAEHQGRLNAES DMTDVENVHFRYNM PFICI_08640 MGVTISYHVKECQLDLGKKGAIKGLQYDNKSRRYAGIPYALPPT GEHRWRKPRPLPASYRFSSGGGSDGEERPFDATEFRPVCPQGSFSANPEQGGDTAFSE DCLVMNIWTPVPKDGEKANQKLPVLLWLHGGWFQLGDPSQDATMDPTEMISTGGLNAI VVAIGYRLNIFGFLASEELHAESEGSSAGNFGLWDQRLAIRWVKENIDLFGGDPNNIT LGGRSAGSYGVEAQVLHDFRGAESRVGAAEQLFHRFYMISNAIPAQPKTLAESQPQFD EICAYFKIPETASGAEKLAKLRALSWRDLVSAISHLKNHTFRPVTDDLFIHSGMVEYL RDGAFAADFTRRGMRILIGEVLNEETLYATYNAPEAPTLDALRLQIGNYYAPATTDRI LEHYDTLPPENQDNLAAWRAVFGRIIADGQVLAPSRGLVHHLFAHGVPLGDIWRYQVA KRLSFITEKVAPASFGVSHAMDKPWWNFSIQHGPTPTELRLMEEWLDILIAFAHDDRK YDFGTRSIDEFKAVTSDDVIEIQQDKKWGHLVKLSEVFASD PFICI_08641 MTVNRLSRLLIELCWIHCVSAVLYSEYILAPKSKTLLPKSVYSL NGPVAKAHRLLSGDAATFTGVNTSVTFDFGKEIGGNINFNVDSFDGSSHSLAFTFTES SQWISPEFCDSVLGSTGRDPPMAFNITSKGYHASEKNVFRGGFRYLTVVSNSTGTIAI SNLTVNFNAEPATPNPSAYTGYFHCDSEKLNRVWYAGAYTNQMCIIDPTQGDSLKKGF LADKVISNGTSVLVDGPKRSRMVWPGDIVVSAPSLYVSTGNYDAVRNALDSLLILQQS NGQLPYAGTPYQQGHSSETFLWSFTYHLYTLIVVYDYYIFTGDLDYIKEVWDQFKLAL DYSISTIDSTGMALVTSGLGIYLANEVHDDTVVAEWAASRTSIQTAIIPFLWNSAAGL FRDKDTSSVTPQDGNSWAVISGLVNSTIASVISNSLAARWIRPYGAPAPEGGTTIAPF ASGFELRAHYLAGNASRAVDLMSFMWADFMLDDPRMTNSTFLEGYSTDGSIRWAPYTT ADSKISYAHGWSTTPTSALTNLAAGIRLTGPAGRSWIMQPRLGGLRNITAGFSTKLGA FTASYTQGGQMGEFETPAGTEGSFIFSLGPSYSKLQLKGPEGTLTSLNSSNDAMIASL PGGKYYVRFT PFICI_08642 MAPANKTQREGLSVDGQILEAWGEGWNVGAIIILLLIVFSNYKR RNTLHKLILLELVLAMAHGTFIFAPDPVYGWYLSSTAVLLFVSYQLHNVISWLKIRPF LPIWGSRVFILTLLAVQPFWVAEAWSNFEFFNNLGSDVNVKMRPFEFLVRDPWWIFTT WKLIQTIGNTYGFTLRELIKINARFATMLGCMFVSIAFILADVIVTFRNLMVSGINPY WRLALVFKCAADTLFLDDFKTVLDQISQQSLSRVAHGERSGSDGRTHNHSTAIHPLDS VTATVEVPDRPPPTKWRWTRRASSSASNRRPPDVITVEREMTMFTEPKASQSFSSDAP LVNKPPKSHALDKNTEEMGLTAQSPRMLGVPKVTEPEVGKEDKKGFGQ PFICI_08643 MSSTSKARKRACDSCYRRKIQCDAESPKCNWCSHHSLDCTFNRP TRVKKTAKSKKEPRPEGLSQRIERLEQFLANKITQQQDDRPEPAAESQQSASCGSSSN SQVDSPGRLGDQAFSCPDSAIGSFGKLHFAGYHLGEISLGNGVPLFSSGGRRWIESQT GQSLGFAQLDLPLWHNHDRDHDAFASPSDTELPDLKVTEEYYNFFCNGHIRYVFPIVD TELFKQTIAAAYEPPGRGPRYQHARAKACVLSFLSIISFMEPSANVTPVDCNACALKA QYLLPQVMVDTSLDGLQIAFMQGMFNMFSGLFEKAVMFHALACRIVLILGGHTQAIDP SSPRPDSETENSWRIKCHIRKYFWMCYSFDKDAAIRSGHPPAISDEHCNLTLPSQYSD PNQELRIDSVGNPFLPGDLRLALIKSKAGRLLYSAQALLKSDAELLRDIRELDDELEE WRMAISPSYRPSLSYRESDGGINHNLSVSEKMHHIITNFEYHYLVATIHQATSRCRSW DNRDSGESEGVSSSLALSVEASRSTLLYLRTAVEALAGESFWMVIFYPMTAILTIFCN ILLNPLCSRANEDLELLRTAPHFIKNIRIPRLTENEISHMKMIEDFVAELIRLGSHAI LKAQGAQQSFPHHILSHESP PFICI_08644 MADKESYHGSETTQYDGKDNSWGMKPKIVELRERDQRSGFPARE LGVTWKNLTVQAISADAAIHENVASQFNLPKLIRESKHKPPLKTILDNSHGCVKPGEM LLVLGRPGSGCTTLLSLLSNRRDGYASVSGDVHYGSMNHKEANKYRGQIVMNTEEELF FPTLTVGQTMDFATKLKVPAKLPEGVTSTEELRCETRDLLLKSMAIEHTVDTKVGNEF VRGVSGGERKRVSIIETLATKGSVFCWDNSTRGLDASNALEYVKAIRAMTDVLGLASI VTLYQAGNGIYNLFDKVLVLDCGKEVYYGPMREARPFMEELGFICQDGANVADFLTGV TVPTERQIRQGHENTFPRDADTLRAKYEESPIHAQMITEYDLHTSEETKEKTRLFQEG VANEKSHKLPAGSPNTVAFVDQVKACVTRQYQILWGDKATFLGTQISVLAQALISGSL FYNAPNNSGGLFIKSGAIFFSLLFNSLLAMSEVTDSFAGRPVLVKHKHFAYFHPAAVC IAQIAADIPVLLFQISIFSLVLYFMVGLTMSAGAFFTYWVLLLATTMCMTAMFRAIGA SFPTFDAASKVSGLIISIVAMYNGYMITKPQMHPWFEWLFWLNPMAYGFDALMSNEFH GKTIPCVGTNIVPLGEGYDDPAHMSCTGVGGAVQGETTVNGDAYLSSLSYSHSHLWRN FGILWAWWVLFVAITIFMTSRWRSASEAGPSLLVPRERAKVSRALNNDPESQVSEKQT MTRSADRSGETTENEESSAGDLVRNTSIFTWKNLSYTVKTPSGDRTLLDNVQGWVKPG MLGALMGSSGAGKTTLLDVLAQRKTEGTIHGSIMVDGRPLPVSFQRSAGYCEQLDVHE PFATVREALEFSALLRQSRDVPREEKLKYVDTIIDLLELHDLADTLIGHVGAGLTVEQ RKRVTIGVELVSKPSILIFLDEPTSGLDGQSAFNTVRFLRKLADVGQAVLVTIHQPSA QLFAQFDTLLLLAKGGKTVYFGDIGDNAATIKDYFGRYGAPCPEEANPAEHMIDVVSG HLSQGKNWNQVWLDSPEHTSVTKELDRMISDAAAKPPGTLDDGHEFAMPMWSQIRIVS NRMNTALFRNTDYINNKLTLHVTSALFNGFSFWMIGDSVGDLQMRLFTVFNFVFVAPG VINQLQPLFIERRDIFETREKKSKMYSWVAFVTGLVVSEFPYLCICAVLYFVCWYYTV GFPTDSDRAGATFFVMLMYEFVYTGIGQFVAAYAPNAVFASLVNPIIIGVLVSFCGVL VPYSQLTVFWKYWMYYLNPFNYLMGSMLVFDVWGTPVNCAESEFAIFDPPNGTTCGQY LANYMMGAGSASNLINPDDTSACKVCEYSNGSDWLKTLNLESYSYGWRDAGIVVLFAF SSYALVYALMKLRTKASKKAE PFICI_08645 MSEPTTQSPSAGDPPPYILVEVPQGTAPERYVVSDEVNKPSLGL PALPTRPLSAPPAPVPEEKPAAGQYAASISSVPGTGGNSTPTSPVPAQSPDGTNGEVI VGEEEAEKSSHFAKRFMGNMLVARLGRAGVQSVSSTVKLPLYLSPWGDNNPFVLPNLR KRDLALAGVMHFGADALIGSSLTAVETVVAHGATWTAEQSVDQGYDKLRGGNRPHSVK RTAGLTSVEIRIKHKLIGEEAELRFFESKGTRNALSCAKGWFCPYLYCSSRVSQLSRL KDFTVAEVMGPGLKADAALAPTLLSCITNEDAPLCRLDAGEDAGTTSTNYKRFAIFFM GMSPYRTASTWSQAKVPGEARIRFHLLTHIPAIVVPIKSAAPVCAWSPWTLDQMVGSK DGYTADSHREEILRYLDTVIDATYMREQSQQTWRQELGAALDQILAGTRNISSTLGSI ADAFENEYGGIVMFRF PFICI_08646 MVECPICSKQVQSVKINDHIDSQCQDFVIDPAAAAPALSSPAGP APSTQNTFFSSQKRPASSFFQTPASKKQATPAAHGIAPRILLPVVNGTDAAKLNGGQK RRFDEGPGQGEDSPMPDINGQSDTVVAEESGRVIKRTKTSKAAPLAERMRPHNFSDVF GQELVGPHGVLRSLIETDRVPSMILWGGSGTGKTTIARCIAHMVGSRFIELNATSSGV QEVKKIFTEAENDLKLTGRKTIIFCDEIHRFNKAQQDVFLKPVEAGTITLIGATTENP SFKVVNALLSRCRTFTLQKLTTEDVGNILQRALRTEQSSSSTPPSPLLDDELLAYLAN FADGDARTALNLLELAISLSTRENITKSDIKASLTKTLVYDRAGDQHYDTISAFHKSV RGSDADAALYYLARMLQSGEDPLFIARRMVVIASEDVGLADNSLLTLATSTYTATQQI GMPEARIPLAHCAVALCLAPKSTRAYRGLNNAYAALREPGVAGLPVPIHLRNAPTRLM KDLGYGAEYKYNPNYKDGKVKQEYLPNDLLGRRFLEDRDLGTVVDPDLVEEG PFICI_08647 MATKRKTPAKIAQPVVKQNAKQTLKANINESDTAVSGVETYRDG SSGAAGDVIEISSDADSSEYELSDAEETAGDAPTKKTKNALPTSARNGDADNDVPMAG ASGEDAESDQELAAPTFGDLVRANETIDVSAALSAAQPSALTARGKSIAPPSSASLGT VLSQALRTDDADLLESCLHASDLVTVRNTIQRLDSSLAGILLTKLASRMHRRPGRAGS LMTWVQWTLVAHGGALATQPGLAKQLSELNRVLDERSRGLGSLLALKGKLDMLESQMQ LRRSMQQGTGSDDEEDDGEEGVVYVEGEDSDMDVDIDDMDVDDELAGTNGLLDGEASE DDDEYSEDDDEADEAIGAEEPVDENEVNHSDVDSLEDEDSDAEAAPPTKKGRR PFICI_08648 MATEQPQPVSVDQKINNDVAPEKDKMAVDEPVAEVADDTAKLEE TPTTNGQPSDIATAANQEAFAANNGLSTKSPAESPQAPATEDSEMKDATQPKESLSTD SEMKDVNGSKESASTEKPAAEDVNGSKDSATTEKPVAEDVNGSQEEASPEKPAAKEAQ EESSVQAPASPPKSATPKPSTSDDAMDLDESVEQHEEKASNEEADKTQATSTASDKGE PTSPQEISQTADVAKLDIKSPRQDTQDVPMADQPTPTGKVSRERSVDEDGEDEPLAKR TKLDSSSAKPSPSAADKASAEAGPEANTGKDDIQDDQPIKEHHSKEMRKELARVKKTK NGMNFRQPVEKLWPGIWESYKAMIDDPVDLALFEHKFRDNKYSTFGEFKADLRLLNAN ALKFNGANNPVSIAASRVSTDLLAKWSEISKMPEPAKAEKGKPQPTRHVESRAATQPR RQSQSQAAGTATSPKPKKIDTTGAPSASATTPVSTAAPAFALPPNGVPQIRRDSTRDD ADRPKRPIHPPKNRDPDYGAQNARKKKLEPEMRFYAGVLEDLKKGKYWAQNQWFLAPV DPVALNIPNYFQVVKKPMDLKTMTEKLEDGKYRSGKDVEKDMRQIVANSELFNGPSHV TQCAQDLENLFKEKVAEKANWMAKNYPPSAPSVSASAPSPEASDAESEDESEAEADEN SESVRNVQSRLSEEQVKLNDMLAAKKPDMTMIEVQQNVVSLLQRKLVEEKSKVGGTKK AKPPKKAASKSKSKSGASQTTAGSSNKKAAGGGSSSSKNRPAPKKPQSKKRTIGTLEK QVITEGISELDGPTLDKAVEIIKRDTNQKEDDDGQLELDIEVLSQDALGRLFELINKA YPNIYAQLSKKPEFSKDEKRARSPAQPSNPSKPKKNKPMNKDEQERKIEQLRELKAQF QRQGSGSQEPAPEDEEKYKAGNSSEESDSEEE PFICI_08649 MKSDKEGKGSPYEQWRRTGIVNLAIVSVCAAALLASLLAAVEGG GGSSVDGAVILLESDCTTTSRVNLALHLAINLLSTGILASSNFYMQVLSAPSRKEVDR AHAQFKSVDIGIPSVKNIRFISHFKRVCWVILLLSSFPLHLLFNSAVFETGYKGRYWN MTIASASFIEGAPFYAPGASLAPAGAPSPAYQYVKYSDEDYNHYTGLTGWGYGTPVSL EEYWNSTSSPRLELQATATDASSWTRLEPQDCMAEYLSCNARNEYSDVVLIVNSTTHS NGWARSAVFDFAAQSNLSTIWDHQVPPNGKNSLWYSTRCSVHRDTHIDTTTTCTHDCL TLLGIDRFTSSNAWNLTATTPSLSTWTIEFRPDELNVPATEGSIYGFNATLNTLQVDY CLARPLSKKCKVGVANPLLVIVLSCVVLKIAVCTIVVQNLQHVSLVTPGDAMESFISK PDPKTEGLGTLDIVDSERLEFGVRKPWKPAGGDSSEIELTPMIRARRWQTKPRSLIRI IPRAAWTRTYCLLFVAIVFVMFCMILAITNTSKSILDLPFGHSDENLTMSLAGSYVSC LLIANAPQMLLSTCYFSYNTFFTRLAVETEWNSFSLRYQPLRVSYPLGDQTSKYRLQL PYKYSVPLLAMSILLHWLVSNTIYIFITEGGYWDTYDNVDGASANLGVSADAMVALGY SPPAIVVVFIVSVVMSIFPMAWSLRKVKGDMVSGGTNSLVISAACHAAVPSVASSACH KRRTSRDRNSPKDGDCEGSKNDFLADDEEEYTKGGGRGGRGGGEEEEEEWQENREAAA LLQLSRSKIKWGSVPLPSELASYMVTEGDEPVRHLTFGGLDQDVQQPLNGELYA PFICI_08650 MARTKHGRLVKPSGWSRLNDDNLTPMASVERLDDIPLSTLKRTE AGSIATESRTGMHGSWSLFQGEIGRSVCSTPLVSATDGGKPSFTKRGCGWGRGRLWLR LLRLAMSSVRIGIIIYTIFLPAISIVFDMTYSAALLVWDIIYLIDARWTGAFQLSFEI KTFVEVAASVVVSTALIMESLAVSKVSEADYLSRGSSWLVKGKTFIAVGMAANFVQLF TTVFFAYRLARQIKQHYMIHYKGHPIILYTPAGDPVIRVTDEPLVLRELLARRSVDSD T PFICI_08651 MLTKHFQNYRPDGLIEGLGLKTLFAFFSAKAMRGGPRLLPQIAI CVVILIALTKLLPTRFSDIAFGSGYTRILKWRPTSSYDDGSIGGGIRVVVFGGGDITT PNKRQEETGFEDKSWTDVLCEQLDHCNAHLSYTPQTDAHGGSIISNDVYGQTLDVITS WPNVTQGSGYDYTWMPEQYPVPTHLPDLEQQINTFLGTPPPRNPPRETLWVFNYGYWE VWKLATMPREIAKELLERQAEQLFLQIERLYSMAKEDTSIAYSDYYTMANITAPESAT DQPVLLDVPAEPFRIFIPSLFDISLTPGFETARPAPPHPHNKAKEMGNAAYLTQEWEN MVADWIDSWVSIPDPVINETTQETALVKRNDASGRTVYVPNARREAITQDTPKYIKEL IVDRQLHDSELVDHNGLGSKPIEDGFLEVWEPCMPVNMKANTTSITKEKTLAGGQTPS GICSLPNEHLFWTEFTLGQRAITEIGKTAANRFRMHVAKGVNWLKKAQETELTPREFH G PFICI_08652 MAGIARSAHLFCSTCKTALVRREAALSVTTQRKRSNGDRVRHSS SASSTSGTSDATSNKQPEAANSGSPPPNNASTAAKKPEPGPMARRLEEATEEVLLTGG RAGRRAVEDAGFSEELKERLFAKVQDAKFRSDHAAAFAEADMPSSAGRGTAHLVSSEP WTGQESTGDAVLRMLDEARKPLAPGLRGKPRLPDLKPVDMRLAPRDTRTSGQRAAGAR ELAAAYTGMGLGREGEQQQQQNGLNEQEREAMRKEFRERFRPAARAMPNTITGLASLA NERIEDAIARGQFKNIPRGKGIERDTRSDNPFIDTTEYIMNKMIKRQDMVPPWIEKQQ ELVKTAHTFRTRLRNDWKRHAARTIASKGGSVQAQMAKAQRYAEAEQVQNPRRRDVEQ IAVPTNTTDDPVMAKLREQLATEMAAELADKDGTAEGAAAVAAITAAVTADSVISSKE GDIAADAPTATPQPVTTIDETPLPPPFRDPEWLRTEQTYMNLSIENLNTLTRSYNLMA PALAKKPYFSLERELNACYADVAPQLAAAIKERATRPFKPAAESVGHRPGGILDRFNG ETHRSKIYDSKTPNYGFREMWRDLFRKEA PFICI_08653 MYPTAARLRSVAAPAVSALLRERIKRPSMLNKLCKPEDLLEHFP NGAYIGWSGFTGVGYPKKVPTFLADHVEKNNLQGQLRYSLFVGASSGGETENRWAGLD MINRRSPHQVGKSIAKGINDGKINFFDKHLSMFPVDLVYGWYTKDRPNKNLDVVVVEA TDIKEDGSIVLGASVGATPELIQMADKIIIEVNTSLPSFDGLHDITLTDLPPHRKPYL ITRVEDRIGSTSMPIDPEKVVGIVESDYQDKTLPNSPADATSAAIATHLIEFFEHEVK HGRMPKSLLPIQSGIGNIANAVIGGLAESNFWNLKVWTEVIQDTFLDLFDSGRLEFAT ATSIRFSPEGFKRFYDNWEAYHNKLLLRSQQVSNSPEIIRRLGVIGMNTPVEVDIYAH ANSTCVMGSRMLNGLGGSADFLRSAKYSIMHTPSTRPSKTDPTGVSCIVPMCTHVDQT EHDLDVVVTETGLADVRGLSPKERARVIIDKCAHADYKPILQGYLDKAEFECLRKGWG HEPHLLFNSFDLHKALQEEGSMKKVKSW PFICI_08654 MAEETKADLGTTTVSGSETHASVEPIQRPAGWMYKGFKVGKREL WYASPKVQLFMVAMVCFLCPGMYNALTGLGGAGLKDTTAQTNASVALYSVFAVIGFFS GTFANRLGLRVTLALGGLGYSVYAGSILCYKHTSNMGYVIFAGSFLGFCASLLWCGQG AIMMAYPPEHAKGRYISWFWIIFNLGAVIGALIPLGQNINNTGGDATDGTFYGFIVLM LVGAVLALFLCDADKVQREDGSHVVVMKSPSWSSEFIGLWETITSDPWIVLLFPMFFA SNIFYTYQQNGINGAHFNARGASLNNLLYWLAQIFGAVIFGYALDYPKLSRSVRAKAS LVVLFALTFIIWGGGWAWQKQQVSREVSSTQEYQDNHLLDWDNGGGKGFVAPMFLYMF YGFYDAAWQTCIYWYMGALSNSGRRLSNLAGFYKGIQSAGAAVFWRLDNLKVEYNTLF GATWGVCAGALLIAGPIVFIRITDTIPLEEDLKFSDETVEDVAPTAVLEEAGHETKPT TV PFICI_08655 MLDDQSMRDSLQVAPLAIHKGRSDNAKEQAEAWGNGSSSSNSQS PSHITPPSTPNGSQEDISTLPSTSAPPPPVFHNFLRAFYPFHPSYAASDSTVTLPLDE GDVVLVHSIHTNGWADGTLLASGARGWLPTNYCEAYDPEEMRNLLQALLNFWDLLRST MTNERDIFSNQEFMKGIIAGVRYLLECTHCLTRDAPTIQRNDNLRRGRKSLLSELSSL VKTAKRLQEALRSSQLLTEEINDIIDVMILKAFKIIVKGVRFLDLLEEDRQLRAPPTV TVMATVMEESIIPPTPPADSTNFDQGPEADQHAASRGVPEVTTTDVVETQSTDGTQAN APANKRLSSVYSPTNTTGQRLSQVNYARGNRLSASISHRVSLVGPSSMSQPQNLVSTR LSSSHDTLLSYLGSFIGRLQLQSQSRAELALAIKQSAVLGGDLLAVVDTVCRHNPFGS ESLDQARALMYDRIRELVYSARDILTSTETEAEDVIMLQDNGHLLSAAMGCVQAAGGC VEKTNWVIERIGDFEFETEDTGLGIDLDVFDKVAESRPGTPERRARESGSTNGRSGSV RPLHMSMEKPLPRVPGTSDDAETTESVNDSRPSSRSQSVATDDAMSSVASSVASSRAR LPPLPRLTTTLPPEESYSPTTEASHDSEYHGSFRSELSTASSSGTNSTYLSRDSETSL ASQVSTRVDTPDLKSRPSLPDLDATGACDTVEESDDVESRLLEKTYAHELMFNKEGQV TGGSLPALVERLTTHESTPDAIFVSAFYLTFRLFCSPRKLAEALIDRFDYVGEAPHMA SPVRLRVYNVIKGWLESHWREETDNEALPVIRHFADFKLSSLLPSAGKRLLALVDRVS STDGPLVPRLVSSMGKTSTSISQYVPSDTPLPNPSLTKSQQHILQNWKLGGSLPSVLD FEPLEVARQLTLKQMNIFCSILPEELLGSQWMKKGGVDSPNVKAMSGLSTDISNLVAD TILQYPDVKKRAAVIKQWIKIAHQCLQLNNYDGLMAIICSLNSSIINRLRKTWEYVSP KRREMLKTLQAIVEPSNNNKVLRARLQGHVPPCLPFLGMFLTDLTFVDIGNPATKQLP GSNAEENGLTVVNFDKHTRTAKIIGELQRFQIPYRFTEVADLQEWFQAQIVRVKEADQ ENNVQVNYYRKSLLLEPRETIPRTPVEGPLATPTPGQKTGFSWISRDRGHHNNTSTVA V PFICI_08656 MKSFISVTASAGLLGRALGATSGEFNVLAMNVAGLPAILQNNEV PGDKATNAGTIGSKFAEYGYDVIHAQEDFNYHAYIYETDTHEYRTATSGGVPFGSGLN TLANYDWIDFTRVKWDTCSDASSNDCLTPKGFTFMRVQIDDGVFVDCYNLHTDAGTED GDETARNSNLAQVANYIDSWSIGNAVLVFGDTNSRYTRTADNITIYSNQNGMTDVWLE LIRDNVIPTEESLCDNPSTTNYCETVDKIFYRGSPLFSLQATYFNYESSKFLQSNGSI LSDHNPITANFTWTSSSTLRQSNFWGGPHGTWFSDVPTLAAKSGPKASVLTFRGADRL DSVGVTLADGTTLTHGGTGGTAATLTLGGSEYWTSAELCQGQKSNETRNFYIKATTSA GNTLAAGTSTSDCATFSAPDGWQIVGFVGQDGDEIDQLAFVYAPQ PFICI_08657 MSRSLRALLGLSLAGLSLAAQPNILVIFTDDQDLHLDSTMYQPI LQREFTEKGTSFTNHFCTVAQCCPSRASLLRGQAAHNTNITYVLSPGGNYDKWLTAAE DDDYLPHWMVDAGYNAEYLGKFMNGYNIHNYATAPKGWTHIDALVDPYTYQYNNVVMS SDGGTPVAYHGYHQTDIIRTKALDRLDYLTSQEKPFYLTIAPTSPHFSGHLGIPIPLA RHFDAYPDAKVPRNPNFNPDDEYTVQKPSYLKDLDQFGAINITGLDTWYKARIQALQG IDEIINDVVAKLEDKGVLDNTYLIYTSDNGYHLGQHRMPGGKALPYREDTNLPFFVRG PGVPANVTSSSPSVHLDLAPTFLDLAGVDTKEWPAILDGRSLLSTWLNPLVERAACRA AEDDDVREIINVEFWGPQQPENFANNSYANNSYKTLRIVNSGGSGGSADLSSYLYSRW CTNETELYDTAADPWELTNLALSPDAETSRLMARLNALLLATKSCADVTCRNPWRLLQ PSYRAQVAPLQDLEIRSLEEAMDVQYDDFFAGIPQVAFQECLDIQFRPNEGPYWPENA TLGEDHRLPTDNFVSSSSEAVVEVEGNAVFQGTWAQRNVTLAEIMTSARNLTAEELQ PFICI_08658 MLSHLRFHRRGTSNPTSPVPENGPTWDSAALQPKQPSPDEVPGP VSGKESRYSLGTSHASHGNGATTFPSQPNVVSPPLPSSREVPPTLPPIARIASPQPNA PMLSPEQEHKLREDSKASQRPPYNEKSGFLGGLALQNYQREQQDAARPGPGRNSVSYG RSNASAVTSPTDRNASVSFLSPTEQSSSGTSGKRQHAAKLQSDAMGAPAQNTVPEPVR DRRSIPFLKNPISNLLLRRKTSQSVLPELSLPLRRTREEPSYQPIRGTRVHDFSAPRP RRIVSSNDVASLVGEKPVAEARPPPIRETESPPQPGPPVPPKDNQAPSDRTSSSVHSR TMSVDANDFSSQSSRRGKDSARRQSSIPSSISTLSRNTSGVSSKGIKSSIPKHMKSTS SRFSFDMIGAASAEKLLEEKHRQRQQEKQAESPTSKRDSRFDDFDEDNFDYDAMDYDD GLEEAIPGVNCDYYEEEVPEVGLDPDNDQENFAGFVFQRSGPASSLASPLTTGLVPTP RDMDGNVIGYAMTKESPGISGLSSTASIGGETLRSPESPMLESPTGLGIQGLDAKIET PEEAPLKQEPSSQDPMIPRHLDKDDELYFGGHDFEGEGDGNAIDESLFDLDDTDQWGR PIPGMFASALAQKMNRQEAAKSESDDITPKISAQPDVGEPLSQDSLSAALREKLGALE TYEEEDEEDEEDEEEEKEKEEKETKHDCDDKIEQENNELEGEKAEEILKEPLPPISDL PELNQDTMLATQAALAEAVQKAADSGKFRRASTTPPLPAELTVTSPTTSGSAGSNQNI DDAFGDYDYDDDYGNGLDDYELDDDAIIAEANASALANDDDGWYGQEFGFYSTPLSQT QRGSNIFNEAETSYGGYFGPSGVMRSKSGRVVSREPNLTPITERSEYSNRNSIMSMPI PSSGGRDGPLQSPGLAQLAMMADDDNMTLSNLLRLRTKAWGGSQASLASSREGSPSDR NGATSPFGQERDRPHNGPGSGHARKNSAFSIWSMDSGAGSGQGSPTLTMAMVGAPNNP ISPPSVPPNMGLNTTTSPLPAPLYSPPPLPPAGHTGFPPVIEDEETESELAPSPVIYS QPSSAMEAMSPSVGKPSQSRPGMGHRHKGSSDSISYHKEEDSGETRWVMERRRTAESG EIEILGRQVVEGGRI PFICI_08659 MSDERIELDKPSEQDLKPACSSLSLCSICRQIFANPYLPRGLSG EEFKHHTSHKDVVEAAEQRCYICRLLRDRLADPDLRVPLPTQSESATPLRFLYKLKKL AVNVTITFSCLCVGGSSTKLLDLMVQQTGKQLATDCSGHTTWSASIKERARQWVRDES AERTVLDPNRFPPKRLLHVRSLSEDEQYQVSLCEDNALPRGTQYLTLSHRWGQNKFLR LMESNIEKFKQCVPYQLLPKNFRDAIKITCDLGFNYLWIDSLCIIQDSKEDWFSQGLN MGQIYQNATCNISADVAHDSEAGLIRSRDIETFLPLQVDDVAWRDPAIKGDGLSRYVI AHEPWRVVSSAPLANRAWVFQERLLSPKIMHFTTRQVFFEAKTEEGFSEQWPNAVPNS WLGSPSVDTIVSLWRCMNGAMHTSEERWSTWTHLVETYSQMRLTYDTDRLAALSGIVK EVQRNTGDEYVAGLWKKDLLLQLLWHSKYLYSSNRTQQYTAPTWSWASTGTAVWHGLT PDRFYYGQKNRVQVLCKLVEAQTSPMMDQDNTGAIKAGFLRLQGSLREARLSQSDPKG KAKPYWTVGHSRKKIAFLPDVITPADLNKPQDNDHYKRCLKRVFRWSLDENKHIILQP TITVHPPTQSVFLLPILSTIGAGIFATMGLTLIPTDRVRGQFHRVGLFLTVHHSGVAS ILHHPCDIEESYYEKKNRGRYTISII PFICI_08660 MEHPVENQHQHAVANDAIALEQLGHKQELKRNFSLVSMLGLAFA ILNTWTALAASISLALPSGGPSAVVWGLIVAGICNLCLAASLAEFLSAYPTAGGQYHW AAIISWKRWSRGISYVTGWINVSGWVALTATGGLLGSTFVLNVIALFDENYESKAWHQ FLIYLAFNAAALAINAFANRLLPLVTQAAFYWSVAGFIIISITLFACATPDFQPGSFV YGSFINEVGWPDGLAWMLGLLQGAFALTGFDGVAHMIEEIPEPHIQGPRIMLYCIGIG MVSGFFFLSCLLFVLKDVDAVIESAAGPLLQIFMDATNSKAGSTCLLMFPLICMLFTT TSIMATSSRMSYAFARDRGLPFSHVFARVHPTLDVPLNALIWTTAWVVIFGLIFLGSS STFNAITAASVVALGVTYAIPPAINCLRGRKMLPETRAFKLPNTIGWIFNLVGIAWAI LTTVLFVFPPETPVDSENMNYCVAAFGVILVIAGSTWIFDGRKHYTGPVMDVQGMLNG KVEGMEPVQSGETAEIEPTKH PFICI_08661 MATHKDVTDESGAVVYYYTREKKDGPYKANLPPKTTVSYVKQLL DVFLPAGYPYTVTADYTPYQIYDSLQAFSSSIAGLMSNRAVLQGFGVGKEGSSATGAV LLSVLQESTGRLATIVFAHRFGQAIEPECKYYRFMADIFNDAALLLDILTPSLPQEPK IIALCAAGILRSLCGVAAGAAKASLSAHFAKTGNLAELNAKDGSQETVISLLGMLAGS VIVNFVEGTTAVWLSMFFLLGVHLWTNYQAVRSVQMRSLNRQRTCIVVDEYHKSGRIM RPAEVAKVERILTWEGPDIDFATSLPETLPYDWILSLKKEPFMIAYTTRGARIYLRKG ATNLDALEAYARAHSGYVFEKEFFKALASAGWDLDAGAVETGRAIRIALE PFICI_08662 MSDAKPHDPAAACPVDHKSREAWMQQARAASSSSSSTTSAPLPP DHPRIPTAAAGADAEESCPVDHKAREAWMQQARAASASSSPSPAAPPQQQQQQPQQTS WTSGILSYLPFGSSSSSTTAAVQHHLQQQVPEQSRLGTSRIVSSIPRSGLLPTGEGEA GQQGTQHMPANKEQETGADEASGNWIYPSEKMFFDAMKRKGHDPQAPDMRTIVPIHNA VNERAWAEIKQWEAPFYQKGSSCEGPRLSSFSGLSSKLSPKAKINTWLGYQAPFDRHD WVVDRCGQEIEYIIDFYTGRPRGDGKPSFYLDVRPKLNSWEGVKMRALRGLGIQ PFICI_08663 MLCNRCQSILQSQADISTPLLTDHHADSKSFKAAIMGCCFLCTA LASEIDNVEQKLENSTITSHTKWRSSGNTTTYRIRLWWIARSHSEAFNHGREILLVPS QNPGLELLLQQKARNFLRNLLPLSRHEDLAPRPSLDVARTWMNECLERHPKCKIINSW RTSGGQYQPKRLIDVGHGKGQTWKLVISENAVEYRYATLSHRWTRNQQPCLSQSNLDD FKNGQPVSGLPQAFQDAIKVAISLGIRYIWIDCLCIIQDSDSDWQTESLKMCKIYSHS VLNISATGVSSNAFGFLEMLNKPLLLPPQIKKTLAPRLKNTWQAVDPFFWWAEVTKTP LMKRGWVFQERFLAPRVLHFGAQQILWECAELDACEIYPKGLTRLTKNVGHTGFKNLD PFLASIKGGSTGSSVGGLVPVTGHISFDDELLRSWCNTVESYTRTSLTKGKDKLIALA GVAEMMSSLYAKLGGSSSGYAAGIFTHHVLPMLEWHAHSPLKTKFKTSGSRPDDKDYR APSWSWASIDGRVYYEFLPGVFGHWDAAEKWRSTTWKKHGREVDKPRKNIGWKPLVFD LVPNVIPVAGSGFGQVERGSSLRCRGRIVPLATIKTPTLSTLLYEDPSLDPVQDSSST WALPLRCIDFISSEGERSWWITGLMIQPVDDLRRKYRRCGLFFIPSSLGIRMLDIDIN ADREVKYSNGTILDQLEII PFICI_08664 MHLRTTAVLCVLAGQALSTYTWPSKYDDLEDILAMHSGYRRFGF SDLVVPCSFGSNSPGIQNSAEWMRSVFHDMATYDASTGTGGLDASLQFELDRAENKGS AFNNTFAAMNDFVTPRTSVSDLLALSLVAALAACDGPKIPLRAGRIDATEAGPAGVPE PTDDLEATRDKFRKGGFNDEDMIAMVACGHSLGNIHSVDFPEIVPGEATDLNVGHFDS TSSEFDNKVITEYLENDTANLLIVGANDTFNSDKRVFNADGNVTMTSLADPASFQEKC ANIFERMINTVPSTVTLSEPIEILDVKPYVNPLRLQEDGTILFEGSIRVRNNADRQLN GDDLEVFLNYRDRSGANVTEPITAVRARLKGGQSYGFWNNVFTWFEFSVSLDASTAIS SFDIHLTTPSTGASLLLDNEGTGGYAVTSDLLYQQTASCLAFNDMAAALSVTAALRKE LVDTNSPPLLQLSHRVTQPGVMLPKLELETIPFQMSSDRETSGYLYFQANATLTGGWS TTFDIETQPGGDENGLRIELLKTNSLSATCGP PFICI_08665 MGTNRPIPTNTQREPAVGTSDSIEYIDLEATGVSGTGDLPLTTY PMQDDLQPSDYQAQDSWNVSEEICYGMLHDIGAEVRWSVDTVEQDTIRLPDGLFLSLS INFEDKYAKLQTTGGFPTAVLNNRTHQGLSSISNETKARAQVIVEYTTWVDANNKFRE LEGKETSSVCFQTRIILSGLRDEGNTVAKLLANCNLYLQEPMLGATHLLYENPQSLDL PHPLFTTGGLQDIQELETVLVDDSEHDSELVNPTAEDMSSLLVDIDAFLNNMPVHAYL QAYQEDRRILSSLLPHQREGVDFMNRREIGICPPEVALWKLQLSTNEVQYYRHVITGA KSPSMADCRGGILADDMGLGKTLTTLSAIVGSLDRAREFALFGGDRSAKTTIIVVPSE LLMNTWENEIKKHIMYSAVSWLRYHGPERHDYDGKLSSYDLILTTYGTAMVEFRDREA ALYGRTWYRVVLDEAHVIRNSSSKQFEAVSNFSSNIRWCLTGTPIQNSLDDLGSLVRY LKVPVLEDGTAFRKHISKLQRSASSPKGEFENLKLLLSSICMRRTKNILPGLGHITTD VRPEFSQKERQRYSGLEIACKRAITLAIGSKSQKGTHHGVMQALLRLRMFCNNGLCVS STAATALGSQTPPDEVLSLLQQGGQAMCSYCSCDIVSISSHIDSDASYLTQCLRLVCH ECTAQYRSEYQAEGEANCPLCQSRHQIDNSSRGDTEITVQSTYPSKIQQLVQDVQAHY MRDKCVIFSFWKKTLDIVEGCLVEQRLSVLRIDGDVTTRKRNAILSEFQSRSASRILL MTFSTGAVGLNGLTVANRVHILEPQWNPAVEHQAIGRLLRIDQAERVTVIRYMMRRSI EEVVQSKQHRKLQLAGGGFASGEGKAEQLHHLTSIINQNTG PFICI_08666 MAHDAAIERDLREVLENFRQTSGLSHDQLQSFQNASFENIQGAL AAIQQRQAQTKRLVYMKRLQPFFASMADYGKVIEVFVRASEMLAFIWITSTFSEAFTS LLETYEYLGEQLPLLSHYQELFSNQKHMRDMLVLIFKDILVFHAEGLKYFTQKVWKQL FQATWKGFNIKMQTLKDNFKRHRQLLEQRASLIEFEQIMCLRRHAEAESQERQRQTCS NRRESVLRWLASANCEAIYESLVSARSSNPKSGDWLLKDHRFQKWFDPLYCSTPLLWL NGLPGAGKSVLASVVVQNARKIPDVSTIFFHCAENDPARNNFVSVARGLLAQLVAQDE ALLALVDDEKSTRSGDVILSSAVAAKRLLQVALKRKKTYIILDGLDECTSREQRKEIC TWFCDIVDSLPRTQMDEIRCLFISQDDTCGRKDLGMLPTIKITFENNRHDILMFAETW QADIEAHFGRFSAEEVEIAKVVTTRSRGMFIFAKCALKEMSLQPSRDVLLQEWKNEIF PDALDGVYDKIISRILLNGSQSRRKMVQRLLAWVCCAQRPIFWHEIQGMISLDLENER LNEESKRIVGDIKDFCSSLVEIDSVGSLNMMHKTLKQYLCAKAIITPSEVHRELAEIS ISYLCFPQISQNLPEAQLEKEVLTGTYAFYDYATSQWTAHLLDWLPHSKSAEIIETNE CLDNLLDLHFTEPTQVQVVSKTMEGQLTPLKDLDCYNSLAQLIIWNRKRQLGHNSKKN EELSDFPKITALIRSAVERLFEANTTDISRAEIHKYYGQAVFKCPHTHCHYFVRGFQN RADRDEHIERHVRAYICPIDGCPMATIGCVSQKELDKHLRENHNVGDDGDTFPDLSEE SQRRSRAKNPSNHQCTLCSKSYTRAHALRSHLRTHSNERPFICTICEKAFARENDRKR HEKLHWSMKEFINEDAFK PFICI_08667 MKSFSANRSAFLAAVIVGSHLVAASPKPVFSHDPKTTDSCIDWW NNADASRSCECVRDQFSIMPEEFAAWNPSLSEDCDPWRYPLSYCVSTSDRDPPPNATT TTTVTPTPTTTTTSSHVPSPTSWSARGCYPDQDPDFPVLDRLVTEEGGDPDLDIATCE DMCWEASINGTVLFAGVKAGNQCWCSSFIGGESTSDQKKCDTPCAGNEEEICGADDFI NVFEPVTTSETPSATRTFSSAVATESDSGAVRLLA PFICI_08668 MSFGGLPYALRCHIWALAVEPRRITDMRIHKSKEKFSKKDRDMG KDVLYETSPTPAPALMHVCHESRQLAPYRRAFTAGTKPRWTWVNFELDTFGVTSLYGI HELVSHQSEVQRLHVRTDDDWDWYESATNGGALNVLRDFDKLREMQIVLQPGDIMWED VYTEWGFAGCKSSVKFIDEGSGLVLTGQQLKMVTDWRTIFSFDNEGNPPESDQLSDEI QWAADEDSHLTLAQIREI PFICI_08669 MRVPLLLALFTLIAAVWAGGYQGVLERVMLYYAYEIDQLNPEGD RTIGFWCGQEVNDAGICPDEDGWKPPLGHNPPNSRSNFNQLVGPLSRLRGDGQQSFAR DAGGNPLPFNDGITGLDIEQTAHNLYHEITSAPGRRVRNTPAYKMRKVTTNSYVKFLS GLGKFVQQTADKNDNFDNHRDLFKGFQRANQLVLEARMGDHGQRQIQNIQNRLAGTGI NVKTKTVGGGTNPVHWRCLGRG PFICI_08670 MKALFHCRFTVALLLGAIAAQSDAAQEADVDEWRAAHQRTSGGA MNSCPPPCREDSKSEAKSFLYPGTPDLTRCNETMMLDFAVQNTKKDGTAWPIAIRACK AEFNTKYDAFVPDDNVAAICSTPNHDVIKISVFMGELESPEGKEFNNEHLVAAGKQLL NSLGVEKPSCTQNLLSFTYLQSSVVGFFAGREVHQHGVPGNVLDSFLKHIQETPISKP TVVQLCEGGRGADYALGIIAASAQDLPLAQDATKAWSDGRCVKADNADDWTTVSIKIP ATVGSGNSTSTNSSGATSGDTAHSWSKSRLVARADCRTATVHPNDGCWALAQRCGISE AALARFNPASNFCNTLVADQKYCCSAGTLPDTIPDANPDGTCRTISVVANDGCGTLAS KCGLAPADFTELHEGDEDFCSTLAVGQLVCCTHGKLPDIRPKPGADGSCATYTVKKDD GCAAIAIAHGLEEEDIMDLNKKTWGWNGCDPDSLLVGTIICLSEGTPPFPASVDGAQC GPTVPGTEMPEGSTSDEWAELNPCPLNVCCNVWGNCGLMDEFCVISETDTGAPGTSKP GENGCIASCGMDIIKGDPPAETIHVAYFES PFICI_08671 MDINLIDIEKYTHVHWSFANVTEDFGVDISGAQGQWDKFKDMSG VQKIISFGGWDFSTKPGTFNILREAVKPGNRGVFRDNIIAFLDEQGLDGVDLDWEYPG APDIPGIPAGDPEAGEDYYELLKSIKETVGDKYTVSFAAPASYWYLKAFPVKKMGEEL DYIIYMTYDLHGQWDYGNQWTSPGCPTGNCLRSHVNETETMDALAMITKAGVPSNKVV VGVTSYGRSFKMASAGCDGPECTFTGSPTISDAFHGRCTNTGGYISNAEIGEIVASGN YNKKWTAAGSDILVFNDTEWVAYMNDSIKSDREELYASYNFAGTTDWAVDLLEFVDGS GGDDAGDYPDDYEYPIDIDFYSNCDATYYSLGDLEDRKDKIPANCMSVYIAKVEAEIM RDALETYDELIEDGYDGKFETFEAYVREQVPDQINAFMANGKAGDYFKCEETGIRNCC GTCHYFCEEEDIENCDDSPDCVNGQSTFEITCPTEFRFGEVGNWLSSDAAPNTTYTLE DEDGFYKAILDDYGIQKDWIKFGDTHVYLNNGCQYSDDVNQCQRENDYWYWNYPGAAD VIEVDNPKDVIGDSYDESKDLLDRLSVLIIMANYDELEDPADLVDAAMLPALSIQAAV RNMEQVAEKAEEIDKAERQEMIMFFISGFLFFVPFIGTAAGSLGLASVKAIMSMLEAV GEAGLLTYSIVDDPDNAFMTIFSSLAGAGLGRGGGWGKAAKSKRDMPVEELEALGGMK TRVDRFENVKSASCRI PFICI_08672 MQIIKLLASSLAVAGITTASPVAQDRNHTLSCAMTQDDSNHHNN KTLFQLFGRSAAVPFNSTDMQQHVVNQTESTVTGQESHKRHMAAASEAEGRPGKVPYR KFPPCYVKCFDSESITSKTSMFIGDIRDLTTYEFCESQAGWVGTWIFDHLQYCVHGEC SHCVPGCGKDSGKVYEEICGYKWCEKCWG PFICI_08673 MAPMKKTVLITGCSEGGMGAALAVAFAEAGLHVYATARNPSKMA NFSHASINTLTLDVLSSESIAACVNSIPSLDILVNNAGASYSMPISDVSINEAKQLFD VNVWAQLAVTQAFLPHLIKSKGMVVNQTSVVAAAAVPFQSAYNASKAAMSMFSDCLRL ELEPFGIKVIDLKTGAVTSNLIKNQKALTSITLPQNSIYEPARDAVESAMRNDKMADV GTPPGQWAKEVVGDLLRKSPPLVIWRGANAKLGRFGTMMPHGMMDSTIKKMTGLDVVE QRVRKY PFICI_08674 MPPGRKQGAGCWTCRLRRKRCDSTQPICSGCQSLEITCHSGEAR PAWMDGGSRQKHMSETIKTAIKQNALLRRERRLLAQEDHGMIMTIEADPVPRQLLGFN PAPALALAPTSHTGDPITHNDPFGAPIASSPSTSSSNTAPSVDCSNESQSPHTVTSWT SGAPTLLSTNHPAAPIQVQLGSLMIYLDYVFPFLFPFYQPSLLETGRQWLLGLLCQNE VSFHLASSLSAYFFSLVPQSDNQDMHDDCKTLVWDKLIEQMDLAIGSIQSTVSTVSNS GAQSPLLDKIRIMQEITQLLVVEVTVRSSVDWSIHLTPALSIFDEVFKIHGLDHSSKP SLDVLSNSLPSSIPMTTQHHKALPNTPDQSALAFFVSLLLFVDIIASTSLGTPPALQA YHDSLLSTRGDSTFPVHLESVIGCQNWVLVAIGNVSSLCAWKRDAKQSGNFSVLDLVK LADPISRALENGLQALDVNSNFQPKKSNASRLKAYYTEHDRVIDPTFAANINRIWAHA AKIYLSVSLSGWQSNSSDIQSSITQILGLLDTIDSPGQLRSLAWPICVAGSLAVPAQE NDFRRIIAMMGPMGKFGTLSSASSIVEAVWSSRDIIDGNVWDMSLSLSILGSPALLI PFICI_08675 MTDLVPGGISFFSQRHGWSCDTVQEFEVVLANSSIVRATENSNA DLFWALRGGGANFGVVTSVSVDVFPQGPSWYNFQLFNLGDIKSVMQRLDYLTRNMPTD LQMIATNLAWSPWRKEFVLSDRIVSLSENVMETMQMDTNAYDPCLDTPLPAEEHLYRR TTFEMAQVMDIVNEDGFFNFFGSMTVKSGVEINLIVADIFQEEASKITNIPGIQVYIV YNPLTTPTIKKMTRRGGNTLRIQETDGPLTIINFNMRWSQDADTTRMREFLRRTLRRV KDASEKTGTDHPYLFLNHCYEEQTPLLSYGAENIKRLHRIREDLDPDGVFQTLKVGQH KLGRQYQDAVSWSGKTEL PFICI_08676 MPSVRDAENDSLGEEEAFLPDSKGSYEKQLIRSQRKGRFRPPQS WSAFLFVQIFLIAVYTTTFFTSTEKDLVYCDIRHTAPARSAIQHQAVRFNATLVIDSP YNGPPSQEVDKAWGDLLENMNIAVPKSDIERIGATSIPIPDTDNMYFAGLGVFHELHC IKRLRQYTWKEHYFPNSTAEEDRLNRLHTGKQNMDIVDVLADAYKSPDHCLEIMRQAT LCRADISLFTLQWSTDSPWPRADFSHEHQCVDFDLINSWAGQRRVDASKPGILTHPKF GVAYHEGESSIIGATEDMSPTIIT PFICI_08677 MLTSRFIYVIALALGVIAAPLDATSPEAVVVRGEGNTDACAETE KRDQDTFYITGC PFICI_08678 MATESRFYVYLEPYEPLVDAAAALVATIVQSLRTHGLKAIWHFT EWRDAAFASWYKNSSPNFVQYEDTTAVPALVSDAAGVVLDVGPGNGNQLSRLDKDKIK HVYGIEKNPHFIPDLELQIQKMGLARKYSVIACGIEDTDVLEKNGIAEGSIDTVLCIQ VLCSVSDPQRVARGLYKLLKPGGTLIFWEHHVNHDFGMRVAQWFWNPAWKYVVGGCNV NRDTLAILKQAGAWENFDSIQGDEEPNSFLPRIWGGLVKSS PFICI_08679 MRLLSSLLSLALAWSTSASVVADNSYLYDWGVYGAYPRNHYKSF DSASPYPNVLNSDPRCDDGYLFIEPRGSSVAKPGPVILDKTGNLIWTEQKWGQAMDVK VQNYQGSDYITFWTGSDNGTFGSGNYLMIDSSYQIYKNFSAAGTLHGDLHEFRITKNG TALLTAYELKPTDLSALGVDGEGWIYDSLFQEVDIATGELLFQWRASDHLPVTESFSP IQNHGHDIKNAWDYMHINSVDKNDDGNYLISLRYGCMLLCISPTDGIVWRLGGKNNSF KDLTPGNAATNFAWQHHAMWHENSTMVSVFDNGAFDKLIHTAEFSRGLLISLDYHDMT ANLVQDFVAPQRFLAPSQGSVQLLPDGNVLVGWGHTPAFTEFSASGEVLCDMHVGATR IASLGRSKNYRTFKYSWVGRPTTPPSVVMSSRENAVYVSWNGATEVERWVLEASDSNA EDAKFFQIGGATKEQFETKIHVPRDADDFLRVGAIDKQGNVLGYSVVLDKRSEEVAGS KTETILAGIICISFVLFLWRFRGAMRTSFYRITQGVFGTRYKKLPQS PFICI_08680 MDFTNTKDFGETKAIAQLGGGIAIIDSAPEPVPEFHTLSERYGI HVQGDITDQESIEKAFAQCVRSLGQLHGALTAAGICVDKPLLEPDWESTQRTLNVNVM GTFWVIKLLARHFTDEKIPGSIVAISSVNGHGLYVPVQPQSSYNASKAAIKGLVGPLA GELSQYGIKVNPISPGAIRTPLLARLEGEKRDIPDWHQNGAPFQRLGLPKDLTPMVCY LLSDASASTTGADMLITELQLDYTLAQRANSDPSLAQLNFNILIVLKS PFICI_08681 MVRPPFVHPDYWALLGGKAPTPAPPATDLFTIRASINAATQAAS NALPSPAGMTTSVSVVTSTDGTEFNVTRFVPLSVQKSSETAQRAVIYGFGGGLIAGSV NISFNVIANFAERTATQVFAPDYRLAPEHPYPAPLQDIYSTITWLQAHAGDFNVDPAR IVAFGQSAGGNLMASAALKARDEGLTPPLTALVLAYLGDSNGTEGQGTSNKVRKAAAP YTAAPGRAEDLHGLPPTHLGVGGLDLFRDANIRFAEKLSTHAVKVQFKIFPGVPHGFD GHPAFTLRTELWSNEARFIHRL PFICI_08682 MEIAGLGIGIAGLAGMFSTCLDVVERIDSYKDFGIDSRAIMSQF DAQKLLFKRWGKAVGFEGSRLRDDHHEYLDDATTLSVVQSILDSICEIAGDPDRLSLG KQDRPEFAGPKRQPYGAQESWHGRFRGDASHKAKIGWTLRHKARFLALIQHFGNLVEK LHQLVPPNAPMSMDLMAKQALRGNIASPNGVPSDLPVEDGRSSWYNDTERILKDIEKQ IQRTLRKDLDTWLRASFKDNTYSASIEKRLPDTCDWIMDRQAFCDWESSEFAPGCAKV LWINGPAGYGKTILSARIVQHLEAHRQSPMAVVFFPDLEDMADPFIVARHWVSQLISQ SPEALDLAYERFHIARTSIASSGETMDLLKEIVHTIPDCTFVVDGLDECSSKGPWSPT QNDGLQKFIHKSIEAIRGTTSRILLTSRVEQDIRNGLSEIDGSGIGIKMIEYSITPSD VRPDATKLSESIVSRNLQNKSQKFRDEIARRMVDRCDSMLLQIKLLENDIRGTRNQKQ TERIIDQTPSGLSDLYDRNWQRILERRNERPRALAILRWVAFGLRPLTVLEMTEALPF AELEVVDDLEDEMPNDLDDEYVRSEILDLCSFLVETRVSTSSNDITSRTLHFTHFTVK EYVLQHLQRVPTNPLSENHDLELNYQAAQNNKLAEICLRYLNMPESETTQHEGPTNSR RGFGGYAFDFWYEHTRRDAQNYARVSRLLVKFFCNKDGQWESWRKHYDSKLANSLMLS YKGETSSGSPLFYASLLGYQEVVEELVHRHGVNVDHVDESCRTGFFAAVHESNHNLVE FFLQHNVDIDKASNMGRSPLYVASYRGSYEIAKLLLEKGADGALSNCAGRTPLNMAAQ CGYVNIVELLIEKGVDWAVSDGNTWTPLYAASSNGHIDVVKLLLDKGADLEITNKDGF TPLATACAYGHLEIAKLLLDEGADVATNNSYGYTPLSLASCHGHLSVVKLLLENGANL TTASNQGATPLYSVSENGHIDVVKLLLEKDADPNMTNNGWTPLMVASHKGHFEVVKLL LERGANLDIASNTGLTSLNIASHKGHFEVVKLLLERGANLDIADNDGSTPLNTASYEG HFEVVKLLLERGANLDVADNDGSTPLHYALSRNHLKAVQLLLEKGADINKAHVTGWTP LHSASINGHVEVIRQLLRGGADLSIATKLGHTPIHAAARGGCFDAVELLLHEGAAVTA VSSNGGTPLHQAAVGGHLDVVQLLLRNGANMCALDVFGRPPLLYAIRGGDFATFSALQ CQKLLEPDCQDVFGSNALSFAVRYGHEEMIRAFPVLSKQSLMVEDRFGRTPIWWAHKQ GNMRMVEQVTAPLGPISPPDFPTGLPAKSSVEGPCCDVCFASLDEVYYECKVCCAGDF DVCVDCYNVGARCLVDAHTLLQKR PFICI_08683 MRLLRRTTNGSYEITGPYLVKDSIPAYAVLSHTWLSDNDREVTF QDLTTGEPTKKPAGYAKIHFCASQASRDGLEFFWVDTCCIDRTSSSELEEAITCMFSW YRDAARCYVYLEDVSANPEPGSSLSQTQRQDKLESEFRRARWFSRGWTLQELLAPVDV VFFSVDQVLLGDKSSLESMIHEITGIPLDALRGKRLAKFSLDDRLSWASRRQTRRKED RAYCLLGILEIYIPRMYGMGDSAYDLLLDEIKKKNAVDERQELLLSNLPTASDATFDS SYNGRGRTCLQDTRAELLQQIQTWAEGADEKNVFWLKGAEGIGKSTVARTVARRYHER GNLGASFFFSKGEGDLSGSTKLVSSLARQLAESIPQTRRFICDAIAEKPEVMRRPLEE QWTQLILIPLSKLHDSQAPSPILFVIDALDECNDGSDTQRILRLFTAVRQFDNIQLRV FITSTGREQVHNSIQKAPENECQVCVRQIIPTIFDNDLGVYFQNSLSSIRTDCGFDHN WPAIGVIERLVEKSCGLFIWASLACRFIRDGQQLAKRRMDSLVDELSSRSQPMSPLDW IYMTILQNSVQPSMNDLEMKEMQKMRRAILGSIAVLDSPLPVRSLAKLLVVSPSEIRK TLVGLSPIFDASQDNRPIRINHRTFREYLLDKERCLGDFHVNSQEAHEFLAQKCLTIM SRMLKRDICGLGSADTIVNNVDPGRVNRCIPLELQYACVYWIDHQRQSAARLHDGDQV HIFFKRHFLHWLEAMTLLGKSNDMGAIIRLYQALLIPSENEQQLPLVKDARRFMHAFQ NAFNQEPLKVYVAAQALHSPTDEERLRFWSQLHPIMRDIRLSSAMPPYDKDEFNYVSD LAFTPDGKQIASGSSFGAVRVWSTDDRVACYTLGDAKDKISSVAISPDGSTLIAGSDD FTIMIFDLKRRKLRHTLQAHSRWVNAVAFSPNGKLFASGSMDQTVAIWDTETLREVKR IDIQSSPVNAAVFSPDGHFIITGSVDGIVRLWDFSTESEEAHRTFQGHSGAVNSIRFS ASGHLILSGSDDMTIKLWETETGKERMTFRGHTKRVMTAIFSLDAEIIVSGSEDKTIR LWNIENGALLQTFRNQSSSINSVEFSPDGRMLASSSYNDEVRLWDTCTWKLLGKLEDF DEDINSRIYPKALQSTLGEMIDGT PFICI_08684 MEVAIIGGGPAGLSAALEMAQLPHINWNLYEKKSRISETGGGMS LQTHIWKLLELNGTAKHIRPTDYFRSPNGLVEQRRNGRSGEVLVTKYEPDSVPRHQRS CRLARAKLQGALLKEVDRKRVHVEKRLIAIDHLPNDRNRIRLTFQCGYIADVDLLVAA DGSRSLVRTLAFPGVVPRYNGQCVYRTIVSKADAEKIEGIPWGPVFWKNVSGLYVFTC PLGDDDFEVTARIRRSRSDKDTVSWGQPFDLHTLLHEFDDFCQPVRDILRLAARGKTQ EFALCPLPPLERPISHNIAFVGDACHALLGNFGCGVGLALEDVYTLARTLNWAWKRDR SLSDALGAFHAIRSPHFKRLYNLMSNFADIKATIRAESLPTDQEISVRVQRISEASQS WMWYYEIDKAVDEFLEAY PFICI_08685 MNISLQTPTPAVSMTDRYVSTSETTFTVHCHDSTFRKVTVFDSS EQVLFRVEGSAIGTSWSWRRKVLDSSGRALFDFRHHKFDNKNGWVVESPDGQKLCSLE HAAFLKKGHAAITAIVRTTAGEDVRVEMMPMDHSALTTTVGVDGCPFAAITKIEDNDV TLRGGKDRSVWSVQAASGVDLSLLLAMVLCRAEMGHVWKN PFICI_08686 MQLSNLVAYIGTYSSTTTSFLPGGVHVWSLSADGRNATPIQFIE KPSEAGYLFYAKNLSTLYVVDEKKTDGRGPVSAPASVHAFDVNLTTAELTWKNSQISP GANPTFLDYSAKHNALFIIQYSLANDGTIAGLQDVVVLDGHGPDPNGSPQSGGHAQAS AHAHSASVDPSDSFLAVADKGADRIYIYHVDGETLEPAYVFNATAGTGPRHVAWSQKS DPTWFFVTYELSSEVASFQIDPETGSVTLLDVVSSVGTNFSRYNEPADIQVHPTNERL VYVNNRGEDTIAWFNVDDAGKLSLLGSVMLTQSLNAGVAARSFRFSPAGDFLLVADRP ENAIRAYSVDQGNGNLEQVATWAVSEPAFVCIVEF PFICI_08687 MGDSSTAAQLPRVVILPQRRPKQRRRGFVRAYAPDLMHCGIDQA TFLDFIDGLNKAVSSSPLADTFNLSGAAVGAVPASVASFLPLTGMSIQVAASVYTEIS SRKGQNSYLLKMNDELLRPRGLYCLVMAYDTNFRRDHMAQDLGPDPVALMLRTATNQS SSVREKIRSNDGVTGASSFPEAAELIFPDLKDDVLTRGESGTDDSEDSESRGSGSSFA SRLVERAAAYNARQDLKSQVKFQRKNPTSLINPLLDPSAELGDKDLRKQEKKATKQEK KWEKEDRKAEKRQLKHPEREPRERKIREYV PFICI_08688 MPEFISKSPLTLGKDITTKSEPAKPPSSTSHHVLVVGAGVTGLT SAWLLLDRGYKVTILSKEWPTFTKEQRLTSQIAGAMWEFPTAPCGPRLKPDNLARLRE YALQSYDVYLQLAQDTELAPDFGVRVRKILCGAPYAIAEDEVERERVEAIEQARIPGF RHDKSLIEAYNLSGGVVVDTFEYQTPIIDSDQAIKFILNLVKAKGAQLATGEVKGDFL SHEQELLQQYNADVIVNATGLDAQTTASDTSLYAGRGGLLRVVNDGKTFPKIEHALVV NNKDHMDYNIVFVVPRNENTLILGTFTEEGETENSLTENSPAMVEMRAKCERILPQLK DAKLEPEYPIAQGIRPMRRDDVRIEKESRRAGSRIVHAYGHGTEGWSLAWGSALEVAG LVASY PFICI_08689 MSNTTASAIPVFGSFFPDVLEDVNTFQYFSAALFAILAFVSYNF LISSKFKDAEGNKIPHGPTGFPVLGSFPFLTHYPELTLDKWAKKFGELYSVWLGNQLF VIISSPEIAKDLMVTNGAVFSSRKEMFIKSQTVFLGRGITATPHNPTCPECRKKHRRI ATNWLHSKEVDKYTHVLDREATDMIKCLYEVCDGGNALVNPQPFAGRCSLNNMLTIVF GTRTKSVHDPLVKTSLRLSREFMNLTGPMSNLTDFVPLLQYLPLSLRSRGRQLNKDLA ATYGGMIKDIDRRMRSGQKVPESLSKTMLQIRDMEKLDDMDMAILASAFMIGGVETTA SIMQWFSALIPSYPEIQKRAQAELDRVVGRDRLPQVEDEKNLPYCHAIVKEIERCHNP FWLGTPHVASEDFTYKGQFIPKDTVLVLNTWTMHHDPERHSDPHNFNPDRYLHDNLSS ADSSNLADPYQRDNWMFGAGRRICPGMWVAEREIWLAISRLIWAFDLEAVPEHPIDLK EYDGLSGRSPVPFQIKLKPRHENVGRVLSAAASAE PFICI_08690 MSANDKPIRRSLDSRLPPWIARWIGIRTQPPKPKSTYVPYLWAF IGAFCGLSLLQAVFGHAPYFIERGVPPIIASYGATAVLCYALPEAPLAQPRAVLGGHF FSALTGIIIARIFQLNNLKDDESPRRLAWLAATLASSIAIVVMQATKTVHPPAGATAL LPIVTPAVNRLNWYLLPVVLLSSVLMIVVALITNNIQQRYPVFWWAPKPPPKAPATLP VASENGTK PFICI_08691 MSDDERSPLLAEASSSSKNKGAERHSGETTPLLSSTAETPRYDG EHDDPNTAGSIRSRHSQTPSTHPPNEQKSRRWPSAIAMGILGLIVVAIIALAFIVPDA VQEYAQQAAVLEPTNLSLDSITTDGVKARIQAKFRLDGSRVQNDHVRRIGMATTWIAN SIGTEETKVAVSLPEYNDALLGTAVVPPLTINLREGYTTDLDFVTEISPGDVEGIRSI ANEWLEGRLDRLRLKGATDLTLKSGIIPLGTHNIVESMVFEANKIPAMPAYNITRLNV KDVPSPGDKISMVAEVALSAYNEYPVELDVPELAFDILVPGCDDDQYIIVADAVTSEV FVKPRADVEVEVHGLIRELPESLTHVCPNTNSSPLDLLLKQYMHGEPATLFVRGSSQP DGSTPPWIADILSSVTLPVPFPGRSLDGLLRNFSLTDVHFTLPDPWADEGDPNANPKV SGNVLVTAGLPAEMNFGVNVTRVRAAADVFYKSKKLGELNLKKWQHANSTKVDGKSGE EATLKIQSRINDAPLNVTDADVFSEVVQTLMFGGKPIQLDIKAAVDVKVVTALGQLII KDVPAEGKIPVKPLSGGKDSLAQLVPKVRSLKILDTSATSITLQALVNITNPTPYTAS VPYFDVHVMSNNTVLGRATVEHVDVVKGVNSEILVTAKWEPSASGSHGRHVGRELVSQ YLSGYNTTITVKPHRKSIPGQDILSEILSRFNVTVPTPKLDLPGDTPDERSHFIRDAT FHFLSSTATFTLVSPLHYNTVYIDFVNATALYNHTETIGKIIHELPFQAPPGKSTTPR LPVEWSVGSVGYDAVRKALGGRLKLDAHAIVDIRLGNWKEEFWYRGQGIGASVQL PFICI_08692 MSHQRYPSLDPVKEPHSVSLKVLRLSRPSLVTQHPLANNIPSPS GSARTIPASLAYQSTNGITNPEPFLLTPILNLPPSFGSAYVGETFSCTLCGNHDIPPE NAAVQDSVHNSKKKWIRDVRIEAEMKTPGSGTVQKLKLGPLPERPAPADGEDQPGAGE DSRSGTDLEPGHTLQKIVNFDLKEEGNHVLAVTVSYYEATETSGRTRTFRKLYQFICK SSLIVRTKVGVLPAAASRAQSDEDKENQTGPAENDKTETEEARKGCRKWVLEAQLENC SEDVMQLDRVQLNLEPEAPLRFRDANWAVSSSAKPVLHPSEVEQVCFLIEEDGREAPV DEQDGRVLFGKLDIGWRTEMGNRGFLSTGKLGAKVAK PFICI_08693 MDNASAPLADYFWIAGIQHISYQDFPTLIPQTHVETTIEEDGEP EEEEQEALNRDSIISTPSKGAARHSRQNSGNRLSRASLKTLEENDGNTVSNRSSATIR VGSPPPSGNGNATLLEGMPAFLADFDFDEALFKFAAEREHFLEDLSFSAGAKTQARPP MVNPRTERIKADESSGRMSPLKSLRGSIRGSIRRKISFKDMNSVRKQPTPAKAASVRT SKRLSNYNAVIPPPEPLNLDPDMHPLKRRFEPELLDRYPPRNANEDLAKRGRFPDYVP MFAFPNDIQIVSSDDRPRSTWHGFTMTSDDNSKIYGITVIVWTAVAADVAEDIEHRCE RWRQSHMSGEERELAASLGSRLAAERAHLSQLLAKLPTVPSGSTARERLDDQISTVEE KITLMTEMLRPLRHGAASKIDGLTAGESGLWIPRAFGVLGRDATRITFWKDWLRAVVV PMSDGSILRVPPTSPRIGRWQPLERYVVNLCAEAPSPLSSKTQVEISVRELRLYARKE AENELPGSRNVDLYALFRSLSLENVVALFEYALSESRIIFLSSHTAMLHLACHALANL LYPLKWASIFIPILPARLLSALDAPCPYIVGIERRYENLVLPDDDYVLVDLDRDTIDA TSQPHRLPKQHRRKLMSLLQVAAPHALRFGVTAGPPPYAIESFPYDSFSAEFSSVFTE KTSSSSLGKWVAQNSSTFGEPDIIGVPPAPIFNTFSHAKPGTADRPTTSKSNKTSPPN SLSPSSVHFPPMPGTPISRSDSGYALNATLREKRSGTFDKERRSSSFGQQPSFNRPNA PFLNGHQANMSLSAISIDSKSSYGGYAPSTYAQSTLAASTIMPNMLIQPVKNTESTVW VEGHNFNFASNDHVSICSVCDERAEGDGIYKCSGCSSVSHGRCLGCVCLVCPVAFSAD KVRASFVRCLASMFYTYRRYMGRPSKDQKKAGQMYAFDMNGFIKSLPYDQQDYVNMLK ETQAFNEFIHERETQPGSTPAIRLFDEIILAKKARGKTGLSGLSRLSTIRASHGVSQS MPGIQIPSKPGKSQGWLNDTEDHLWRTASVPVPNAKFPGDYHEVVTRVPVKFDSSLMK EPRAIAGVPRAEPRKKGFLRKQVPSMMLGSTPISPVSPDGSG PFICI_08694 MSGPQPQTQLRQQGTATSGSQTQTTTSTTGGESSTSSPATQQPP SQPQPPILRLRGAHAPSGPRVQWAENVVDNEGLGRKSSKVCCIYHAPRAVGESSSESS SDDSDDSSSSSDSDSDAGSGRRRVGRAGGGHGHDHEHGEGCSHHHKGRRNGGSSGRRR RRPSPNAYEKQPKPRPKPGDGAGSNGPNGRDKPS PFICI_08695 MSSPGNESAVGDTADTPAASTSRGRDRPLNPRLRPQRLHHKVNN AMKVVDKKLDDKNATALSDWLSAEEMVQFRRTVREIVRREYNATFDSWPKRVVHNYVP DARKAIIDAINESWAMFDAARVAKDEQYDDFVAADLLFPKFYTE PFICI_08696 MPQLVGKEVGPIGFGLMGFTSRTNSCSQEQAFAAMRAALKNGMN FWNGGEFYGTPEYNSLHLLERYFEKYPEDAGKVVLSIKGGYGPVKGPDGSPEGIRQSL DNCLALLKGRKKIDIFECARRDHNTPLEVTFGVLEKEYVQTGKIGGISLSEVKASTVH EAAKITKIVACEVELSLWSTDILENGVAAACAQYGIPIVAYSPLGNGILTGQIKSLED VPVDRYIHHYPRFQPDAFPINLELVKQVESIAQKKDCKPSQLAINWTRACAKRAGTSV IPIPGAITADRVDENAKVLELTDEDLAELDSILSKFEVIGARYPRGIPMEG PFICI_08697 MSGPLSPYPLFVLSKDNDSQYLKTLLSELASAPGSGGCNNFTLV TATNNATIPVKRYVPIREGSDEMRESIISGTTPDVVPEPFTSPFTGKDLHECAKWIRD LPHDLNIAWQKEFFCAVDKHCRDENTLLLVRRFESAEDGLAVHAFPVAVTDADSFIMN YVGDDWEDQLQRYQRRAHRRGTADRSVGVPFEYNAVNH PFICI_08698 MLPQQILATIALTTSASASAMNMRRAADPIMGPATCGVGGKERY LDHDDLVKTVEEFAAWVERGANGQVDAAGKFHYSLHYDSDDVTLFACDYKSNSAGSVI TGQLIRKALGSNGWLDQQCNTDNAGYERSNDLSVGRTFVSSYSLPLVLVDTWN PFICI_08699 MRLSASVGLLAVVAIAGVAAQDLHCGDTTHAFTCGQAQITGQDC LGDCNCQNGGQCAGFSDINDNDCPAADFKNVCFRADNGPDCECR PFICI_08700 MKAVLVKSLLLFGARTRALSGVVNCTIDNFQSILDSNGTIDQVV YAQHYAANSTFQNPNATTLQFASNPVALPATCAVQVNVTTEYQTHFSFGIFLPDDWNG RFFLAAQEGTNINWVDMAVGLRYRFASVATDTGHTGSDMNGFWYLNPESLNDWGWRAN HLGTVYGKLLTEQFYDTSIAYSYMAGCSTGGRQSFKEAQMFPEDFDGIISACPAYWTT HQQFFNLKQTTFQYPSGSNHTIPTELFDLIGQEAIRQCDPQDGLVDGLISDPLGCNFD YHSLLCIGSSNTSSCLTGPQLDTLHKFYSDWKEDNDTFIYPHSLYGSEAMWNQSGIFG NGSISNIGSQYWYPQHILGLTNFTFENLTLDLIQWAEEVDPGQDQASDWDLSPFYERG GKLLHYHGHSDAIVPP PFICI_08701 MESIYTALDVGSYEIRLLTILPGDDNTTICCTLQNQSLLSKPKY TALSYCWGDANVTVPIIVDGKNIEVTVNLRDALLQLRIIGVKQIWADAVCINQKDAQE KSLQVGRMDQVYSNAAQTYTWLGKEGSDRAAAGLMFLGRISSNETVTDSIQHTHNIDS PARSDHMSEGMGNAGADHNESSACPSFRDLEDLLRRDYWGRRWIIQEVAAAQQVEVAC GTVTIDLKDLISAIKLCKRSKYWSLDMASYCTNLDQIIQIRGEQRLEQQETLCKNIVK TQHFSSKDDRDKVFALIGISSDGKKLIQTPNYFQNFESMLRELAIAVFRANGNFNTMV LDRHHRDASPNQPSWVPHLLALEDP PFICI_08702 MIPSGLTASAAVLTPLLVLIAVILRLTRVRLIRGKAIIISPGAQ GGEFYHHSFCWTSDMGLFTSTSYNQTPLASPSVSNDLTDEAESQTTHCILVDILINYY MTSKQLNAELRRVSTWYLNLHTTTANGDLYPARSRPVGRQMPNPEADAIWDEWEVTRV FPIHADEVRRLGKDLETAVLLEDKYYGRGDDIYAATLDIYHQLHCLNQLRWIAYGTYY NISMLSPSEEGFREVHINHCVDMLAQTIQYTGNLNLITMYWTETKSFPFPDMSINHKC VDFDALTEWCRRNTIDMDVWSHRTANILLRPQGSVLRPAPDAEYIKTSGDAH PFICI_08703 MATEGDDDHDRMQASTGSPGTPQRRQDFLSVRTLYLPTSLILLM GFLLYLAIQTFPASSWPRDGVRNGIDYNNAETAVMIAPCGNTPDMARAADCKFDTVSF AWLPERCYDAELSEEFAQIKQWEYFEDQNRSVKVPHEKALTGDYSALYKEFEYHLRHG VFMWKKMHRALLREGVGRRGIDSSIANRAVAMDLVNSVIVLKFPDCGMA PFICI_08704 MGIVDPYVLNVRSFIKDTFSKAPDAVDLGCGDFNVGRRIRSAAD HYVACDVVPAMIEYNRVAYAVEDVDFRVLDIVTAELPPGDVVFIRQVLQHLSNKQISD FLPKLGQYQWAIITEHIPFDDPFTPNHDILTGNVRVMFNSGVELTEPPFNLVYYDKKV LCELRAKDGRIRTVAYQLQDTNQRS PFICI_08705 MDLYLNSLCAITLANSIVLYLLLIQNHVTVEIFSGSAAKSRLGL NFMLIYALVLGADWLQGSFFYAVYREAHGLPDKTVGRLSAAGFAAAGLSATFIGSLAD RYGRKKACLGYCVFSVLSCVTVLVHDLRVLFAGRLFGGVGTTLLYSVFEPWFLSELDR LCNNSIERENISTNMFSLLSILNGLIAIGSGVFSQCLVAKTGSTKSPFIASAVLLMFS ALLIQCRWTENYGHHTLNMYHEILDTIRLIRGTWGSMAGHGGLHFRVDNLHRDLLLAG SNCLDPDERWCSRTIRTDFR PFICI_08706 MTSSNGNTSHWNGESSVPDKLLSIMDDDPVCVVGIACRLPGDVR SPSDMWDFLVDKKSAQGRVPAARFNIDGFHCTNDGRPGVIAADGGYFLNEDVRLFDND FFGINNLEAKSMDPHQRKLLEITYECLESAGISMENASNSNTGVYVSNFTVDFTNMHF RDPDYMHRYSSTGSNMAILANRISHVFNFHGPSLAVNTACSSSFYCLHTAVQALQTGD CDGAVVAGANLIMSPEMHLETMKGGVLSPTSTCHTFDEAADGYGRAEGINAVYLKRLS AAVKDGNKIWGVIRGTAVNSNGKTTGIAQPSAAFQEAVIQKAYANAKLDPLGTDYVEC HGTGTSVGDATEVEALRRCFPPREGMPLMIGSVKTNFGHSEAASGLTSLIKVLLAFEN DMIPPTYGLKKLSPKLPLDSMNMKVVTQPEMWPSSTRRASINCFGYGGANAHTIVESL ESYLGTQKARRRMLHPASDKKFVIPLSAKSSESLQLRMSQIWNMTQSSLTSLEDLSFT LSQRIYNFEHRKCLLADSTTHVEVGASGTTKSTPRHSLPAAFIFTGQGAQYAGMASNL IAQNQTFCQTIRQLDRTLQSLPDGQKPMWTLEQEISNSSTDNVNRASYSQPLCTAIQI GIVDVLRAWGVSPSAVLGHSSGEIAAAYAAGLLTASQAIIVAYLRGYAVESFYVPGAM LAVGLGQRAVECIIQEKDLKKEVCVACINDSENVTISGTEDAIEILHLELQAQGKFVR QLDTGGKAYHSHLMRDTGDLYERLITPFLDCATLALRHNAKVDMFSTAGSIALHAEEC ASPTYWRKNLENTVQFSSALTRLVEAGDYHLIEIGPHHALKGPVLRTLASNKKKDLPY SVTLSRDSDSDLAMKILAGSLFSHGHELDWAEVNGISDDASALVNIPPYPWNYSSPLL WEESRTSSDLRNRKHVRHELIGSQQLAGSGLQWAWRNLLSLNEIPWLRDHKLEAQIVF PAAGYIAMAIESFSQVQSAPQNDRGFELRDVAINKALVIPDNSEAGNEDIFCIYLGRM VRVLGVLVESRGAATEHCAGYIRTANAPEKEGAVLLQTNDNYDRWNMNKWYQKLREEG LNFGPSFQSVTGLSTHGSRKQQAAVGETNLESPPSTGSAYMIHPIAIDACIQTAIFGF TGGNVATLGAWMPVFISQCHVQPPRAGETKATIHTRSVATGFTRMVLDCTLRDGRGYP VLDMKDVRTSRYHGSIDQREIDQRHPCLRIHWKPDITRVGPGSDTALARYVNNAFQQE EGDSMNDMRQSIVTILLDLVAHKMPNMRALELSGLCSCKTREWLDLLDQDTDFPRCGS WHRGNVLENGEIEVENDSEGLFNLVVALEYTSSHNGWVKEILSRMSSNLSFVIARRTP ELVNVLISANFSVTDVGCNIILATRSAQSSFPKGKKVVLVYRENSPATDQLTEALTAY FEKTVDVGLVQRVSLTGIHSAGLDQETFCISLLELENEFLASMNPEDMDLLREMTGKV SDLSWITGSSGLEESNPRLTMAHGLSRSLMLEQPSMRFTVVDIGRHRDFEQTMALTCS NLLQAFVAQGDTDDKEFIQRDGLLYISRFAPDKDLNTLFRMRQEERGKVHTCNDTLRN AGVCRFAVGTPGLMDSIYFKQLREPPTDLPGGAVDIQIKAVSLNAKDIYNLHGRLDIR GATTACEFSGEVLKVAHDVEHLQAGDRVVAMVPNYFTTTERVPAWTVQKLLPDEDFAT LSTILVPYSTALYALHDCARLSKGESILIHAGSGALGIAAINVAQRAGATVYTTTSSD VKKNFLVSQFGIKPSHIFSSRDSSFVQGIHLATAGRGVDVVLNSLVGDLMHASWRCIA DFGRFVEVSKRELVDAGRLDMIMFQRNATFTAFDLSEMFHSNHPAQLSKYARNMKTAL DWYRSGKIKTNPFKVFDVSDITSAYRYFSSAERIGKVVVSLENGLSQIRVSPPRYQSL LDPSKVYLLVGCLGGLGRSISRWMATRGARRLVFLGRSGCDSPSAQRLVSLLEDADVK VKVVKGDVTRCDDVLEAVEACKALGGPIGGVIQAAMGLQEALFSRMSNDAWHQGIDAK WAGTWNLHNAIDGCDDDLDFFFMTSSISGTVGIATEANYCASNGFLDAFARWRREQGK PAVSVGLGMVSEVGYLHENPKIEAILLRRGIYPLNEEDFLQIVDFGITSSANLDLAQA HILTGLESLGLQNLMAKGFDVNNLPFQDPRASIVAHALEVQNLTRTAHHAGKKGRFAA SSAVWAEEAQQTGLDSLSTATDAKSHRDAVLKVIQKQFSNLILTPLDAILVTKPLAQF GVDSMIASEFRTWFWATFKIDVPFLDLLSATRSLSSLADSVVEKLRQA PFICI_08707 MAPTNNIQVGSSTLTGPAGVPPTGTEPNFDDPSNQNGLVRAVVV LVTVITSLFMIMRIYSRIILKRFTLHDALGLAAFALYLAFVEVFIRLLNSYGWFVDMW DLRLQDYPAVNQVLFQGLIIYFCVLLLIKSAILLEWTSIFVPMGTRDAFWWISNLVLA VHVLFYVSMIIVELTACTPFERNWNPLIAGKCLNTVGVAVAISAVNLFFDITIFLLPQ RVIWSLNMRTQKKLGISFLFGVGVLACIAAGFRLGASLKFYKSADVTYTFSTLALWCL GEVTCAFIIFCGPAIPKIFSNTELQGLVSNLKSWAGSSVRKLVSSGSSSKDGSSLGTR KRSRSNFSRHDNSLEKTSFPQVPPLPEQYRTRDTGNLPSGDNSDMSPQAGEIVQTTRF TAVEDSSVQNIADDQHSRQHPWMYSQENI PFICI_08708 MGSLVLQYGGSTSLLYGAITLVAVFLGYEIFLHPLRRYPGPLLA KFTNLYAAFFALERKLHLETWEYHQKYGPVVRLGPDRLVFDSLEALRDIYQNERTTKP PLYLATQAKAGTFSIWNALDRGLHSRKRRLVGRATTDASMREFEPTMLEHTDVFIQKL SETLHEPVNMKDRCSYLSFDIIGLLSFGYAMNLQSDPENQFLADQLTRGNHRMNIFMQ IPVIPRFKLQRYFNLFFKAERERTAQLIGTMIHTRMAQETHARRDWYSFLADSLKPES DGSIRMGDLWLEAFFFIIAGGDTTSTAMSATLFYLARNPDCYRKLSREIRTTFETADG IQGAKVTNCRYLRACIDEALRMSPPIPGALWRHLAPEEKAAGPLVVDGHVIPDGTKVG VNIYSLHHNEKYFPQPFVYSPERWLETDDPNDGTTLPRANREAFAPFITGARGCAGKP MAYLESSLALAKIMWHFDFRAAPGGLGDIGTGKHGEFYLHDIFTSTHDGPYLVFENRI PDDVSPSI PFICI_08709 MEAPNSKAEGPTLQTESERMDTNPLMGSNAAPMPTRSFREGGLG GSKLLKSPGILRWCQDSWIVEDLALALSVSCLVAISVILSCFHDKPADSWHSGLGINA VLSILATALKGSVVLAASSSIGQLKWAWYSQSPQPLEYFQAFDSASRGPIGALLLLWS GTRSALAITGSLIMLVALGSDATIQASTSQQSRLRLTDTATVPVAKFLDPNITSDPLL LRAIYTGTFSAQNISNNALYSGAVDVSPTGSLEALDTVTPFCSTGSCTFEPYASLAIK HQCSNITDQLQYENDGTSYRIVKLSTYPIFPPESVNQGPSLNLSGQMALDPFSFEENL DQSTFLNMSSKIMDEVTEASYNSTNLSFTEVYMILFEAATDSFQSFRCTLDLGLQLYT ASIEQGLFTETPGDFIKGNWTLRTRDGIDTNPEAAKISSGSYWSLETTAAGKRYPVAV SSTGWDMIRYEVSPHFSGEIMGLSVHKCEDNYILAEAIIQLRPWNTTLDFIFESIARS VTQYLRTASVDVAIGTTQYQEQYIQVRWPWLILPVVLVLSNIVFVLSVRLQSRQLQLP SWRNSALATLLNDNNTGEGKIVCELQKGQIIPIGPHPRFGTISEIDAWANARYALGRG CHNGKPGVYTTENDSLEELDEIM PFICI_08710 MDIRTRASSSGYVDPNFPNPGGKWDTYVIIYGYTPAFSLAIFAA AWFVLFLVVHLAQAIRHRSWYFITFPIGLLFEVIGYVARSLSAKKDPYNLIYFIIQYF FIVTAPVFLAAGIYTILSAVISRVESGRRFAFISPKTIIWFFVVSDVISTILQITGAA LIGVTESRREDPTTANNILLGGLAYQVFSLGMFLILTVTFILRGRRQVAKAGLMRFYL VLLVATVLIYLRTCFRLAETAEGLGGSLYTNEVLFACLEFAPVALTTLLFSVWHPGRC VGKKMVPANGMLSKTETPGSHF PFICI_08711 MLAIKNQLAAVGLAMLSVAPAFGSAPQAADVWTITGFSASYTWE NNRTASIDFDLFVPNTADGAPNHCSIAIPGLEAILLPNVTDANCDRVGYKFDFTLYTM TGGLYSGADLCVYGGDSVRRHYISDGDFVLVPYYEEDGTEHPDIEYRGASEFDLDMA PFICI_08712 MVNPGKRMNILKAVKGNCFTPLRTLLEESSPILTHATAARLTAW PRCGNSPAGDYEDTHGFCDEVERRSPWTKVRPQFALAEIWQQPANVEVDRKFWKLCLP RLKFWNPAIPMLLNRHTNQSGPAVMSIYFRQSAAAAASRHHQLPLAQQPHSSTTNETP APAPEEDERIVTIDMKNQHSDAILAEFLHKTGATQIHPTAEERSEMVQVEELKEKSAV DRAIVKKWFDAKRAEERMAARAKEEAEAIRLANQ PFICI_08713 MHRSFSKRWLNTALRTKEVSTTALELPVFLCPSLAAHNARPQVL AGQVLSSRSQWRLPSSRCLHVPTSTPTAAHIVENDVHDVKPIRKLPAQCTGCGALSQT SHSDQPGYFDLGRKVVRRYIGLEQPQQRQLKASEREEDEVFRRTIESVDMEKLKTLGV DVDDIAPTLAPQPDRVQPEAPLKTPLCDRCHTLMHHQTGVSIYHPTIDSIRDTLEESP YKYNHVYHVLDAADFPMSLLPKIHSLLHLMPLRSKNRRSRAGKFYHGQKTEMSFVITR ADLLAPTKPQVDRLVPYLREVLRDALGRTGEDLRLGNIRCVSSRRSWWTKELKEDIWN RGGAGWLVGKVNVGKSQLFEAVFPKGRMDWDPSSHQIKVDMFAKDDPEPPTKLREALQ EIEEDANSNEMIAKLEADKVDEYSLLPPAPPETQYPEMPLVSDLPGTTASPIRVPFGN GKGELIDLPGLERTGLEKYVKDGQQTSLILRSRVVPEQMVLKQGKSVLLGGLIRITPR VPGPIIMSYAFTPLEAHLTSTDKAIAVQTQTSTLNIDSIAAPGTGEKIKHAGSFALKW DVTKERAGPITAKHSVNIKVDRLPYRVLAADILIEGVGWVEVVAQVRTRDLYERRAPT APEAKPEAELTALERLDALAEGPKKEEPRRPARTDDDNGDGEPNWPIVDVYSPEGKFI GYRRPMNGWLLNKPRVTAASQRSRPRKSMKGAKKLEKQRRRAAE PFICI_08714 MSEEQHHHGPGGHYSGRNRIPNIQQFVASLDRDKRERDAQIDAS LKSNQTNGQGVKEHTPEKKHTKGKTVSDPVTGRDVEIADADLDFESAVDNPMLSVPNA NLGKETTVKTEATQSGEEYRYNQDVTAPPDPVATGSTSDVPIKGEKTNILFHPTPSVS YEPMFDIIEQKATILCAGIFFSIVLIGKFFGGRLLGLIPLAICVASGVFLWMKDLVRQ GRDIEWSSEQKRGEMATANLIPESVEWMNTLLGIVWGLVNPEMFAAVADTLEDVMQAS VPGVIENVKVADISQGNNPIRILSMRALPDDHMKDVKEEMHKENEKVKDPQELAADEE GGDYYNLEVSFAYHAKPSEGSVSSKAANMGMQLIFYLGVKGLFGVPLPIWVELIGLVG TVRLRLQMSPQPPFLKAVTFTLMGVPKVQAGCTPMIERGVNILNLPVISNFVNWAIKT AASMYVAPKSMTLDLGKMLQGDDIQKETEALGIIFIRIHKAVGLSKQDRRGSEGGGSD PYITVSFSKFGKPMYCTRVIQDDLNPVFEETCALMVTTDLIKADEQLSMELWDSDRSS ADDVVGKVELSMQKLIQHPGKMYQQISKLRGVKADSEMPGELHWEVGFFGKTQFRAAL RTDGKDLSLPPELRDKKELQDDKGAIDNAQEDAVTHTPPDPLWPSGILSIVVHQIVNL ELENMKGSEGGKRKGGKEYEPARDAGEVKEEASKKLPSAYCTLLLNDELVYKTRTKVV SSKPIFNAGTERFVRDWRSAIVTVAVRDSRNRQHDPIIGVVPLKLSDILQTSSQATRW YPLDGGIGFGRIRISLLFRSVELRLPPPQLGWDVGTFEFTSDTITSTFAEKNSYKLRM RTGGSSGAIKREQCTSENGQLVWNIKETEKIKPPRLPVRYRYRSPIFFEFHASGKRHA ECFAAIWLLELVDNEPKEFDVPIWRCDNSQRLSQNYITEENFKNIPDLKLEEVGRLRF SGRFKAGTDRDHLRFVSDNDSRETIETWEACFAEGVRHEEVSKEVPPVIQELHDASLT QGRDVLATASEEEKQKWLAKDGTDWTGAFGKDPATIMSGRQNDDEDEEEDIYESEDDS AQGGSSTTSSPQRLRHPKTDLGIVDGSNAPMSDGRSSIDTSSDARRSNDTGITQATTN GSADSKASKSSKNPISQYKDYKSRSRDLHRQHRGLMQWRPMRNIQFAKDEAKFAVRRV TKLGSLSGRQPDVETEV PFICI_08715 MSTSNTSKRPRLSLQTSTAATGRTRSKSTLGLHTDIKSPTAFNT LSNVYVTAIERSTPVQSTPVTAINLLQTLRIQTNPEVLKDLQRTQTPYTATYPDTPLS ANPKSPMQIEIQFPSTMTATPPLSAGPVESTAPRVFSFGPTDIAGQAGPTSPAQSRRK MLNVPAAKLPYTHPRSLHSILRNSPLPHAGDKSPISPRRQSLRLQEKAARRVGYESPL TQTIITEKYTKSHVDLLSEDASPYSATPTAEDSEMVLDHTMAYTGDETRDGGQTPGPF EEMRRRMAGLGSDNAAGSPRPGGIRKKRKEKKRRWVWTIGQDAEEEDSEASVSLRAAA AAEASTPRVVVPMLSMVQSSVEAAEPRAHDANVDVEMSDASSVLSSSRATTPLNGDLD ARTPTLAALNTHLVKASHLGSAVLFNSETGSRRDTPVPPDLVASN PFICI_08716 MFPGTKTPQARDTNSLSEPKNRIILHFDYDCFYASVFENENPSL RSLPLGIKQKSILATCNYVARARGVKKLMLISEAQKLCPDLVLMNGEDLTRFRAASKK LWTFLRSHSWNKRVERLGLDEVFLDVSDIISYNQQILNPNALAQSFFQLSQEDPEKGF AFDASSFFGCTYPKEYRCQDESERDPLLLRLILASHLAGYLRHKLEEEFGYTSTCGVS INKVLAKLAGTRNKPKNQTSLISLEDQDVQNFMGAHQIRKVPGLGHKTSHLVQNHALS RDSADIPHSEEYSAKITVQEVLSCPNMSPELLEKILGRSGAERGIGLKVWRLLHGVDE SPVKEASDVPTQISIEDTYMSKPLNAPSELMRELRALTASLVKRMHVDLLDEDLHAQE TSGRKWLACPKTLRLSTRTKNRPIADNQNTFSRNSRSQSLPNFVFSLRDSQEVIVERL VNEVVLPQFRRLHTERQGWNLSLINICVTNMVLTGNEEGTGSGRDISFMFKTQDTRFK EFTVYDQSTPVASPEVEDITGAIPKQLMPDTSDDQGGMDEWVEDEPEDVLRCPECGCS IPTFALSAHERFHMMGD PFICI_08717 MLIKESHVDVQTKVDGKESSMRIFLFHPTIPQYPNARFPGVAVF SEIYQEVTGPVARFARQIAGQGYIVAAPSSYHDFTGPEPLAYDVPGTDKGNEWKVKKT LQSYDEDSYKTVDYLLSLPTCTGRIGVTGMCLGGHLALRAALDPRVNACTAYFATDVH SRTLGPYESANTSATAPAGSTHTIDKLSEVQGEVAMIFGLKDTHVPDAGRDLIRLKLR EAGVVFSFYEFAWAQHAFIRDELSKGRYDPAITKVCFEVLLELFGRALKTDLGPKDGT PEKVEHVC PFICI_08718 MVAAVGIDLGTTYSCVGVFRDDRIEIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPANTVFDAKRLIGRKFSDQEVQADMKHFPFKVIDKGGKPNVE VEYKGESKTFTPEEISSMILIKMRETAEAYLGGTVTNAVITVPAYFNDSQRQATKDAG LISGLNVLRIINEPTAAAIAYGLDKKAEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK STAGDTHLGGEDFDNRLVNHFVNEFKRKNKKDLSTNARALRRLRTACERAKRTLSSSA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRSTLQPVDRVLADAKIDKSQVHEIVL VGGSTRIPRVQKLITDYFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSNKSTNEILL LDVAPLSLGIETAGGMMTKLIPRNTTIPTKKSEVFSTFSDNQPGVLIQVYEGERQRTK DNNLLGKFELTGIPPAPRGVPQIEVTFDLDANGIMNVSAVEKGTGKSNKIVITNDKGR LSKEEIERMLSEAEKYKEEDEAEGRRVAAKNGLESYAYSLRNTLADPKVEEQLDASDK ETLKTEIDKCVAWLDDSQQATREEYEERQKELEGIANPIMMKFYGAGGAPGGMPGAGG APGGFPGAGGAPGAGGDDGPTVEEVD PFICI_08719 MGWLWASSSSASRGTADENATTATAAPTPPEPKYSDPEIAKFMA QIQSEFGSEPSAEPQRPSPSSRAEESRPSQQAAAATSESPWRSLWGPAAQSETEPSPP LGSSYTHTNTRVERDAVRQHPDQLDPISESLLPTTMSCRNALDAAFYCQSPGGQWNAV YREGGVRSCSQHWEDLFFCMRTRPMTGKLKEDAIRDHYRARELAKYGAGKPNSTDVWE SRERKVDAGTAFTERYDPPTLSDEEWWAMEIRRRRAIQEALATEKGA PFICI_08720 MISRHVGLGHWAPAGLQRHRIASASTTVTASRLLRPTIATRIPC ARFESTTTTTTTPEDTTGHISAASNESIIWIDNLFPLKLTGLLRAPWQSPDRDVSELL KRFHNSNLGIMDPINMVKRAIPDSLPVKVTEILPRLKDGGAFVKFSHPQGLPAKEIEG TISQRLQDNPIKPFFSPFRGISAGLVKGVPWLEDLHRFPYSRLKVEFVAPNPGEEAVE LSQEDLYVIFRKYGKIAEITSQPFDSKVLPKYAYVDFAFVRDAIMARNCLHGFVCPSN LGGGKTGTKLRMSYEQKVKAHNIWNWITNHPRIVIPVLVALLTGITVVVFDPIRSFFV KAHVQRKFRLSNSKLYRWLRRQTSDIFSFRSGHKGEQAGLNAIWSHRKDVIDTIQKWL METAETFIVIQGPRGSGKKELVLDQALKGRRNVLVIDCKPIIEARGESATIKKMAAQV GYRPIFSWANSMSSMVDLAVQSTTGVKAGFSETLEAQLQKILQTTAEALGEIDISTRT KDDVDASLPVDAWLETHPEKRSVVVIDNFLHKNGEGNTIVYDKIAEWAAALVQSNVAH VIFLTNDSSYSKSLSKSLPDRVFRQVALGDLSPDVAKRFVISHLEGNDEPLQEIESDD GEEKPVAKPKKHNLKGLDQCIGTLGGRLTDLEFLARRLKAGQSPQEAVEEITDQSASE ILKMFLLAGKVAADGTGKTWSVEQSWYLVKALSSSEKEGLRYHEVLRSDTFASSTSVS DGEAALEGLTNAELITVKSNNGRPEKITPGKPVYQAAFKKLTEDKVLSAAMDLNVLTE LSKVEGKTIEKVENELALLGSLPRQPAQTAGRINYLLAKLETSQRKVEAYEKEMAPLK KTLATEY PFICI_08721 MPPRPQAQRRNPQAQNEQVYELGVQGRKTGVTLTDTGERDEYGM EPADNIFSSDPESEDEQDMDIDEASTMGPATVSRKARERLSIPKARSPGKTFLNSPAR HNPHVARTSSPIRGSYAEDGEEQPSPAKSPKRLLDFSKSPKRKPVANGHSQAKSSSQF AKGASQASKALGTKPSRVNGYVHNESDEEPTPRRKKQRSPEVEEEEEDEEEEEEEDEP MDFLNADGDDVEQDSIRDESPEEEDVPEEEESEEEPAPVEKPQPKKRGRKPKAVSPPV EEESEPEPEPARDASEEHEEPSKKKRGRPGKRPLVEEAEPLKPAKRPKGRPSLTKNKD RPDQGTSSAAEVRNVKKAKAAPKPSESKAKAPQAPQAVAAKAKPGRKPKMTDVDAEDP GAVPRRPPMPKRRSLVSQRRDEFEVKTTRSGRVSTKPLEFWRGERYDYDEEEDDEEVI EDKNGRRIKIGSKIKGVVRVEYDEDQPKRRRGRPASGSGSGGPGRRHKRRVSEIEEEE EEERQEWEDEPGRMIGECIYWHPEYELNPPHDDDQVEVAEEELAISESAIQMKDIKDA TFRFAKTMTLPFFGSGIVDLPPQSEKRTKNARKMQMVFFVHYGNVEVTVASTTFRISK GGTFFVPRGNHYSIKNDTDRPSRLFFCQGCEVAPPPADSQEM PFICI_08722 MEDIQMTDDAPNLALETPISDVFVPPSIHRDELLCGDSYEHYSP VPPSLLASNPDTPGEPCCLGVDEAGRGPVLGPMVYGVFYLPLPLSDPLLKTTHHFDDS KVLTPAVRSDLMQTLCTSGSDLHTSCGWATSSLSARDIGAGMLRPGAAMYNLNAQAMD ATISLIRGVLARGVNVREIYVDTIGQPAVYQKKLERVFPTTKITVAKKADSLYPSVSA ASVCAKVTRDASLEVLYEVHGADSAQEDKEDVEEDAKVSTSKKAKKDEGMAWGSGYPS DARCVGWLRTNMHPVFGWGPECRFSWGTAKDMLDSKGGVKIEWPVDEEEGTSRMTDFF VESDSKDNDADELGTWFGTPVGQEAF PFICI_08723 MNGHFASVGEEPTPAQYEHGVQVIDEDKVFNTKISSYLQATDVA DAGFNYHLISVFGSQSTGKSTLLNNLFGTEFNVMSESERRQTTKGIWMSKNRKGPMAD NILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGANMGLLKT VFEVNLQLFLKDKQSTPRSLLFFVIRDHIGNTPLANLQNTLLADLSKLWSSISKPQGL ENSRIEDYFDFAFSALPHKILQPEQFVAETNKLGQRFVAASRSSKTTAQHGEQELDGG VFLPEYHRRIPADGFSVYAEGIWDQIVNNKDLDLPTQQELLAQFRCDEISRESLLAFD EVILPLEEKQAEAARAGKPFVLPDLGKAGSAAREKCLKTFEISAGRYHKGVYKRKHQE LETKLDQRLKTLYQGQISAIHKSGVASFSDAVSSAVKAGQKSGGAYEFAEIVETEKTK TLDFFKNEAQSLAIQGVPWTNFKPQYLLFEKELDEVSAKLRKEEMRRLATRVERWVKS RLGDAVGLEFNKLGSGRAGAGAPETGEKPVTEKDLWDRIWTVFVSIVKEAETRFAERA KSFEASQGEVDVGLWRLRRKSWVALRERIEEEVMEGNILLKLRENFEDKFRYDEAGVP RIWRPTDDIEGIYTKARESTLALIPLLSRFRLSATYGPPDLPEFIGHLPPGAETQDED DLHPIGGVDEEDGKSLEEEMTVLSESKRQDLVVRFKKTADGVYVEAKRSAIGGVAQVP LYFYGILLALGWNELVTVLRNPMLFLLLIILAGGTYVAYTLNLLGPMMQMSNAAWGQG IEIGKQKLREFLENNETARAAIAMPARRDTDSISLDTLDSRGRKAERTTTGGSVADDD DDI PFICI_08724 MSSETYRQGSEEKEEKEESRVEPITLPLHHGRKNRVFLLSYDEI PTWYQDNPWIRRGYRPVSNSVKSCLCSWVRLHNELVNIHSHLFAAVAFLLAEAYVLEP LHRKYSYVSGGDYAVLAIFLLAATVCFSFSAAYHTLISHSQKVETIWLRLDFVGIIFL IVGSFIPGIYAGFWCEQFERTIYFGMTGGLGAVSIIVMLHPKFQGLKWRTFRLLTLVC TGLSGIAPIAHGIYKFGFPQMALQSGLPYYLVEGGLFLLGAVVYATRFPERMHPGKFD IWGSSHQIFHVLVVFATIVHLVGVLTAFDYNYENRQCATHVNG PFICI_08725 MSSAPVENNEVPPASQEVQKTNEEEEEVNPEPQEAKMPTRKDVS LKEFLNKMDDYAPIIPDAVTNFYLAKAGLPPPPQTDQRLARLLALATQKFVADIAADA YQYSRIRASNTSANNPMGNLGAAAGIPIPGQAQPNAKDQGRGGPLGVQRAGYGGGGQG GSQNRTVLTMEDLGMAVGEYGVNVKRSEFYR PFICI_08726 MRPTGSLLKGRSVWKGPHIVPLPIVRPKPGEWAKPIKTQARAAT ILPSFVGLKFAVHNGKVYNEVTITEDMVGHKLGEFSATRKPFVWARGK PFICI_08727 MLPPFWTLLLFSVSIRCESFDYVIVGGGTSGLVLANRLSEDPSA SVAVIEPGPDVRDYFNVSRIDLQGLDYINASIDWQYSSVPQPGLANRSLLYHAGKALG GTSTINGMVYLRGDEAQFDTWERLGNEGWNWDNLFPYYKRSDNFTLPSPEQIAAGVTY EEEVHGRDGFLVTGFPNGLGNSTFYSDYEQSWNELGLAHNPDVLRGTTEGFAIHPQTL DRDAAARETAARAYYLPVEDRTNLQVIQGTVSKLLWSDTPDDDIMASGVEYLTPSGEY STVTVAKEVIVSAGTLRSPLVLEASGIGNPDILSAHDIGVKVSLPGVGEGMQDQQIVG LTYLTSRDISGYHPYVTFVSAQDVFGNETSATAEATDAKIATWAQIVSDASNGAISTE NLETRFRAHHDMIFKDNVTLVEIMPYISSNVIAAVVWTLLPFSWGSVHLSTPSAADYP VIDSQFISVDFDIDVLTAAGRLSQELYGTAPLSEWIAGPVEGGGPAPGASDEDWREHI LGTATTTYHEVGTCVMLPRELGGVVDSRFKVYGTRNVRVVDASVIPVQMSGNPSGTVY AVAERAADIIKADGCEA PFICI_08728 MRLLQSILLATLAVAPIAALSSDMERRIVGRNKPQQKKPDTKYF HEPGGDNELGHYDIRYFKKKVPYEEHRPALQHLIRSYLTTFRNLGVETWLAHGTLLGW WWNGRIMPWDYDLDVQVSAATLHYLGKNLNRTHHEYTYTDPSTGATMKKEYLLDINPH HSDIGRGDGQNVIDARWIDLSNGMFIDITGLAEREPSRQPGVWSCKNYHRYRTTELYP MRQTEFEGVPATIPYSFDRILVDEYGSKSLVTTEWEGHQWKPELKEWVKKPKPEGQQV VGQPAQNGKTGH PFICI_08729 MADSTPDAPTSEIVSITLSHDGKVHSFQLEPDATITDLANTVEE QLSIPVSNQKFIAPKLGLLKAPFKDPNLPVAQLDNRKPIKLMGTTAGDVADLNKTLDA AAAREAVYAAQRRAQPKIRTQKTAQQLQDEAKYTFHKVEPLRYLPNPERSRQFLERLK ADPGIVSVMRKRQYTVGLLTEMDPLSNTESNHEGTTRLLGLNRNRGQVIELRLRTDAY DGYRDYKTIRKTLCHELTHNVHGDHDRKFWDLCHQIEKEVAQADWKSSGRSISAQSDF YEPSEEVAYDHGGWTGGEFVLGSGPSGSRAAGGRSIREVLAEAAEERIRRTDASRRQN DEADPGQENP PFICI_08730 MLNAFRILGDFSHLASILILLHKMTELNGCAGISFKSQALYFIV YITRYLDLFSTESLYNIIFKILFIGSQGYIIYLMTTKYKATTDPNLDTFRVQYLLGGA AVLAILLPYKYTFNEILWAFSIWLEAVAILPQLFMLQRTGSAETITTHYLFALGAYRA LYIPNWLWRYFTEPKHHVDWIAVVAGLIQTVLYSDFFWIYYNKVVKGMKFKLPV PFICI_08731 MVQVGVITCILLLASSTVASAGDRGDDGSNMLERGTTGSRTIRG LVNLVERDNPRSAEQTQTISESVIQGSSTLTRRNNPESKPFPQKRQVGKAEPKEPAAA APAAAAPAPPSDPAQTTTTTLGPLASSVSVSLTSSAASALASVSASFQGQLSALSSQS SADVAAASGAVSASASSALASASASASSAVASANAVTDALNASASSAIAAASSSAALS ASSAVAAASSSAAASAMSAVVAVQASLSSQASVMMPSATMPTATADVSNNLVQPNSSG STDDSGVLVVTPSQIAAIVIGTIVGTAIFTLLVVYGVMLCRQKREKKYLEKLRNGNKD NDQIVLHAIDHDDETGRAEGYSSRDEKQRANWSVQSRAAGPAMSRDGPKGPLTSHRPS LPPLPEESPRVSDQFNLYPPGEGTSHGLDFHPMTYGSEQIGPGMPEEPAPVKLSLMKR RSKGGSQRIAVARVGSTSRQGGENAHKPQRSLSSVTPYFSAPISQVSSPFQRPPLDRT PSSDTLPRRRSDVVSPLALNPLPSATTGPRSTSGFTWGSWGPETTSQPPPIPSLNVPA QPVYQPYSPYGNLATRQQYVPDNGQDNISFLLQQQSSSSDLSQRAAQPGGYDDYAGTS SGLGNANQISSDYLSTPQPTRPYNHLSGISANSPYSSTFEVPLASPAFMSPLSPPPKS PLRTVVRLTKSDRELRDQHNVSPVSSDGGGDGSGSNMTHKAAAPLPLRRLSRSSGELG AVGLAQATAGLDLNIGPSGMAFNIDQVVLDPPNATTTTQQADNATARTTTTLGSDRPS RASSLRSTYTNTNPNGGGRFTFLSSNGHTPESSRRSLTPTSPWGGPAAAAPPHHLRKR RSSSLGRIEIPPEQLTAGGIYVGAPGPRFTLFPRTDGGNSRAMPPPVVAPLSPSGLSH GKSRSTGNADYEQRQDGRVGFGLVIR PFICI_08732 MGISRDSRHKRSASGAKRAYYRKKRVFESGRQEANTRIGPKRIH TVRTRGGNQKFRALRLDSGNFSWASEGITRKTRVIVVAYHPSNNELVRTNTLTKSAIV QIDAAPFRQWYEAHYGQALGRRRQQKQGKEEEQVKKSHAVEKKQAARFSASGKVDSAI EKQFEAGRLFAVIASRPGQSGRCDGYILEGEELAFYQRKLHK PFICI_08733 MADRAEPQSVEAHHQVEDSHVASDKETDTFEDAVDTSSVRSLTA RKSIASQHATRPTSSGSDSTVEKDDGDEFKEPAESVTERDDSDTESEVEVQAATEVKI EAKTEHEEEQEKESEKESVKEPEQPKESEVEIETETEIEPQVIEADAQPDVRPESRAT TASSRVSHSNMDVVSLDDDPPAVAAQQKDEPPPVPPKQVSKKLSLSNIANSLPAMPWS PPATECPPKTSPSTGPAVPEKPPAPDRTSTSRSKLSSPFSWLSRSSTSKEPAASPPSG PIRSPRRDTASSIATLTSNPEMLAKLEEGDEGVTGRPARHSLKDRFKMVRLREEAGIS LGVDAEEDKDQASAATAKAASVLGITSPGEPPLTPVTTDANPPLRPGTASGVSAGPAE ADAQVDWDLWQTVVYEGPSAVAKTSPEELNKAITTGIPTVIRGVVWQVLAQSKNEDLE HVYRELAARGTERDVSRNSAGTSISSMSNGLSIDKETVASSSSSVHSDEVGGANGSKS PPSEKEAEAILKAQALAAAERKKKDKEDAAMISKLEKTIRRDLGSRTSFSKHAQAAGL QEGLFGVCKAYALFDESVGYAQGMNFLIMPLLFNMPEDEAFCLLVRLMNHYKLRDLFV ENMPGLHMHLFLFERLLEDHEPAVYCHLNRRGISPHLYATQWFLTLFAYRFPLQLVMR IYDLILSEGLSALLKFGIVLMQKNASTLLGMTDMAQLTAFLRDRLFDVYIDQAPSSGS ILENGFFGSSSSSVDKEVYRADQLVRDACDIKITPELLKAYTCEWEEKTRAEKQREEE MEHLKQSNTSYAIRVRKLEERLEAADTESTNMANELVHTKIENEELKDENESLKGQVK ELRNVIEKQPLELENAWKEERDSLMKRNEKVHEENQKVEKEMSELEEELVQTKMRYAE INSQYETLQRKWADLKKQFN PFICI_08734 MPSLEHNSPQVHCAKTACGNCSVDCSDRPLQDIEDLDKVQLLDL LRSKERELKRTKIALGDAQKVMNKLSGSSESIHSEKPLRSANWFGRKDDPGMTALYVE RYLNYGYTREELCSGRPIIGIAQSGSDLAPCNRHHIELAKRVREGIRSAGAIAFEFPT HPIQETGKRPTAAIDRNLAAMALAEVLAGYPLDGVVLLTGCDKTTPAFLMAAAQVNIP AICLNVGPMLNGWSQGSRIGSGSVLWKARELHAAGEIDDDRVVDMVADGTPSAGHCNT MGTALTMNTLAEALGMALSGSAAIPAPYRQRAHAAYETGVRIVDMVRQDVRPRDIMTR EAFENAIVVNSAIGGSTNAPIHLKAIAAHLEIKLDLDDWDTLGYHIPLILNMQPAGEK LSEDYYHAGGLPAILAELIDAGKLPHPNCMTVSGQTIGQNAAGKTTWDRETITTVSSP IRQDAGFLHLRGNLFDSAIMKMSVVQQEFREEFLQNPRDPNAFQCRVAVFDGPEDYKA RLDTVDIDKHSILIMRGVGPLGYPGAAEVVNMHPPGSLLKQGVKVLPCIGDGRQSGTS GSPSILNASPEAAAGGNLALVRDGDMVRVDLNKRRVDLLVTDEELSARREALNSQGGY HVPASQTYWQDVHRREVAGLNEGMVMKRSLLFQNIVERYPVPRDNH PFICI_08735 MAAPIDDVASITDVVAAVNEISRFLAHEQIRDTADLDKYLAATT SDSGGAAPVVDVIVFCASAVLYTADGVFSAINKVKEARGAQRQQSPAPYAHRLVLVLC GGIGHSTHHMHEAVARHPRYHVLAEQVSGKPEARVLQAIAERFFGLVANDPQADETRE PTSGPGATKGTLTVLVEDQSTNCGANAAMTKNLLESHGIFRPRNIIVAQDPTMCRRTA ASFEKLYEADPASRPRVLCWPTFVPRVAAVNDNVSSSLEKGTLARLIFQVDRAKGPNL DDLWDMPRFADLIMGEIPRLRDSVDGYGPKGKGFISHVDVPQSVETAWCLLQLYLGNL DRTI PFICI_08736 MLVTNIPSPSILGKSGSIPQQKDSHALQAPEIFDDPSNLFRDLE VLRESDNIEFNEHLKAYVVTRYNDIVQILDNPETFSSQPTVPVPPDFMVSRLQSKCPL RGTLLGLDNPDHDRLRRSVASFFVPRRLKRFQPLMESFANQLIDDFIEDDQVEIKSSF ALPLPLKIISAIAGLDPERWQWVGQSLALFGGHADMNVGTLEDKIQGIIALHEHIADL IQQRKRDRRDDLISHIWNERDSGNVTMTDFEHLSMIPGLLLAGHETTTNLLSMGLSHL LHNNLWTIATENDQSTEAAIEELVRYESAITGMKRLVTRPVQIGNICFQPGDVLFAAY NAGSRDPAQFTHPSSVSVGQQGKSQHLGFGRGIHACLGAPLARLLLRVEMQTLAKRLP GLRLVTPYEDRIYHPVSEGRGIDRLLLSWDSQAVSKPDKAEPLIAYVPSHTLKDEVIS TSVEDIVKVAQNVIQITFSPLPESKLPTWSPGAHIDVPVGEKGFRQYSLCSGPRDRAR WRIAVLKEHKGQGGSEFIHRTFQKGQRIDLRGPRNHFALRKSDKYIFIAGGIGITPIR AMIEEVEAQESEYQLLYLGNERQNMAYVDELSRNPRASVWVKSTQGPCDLGHFFAGRD FTGSLVYCCGPERLMVAVERLFSNHLPSSAIHVERFSNNFASSHKPNQPFDIVLARSN RTLRVPENQSILQVLHENGVPLMSTCTKGTCGTCEVSVIDGVPEHRDTVLTHEEKAAN RSLMTCVSRCKGKQLTLDLW PFICI_08737 MANANISVGGQQNLNLEPAILEGADAHQNTTLGNSKNQIAESAT TESAQISKRTTLETKAPVDLPLSAAAHPDPPSLLPLSPPLSPERNARAAMSSTRLFIA SLGNPAPYQSSRHSAGHVLLRALQSHLQLPALKKSKPYANGLVSMGADVGRPEYTLWQ SPSYMNVSGKDLLKAYKQFIAESRSFGGDFGLPGLVVLHDEMETAPGQLRPRNGNLSA KGHNGIKSVQQSLQSAGLMAKLTEPGAGGKFIKVGIGIGRPAGGSREKDVVSAYVLGN FTGSEHANLVGSTSNLVTILEDARMSMSN PFICI_08738 MSSSIAIPAPSQSTLNVFCSTNKVSRVSVAKLAFATALHQYFDL HEFSCAEALPKYHDDRAHDPISGSRQVRYDSELASTTSIRTAFGLEKADLLSDDSLAP LLVNHTISTTRNGERVTNSPTAGLVFAKKVPALTAASPSSHSTQHNVSSLVVYFDEVS LSGHMLYNMEQVSEFVATGFVDAFSAALQSISSVPLDTLIADLDICGSHSRTIISSWN QHTTSAPNKLLHGLVGRGFLHKPDAIAILSWDGQMSYSELDKRSTSLAAYLMDTYDVK PGKKVALCFEKCTWAVVSMLAVLKAGAAYCCLDPSHPRARHESIIHMLDTPVVLTSTL HESRFDGHPVLVPTVELVSQERRYQPTNVQPSDTCIVAFTSGSTGIPKGIVHTHNSLV TGILSNATPQRIDREGVSTFQWSNFTFDVSMVEIYAPLIFGGRICIPSDEERINNVEE TMNRMAVNWAYFTPSFARLFAQYNIPSLQTLLLGGEVVTPDDINTWYDRVKVIHSYGP AESATFFLYEFNSPCSKTVPIGPAPNTYSWIVNPNNPELLSPVGAIGEMLYESSGLLK EYLGNPKKTKEVLIDAPSWRQNLDAPAPSSKLYKSGDLVRYLPDGTMMYIGRKDTMVK VRGQRLEVEEVESVMRKSLGGSSQVAVDLVELHGSGPRLVAFLQCSEEPGLNGNVNDG CSGTHQSSSVPGKKMELIAQLRSRLTDTLPGYMVPRIYLPLATLPTNSNGKLDRAKLK EYARTLSRSELFKYSESGPSEEVLADIPQDDAVALEVSEIVDKVLGRPESKGENPLKG KNATLENFGLDSLSIVSLVRSVNDFYGLKIPVKTFRRANLNVRDIAEIVRSRNKVAPQ SDEHTRSASILQDIEELDRELARVQSDEHALRKKRLQTDRDVVFLTGATGFLGTQILR QLLELSSVSKVIVLVRASDASAGLQRIVAAATTARWWSPRLVSRIEVWLGDLARPRLG VSVDQWARLEGTCNQESEAVTAIIHNGAVVNWSSSYERLRATNVVSTVQILQLALAGT GSLRYFTYVSGGEMHLSSEAVASDPSRLSSADGYSQSKFASDVLVQRCVARSPAGQRI NMVKPGIVIGTAAEGISNTDDYIWRLVAGVCEAGAYVDGEHDAVVCLAGADHVAQRVI DACLGSPCDGDSAPGALKMMEGVSVRDFWGVVSERTGLVLRAMDFDDWLKVVNSNVSL KGPSHLLWPVMEWVEQRKGRIGDARLATCKASSCTGHYLDPDHGQGTKAKLVECTQGK DTREKTLQALRKSVDYLSSLRFLQGETLLESARQDVFRRSGISS PFICI_08739 MTVAVPNHDVRSTNGVTKPYDVEKIRQHFPALRGERIPLNNATG SLVYDGAVKAIANALSTYQLDFIGGDQVSQLTIDERKLKYAELAAFMNAEPDEIAFGP STSGLIRNVTNSLRPLLNSDSEMIVSTLCHEAGCTSWVALARSLGIEIKWWSPPGGKG NTNAKLSVETLRPLLTPKTRLVACGHVSNIFGTIHDVRAVADLVHTIPNAMISVDGVA WAPHRPIDVKAMDVDFYFFSWYKLFGPHFAQMYARSSVQQRHMASLNHYHIDPTPLDV KVRLGTNCFEYEDGVLEIVRYIRRIGWDAIIAHEVVIVQPLLDYLNSHPEWFTLYGEK SSDPNLRVSLVSFTVNGVSSKVIAKAVHESSPCRILAGSAYSLRPVHDVLGLDDGGVL RVSLVHYNNLEDVQTFIKVLDQAVRAHAKDRR PFICI_08740 MTQHNENRGPIPEQPTDAKTPAKLPAWAQQTLVEETRSQPWKEQ NQVKDDADFANGEDVIHVPEEAVRVLGHRTSSDHGIPSTHELGIRPKGSDNASSSGSR DLNVDEGSDYQHDAWRRVLDQKRAELKLRAKDHKKHGILLGLENGNADQGGQDPVTAE NFLQVLTAKYNQHGVAKRMRHVRDMFASVEPFIAAVTTLAQTERSAALVWGSLCLIFQ SVLRFANFWEEMRRMFRELADDLPRIHEYAQILHTPRLHDALRAVYATFIDICLMTAD CLEADKCYALMLIEWSSFNSTFTRKCGELRGMTQDFDKEVNLARTKEESEHHKDIMKA LQNAGLSAGNPITNITVPQNPKFTGRDETLAQLRTCLESRYEQQNQGAPDQGEADEDQ EPDLVKSTRSCLIHGLGGLGKTETALEYTYRFRRDYSHIFWLPSENHGLLQEAFQRVL EKLPISQNRRTGSLPMTKKVEMVREWLQSTESKWLLIFDNCVQASTLQDFWPAGGQGA IIITSQNPLVGHMTNNSIALTPLLPSQGKKLIQRYLGRGDSEQKDAEQLSRSLGGLPL AIVHFVGYVARTQCPIRDINRSLDQRMHSSQIWRNSNAGISSDTRSYQLTLDTVWDLA LQRLTPDARELLDLLALLDPDQVPTSLFLGDSGDVATLDASDTKWQPWSTNRFNSAVE LLYERHLVERYALADGDFLRTHRALQRCILHRLDDDIDMRDRRFSQVTKILRNAVPVF NTIKRSDETQYSQFAASLPQVTAFHDIFKQSESQPQGTFELTSIFVDIAYYTFAQENP HLGEPFLSTGEAICRDLTESSPEKARSMLADILSQSHNYILGWGPEGMQTAIDLSSQV VEIRRWEKAAVPKEEDMTETQIVNYARAVTDHACCLCWADRIDEAAPLFAESIEIYTR LNNIIRKAHASTFRLYVYSARHMVTETRELGRWALDQIVAQAGDENPVTTPAKFRVAQ ALYVIGDVRQAHDLHKEAFEKRSSTLGDDHHTTLASRYCLGVCQQQLGMLEEAEESFR DILTRREADRDWRQADIGRVQLRLSTILKTQNRTGEAKRLQLDAVQSLGHTSQVGEKT DAVLLKELDYLVALSHGRTTGIWSNGNF PFICI_08741 MDKIYYPVQKSSADPRTTNLEFEVQEQTFLDMRESLNSFSLDIH GFESRLWPTQMDTSDFQDREKVESCYFDEAREILKTIDEGYDNVYFFDWRLRDASAPR NRSRLDMNDLTTWLLPSQNVHIDQSAASVLKRVQLHLPDDAENLLQGRVRVINIWRPI RGPVEDYPLALCDGTSVSDVDLIACDHVRRNFKGETIYPYYSPNQKWYYLSKQEKDEV LLIKMFDSDPSVKASMTFADFEENHLMHLFTIHAAHPMHFRE PFICI_08742 MAACVSDTHKFAPGYDRAVRNDQNNRPLVIQTKRAFMRSFILIL SLLVSLAVYKLALSSRYKRLWLGSYELPADDDDIVKLRTYKILNGHNDLSYYLRWLVR DRINSSNFTTPFENGQLDGHTDLVKLRQGRVGGIFWGIFTDCPTNDYDAEYLAKMSTN TQAAVDVLKRIEGKYPHNFETASASHDVGSIFKSGRIATAFGLEGLHMINNSTAKLRE FYHSGVRYATLAHNCHNAYADAAIVRLPGSDHNSPAPPKWSGLSPAGEKIVVEMNRLG IMVDLSHASAATAQQVLERSTAPSVRRLIPKQTSGWDGRLAPPIMSHSNAFALCPHPR NAPDDVLQLIRARKGIVMVTFVPEFVSCYWPDGTPVPDKVPQLYPPNATMQHVVRHVR YIGDLIGYDHVGIGSDFGGMPAAVEGLDDVSRFPDLVTEMIKQGISDDDISKIIGRNI LRVWESAEFVSEKMQAAGVEPIEDDLPVQDWPWNN PFICI_08743 MNQLAKTLGLSSELEFYDVYSLDEPELLAHIPRPVLALLVIIPL TPSWDRSRKAEDAGKEPYTGSGPDEPVIWFKQTIGHACGSIGLLHSLINGPAVDFIKS DSDLAAIRSLAIPLGMEKRAEMLYNNEPFEIAHKSVEQAGDSDADPTIEREGGHFVSF VKSGGKLWELEGSRMGPLERGSLTDDEDVLSPRALDMGIKRIIKLNADDGGEELLFSC IALARRS PFICI_08744 MNYYAPISTEKRATGKYRRVWPHTDFGVITLLFQDDAGGLEVQD RYSSKPDSFIPIVHEHPTEVNVYVSNSLEYMTNNYLKAGLHQVATPIDMQDVAKGTLP ERQSLACFIKPRREVNVGPMKQFITAERPRAYEDLTGLELHRVRVGKLYGAPVEAY PFICI_08745 MTSMWKDFENIEYRFRKSRICHGKLADPPSELEGHQKCCKTFEQ SGDWPMDNYEEGDLLEHFGSVGSGGAGARTRARSSSWSEFAKGGAHRPSPAGWPGRPT AAATLQQRIEFLLKCSEEAGFKDFDTAIHNYYTAKPDGPIWSGARESSERHGLSELLI EICAGRQNWAGDQAHRCTDQVLHSAEEVLLSELRVFTESDGMDFMNVLKSYGLRSQSI DWKHTASILQIKVR PFICI_08746 MLTVLGTPEAIGGKSVNWPSQNAEALQEGSEAQIGSQLFITQKD FGTLWSQSQGLQAQSEIRMQNETEEQVVVEPSISVTNQVNHNIGKTIRITFYFFVKQ PFICI_08747 MDSEARVVIRSKASKEAKEAKEAKEAKGSKDSKKKPSRSGETSS AKKTRISPKEVIEAASKVDFTDRKEREVFERDYKQSYMRPLKYKTVLHILADNEDWDE DTYWSSEMLRQFLDWFLEINHDLLEKKNGDDYTPLHLSLVRGNAIFVDALLRDRKLKN LSKVLPSTCQYGNPLHLAIKNRLPIGHLMMMVDKCTQFEGMFTKREEKTGQTPLHICM SMDLKKNDEDEGEDDHDLGNEESESDGEDEESTEDDESHGGDSDDDDYEKIDEGGGAK SASTIYRGGSKHSTLTRTPSGLDPLPIRTNTTGHNSKVVTVQSPATPEQGEADFKATQ EFLKVVELLVQKHESVLETLNKASRTPYQERIHQLEHHIKTKEKNVDEKQLQLRITKD PIASYIRLHCVRNFDRDRIMRCLYRPGQERHIEFDLGGFPHATISEDYLERLKSHLKF ESILKYVAIPKLTMDYPIRKTKTKRTSVKKGLSDLTNVFKWLENNGVERIVRVMVLDD RNPSHSDTSIQQALSRFQIETWDWKKLDLNTDVISNSTKVVREISLYSSGNNAVLMGW SSSQGLPNPIKFPSGLEDKETLNSYISNFKTAISDATQDRVKVQEIHDDEDNSYTSEF KNSGGATQLDNTWIDSLAKFSDFLRSAPTAEEISEIKIAVIDDGVDASLAVFDDKIKI GKSFCLYANSEDLRSPYYIPSGNHGTCMATIICQLCPKVSLYVARLDERTSADGKHRQ VTPKSAAEAIRWATECGVDMISMSWTIETPVEGNKDMESLKAAVTEAALKGILMFCST SDQGKSTKDYCYPGDFPDPFRIGSATDTGEPSAWVNPEKVDFLLPGKNIPFKNNEGKI VSFESGSSVATAAATGLAGLLVCCSRLLKEDGASMLKSEHMKKVFTNMSQSSGSKNHF PRAREFVNRFKRFYESARTGKSINSSSVSSSLPAIWDDKCDFALKRVIDNLRDAY PFICI_08748 MGSDDIVLLEEGDPNSGFRTQNLPGDKARSVLIDEGKALILKAT LVSVTHGSYTEDGDPATLLVFEFTFISVERARRFSSATITLTFDDASENLRNRPEVVA ISPSGQFAINKTTSSRDLKQSVNAGLNGTVLGVGGEVGYTWETSKVEEKSHATTLIGL KRVVGGWGKDNRVIFKLDEDEITKEGIPSFLRTAVLLKRRDDVPFRFTIEVDTGVDFG SKIRRLFGRKNPDAIDPVELDDETDLEELGITTLDPNKVDLDNMRDLNIKEQADVIIA TLIQVPA PFICI_08749 MCDAPGSAPPLHHASIKDELVRGTNWVTSQAIYSAHTAELSRCQ GPKPAQVWRQHMNVMENYADKDTHLVLVHGIGHDSTACQNATKFWSTRFPRALVTSFA FLPEHARWGDGSFQERAECLLDTILKDRRKLTKSAHDELMSAQALPCVILGHDLGCAL AKQALVIASEDAECGSLASDAEVLFFFQDVKIGADPGSWEHQLLHLLSSAGLSSNSPT ELLRELPGALEELSWAFAAIQAHHRTVDICDKMSSQKSPVGIQQLHSTSQTSAPLDNR FKSLIFAHGSLVRRLSKISFSNHQIRLSRPSMNSFDWILHHKSVSDWRSYEIDSPKLL HISGPPGSGKIEASSRLISFLRETTPTSIILTYAFVNQSLESLPKRDLYISLIRQILL SCPSAFPRGKPISTWITHQTVFSVEMLEQLLLFLLEGIPNLPIFFAIHGSFPNESSDG TELINLLKTRQDLSSVCKLLLINEDQAYSIGALGDNKPNCRYIDIIHDANYESTLEGY IGSKIEHLIHIRPEYALGQTDILEKLIQTNSSFLQATISLKLLEIAQIPTTRAQILGY IGKLTMSLTDTYKLAFHDGQKRCAIPVLPLLQWITFAVRPLYQTELAVVAALIIPGQL SGDFLSANISLNIGQDIRCLRGTLIKLVGSKVYPLHQSLRHAFTDQDNHDSKDPDGAI LDRLLDYLEFVFESTPQYEDVSATEASSVISDNGDVKPLPLEGPGLGLSRYAVSHWPD HYKRMRGRPEVKDRILTMFEKENNLNIWSRLYHQYIGHIDIDFNHVLDIAGRFGLTDL VVDIVRRERQSSTHTEEELNKICSNSLEIAVIFNNQEVVEMLLDYGAESSDIICLAVR HGPISMIKRLIELRPELINQQSSAGNTPLLIAAREGRIRVADFLLQKGADISAVPEYP PGGYTMQHCFAATGNTSALRLLIDAGVDMNVQSFGGWTPLLTVVGRRAEESLKLLLEH SDIGARLVDGRSALHIAAYSGYESICDILLDAGADDQSLSNDEYTPLHEAAMGGNLVV LRKFLSRSEHAVQNQNEAAEPELSAPFDPAKERNELLHIAARNNHLEIVQELLKDNRY ASKRKCSTAVLWAAQRGYIEVVATLLASGKVMAVQDYEGNTALHRAAANQSQAIVELL LQSTTDFDINALNKPQETPLHCAARSGRLWTVQTLLNPRRGSGARADLKSKSGQTALH VAASYGHTAITAALLEHPDMKDACLSTDDDGDTALILAVREGHVETLEAFLPLLSKHT PQDLQGPKDAWYAAVEADGEKCIPVLLHNKWPMINDDSSPRATALHQAAKKDEVDLME LLCKNGAKIEARTLSGDTPLHWAAEHDSKGAVRFLLNRGATIDPHDDEWVTPLWKAAY DGSYDSVQELLNASPKPDVNVQKRSNGWTPLHAAYDRGDITKLLLDAGADPRILNEAG NPAFYEAADHYRGHEIIQHHLDAGMDPTMRNKKGQTILHVTGDSGSRSTVELLVARGS DINARDDEGIAPIHVAILDENLEVVQCLVEKNADLEVDCQRYGTPLMAAVQSGNTEIM KMLLDRSARVNNFSHEHIHHTPLQAAAANRSHDYVRLLLEHGADVNITGGTFGSPLCA AVRENAQDCIESLLEAGADVNFVGPQGTALEMAIANDSWAVVDLLLGQDIDPNSTSRG RYGTALIAAINSGNFEYVGKLLSRGANPNVQAEGRESTTEAAVKKGDTEIFNKLLMHG MEINCQDPSGRGPLSHAIVWKSLDLVEILWDREEIDLDEQDFAGRTPLMLAVIHAPDM VGGLISRHVDVNAKDKLGRTALVYAIRQDYDDLVEDIIKAGADPLMTNNRGRDSLYWA ALGSSRNSFDVILKAVQDCGDSIRRRDSVRLAVNAAIAGENPGFIERLLEELDSDWQL ADSDDWSPPYTAMRYDQVGILASTSENLGHGPLFRSLETTDPQAVPREWHASDHATAL LREPNPLSITVQDPPRENEYPQCIARADHPMVPGASGVYYFEVTIVYNLDDSDVLGIG FCREDTPLEDEYLGFVDGSWGVHHDDGKAYGGSRAGAKFSEGFDNGDVIGCGVNFVQG IAFYTRNGTVIGQAFDNIRGRLYPAICTRIGKAGCVLRAQFWDYDGNGNSGFMFKGPY DGDETRKESKIAQQAAEEDSSSSSSSGSYSSSEV PFICI_08750 MESQIPTAESSQQQQVGGQSAFWILVTLALAAVTQPSTCSRRPG RNVIDGEIDLLRSLPGTCLFDGIIDVIVLCRALGDDDPARRRRRRAPLTANVATVRLA ITFLAVLPQAIKVFSLRGIPVTQVCAALYLFAAVTKLIIDLSRFETDGYYPVTDGPDS TSSAILLMSVLFILYSQFALEIGVWCDISSSVIIHLPPQVHNVSTWLNFSCVSIILLQ VLVFAARILIPPLRRSLSSPYAIPIFGLFLLSMVSGIGETEEKLAQTMERPKSAIGPL PAWAIRVTDTCRYVTCVAIVSILMAKTIGGLGDLIARRGRLPTVAPDGTEPRVASQPL SLPIPNDPPTEPGPSGGGPADSNAVSRRKFMVSLKSFMSWTLSLGARTDRRLARLLKT ESDSTILAMTIFNLITTICYYLVWYDGTGTESPNWVNILG PFICI_08751 MAGELPSGMFSKIGETLKGYVAPTEEEGTSTSKRGGLESASSKT APGGKMTEQHEGATTVHKTKAPAVQHETVKPMEHEQVNTEVDKDIHQDHYHRTVQPVK DKKVMPTKHTYNETEDSRQFDHRDDTASKQFQQEGAQFRNLREVEGTRRTQESAPARQ TENIHHHVHETVQPVVNRETIQPEVIHTKHNIHETHHLNAQHHATTTAPEIDMASYEG TGTGTTGTAGKKGRGKKAGLGSSYDDELSGNTQRGL PFICI_08752 MTQTQSAAVTKCEEEMATLPTHDQTDDSATPRVQMTMVERNPTS DLRSCSCESPCSSTETNSTAAGPTTCKLYSKLPLSQHIRDAGRPKQTAFSIRLIKLKP GVGGDDLECDLFYVPSLKSVRFVALSYCWGDKSDITRISVNGRMVRITKSLYKALFHL RHASVEIILWADAICIDQGNESEKSWQVEHMPQIYTAAAEVIAWIGEGASGSEQAMDY INRYVGATSEAPCQHQDATPHTQLKSLYSREYWNRVWVIQEIAAAHRVNGKCVIRCGH KSVAFADFQSFLTRFFAEQIYTKDDSIMRPKHLVALSTAYEGKSFLQILFDSASFQST DPRDRIYGIRGILPEFYRNRIKVDYRIGFQKLCQTVISTYIKHEKNLGILCQFKRFSP NDRYPSWVRDLRCVIGGISPSIYSASAGSEPRAFTDDTTLHTDGRCIGRPGRLKGPVD FPLQPTLGQSWPETSNLWKIRTFITRALRKRYPGITPEALESRSMNIVSGDRWRGATA EDTRVPLDAREIWNFISHLDTSSVVDEKVLLMYKHFNVIFSSLINRTLFTTIGGSIGV GPPDMKYGDMICVLHGCSYCVVLRKVKIRHYTFVGPAYVEGAMSGEYIRQAFDDEGNR MADERFHIR PFICI_08753 MGSETSSYLIIGAGVFGTSTAYHLIQRYPDATVTLVDRDAFDAS RRVAASWDWNKVVRADYADLGYCKLALEAQDVWRADPLWKPFYHESGIYWISRTGFSQ KVLDNYAKLGRQADLYSLPVEEARKLHGGIFGDADYTGVKEVLINNTSGWADARDALR KVIETSVEMGVKYVVAEVTCLEIDDVGVCRGVRTAGGVVLTATHTILCSGSFTPKLLE MSAEISGIEKLRADERIISAGVTTGLTHLNDGDMDSYSAMPVCIQENPPERGASNGML PPNKDSQIKWWGQYIFKNTQEVKRGKFISAPPDKPDYAEWEVPDSLKNDIRFASKVTA GKKGESWELEQHRICWEALTPSEDFIISKHSAADQLFVATCGSFHGWKFFPILGRYVL QMLNGELPPEWQIRWAWDRQLPDPSDLVVWPKRELKDLK PFICI_08754 MSVPKRVLFLTNSEYGQANVVLAVAYALLHASTDIEIHIASFKV LGDAVRLLSDYALETAPEPKRSKGVIFHEINGQPYARTPLTRETFDLKPSFYNTSRVL LAVPEVMTPWNPKEFTVICEEVERILDEVQPDLTLVEPLFSPGLTICHDLGTIKWMVM APNTIKDFAVPVQPALAALWKYPLVCSALPFPLPWHLIPYNIALNLVAGYMVLTDKRV ADVSAAVKSRFGPGVQLITANELGVLKAPPAGVRFLVANTAELDYPFTRLPEYIIQCG PIVRATKSLLEVDPGLHSWLERGPAVYINLGTYLTATADEAAELAGALHDLLHEADRI GYRTKGARLRVLWKLGRKDRADEDGRPVQSSDQDWTGPWEKVASLLRAEMDTDRARIT GWFTAEPKSIIESQNIICSVHHGGASSFNEALCAGVPQVLLPPWADCYDFANRAELLG VGVWANKNSTPRWKRKELASALIKVILGPDSEGFKSKAAEFALKYPENSGRDKAAKEI LQAL PFICI_08755 MHRLVTLALAVASIAKASPAQQQPRDGLIIYGDADFDDLVPGHP IGLYGALTFSNLDVQDLSDLGDRDSYGAWPLSGNNVAVFSDTAGRGSRLPAVRAQHRG SVTDAFDMKSFNWGCVSSVSPCQLVVTGHRGGKRVASATFTASTDGGSVNEATFGSDF EDLDKLKFHPYRAGSIRRATTDEEVTWIDNFQYTYFIGGREVVNEYGTVPLPTTTQSV SDIFITTTSDPTITVPPFPDCGETCFSGLNDCLEPTSTCFTGCLEFPFGFPDPNPTRC STAIGDVIITSDANLPPVETIIDICGPCFWDIDDCGQAFETCFDCTDPVPRLSPRSIC LPTSIDATITTYDGITSTATPSLPSVTDSSWPILPAHQQGRPLWL PFICI_08756 MPNPVQVATKRIETPKLGENGYAGFQPGKSEVLKSGSNPFDAKP LKSDIQIDHDVEIVVRDGARLYIDVYRPAGSTEKVPAVLSWSFYGKKYSALDMLPICV WNCCVPRSDLSGLEKFEGLDPQYWCPKGYAIVSVDTRGAGHSDGQICVMGSQDAEDGY DVVEAVAKMDWCNGCIGMAGNSALAIAQWFIAAQQPPSLKAIAPWEGMADMYREQFCR GGWFSMSNFDLITKAIVRGQPNSGLEDWAEMYRRSPTQNNYWKDKRVDMTKIKCPAYI RGSDVSSIHTMGCIRGWLEIPHEQKWIHWSSKQEWYELYSEPESMDELAVFFDRYLRN EKNGWEEKTPKVRWSALQFGNREAIDNIVLEDFPVPNTDYRELFLAPGNRLTSDKSPS VGSAVYDSEDYKSFADFTYTFTEPARLIGLPKAILYVSCDSRDDFTVFTILRKKDKDG KDLMHLNFPFHATPVKTIEEIPEKDQASLNLHLGSMGILRASQRAIDETKSIHPQFPF HPHEKQEKIQPGTIVRLEIGIWAMGVDFQAGESISIRIGGQFPSIAEYKSFSEPRPEH ELNRGAHTVHFGGDYQSSVILPFTPLK PFICI_08757 MSTTTTTSTATAFQPEQQRTFAAKFETKDLVTFDENKVYGDWRD EFHKNGCVVIKNVISPERAKYYADKQIEWLKKFDLGFDENDSSTWTADHLPVSFKGGM YFAYGSTHEKMAWEARTEPAVIEIFEKLWETKELITSFDGMNISMPKRTDIQWSPWPH CDQNQRRKGMQAVQGLLNYAPNGPKDGGLMLMKGSSKLFDEFFSQKREGFAHEDAPPP ELEFMDLFLFSEKDVKWFEERGCELIKVNMEPGDFVLWDSRTMHYAKFPEGDQIRHVQ YICMTPRKFAEADALEAKKYCFENYMGTTHWPHCNVRLTKEKPMRNGEVCPKYRTEPF EKPEITDTILKLAGVKAY PFICI_08758 MKDDKAEVTHLESHALPGDNDVVFEQEEVAVMGTVRLTDGDIVY VPTPSADPQDPLNMTTWHKYAVIIIISIFSTLGLALVSGFGGLLGFYIPEYAAVGKDY ADITHLMTYPTLFMGIGNLIGMPIAYAVGRRIVFLFSTVIVIVGAILCAKAQNYEWHL GARMLLGLAAGQSEALVPMITQEIFFLHERSRGLMIQQTVQVILTAVVVLFAGPIAEA ITPQGWYGLGAGLAGLQLILSIFLLPETKYYRPASAFQESSGSDEDGKPQRSTQRVEL DLVNYAPRTWRSDMRLWVGEPEWKKGWDTFRQTFELILFPNVFWALCLNGVTLGCNIA IGTTYGTIVTGAPYNWPQSSASYVNCGQIITALVALPFFGHGSDKIIRWFADRRGGLH EPETRIIPLIFPIVIGIITAVLYGLGAENPEKFHWFVYVWGVAAYYFAFVGANIVAIT YLLDSYPARAGPMLIVICAFRGIISFGVSYGISPFIESHGYAATFSTFGGLTGAFGLL GVPVYFYGKRIRQYTGKFSKDKSD PFICI_08759 MAGLTIKLAQRSPKQPVKRLPASIDLSATATVEDAKAAIAKKVG LSDHNRVGLFDPATKKTLKDRKAVLSTLSYSELLVKDLGPQLGWRTVFVIEYLGPLLF HPLFLAVRGYLYPAVYPYLKDHVPEPNAFDGPLSWNQKLTFACIIAHFLKREYETVFV HKFSASTMPVWNVFRNSFFYWAVAGVQAGLEVYAPFSWTAKADNPAIDALGLALFLFG EIANLRVHAYLSTLRSPGGTERQIPHGHGFEIVTCPNYMFEVIAWIGMILVSRSPSVA FFITIGSMYMFSWGKGKERAYRKEFGDKYKNKRFVMLPGLL PFICI_08760 MSAVAGPSSTTTGPGQATLTGQSSDARPSGASPPSSLSGSLPRA LGSNTHATMLASLSPSSPSFPPTGGTNTTTASSSSWFGRSNNNNNSLSYQHNQSIAPS QPSPRAVPTTASTSVMTTGSTHHHQGPILHPNLPSPPSSPEQTAQARAALVGTISNLL DTELQGRASLLHANAKALEKQERDVAKATEALRKETDKLVKVVKQGSEKVREAGDVQN WAEVLERDFLVLEDTLRRVRRGSGGCSDPDCSGCSCSGGSGSSWSGSRTPSRRGIFDE AEDGDRDGALGKGKGVEDVVAPSSDGESGIKVLPDGGAGEEVKAAGDQQEGSFASVTN AIAASISEAMATSIDDRPSLPSASSATGSGSVLPSGSTTVVADVHDHKGKGVFSTGDV TASSSSFMELDGDCEDERRKEDDFAASPSLEDRDIAGFAGLALQAEQEDGVFHQLGGE AQAAPEIAEHDPHAIEPACSEGSNGVEDKMDIDVPSVVTSLGTEGEPHSSAQGKDDSA KSEERTGI PFICI_08761 MPLGANGATVIPSQSSSSRGLPTNPAQGLRRLPTSIANMPPPPP IPEKFQQSSSSNLSASGPMSASQVIALAREAMRSAIRDNESQAAEASGVSTELKPGVT VDLSRRNIQQLPDEVVDIIKNELERLALSHNKLASFPARFSECTSLRYLNVRNNHIKE FPLPLCNLKSLEILDLGRNKIRVLPPDIAKLASLKVLAVQKNRIEELPLCLGDMVSLQ ALKLDGNAITFPPREVLQVQASSPPNEGFLKESEVTEVTVTAHIKKFLKQKTAEKLEA EKKIEFENGMGDEGNDGSETPRFPLKRVVSGRFPIKVNGIDAPDMRSPIIPRPPPIPS RSHARGLSQQSTTVRKPGVMPLTIGNPNERLRSNSETILQGSRMDRSAERPRRLMSKR TNELSTLDETQANNRFSHYRGLSHGSSMTGNAHANMVSPNSPAEPLLQRPNYVRRLSV LPEQRRESKVVDPILESAKGILYAIFQIHPMIQLFTRLTNDGTAKRSSLEIVFFNTNM HVEELEQEIMKHESLGADSQAPRENENVQRAVLTLINAYGHICSILLNNMETIVSNGD PRYIRTLMLCLYNSITELRVTANQSLMGMPGFNARARTPRRIGPAGTLKPHSRDSSAT PTVDRANVGPRYRGGQHSHNPSNLRVATDVSMPYLNGSGRTATITSATPRSGESFASS DGGRYGTDISGEERHFEKIFLSMSKSTELLMRTLPMFNQQFNNSLREARAQRQPEHAL QCWRALINRCSQSIYQTDLLKGRLSAIKFKEPGIRTDPGFWALCKSFVDAWADFGNML RRAMDQISLSLDIKTRLRPIQLSVKETTQLIYASPWANLFRHAGQYQSQGPIQGQFQG QFQGQFQEGVNYYQQGHYTSNSNSTQAQLPVTPQSAALGPAVQATVPSTPQSASFANA FSGNVFERADALISMGGLSMSRSGTMSSSNHSSFNSISSMTSAQDDRGPESLLSPNNG AGPVPFRRNGVGKPPF PFICI_08762 MPPLKRTGSYVPPDLDFTLRRQFNRPSFRPQQREIIQASLDGND VFVQAATSFGKSLCFQLPAVVDHGITIVISPLLSLMMNQVESLRAAGIDASTLNSNTP MPEKDRIYKDLQTGHPRTRLLYVTPELCALDRFRGILRIVHEQRELARIAIDEAHCIS EWGHDFRKDFKRLSWLRQTFPDVPIMCLTATANNKVRHDVLSTLGLDEKSESLKTFIM TAHRPNLHLEIRFTSDEKDERLEDFIQWIRSVYKRRSAEPRKTELPPNERVDNVPGII YTISRDECESLAASLRAEGIGAQPFHAKLSKDVKEQTLARWVGNVQGYDIIVATTAFG MGIDKLNVRFVVHWRLPKSFEGYYQEAGRAGRDGKAAYCFLYYSREDRDRVSNLVIRD AQKSGNAKNFQARAESLQKLANYCESTNACRHALLCRYFGEEKVPECDYACDWHKDAS DLQKRWLRELASEEFVSTQREEGRFNVDWDD PFICI_08763 MNGGQTIVRIPMDTSVQNMISIRKIRKPRTTIDQEQGTWAFFET KQMIDAYRYQWLKINVFSRWTEATNQTVLILFDLDMAVMDHLLQTFKQVEPDCLADPF WPYIELSGEVARLQDTAVWRIRNQVRDIETHRVQGKPEPEYRRLHDLARHAIHVSESL DVAARTLEAINAQHHDTQSTSLDRRAWTRVRQRLLFHKQLVDSLRYRSVSNEKRLQNE IQLAFNTVAQYDSALSVKIGEAAKIDSAAMKTISLLTLAFLPPTFICAIFSMSFFNYD SEGGWTVSNQFWMYWAFAVPLTLLTSIVCYKWQDITEFIDRFRRQQVQEKVIQEKDRT AISPI PFICI_08764 MLDVRHANLPKPPTDLNAYTLGSIGDHNIVIACLPKGKYGTVSA SVVATNMASTFPAIRFGLMVGIGGGIPPSVRLGDVVVSVPVGQYPGVVQWDSGKAEEG GTFRRTGALNNPPTSLLTVLTKLESEHEMMGPQFPQYLEDLATKYPRLASKYIKSDEL QDILFDKDYPHADNGGDGGHDDSCKSCDKSRSVKREPREAQVHYGLVASGNQVIKDAI FRDSLIKELGDNVLCVEMEAAGLMDNFPCIVIRGICDYADSHKNKAWQEHAAAIAAAF TKELLGYVTVAEVAEEVSATEILSQIDQTVETIKEDTTHTKQLLDRKEDRDILSWLSQ THYGAQQSDILRKWHSSTGRWFLNSTVYQDWLETKGRTLFCPGIPGAGKTIMAAAVIN NISLQLTRNTKIGLGYVYFTFSQRREQTIEHVLSSLMMQFLHRQDSLPKHVRELYERH KKNGTRPSRDELIKGLQVVASGYERAFIVLDAIDECSTINQCRTQVLDDIAKLQVDIG INILATSRMLEEITSKFGHQSTVLPITAQKADVELVLKSQMEVHDQEIFSDSFKDEVA YKVARIAKGMFLLAQLHLNALIAMPTKGKIKRALEGLSSGLAGLHDVYESAMVRIESQ NSERSELAKSVLSWIVHARTPLSVMQLQHALSVTPNAKAIDEDDIPTVGTLQSVCGGL VTIDTASDKIRLIHYTTQEYFERTREKWFPHAQQQITQTCMTYLSFPIHIQDCEDIVD YFERSQLYPLYDYAHFAWMHHAKESPAMPEVVEFLRKVERDQVVLSWDLSWCGQSLGP SNTPTRKTQHAALHMAIHFGLDYAAEELLRSYDILKDHDCDPTAIAHAIKHERVAVVK LLLQVEGMDVNRKDCHLMTPLAWAAQEGQAEIARLLLRDGRVEINSKNGESELTPLAL AARGRDEGIVQLLLETKGVDINSKDREGQTPLALAIKRGTTKTIEFLLQAEGIDIKNK DHTGQTPLALALERSNMKALELLLKTEGIDINTKDSDRHTPIGWAIASDFANVVELLI NTEGVDVNRKNQDGVTPLVGAIGSGFTNVVELLLKTEGIDVNQRTHAGQTPLALAIER YNTQVVGLLLNMEGVDVNRKNHTAQTPLAISVKLGNKKVTQMLLDTGRVNIGSQDQIG QTPLTLAFKAGDKEVFKMLLEAGAVDINRQDQAGRTLLALPASKECAEMIKMLLESGR ANANLENQIRQTVLALAAKNGLEELVEMLLATDKAEVDNKDLAGQTALFFAAENGHEK VVQLLVGTGKVYINRRDGLGQTPLAAAASRGHDKIVEMLLKIDKINIDGKDINGLTPL AAAASRGHDKIVEMLLKIDKINIDGKDNNGLTPLALAAKSGSFETVKLFIETGKADRE SRNRAGYALLTHAAREGCMERVEMLLNSGYFDFIVEDMATLKTLRYFRTTREDLGKHL VEFVKMSVWRS PFICI_08765 MSPLGVLGRIAVANISSSRTASQRLFTLSRQFSSTARIMAPISK ETDYLVIGGGSGGLASARMAAGKFGTKAMIIEGSRLGGTCVNVGCVPKKVTFNAAAIA EAIHDSKAYGFSVEEKKPFDFTSFKHKRDAYIKRLNGIYERNLKNDNVEYVAGWAKLI SRNEVEVTMNDGTKETVRAKKILIAVGGNPTPPPSNIEGSELGINSDGFFDIDSLPKK VALVGGGYIAVEFAGMFNALGTETHLFIRHEHFLRTFDPLIQEGVTNEYERLGVKLHK NSTQSKIEKDADGKLTIHYKDSNGEGKLEGVDHLIWAIGRTPATKDLGLDKAGVKYDE KGHIAADDYQNTNVENIYALGDVCGKAELTPVAIAAGRRLAERLFGPEQFRTARLDYS NIPSVVFAHPEVGSIGLTQPQAEEQYGKENLKVYTTNFTAMYYAMMDPEEKGPTKYKL ICAGPEEKVVGLHIMGLGSGEMLQGFGVAMKMGATKKDFDSCVAIHPTSAEELVTLK PFICI_08766 MVQSAAKLVQSLNSAQLKKLAIACGVASSGTKTVLAQRLEATAQ ALAQNKSVSASDKGILSIDMGIRNLAFAYLVAPASRARNKRSLVGVNAPVPNVDVKLW NRMVLADPQLGQEAHVEEARWSPSYMADMTLDLVQNVLLGPENPPAYILIERQRFRSG GGSAVQEWTLRVNTFEAMLYSTLRTLKSCGRWSGQVIPISPQRVGPFWLEGAAHTELS KPVSSKSELGKVTKAKQKKAKIDLVGNWLQDGKIVPSGQAQVTATSYLERWNGKRTRK SRDETESVQERLQKLDDLADSLLQGLAWIKWQDNIRLLDKDGPEALLEEAAP PFICI_08767 MASDVMNYSAIEEWRLKYEEVEGGRMHSSPDGRNIWRTVRTLGK GSFGTVCLEECTSGPQHKTLRAVKAIANHSLTGQAKQREVHAMITFSDKQIREYQEHF VQCSGWFKDDGHLYIAMEFVPHGNLQDYINTKQPIPEADAAVITAQVAQALQYMHQKS FVHRDLKPLNILVHNPAPEWHVKISDFGLAKNTDGSLLATQNVGSHGYVAPEVLRRSK SSYTAAVDVWSLGAIAYCLRTGSPPFPDVYKLVDYANGRRKFPHLSIDKSSGYFCVDF VINTMRVEAQKRLTIEQCIAHDWLSRSHDIVDESVFFCCVSMTRRANILSSSDTASEV NRDSVFDIDAKGTWGSTSTEATKMSSTYQFSSGEKTNLHDKMSSMTIRDPSVTVNANE PTADYIRGGFRPHKSDIFIALMGVTGAGKSTFISKCTDAEVEIGHGLESCTRDVRIYH CSRFTGVNVYLIDTPGFDDTNRSDKEVLQELATWLGSSYQEHITLKGIIYLHRITDVR MQGSARRNLMIFKRLCGPDALKNVILATTRWEQLNDEEVGRKREQELMQIDGHWGWMK QRGSQVLRHYDTSKSAMALLDIFVMSPSHPIPLQIQTEMVDNQRTLEQTGAGQELERA IAEERERSSKALEELKSEMREAQAARDKEAAEMIRQTQEELTDKIRRLEADREDLKMS LDTMYMARIDELERRIKNQERKDEERKDQERKDQELTFPEPPDPKSPPQRQFEIRVGE IFRIGRKIGGSSLDSAVYYGTNILSGAEVAIKLETDTTRLRKLHREAPVYKTLAGGVG VPHVHWSGTELDRTALVLDMLGPSLEDLLQFCNGKFSLKTTLLLTDQLLSRLEYIHSK SIIHRNISPEVCLMGIGNGEDQVHVIDYSLSKKYRDPATHVHIPYRENKKLYGDPRYA SINAHLGVEKSRRDDLESLGYMLLYFLRGNLPWQGRSASTIQETKLSTPTEVLYRGFP KEFATYMNHVRSLPFHHHPDYSYLRKIFRDLFASEGFQYDYVFDWTVHKYAQANSN PFICI_08768 MDPVSVIGIVQTCFTLGPEVIKICKNWKEAGKEVEQRILIVESC WDRTRRQVDFMLHIESAIDDELLRILDGLLGQLCISLTDAKNKLETVTKRESLSRPGF LSFRSKAKNASWVWKKEAIDDIIAELEAWQRRFDPSWFLLMRITSPTIDSELLSARKK GLGLEGSVIASNNPLALAAGLRNVLSPDLSKFKSLFLPESPMEWCDIPYTGIKLARRT SGSDNKWYVIDRIDVRSGAKVRDVMRDVRVLSARLSKSDPLVFGLLSCKGVIGIPRSN TPPEPTKCFESQQTSSLPLRSRSPRLDMSGDHSLHKPSASQHDYSCFQIVLRVPHGMN QLQSLRQILLASGEEPSLSRKVRIARELAKAVSYVHTFAFVHKNIRPESILCFEDAKS SQSHAFLAGFDAFRASDAGTMMAGDLSWEKNVYRHPLRQGEDPTETFNMQHDIYSLGV CLLEIGLWESFVEYDCERPDRGPYLAKFGKTYHRFRAYSKSKTPNNHAIKASSFLDLA FSLKDFLVNEASTKLAPRVGDRYMRVVVSCLTCLDEDNLNPGEPGDDTEDLVAIRFIG TILKDLDDIRV PFICI_08769 MTWQAPEIGSRPVAVLGGGVLGRRIATSYVAGGYNVTVRDPSPV ARSDALQFIEENKAAFAELCSPPVPGQYGTYAAYEDIETAVRDAWLVIEAVPEKLELK IDTMEILDRAAPRDCIIGSNSSSYRSSLMLDKVSSERRRLICNVHYTMPMDIRTVELM TDGETEEAIFPFLTQVLERTGMLPATAKKESTGFIFNRLWAAVKRESLMIMAEGVSDA DQIDKLWKHMFQAKVAPCAFMDQVGLDTVAFIEDNYIKERGLDGKLTVDWLRENYISQ GRLGKKSDKGGLTVAPKSS PFICI_08770 MPTISLAQVAEHNKPDDVWFVVHNKVYDVTKYLEEHPGGSVILQ EVAGKDATQEFEDVGHSDEANEHLEGLYIGDLPEEELAEEVEVYRPTFEQVSQETDIV STRKSGGALATVGKLGITGALGAAAWVALQKRAPHIDWTPILRQIQFSAPKSNGNMWV GIAVATAVQASASLGLVAYLWSKMDIHSGLSRFKPRRAARPDRYVVVRRKPAGSAAIT PSRAVSNVLDAKQYRPFKLVRKTLVAPGVYRLIFALPYPDAVLGLPTGQHIALQATIN GKNVSRSYTPISNNSDLGRIELLIKVYAQGLMTQHLAHMKIGETIDIRGPKGSMQYST AYAKRIGMIAGGSGITPMYQLIRAICEDESDTTQIDLLYANNTEEDILMREELEGFAA QCPDKFKIQYVLARPPSEWQGESGFVSKDMIEKYLPKADPDSKVLLCGPPPMIEATKK NLAALGFAAPGAISKAVDQVFLF PFICI_08771 MSSQKFYLVGDSLSTAKDISAEPAWKLETLKKAVGQAFNVAVPA GITFHHLDSKKELEDTEAVLSCSEPVGLRIDGSAVAAPSGPEGLPIVGSFYEIFPDHL GNHWRLFRKYGHVIKTTNMGKTTYLTDSPEVAQVALMESPFFTKLINENHPLRGIKDN TAIFLGDTETDNWRLAHKFVPPAMGPKAVRHYTPLMQSCVRSSFKVFDEMDSRGEAWN VYQYMVKLASQTIGKFALGMDFGHMDGVDAPTHPLVSNIVNLLSLNKKVTTRGEWYKA LPWGDAAKLKEVQRVTYGMLEEAIAKAPSAGGMDGLSLNDAALKASCVADYLNHAVDE TGQRFPKGLVLSNMLVVTAAGYTTTSSMLSWMIYCLVTYPEIQDKLLQELVNYDVSNE ATWTPDLAHSLPYLDKVIKEAQRLHNASFQPGRTTKQEVVLPGGYRLPKDSTVIPALY AIHTNPEVWRDPMRFDPDRWDTEEVKNRHRAAYIPFATGPRGCIGFNFALLEIKILLS ELIYRYEFSREGMEAVEYDPEFQLIRPLNLYVTAQRRTSWPAKAAK PFICI_08772 MAHQNDALNSTLRDEETVSSLLKSKRKARAVKSCFPCRHRRVRC DGGSPCSSCLARGHAELCQRQAGISAAAPAQPSAPTESADADRAGLLLGGIGKEQQQQ EEDPDLVIKRLEQIEEQISSIKADLKRKAQSQAQETAAAHRDSVRGPTKAAGQHFIER DTGATIFLGSHADPPTALGLMPLFGPSSAFDGLAPRTYAFANLWTPEIDIEEVCKTLP EDRDIIRYWQIYQACVYPYYPALVSLDEFSVSLFAFLDCNTEARAKTVSTWLGLLFAL LACGAQFSDDPVEERELRSKVFVCSSFQCLRISNLFSNTDMNTIQALALIGHCLRNNL DTNTAWIVMGLTIRLAQSIGLHEEARSAESPGPAVKRKRLWWMLLWQDAFLSFTYDRP PSTSVETIAIPYESTEGYSFADATLTVIQIILDRSREESSQLNTQPRFEHYTHRLAGI QEDSAPFLKDKANCKSLQEHLERLALQIHVGYARCRIYRLYLENNNLDPATRESRTLE YSAHAATVVQSFLDMHRLSANACRASAFIHNVVSSAVALKDLMSRSRPSSPAPAWDAN FEQCTQRLIKVLEREQEKSEWTDSDTNVRRFGPYSRALLALKETFGLPQQSV PFICI_08773 MEIRHQNCPIFVPKKKIKKNVGHERESQVSFPFMKLPAELRDTI WHFAAQRGRLVGAKFCKSKGLFGLGTMHRSWNTPPAIAHVCYHSRFVALRYGGMIRTL HGEPVPFYDPGTSSPRPDPYSGTSLWLNRDDILFVNGTKRYFFHDQDLAETLNCYCQT EEVLLAYNIMDSCWEDCVTNFFSVLARSSKLHKTHVKVVNLFIPIDCLHWLIQRELTR SPELVDELFRDNDVRLIDLRNEAAMAAMNKHTPLTNSLVDYARKQWFKASKTGNGTKY EALVTSLRTQWLNIKWYQMEEEVRSRMNGWNGINCLTGHVDSDWLTKTITEMPEIRPV FVIAKDDSWDWRRSVEKSKKDKKRKMTQARLNMTKAKCLVKHS PFICI_08774 MQNPNAAQHRLQRRSPGMDPPGPPPPSGVPQRPMSPAMQPRSST ASSRSGSSRRNQSGGGSNMPLSQIEKSVTHLLVATKQLLETLTQWSRGAATDTQVSDV YVRLGYEFNMACRAFTAINVDTSDLGNVPENLRHILESTLSQEASTESLEKYLPRIRD IIINLLHGLKRKQQKLRQKQARDKEGGAASPDDSSMVSRTMSSSTAGSSGTGLTTLLN EGLDNNYNGARDSSGSGPSVSNGSPARKIQAREQTLSSISSDQSSLSSTTMQNIPVLP PYPGDESTIPAGPPPPQIDIDAFPPPPPPPKSQQSALAALQRGGDLERRASRRYSAYQ ISKHLGAPTNGVPMLPSQNTPIPNRGRGEMRESLRAVQVRESMRHNRSGSSQSKTTLE PPSVEIPSTVTEGSFSSGQDKSSLESPQTSSPDERFRPSATVSGPLADDFPISPESPE KTRPPPIVEVTQAKPEQVQSPERPKTPPQQQQSTSLIEQSPALDKELTLFLQYKSKVK KFVLPEGYTGLTIGKLQLAFIEKFSWNTALNGADLPEIYIQDPVSGVRHELEDLSDIK DRTVLALNIEPLDEVKKHIDEGIGALRKAVDEVKQNVDDHGTALQRVSDRQLETAKEM ARLAAAPPTIIESASSKATGPGPKLNPNQLRELQSLRRDLAVMRQTYSNFQTEIQSSM STLRNKANNVKVAAAKASVPSSDDGSGPIYVSKGRKQLNTDSDRLVTKVDDLQDLVED LRKDVVHRGVRPMPRQLEDVSKDIQTLTNELTKVREYMKREKPIWTKIWEKELEDVCQ GRDELKVMEDLMVDLQDDLEKASETFALVEQATKEQMKETAPAARQLSKGLHNLGNTA DPSAAKEGVLGEVRALQPNHEDRLEAIERAERLRQKELESRSDNPLKKELATFVGEGK LKKSGGFEEVERARKAKDDKIRREVWERMNGIVADDGMGEGEEEEEEEGDMFDEDDID GDGEVAEEVEDDVASEAAGDEKAPEHETSV PFICI_08775 MASTKIAIVGAGPVGCMLARILHVTAPSISLTIYESESSPNFRS QGGSLDLHPETGLAAIKDARLEEEFNKYARHDGDYYLFCNENLKTLFTFGPNKKGNER PEIDRSDLRSMLAASLPEGMIRWGCHVSRVESDGQYQRLVFRDGSAAAGFDLIVGAEG AWSKVRQFVTDVRPYYAGVSYQSLTIPDPKTNVPNLDKLVNSGNVFASAAHQRLSVQQ MGDGSFRIAYVAVRPESWQDPDSKDWCGYNVHDLEAVRQAILQEIAHWDPRLKEAVHY ARDRVEARSLYMLPPDFKWEHKAGVTLIGDAAHVMTPFAGEGVNVGFDDARCLAAAIR KSLETGSSLDTEVKEAEEAMFPRMNKFQQLTETLLKLQFFSDDVQNVMPKVLMAHASM DTPSFAHPLLSVAIQGWWKAKTLKESIFG PFICI_08776 MAGKADGKSQNGNFRTDTAISGNRAGEQRVLQRWQPDTPSSNVD HSLEKSSGGSWNQFETNERLFGLKTDYDENMYTTRIDKSNPKYKERMAIADKKAKEIE ASVASTSHVAEERVMDFVGGDNGGDEEDKYSGVKRQQQNDFPPLPGGRENKYTPPARR APTGSATVKGAPFDPAIISSQLKSHKPQTVAKPADDLKTRAAPAETATPAAPAAKPVE PKSEAAAETSQKTADTKAAPQAAAPSKPSTTSARTMSPQNKDAAPAPNATETVERDVL VSFKAFANQQRHNAEKVRSSKARADKEVKLTELKKFASSFKLPTPVPVDLIGIIAKDP AKQKAIQEKAARDAAECQRQKEEDKAASQKKQVPTPKDGQAGTSSQAPQNDRTRASGA PSSSQPAAAAARHPGARSSYVPQPYPPYRNGASHMGPAGGRQSQGLAARIQNNQKMGG DMRQPPTGPANVDPSFGRRGPMPGHMNKLNPNSHEFRPSPFAAAFSPNGHPSAGSSPK SALNNVDPNAASNHAGVVTFITRKKTAPNAKKCNILSHLKGQKKPEGKNWADNDGYKP PYDTAPAWRQAQDDEKPDSTMRLSYTEYFERQPFATHPTPNPSHVMPQMAHQHQLPFH LQQGAHNVGPRVSPHVPPVPIHPGQHGPMAHGPFNGADDHRMMHSNSSQSYSSPRVGP VSAAYPPNMNAQGQVPYAQNGMPFMTGNPQQMGYNPRSFSNNPGYMPPQGVPMGTPVM MQPQFVTAQGMPGGQMQMYGAPPFMPPGAVPPQPMPGANGYPSPGRPAATMMVPQGSQ SGQPIYGMSPGMQYGQPVFPQQSQMNNMRGFSNPGYQQYGSSPQQIHQYSQQHRNGSN NFNNKNFQGHNQHQQPQAGHPVPSGPHGRTPEGTDEAK PFICI_08777 MQFTTTGFVVAALAASTSAHMNMYDPPPLKYKGNPNAVTIDSDI TSPNTASSYPCKGALDVFDTDEGASVATWEQGSQQSIKIEGGASHNGGSCQASLSYDS GSTFTVIYSKEGDCPTTPELDFTVPSDAPTGDKVLLAWSWINHTGNREYYMNCASITI TAAGSSKKRDVVEEKREEPKKVNKPAKRDTAFTDRPALFVAQLDGISPYCVAEGVDVQ YPEPGPDVDSTGSSLGPATYCSSGEAVAAGSDSGSDSGSSATGAGSTTAAATSAATSA ATSAAAETSAAVTSASMYVSSSSSLASTSSSSAPLSSSSVPVASASGTLEVSSMVSSV PTGLTIIPITITKSSSSVLMTSSSSPGGVFITSPGGGDASSTASSTAAQASETTLSTK TSAASTSKAATTSSSSSKAAGSSAAATKTSSSVPAASSGAGSTSAQSGACTDDGAWAC ASDGASFQRCASGQWSAAIPMAAGTSCVPGISDTLNMSRRSTNMRRVRRGYEAYDQII PFICI_08778 MSPTSSRTFSTPSSDPPKHEMQHFPDMLSALPSQSGEFRRVLWT GLYSQLVLMTIPVGGDIGEEIHTVDQILTFTSGTALAQVGGAEKDVKAGDLVVVPAGT KHQFINTGPTPLLLYTVYSPAEHKPTSVHETKEQGDQEEEDGIDVAPDWSQRSKAQNE KEGWVKAEE PFICI_08779 MSFSRSLLGLFLAGTSLASVTVEDPSDVIDPADWAADNALLADY NVAFPSTQPSDIIAGTSYVSESVAIDHESFSVDANDTSVILVAEGATFDGSYLDILKF GYSSNLLYASFWGFNAAINVANASTANLDHVNITVHNGGANVYSYGTDTVVTVEDSWL YSSGPVSHGLYASGNGTVIGRNLQHYSGGYRSSSFSGDSPAGYVYVYDSVAHAAGVGS ATYYALGTIYAENVLSVSEQGPVVFMDGVQNATLVHCDATAGLLGGVVLFSSMDRQSG GRLELVDSKITTVGDDVPGLWFGNTIVDVVINSSQVISPSGVLIVANYSQVTQDFDYY GGYPDNNNLQPAEVYASVYESSLSGDLVPYNGSYISFSLEQYSAWAGAAYAGYGVGLV DVSLSADSNWTLTADSTVQNLTDADASLANIDSAGFTLYYNSTLNDWLDGQTISLTGG GSATPA PFICI_08780 MSAETGAEAPNLNLGPEEKRVYGQLFRQADTDNVGVVTGEIAVK FFEKTRLDSRVLGEIWQLADRENRGFLTPAGFSIVLRLIGHAQAGREPTPELALQQGP IPRFDGFTPTPAAAPPTPQPLQAQGTGGVPVRIPPLTPEKVSQYAGLFERQNLQVGNM LPGDQARIIFEKSGLPNETLGRIWQLADTEQRGALVMTEFVIAMHLLTSLKTGQLRGL PNVLPAGLYEAATRRGSMSRQSPATTGPPLSAIPRQLSGQAPLRQASPLGRAPLSAQA TGLQPQTTGSDWAIAPADKARFDQFYNDLDKTNKGFITGEEAVPFLSQSGLSEDALAQ VWDLADINSQGHLTRDTFAVAMYLIRQQRTRRDGSTALPTSLPPNLIPPSLRVQSRPA TAVAASPFDAPAPEPPRPLPPPAPKSALDDLFGLDAPAAPALAPMATGGSAAKDPFAN ASPMQPGSPVRASPTTNTFKPFIPSSSFGRTLQTHDTGGSAGSKPTSAVDDLLGDNDP EISSKLTNETTELANLSNQISSLSNEMQQVQGQRATTQKEVSQTSQQKKNFEDRLAQL RALYEKEAKEVAELKDQLQKSRDETRKLMTEMATIDGNYRDIQTQHQQLSAALQADQQ ENQSLKQKIAAVNAEVAQLKPQIEKLKSDARQQKGLVAINKKQLSTVEGERDKLKTEA EDLTRSTEELSRQINTSSPPPTAPAQVASPALSTASGNNPFFKRSGSTDMMGAFATSP AKSYNDKSFDDIFGGFAGGASSSTPPPPTSFKQQNTGASTASVGSFATPAASTPTNVS RAAHLAFGDPPPPPASRQISSSYLPFGNISESLTSSRQASPPMSRAGDNGTPVTGSLA PAPLEATATGNSAISSHDAVKAAAPAESEVPDSTTSNGTIPGAFPEDTPRAEAGGATP SFAGNRDSEIFEGLKKDPLNAKDDFDSAFASFGSPNKAQEKSKDTGNAFGAFDSEFPP ISELERDDDSDSASEGNGFDDDFAPSSPPSKSAEGKETPKAPSSPVATSKSAAVPNVP AAEGASTANQASNDFSSAFPMPPATSQADDPFQPAADFAPAPGTVTNTAPVAKNAFDD LDDEFDGLEDAKEGSADDEFANISRSNLDDFNPVFDSSPPPSQPKNESTNVSSAFGMD SSYDFGSVSNPSGAPSQAAAGASTAANTNGTGAQAAGNHDWDSIFADLDKPGTEAATT EDDAPKSPESSRPTLQNSGRALTQEGVHDDPILKNLTGMGYSRTDAVNALEKYDYNLE RAANYLASQS PFICI_08781 MREIISINVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKAAD PDHGFSTFFSETGQGKYVPRTIYCDLEPNVVDEVRTGTYRSLFHPEQMITGKEDASNN YARGHYTVGKELIDSVLDKVRRVADSCAGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQTATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG VERPNYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVI SAAKASHEANSVQEMTMSCFEPYNQMVKCDPRHGKYMATCLLYRGDVVPKDAHSAVAT LKTKRTIQFVDWCPTGFKLGICFQPPQYVPNGDLAKVDRAVCMLSNTTAIAEAWSALS TKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVATDSLEAEGDLEAEY PFICI_08782 MWKHVCLASLFVATALANTEKAIFLGPKTINLPPTHPNLQDLRI DTLTPAKWNIRTLLNAQFPTDGARFGKATWLVLDELTEGQRYEVRVCWAATQPTEFRI NTYELSTVFETPELISELSDFSWSQQPKADDDDSETPEIIKPVSKEERQASVLLLQIL AAADYFTTNQTLMDEVPPVLVDIILDPFIFNVLPRSLAPTVGYVVLVAVFSWFLAKRI SARIRGLAASETSQTKKDQ PFICI_08783 MAGPASPGTEGPTYEPPQLPPGWIAQWDGTSKKYYFVSISTGVS QWETPNQAAPGGTPAQQTDHPFGVPKPELITHPDGTQTIRHADGRMEPILPPGDGARG VGGETGDRSIGSFASNLLMNQLSGGKQSHGGSSGSSSGAGKLVGALASSLFSSGKNDH QQPQNYHGGQSSSSQPSGGFAGSVMGGVSNMFGGSNQSHSSQNYGYSNSGQSGSYSGQ APPTSYQPSGQPSAAYSSPPPSGHQSQHSQHSQHGAPSYGAPAHQQHGTPSYATPTSQ HGASYSSPAPVQQHSGYGHHSPAPQGQHYPQQPSYGSSAPAQHAPAQHVPHQPTYGGQ HQQYPQSQPSYGQPQYGHMPAPPQGAPAPYGGQHTYGHQSGPAVPTGSHPHYGQHGGH QQQGSYHNNQW PFICI_08784 MSLLAFCGSTAAFIVAFKRVEWGTWMTNDYLSYWNYGYKAIEIF FAGVYITFLGQVLTRRAFSKTSKAFNIAEMTMRNWVIQPGSVASHYEGIPHAGMSLLG GITMLATLSALFYTSACNSLITPQILWTSWKDNQTISTYARTAYANINYIKSTCPAID SAVNDKEYSSSCIIMRVNGESYRTLLAYLGDWQNEKYPAGYQRPGARSIIMGNTTLSS TWVNDGHPIVTEVDGRVINNVTLAMPHAGLSILYSHAYSTSEDIRQPGDQTGFNEYDL GASIVAPSINVLCATAQESEVKPLLGQKDDKAKESPLDDVFHWGKKYNGRYRPTFEVL APDYQFVTDPRDTIPRADSVYTMGKSGGSDDYTVCQLRSVLTTDCITRFQVSSFSGNM SAFCGANVRGLDQKSYKEVYSLEEDHFDISGWRDLAVQWNIALGLNNGVINASSANVR LLSGLILSKPELHENLPSLAETLAVFASPLLVDSSLGSTFFHDWRHGDVNDTWPVPGA PEDIITKYRYQSYRSGLADGKTTPGQVFSFAVLLTVVIMSLMCLCHHLSRMGMVTDFT EPQNLFTLAINSPPSQELQGACGRGPNYKDLGVSFRVGYADTANHYYFEDARDAPTRA VAKRLSRFTAMSGSGQSNLLADRAYAESYKRLSAKSPLL PFICI_08785 MICAFAFTSLWVFCTSGQAQQIPEQRFLQKDTTSPFVQPTYQPL KLGSIQPQGWFLDQLQLEASGLAGDMFDFYRFVDDSKWIGGETEYSILDEASPYWFNG IVPLAFGLNDTRLKGQVKYYLDYVIDHQQSDGWLGFETTHQTRGLWARCLLLLGLVQY AEADPTETDRIVDAMHRYVELAHSMLQDNYTGLLVHGDDVFDTAGFGVGRTHEYHIPL QWLYENYPRNNSKIIWETMELMIDGGVLWGADWRTYFVEGVYPEVYYDGITPWNLSWV FLHGVNHAEGLRYPLAIYRMTHEESLKTQTRTAVDLLANYHKSLAGTIIADEYITDLN PNRGAELCTAAEMIFSLSWIYQYLADNDLADWAEQVAFNALPASITSDWYSHQYVQQE NQPWSRNLTDGSAMWVDVNSYANVFGLEPNYPCCTVNFPQGYPKFLANAFVGTADSGL AHVYLAPSDVSVTLNGNDISVSASGTYPFGTSLTYSVSAAAPFSFYVRIPTWANNQST ITGPTDVSSKPVTPTDKGLQKVSIPAGENTTFTIDLETQPRVEMRANNTAGIYYGALL YSLAIEYNVTETVPLQYRTEAVLPANTTNSHTHDHIMVPTSIWNIAIDPSQIQVVHKN VTEIPSPVWELGAPPVELRVTAVEIDWPLLHDTPDIPPLDPVIKGEPFSARFVPYASA KLHMAHLPVVSLPKVDL PFICI_08786 MSSTEIRIKPLGWQDAPASERFELSDMDHTMPKIYVQIAEIFEL PEGSDKQVIIDSVSKGLEFALSQFPALAGTIHMDETNGRMWVTKKKDSSVGLYVKTAD DDVSDLPSFDYLNQHDFPVHILDGHRLLPKVVTEKQLFSPLGHNADDQTITSTFQINF IRGGVILAAAIHHNCSDGTGCNGFLSTWAQSSAAARNGAPFKPIDKESLNRERLSAAK PDSARWETLDGKYPILKDLGGPPPPPPADFKMPPLKIRLWHIPKSSASRLKQDIGSEL GDAWISTYDAIIALLWKSVTRSKIPLLKPDLEDEVILAYGMNVRSRMEPPLADCYLGN VVALPSTEPRPIKAILADGSLSEMALAVRQATQSIDHNYVAGVTEWVAGLEDRRWITI NMRSFLGMDLAATSWQAMTPYQDHDFGFGLPRALRWPHPQFEGYVFILPSRANVKVDG DASDEGLEAIVCLEESCHDRLLQDEELLRYAQPRGHEA PFICI_08787 MKREVVLEDMQPVVWAVTIPFAIICLTSCIIRLYARHMIAKSFG PDDWLMFVGTFIWIGQQYIAWMWTILGGGLHVDKVSADNQFKISVYLFVEEFWYLLLQ FIIKTSFLFFYRRTICTSGRFRTTIYVVMGVVVCQTIGTWLFYGLQCIPIQAYFHPEL YPNAKCVATKLSYYLPSAVNVAVDVVIYILPIFPLWKLQTSRRRRIELIAIFTAGGGA VLVSLLRFIVLYQLSNTTDTTYVFGSVTIVTSIEFAVAMITNNMPGVAAFYQNWRGKN KSSQGTSSGTHGRSYELGHLATIGSKQQRSKADGYGASKDDDFFPGTDSEEELNPRHH RDSRTLQKIMEGSGEVRVETNVVVSHGPVPSSSDRGLEKATGGYFKFG PFICI_08788 MELARSLAKGIFAALRSTIVCACPVSHGINLQLTAFPTSLMAHG DEEMAAKRMMFQLALSFDPDNTGHDNAPWLWELVRLQLIDDKIVPTQAIFNGRPLKSN QKINKRARFATLSNAGQQQPNMDNTGTHHAFNSALALPMASGMQANDASPNISASCVH DLCGAIAKGQDKHNVHCYGYISDKSAVTAPMFGVYPFGQMPSPSCTVISLKDVLEDHG KTFPLLRFRHKVRLSHLFALSVLQLSSSPWMPKILTSRDIIFLRRDDNVLYESVYIAS RLPYSSPDRLVFDDARVSPLVPNKELFFLGILLIELALGTTFDKLRSSVSEQRYTKPL DLEADWAMAINALSELQSTMKASPNYFKSVKVCIKCEFLHENIDLNDQIFRQEVYKRV VGPLEEDLLPLSF PFICI_08789 MSKSIANVVSVCLDQFDEISEAASLLQPQLLGLSGTINDERDRF SVYVRNIGAHQTDRRSLDFRLRDASHIRSQIFSLLQSMQESLQDAKNLVRDQDSFPKE FAEQDDMNHFSEIQDLFASIARTVTCLLRLSITIQNPAPHDRFALSDITDTSHFEAHD MEHTATKYPSSSRALAERLGKANSYRRQYFKYREARHIKMASVANYDPDGQSTEASSI AEQLKNQSNQEQDLPMIDEDKMSDGGLTQTSYATTVSGFDRPRVPPLPAASQDVAFEC PFCFMMVSAHTRKSWKKHVFADLRPYICLFDDCQTPMKNFQRRRNWIEHVRQQHWRIW LCPLRCGESFDTERKLQKHMPTSHPDFQAPEGLIPELMQAASRPRREWTTVPCPLCAE EMRSVKHYQHHVGHHLEDVSLFALPHCEYATMETGHDFKTPGESSGSESGEERTPSRL TVYQSIPSYQLSRRNLAAALESFFPGEKTDIGSRVTDEFTVQLPRELTVEERKHIDSV RRAGDFEKNDDGPTSYWKVYEAEDFPLFLSAFGSDWAKIAAHMGTKTPVMIKNYYLRQ TEDGKKEWEDRVREADAKRARGEKLPSLPAKFENFS PFICI_08790 MYRSSSRTPLRIGAAITAVLLCWHFLSLSSYGGEYDEVKQLNPV VGSAAAAPSATPVVPEPQLPCDSLHGLQDVFLVLRTGANEALDKLPAHFNTTLRCFSP GGYGIWSDYEEMIGGHHVMNALDRIPSDIMENHPDFDYYRRLQKHGRGTFSADELDEW ATAPNGASGRDTPGWKLDKWKFLPVMEKAYRQRPDAKWYVFAEGDTYVSWRNLLEWLS KIDASKPLYLGHEMQIGDVIFAHGGSGYVISNPGMKKLLVFLATKPGVYEEITAGHWA GDCVLGKALDDAGVKLSWQYPNFPGESPYTMDYNATFGGSSKRNFWCYSVNAYHHISS HGIQEAYRLDQKWALEHSQPIRYGDVFHHLIRPHITPRIENWDNECADDEGAMETFAD CRQACQDKSQCFQYLYNPIDSSCKTSSVIKLGERQAKSTKPEASLVSGWLVDRVDDFM STMDATCKSQSDAWKLPD PFICI_08791 MPPPNTPEEGELAPSNTTPAPTSNTALPLRPLVGAAAEATAVAS SNGGSTPSPASAAVDLRLLDYQDAIDENLICPICRVALVQPVITRCDHVFCQRCLAQA HALSPVCPIDRSALNDLAADVRSAPKIVHNQLDNLTVKCPNRARGCTLVVARSLVENH VSRYCDKTMVPCPHPGCAHTVVRRDAGKGCLHYDVECEYCKGSMLKADLQDHQDSQCP NREKECELCGSPFLRNKQEEHTKECPEVETDCQFAPFGCVQKTARKFLDDHAGQCEYR VVGPVGEQIAELRSELGALREKDRLKDRRIKFLENKYFTMPAATASPETITDISLPES SSSTAAAAASTTDMAPYESRDQYFLSLFETMESKVERLSSALQEVEGRHSMMLINETL QIKDQLTEIRSTLGVLGMHVRWLMNFRLQELGKVGPAAAAAAAPGMATGSMAARNQDS SNPNLPRRTSDTYRENPPRL PFICI_08792 MEPNISGEESSQLVQYNGSGMLDMTFELFRAHDKSQWRALDRSR DLYEDDGAGNVSLQYALVTRYERGKLPYNLHSIVVQSPYLRNFLATVFDGYPGVYTTT KTIKFSAPFPQFYFRWNKFLQCMEQEENKTALMHIRLLYDVMAKIMDPLRATAKDLLG NGLIDFEHLFALFEPGTQVYAKIHGQDRMLITKHYNTDRGLLSCMFIDMDGKSFGWSS HSMSIKAFEGMLPISDLPFIPIQFLADKSIRQRMVDRGRRFEQLNGTKHMAYSGIASI KEKKVLITDGRIVIEPVKDLTPKIQAQMTDEELACCTPEVHAYCLTNKDWGTFIVDNI GDIAWDDHAFDALVLQPEVKKVILSFVQVQLSQKNNFDDIIRGKGKGIVILLQGDAGV GKTLTAESVAEKIRQPLYVMSAGELGITAVEVETNLRRVMDRCTAWRAILLLDECDVF LEKRSESSLVKNQLVAVFLRLLEYYQGVLFLTTNRISAFDPAFESRIHLTIDYPMLDR QARQRIWGNFINAESQPSITADHLRILGHLGLNGRQIKHVVKTAALLATAEQTTLRFE HIETVIKVKGLELHQEKHDEDE PFICI_08793 MSLETCPGNITVSSLEDIQEGPFRRCDGPIDNLLITSSTDKQLN FTSRPLAVPISNILVQDNPALEKIQLPFQIVDLSDRELGRVEITGSPALKDIDCSHLS QYSQKNFSISDISDGTIDFDRDASASEIYLRNNVISIKNLPELSLLKSVGTVFTLWNV SEIHTMEVQQAHPTYWFWRDLQSIDTIIWTNISTGFTGGSQYEIRNDMIVGPSILDKP PPIEWVNGNMVTSMMFENVVSIGRNLNITENMFITIDVPNLEKVSGGLNIEGNVNCTL NFNTITRIGSLYAVNNPFTTLPGWFPELEEADDIYLNGYIDPSRASNIFPSLKIVHNS IRLEPWNSDFNCTHFLAQANNLGFGGTPYCNSTTDDSSITPESIPTSGSATSNAPPSQ TSSSNSPPPSSSSPISPASILSPGAWGGIGAGIAVVLIGGGGLAYLLLRLASYKKKLR QQQQGHAGQEGQDVNGCPVDGPEQKETAARGVIRYEADGAQILESANRQVAPQPREPG NTAVPAEMGAPRLLVELP PFICI_08794 MNHTATENTPIESLFLFILLAKHGINPEAFPRISDELKQNGFIN LQDSYDAERLSPEKLEQLAHRLVREDQVRELGADDKTANGGNLSPTSRKRKLPSPSPS ALKDIEAHREKLPQLVDRLHTRYQEHIIRRIREDEEALDRLEREIKDLEAPPRPQPTL PAAVAPAAAAPATASPVPRQTPNVPRPNGAPPVVDTKAVQPPRLNGHPNHTPVPIPAA QAQSIAKAGPASHPPLPSQPALERKPSQASPSPIPTVVRPPGDVRQVTQSPRPNNINR PPSAPPSGLQHPQAAPAYSLPPRSGTPQPPTPDGLQRPHGIPKSQSPAPHASPQLQQP QTPGTYKWEPPFNPQHPPYNGQTIARPPSYQNQPQPGLPQHPHPHPHVQPQHPHHQPH PHLHQQHAQHPQHPQHPQAQYGNRQPSQPHIQPHMQPGRTPTPGNGPYAQPVLVPPQG PVQNAGQTPPPPRQTHQDVPVQQAQPYRHPAVNASGPATAPAIPSPQYAQQQPQLHPG QPGQPGARQQVLPSQTHPAGRGFPVAPPAGHRPPLAAPVPPGQHPNVQSHSLPSTPIA QRSAQQPFPQQPPHPGAQITGAARQQPQQQQLHQQLPARPPGPMVRVPPSTAQPTQPS SQPQTPVSASILSHVVRGHGTRWTSTPTPSTPRLEVSGYFEPQSPAFEPLSPSPPPAS IPKTSPPGAKKETRKPSSKIDATIPKAPSRLSRSVQKPPATKESTEEPDVGRIIKNEE ATPKPFEDAGDTEVDEAGPSKTHGAGYRTTNKRKRQDSPVNRGPPAPPTHVLWTRSFN KVSQSALEQVTSHRHANMFAAPIKPRDAPGYPDIILRPQDLRGIRSAINGGQRAAHAL EKTLPDLDPSAMNVWLPISVDLIPPKGIINIAQLERELVHMFANSIMYNQDPDRGVGP SFVRPDSEDNSDEAVGYEVDEDGIVKETRNMFLEVEKLLSDLRSEIERNAQPSTVGRT SVSRGVSVSGGDVSNVEDDAEQQAADAESQNTAKRRRKG PFICI_08795 MSRIQDTATIHRSDDELDRDWKPNGRRPQSLQENAGTWLTSIGS NSTVARSFSAELMDIFRIENSLTDLDDKVDKKKQEVSSQTSELEALERRIREMEERLN RNKAHATTGASAGAQQQLPVRQQGAPAPVQRTSRPGTAKAQQPAPLHGGAMPPTPTAS EGEYELVPEPRHPNNLSSSTHTYNDSESGLETRSFADMVLVSKDGDHDS PFICI_08796 MFGLKMVGLMVAVLAMFINVAVAVPARGMIGPVRQIANQTATLS AADGLFKGRRNGPLEYHRTLSKYNIPIPDGLKIAVNRYAEKLPIGDTGRVPASSQNGD LEWVAPADIGTPSQRLYLDFDTGSADTWVFTNDTATKNVKGQTIFDINNSTTAKLIPN CTWSIMYGDFSSSSGVVYKDNFALGDLIIKDMTIESAKQVSTQFSNQKEMSGLVGLAF SKIIETKPKQKSLTDFLPEVLEEPIFTTDLRHNSSDGSFNFGFIDRGLHDSEIEYVGI DSSDGFWGVSFKGFAAKDSSDYSYEFSDPPTVILDTGSTLFYAPDEAVTAYYKNHVPL ANFSYTEYGWILPCNSTPPSFIWELTDKDDNVVQGEVPGEYLPYAVLDTKGSPEGYCY SGLQSLGGFTSLQGILGDVFLKSGFQVWNLVQERIGFAPKSLPPMTPRGLAGHGKWSD TRSAQEIMANKTRKIIL PFICI_08797 MARLFSPRWIVACVVLIFSTNVFAVQAVLGVDLGTEYIKAALVK PGIPLEIVLTKDSRRKETSAVAFKPESGGPKAGAYPERLYGSDAMAISARFPSDVYPN LKTLLGLPVDDATVKEYLSKHPALQPETHKLRNTVAFKSKAFADNEDAWLVEELLAME LQSIQRNAETLAGADTSVRSVVITVPPFYTIEEKRAVQTAAELVGLKVLSLISDGLAV GLNYATSRQFPVINEGGKPEYHMVFDMGAGSTSATVMKFQGRTVKDVGKFNKTVQEVQ VLGSGWDRTLGGDSLNYLIVDDMISQFVETPAAKKVSVAAENVQGHGRAMAKLVKDAE KVRHVLSANQETGTSFEGLYDDVDFKYKISRATFETLAEEHATRVAATVQKALEVAEL DINQLDSIVLHGGASRMPFVQKALEKIAGSEKLRSNVNSDEAAVFGAGFRAADLSPSF RVKEIRITDGSNYPAGYKWTDSNGKTRHQRLWTERSLLGAAAKEITLNNHEDFTAQFY QQVPSADGVVDQDTKTLTTKNLTASVTQLKDTHQCADSDIHFKLNLRLSAEDGEVEVV KASVECEADEVEKEGSVIDGVKNLFGFGKKEQQPLGEGEESTESSESASTTASTDTAT TSSVESSSTSTEAATTASSADAAEGSAVTKKTLVVIPVQFALEKSGVPQLPKADMTKA KDRIKAFEASDKARRAREESLNQLEGFTYKVRDLLESETFVAASTDAERSTLEQKASA VSDWLYEDGADAPRDELKARLKELKDIVTPVEKRITENAERPELVKSLRDSLDQTATF IDSIKKKIAEAEAFSASASSSTTTSAESTITEAASATPGDFDGLEDDDFTSSTTTTTA AVDDRGPVPPLYTLNDLKEITELSESTFKWLEQKLVEQAKLGENADPVLLVKDIAERT KKLEKAGMDLAMKAVNNFDSKKGKKSSTKKNTKTKKSSSTKTGGANAKQTPDIRAEDG YIRFGDSNEKPTPEQLEELLKRFTQDVPEKEVEKEETHKRDEL PFICI_08798 MAPRRVIADSDDDDEDFSPIKLTSGPEDTFQDVQPEMEPLSPQH QPASPLVRQHSLLAVANAQDDTSGSTSPSFFANIHEVHQVKGIQAQQSRLVENIVRQS QKASRSSGDVSLPTQGQGRGRKAVAHGSSAATDVTSPVPGAKSGPRKSQLAQISDATE LTTPRKSAERDEWDVPSSGDEGKRHKKNERSSGPSTDDSSKIYVAQSNLSASQKRQYR KVQVLDSHSGESAIPDSVGQMQPPSNYKSSCATTVAVSTPSRYASSGPRLPYEIEQSA DVGKPSAAIDLTLSSPDAITVGGADQEGDQSPVRRSSVKRKRPVVRDDDDELGLDENF FEQPSSDPDSDYDTAGRKPTKKAKQPANSSSAAAKKEPKKRGRKKKLPVDDDVVIDDE VKIVEPLLMTAPPTIPEINSAEEKPKKKRGRPRKSEATQPAGASIEPLIEKGQPVHQP SRNYAPPENEEGEDDATAEKESRGQSATVDSTEDEVVTQTEDKREKGPSGSASPLKET DRNVLGRSQSTVSDSDKSMSKSMASSQAGKMSYRVGLSKKSRIAPLLKIIRK PFICI_08799 MPAETRGSVRQRKAAAVRAEQEPTPRVVELNTSDDEEEFIKNGT GPLQQEPRPKPTPKARVKADDDEYSPWLDVLRVLTFLVLAGVGLSYLISNGTTFGLDK LAKHPPKYFRKDWWTEQFKTPLQLTPAELSAYDGSDPEKPIYLAINGTIYDVSANRRT YGPGGSYHVFAGVDAARGFVTGCFADDRTADLRGIEEMHIPRDDPEVDSLYTAADLEA LKVRERAEAEQKVRDQLSHWVNFFARSPKYPHVGTVKREPGWLDKEPLKPLCEQAQKG RPKRKAPETN PFICI_08800 MQFSVLALSALLGLASAQTVHVVSVSTVNNNSLIFSPDNIQVPA GDMVQFQFRAGNHSVAQSTFDAPCSPISEHTNQTGAFSGFMPVAASSSTGMIPTWTIM VANTNPLWFYCATGKHCQAGMVMVINENTAANATRSLTEFKKLASAATANVAPSSSTS GGNTNSGNTGTGTSGSGSTDSTTGSGSSTSSAVSTAGAASVVISTSFTLLVGAAAAFF LA PFICI_08801 MPRGRPAGSTNKAKASGTSASNAARAPNRPSMGTSGAQRMAKRQ EQIDEADLDPFDDSQDVMDVTQDSVAGAADNDHEDEEEEEEEPKATIPPELLTRLLHE FFEKDGSRISKDANEAVAKYMDIFVREAIARAAVERSAAFLDVEDLEKIAPQLLLDL PFICI_08802 MSQEKPTVLGMPPFVADFLMGGVSAAVSKTAAAPIERVKLLIQN QDEMLKVGRLDRKYDGIVECFSRTAKAEGVMSLWRGNTANVIRYFPTQALNFAFRDKF KAMFGYKKDKDGYAKWMAGNLASGGAAGATSLLFVYSLDYARTRLANDAKNAKGGGDR QFNGLVDVYRKTLASDGIAGLYRGFAPSVAGIVVYRGLYFGMYDSLKPVVLVGPLANN FLASFALGWGVTTGAGIASYPLDTIRRRMMMTSGEAVKYNGTLDAARQIVAKEGIKSL FKGAGANILRGVAGAGVLSIYDQLQVILFGKAFKGGSG PFICI_08803 MAPAATGAKKQKKKWSKGKVKDKAQHAVLLDKQTSEKLYKDVQS YRLVTVSTLVDRLKINGSLARKCLKDLEEKGQIKSVVTHSKMKIYTRAVGASD PFICI_08804 MSDNGEIEVEAVQSSVLPKDVTSEIGSIKLFNKWSYEDVEIRDI SLTDYIQIRSPVYIPHSAGRYAVKRFRKANCPIIERLTNSLMMHGRNNGKKLMAVRIV AHAFEIIHLMTDQNPIQIAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQAI ALLTTGAREASFRNIKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR PFICI_08805 MANTGTPFISCLEPTKLDRYDRSKPHDQQDPSIPRAFMDAMEVR EEVFVKEQGVPLEFEHDKDDGRSLHWVIYTSVNHILDHEIKDPETGAIIQRKRSETRS VPIGTLRAVPFPHPPHPQPGGRYVDNVLQNEEINTLTASQERRRTSMLPYGYDAPTTF HDGQEPYMKLGRLAVLKPYRKMNVAAQLWAAARQWLMEHPGYFNPSVSNLGLNALAAR GADDVPSWRGLVCVHAQDDVKDVWAKWGFVVDERMGEWWEEGIRHVGMFQRLPLPPRQ VTLTQLPEPLRLA PFICI_08806 MPIRLSPRRAPLLLASLGIFALFTLFVTLPSSIPQGPSLSKTLA DHKIPIPKVSNPFSASILHPFRPASHKPPEQANSTYAGSSWYSNWNWRSPFSSSVTLD ENRSLLPQLMERPPIYCYYDPTIPREEATKDAESDLLLTWRRAWWAQGFKPIILSPAE AMNNPLYNELQLMDLEPTFKTDMMRWLAWENMGGGLLAHHLLLPMAARDEPLLSFLRR GEYPKLTRWEGFEDGLFSGPKAEITVAVTTALRHPERKDAKDFIAATPAETFDVDPKH DGLAFYNVKTIENKYPLVAEQLGANRATGLQSLNYLINAHLHVAWQDSFPKGVAVLKP LPRHTSTMIEPALDLAVWLTSCPDTPMPASCPPNLPKCSPCVSSHPMKISTPSRYRNT TGMYTIGTVPHPYTMASLNALKSDIDIPWVRRKMVRDLWLAELTKEHSGTGVSGAARI VTFKEAVAGDFATAHSVWLTAEREAVPRDLDWYFGFTLPVNGTDNGQSETPVPGPERR PKPEHDPADGPVPTEEDLAQEPELLKRAREMGKSEDPAHVALRNAIEAWNLADTEAWK FARAFLARSRVERLKWEEEERGYAGGAGSDKEAKRGGWGRWSE PFICI_08807 MSSPLKPHWHQPSHPAIQEVIINDHEFTSKSLSKVTLPPFGLFA KMDFPPCTWAEEPTYATVQVDKNKHLNLNSDLLYLNHSCEPSLIFDTANFNILVGPKG LQVGEELTFFYPSTEWEMAQPFDCFCGKATCRGRIAGAKDITPQQLAGLWINGHIREL IEQQGAGHSGKLSANGNTAAAASASSGQEAIEDPTALALKDALKQAEKVVEAAKYALR AYSESSQTKENGRFPTAGQSAVAEANAGYTHRGPTSRELSGEMGGDTAIAA PFICI_08808 MKFFTTVAVLAASVAAAPFDIAARQESSSLPPPPDFSGVPSGPP PSGPAPTGPPSSGFDLPPAPSAAAKMARQESDFPPPPSGIPSGPPPDFSGVPTGPPPS DFPAPTAAAKLARQDASDLPPPPSGVPSGPPPSGPPPSGPPPSDAPPVFLAALLLPGP PPSGPPPSDAPRPTAAAAKRQEEGSAPPPPPSGVPSGPPPSGPAPTGPPPSDAPRPTA AAKRQEEGSGLPPPPSGAPSGPAPSGTPPPLPSGVSAPPPPPSGSAGAAQPPSPSLKV RQDGDAPQPTGPPPSGVPSGIPPSGVPSGVPPPPPSGSAQPPSPTLKVRQDNAAPTGP PPSGVPSGIPPSGTPPPLPSGASAPPPPPSGSALPPSQTLKVKVRQDSDAPRPTGPPP SGVPSGVPSGVPPPPPSGSAPAGPAPSN PFICI_08809 MTLTSKDVYFTNKVEKIRDQYNLFWSYPEAQADVDAYLRGDQYH EYRDAQKWIKKSNCFQPDELLDSDPVVFAQNDKALIIGNLHSFDTQQHPKDPKKAGMS FIHLLGIPRAQIFNGVSLTPDNCNIIDDIISLFESRWGVECANHSFRTQVVEHQRNAI NENAQVQIKDVESDRDSNPRRFQEEVQKAKDRATESTNRWDCLQSVARELKVDDFNYG LHLWPDQSVPHLHVHVVARPSFMRQFSTKEHDEKTVDARELRNFILPYGLEETEPLDV EVTSGYP PFICI_08810 MMTRKELIRRFYSRLQSWQHRDVAVRKHGMAEIKKFLKNHPGCA QVWVDSVPEAHLTEEATMSLQLPRFLQSQLDTQAYFANAVRPSEQVCVTLRFAAAHLS TSQGCSFPTLFRGVENDDNQRSFCVFAYLDIEMASRKYSIAELMELKGANVSSVLLDK LNGNTDIRDVVRRNSTTKPHRPKRADDGTLSSSTDSEEQIVFKGKRLNRHPINNLDGE TQWSYRGRNASERNSNEPIAAPTGLDAQQNEGFQRFFKAVVSPTHVRVTAGGRIVPNT RGTTSPSAKWDSERAGHESDSSDLTKKVNQSETVATHSISDQQPTPATVIPPMMLQPM AHPIYAGISPMYAPMPVFPMANGMPMPYGLPQSQLQSGSDVSSTPGLPFRSEKQDESQ SRMANPVTDQEDQKTKPGPIKISPPEQFDQNRPYFLNGNVYYPGSGPLPVQGQPTPIT PYFSGVPVHPSVTRIASTGQPSPMLPMSSPYGLMSPGFVPHVGAEVTNTPQSDAKSVA TPRVTTTKTHMTSIKPSQITNSQLTTLRSQLKYFEDQLQYNKHQIDERATQDQVQSLR KTIESFEETYKRQIKFEQSMRSEDETIETTTGLTQGPPPVQVRTPSTPGFNKNFPIGT SHMDAARSTEQLHSQTMIDQLRQKSYADRRLRVGINCSRNTDTSEALGALEAHLIKSK KTSLPTRAAMAPIFEPKSETPSVQDPSDFTSVTDATLAVQSPWEQPKIPTPYLVGQLP VGMSVATARPSDYTYLRELSDEEKRARHVYWGGISVKGSGLPKFDGKDFYPPSPVKSL ENSILTTRPDTTRRTEKSEDPFNMGQAMDISRTAKSTRKISHAVPIINPETMARENVD VTPKAGTNAGMRGNMDAEKLRKAVREKPQSSPTKTSMATVNEGMQAFNVRRGLDRSSK GSSNDLWQSMMKKGPTNGPATISSVSSMTANGYLPQFAGHAAASLSPAITNANNSLCN LPGMKADNDNATQQPKMEKMGENRPPTNHDEIIADLHKRVFREAEQRGLLNSTWH PFICI_08811 MSKHAASGIASSRRKSRKAHFGAPSSVRRNIMSAPLSKELREKY NVRSIPIRKDDEVTIVRGSNKGREGKVTSVYRLKYVIHVERVTRDKASGQSVPLGIHP SKVVVTKLKLDKDRESILERIKVGRELRAKQQKA PFICI_08812 MAGDHGSDTVDTAHHVSPPHDATTESASLDDDNHEPDRSRDSAL FGGQDEIISQLEVDSNRSDNDSSLGSEITS PFICI_08813 MDRLELQHLIWLELCGGRHNLAPIDQINVTHVLDVGCGTGNWTI DFANLHPEIQVVGTDLSPIQPEYVPVNCTFYIDDATHEWSFHQRFDYIHVRALTMGIA DWDRLIDQAYNFLQPGGFLELQEFHIPIESPDGSIRQGSALWRWGQAIQRVCARLGID SMGALKHPERLRRRGFAAVEELHLRAPLGPWAKGQRQKRLGWMGRKDLYEGIDGISKK LFVMMGEGTEDEVDKFLEECKSELMDSSVNLNFPLHLSRDLGRKFRIHPCMPLDVTWG QRPFES PFICI_08814 MPSRTLPTLTQAEVESHSSSKSCYVTIGANVYDVTDFLEGHPGG PELILDWAGKDITQILKDQDSHTHSDSAYEILEESLVGFVVNEKIAVDHDLQKKVNGN DVQINEKVTPFVHPRTGMSCEEDLSKDTDYDTDYKRHKFIDLNKPMLMQVWRGGFTKE FYLDQVHRPRHFKGGASAPLFGNFLEPLSLTPWWVVPMVWLPPVAYGTYTSHEGLTGW TQVATCFGFGLFLWSLVEYVLHRFLFHLDGYLPDNRVGITLHFLLHGVHHYLPMDRYR LVMPPTLFVVLATPFWKLAHAIFYWNWHMATAVFCGGIFGYICYDLTHYFLHHQNLPL WYKELKKYHLAHHFLDYELGFGVTSKFWDRIFGTELVTVVKSS PFICI_08815 MVPTLRSRSRNLLSCFYCGRRSDIIFTGQKSFECRYCEARNWLD KNGGITDPPASSTAADAQPAAPIRIATSPNASIFCATCQRNQEILARTLKEYEFPDDP NDSEYAARMRGYRTWKRDLEARYPQVCIDCEPKVEEQLRKASYTAKTDHMRRLIDRTK QRRHQARTRTALDYVDMAGKWIWHFGFLLQALWHIVALGIVFVEHGHGSGNNFVVAVL RETCAWIIAVLPRSDRFIKLAINTSLVAFAWNPRFKQTIRGFTSHILGFRQWYTYQLV IILIRCACLFLSQYNDVEEISAIAQLGAHLVIGWLVFYVYNVAGKAIRTDNSSLFGLF GTRQAASYQERSPRVAEPAQRPSNDMAGVLDEILDAPPAAINNIDSSPTVATASPYYS VSRGGSFVQNGSFGTPSRHHQDRVGNGFGSLSFSSPPISPGVQTQPTRNGDEMDWSPS GSQHRAFSTHNPYKVKNPNPRFANTPLGFNDTPIDPKPGAFWYKVPPAPTTPAQRLRN PPKPVIRESPKERQDSFFASPRRTLDLGGGSQQNDSGFILKDASFYAPGPKDDPRDGL SNMMGSFSISPDPEDRRAAARKSTKNVILAADGTPVTLQNNSKERMAELVVLFGALWS WVTALGTEESYGPTLGLGAICACLIVSIRLTADLLVNAQVRHGKQPTVFSLSWATLGY AQVFAALALVWKIWATGGQDVNCGMLGNALLGVMIAHQFWHVFS PFICI_08816 MTDDMDLHPSNLPRASLAESSATSIDNAAFPRLRATSKLSSTHA ASTHSPPTQQQQQQQPSPQPSPQSSPTSSGPQNKSEEKQAAMGPTEEAIASSVYHHAD YFGPIVYSAGETILPPILLMLSAIFFFFADKVTMVVSSLLTIVFIQQYKLLKYQYLSY KQRREQDDDGPGYGAPFFLPVFLKAMHLFNLFLCAAIFFVLLACGAAAISPNLAYCLM VPPFVLSQCIYWPVHGYNLHRNITQYRPIDRADIRRSIQQATRRRWFYSHGDFFLIFA GSGGHTTEILSLMRLFEPFDKSFYRRYIITSGDERSSDMIKQFEEERAQRMGMVRQMP GVYEIVFVARARYVGQSWLTTPFTALWCIFDCYRILNMGRPHAMPGATVEYPQTIVCN GPGSSSMFVLVSHLMRMYGLMPANRGMTVFVESIARVCSLSLTGKIFYHLDLADAFVV QHRGVEDVYPGVFCEPMLVKRLYPPGVLPFGWR PFICI_08817 MVRKPAVRAFKPARIYQTVTTLMDHRIYRNLKVSQPVWYKVVEN NPPSEILTRPLPPQHKHYDPKTSKIKKASRLFQPQQLVYEEDALRRRFYKDHPWELAR PRMITEMDGKDAQRIDWSTGLRQPGIPLSGESVVQVQLWRMHTFGMTVDEAYDVTRKE FYRLRHEEDVERRVAIEEARMVGGYFGKTYLQIGMELEDKEHERWKKWATKQINRIRG EQADMLSTNVDESAMDLEGVDLDQLSEAVETLAR PFICI_08818 MKILGRIDGSIYGAIRSHSSVSRAIQSAAHGQHGANHHNLIIAA VSSLSCPSRSLRRTRSESAAPVCAGTNISNSAYSTITTPNYRRPSNSISFTSHTPQKR NQNHTSSAAAAAVEPYWPVTPCTLPSKSREELLSFLDYYDEVTVEEQLEFLRDPYRRG YAPAAVQPLRLSEGPHDYFSPSPEELEMGDQEVQQTLSRLRSAITQKLLRANSISNDD IYDVYRSLPEPRMHYLSGRQRHALFAALGATERKNAKDMLRYFAVVADVKNAGFSLTR TEWNTALSFASRYVGASSQTETEAALHLWREMEHDAGISGNEVTFNILFDVAAKAGKF ALAEMTYQEMITRGHHFNRYHHVSLIHYFGLKLDSSGMRAAYKAMVDNGEVIDTRVLN CVISGFLRCGEETSAEFVYHMMKKSDDRSKLIPQRDYSFNKMVNKVLLMFSRLSRKHP DLSDGPQSATALTPDLATYRILLNYYGVRLGQMSQVVQFLDEMKFFRVPLHGSVFLAL FKAFSIHGGNPNTAWSVKRLVDVWHAFLDAFDGGANGLYINTWMAMWVLRAFAICTDS KEEVLAVYEELRARWPADDGTDAFMLDFLHNLISEKGWGPNNVPLIPM PFICI_08819 MATSNRNGEGASPRGAYAKAEDWATHRDLITRLYWDEDRTLREV RELMKVIHGFNATEKMYKWRFKSWGLHKNLNRKDVPKIVYNAFGGNQVVLPVIRGRQV GPKKLKDYLCKITVNWDGAASLPIKQQSSPEALLSAIPQSLGSPEPERLEDRALMDVI LYTQRRMQSQGFGICAVSRYDWDGEFRLEFWSELQQAVASLASENHGDDRRVNEPRDH ADNFRLLDRAFGHYSRALDGAWPAPTWTSIHAVLLLGRAGAPLAESFVRYARSLCSIK LGPRHAVTRLWTMIESLTLGQFWHVAIAMLNALLSALVTGIPREDELLRTSVLYTARS LEHVGAMPFAAAAEAFQSIIDEFRSFDATWDQHEWCPWVRWWHAEAMFEMNRLDIAKT GLVALGPMIHDGYDDFDFDHISARAPVTMYYELRGKLHEALGETELATAYYVGAFTSA KVRVAWNAKDRLMRTSSELREHYLRIGDVESANRTEREAQAHLEAFAGGVSTAKETEI VSVDEI PFICI_08820 MSPQSILRASPRMANIMGRRMFHATRPRMSSPYHYPEGPYSNLP FNTKTKYFAVRYWLYMITGFFAPFGIAVWQTYKPKA PFICI_08821 MDDDLFDVFEDQPGKVKDEPQSSPPAKSKNKKNKKKRKAVEALD NGDIETETRSAPEPDVDMADVEPTSAEDEADDIEEDGQTVSEGHQDIKRRKKEEKAEP VITDSFQTAESRELAAASGFAKQDEESSLVLSHNIQHQVALPPDLDYEYVPLSEHKPP ADPARSWPFTLDPFQRLSISSIERDESVLVSAHTSAGKTVVAEYAIAQCLKKNTRVIY TSPIKALSNQKYREFNEAFGDVGLMTGDVTINPTASCLVMTTEILRSMLYRGSEIMRE VAWVVFDEIHYMRDKTRGVVWEETIILLPDKVRYVFLSATIPNAFQFAEWIAKIHRQA CHVVYTDFRPTPLQNYFFPSGGNGIFLVVDEKSVFRENNFQKTMQMIEERKASEANDV DAKMRGRGNKKKTFKGGKDDANADIQKIVKMVMSKGFNPVIVFNFSKRECEMMALFTS KFSFNDDSEKAMTRKVFSSAIESLSEADRELPQIQNILPLLEKGIGVHHSGLLPILKE TIEILFQEGLIKVLFATETFSIGLNMPAKTVVFTQVKKFDGTTRRPLTSSEYIQMAGR AGRRGLDERGIVIMMIDDKLEPETAKEIVVGQQDRLNSAFHLGYNMVLNLLRIEAISP EFMLENCFFQFQNTASVAGLEQELMKLQKERDGMAIPDEATIKDYHGLRQQIEAYTKD MVYVIQHPNYCLDFLQPGRLIQIQNPKTGQDFGWGVVANFTERRSPKFGEKSYEPQES YFVDVFLHLDPKGEDFTPLTQPPSEMPNGVLPLNGSQNSRFEVVPCLFTCIKAISQIR VFMPKDIKNLEDIEEVRKTVLKSLSEVVRRFPDGVPILDPIENMGITDDSFKKLLRKI EVLESRLLANPLHGSPLLPGLWEQYSKKLALADKIKNLKKQIGKAHSIAQLDELKSRK RVLRRLGFINDAEVVEMKARVACEISSTEGHELLLSELLFNRFFNELSPEIIASILSV FIFEEKVEAATLKDELQKPYSQVQAQAKIIAKVSQESKLDVNEEEYAQSLKWQLMETV YAWAQGKPFAEVCKMTDAYEGSLIRLFRRLEELLRQMAEAAKVMGSEELAEKFNASLK MIRRDIVSAQSLYL PFICI_08822 MATGLSEKLKPAARVAGQRQDVWSIVNEAAAASPKQPIVNMGQG FFGYNPPPFIINAAKEALDRVECNQYSPTKGRPRLKKAIADAYTPHWGRPLNPETEVT ITTGANEGMLSAFMAFIEPGDEVIIFEPFFDQYISNIEMPGGKIVYVPMHPPKDGATK TSSAANWTIDFDELERAITPKTKMIVINTPHNPVGKVYSREELQKIGDLCVKNEIIIL SDEVYDRLYYVPFTRIATLSPEIERLTITVGSAGKNFYATGWRVGWLMGPEHLIKHVS AAHTRICYSSVSPLQEACAVGFEKAEAEGFWEETVRDMKAKMARFNEVFDELGLPYSE PEGGYFVMVNMAKVKLPQDYPFPPHVASRPRDFKLAWFLIQEVGVAAIPPTEFYTEPN TWIAEDYMRFAVCKEDEVLETAKERLRGLKQYIEG PFICI_08823 MTTLKPPPLATSAATAPPLSLTIRFSASVPDLDLDIPHPSQTTV ISLKNLIRGRLAEPNSQRRLRFIQGGKILPDGAALSAVLRAPPPPPPRADDRNRGDAK GKAVANRLPSQRIYVNCSIGDSLTDAELKAEAEAASLPVSEAPSSQLGGGGLPLSSSS PAGAGSPAGPGTPAPGITTSSTPRGFDRLLNAGFSAAEVNQLRLQFRSIHSSRFTPDT LPSPDSFRRMEDSWIDDNGAAVPTTGMGTGSGAVGSGGFDSDEVGLLGWLDAMIWGVA IGFLWPMGSFGWLARQHGIHSDRVKVMIGAGVFLSLLIGIVRTISGEH PFICI_08824 MSSSSKRVLLTGANGYLAQHILSQLLSAGHSVRGVVRNSSKVSQ LRSSPSFSSYPASQLDFAVVADITATGAFDTALRSDRPFDWVIHTASPFNYRRAAEAT GSSNADNFLDPAVKGTTEILSGVHRIAPTVCRVVLTSSTAAVFNWEKGNPLVTQPARV YSGRDWNPIAQEEGLTTTNAVRAYQASKTFAEKAAWEFITTAKPGFDLVVLNPPMIYG PLLDAAQLSRGPQDLNQSTWNIYSQLLDPSLKSTDPVPPTNSHLYVDVRDAARAHLLA ASTPEAGGKRFVICAGEMSMQRIANILRETLSEKKDTIPKGTPDDWKMEEGRFMASSA DAENILGLEFRSPESTIEDMGRQMVALEKKAVH PFICI_08825 MAPIALSPERDPAPSLKTVFDDTIRFFLNGTRVVLDEIDPEVTL LEYLRGIGLTGTKLGCAEGGCGACTVVVSQYNPTTKQIYHASVNACLAPLASIDGKHV ITIEGIGNTKRPHPTQERIAKSNGSQCGFCTPGIVMSLYALLRNNDAPTDHDIEEAFD GNLCRCTGYRPILDAAQTFSVDRGCGKSSSNGGTGCCMENGNGANGGGGCCKSKSLDD GQPIKRFTPPGFIEYNPDTELIFPPTLKKHEFRPLAFGNKRKTWYRPVTLSQLLEIKS VYPDAKIIGGSTETQIEVKFKAMKYPVSVFVGDIPELRHYSFNDDHLEIGGNVILTDL ENICQEAIKHYGPARGQVFAAMYKQLKYFAGRQIRNVGTPAGNLATASPISDLNPCFM AADCVLIAKSYGKTTEIPMSGFFKGYRRTALEPDAIIASIRIPITKEKGDFYRAYKQA KRKDDDIAIVTAALHVTVDEKGTVEGANLVYGGMAPFTVAAKKANEYLIGKMLAHPDT LEGAMNALEQDFSLDFSVPGGMASYRRSLALSFFYRFYHEVLSDLKVESAHGDSQAVE ELERELSKGYEDREATAEYAKDTIGKPANHVAALKQVTGEAQYTDDIPPLKNELCAAI VFSTRPHAKILSRDYSAALDIPGVVDVVDKDDLHHISDNKWGAPNFDDVFFAEDEVFT AGQPIAVVLATSQAKAAEGVRAVKIEYENLPAIFTMEEAIEKESFHKYFRYIKKGDVE EAFENCDYTFNGVARMGGQEHFYLETNACLAIPHPEGEFEIWTSSQNPTESQMFASRI LQIPANKVVARVKRLGGGFGGKETRCVLLSTIIALAAQKTGRPVRCMLNRDEDMVMSG QRHPFLGKWKVGVNKDGKIQALDVDVFNNAGWSFDLSAAVCERAMTHIDGCYKIPNIH VRGRLCKTNTMSNTAFRGFGGPQGMFIAESYMSEVADRLGMPVEEFRKINFYEANEQT HFNQEIQDWHVPLMYQQVQEESDYDNRRKAVTEFNATHKWRKRGLALIPTKFGISFTA LWFNQAGALVHIYHDGSVLVAHGGTEMGQGLHTKMSMIAAEALKVPLEDVHISDTSTN TVANTSATAASASSDLNGYAIWNACEQINARLAPYREKLGPSASMKDLAHAAYFDRVN LSANGFYKTPEIGYTWGENKGKMFFYFTQGVTAAEVEVDCLTGTWTCLRADIKMDVGR SINPSIDYGQIEGAFVQGMGLFTMEESLWLRNGPMAGNLFTKGPGAYKIPGFRDIPQE FNVRLLKGVTWENLRTIQRSRGVGEPPLFMGSAVFFAIRDALKSARSDYGVTAKELAE GGDDDGILKLESPATPERIRLSCVDPIMERARVQPKEGEKNFFIAI PFICI_08826 MAATVEKTEESIRLFPSPRLSTVIKTPLSILDATCARFSDTSAV WLFETPANGNVDDEFVEKIQASFVQTLNKFPQWAGQLHWAPFRAHGHHTERFNRSMLT YGSEADPGVQWTVVRHDYTIASFAPTGTGSFNSGLWEAGDAFPQKDLVSPERLALYNL KDFQDRPVMSVRINVFSCGGYGIGVKLAHQVADAQSMMVFMHKWAANARVLFTGMPSS SLFNEPVFDPQQLDARAAGDIDGESVDTDIAAAARAMPLHRYSWWDVDAPGYSPWLVA SSQNCIPSAEVLVKTHVSPSTVAPWHTWDLTRPVSWGLVHFTGEELLGLQRRARESGA SQQGGGAATISRTDALMAHLFQSITRARSLAAHRTAEQKDDDDDDDDDDDDMVYLNVS IDCRRRVAQPLPETFLGSPLLMTHIGASASALCTSATAADNDDGLGHLALKLRETLTA FTPDKMGAILHDAAYEVSPQRLWLGFMGTRHLIATSWQRLRAYEVDFEGTGARPVYVH PIMEKCDGILVIADSLLQDGGVDVSVYLDRDAWGHFRKDLGKN PFICI_08827 MLAIVGASGKLGGATLAALLSYKLAEPSEIVAITSSQPGSETWR SLESKGVTVRHGTFEDGATLEAALQGCDKFFLVSTPRVELDYNDAPEGQGREKHHKVA IDAALRAGVKHIYYSSLGFGRPSKAGVMRAHIRTETYLESLGDKVTVTVMREGLYNES WPLYLMGVDPKSLTALGIDHQGDEGGDEVRVPGDGKVSWTAIEDLGLASSLVLVPPGA EYAGKTFYLSTPPALAKTLQETAALVTAAGGRGKDIRVTIVDHQGHEDFYVGEKKMDR SAVQWWVGVFDALKDGETLIDDPTLSKLLASKGKKPITMEETVKKMVKG PFICI_08828 MGMDQHEGVARRPDMSKNKDPNPIEYENTIYQKGLRYERPPFTF KALDWERLAEERMSAESKGYVVGSAGTGETARKNREAFGRWSIVPRRLVKTDGFPDLS VEVLGKRQPFPIACAPVGVQRIFNPDGEMATAAAAARERVPYIMSTASSTSIEDVARA NGDGERWYQLYWPPNERNDVTKSILSRAEKAGFSALFVTLDTYILGWRPSDMDNGYNP FLRSDQIGVAVGLTDPVFRSHFKSKHGCEIEDDMGAAVAEWTRTVFPAMSHSWEDIKF LQENWKGPIVLKGIQSVEDAKKAVEVGVQGIVVSNHGGRQTDGGNSSLGVLPRIVDAV GDKLEVFFDSGIRCGADIAKALALGAKMCILGRPYIYGLVLGGEEGTSHVLKSMLGDL ELTLHLAGIASSSQKHLNRSVLVKEDDLF PFICI_08829 MHEIFLTSTIKDADLEKACAVLQGLSWMSARRNVYRVSYFAGPS RPKGLPAIKSIQATQRHGLAWTELHKELSRLSHVFKVVHEVLLDRDFGSGVNVDLNAV AGTVRWEGFPDPPREKGQLTTHRKKIDIPEQKQLLATMASNGQSYQTELVQETYTFIR DNVEFVLSRHYYIPPSPEQPGPTPALPAWSNLTPVDPAKKWMFQVKRDVIEDNNPEKM RQAQKELLDVKTELEPIFTFVPIDRRVLDPRIPLPPTVPGQHP PFICI_08830 MAIPGFSSRRPGGAPDIYTDPFERYRETRAPQPFSSQHFAPGSM ARDISNGHQPTEDERAPPPLPPPRYPMDDHQPPLHSYQPREFHFKGNSLSSYNSLDNS PRDRREFRTTDRDEGYHSLMSVEYHQDAGAKTMFMSHDQFKFKPANDLDSSMLKKFDS RRALDNRSPPRRAPFSASLNDTVPRQTTLDPRGAMSLPIRQLGEGPAHPAVPRQSALT MGFAGARELTDMDRSPPHRSRRTNSGSIADDATISTQGSYENPDDTDFPMEDAGMSNS QMDYHTTGQKRRASSPLGEESSLQGLPGAGELLRRREGASRASPTPRLTIPQNSLSSL SSLGRSANSYMPSLPLTATSTTSMTGSFGRRSPGGLSPGAMSPVDGICNSPFNTPISL THSPRSAISRIPHQRQLSESRPLSSPRKLTELPKASIPRIQGFYMCECCPKKPKKFET AEELSAHAAEKQYECSFCGNRFKNKNEAERHQNSLHVRRHSWSCSALHLAGYDKAFHE STNRPGEADACGYCGEEFLRSGGAGRARLPTEQDWDDRLRHLQEVHKFRECNSSKKFF RADHFRQHLKHSHAGTSGKWTNMLETACMIEEEPPQPR PFICI_08831 MAMNRIPGNDNLWVGGIFALTNPEKMEEKKITSILSVIKYSFDG WGEKAKLFEHLSIDVDDMEDEDLLAHLSKAVRFIDRGLHPTNTGSERGDGDDESDTKP SSAVYVHCAMGKSRSVTCVVAYLLYKYPHRYGGKQFVPSAASAAQRRQTAYEAVLSAL STVREARSLAEPNPGFMRQLELWWEMGCPVGSDDAVENHPIYQKWLYENKLKEARDAR MAPDADWIRFEDEAEPADDSAEQKTSGKQMRCKKCRRVLANSKFIVDHNGTGQDDNKE KADCQHIFVETLSWMRPYLQEGALDGRLLCPNAKCNAVVGRFDWRGFNCSCKEWVCPA FSLHRSRVDEVLDRPTGMGIRMPPGRNGSL PFICI_08832 MSFPNVWHHRKVADSAAKGCDICFRPSTSVLVTPEKQDFFYVCP AHLKDTRFATPVVDEAAIAARKKKEMDEELERVKKEYEEKQKKKQDKSKGEDKSKSDD KDKDSKEDDKKDESKSKDEPKSEVSTPKEEEPRVFSLAK PFICI_08833 MSSGLDSQKLSAVFKSRPDILKGIQKEADTPSRVKLFNDIVSYV YEQTGGDSAAEEQPALKRRRVDIDQNGSTSSSSNGAAPSVDVASEDVLLEIKDISVSI PQRKKFDLCFTAHHIYAKAPNTTAPIPTITYAWKDFEYVFCLPVPEKAQVQHNYVLLP RGSALASLSKDSPSTAAEPLVFTIGATAPKPGSIGGKNAAAAQAVSDSYKQLFNWALK MCLNARAPDVQIVEADPSKFHSQVRQAHRPKEQAVHVAAHRGSKDGFLFFLENGILWA FKKPLLFIPVDRIAAISYTSVLQRTFNMVVEIFTKEGGDDEATEEIEFAMLDQEDYAG INEKYVARKGLQDRSMADQRKAKKELAENGKGKKGVDAENGDDDDGAAPGVGLAELQK TDLWGDDDEEDEEDYDPGSDGESEGSGSSSDDDDDDDDEDDDAGEDGEDDDEMDEDNA GAADDE PFICI_08834 MTGTHKPMRLPPLKVLRVKNPNRQGEKPCMAMMSSVLVPFAPHF VRWKRSLTSGALEIACWASAGYNTAGCAQMEQALRACMDGPQPSGGRRSEINYHLSRF QSRVAGVKKP PFICI_08835 MGPEPSSEYFDIAGTIASTNTTRYFNIGSDSTSYKTLTLDETAN TTAWGLEGDTIITTTGSTWGRQLNFLACQLDDSYWQIYLQTGSDVPSGATCSNYQTIH LPCLC PFICI_08836 MAHAPALLRTNTAPVFAADRKVSMSGASGIAGPRASQLSGSTIY ASASNPSLSSMATTVADAGHVIATANIINQKADASRSLFQICSSLKQRLQQVPGFDQY QEELDELTEKSEGGAVDAVWQLLRTGHPLLTIFNTLEPDRPLNVDNVPGSAAKVSKIA VFRFVEGCLHQLGMKSDECFVINDLMGNDTTGFVKPRQASVSEAAAGDGSSTGGSMSY RDHIIKEMVDTERKYVQDLENLHDLKKAVEQQGDIPGDIVHHIFLNINSILDFQRRFL IKVETMNSMPPGAQHWGTPFATYEEGFAIYQPFIANQRKAAQVANQVFEQIRVIQHPV ACDFNTLDGFLLKPMQRLVKYPLLLKDLLKKSEDASIKEDLSTGIAAAERVLSKANEA VNRDMLDEAVEELNRRVDDWKSHKVDHFGSLLLHGVYTVITGRSDQEKDYEIYLFESI LLCCKEVQPTKSKEKKDKTKSNIPKSRNKNNKLQLKGRIFMTNVTEVLSFAKPGSYTV QIWWKGDPGVENFVIKFVNEEQMKKWATGLDNQRKINAQPVTAAPNGATTDFAWMRNA EGLENPYKQQEESDDEDALPIAPAEVASPNIGFGNMPQLPQSTGGVMTRNASSTSLRS RSATGESTQSIAGMARAPPPRFPLPAPPNQLSLQTGAGPPQGAGSPGPRLGDSYFSPA TESPISTRTSAASSMQFTAGYPFPKAGTPLGMEDNNRYTAPAMPRAPSRDGSSPVNAY AMSGRNPRGPSMPVMASRESLAAQQRNRSYSTPDINGQLSNGRRSNSTTPVPAVPGIP SHLATHDPSVPRSQNGSPMPDMPMRTSTQSPGAQRTHQSHGSLGGQMGQFPAKPLYPG RTTPAPSGAPSNVPTPLQLDNRTAVSPSLPTAASSLFSPAPDAMPTQLKVKVHCDSGN YITLVVAFNITYQSMIDRIDAKLSRFTDSSIGKGNLKLRYRDEDGDFVSIESDEDIQI AFLEHREGSKNQYNLGGVGEIELFCVGDM PFICI_08837 MESFPTTEQPDEGYSEHPLSSSLENTFGPIADSHDSASFVSWLT PRISSLTIAQKKQLAMSLLSELPTTIIQDIVVEQLNPRLYIDFVHQLPAEICLKVFGY LDPVSLINVAVCCRAWNNLALDHKLWERLYHLEGWKAIPKEISMAEARTNSREAARHS GMIQPQRLRSSEYGHVHKKRAISDPAQEDVQQDAMDIDNDDDTEVAGAGASLFGGGVQ PGGSASSSKTTGSVVQHLGHLMMESPSPMPVDRKGKGKATQIYDSPVPSIVQPDLPQS SLWVYDSLSDIYRINWHYLYTMRRRLEYNWEAGKYTNFQLPHPDHPHEGHKECVYSLQ YDPDWVVSGSRDKTIRIWNLQTRRLARKPLIGHRGSVLCLQFDADPQEDIIVSGSSDS DVIIWKFSTGEKLQVLSSAHSESVLNVKFDKRILVTCSKDKSIKIFNRRPLRAGEVGY GNIEELVGPVPISLKNYGYDDTLLAQLPIKPAWTQIGCLEGHGAAVNAVQIHNDEIVS ASGDRHIKVWDWPQQICRRTFLGHHKGIACVQYDGRRIISGSSDNEVKVFDRETGLEV ATLRGHVNLVRTVQAGFGDLPYSVEEDRKVAKAIDDEYFAAIRNGALDDSQRSRGKAP NAGSRRPEDITAYGAKLPPGGGGGPYGRIVSGSYDQTVMIWRRNKEGKWKNVHILQQA EAATNAYRQLKRLSTNSTAFGQVPSPSRSPIPSGSNAALPSLHHNARPLARHPPPPPA PPASAPPAMQNGADMRHVERPTAVPQTTATYTRMIEDTVAEGPAALQQALGTHSTMLA YNSHIQAAIDRVPDIAARAELRLVVSIAIQQAQMQQGRIRDSVQQAMAAPLTERSSSQ GQAAPAQLAQQAPYPRPSRQPSRPTNTSLSRNQAGRQMLPSAAHLMESADSATSSSAA ASPTTTSLPRSSVQASAGPPTMAAHHAGLALLDQQSQPPLVVGAPPPVPGPLHIHHPH IPQNDQQAPRVFKLQFDAHKIICCSQTSTIVGWDFCNGDSELEAVARFFAPIE PFICI_08838 MNRLFGSGSKAPKPTLNSAISNIDGGIAKLDVQIARINTELREL TGKMNRMPPSGKAFAKKRARDLLTQRRKYEQQREQQQQQVWNMEQAQMMQDNLKGVMA TVDAMKTTSKELKKQYGKVDIDKIERLQDEMADLMDVGNEIQESLSRAYDLPDDVDEE ELDAELEMLGAEMEMEAQQSIGAGEVPDFLKDEVPDFVDEPVEQGKVQEAAR PFICI_08839 MSTDSDIPSAANRVLHIFELLEAILLDVDDRTLLVSAQRVNKRW WNVIGNPNFQKRLFFIPDHDALGSTVNPLLLEYFAFCLHPRPIDEDTHEETYISQDRT PPSNDVSTLSNRNLNSTELVAIKDKAEAFSRVEASWRRMFTHQPPRVGIHQQFHGRVD PSFRLGIGCPKHNYEISYQEQHVDKFVRMSTVIEYFFRPDKAVDHRFPHEFPPWLRRV VMVKHFHGGYERWRRYGYPHGPYGQVFFYYHVMPESSDSPCHNFAGLGEILLLRRSWG TNCVWYLKSKLERMLQLMANYLELQQDLMNSANGRPRRGLYKYFQNSDVERGLQRLRN FFGLQTQSDDED PFICI_08840 MSRLTWPHVFKDTRASHHLWLFPLVAGGVWFITLSILLIRWFAI GQPRYPGQVNPDVPFISDIAAFTFKPVFVTGCTIVGVAFAGTVFAVHHVRYSPEFYGL VSDAPWRQFTSFAALVAGLAAAFNLFFLSVYDTVDAHVRHRYLLMGTFAGLGLSAILT TAVWWDQIWGPPRWAGLRKWCLFNTFLVVCQMGIGVSFVVFMYTGRYRISGFLEWTLT YLGCFWLLSFIGYTKFREGEDPKPPTEAERRPLLASED PFICI_08841 MARVDFVDAAFNGPESTQARESYAPPPPRDPPKAPYTTYHGPPS EFDRTLRKASAVALAILLYSAAIPFHPVSWFLNVNGAFFVDSLCAGIVLLCACYFQWR IAGLGHALAITLPVGSSGPQIRNGRIDRDAPASSTVFIWQSAHYWPYAICEAVLLGLA EFGPSEYLRRSVVIGVIAGLWLVGWHATPRSYKQWAWGHIKSLWFWMILNELLSVGRP SVGRRARRY PFICI_08842 MFASLQSFYVSNASYAMSTALVKASLLFQYLRIFKSGCHRIICI VILVITCLWGAAYTILTWFPCKPIHIYWNWTSGGGHCWAFASLHATQFYGAYFSHAVT NMVLDFCVLAIPMPLYFRSTTTGPTRRRLLMLFGAGTLVSGVSIWRLAACIEHKAATD PVFDPTWYGVPVIVLGMMEVNAASICACVPVFWPALTARMDQIFVTQEIKITRERRFS EEGDDEIELQDSNSRTLSMSSQHNLTKKEMHYMDDYVIEQVDPLRDKTRNVEVSTELP SKTVGRKQSTRKWRVSSGESARDRAQRATSWRLLQELSDMATNTTIGQLVILN PFICI_08843 MNSPRLELPDFDKFAVSFDELLQKGIAVKIERGDPKRGHPQHLI LDPATFRERYPWTDIPSPSIQLSTKELEVAPRPPLYKDKKRVIVFVGLMLAWLFKGFD DTVITTIVPALCRKFDSLPQIAWFSAAYFLPQACLYIGFGKLAQVTNLRWMALFDGLV LTIGSVICIIASSAPVFIIGRVVTGIGIAAGVPLCATILIDITSPEERATYMASCVGV DVISLAFGALLGGYLETNMDYRWAFAFTIFGAIVSVGLIAAAYEQPPRETDGLPASEH LRRFDFFGFGFLSMFSVLLLIGIQLAAQNNQWLSAPVIACLAVSVLMFSCFVLQQIKY DDPDNRLLPRGLFNRDVSLLMAFGFFVMFAMYGVYYYLSTYFQTVKGLSSFDAAVSLL AFFLASGFSSIATGASMMWLPYANIIILVASTLAVVGTFLLTTMNEYTEPLHAGLLSI ISAVGFGASQTLAIVFSQSWAAPKHQSFIVSVALMVQLFGGTLGLVLGGSVLNTQILY RVEELDGKLTGDQISAVTQALAMPDRIREFVPDDLIEPLLSVFSSSIQTVFYACSAAV SIAWILSVCMRWHKMK PFICI_08844 MASLRPMIRLARTRAAPAPALFRARQLRKYATASDVNNSAQLPD NYVRIVEVGPRDGLQNEKKSITLATKLELIEKLSKTGLSFIEAGSFVSPKWVPQMSNS SEILEHVLASRIPSPVPITYSFLAPNAKGVENATAILQKSPDAYLTQAQSIAGADNSG KPALELAVFAAATESFSKKNLNCDIATSLERFRDVIQGAKNAGVRARAYISVVLGCPF EGYDVNPHKVAEIATSLLEMGADEISLGDTTGMGTAPRTKELLSCMSAAGIRNEDIAM HFHDTYGQALVNTAISLEHGIRTFDSAVGGLGGCPYSPGATGNVATENMVYFLESLGM ETGVDLDAVSDIGQWITGEIGKPNDAAVGKAVLGARRASGSATAKL PFICI_08845 MADPRESSSYSIVPRIRYNTVGGVNGPLVILEGVKFPRYNEIVN LTLPDGTERSGQVLEARGDRAVVQVFEGTSGIDVKKTKVEFTGQSLKLGVSEDMLGRI FDGSGRAIDKGPKVLAEDYLDINGQPINPFSREYPEEMISTGISTIDTMNSIARGQKI PIFSAAGLPHNEIAAQICRQAGLVKQAQQHGITNKGTHDGHEENFSIVFGAMGVNLET ARFFTRDFEENGSLERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILT DLSAYCDALREVSAAREEVPGRRGFPGYMYTDLSTIYERAGRVTGRNGSITQIPILTM PNDDITHPIPDLTGYITEGQIFVDRQLHNRGIYPPINVLPSLSRLMKSAIGEGMTRKD HGDVSNQLYAKYAIGRDAAAMKAVVGEEALSNEDKLSLEFLEKFERSFVAQGAYESRS IHESLDLAWSLLRIYPKELLNRIPAKVIQEYYQRSAGKGKEKEKDTKKTHPEDQQEEN LIDA PFICI_08846 MRFPTAKIAALGASLIPIASAQSNSSTGPDENGIYWIKSEGLTA GFIAYGASISHLLINDQYGIQRDVVAGFDNATYYGIDKQHNHFGGVPGRYANRIKNST FEIDGETYKVDANENGGLDTLHGGSDGWDYRNFTVVAYTDDSITFSIVDPDGKEGFPG EVVSYITYTVHDWTWDFKMIALATTKKTPIMLSSHTYWNLDGFANNETNLVLNHTFYL PYSGQRVGVDNILIPTGDILANQPGSVNDFWSGPKQIGAAFDSGDAELKGNCGFNCTG YDNCWLVNREQNGPYDWRTDGFVAQLASPWSGIQLDVYSDQEAFQMYSCNGQNGSVAL KTTQGLFDNADFPRTIPQYGCVVLEVEDWIDAINQPSWQREKKQIYEPGGDPYVLQAS YVFSINGTSS PFICI_08847 MEDDRAQADLGIAADIEEAQAPEPVQRQPRKRFVGRRKAGEGSR NGGVPSVEDSGAIQVAQPRRAPRLLNQVPPEILNDPALNEAMSLLPSNYSFEIPKTVH RIRTSGAKKVALQMPEGLLLFATTISDILTEFCPGIETLIMGDVTYGACCIDDYTARA LGCDLLVHYAHSCLIPVDVTKIKTLYVFVDISIDTTHLLATLERNFAAPKTIAIVGTI QFNATIHGTRSALERAGFRVLVPQIAPLSKGEILGCTSPRLPDSENVDLILYLGDGRF HLESIMIHNPAIPAYRYDPYSRKLTRETYGHDEMQGLRRAAISTAKGARKWGLILGSL GRQGNPHTMSLIESKLTAAGIPWVNLLLSEIFPGKLALMSDVECWVQVACPRLSIDWG YAFPRPLLTPYEALIALNEREDWAKTGGVYPMDYYAREGLGRTKPLSISS PFICI_08848 MPHISLRWLLGSGALLLALFAIVFDSFQAFILYEYDFNLPNAIF AAPVVVDPRHDIEYKGSLAPGVEHFQNIFYAQDTSGQNRFARPVPLRYARGSVVDATK EGAWCPQGTGDVLPFTSRVSNISENCLSLRIARPRGTKPEAKLPVMVWLHSGGHALGS AYEVLYTPDGIVRQAATDGRPLIFVAINYRLGIFGFATSEALREAKQTNVGLRDQRAA LEWVRDNIEIFGGDPEQVTAIGHSVGASDIGLHLTSYGGTKGVPFQSAVMMSGSPGVN FNTMSDLVANNTADVARKVDCIEGSGSQSPETLACLRNVPFEILTNVSVTAARTARPM FGEGFFHPTYDDDFILDRASQLIRARKVVKGVPIIASWTTNDGAWYPRPTTSTDEEVL SSFSLWLIGLSESTKQKLLGLYPLKDFKAMVRPDHDRGVSPQYYRAAQLSRDLWFTCP VLDFAWQYLKHGGVEPSQVRLYENNMTQFTPIYEYAMKVPMWRVSHLSDIPYVLNIQK LPNADNSRPQLERALEVSRRIVRFVTTGSADEDWPAAFDGVTKTELESESPSKISLEL FMPHGSFPVTSLRSQESSTVSETEANLEQLFQRCDFINSLEVRREMGV PFICI_08849 MVETIKATLETLAKDKSFQHLQKVITQNKELTQDNERLQSLNQG NLGNIADLTVQVRTTEKAAEKSRAELQSCHKKITSLSDSQEKVASQVTNLVKKLKESD GLMSDLQRKANQKQDRITNLEDELALEKKRLESATSAQKQLQTHLDTAKQRLLEARAS LEGYTSLTREVVNLERDVIIRTLETIMSSICTLLITFVHTELSTDALSRVCKLANKFT NKFPNIPMLNSNSPDARHMRLAAAMFLAARALEEHIFQATYLLEGNILCQLLSDMAET DPVREAHVRAVLLPVDPPDDLIQIRIQQCVRQIESTVGLMVAESRKEEFSSALGQACE QICQYWMGLQRVEGSLEVNFNLHDSLDEDNYMLLSHFIPDDLEKVQRPGDPSTRSSNG QNAAKKGPQSVSYEQAGPVVWPAFIWKSPDRRRAVSLQNCIVLSIGQVDSARERSHRE WRQARRESTSSTKPDDSKAGKDFLSGSSAKRSNPG PFICI_08850 MASNKRKVDAAISPPPVKRKAQSSITKSSVASFFTPTSQKPKER TVWSERTPDEDTPATLLVGRYEPENETEAEQDRRKRKKVAAFDLDSTLITTASGKKFG DDPADWKWWHSSVPSKLRQLYEDNYRIVILSNQGGLILHPDPKSKGPKAGAKAKVDKF KQKCSAVLTQLNLPTTLYAATGKDIYRKPRAGMWKELCEDYNIPQDEVDFEHSIFVGD AGGRIAVLKGDTSVAKDFSCSDRNFAHNVGIPYTTPEEFFLEENPREFSRDFDVTKHP YSEDSDNLVFDKQNEQDIVLFVGPPGAGKSTFYWRNLKPLAYERINQDTLKTRAKCFK VAADALSDGLSVAIDNTNPDADGRAEWVALAKKHNMPIRCVWFKTPLELCKHNDTVRA LNKPMNPEARTVLPQLAFNGFGSRFKEPKAKEGFQDVIEVEFKFKGTKEEHDIWGQYW T PFICI_08851 MPSIYRDLLETVDNNPMIRLLSIEPATNTDDDIKCKLEEVLLLE APEYESLSYCWGEPIRKCPIECNDTSIDITENLSSALRHLRHTKKHRIIWVDAICINQ NDFAERSSQVLAMREIFQHARSVVIWLGPASANSSLAFRTLKQCQTAIPEVMKRFAED PFSIVRYTSMNKYFPQIVAWRDSGVQRPRYDDRRHHLQRSQRAALRAILERPYWTRLW VLQEICSARELIVKCGNDTMPWDAFRDSFVVTLTIGGLLQHIGHPPWLWCSIRLAQLQ HHHQATNRASPTESHALNALEFIAESHLRQTARSSSNIILFLELSSNLRCTLEHDKIY GILGLAQPPLPITPDYQMPIEDCFKAITIAILQQRGNLDLFSACSAQMFQNKKTLPSW VPDFSLDHLRWYLEDTGPGCPFKEHFTLSITAYHHWLLPKVVGTMQDFRASGPDSRSE FRLLDGDTLELVGHVVDEVAYVGPDIWGLFDAQNNESSRLFGRDKRTLSLRELLKQFL QHLLRSGNFAGALVQWEDLASQVPGKPTHEELLALLRVLQQAYPTQDMEPLLLVYNAA WRRLLASLRRIQPLNKLGSCRGFFHVYNLTAGLISYVSLRLSRNKAHFIPFHTPSMSM HRIAKTKNGLLVYASVYTQENDCIVLLKGGKTPFVARRNGHKWKLVGDCYVDGLMLGE QWSESAAKPMEFT PFICI_08852 MVVYRSSTTAPVNIAVVKYWGKRDPKLNLPTNSSLSVTLSQDDL RTLTTASASEAYTEDVLLLNGAPSDLTGARTQACLRELRTRRAALEDKNPSLPKLAHL KLLLVSENNFPTAAGLASSAAGFAAFVRAIADLYELPASPTELSLIARQGSGSACRSL FGGYVAWRAGDKADGLDSQAVEVAPASHWPQMRALILVVSAAKKGVSSTSGMQQTVAT SGLFQQRIATVVPANMDLMEQSIADRDFAKFAEVTMRDSNSFHATCADTYPPIFYMND VSRAAIRAVETINQAAGKTVAAYTFDAGPNAVIYYLEENSEAVLGTFYPLLQSVDGWK QSTNFKSTVQLDETISSTLKGGVSRVIMTGVGEGPQKTDVYLVSEEGKPLSTSS PFICI_08853 MVRALHVLPFTATALAAYTGNVNYFSPSLHHPGLGVSINKVAKR AYASSPWDPAQLNFTHGVASGDPYEDSVILWTRISPSNDNDQSNVTVSGYVGLYNHDT EPYVAQSAAPVCVDWKISTTDDFAVTADAGTAYTSSDIDYTVKVEAKKLLPFTTYYYQ FNVCGSDNVSPVGRTKTIPSKDSKVDTAIRLAVYSCSNFPFGFFNAYGNPVRKDSVDY VIHLGDYIYEYGNGEYGWGNAYDRIPLPDREIFTLYDYRRRLATYRTDLDLVASHQNF PWIPVWDDHEVADNTYRDGSSELNNTEDSFIHDGGVSVDQRKMNAVRAYFEWMPIRQV EMDDNLRIWRDFSFGDLFDLVMLDTRQYDRSITDLYWNTHYVHEISQDAGRSLMGPRQ EAWFYHKLKDSSSRGATWRIIGNQIVFSRMNESLGLGDTDPLNYDSWDGYQSNRNRTF STLYENNINNTIFLAGDSHASWVSDLVWLDEHEYDSKTGSGSVGVEFAGSAVSSPCPY GQNISLETANSASAWLTGANQELQWQDLYYRGYYELSISHSSLNASFFGIPTTAVKQG YEISLANFTVLPGENHLHRQNGSAAAPLAASGSLKNGQLGQTNVTHDTASGDWFVYSG YTSTSGGEA PFICI_08854 MAQNMKALVLHGPKDLRLEEVPRPEAGPGSVVVQVLAAPLWDYL AEVIDGTRQYPLAFPLIFGTCCVGRITQVGPDVKALQPGSLVFCDYIVRLRDAPEERI VLGYHGGQTPLEQKLSSEYWKDGCFAEFARFPTENVHQLDEKALEQNGISPYQMSELA ALIPAMGAVNSIGITAGETVLVVPATGYFSSSAIVAALAVGATVVAGSRSKDKLDALV AHFGDDGKRIRPVVLTGDVYADCAALRAATPGGKGADAYIDYSPPMAAGTTHIEAGLL ALKRHGRCCFAGVIIDNVPIPYAVVMAQCLTIKGQFAQERDDTARAVRLIEAGNLTLR KDIIAQHPLEEHAEALKLAAESGGWKKLVLFAPK PFICI_08855 MDLSNAEKGSKNDQPLADVAAGQSEDLLGLQDLDTALNMKMHLV NDAIDEIGWTRYHWKLFALNGFGYAVDSLVLLLQSVIASQAFKELGPTGYSAGLTIAV YVGMLCGAIFWGLSADMVGRRWAFNISLFICSAAAIVAGAAPSWPSLGFFIAMVGFGG GGNLILDTTVFLEYLPSHKQWVLTFLACWWGFGQAITGFIAWGFLVPERWNCASADDC PRDSNWGWRYTMFTAGALVFVLSVLRVTVIRLKETPKYLLGRGEDAALVESLHSIATK YNKPCSLTVEKLESCGRVRSADRDSGFSAGAAAVHLRGLFSTRQLALSTLLIWLSWTL IGLAYPLFYVFLNKYLESRGATAGVSTYDTWRNYALTNISGIFGPMLAAWLCERRILG RRYTMVIGALVTMAFFFAYTAVKTPAQNVGFSCAIGFCLNIYYGTLYAYTPEVLPSAH RATGNGVAVACNRVMGIISAVVGTTANTSTSAPIYVCAALFIGMAAVSASFPFEPYGR RSS PFICI_08856 MSPRLRPLRLPALVAERKKLEESQYDMDSETIHDFDMDMCSNVM SPVTPTFVRGHLRYSSSMSSFELSTPASSEPPSSPAQVSGKAGSHILPDVQEEPFEYH RDSFDDLDALENGEFEDLYSCLCAEPCVHTDSGARSSSGFYSRGDSGFDYGFLSDSDL NMTLKSSRKPRSGAESPLSGITHRIGSKFTGITQWRKRGTSVTQSPVSEYGFDSRSAL SRADSSRSSSISASVRYYPERSGEPALPPTPALSFYESSDSIALPAPHELEKALNINP ADIERDRALSTTPLLPPFMNDISTGVSTAQASPLASPKVASSPVLEPISPLVMSPPLS SRPSVSSFHRLTLSGELPGMPEEDETWCNLLGHANYTILPLPYKPALVNLDTLYQLRT DWATARCNYTKHLARTGEHYGLTSKTYSLTEQKWAETQAQWRRNHDGVAAFIIASGAA DSLPKFDDDIASAVPHMDTEGKFPERGDEDIVGPMVREASMYVPGDAMDRKNANFWRN LAGRVGLRK PFICI_08857 MKRIRQYIARISRTEPRTETDSRLSSSATTSRSSIDEDATGILV LQEGQEPENERGIDIVFVHCIGGSRIRSWTKHDVCWPRDLLGREFPHARILTWGYTLP MLNNSNVSEHLERPLADSLIIDLSKVSRKTSRQIIFVAHGLGGMIVKEALSAAAVSQI YGKYSEMADIYTKTIGILFLGTPHRSTERLSLEDVFAQAAVIEMKRSDEAFVQLIKKR VESWATPKDDFVAFSRDMSVVCVRELIPVTTPTMSTMVPKSCATYEGLNVVSDDILAD HFNIARFSDRQDPGYFQLVGHLSRMSRRSQSTDTEAKAVRGKEILDALYFDTQNKREP SDDSFDQTCASIVAGGEDQPSSGFYRWCRSPETLFWISGNAGSGKTTLMRHLFHNPET RTHLEQWAGEGTTVLMVSTYLCESGEQVQKSQEGIYRSILHQILTARPDLVPVCFPSF MDGPWPPPIPFNTVVNLMQAFYQLLSKMGRTFRLVVFLDAIDEYRSTETDDFAARASI SGESMDSDSQNSVLGSKEWIASSHMEIARLCSEFSFSDAIKAVISSRELPIFEETFSQ ISRMRVHARTQNVISQYCADRLDDVSPGLSSGQQHLCNEVARLSNGDFLWARLAINIL MEGSLKSLRHTLDNLPTHLMGLDGLYMRIIQDLSPAYQREACRIIEITLRCRDPPDMI TLALADEGYLARKELRKQNPELGELLVHHDKAKPMSESDAMEISRSMEHRLATRCAGF LEKREGSQRVAFMHLTTKEFLSRPSLWDKLSVMKPSSVELDYSLISANVRYLRYLART RSIASRRTRFRIEPSIWLIIGNTLRYAGRVDDKPFDFKAYVELLDELDETCREGWKQA VRDFVPAPTDLGWSNKERAKLMASSWASFEPMDVGNSPSRHNFLALAIQANLLNYVSA KLMLLDEAERATEAQALLQYAVCPEGDIRSIGGSMVSSCAPLTGSYKDFHHDLPDANF IRLLLTCGASMRHQQAQDVWKRVLQAGQKYFSQEQSLAIVSIGATAGQATNKNRRQWV AIVTILLDNGANPQLQVETGGGHNDGSVEIRAAIDIIGDILAGEEEFARDLLVIEAAA VRESMARKTS PFICI_08858 MEPFEYSPIVHEEHAFRIVRLCPGTGPNIKAEIIHASLEDSNLL EYEAVSYVWGSLELTDSIDVCGKNLKITNNLSLVIRDLRLPDQDRLLWIDGICIDQRL DKEKNHQVRQMDRIYRSAERVIFHLGRSSEHTPILNQSLAALRQQQQLEPELPFEMTW YRVKDELADQYNDFDAKIRRSVESILAEPWFERIWIVQEVANARRALIHWGYAAFPVA NFVHLVRQLGIEIEPQQTAILDMMPQKRRSESPWSSKQDLYHLLKRLKGAKASRDHDK IFALLGMCAHGKGHGIINVDYQKSEDLVIREVVAYMFFCDKDACENICRTLKSIGKLL ESIETLLDDLLIYLARNTRLSALRSLLSARKDDILITDRILVSVFQVVNPESEVVRVL LAHRPDELVISADAVKTMVIQGNSDIARVLLKLAEKSLSFTFDWAKSFERPRQGLTPL WYALFNENKGRIRQLLDEGDDVNAIAPKGFSPLGWAAKEGKEDIASILLEHHADVEAG FPKPLSFAAEYGALKVVELLLDHGASVNCRDYRGRTPLSLAASGGYQAVLSNGDSLIG QRTIDEMLSGSEHESTIQILQKQWMSLLAKDLSSMQLNTVDPSACLRHAKSLKDIGLY KVPKFSHGHAFEKGFGRDGNDKGPQKFAICQIPEVYSNIVDLLLQKSAEVESQDVLGA TPLWWAVNYGHLPAVESLLQNDANIQVTGDFGITLLSWAIWNGHQNVAQKLLDSHADV GKEFDDSVSSRASAPLFWAICSGSEFQVLQLLEKGLDINTVAPVQTALTLRHFAIALL LLRGDATLTSQVAAPQLSMAVLAGDQSIVEALLEQGADIEVLYDNRTPLMLAVIHGFV DMVEVLVRNNADINAKPRGGLSVLHLAVYYGYPKVANLLLMYGAKLEACINFGTPLVL ACGRGHMETTRLLLESGANINAKNKDGATALLMAAGYGHWKTVQLLLENGAELEARVD IGTPLVRAFYNYDGGIECGVDDQAVDINTNGHNGGTALFMAAGSGDVTTVRLLLKHGA KIDAQSNVGATPLIWACCYGYAAIVRLLLDNNANVCFRDSEGDSALDCARRFKWEGIG RMLEERLAVSVT PFICI_08859 MRQPSSLWWALAFFMLAAVAAATEMPDCAKECLVEYLPTSACHV ATNVTCICSNVELTEQMTACVATNCSVRDALLTERFDSETCDWPVTNDSLGLKIITPL FGVLAVVVFCLRVWARWLTGLMVTWGADDWVMLATVVFSIPLTVVSYLFAAKGLGKDL YMVPFDDITFVLEMYYYSEILYLVVIFLTKISILCFYLRVFPKKSLRMRVYVVIGLTG ACALAFIPITVFQCTPIPGAWLRWDGTYEAECRDVMVQALWGAIASIVLDLATILLPM TELWSLNLSIRRKLGVMLMFAVGLFVVIVQILRIVFLLKFSATSNFTRDYTATSVWSN VEVYVGIICACFPYIRTLLAHFAPRVFATTKDGKSTNMSGAYANTGSKGGRSQFSHRP VHGDETDFVELRTSPAAQDGFNSTTDLTHGAHSNNRESRGTEKDEFYTHNVHGVV PFICI_08860 MRLTHKLAMSTALAQMTAALAMPSHNPFITQRSSDYDHDFYSNT TMEYDFVCIGSGPGGGHMCSNLAIAGFKVLLMEAGGDSGLDLIQQVPAMNIASTEDWS MEWAYYIHKFDDTEIDKRDTKMVYNTTEGKKWTSYNATGNLGTPATSDQIPEGAEPLG IYYPRTGALGGCSRHNALFSMQPYEMDWDDMVELTGDNSYNSTNMRSYFTRITDVSYE PHAADSGTSGWYPLQVTSLITAATDLKIVSVLLGAATAFGQTILDEVVDAATYLARVL ISDINAPGQTMVPQVYQVPLVMREDSHRGGVYDLIVNTAMATNDDGSRKYYLDIMLDT LATKVTFDTTGDKPRATGVDYMSGQALYRADPRSGGAQPTGEGHVSVTKEVIVAGGAY NTPQILKLSGVGPKAELENLGIDVVLDLPGVGTNLQDRYEQTMVSKTETPFALTEKCT WHPDPELDPCMKTFVDGNDQVSRGAYASNGIAVAVIRNSTTSARGEPDIMVLGGPANF TGYYSGWSQGCTADAQHWAWIILKSHQRNNYGTVELASTDPRDMPVINFRKFYNDTEA ALDLTAIREAYDYARGIMNDVIPIGGILSGSDGSLLTGLDEILEGAFTEVWPGADVTG DDLDQFIKDESWGHHASCSAPIGADGDPMAVLDGDLRVRGIDGLRVSDLSACNKLPGY FPMMWIHQLVEKVSEVIVAEYSS PFICI_08861 MEAIPSSMAEEKFRVVIVGGGPVSLTAAHALYRAGIDFVMLEAR DTCIPEEGASLLSYASTHRVWHQLGLYTAMEAQSAPIESRTILTHAGRVYRTGSPALF HRRLFGTPPWNFHRRELVRVLYDALPSDTRAACILTGKKVCEIAPDASGVTVRCEDGT VERGTMVLGVDGVHSKTRGMMRTLALNAGAEKALINPERPYRAEYRCLWGTCPRPAAC PPKSSFEAHGSVRSLMFMTAHDQSWFFLYEQLETPTDNARRDYAEADQEQFAARFAGM HVTPELVFGKVWPTRTACGMADQLEGVLPHWRLAPAAGGRVVLAGDAVHRFTPNFGWG YNSGVNDVAVLTSLLHAEVVQKRSPSALSAEALDDIFARYQKARQIDDNIANVAANSA KVTRQSARPRQWLAAAVYWLLEHVVPLLIPNFEMFASKKVLGTIMRRGRVLDFLPEDA SACTDARVFGGELGWDFPIPTIAAAAGKSVALNSE PFICI_08862 MAHRQDAAQVKFAIEVTTQLQAHASAVAADESVRGSFPSQLAGL AFHVQQNLQQLLDSPETRPKQTSRGVSQYLEIIEKLYQVLSRKALGITVLKSFATDPR TTELRKKLTKSVERFLKTIATIPTLELSNVTLPEQTNTVRDDFQSHIRSLYAALSSHC LCHESNTITANLRLNKCCTPGEIENSINFKLLFLDHPHSRTSDPRCQWQDARICVVQR RGVKFRDAILASTSQSKHGQLISSDAFCQLITRRAQSQLMLTVSEKGLVLDGHGLPSQ SLLLRSSSISLAELLQVTRLDPKMRLLLSYTIAKAFWQFYGTDWMQKEWTKDTVHFMF EKLSTIPKGLLINEPFLSAQFGKPTCPDSEDEARIHSFPKILALGIMLIEIELGISLE ERRTADHNGIDGLPTVNTNLLTASDTFKDVLKQNSAVFKPLVEAIETCLKPDRFNEYQ NDVASLREALMKLIVDPIEQLYMVAWEDPDKSDVRPISVPTSDDKDVSQHCHLPSPPP EIPVQISSSHFATWENGPQPRPYLQHLSGSHTPSQSPHSQVRGTISEHTSGLSSDVWF EQLDDLNSVLRARRQETDALWRKSRVAILDTGVHEDLYDSDGMVKDYKDFVGNDDQMW RDNVGHGTNAVRLTKKVYNMAEIYVARVFETSQASNDTEALMAQAIHHATDVWNADVI VMPSGFRSHHQEIMGAIEKANHCRVLVFAAASNYGNSTEIAFPGRLYTHGKLFCMFST DANVRCLQSLNPSPLATARHSFAILGENIVLHPNEPSLSGTSFSTIIAGALAARLLDF SRQRGIREQIRHRDRMETVEGMSAVFSAMVMAVDNRYHCMTPWKILPNISEPEPTRRR HKRRDYIGETISRALDNLY PFICI_08863 MSGGTIRERAAACQQLFAQCLSLTHGEHMAWFEMRRGEFNIWAF GLQALSSGKSSLDYRVRNRSDVSGIIVALLGGLSEDLEDCISIDRKLSSEATGDVASS ELDEQGGEDFDFAGFSDDEASSESSASTSEGGPFSLQMYNIRTIIAQLTRFSSMIRRS GTKFRFKRADAALALRESEFNEFKEQLTNMVMIRSIKFDVGDPTSFEVFQDKMNTDLL TPVQKRLIHGNVLRRNRIVEATKDMRPIEPQAKGGLEDPMHDSTFMPEIKMPIPTPMP HVKAEPSKAGGTVKSSAQSRVGSIARSATEIGSQLGLQLAPPKAAPSVMTKMTKIGIS QDYPKCPKPISDDFIQCPYCADVLPTEYRSHSSRWRGHVAHDILPYMCFYEECPLADE MYLTSEELISHVREYHATTMWTCNFCLPTSSETKLCVFGSSVQWQEHMQQAHGGIVPV QQLSSLARVSERQVIQATSCPLCAHTPVGIQTTVDQHILQHLHEFALWSLPPGVNRDT EGSISNSSVGGLSINTDLDDSDEKEPYIEINLLPEGINTLIKHLHSLARTKGGDSGLP VDLTRCFSLLDSFASMNDREWDQLQVIYPHALARLQMMVQGGSFAFPSLPSMYLPGES LVIDVLDPLQIELEVLNDIRSENTPTLDELPIKPKLDLTVPRWPHLEPRYSTPFFKAL ETFVFGRQNGFLALLDIPMFSARPLFSEFALRFRPPGSRIFFIDLDGADFNRVMGIGD LDKAFRQMASQLLDTPDFLDVLVQAGRGSGLVEAMLRVANSRAKEQWVVIIHNILMPL GEFLRRDTAKDDCIQMPRISHQGSVIITSFQKHPQSPLPEPLDVHRIFYRRPGLSFYR TDDVNYAKRHRIDTPSAVPASLASDNLLSQTNNSTISRDKSSDEDRYRDAVASASSAR LTFRPKDDKSNRPQAPQSRDDTSPIPPNGAAGYDDNPT PFICI_08864 MTSKCWFVLRHHHYPPPTFTKSGSKQTGGPLRLGHIIPDLQRLD NVINTKNGPLELPSDMPIYPTKSWDLTWNINQGREWNASVSAGVPIAAAAGITIKADA GVAFQHSVQNFWEFESLDTYIIQPVNEYVEDCLEDDQVASYLQKHKHIITPSVFMITG LIIARGAEVKSSATDGVEVHGGPGVELPAIVELGSDLGKKNETSISSTAKRVSDFVWA VRLAKISKGLLDRTWSHQTFAKGATFGLDEEQDQGEIIRQILSKEGLGDNFHLNMEDD GSAFVVQPTEKVDDGITDRP PFICI_08865 MPSTSQSITATCQCGAAQHNVTMPDTSSPVPAVFCHCDSCRHMS GCLSVTSIALPPNVPPQTGLLDRLTPFEFSKNRITHYFCSICGTHMVARVLPRQGRKD FEELWFFMCGTIQNMLLAYQPCHEFIADTIDGGIAKFLSFDNGRQIERWAQRPEDGQH LPLDWSSHTGQATPSPPDGTLHAHCKCRGVEFWITRLSTPSKYAATICDCESCRLSSG MDSRVVGLAQIPIQNMSLDREGCTPCPENFSFGTIKKYQHVSGEERAFCATCGASIFV DRHGLGTLRIAVGLFVSSKGARAEDWLEWKGIAQVG PFICI_08866 MTVRETFSKFPSWIPQKSWVVILMLMCTAFNTGTVLGYDASMMN ALNILPQYTEYFNLTPATTGLNSGIIWIGATLGSAVIAKLPDHIGRRPSAFYSGLIAM VGGILTAASQNTAMFLVSRFILGLGIGCSYVVTPVYISETLPLDKRALGLGLINDVYY VGGLVSAGITYGTSYLNSTWAWRLPAIFQIVFTLISLLALPFAPESPRFLVYQGRKDE ALLALAQISSNGDTSDAAVKLQFIQVCESLDYEKEADQTVGVKELWTNKSYRKRLFIA FTVAVFSMMTGSNIFSYYLGTALTNAGFTDSTIQLEVNIILNAFCLVICLIGTCMADR LGRKTLALISTGLCTITLFIIGALTKFYGTSSNKSAIYANIAMMFLAQGFYSFGWTPI LQMYAPELMNYQLRSIGVSWCITWQNAIILVPIFAFPIAMANIGWVTYIMNGGWNIFQ IVVIAIYWVETKGLSLEEISALFDGEVHSQVTNVKDIINGVSAGIDDEKVVAQVQAEI LKD PFICI_08867 MEGGVDTKAILDKLTIEEKISLLAGANIWESVAIPAKGVPAFKT SDGPNGARGAKFGDGLTSACFPAACCIAATFEPELALRVGRALANETKSKGARCLLGP TMCIQRHPLGGRNFESFSEDAFLTGKMAIAMVKGIQSQGVSATVKHFVANEQETCRLT VDTIVSERALREIYLRPFEMAIKEANPWAVMTSYNLVNGEHADSNALLLKQVLRGEWG WDGLVMSDWGGTNSTAAALIAGLDLEMPGPTRWRQPEVVMEALELGALSEQVINDRAL HVLDFAKRLGCFEDSTIAEEKSIDRAEDRALIREAASKGIVLLKNKDNTLPLTKEKLL GKKVALLGYAKMGLAHGGGSASVNAHYRVTPWDAFQRELGDVVEFSFAKGAHTMRNLP PITENITDNQGGSGFTWRLYHPGTTEPVQVVHGHKLSEVDALDSQHIPNMEVILEATY TATETGPCYLTCSGLGPSTLAIDDRIVFEQTENCNDGMAFIFGGVPAVESVVELIAGQ QYRIRIHTKPPVKIPGVDLGILEGKIGVRVGHMLASEHDKDLLTEAVKLAKATDTAIV FTGHEPSWETEGQDQLSFHLPKDGSQDRLVCAIAAANPNTIVVNSTGVPVAMPWLEDI KGLVQTWYPGQEAGNSIFDVLTGTQNPEGHLPCTFSKRLEDCPAFGNFPGEYDENRQL TVEYSEGVFVGYRHFDRLPRDKINFPFGFGLSYTEFTFSDFSVKESSKGFVATVNVTN TLSRAGGIAVQIYVGKVGNPSTDPIKTLVAFKKVTMQPNEMVRVDMPITKQSFSGFDE ETRRWIVRGGDYKFGVSKSAADPVLDQIIYIEPQIFAL PFICI_08868 MRRLSRFPFIKGLRAQPEASDDHDASDETLSKSTTLPTADNASA TVGEKDRKESAWGLRELVSQPPARVGCVDIIAIHGLNGHREKTWTDAETKFNWLSDVK GLRHDMPSARIMTFGYNSKTYFSRSDADVVDFASELLAAIKAKRTTIEEKQRPIVFLC HSLGGIVFKQVGVFIFFVFYHYIYLLHSDLLKLVVRAYEQDTFYSKILDSIQGVVFFG TPHRGSDLAFWDHIGTRLVRVGTLGYLTNDTLSKDLKINAYMLKNISDSFVYRGAGLK IRSFYETLRMKGLNSRVVEKDSATLGWPNELAIASVATHSTVCKFPSRENSRYQTAIS AIYEVIEQDEANRVLQASAQEQLCLQELGSDYQGHLGQVDDHVPGTCEWVLSHGMWRQ WDAAPDSCLLWITADAGCGKSVIAKFIVEHLLTQSRESLAPRNICHFFFKEGLDDQDN AASAVSNLLHQIYSAQSSLIKHAMKRYTTMSLSTFKAFPTLWSIFLDTVNDPDLNATI MVLDGFDECEKGSLKQMSKALASYFDRAALQAMMDSKLKVILLSRPDNTIQQTLQLWG RQESRISHAPMQQQQQPLYKLRLMAEDESLAIARDITRFAKDKIGAWGQSSALSEDVL QQLEKTLIGRSDFTFLWISIVMKLVEEALVDGLNGEQLESILCTTELDGLYERLLAGR TLPLKTKKVLQIIVAAVRPLTVNEMCVAVEVLQDYHPKAEGKAPDLAPSTFRRSSPEK SSGTEQRFPKRKDAREPNDRSQALVSDLDEIGRLVRKPFANHLRQLCGHFVRIRGGKI YLVHQTARDFLLDRWTTERSAQSNGSAHKTSAHDPSARYQDRDSSTRQWRHSINLFAA NRYLLQICVDYLSLFQFEYPLKADQWDEKKVTEFLKRCEWDTARSFFGYAALYWVEHY REVRLDLNYCFDYLLSPSHNLFKIWILVHRSWVSEEERSYLEASGIPIRRAHAANSEE ENRKSEANGFREALEFFEFDTATFEDPADEVFSLPSEKIEINDGEDGHGMEDELPQYN RKHVPHFQQRRRNKAAQFILELSNPMSPGRSNPSAAGYMDEFLEINPEFRSRDRHPP PFICI_08869 MAPSIIHLPDGQTFTVQPVFSGLQFKSNDMNLGRAPSPFPPGWT VVLHTEDDEDTKSTEHSNGTNSADTLGNGNEEPQSPTRSKVHAFRQPTLQNDTIFISS IVTPSSEDFEPAKSPSRQIALMLYITLYWYFQQPEPSAHLETEQSRYTPPEARPRGEW RIRIKREGVLHSRNMIPKLERMGLISTMDSAVGTSLDENSEGWDHMFASQRAFWQIPF GLFLFTLEPKRHGSSRPGSPIDSSRATSPMRNEPGWKGHSSHISINGLLSADVPGGPT PMSITNLPTYPLTPYFSASHMPTYYPPPPLQYVETDGVRHPLRPKPHRMGEIFYTRYV PSVGKYLSFRVASASPSPVPYLGPKSHEATQEHGHLAKLSDAALLEMWMDNPRVTKFW GKYQSDFLSNALKSKHSFPAIGSWDGEPFGYFEIYWVKEDVLGKRIKADDYDRGIHVF IGEEWARGLVPQWSSSLAQWIWQSDPRTMSICLEPRIDNTRFIDLLQNEGFNKERQVS FLHKQSWLVRLRRETWTGPRL PFICI_08870 MSYVFRRGCTQLSSAALRWSPRATPCSSRQLSQTSHKIAFSRSF KTTSRIQKELQDETARETNLRDQNAHEEEVKAGIEAATKQQIKRPWQREGADRPPTDL EAKKMNKAMTKGKLLTTPTRLLKLIIPIPADATHDEKGNKGEFRSLAQNEDIQPLALL VHPHQPLSYLERLIQAELPPVYDDKGREKMPNVYFRAEASDKDETGEKKQNAENIASA SGLGREGPDTPNKDKDWVRWSSSTEIGDFIRDAARGREFAIDIDGHNTELRVGVPSFN DRTHYLRVRLRRMSRKIDELSKIKHECDVLAHQGAHRIAKAGFAALSGWWGVVYFVTF HTDAGWDLVEPVTYLAGLTTIMGGYLWFLFISKDLSYRAALNITVTRRQNMLYQARGF DPQRWDSLVSDANALRKEIRMIAEEYDVDYDETKDLGGEEVQETLDKEREKKERGKAD EELEEEEETKKEAKNQDDKKGNGQDKKKD PFICI_08871 MSLSNRAAGLMALAMIASVQATNVYKIPIQKRAATASGINVPAT DWFYRGDNEWYSTVQVGTPPQNHTVAWDTGSVDLLLPGTNCTTCSNHTLFDPTKSSTY SPLPGRRTKTYYSTGVDSIPFTVPEGEGGVIVHDKIALGDLVVESQGFILVDESAAAL NKMPVDGILGLGAPNVTGINQEPWYWNLYDSGQLASPVFAFYTPAGDIDGAELTLGGI DDTKYEGEIPYTKLESSRGGYTLRQSGLYINGEVFSKTGTAILDTGTAFMQTPNYQIA KKLYAAISPNITQIDKAGAWGAPCDEVDALAPEFTFTFGPVGGALNLTIPKEAFNLGE YPGQPGICQTLFNNPLGTVSIGGIWLIGSPLLKQYYTVWDAVNGEIGWGKLKSPGS PFICI_08872 MQFTRALRQAAHAAERVPLIRFVGRREIPAKIDHSPQPHPASPT GSLPAGFGANGNSHTSFSSYRDSAQQHGPLRKSVGRIGGTSGSKLGAVAAPKGQFFDR SELPARFRRAPIDLAEIEAVESGGAALFG PFICI_08873 MTTHEEIRVVSAAIEKADLKALRSVLKSMCESSEECRKQAAQRL LLSVPTGSNKRKSEQSPQEAEDASDNKKAKTVVSRFEKCITCKKVFDITTNRDDSCQT HSAYLEIDDDVFPDDDEIANGHVDPYTDWRREEWPEGFIWQCCDRHIKETPCVIQRHI AGPDGAKSPEGTDEESPIEISSDEE PFICI_08874 MQKRKAGEMLLPQSGPPPGLVPIGSDIWAINKTSRNVVKEEVLA RVNWHAVETIYPPGGPKFVQYLGNAYLGGYYLIRRLVLDDGTSIVVKIPRFDRRDIDW IMMTEVSTMKYLKERSSIPVPKLHAWHFTSQNKVGAPYMIMEYIHSTNAQEMQRLLMQ RVLRGHLTNFELGELNTSFRQQMATIQAWFGYCNFPRIGSLYMNSESSEWMVGPEASS GKGPWAREEDYADELLDRMAAAQSGEDNLAICETDKEALRKLVSSAHSKRRVLRLCDE RFQLTIPNFGPKDILVNGNLKILGLVGLDRVMALPRGMAGQFPFFCEMDRHIKNTYEL TFMSIIGKSEGAEWPLQANINAIRQQGQYREVMNNRELMLMPQEAASLWLGTVKAAVC QGLLQFNQPRLGIIQFSGTRTTELKKWHKIILDLLRKFGDAPETSLDLAAEIAPFDLS PFICI_08875 MAWLSSKSPLQALEPDMMETETGYTTTTATTISTRKTYTTATTS VTDNVIVTERREDLCHGAGHLHHNHAWDICIESTTTTLRTFQTYGNLATLTASQRKLS SSYEASASGFVSASRIK PFICI_08876 MADPAKYWPGGIPAHIRCHGEPITERLDEECKGWQLFLEESAQA REPGNNDANFEVNQRRKLVDQWASFTQIERDAYQDRAPNRGKSSWYPPELRGDWKREL KQYGFCNLLVTQPLSGRNQALWAKIRIMMYRLDGSGEGPSIGDLNCDNGIYILKPNAA GPSPVQTRDFYKWAWVDNALFDRMAMTRQGTVIFHRWGPDKFFADQEALNTGLLLLCH FENNGEIAAEVRVSPLLTYEAHCKIYGLGHRLPEIIFDNGLLTDPQANAPLNMEKSIL ELVNSRMKHIELFEGDTSEDQIRRDIERYAPGYLDAEAQGNGMAADYDHNNFKSEDEL PFICI_08877 MISPAVENHAVRPPSPHISLLADVQVDQAAQSLAFPQSQEREEE SLLSTTERAVSGPHEDEGRSDSRSDTTMKDVSIWRPLHTRPLIIIAFSAWFVALIGAL AALYTISEHNQGLFPSTNELQYLWRYTPVAVLTLTTSLWGLVDYDVRVTAPWNDIMKS SGYQPLHKQSSALLLDYIDMLSIKVPLHATYNRHFAALGSSIILVLLQCQTVISAGLL AVSRIEAENQQIPFILTSKFTDSGSINLHDTNNLAHSRMFHTLTGESSFPDGCTDRWA YQTFSTFSHGIATSEVLVDALTYGLSCEPGNMTLMSLGSLLFLNDSHTTNGNNAVEVI EPSYLKFQIGDCELPEILRYDALGTQNGTNIAQWWTISLAEGFVPGNCNSSDMDRDHK RLIVSFSTIEYIVSSKRNVSEDVGGQVHQGMNYTYQATRVRATTLVCAGTYNFTTVRV QTRNNTRQITSVEDTGPPRTLPNFHPWTIIQSMKSITLDVETKNKTNPFPDQLQACDG NCSSMSSWDIADLYNAWNELHQRFAVFYWHDTMFNPANIGTFGTGTIVSNRLVVQDLA CHFLIGSLSICLIILVGFGIQIPKSCGFSCRIGSPWSVGMLSGMAWLLSFPRELGGTD LKQLKLEINRPGHTVPGFDPASCPRLIKSWGLRSVSRIGILLYMALLIATLETALSQS ERMNGIGDIENDSYLHYAWTTGPAVVLTLLSMAISSVDFQTRMMEPFAFLTQTADLDI IDLDLLRPSWPAILKQEWWSRSFGALATTTTTLVAAWLTIASASLFHELPVPSTSELQ IESTSRFVTSGQWCDNRASFPDSSPILIGNQSYPATSYENLVFPELSLDKAALDSIQY DFNNKDFNITATIQALRPSLSNCHLYSAADIETTRLQQVIINDGNTLRTYGAAQIVDF IAVTVRGERLHDCPWRKDWTVNGSGDSLQPAPDVRRNYTATFPMPIFADGQFGAAQQR CSSYLFIWGRHSLNNPDYSSTSVLSCNQTMGEVVSVEMNFAGSNSTLDLARPVQPLNA SSIWNSTIRLPDDSTTFSKCVSGVTTSVFDIPLHELYQMLYLNSPATPKNCSFDGFFG QLVTSRYATLMASIGDILQENAVKDAILFQHSIIAAHALDGIGRITNLSALNFPDSEG SFVPVALLSDSMFEPHTFDATVIYENDRLRLIQDKKATRILEGLLALTMVLFLLGWAL AHKKPVLPRAPTSIASVLALLAGGDLLEAMYKDNDEEARTTADLKLMLGEDCRVWLGM GPPDGSAPAAGGRKRFGVWVTAGPFREREKPAPARNRESEDELS PFICI_08878 MTLKGGTTLYCDVFVPNELHDLSVKPQKQMPAIVAWTPYGKQNS KPNIDDFPFRAGVSADDLSGLETSAKAILLCTMNRRSHRATERHLASGKAFATPQDWE KPFTSVESTNCRLQDHLAITKRSFLEFTSKSNWVGCYSPKYAIARRRFLMLIPQYPNM ILTMAHALNQRDDSYVNPNIPALINQTISQYDVSTGSSYWASSFVTSDEGQKFLAISH ILTTEAPDPVCRSSVLDLQTNEYWVDLTYCHAANENAFDTSIPLDLDYGTYGFRSTSA DSISEMSTFAETNASFAFNISWKATSQTLLNGGSGIIAFGAGPANATEWGLPACQSSG TLTLNGTTHVIDADNSFTWFDRQVSFGAPKNWTWFELNFPGSAIKASVWAYDLGVTGQ SPYQFATVRVGHSMQVLAYTWTPNMKNTWVSPKSGLVYPLEWTLDFENGDYLDVQSIR PDQEMYGSNALVDSAYEGFITVRGRFQGQESGFGVVEMVTAYQ PFICI_08879 MLTGQPSPLFLNSPGPSPTSSQLYRDGVSPSSGSSNSANLDTPS PGRKRDFYFAGVLLGEVCTHSGLPYFSPNGIRWIQQQTGEMPSFPQPPQAHETGMQDN LVSSQPTDIQLPPRRSVEEYVSMFCKTSIGLVFPVLEPTSFWHTLGLAYGESQGSWQQ IQCAKACVLSFTSLTVFSLGKWDSNLLDSAEYSAKVRLLLPQLFGDASVTTLQTVAIQ SLYHLWSGNLQLASSFHTMACRIMFTFKAHSQLPTLNAHASDGTSQPDHYVRRMFWLL YLLDKEIALRTDLPPSIDDDLCNLELPPDTNSHPRERLATETNKTPSLNLHLSLVIIK SKALKYLSPDISPQKNNAELIKCIRELDQELETWRLSITERYRPSLSRSASHDLDVED PILRMECIMVNLEYRHLVSYIHQATQRCPRKSSGTYYQPTDAETLAIASSQSLAVEAG RSTLVYLCGIAPALVGEPFWLILAHSMTAMLTLFCNILLNPVASQAKDDLMLLQNVPE LIQSIHMRQWSVKAVEFRQRSSDLLAEMARIGTVAIQRQNLPRGAYSGT PFICI_08880 MGLIDAALPLAKYAASIFLPLILSVVIYRIAFDPLRKFPGPLLG RFSNAYGAYYAAQRNLHIATYQDLKKYGPVYRQGPDRLVFNTPTAVQEIYLHPRITKA RLYLQTQYETEHNVFGTMDRHRHQQKRKIYGRLLSDRALRSFEPAMHGEIDIFLRQLH TAGNKPVDMSPLCGRLTTDVAGQIGFGQSLGTQTQPTNRVLPSIMTSLLGLANIYMAW PSLALVHKIIEILPESRRNFHTWRNALFGIISKRVDLPKHARRDIYSIVAEDFEADQE IPPREMGEVWGEATFIIPAGGLTTSTAISAIFFYLSRHQDVYGRLAAEIRAAFPDSAS ITSGPGLSGCTYLRAVIDESLRMAPSTTITAWREEDPKSKSTEPPFVVDGHIIPRGTY VGVNSYCLMHNEEYFPKPWAFRPERWLAGDEEGSDSMAATMRSAFIPFAVGSASCLGK SMAYMEMSLVIAKTLWYFDFQRAAGEAGQLGGGDPQSSSRPRVDEFQLYDALIADHHG PSLIFSPRGTFWKDLVKNA PFICI_08881 MEYRAPLKPDEVEVKAEAWPVSFRDIFIPLGRLGREELGVECAG TVTRVGSACTSLHPGDRVVMAIPGFALINPGTTAYHALVNVAGLQAGEKILIHSAAGS TGQFAVGVAKMLGAEVFATFGYDDKKQLLMDRFGVKRVTNGYGVDVVLNSLSGDGLRA SWECITSFGRFVEIGKADIGANTPLPMGSFAAVDLIHITLANPRLLRRLTGKVLELAT SPEFLGRKGISIHAKRQKHESYYRQLEGTDIVPKFITRRCTWQFDENASYLVAGGLGG LGRPLIRWMAEKGVRVLTPRCDVSSASGLATMLNDLTSATGTVPPIKGCIHAAMKMSY PQWSRTIQSKVSTSWNLHELLPDLDFFIMLASIVGIYGSPGQGTYAAGCAF PFICI_08882 MRSRDTAPKAATAPPFRSTWAGCATMTAAGRQRRTSIPSRQLTY WHCLTIIATQRTRLYAGFDVAQASLVGGTSPTSSSDDAAQRFLQAGSAAERAEVVAGA LQEKLARALGVEIDEIDVGKGLADYGVDSLMAVELRNWIRRDFGVSVAVFEIMDGKAV IRDIGASVEAKAERVDQCAQAHPSVPT PFICI_08883 MSSSMIAKATTLKPEIRLAQSVSEFEASLSDEHKAAFRSSRSQS LNKPPDPSDVMRLTAEIDRRVVQQNGGGQCFGPRLTNILQAVQQYAALGDVIIGGSQN IIACGIWSLLRLTLLALVSFSAYMDKLSKLLMVAGRKAPRYEQMALLYPRSSDLQSSL SEYFIVVVQLCRQLYKSSRRTTLGSVFSFLSDIDLKEHETSLQLWADCIKDEVTLLTA RKITEQSASLGVLSKNSQHESHRKRLQTRFRILQACSTYDHQTTWKEIRKSGNSTILA QLNRYSEWKAEAQSHTLLCTGKLGFGKSVLLANMVDDLNLSSTSAGTAVIYFFCRHDI QESLRARTIIGSIARQLINTILDRLPDEFIDRNSVSNLDDLLEFVLRVVPRAMKAFLV LDGLDECADNEIAIIWRHLKRLQESFTFNICCSFRTDVHLKGPLESAQMLLHVRVAQL PEENPDISTFITAELARCIDSGRLCIGDSTLIQEIQHVLVHRAEGMFLWVILQIASLC TAQSDAEIREALEDLPKGLPETFSRILQKYRGAGEKYQDLILQLCLAAQRPLTTEQLR EALSVVPYNTEWNPARLINNILSVLSFCGSLITIDEENLTVRFLHHSVKQYLLGDFDP VDKPILTLEDANRTMGEVIVTYLNYNTFENAISTTVIPEIMAQDMPSDIVKSAFRHSM PVRHVALSMFKRRLPYQHDLRNTLIRARNTSRENVQFEFHAYAQAFWLWHVQWVFNHQ DARSLDLLMTLLKKKRVNINDKDELGNKLLFKAMSSSTLEVVKRFLDYGSDIYDVSVN GCTALHMAAYWDRKDIIMCLIDSGARIEAMDLNGMTSLMVAASEGRQKALQCLLESGA NIQASTPGGMSALSLAAYRGHLGTIQYLLEHGASNRRKDKYFRTPLLLAALRGHRQAV KLLAGFPGEDLETRDSDGRTAVSLAAYQGHEDVVKSLLNRGADIETRNSSGWTPLMLS ATAGHAHVVNLLLDRGANIENKGSNGCTPLLSAIEKGHRTVVQSLIDRGANVLAMTQD GSSALQIANSHGDEVVTQLVVDSITVVKPRRKTNVRTTAASSQAKIQHDVHSQKDVDY TAFPSEVRTPTTVTLPPQFQSQQNANHASDAKIGLAGSSNLIAKSSDLIWAAHNGHDA LVMFLISYGAHVEVQDEQGATPLLRAAEKGHISTMKFLQSRSANIHARTSDGKTALHI SAKRGHLNIMQFMLYNGINVHARDWDGKTALHISAERGHLDMVQLLLAHGARHGDDLL DRTTALHLSAKQGHVQVVKCLLDHGAIVDIKNRRGKTALSLARKQGHDVVVKYLLDHG ATEDTADP PFICI_08884 MLKPILFSLLSWFLALRVLAVPYSEYILAPENRTLKPRAVYGTN GTVTNAAGITENSTGSTTLNGPSYITYDYGRNIAGVVSVEIESVSESASLSLTYAESS YFISASSSDSLRDGAQATPLTLDIPTAGSYSVSRYHEFGAFRYLTVVNPTAAEIVIIS VTTNFTAVPINEPTAYTGYFHSENELLNRIWYAGAYTAQLCTIDPAYGDAFDGWYLPD GLIDWSLNATLTEGRSCLVDGGKRDRVVWSGDMVVTIPTVGVSTNDMYSMRNSIDSLL SVQKSNGQLPYFGWTYSSKTHSYISSTYHLHTIVDLLYYVQWSADTDYLTTVWSRVVA ALQWSLSSVDDTGLMSVGCGSDWGRPKISGYNTEANAILYHTLLLSSQAANILNDTAS ASNWTAAAEKLKTAANSLLWDASVGLYMDQENSTLYPQDGNSWAIKANLTDSSARAQS ISAALSSRWTEYGAPAPELPGIISPFISGIEAEAHFLSDNASRALDLISLQWGFMLDN EYMTNSTFVEGYTTSGTLEYGYKTYSRISHAHGWSTAPTNLLTSYVAGLKIESLAGET WKIAPHPGGLSNIEAGVSTALGNFTIIIAADGDIIGGIDFCTPANTTGSLELPGQTGS IWKDGTRHYSYTNTSGPLEGGCYYFRHF PFICI_08885 MAPSLFLTILLFISTTLSLSIERYSLRTEGLEHPNGLSTVTPTL SWRLASSSRGETQAAFQVQAASSAEALDIPDLWDTGKVSGGNISVQYAGAAVTSRSIA WWRVRVWSSDDDDDTVSAWSTVTTFEIGLLESSDWSAQWITNTAYNLGTTSLPLFVKT FEVNCSVTQARAYALGLGVQSVHINGNELTDEVLAPGYSNYNKTLIYTTYNISTYLTP GTNSIGVELGRGLWNTQKAIGRRYQKITTAGQPLKLIAQLEYTCADGTSYTVASDDSW RTSIDGPLRESSWYGGEEYDATRELTGWSDPNGNVTDTWDLATITAGPAGQFQGPRYP ALKIVEEIQAVNITGPVYGQWIIDFGVNFAGWFALNIDEAEGTRVTM PFICI_08886 MYHGFRYLAVNTTEAPTVADAVGLVIRTGAESVGSFETSDDMLN SIHRITDRAIQSNLFSVMTDCPHREKLGWLEQTHLVFQPVTRNYDSLALGNGIVATML DAQTDDGLVPDIAPEFVVFAQGFRDDPNWGNALVRMPLMLYQQYGFTSILEESYDAMT AYVDFLTAKTSNNTLAYGLCDWITFDDSTPVGITATFGYYQALEAIQQIATILGKTAD GDTYGATIEAVQEAFASDFLAISDDGVYTYGSGSQASNAIALDMGVVPAEQQSAVAQA IADSIIENGNHLTVGEIALPSLFRALQSLGLNDVVYNMMTVSTAPSYAYQVLQGATSL TERWDGPTASCSGCNSLNHFMLGYADQWLLELSGLSQADNSSAWESIQYSPIFVTNLT SASSSYRSVRGVASASWALAENTLTYDIVVPVGASGLVTLNLTALGLDTIQESGTVLN ETSSGNGINGYSFTNGTASVKVGSGQYAFVASLAS PFICI_08887 MRQTILACLVSTIGRVYAASISLASVTVDDLINPLAVNTTTPTF SWAVNSPSVRGASFAGVQVQVLSGSTTIWDSGNVTTTDTRLAYSGSALSARSDYTFSL RLSDTAGSWTDWSSGTFGTGFFSETDWASAQWIGAANSTVDEPILRTNFTIAKEISTA KLFIAGIGNYEAYINGDRVGDYYLAPGFTTYSTRYLYDTHDVTSQLSVGDNAIGIAVG QAWFPLYKDGPAADRGAWDGNMRAKAQILITFSDGTQQIVVTDDSWKGKVGGSTSGAD TPDNESFDERAEPPTWEWSSASFDASAWPSAIVQTPPATVAQSTPMEPVRIMETISAV AITNPASGVWVYDFGRNIAGFAAITLNEAADTQVSLHYAEKLSSSGRVVNEGGRYQYN TYTLAGNGSRTFTPRSSYYGFRYIELTGIAGTPNAGTVVAQRLHSDVRGIGWFSTSDT LLQWIHDTTWQTMLNNLEGVPTDGAYVEKLPWTADAAIMSETAFSSLNLKVLYTKFAQ DITDAGLLSGNIAPWAPSPPEMDDYPSAAWGNSYPEVVWQLYQHFGDASVLSRFYSRI KTYVDYELNTRGSAGLVGTESWGDWVTPSVNDKTLVGTAFLYRSIARLVDIALTLGYA DDAITYNSYASAVNASFHSAFYQTGTQDYRNDATEDFVQTNNLLPVAFNMTNPANHQA IVDKVAADVVSNNNHLNTGLHGTKWILPVLTQFGYKDLAYLVATQTTYPSWGYWQTLG ATTLWEDWTSTARSNDHPFLGTVVDWFYQYLAGIKITGAGYSAISIVPYVPEGLTSAQ ASVQTARGKVESSWTNSTSQFSLSLTVPAGSVARMSLPVSSGQSVYEGGISAASASGV TAQGSADGRALFDVVSGTYSFTVE PFICI_08888 MDPQSDLLKLYSKSQAMFTANKPRTFKDTSRAIIFAFLLLLTRD LRLSIHDVIAFFNVLIPQPSSRVQRPRFPKYDLDEWCSVQAVDSAERSSSTKTMDFPG QIPDDTSTRFLHMERKKLHGKVPQSVSKVAIWGSKREDDASWRSLIAFSDGQAASVFS ERLDDLPVPATEVTEDPSEHWAYCLRYIYRLGVNDARSRLAKLRNDIKNLRYEHLSDP KVGGSLVCLTFMNHLQYHKDLTEALDMEKDSYPVDWELGPLLPETDTVTTTCRTLLED INETHEQASNTRILIMEQLSLSQSRNVPYLTFLATVFVPMTAVAGIFGMNTIEINDSN WPTKYFAIAAVPLTVLAVLVPLSILTLIDLLLLISSHNHILILTQDFFISVALVSSVI DVAIQYTHAIDYDAIADWMLGGDGDVKSRQKSLYLRESKLRPGG PFICI_08889 MLTKEVLDTLSDAQGDAHIIPHSPQDSLAKTLQTHLAIIDWQQE SWRSYMSSLEQDLRSKLDHLDDLMQHLTGHNEADINCQEQFEHKTRRLRTLRANSWKE LQQLNLLSSNLKKAKVTMTCNNVTLKELNEYYSILFEPPSVPESIRTDCAKNMREFTI RMGALERSIESECLSRADEMTGGQLTQFYYALILKEQRKETERQFALSSKDSMERMEQ ETSSMHTITTSTLNFLPGTFIGAYF PFICI_08890 MRDAITASAVTCSIAQGSYQTAWTLACDFMEYSGLLVTGEDERH WEGLILKYRVLLQNYFLNGPSILDYELQRRCIQSMWDIAVMDIRNDLMIALQYCALYW CTRREMLQAFKVVFRDARATQDAVFEILAPNYSRLGLRAFVPTQLLSLLDKRGAAGHE ALVPFKVPTRKTPRRLQHDDTSLSEYSNLAHLEGSMHGFIMMENYTECVPAEVASGES EAREDPASDTEEMTLAEWRLGPGRKKSWKVHPKDKIPSRLQHRRGQVLHGAKNVKQNL GTMGTSQHGQRRGYKKNRHDRYVQKFHRGNTKQRIHHLWDDDFEEYGTSSYVNFEPRV SVRAAEILDADAVLTSDDQPIAVTHNSFSTFYGNKSRAAKRGRREEAIYERIMADLPP RLPNGPTEKGTSKGKKHKQRGIDKFKIPHCNDLKDLRLSLERFWKALLEKSALLRRLA TGQELATAESYLKLYKTVEARRHQLTQLESIYSKMNKKYKQVQKGVRGMKKEMTQLKS WLVSAMEQRDMRPRSALHKDKFIYSLPQPKVGSSPHYPSLRKHGILEKESELIVRLLD HWSDVGYVMVYMKEVGIKIDTWHLGQLSVLRHDLHIYMKEGKLSGCIETP PFICI_08891 MPRKPKPPPGLVFLANEAVITSPSRRKTVTDITTPEGTGLSWTD NLSGYYGAPFNALAAKRYLAVTTTSDMSRIEEHLRHLEDADECGKKRGRPPTERRSAS AAVDM PFICI_08892 MDEANTRPYHMPSDAVWFITGCSSGIGLALAQHIAATSSRVVAT ARNPSSLSAIRDGPNVLKLALDVTSIPSIHAALDATVDRFGRVDVVVNNAGYALVGDA EAAGDAESRALVDTNFWGMVDVSKRALGIMRDTNQNPRGGVILNVSSMGGWMAYPASA FYHASKFAMEGWTEAVAKELPVEWNIHLCNIEPGGFKTKFTSSSLKYMAERHPAYTDP SFPNNTVIAYMEDPKSREDWAEPEAAAAAMYKLVSRGQRIPIRVPLGADSWNAVVADL KKCERDLEELREFSSNLK PFICI_08893 MVRLREISRTAAFAWSPGAAKPLIVTGTRAGAVDADFSDETKLE LWDLSLDNQEQGLELQPIASIAADSRFYDIAWGPATEDHPRGIIAGALENGALDLWDA QKLIDGASDALIARTTKHTGAIKSLQFNPLRPQILATAGAKGELFIYDVNDPSNAFRL GNTAARSDDLECVAWNRKVAHILATGGTGGFVTVWDLKTKKASLTLNNNRKAVSAIAW DPNNSTKLLTATPDDNAPLILLWDLRNSNAPERTLQGHEQGILSLSWCEQDADILLSC GKDNRTLIWNPQTGERFGEFPEVTNWTFLTRFNPENPNLSATAGFDGKITIQTLQNTN PSAVQSNTQSNLDGEDFFTQAQTQPQEATFSLVEAPKWFKRPVGVSFGFGGKLIILKA NPAQPGQKRSSRIEISQFTVDSDIGSSTEKFEESMKSGDLVAICDSHKENAKTDEEKE EWRVMETLLAENPRKQIVDYLGFSQVEEEATNGTTEEPESKEPEAVPEADKLAPKKGH KKNRLSMFFSEGAEGEGDDFLANLSATKGAKTDNPFHLFADSDSAVEKSITRALMVGE FEKATAICLKEERMADAFMIAHCGGKELVDKVQSAYLTQKVGMPNYLRLLSSVIVKNL WDVVYNADLSNWKETMVTLCTYASPEEFSDLCEALGDRIYESGSRQDASFCYLVGHKL EKVVNIWISELDEAEKAGLAEGNDSSYSIHARTLQHFIEKVSIFREVTKFQDTELQET SGWKLSSLYDKYVEYSDIVAGHGQLSVAQKYLSLLPTTYPAADIARNRLNLATQRKAQ QPAVTIPKTSRQATSFGYPSAQATQSPLNPYSASTAQVPAASPFPPQPSNAYAPPATS GYPTPQASRAYAPPQVAANPYAPSGFQATPAPQASTYTPLGYAPPVQNYNAPPPPLAG PPRAGTPSSTPPIKKDEGQWNDVPLVTKVQPARRTPAPAPITSPFGAQGTVPGPPHTP LGPPRGTATPPPPPPKAGQMGPPQARVMSPLGGPPQAGQLPPPPRPDSAAANAYAPPP SAQAPAGYGAPPPMGNIVARSASPYNQPPAAGPPSNRYAPAPSAQVGQPPQQPGVAPP PGNRPPPSSAYAPSPQAAPAQAAYPGTAYGGFPPQQAPPSAGPPPMAGPPKAGPPRAA ASPAPTPPPAAAPGKPRHPAGDRSHIPANAQQLVDILNRDMQRVAGKAPQSFQMQVKD TQKRLNLLFDHLNNEELVKPDTIEQLTQLAQALEGKNFPEASRLQVEIQTAKTDECGN WMVGVKRLISMSKATS PFICI_08894 MTDAKRWKATVFVGGLAGLVNPASLHDAFIPFGEIADISLPKND KPDATEPHRGFAYVEYEDEQDAKEAIDNMDQSEFFGRILKVSAAKAPKSAEEGLGSKT AVWQQESWLAEHAVSEEDRAAADGAQGAVDDRPEDPMQGLEGLDVAGPRPE PFICI_08895 MYPTQIMRMQPTRRMMRAPPAEDQAGHTVSQRLRKLRKIPAELW PLGIVVGFAVFAAGYSSARKFWVDKNLRLQRQNRAADAAASGEHH PFICI_08896 MSDDDRETKPFKFVTGVDARFPNMNQTKHCWQNYVDYHKCINAK GEDFAPCRQFFLAYRSLCPSGWYQRWDDQREAGNFPAKLDA PFICI_08897 MEVERIINVLVSSIAGLGPSTLSFPVSSCATLEDVALCLMQRIP ANPETHRLILTTTSNKQLIPSKIPLSTRLVSACGLSGQDKFVSLRLSAPLCGGKGGFG SQLRAAGGRMSSRKKKNGDENGSSRNLDGRRLRTVNEAKALAEYLAIKPEMEQKEKQK RRERWQAVVDAADQKEHEIKHNTKGRLDGKWVEDKEEAGERTRDAVLAAIKSGKYADN VVTKGSSSSEEDDEQEASGSSSKSVSPPIISKGKQPATRTFAGFDEDDEFMSSSDEE PFICI_08898 MLIPKADRKTIHEALFREGVLVAPKDYNLPKHPELDVKNLYVIK ALQSLTSRGYVKTQFSWQWYYYTLTPEGLDYLREWLHLPAEIVPATHIKQQKSHVPPR GMLGEGERERRPFGRGRGGDRGDREGGYRRRDAGEGKEGGAPGEFNPQFRGGFGRGRG AAPPS PFICI_08899 MAAQLPKTMKALRYEKPEDWSIVEVPLPKIRAGDVLIKVKACGV CGTDLHIHEGEFIAKFPLIPGHETIGVVVDMAPDVKGFKVGDRVAADNSELCGHCFYC RRGEALLCEDFSAHGVLNLDGGFAEYCNYPQGKLFKINNISDVDATLIEPASCAMHGL EKIAPKAGSHALLIGAGPTGLMMAQFLKNSGVSQLTIAAPEGPKIELAKSLDAADTYI PLSRKDATPQWEQLKKDNPYGFDIVVEASGSSKVLEDAINYVRRGGKLVCYGVYPNSA RVSWPPAKIFGDEITIIGSFSETFMFPATVDYLDSGKVKTKGIVNKTFKLEQWGEALE SIRNKSAIKAAIVFD PFICI_08900 MPTAVVTGANSGIGHEFAKLLIKEGYDVHAVDVNNGTKLQSLSC QTSQLDVSSPESIAAFAASFGDERPLDLLLNVAGIMAPHEADALETVDMETLHRVFAV NTFGPLLLTQALLPALLRSSGPPKVGVVSSRVGSIADNSTGGLYAYRGSKAAVNAVFK NLSVDLGGRGVVVVILHPGIVRTGLDPSAHHASGEAVEPDEAAEKLWRVMRGKGLENT GRFWHREGYELPW PFICI_08901 MPSIVIAVHEYTCGCTKRQVHGYDPFDLLRKYADDLGFVRHHRF LWDAHTDSDQQTFGQNLFWQASGRQGTCPDCYWFADFENLRLDDDAAAPQKTRRPTQS SSESPAWDMIEAQVENCEEQSLHRSMFARKSEQQIAEINKFVTHKLVKYLYWDEPQQA AGNMIFHLLRSIESLPTYLDRNLFIAIVAQRCGSVCHILVRDMATYIATYLGFGTVFW PHLRSAYDARRHRVVEDISADVQLKLEEAEERMKIVQWQLDVAEKLSDQNAEV PFICI_08902 MERDDLELTERPFAKGHDNGAVTVKKARTELLPKHERDRIRRHK EARRDYGRGSRIDIKGIKDKKLRRNLKGLESKYKDAAIAAKDAEILLENDAGFLEPET ELERTYRVRQEEIQEGVSAGTAKKRFELTLNELGPYCFEYDRPGRNILLGGRKGHVAL MDWRAGKLKCELQLGETVRDVQFLADQFFAVSQRKYVYIYDSNGVEIHCMRKLQEVSH MEFLPYHYLLATAGLSGYLKWQDVSTGQLVTEIPTKLGPPTAMSQNPYNAVMHVGHQN GTVTLWSPNSTEPLVKLLAHKGPVRSLAMDREGRYMVSTGQDRKMAVWDIRMFKEVNN YFTRTPAASVSISDRNLTAVGWSTSTTIWKDLFVKHKADQEKVQSPYMAWGGEGKAVE RVRWCPFEDTLGVSHDEGFSSIIVPGAGEANFDALEVNPFETAKQRQESQVKGLLNKL QPEMIALDPNFIGNLDLRSEKQRQAERDLDAKPVDIETEMKNRARGKNSAMKKYMRKQ RSKNIIDEKRMKIDQIWEEQKQKNQQKHQEQATELGPALGRFARKE PFICI_08903 MPSAVGHSHRPTTNVSHKGFKSKKATKGQLREQAKGKLSSERGQ RKTPHQQVMSKLDRRNQAKQRQAQKAREHSKETALFNGRDGAPRIVAVVPLCPDADVP AAIQKLNGSLDIESDVLETSFRVPVDRFKQKLQYVPLRRDLTACMDAARVADFVVFLL SSEVEVDPLGELIIRSIESQGISTCFSVVQKLDQIQPAKARPDVVKSLVSFMTHFHPD QDKVYSLDSRQECSNLMRSLCSTTPKGVHWRDQRSWMLADEIQWPSSPSESTVITGVV RGKGLKADRLVHVGDWGTFQIEKITAAPLATKKKRDGDMAMDEANAEEVLELPGEDQE DTAELAPEEARMDDMDAEPLDTTAKKGVLLDDHHYFSEDEMQKPTAPKKLPKGTSNYQ AAWYLEDVSDSESDMDDDDMEDADAEAPAAAPEDGMEGLAGAEPTEAAMSEYPQSEKF EELDEAEDAEQLAAYRAKKHDEAQEDMEFPDEIELHPNVLARERLAKYRGLKSISTSP WNNEEDRPYEPEEWSRLLQVSDYQSSRNKAIREALVGGVAPGTRVHVYLKGVPAELKE SYKPSHPVTLFSLLRHENKRAAVNFDITHKEDYEKSIKAKEELIVQCGPRRFVINPLF SLSGRTENDVHKYCRFLHPGQSATATFTGPITWGSVPTLFFKRIVPEEGDAEGEQSDF PLQLVATGTARAPSTSRVVAKRVILTGHPYHIHKKVVTIRYMFFNREDVEWFKALPLW TKRGRSGYVKEALGTHGYFKANFDGRINPQDSVGVSLYKRVWPRAAAPFAGPLLAEGE GELVQEDDEKMDEDIQ PFICI_08904 MSGIKSGGLPKASQGGFPPGIAPNNQSLLLDKLNVRRSTPDSEA LASSDDEPEHRQEASNTPIQQQKPVRRASWLNDTSQPSIPQARKSSFASSTMSPTASH PSTPAAETSSNTWGTHPTHTGAMGRSHQAPSTFAWGTGIWNTERSKEPPSRLTEVLHS PTSIAPPGLSTSGSFGGEGSFMQTSPGPRDVGPNPQIPFAIPLHPTPKTYRSQSYSVG QLEPDAPNQGLPPPAPPASRGRPIGPSGLQHRPSRPSMLSEMSNDGMLGKVHEVDDDD NQSSHSSGHGAQAQQTDQSKTIEMLARENAMLRQQQYQNSRLRPRASTSSYGIGNGYN MRDPVPEESSDYAVDELDETGNGHEATAKRAALGRRMSEFGAGGFDRSPLLYPVENRK LENVKKAFWTSSLGFGGLGDIPQSRRHSFADVPTRQGSITSIGGDAAPLQEVNSPETA HPQDFNGYSDNVGFGVNNHLSYFTGGGSIGGPHQAIASSAYANPYASYGIPPALANRP PSPHRGMYGMGQPRHNQLLHIVLFKCSRADVFYIQEGTGLTVKPGDLVIVEADRGTDL GTVDKSNVDWQTAKEMKEHYAEEHYKWLMMYSQNAAAAQEGSGAGLMAAANGLQGGAI GGMGPPSQHHLPEPNAGELKPKLIKRLAQQHEILGLRDKEGNEAKAKRVCMQKVKEHG LSMEILDAEFQMDWKKLTFYYFADAYINFNSLVTDLFKIYKTRIWMSAINPASFASPT LGLQAPSGIGPGAVGIGLRGSNNAAGGTERRQNQPQDTQSPFGGAGSAVGRSFQPTFG QGFGGDRSVVSSSAYPSSGFPPSAYGAFGLGPRAGGVPYVTGMIPGVESYGGGFSQGT DFRGRFPSPHDTSASSDSNVPAIAGQHDWAGAFQSLSLNSR PFICI_08905 MPINIHIIETGTIRIRQNGWTQPPGNVLLRRLRFVTDRKYTHPI PIYAFLIKHPEGNFLFDLGETPRCLDAGYYPWWQLGHRFVSMDIKPEQGLGTQLRAQG IDPDTDIKAAIISHLHSDHAGGLPDVHGKTDVCVSHSHWSAFGEKPMHAAMEGANPAA WPKGFRPTLLEPTGPAIGPWAHSYPVTQDGTILAVDTPGHVPGHISLIIVDDDVTYFL VGDAAYSQAFLDKEQTDGVNSDPYLALESQRKIKEFCRSRSVVVLPSHEFDSVRRLQV KEVYRPSG PFICI_08906 MPASSRLIRPAATFLSSTARQARPTACRGYQIAARKAHTSSRAA GIASATSRPALLSATSTTPSSFRAPRGARSIFIQTEGTPNPDALKFLPNHRVLPEDIT TPFVEYMNPRSTIAPPHPSPLAAQLMNIDGVTSVFYGTDFITVTKSADANWAHIRPEI FSHITEAITSGQPIVTISKKEASADGQEVAEELDSLAYDENDSEVVGMIKELLETRIR PAIQEDGGDIEFKGFTDDGYVNLKLRGACRTCDSSTVTLKNGIEGMLMHYIEEVKGVN QVTDPEEEIALAEFEKFEEKLRAQKGPDAAPSAMGKGQIDSAVA PFICI_08907 MAPIAPADRVCISCQTEDGKYKCPRCMKYTKKHKEEHPAEEDKP KPTPADSATGDSSSSGEQQPDEFNKLFAKYPALASYLNQIADSTEPPSNDPEGGDNGD AGGGGGGSKFSSHPRKKPRHNKPWTREVGLQNGLHKLRQLRDQDTTGALKEFGELVLM INAQKAQEEARKVEAQRDAELIGQLIREERD PFICI_08908 MQLGMAIPPEEMARRQAAGPGIKDVLWAVGQKATGKRPRQQCSQ PVDADELRKRLYMVLSQQERQKERKLLAMIEEATQRAAEEEEEEAAAAAAAAAARRQS ASRSWKPTVVRGTSVKAPESLGMIRDSMRKSSEDPSTSSSRRTTQETQRTRAGSNNSN NRTVAGVGSEQKQQSPAPYVPQEAALQFARTATPMQAKVGKDRKIHELSHEALRVHTE GPALDSSKTLAGARALRKVQSEREKLRSRNQFQNSGAIEETRADDTISSRQQPPATQR YTIPNESTANKRFSLRWSLQHHDLLHPVKTGESDELARQDSQAAAAAHEHRMVDWTQS DETDDKRRGLRSPLLKRADSLWGLKDKLGKLGHEQPREHHHHNTSSDLAERVDAIVPK PVKRGIWTKFRRHAAAIHAA PFICI_08909 MAHTHSHDGIGGHSHDGFSAADHGHSHEILDGPGSYIGREMPIV EGREWSERAFTVGIGGPVGSGKTALMLALCLALREKYSIAAVTNDIFTREDAEFLTKN KALPAPRIRAIETGGCPHAAVREDISANLAALEDLHREFGSDILLIESGGDNLAANYS RELADYIIYVIDVSGGDKVPRKGGPGITQSDLLVVNKTDLAEAVGADLDVMERDARKM REGGPTVFAQVKKGVAVDHIVNLIISAWKASGAEEERKSKGGPRPTEGLETLL PFICI_08910 MVRPGFREELSNEPFIPSNPQPESRTSRSSRKSTEVENKTAPRH CTIFHKTDWALEILSSIFSVLCLIGIAILLSYIQGRRLSSWTLAVSPNAFISVLSTAS NAFLILPVSECISQLKWWHLLRSKRTNRLEDLQLFDNASRGPLGSVKFFWRLPTKSML PYIGCIVTVAAIAISPMIQQILQFESKRTQIDGAFATVRTSQVYDYGSQWDSTMDGMT FQTTRDGQMHAAVAVALYSDIRLPGLTCPTGSCDYEPYGSFAVTSQCDDVSSTTTSDC KTSNSSYGESCTFTTPSGYNISAHTSFSAHTGFTYTKINTTEDALGTASDSRADDIFT VGLVRFQGSQTDETWKDGMEAYECVFRYCAKQYTDWSVVNGSVIAGQEQAYTVNLTTQ GPDIGYTVLDEENYPFNGSFSIWYQDLANMHTILADAFEPAGTSTLNVINSLYVADDI PQLMANVSSAMSYRMMYGPNSTETLFPAYEEATFMEVYWLWLILPALLVLASVLLLMV VVLVTHREKQLIWKSSLTPFLMSETSWPLMTAAQRPLWTKTGLDSRTAVIANHLTK PFICI_08911 MASNTAAWLPEKQARPFQVKPAPMGVPLEGQILIKNHVIGINPI DVKNQLWAVHNLTYPVVMGGDVAGEVVSVGPSVTSFSKGNRVIGTASWLAHGRNDMGG FQEYTVLEAVLSCKIPDTLSYETAGVVPLCFSTAAAGLFQQDYLNLQLPTEPARASNG QTVLIWGGTSSVGCNAIQLAVAAGYEVITTASAKNFGLVEKLGASRALDYQSPSVVAD LVRVFEGKTLAGVLDCVGGPRAGTPILELLAQINGGNKFVATVTPGFPETPEGTLSKH IYSLSIRGNNVGPAVWKDFLPHALASGSFVPAPEPFVVGKGLESIQAGLDHLSKGVSA QKVIVTL PFICI_08912 MRCLKANRCCSGYEVHGTADFRSYEPRDQSQIATFSSMARKCTL PKRTPIPGTRNDLPDDSIPPETTDAQSNAFCLRAFYYDFCVVSTNEHISQGFLPGIEK KVRRLGPKSDLARACQAVGFVSHAKPLNRPAIMRKSEAFYQELLGSLARAIDDPVTEP TKEAKSIAMLLGLYQMMIASSDNHGAHEIHAKGLSALMKVKHLPGNDFNGEGSSFFKQ FHLDTIFCIPSLRGPTGSLGDLLSGVEKLYSRNEHSIGTNDVAEIKKDAMALSQLFSE WQNTRPLDFRPTTAGVTYQRDVTQHVPAGLWPGNLDTYFDLYVAGIWNIFRTAQLLLL ALILRLPQDPRTACHDDHYLEETQTIFQEIAASIPYHLTDSLPAFINDLAKTSEITDT GKHLGGLLLLHPLYVLTQMPYLHEPERQYARECLLWIGSNMGLGQATVLAHEPNLDKE YVLSGCMIIWSGFLS PFICI_08913 MTRPREGILRKNVDSSTINQPETTRRSGWPSLNPGSASNPGRDS NMTDLFARARPAPETAPRRSLTPQSHPFLKMPKTLQETQKQMTKEEQSVTTKKSNGSS KRVRFQETSPQQAVRQSKAKLVLKAWQTFNNQLFDINNEGDKEDDPELNNVMENMLNM NWVYMHKQGVERLAELANSEE PFICI_08914 MAPKRNAKRKSNEEHVNPDPSDDDVGRGRTRQKQSVKRAKGESL SRLAGSGDNDALRDDSRKYGSLQSWGKWIDDISKNEEKFSKEFMSASEENVDKQTEQL KMLMKQGEDTLKGHRQKVNNIVNQLYMESTQPEEPLDSSGTLQDQAHDAIARYRDMIK CFRGMNETLQQKAPIEVPTSTIEKDKHDIQQIMQHARDDGEKLARGHLAPYTYSSPVK DTSEISGHEQTGANMFQASRKTVRDSVGSVIEQQKDGLRQLISTLSAAQNQV PFICI_08915 MGALKYVEELQKKKQSDVLRFLLRVRCWELRQLNVIHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGATYGKPTNQGVNQLKYQRSLKSTA EERVGRRCANLRVLNSYWINQDSTYKYYEVILVDPQHKAIRQDPRINWIVAPVHKHRE SRGLTATGKKSRGLNKGHRYNKTKAGRRKTWKRHNTLSLWRYR PFICI_08916 MDNFQYRAQQSPVTDAPMNSLVSPPRNGNRMPQPDLRTTLPRRF TTDSGRVPTLSTLNSLQRPVEPQEYTTALNKKKQEYETLLEQKRRFEAEMRKFELQQR QDEAELRQMEIDLTKNNLFGLTGHQSEPTTPPEYRESSNGFPTMFSRPNRYSLSSLTS PPGLFNRSARSGSQLQSPQSGIMPSQSRPFAFDDHLPSRSVPGTRRNSDEDEKEEALR QDPTSHRSTNALNRYSMPVTRRGNPLYDNIDQTNAAGFLFRDDDSNLEGNYTALDTSE DGFPKLVKGNPNRLSTSSVAVDLSSLSTANPEASNGWNKMASLHRAQQSLSNIDTVQM TPAESVASGYGSSSSDANSISGRAGFRHSLDLAKQQGLDFSYLKDATNDSVNVIKSPP ASQAMPPKLQTSFSANDIHTVKPGNSVNGSNANAHAQQHFHNHNASIGRIPPGAIPKQ HNRELSNDGHVPSLPQASTYPSIGSALHANAPAFGPTGLNSQGPTSGAAPVTSPSGSA VNNYPPYYGNGGYNPPGNPNGSNYNMGMLMQGMGNMNINGYPHQNYPGYNPMYQSAQP RDSQQRVIQARRAQDNEAMSRFNGAPLSNFQGGILELCKDQHGCRYLQKQLENRLPHE IHMIWLETNAHVVDLMMDPFGNYLCQKLLEYCTDDERTVLIRNAAESMTRIAFNQHGT RALQKMIEYVTLPEHVQIIIEALRFQVVELIKDLNGNHVIQKCLNKLSSEDNQFIFEA VGNHCIEVGTHRHGCCVLQRCIDHAAGDQKITLINQITENAARLVQDPFGNYVVQYII DLNEPSFTQPLVLKFLGSIGRLSRHKFSSNVMEKCLRCASDQSKELMVSEILNSGEIE RLLRDNYGNYVVQTALEHAPLQAKMQLIEVIRPIIPTVRGTPYARRISAKIMAFDNNR GSANTSGQTTPSDATNGQIPLRNSGYTPGNGAGGLRGTGEYGNGTRSRGQSNYQPNAT GNVAPPQPPRLNQGYTYPGGTVQPGNAEGQFF PFICI_08917 MAVVDDGFEALLEPFYNGKKLTDPISTVGDKFQLLPAFLKVKGL VKQHIDSFNFFVDHEIKEILKANRTVRSDVTGSFFIEFLDIRVERPNRPDFNEFRVAN EITPQECRLRDMTYGAKILVDIAYPREKTRVVRRNVELARIPIMLRSNKCHLYGANNA EMAKMGECPLDPGGYFIVGGTEKVILVQEQLSKNRIIVEADEKNNIISASVTSSTHER KSKTYVVMKKDRLHLSHNVLVEPLPIVIVMKALGGLSDFEIMQLVAGTDGRFQDDFVV NFEDAAKVGVFTQHQALDYIGARVKMGGRSKPGGTSLPQPRRSNVEEGLDALANIIIA HIPVEGLDFFPKAMYVALMTRRVLMAHYDPKLVDDRDFVGNKRLELAGQLLSLLFEDL FKNYLTQLKFACDNTLKRNHRAAFDPLVNISTLGRTITDGMNRAIQTGNWSVKRFKME RAGVTHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQWGMLCPSDTPEGEAC GLVKNLALMTHITTNIDEEPVKRWIYTIDSGVEPLRCFSGADIHRPGSYIIHINGTPF ALTRFPKRFASKFRTMRRRGWVSPFVSINVNTHLSAVHIATDEGRICRPYIIVKNGES KIKASHLRMLQAGKATFDDFLTRGIVEYLDVNEENDTLVALTEKDITKAHTHVEIEPF TILGAVAGLIPFPHHNQSPRNTYQCAMGKQAIGAIAYNQFNRIDTLLYTLVYPQRPMV ISKTIQLIRYDKLPAGQNATVVVMSYSGYDIEDALVLNKASLDRGFGRSQAFRKYTAE LNKYANGSRDRIGDRDFNQINDKHEALTSDGLADVGWKLKSGNVLIKKESPVDTSPGI GNERRSDEYRECPTTFKIYDGAIVDTVMVTQTQYETQLIKVKTRQTRRPELGDKFSSR HGQKGVVGIIVDQEDMPFSDKGLCPDIIMNPHGFPSRMTVGKLLECLTGKASIIQGRK DFGFGDAFRSHPLEEMSRNLVDAGFSWEGKDYFTSGITGEPLQAYIFNGPIFYQRLKH MVQDKMHSRSRGPRAILTRQPTEGRSREGGLRLGEMERDCLIAYGASQLLLERLMISS DGTDVDICQKCGLFGYKGYCSTCRTSREVAKMTMPYAAKLLVQELISMNVGVRLQLED EFPHPK PFICI_08918 MASLLVAAVFGAAVQAIALESTIARPTDASIPHPTFQLPEVTEP PSPSVVRELLKRADVQTVLVGPDNTCGYVSGLIGAAYTCGDTNGYCAFVTTANVGYVA CCDESSCGFRVDCMDAEQVENGDCNNGCMNDIYTAKCTDSSAPYCGTIRFSTSISDYF CHSLSYSTAMDALTTWIGENDGRSYSEVVVTLTSSNFGTQTSNDGLESSTTRSASGAS SSGSSSASSGTSSGTSSGSSSSGTSASGGQKSSTNIGPIVGGVVGGVAVIALIALGIW LILRRNRKQQGMPPPAPSMAQYPPTQQPPSGYAPPPGAGAPVFGAQPPTSPSYDYQQQ QPQQPYQEINGAVMHQYDGSKPQWGSPPQGGGGFTQQGSGVWYTSQETKPGNEVYTTT TASPGSPGSPLSHSDPRLSMAQPPSSPASTDRLSAFQPQHTGGTLQSQSQPMGPSVPS TVYEAGGDAVGMQGQHNANHHGQFHELSSH PFICI_08919 MTDLSRILPDFPAEPYARILAKVEQQQLTTTDLITLDVAELGKR TKLPLLDIRRLCNAVLGALHADLGASGSAAPKTSTPRRQTGDDVVKQWNCISTLDENL DHALGGGIPTGQVTEITGESGVGKTQFLLTLLLAAQLPPPHGLGRSALYISTEAPLST KRLSQILRFHPLFKDMDPDTKPSLDQVISTVTPDLESQDHILNFQVPVEIERRNIGLV IIDSVTANYRAEYERGGSGGTTQRSGGFNMGTRSNELVRLGQLLHELARKHNLAVVVS NQVSDRFSDSSSAALAASKRSTASSSLPYPLPTGPIPQESPLAKRSKGHNGPLPTAEP TSSMPPEFIKSSLPEPPPEEPYAPPALLLDHQQRWFTGWGDDPYADYTLKTPSLGLVW STQLACRIALLKRPVYGRKQVIEEEGSTDVYLKNWKRWMKVVFAPHAKSSGPGFEDAV EFEVTMAGVKAVDKTKK PFICI_08920 MSLSSSLVRSPSYILSSGSRSSRAAAWRTTALPYFASAGPVITS IPSTTTSKSLTTLPTTSSSAQPPVPLTQKDLAPIWFDSTNPLRDRPQGLDPKNPENER KVKLGKTLRILQKRLPTVLQTPLPQEILSPNISLHLFPSTHPYLPTVSGRVAYTAAVW TSPIAWNRVPIIGNLKIEILSERMTTQPLHFSPQRTGAYPEQLVVKWRTASSSSSSTD QKDNPSLTEQGEQKLDETLSGARRRGSKREFTGLFIFEFDREGKIISHTIEHVDQSGE WDKGVGAKVVHLTDWLLGELRGRQPQGTCPMFQITEDHDRKRRR PFICI_08921 MASNKHRGKAAPDRLGGNFVVVDVHHEEDDPEYSQYAQDRALNN LRQPYPQTSDALPYKNDDLAKRAKALLQKSPLAYDYLFKLLLIGDSGVGKSCLLLRFA DDTYTESYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVV YDVTDMDSFNNVKQWLQEIDRYATEGVNKLLVGNKSDMSDKKVVEYTVAKEFADSLGI PFLETSAKNASNVEQAFLTMARQIKERMGSQATTNTKPGVQVGPGHSVSSSSSGGCC PFICI_08922 MSALTPKEAALQLRKVLQLLTTAINDIASRWESESRDENAVEIR YNGTTQHTAQDPVKVALAAMGHVESLITEPHRLVVDMSTSYLISRALHIVADHDIAGI IAAANGADGVHVEELARLAGMEQDKLCRIMRALVSHHVFQEVEERRFANNHVSETLAG DPAFRAHIMMKGRVHYAASDHLPSIVKVKGSEVQHDSEARKTAFQKAVRTDLSLFDWM NQEVPAHDTDWRPRLKNNEISIISYSDKDAIPGSPVKTVARPEKLLFHQAMAGLDRGQ GHFYLGAYPWRDLGTGTVVDVGGGIGSFCMQLHDIYPALQLVVQDREPVIKQAISVWQ SKYPEALNSGQVKLSVHDFFMPNPVQGADVYWLRYILHDWPDNQVATILGNIAKSMTA SSRLLIADIIVTTTVRTSLDGDASTAVAAPPPLLANYGQAAAHAHLMDLNMMMMVNGR ERTPAELAMLVQATGLEIVRIWQGCAADALGVVECRLAV PFICI_08923 MKRVVIVGAGPCGLAALKEMREAGHEAILFEKSSTLGGAFASSA VYPNLHLTISNWAMAFSDFPDPTRLRYSTGEEYLHYLHKYTSHFGLERFIKYDTKVVG ARLNGITWNIETLQKSIPDDVSSLVEADALIVATGANQVPKDAPSGLAAFSGRIIHSS HYDETFKREVANNNLRVLVVGGGESGSDISAELGELSPNVTLWLRRAVCVGPRYLTKA SEMGQIEANKSQNFPANGFLEAATTNRMSAGQNAYAYGVWRRILWHTPILNKALRQMS LEATEADWIMNDQATYVTKNQRLCEAIHDGYIDVLVSPNVSSRGQTCEFTLKNGRKVQ RDFDAIVLCTGYHSEFGWLKINDLSSNPRSWFLHCFPKGLGQRLFFVGYARPHQGGIP AVAEMLSRYIALLLRGSRALPLDYADQAQRDSKAASEYYHVSPNLRTLVDYNAFMESV ARRIGCEPRLPVTCVALVQLNLLATAAIGGRCILSQTNSSIWPVLALWMATVAGFFLY DDGLLIKWWFYPQWSVWYRQRGPGARPGYLSHVLGRVRLRRSTKINAGFALLVGWSIP TFYLQQVLNMVLFVAHVILETLGLPFPKAWGGLWRPKLFVLHGNPWRITDLIRP PFICI_08924 MAAGPVNSRFWLRFQVHSDGLVPPASSTPDSFPQFPQLPAELRL QIWSHLLLPRIILITCQDHETASEAESELTARPSCRLVPALLHVNHEARTVALAHYEL AFGWKVPTVLADLDIVPPLHGHEEPLGIVTPQWTEPRIYFNFEQDALFLLGELEPCTS AGFNSPMTYFLDREETKRVKKVAVAFRALRHGESGSQQVFGTLFHVVDRIKPPNGRVL VCVTEGDELTHALMGGEAPLVPGAMDYATRRRLSRARHNEPNDVVMSTIGRLSLDDEV DRREILERYQRHDATPRTQDENIIQQIWQNWFRGSLLSSSLTNMKFWLIREGDLGKYI REDV PFICI_08925 MPTQVRIFAMPKSLGETLASSKPSSIPRSLPDLPNGKYTESLSQ NQRPTLSAMEDSPKSEGITYAGQDSLPKLPIPDLEHTCEKYLAALKPLQGAREHAETK HAVQEFLKHDGPGLQEKLQKYAVGKTSYIEQFWYDSYLNFDNPVVLNLNPFFLLEDDP TPARNNQVTRAASLVVSALEFVRAVRLEELPPDTLRGKPLDMYQYSRLFGTSRVPTEH GCQIEQDTDSKHLVVLCHGQFYWFDVLDDQNDLIMTEKDLSINLQTIIDDAAQTPIQD AAKGALGILSTENRKIWSGLRDTLTREEGSNNADCLGIVDSALFMICLDYTEPDTAAK LCQNMLCGTSQVEKGVQIGTCINRWYDKLQIIVCKNGSAGINFEHTGVDGHTVLRFAS DVYTDTILRFARTINGKAPSLWKTTSPDPSKRDPESFGDVNTTPHKLEWDMLPELSIA VRFAETRLADLIVQNEFECLDFSGYGKNFITSMGFSPDAFVQMAFQAAYYGLYGRVEC TYEPSMTKMFLHGRTEAIRSVTEDSVNFVQTFWADHPAEVKVEALRKATQKHVENTKD CAMAKGCDRHLYALFCVWQKYIDEQNGGPFSDYSSQIDSGSDRDWSSPVGSPGNESVL SAEDDTRTRNRGDSTGSRSRDHPVPSLFTDPGWDKLNTTILSTSNCGNPSLRHFGFGP TSGDGFGIGYIIKDDGISISVASKHRQTKRFVDALESYLLEIRRVLRITNRRATSTKQ TRAREIDHAKVKTGSRLKARGRMITGTEAIRREARSAAGTRSPTEDSMATSEDDELGG YGFFDAGMLLQALKARGEALDNGEVKASEKARRRDVGKKLRLVEY PFICI_08926 MAGTPSNYHGSIIALEGSGDTVSTQLRLLPSSPKILVLPPFQHF MSQDEEDLPFDAQSCILKTHEACCARIEKAHAFLRDSTADDKRLVFMNGGTASARMNC IASISKHGLKGDISKAENTFEELVRHGVGKLRENNHKSMSKSHSPKTAPSPNRKTATP QEEYVDRMSAAIRAADALYLETASLQPNTDLDLSTSHQRSKSVPIHPKAQDSAETTPM YTFVARDLCDEPVSAVNNTTKGTYGDSLVPQPLNLEAFRPRAILDNSILHRMVDQNSP RWAKKPYRRSFTPSTPAIDDVFTPRSTTFGSLPNTPTVVFGEARLVDVRTSPKQNKNQ QHRRSQSVNHGQSVSSRNTDCYSVASTLLSQSDGDSDFGTALSYHRRKLPLRTEDHAE RLTNNTSTMSNRANLRRPPPLALDSTTFASAKERGIYVDKGTSPSPRLSADKTPQRDR ASYIDRGVDAADELSLLSPAVRSEEENTFEAALPLYEDLVIHFNDETSDAPLRQIFAE LLSQLCPTSTSETEVPKENANSTATAPMSNNNPVIAVELCNPDSALSPAYSTDGYDHP LFETHGSYLALLSPGRPKTGRRPSKRGVVDAPPTPARTPPFASTAPHKSFHEIKTSKD STAVGVQNSLRSILNIYFSPDEPGYKQFIFPLLPELSSMWKPVFRETEQTQEKKEKRN VDLILAIGAQKGVQRDFLSAVTGSLENLATKPSGVTRSGRLDLKYLIAKAMQSYTCLP LAKQTRDNPFTNPKFLATLIVPHLETYLAAHTETLFLILEYPAEHLATVLALQKLVGV DLLKVAGIVSADSLEAQAVSKSPSPISHSVDLGRPSLSSIYSTRPIPEIPSFSKANFI LASSATNSEIATLVSTIWKILVDISPYYIPELSHRPASSRENRISYVNLVNPATTSLS ALSSDESVPFTRARAMVQPQEVSSSGIVVNSIDVADPTPKKAIKRSSLSIRSSKSKRL THSPRTRLQKLIASERGPGTTTTMDGEGARLTSSPVSSKHNSSYFDVSEDEDGWLYQH ERKYVPMFIRKPDIRKGNSRKALKFLGLA PFICI_08927 MSTTAPVVVERFASANGDFYAESSGNNRHRRATTSELKEHFASS GSSKDKPAHWYEAQLIHYGLQPSKTKSVAFKRLFDASNTGNLSVPAHITKLEADLKKE WTKRDREAKKEAKGQMVGVTKTTEKATAVKKTTAGTKRKASETLDVTVSVGGIDFKMS ASNSSASQSTTAKKTKTATASAAKTTKAAAATSKPVKESPKAKPTPKTTKTTAAPPKT TKATPAPKEKKPVSKATPKEKKTPAKAAPKAKTAPKTAANSSQPSANWDAAATSASSP AQSRPKQTARRGGLSQGSGRGGTASAAAATDPPRPRTKQTARRGGGFPAYGGHGHSFA SQNYEPYGYGDYDSEDDNDDNDDDDEPPPPYSEYGRDGSLGSSDDDDGPLAKLGLLNG RYEIESADVSEQWPRLGDDLSLVLTLAGDQLWGQFELGVYEGVLRFDVRPYESSYEAL PYSWRGRETGEGEIIYGDSNQGWIKFLGGGRIKGYLDHMSIHFSGRRIPGQSTRSEVD IGTMRDQWNNEYSEREYERANRARWGGSSW PFICI_08928 MFRQVLSTSTRALRSSTRAYAPSAAVRTQFAPAPINFARSQLQS SRWYSDSTEAKKEGEEAKPATEAEAPASPEEELKKKLEAKEKEVIDWKDKYLRSVADF RNLQERATRDQKSARNFAIQQFAKDLVDSVDNLDRALTMGQEKMKALEGSDKKNDDLA SFYEGVKMTEGLMLQTLTKHGLERFDPANEKFNPNEHEATFMTPQPDKEDGTVFFVQQ KGFKLNGRVLRAAKVGVVKNA PFICI_08929 MDYDAMDMDMDTSGPSVKISEADSTHVNFELSNTDLAFANALRR IIMAEVPTVAIDLVEFHVNTSVLADEFIAHRLGLIPLDSRDIKDMMMPRDCECDGHCD KCSVVLTLHAKCTGDEIMKVHANQFEISPERVNQTVGTPVITDPDGLGPLICKLRKGQ ELHIECIARKGIAKEHAKWMATSAVGFEYDPHNKLHHLDLWYEADPAAEWPKSKYADW EDPPQEGEPFDYDALPEKFYFEVEGVSNLDPDGIIKEGIQELQEKLARIMQGLGDSEG LDGQQYDGPQSPGGDMGGPPPWQSEGYTTPYGGGNQSAWGGAQTAYGTTPYGNSGGSG WS PFICI_08930 MAVATQEQPAAGPASENLAAVKPKRQKKPLPKQIHYPFWFGGSA SSFAACVTHPLDLVKVRLQLRSGNAPSTMVGTFGYIVRNEGILGLYSGLSASLLRQMT YSTVRFGVYEDLKRRFTPEGEKPSLPLLIGLSCFSGFLGGISGNAADVTNVRMQQDRA LAPEQRRHYKHGLDGMLRMLREEGPKSWFRGVWPNSVRAALMNASQLASYDTIKAALL QYTPLGDTTTTHFTASFMAGFVATTVCSPVDVIKSRVMSASGKGQSMVALLRDITAQE GVKWMFKGWVPSFLRLGP PFICI_08931 MLKRRDPSKLQKKATNTPPASPRATPQQPKTNSSSIEINGKEYK TDKWFNTPPNILSNVPRRLHLQKDHPVYITRQIIESVFPSPTYKYHNTFDPVVSTLQN FDSLGFPSDHPGRAKSDTYYINESTLLRTHTSAHQADVFRANLSPGYLISADVYRRDE VDRSHYPVFHQMEGARSWDRGSAASASGDISQLIWSDVEKLPKHSMKVEDPNPPYHPE RNPLQSGHHSPDEAEAIGAHLKRSLELMVVEIFSRAAQAAKKADPNFVDEPLRVRWVE AYFPFTSPSWELEVYYAGDWLEVLGCGVVKQDLYINAGVPSQLGWAFGIGLERIAMLL FQIPDIRLFWSQDNRFLNQFTGVSDNLESLRRFVPFSKYPGCPKDVSFWLKSTSSAGG NVKANAHDFHENDVMEIVRSICGDTVEDVKRIDDFTHPKTGRRSLCYRINYRSLERTL TNQETNEMHDKVTQALVEKLGVELR PFICI_08932 MDAVLTVRGTLVLGVIVVALFATFNFLRPKPLPGIPYNRDAAAK LFGDVPEMMGYVMRTKRIFCWLTSLTTRHQSPIVQAFIKPGGLPWVIVTDPFESQDIL LRRGKEFDRSEFFEELIGGILPEQHIQFISTDARFKNSRNLINHLMAPTFISEISAPD VYKSVNTLLKLWHLKSKLANGHPFSAHHDITFEALDSIFASSFGLEESESNTIQRIQA LERWKPRIPDHVDEPVGFPEGSVPEIFSAILTLSNSFPYMRKAEAVKDQYIRNNVDEY VQLISEGDTKPKSALHSVLLRERDVAAKEGREPEYRKRAIADEFFGFMMAGHDTTATA MAWGIKLMTDHPAAQARLRDELRAALPLAAREQRRPTYAEITKAPVPYLDAVVDEVLR HANTIAFVVRQAQQDSTVLGRHIPRGTNVFLMANGPGYLEPNMPVQDDMRSPGARRTM TKSSLTGAWDDESISAFRPERWLQREPDTGAEVYNSQAGPSLAFGLGLRGCFGKRLAL QALRIHFGLIFWEFELLSTPGALGGYEAVQRFAREPTQCYVRLKAVSL PFICI_08933 MSTSPTTSLPSRPVSSRSSRASSRASLKLDLSNLPPLSQPVTPT NTLLITNLNDLEIFRPDNLQTIKDLICQTAPVNSWSPLKSFRRIVCSFADEQAAIKVR SIWDGEAIMGERCRVYFGQHTPLNLNQDQHLALPDAGKLFFISPPPSPPCGWEMRLED APNKLVHAEDLADALAKLHHRNVPSIDSPISPIEGASATTRSRSSTLIFRPDEPTKGS PALPCIAVDDMTDSPIDMDVSPLGTEKPILAHTARPPVELMEM PFICI_08934 MDQSTSCSLCGVYIGQHDGTPVDDSNPLRWDQKVRAVRTKQGYQ DPFITGSGFLNYHNEVCADADYHSDVARPGMLLEAHELEQRVRSYWTFPFHEFCWQLL LYRIRPAEGEEPEPSQVAHHLFAIFYNTPTDGSRRLLPGHDYGLDFHHSRHPHRALSS PDEQFSKQLADSNHPSYPALRPTDAVKMQDAFAILPNEIVMLILRHLASASVHNLRLA SRSVAVASQPSLLGQAFWASRFEGEGELAFVFANRQHALPPQSVDWHQLYIYTMQKLK SDDHGFRNRQRIWNTLDHVIPQLRLRQKNESSIAKLPFQDDSDVISGSWSAMASGKVS YGYTIPTPESATWRTSGTNKELDAGCHIFEKQVLDWTKASAVEKVTLAVTVITHVETS FISGLRIINESGPLNNDKEELGRVGYVSTAHEQKVDFDKNDVLKALRVRLVIDGIVGL CFDMKGSQRDYTQEFGDFATMDVKSGVAEIEFNESICDAKFLVGLDAWKLVSLQLNQT GSNSSNIPQPDHPAIAEIWSPTLPPEKCPRWEIHESGVSPHFNLCLYAGFGGSNGELL RSLAMVNVYMGEYPQVILGLSFVYNDGSERVFGQKCYRLGSQVVPCIVQTFMIAGQDG ERIEDFTIGYSGQFGVVQLVMFRTNFGRSKKFELLGDKQILETTSMKTTVAPAGTIIS GFFIKLRYPAGEISDIVARCTRTAHSSQQASIVSRASVPISSHADLPADLSLELEWRG GYSFTQAKLAGVYRIQISSHVRKSDRDAPGISGLRLDYPGKRGTTVLGQWLEHYATLE LEPGEEIREIVTWRQVINRFNRIGRVGPTLGLAITTSRGRKVGRMPQGQLMDDAVRTQ HRENPYETLDTIVWLCNHRFDAVGVQYASKFKERSQQLILNLPTVTHGVAEKIFIKGE TRDGISDPVIAIEATFKSMSDEPSSLSFLHESGNVQTLGTRGERPLVQTLHLDERMIR LDVERISEAFVSIAFLTSNHREISMMHEDQVKRLANRKRSHHAHMLERIPEARKDLKA DSVFEVPQSAGDFVGLWTIPAEKFGTLSYLMGPIFKSLPEPHESKNHS PFICI_08935 MTGQSTTAAARPLATKGGKMNITQFICGVFLFNARFMRNSDALA LKVAALIIYVVAFRAPVLRVPPQHLAVRKMSIIETTDVARIWERAADNGQRVLEDEGM NTEARWLRVEDTEMSR PFICI_08936 MDDLKGPPKDGKKHSRVSKLTTYARQVFTNLSGAGSNSNAPISQ TQSVPEGRDDTPNKTSAASCHEAQGVITEKEISNALHIMRGPVDGVEVGQSLTNLLQN GIKEQYLEQDKKPDDDVDENNKSPRLEGQTQDSLESPTTVPKQRPSSYAFGSSISPPM PKTIKIFDIRRSLSEHNDSGFPRSLYDCANSAPGDLEPKQNPSDDDKETMPSGRMSPG TFLKLASGSKRWVDRPTESNTEVVAKDQPERELRRRPKSPEVTDVPPSEPRETRRPKG LMIQRCEEDGGPMTPVYDLAEISPMQWVSPGIDADRVSRLNPIFVDQYSRMDPVAGEK LKRLKQGLIPETGIPNTAATVKKYDTDVIVDIHGVMEKKRGLTAEADAETDELYASNR EREKRIIELREKKQLATYAAWHLQMKREDEEQQQKAEKLSKQTKQQQKSARLKVITSR VGSHLNHQLKEVNYQYDRTMEALEWQRTLMDHHNHTILELEHEIRETCNQVGKDDIDG VYKELLDPAQILVLHQPSAKEMRDSNAPSESGSGRPQPKSQVVMAHPTSSLELQQPEA RRNLQPNIQDMENMGSGSASASASTSGNAVQVQPNHPHLVLHEDEGISLSLDDDNGDP YLHYGYEDMSDHGYVSGGDTPVGGVSGANVSGAGVSGANVFGANDDQQEAAVAETRPD KGKGKAVVQEAEETQEPPRVAERSLPQFIPYAGPSNWQTKLGITPKQSIFGPFTDTGN VQERREGPKPDPPIGRYMFKLRGIGGFRNFMYMRPFKVRKGRKIPPGLKTQSAAAYEK TQYFSEEDCWMSDDIWYNLLCGIERERPYLPHDLTSEEIEALELASTEFWEASSGKLG DLYSPNAFLYQKRRIEAAQRAFIDEANRIKARRETQAQQTEEHDSYCCCDNCILQNVG SGLGASTTSTTTQTRQSLRARTLDTLYRGVDSTTNATSPAAPRRRTVVMFPPQQPAAQ TGQQADSSAPAYVQHFVAPETSYYDTGALDTHWEEEEDEGETGATQAATTDALATASV EHVDPSQDEDDMQFFLEDYIGFDDDDDEEDGDDDF PFICI_08937 MPDIRSFFGPKGGGPPAAPKPAPKKTEDDSTRRRGKGRKVIEDD SDDEVVETKPKKPATRSSPRKKAAEKADDGTAITTSEYFSKSKAKPAPTSESKSKSAT KLEVRSSPRTSKKSEAPVKAEPVAAKNGGAAANKRSSAAHKKYDLDNDDDAFMDDDEE DDDIFAADAKGRNKRKNDDYVEEESEDEILPKPKRIASRGKKPIVVDEDDDEDEPVVS KPTKAKMASASKKRKTPEAESEDDEDDEPVSKKKPAAKKPRAPAKSKKADESEDAAIQ AILDDIPTVRPPTPPAKDPNAPKFDWRKAQPGGGNSGPPPNPVAEMPEGEEDCLTGLT FVFTGQLQTIAREEAQALVKRYGGKITGAPSSKTSYVVLGDDAGPSKLAKIQSHNIKT IDENGLFELIRRLPAGGGTGKGAEKLREKRKAEEEKIRQQAVEMEKEEKARKAEAEKA RKLAAARGATGPAPAATQPAAQLWTVKYAPTQANHICGNKAAVEKIQNWLRNWTKSRR YDFQKRGADGMGGYRAVILSGPPGIGKTTAAHLAAKLEGYDVLEQNASDTRSKKMVEN GLGEVMNNTSLAGYFAGDGKKVDLGKKKIVLIMDEVDGMSGGDRGGVGALAKFAKKTE VPLILICNERKLPKMKPFDFVAFDVKFQRPTIDQIRSRMMTVCHREGLKLSPQVLEAL IEGSNRDIRQTINMLSTIKLDQTSMDYDQSKNMSKAWEKSIVLKPWDICQKMLGGGLF SPASKATLNDKIELYFNDHEFSYLMIQENYLRSKPAVLNGQGYNKREQNLKALELASQ AADSISDGDLVDRMIHGPQQHWSLMPTHAVFSTVRPSSFVAGQLMGSNFTSWLGNNSK YGKLGRYVREIHSHMRLRSSGDANEVRQQYLPLLWSQTVKRLAAEGKDSVDEVIDLMD SYFLTREDFDSIKELGVGYMDEELVSIETQTKSTFTRLYNQKAHPIPFMKASNVGAAP KKAAKEVPDLEEAIEEEDEGDALEVADAVEDDEDDIKGDKYIKQPKAKAKKPAKKAKA TDGDEDADEEKPKAKGRAKAPAKGKAKK PFICI_08938 MASTTSMSLAGAFRGLTISATRPSLGPCLAAASRQSASPIFSNV RPFSATTAKANWLEPRLERKKKMMKGRPRVPTGGSTKGTTVVWGDYGLRMTDHHRRIS AQQLKIAEDTIKARLRGVKYRLYKRVNCDVGVFVSGNEMRMGKGKGSFDHWATRVAIN QIVLELKGLVHEQVVRDAFRLAGNKLPGQWEFVKKGEPPVVGITKLVDGVTLEELKRP RRKIDASELHPVPSPSTSLEAEKPLDPTP PFICI_08939 MSEYVELDGFQLLAPNLGLRLSVSSHLFISNHANLELDCHGLYE CVQAERLWFLPPSIFYLQLKVQVGDRAMHTVLPESNFLEYCANMICLATLWDQLGLSI SCTAVHYETDSEDDPNLFFEIEQPDKSFALLSPPSVQTSTVHGLTCSLDYDWTFAQSQ EVIVFDNSTNQDPEEYLDPDKNWLYQQDDSWSDLHTEDRSAGNDPSEPFAINEDITKD ENSSAEYRHTVVQLALASLHKLIGVKRRFSGIRVGDPISPTLLELAPCVCNASYIQTV AKYANHFPAIADIITDASTWRPSLRDKIVKISAERSSDHERPLDSHHNDTRYSIRQRL WTLLRTSLDGNTGMRHALSRVNRSSRQLELQHPESLYFEYAINQSDENETEDSMDVVE HQEWNQSDCYASPDTFSWASNSRYGDRVLPANSATYFNHENQLEPENGPVIYGIFEPL QHHAQNGDTMIDDGIIHRPAYQVDTELNFDDTSRVAFTPYEQRKSHETHNLAPRSHIE YTEEDGDEQWDDTECEHYELSQEFEATYETAAYPAAPLT PFICI_08940 MAALVRDANGNDINGGGQFGWFLKIGATPEAVAVLNDQPYLFAE LLVVLVVLGLQITLHWYIHYATMKPEQKKKKKKDDKKGPAKPAAAR PFICI_08941 MSVATSARCLLSRRFAAAVKPSAAASAPQCYAASFHSSARLEKR RKPRFASINAVDMGLIEDSAAPSDFKTRHAANEAAHEKFAKEQFTPYTPEELEILKKH YSPEQMEALEAGEASIDPTDLSIQGRIRRDPYRLPYLDDFSRLVPTIDRQPKKAVQPA QNPRWLTDEEHMLELFQSQDEHLKPLLARLKFTEEEWAAKSPASKQYHLSKVKEAIKK QRELEAVPEVVRAHEHMAERSMLNDGNKPSNSAIAPGIGKNIPGISGVYSNPIDPEDQ GLDDDGTWQDLKKQTGMTVRQMIDLTTKILVTRFVHNQTRLGKVRSIWVLAIAGNKNG RLGLGVAKSVENGVAVQKAKLLAIRNMQPIRRYEDRTIHGEVEAKVGATIVKLQARPP GFGLRVPARLFEMFRACGIHDIAGTMPRSRNPMNSVKATFQALMNQKDPNEIAIGRGK KLVDVRKVYYGGNVL PFICI_08942 MDQPQANPLLSPPELSPMEQEVLEEYERLAENMKKLASILDTMA NQPTTEILDGLRELERKTSLVFTLLKASVYSIVLQQEIAWGDQGH PFICI_08943 MDPLSALGAAAASAQFVGYAVQGALKVRKIWQQVKDAPAGIEDL LNHVEREISSLNRLTDPKSPLTYQLSDEQRVHLAPFATDAAEAIKRLEKIIRPLATEL DSLKDQHGLHKRFQRSWAKLMTAKTLREIKVNLEVIERLNSTLSRELDREMKYSGSIA QSSLIDQNSQIMRTTSLIATRVDMTNAFLQNIQTSQIRRESELDNSIASLSRSLTDTS QSFASTANQVTDMQDDLGILRQESTNSTQLLSLIQDSISDPSVGLSSKMQAGNELMMQ LLSQHQELRNELASALSRPHNKTSSERRSHTTSSPTGPLPSDPNSFSSSYDLLKCTCK RRRLSRFKLVKVSNENGQTRQCPLHGPVRTWNYQVKANLSPFLNGALELTLDFITSRR AFSLSPSLKFRVVVKRSESHLFPWFDGIERILRQRASAIRKESLMSDTMQWSPLSRNR MANITECMSYLVQGVRRSIALGLASGSDTDEKGRTLLFEIFWLLVLLGPERSHFTKEI IELLQLARVSDVDIGATTDMSTWLKAPPGTLMVSTAFLFYQFRLYDLSPVICREFVSF DNLLETTHSHSDNDTDPRFLKLLLANPEVAEAAGYPEFSMAIIRRSLPDTMKLAQQFK GVVQWSSEFWGPELALGWPEGLQFLAEQGFDVTRGLHMAARLGDVESAKILLSTDAPI LFSNRPLNSSAGHEWLISALAKIDDEVFRLYAEEVWRRGSELRTLASSYLLENRIDEM VFYGDSSWFGRPQLLEVLSRHMQIPPKLGDALMALPHYILAFTIGDECVRIHQILYDI GWADVDAGYEYGRTPLIEICRHFVADLYQQNFQNWLGLILWFIEKGANADFPASETQR LFWPHLQFYLAAAYPIARSARSRATRTLRSAILESCDAPVVSDGCKCFCSTKGCIAPF LLWRCQPLGYSLNHSNCHRRDRLKWIGLRDWLTAWKLPTIERQRSYREICRLEVFDRL GGRHTCCATYGRISWDAEDETTDLAEEMRQNKGEIAEIRAEDRPLAEQLHLTMYWYKQ LQRVLRYSHVFDFCVHLPKDIKLLT PFICI_08944 MGLSKSLKTSVCLSFGAVGHAVAASNASYALGSPQVVLPQSTVE GFLDSHNNFVYLGIPYAQTTGGQNRWKKPQDLIFSKNNGSLVVNATSYGPTCPQAISN DYYSRQDEDCLNVNVWAPSNASNLPVFVYMYGGAMVTGSSSNPQLQGNNFARKGVVYV SFNTRESIWASPNSAELSGKNETQNFSILDVEKALDWIHDNIAAFGGDPNHIVFGGHS SGGVQVDHYLWNHPDTWLKGAVQMSANAISGPAYAPVNVGLDVVAAEVGCSTGSGQLD CLRDVDIYDFQTTYFNSTSNTWFTPVIDEITRFSDYTARFNAGRYASHVPLLTGNSNG EGTIFSLVYSAENGDFDTWINTFDADSAHIPDEILIEGYNTTNFTSESLKSGSQYGDA RFNCPVDYFVDMRSQKQATWEYRFFGQYDNVVGVSGTAPTHGTEVPFFLGGNECFEKL SNVTEAQQALADSINDWFVEWIKNPSAGPGWDQVKRVNGTLAKLGVPGDEVSLVQGTT SEHNSRCQLVYKPYFPDYPVVQSPV PFICI_08945 MKKAISNMIWGPDPAEQRKKIKANLRRNETQLSIETRKLKAAEI KAKNLIIAADKRAQRNPSQAESASREARMFAGELARVRKQSQRLAATKAQLDSVGMQV ELAFNMQKVQGTLNSSVGIMQDVNTLIRLPELHAVAQALGKELMTAGLIEEMTDEVLP HDDIEIFDEEADGVLNEILKSRTEKTGTLPNESIPSVPVGQEPVEVELDDSASERENA MYRERLEALRS PFICI_08946 MSNPEVLWAQRSSSTDPEKNFIYLTITAPDVPKSKFSLDLKATT LKFKGHSDTLKRDYDLELEFYAEIDPENSKINHSSRNVELKLRKKELKEEYWPRLLKE AKKLQFLKTDFDKWVDEDEQNEAPEEDFSQFGGMGGMPGMGGGDFGGIDFSKLGGGAA PGDDEDEDDEDDEDDDDDMPALEGEDDKKAAA PFICI_08947 MERRLGARARYRADHEAAAKTIISYVSRVSRIEGDGEFTFTYNS PLLRTTPALEIQLIPEDFTGYPNDHFFLAFTSSQDAPPCVAKTLEDFVSESYGLKVKD AVTFLAERLTASLDRDSNSRLAESDEAPDVPMTSEESPSPGTDDEDEEFGDIDWINDS DEDIFDLTTTNVHLTPGASEHVVLHGGVSNRIRKDFRAVREGGFLISKLVGFDLHTRD NIVSISARVDKLCLSEENLSAWNLQATDFLVLLIKYQGYYTTFEQALSNPTTMPPIDF RLRKCSQYKPTLEQAYQAFSVLNYSLDSSTTQSCSGKAPEISLLSVGGSIDILMKEEF IRLLRLRCEQNLTWDGAKKILARSKNGSHVKQKDTPTNIMPTETNTDLMEDEDHNKLP AFIAKENVKSDSEASLPLIAAQFAMRHFIRSTDYCMVCFEKVGVNFEALKPYVCSNPL CLFQYMNMGLGPSIDIEVLKHPNVVDLLISFCWAALNQDQSNRRNQFDAARSSTTFRG CLREYPDGLNLLVPKIISEQYEMSGSRREVKLEDTNMKLIDPISGNYNSQTSTMTLDE AADMDQVRLGEWVAIVVPTSGKSIVRHGCIETAESRDVVIKVHAEHTLPISSCQSSSG IPAANPPSLPAFLLSYNQSVDDLEFIADKAKSLMLLLATTPSIGEMRGYLRQSPKNRL EKWDRMNPSVSRLVRWIVASNRSSIVQVDNVAIVGDDREDAASLARPSERISGVDGMI QFRFAQGSPEKEVSFDKELKTVIKPQKTILGWHGSNLVNWHSIIRNGLDFKVIANGRA HGNGVYFARHFDYSASYASAARVQHHAISSSTMYSTASAWPNSKLQIQSAMSLNEIVN KPSEFVTRDAGGGIYVVDKEHWIQCRYLFVKPGNHVLESRTTRQDQTSTPFLQDAAYT ALGPNNLGISVPIKAIPSAHINLLKANYTLTKQNALGHTMTHGEGDEEEDPEDIEFLF KDSTSDGRDHNGLAAPKDAASLLSVDKTDFRPGTLDLSSLPQLSPPGYATPLGQKTIG QEIKKLQHLQATTPVHELGWYIDFDQISNMFHWIVELHSFDPELPLAKDMKKANVTSI VLEIRFGREFPMSPPFLRVIRPRFLPFQSGGGGHVTIGGAMCMQLLTTSGWSPANSME SVLLQVRLALLSDDPRPAKLALTKAMGVGKDYGISEAYDAFTRAASAHGWEVPKDLQE TTTAMMWNLNL PFICI_08948 MRRRQNSSLSSSSRGLPHHARRTSKLAQEPIEPKSAHVSDQFLQ SFLSPSFDPAEYLNSSLPPLQAHVSSITPSQDGAVPLAELSSQAQTLLSQLSVQTTRL TNTLTQLTDDILRSGSRLAYEVELLRGETLSLSESLTDGLQSDIAKFVPDGLQQQTEH KPNGAVGASSRKLSISIHPETVPPGGGQDGVEDPAYITQLKTLTCVRERLDSVIKTFG DAMEFVFPPSELSVSSGFLSVSAPDAGGQDHSTEEKGQQVLKQLRDEISGLLADKDSV AGVEKALLRVEVLKELNLVWKGTGEEKGRTKFIDGLAKMVEDKHRDLLRELEQNGKRD PRAEVENSPRKSSDGPAETRGATGYGFMSQLQKLRSGL PFICI_08949 MADSTAASGFDPAKEFPNIVWNFDNLADPAATVADASKGPEAAP AAKGLITAPPTPPVAAPVSTPAAASVGQSVTKEAASSVKSKRTPAKRQELVVDGSVRK KAKKATPKSVLKEVQKAVLLPSTTSAENKAASRVARTQARKEQKRERRRQKRRQARSQ AQSVGKEAENTTAPLEQKP PFICI_08950 MATADPPQSALAGTAHKPPRAQSPPAQSKRERKKQLIYEKLAAL ERDMSRDRDRSYREELQKIQADVHLVSRVDPYADRPLDEIDRDLQELSQANANGTDRP TRTLLEMAGPSFQEWVEHVKDMLEVRDYELTSQKHEHEARTIMHRNTYAYKVEVARRE HRALSATLKDRLMNAITSKKLRLNREKEALDVAESTVLFLHPNQYTLTNPASPGGTHS KRATRLRRDADDVSGLSDKKRKRNGAEDDGSPAPIRRALDASATTPLWQNDRLRAARR ETGPIYSIEKLFTDKELSMNYNTAAIAAYKHLLTRRDNAGNPLSSPEESEAGNGEVNG DEKEDLAAPAMERAPSHQTRSTRNLGQNWFDDKVLGVEGLANFELAGNLEKMDAQEPR LPPLIHSQYSKAYVKSESNTPTSLSQDDVHQDMQIMNVFKAYERAKGAGANIDTPNGG RRLLENMIPAQRNGRPFAALIQGQPRPVPEHVADSLGVNASALRDEPAPSGLGALAPG ITTSNKDSPAAPPMSRQSSFGGVAMSRSGSGRGRRRG PFICI_08951 MAITPDPVPRGPVVTNINYGHFPAAGQKAINYVEDPPAGEPKRN VVVNAQDTRIEDIRGRESDFTLDTDAFAAVRGVPESAERDFVDDDSIRAKYYPEVERF LLDHIPGSHNIFIFDHTIRRADPTAKRQAVFTAHIDQTPLSAPQRVYKHLPDEAEELL RGRYRIVNVWRSLNQGPVESNPLAFASSSTFSNDDLIPVEHRYPNGYTGQTAAIAYNP SQKWYYWSGMTGNERLMLECFDSDGIKEGTGVKGGRVPHTAFIDPRTRKEAEGRESIE VRALVFGP PFICI_08952 MTSLLDFSSSPDPLGDEVPSSVMPSARRNAGNLKSIARESSVQY SGSSNRTKSISKPISTRKSPRKQTFELDVGNGRSPQRLLVTVEAEHERGQRGAVSRRL FNASPTRSVSRRREGTTTTTTVPLRGLTDDEADETGNVSTVRRRGRPRVSLGSKNGTP APRGKKRAGTPLTKTSSAKRHSGEAPSETDLENTALLESSQNAAVEPTPKPKTRTKKT PKKAGTPAVPSSNPTGRKRGRPRKALMPEEVADLNSEADRRPDNTSILPSDNVPMTEE IHMDGAFDGDDDRRMSDFATSQIGDVRQPSATREDTTRLRTGQRGVRFSSPIARYSEA FDEVSSHQGRADESDAPMFDDYTGEVHSDVESETEGVDGATYSGPDNLTHASEFSMIN VEELPSFQASLQSNRSGIIDQHQPFPEAGDETNMIINQTLESLRRSTQTEADGHSHIE DAQNRRESIQTTAEHESADHTRNSRSAFGSSQSSWMQSPRRPPRKSMPLSRQVFSNKA PHVDDSFSELPDSILRAATPGRLPMKPVTTHVTDEYSNMDEYEDEFSQIPDEVLEAAT PRPPRMERRVDHNTVENVPSLEEEEEEEEEAQSNSRSTNIGSDRLPTPDDTNSSTTDA KNIHGEEAHKMPVDSSAVANTSELNIRSSPPTISRQMNTMTIQPESGQTITRHFDTMA LVSDASRQTDQEDHAETQRNKGSSPAAPLQNSGSPGQTRKSPSPVRRPTLSPIVRVGR TLQNIMSDRSSPDEHGSSLASPFRGPGQNDSRQSSIARSPVRNGSHRGTGSDGQTPFN PLVSVTQNIRSAFGSMRQTAPVPAPVPAPTEAPSVREENGSFAADMAENLRIEAPGRS ARSNEIDQAYDLPQHENLSRGLSSSLRAAAVGRQGDENELTSALDENEISSPANQRSR RLANSSAVGTLSSLQNQVQEDGDEEEEQQEMYEEDFDDLFNLEEDGNVEGQEPAQDEE IGQEQGLEPDEVAGIEPEMEPEEEQDEEPADTTAAASDEDDMDLWDIEASRPTPRSTK ALRAEAQERRQSQIPPENPASADTSIQAGEPAAAEPVPPRRNKIPSPWRRNPRRLIYQ DDFRSPAELEMEDSPPSEGRPVPTMQPPAVQEQDMEFEGTEEEFSRSREEDAKDEHDM NQYGDQDEDEEPDHFQAGQDFADDGSYELMEEEELDEPQSLPRGQGYPQKDAPLVENS VLQEYSMISEPGKPSGPVSMQDPQDKPVSARKSFFGRFDIMSFFSSPRPPPVTNNPPT AQVEETPAAHKMNGGPLPQSIRSEKSVQDEPQSALRATGLFPTIKQKIFNPSPARTVD LFSPGTDLRSNDTVADTYAESPSTPDRQDFPQIPQKRNFTPLSAQSRNTASLFTPSHQ GSTPDRDTHTPSPSYDDLREPEYSDEDEYSGEQESASTDDGPSFEHIPPREKPSHWDK NLSPAKSSMRSPLKPKTPGRVVAFTNSVANSDPTSEDQNDQQEMLTTIINGSAKIATS NPRTAILNPTPLRIPASYRGPEQDTENALSPIKPLRQVNQPLPVTRAQVSPQMKLSPT VWTRGHWVRLDEILQLRQRDPMQFQMVFPSVGLGKHPLSGLEVATHDAQMILEDWHMQ VVEAFRSELLADSGVSPDADDDETHGVWDANSLSKRLFALMVGEERRRTGKYVSGQSH LAGISSRKGKEIAR PFICI_08953 MAADTPAVKEAQTLESTDPRKAEAIYKETISKPPSVTSDAAIKE YETALLRLGGLYRNEKKSQELVDLITTSRTVLSSFAKAKTAKLVRQLLDLFEDIPNST DTQIAITKSCIEWATSERRTFLRQNLETRLVGLHMAKQSYYEALTLINGLLRELKRLD DKLVLVEVQLLESRVYHALGNTSKARAALTSARTSAASVYTPPLLQANLDMQSGMLHT MDQDFNTAFSYFIEALDGYHTQDETAKATAALQYMLLCKIMLNLVDDVNNLMASKQAQ KYAGQNLEAMKAIARAHSNRSLEEYERALAAYKYELGSDAFIRNHLRRLYDAMLEQNL IKVIEPFSRVEISHIAQLVGLDTQQIERKLSQMILDKVIIGVLDQGAGCLIIFDETQR DESYDAALTTLEKLSNVVDVLYTNQASMLE PFICI_08954 MKIKAISRSIEAYAPAGSKATKQPRNLDPALHPFERAREYTRAL NATKMERMFAQPFIAQLGDGHVDGVYSLAKDPQDVERFASGSGDGVIKVWDLTSKTET WQNTAHENIVKGMTWTRDQKLLTCASDKTIKLWDPTTPSNSAPLNTFLGNAAFTSLSH HRSRNAVAASSGLNISIFDLERQGAAPEVLAWPNSVDTINKVAFNQVETSILASCASD RSIVLYDLRTNTPLAKTILNFASNSLSWNPMEAFNIAAASEDHNVYIFDIRKFDKALN VLKDHVAAVMDVEFSPTGQELVTASYDKTIRLWSRDKGHSRDIYHTKRMQRVFCANFT PDAKYVLSGSDDGNIRLWRAEASKREGMMSGRQRQAIEYNEALSNRYAHMPEIRRIKR HRHTPKVVKKAGEIKTEELKAIKRREENERKHTKKQFEKRRSEREKAILAKEK PFICI_08955 MSTHGPPPRRIVTINGSASANDNSGSWEPAVTIVEEEIPRVSLL QDQAAKYPIFTHMQVPVDANEPWKSPEAQADAIPHQGVNVQFLDLAPGARVPLHRTIS VDYMIFLSGEVILGVPDKAYDASKGEAPLIRRITCRPGDVVVQRGTLHYWENPSQTIW ARYVGVGVRSQPLKIAIENENTGHENSKWTRELQPAWYS PFICI_08956 MPISPTEKTTLPGALNIAPVIASGDHDVAAEKNVAKGADALLTY VDHTGTVVLDEATNRRIVRKIDTHVLPWLCGLYILQYLDKGVVSYSSVMGIMTDANLT TSQFTWLGSIYYLGYMAALPLHNRMFQVFAPSKYIAANMMAWGLVLAFMALCNNFTGL MIQRAFLGSLESVINCGFVLLTARWYRKYEHGARVSLWSACNGLATMVGALIAFGCLS GVEAGVSIALPSWKIMALCLGCASIVYGGAMFMIVAPSLLEARFFTEEEKALAVERLR ENHQGIGSSAFK PFICI_08957 MPAAGLSFLSSLLIKSLNFNNKQTLLLGMPGGALQIVFQLSAGY IADRSQQRSLTALAYQLLCLFGGALLIGLGNVSPLYDRAGQLAAYFILGGACAIAYYL VLALVASNVLGTTKKMATNVILFLAMAAAYLVGPQMFRDPPYYHKAKYATVGLWIASV IILMVLYALNRWENDRRDKLQREQLQAGVEEVTNVEFLDLTDRENPNFRYVL PFICI_08958 MASSSISSTGTSSSLTSSSFSSTAAESTSIVSSTSSASSSSSLS TSTTSTTTSTRAMGSVSSSSMTTTSSTTAPSTSSTTVSSTSSSVIPSTSSTSTSPTFS TASSTASSTASSTASSTASSTASSTASSTASSTVSSTASSITSTRTSSTSTISSTATS SSTRTSSSSTPYTTVTTLYSGGSATTTTVTPTGANTGTVIVQNPSYVTTTTGYTGTTT STTTTQTASGTVQGTVVVYTPLPTLNCDPYGYLVQLTTFYRVNITSGVVDTVKQTVGD GKRNIQAIGYNVADNFIYGSLGTAIGSPVDLIRIAGNGDMTILKSLNISSTWYPNSGD VDESSYYWVTFTGTYWLQVDLRPASATFSTTVSSGTASPPHSILDWAYVPGGGNYLYG LGYDAASSGDLHTYLQRFDRTTKQWSVIADLGNVIAPGKNRWGAAYASEDGYLYGSEN YSGQIWKFNIPPNGDNTSFVPTSTYLALGPTAVSNDGARCNKAKF PFICI_08959 MFLLSLLVSDAGSKLVLVCSDVVLEGDVTVEDNSPSALDDGLAV DVSIKDVLAVKASVDDEAELDVSLSKLADKEKIEVLAVSVFAEVSVLLKDSVPELKLG DGADSEDKGDEMTDGFELDSRFSVLVLVLALGIEFVEIVSMVSDMDIVDAIPVLLDRG IIVGISLLPDDKVIELDGEDAVDVPALFWEASLSWLEVKVIAVLERPTTVDESDKVAD CGPVTSEEEVEDASPGCELVVAVNCSDEEPEDNVAESAPTGGELVTGVSPGDDDEDSE EEVAEPGEF PFICI_08960 MQQRYRVRHTRQCLRHALRSANVASGLPGLLSSDTVIGTQVFRT RRMHKVISHDPEFIPRSVTVIRSIYTQSSILCHYAMTCENALSILGLVYGALTVQPDE VTILPGENGTLSEGRIPPKSSGGSPLQPGDLSTEFLSTLDGAHTGVNSDSLNTSGRLS QFRTTRGDGFTDLSSLVGESQTGIPTGSGDSIISDTAIFDSSGSQRMESITNSSGGGQ TIGGDLNSQAISSSRPIESMTDSSGQSLEGPTSQNEQSVGGDVTSQNGQTTGGDLTSQ AGPTFNSQPMNSTSGSDGLPGESLTSQDVSNSNSQSMDSTASSDTQSLGGVVASQSVA ASIPGQSNSGTTDPALSTTESAIPINGSSSVSPPNTGVGTTMNIPSSTASGFASQSLT SQSGGDPEISNIASTESAEISTSEISPTSPAVGTITPVATPTSSSSALSASSISTVIL GVEFQVTNTTRKRGKPMWKHDDSSGFVGNETILNPSNCSEASVYRQSAGELVARVGRK SLSVDPGIAYISMANYPGGSISTGESF PFICI_08961 MNYSRPPSRDSWFSPVSVDLLLKVLNITLFHPFIAWLLPLCLRA RHMEYEETPMRVTIAWAIVVTFLWLLNKFSHKLAYGMHREVDLSEEVIVITGGASGLG LLIAEVYGMRGATVAVLDVAELESTDVRGITYYKCDITDKEQISRVRKEIERDLGLPT VLINNAAEVVGKKLLEVDIEEIERSLKTNLLSHFYTIKEFLPGMLRSEVGGTIVTVSS VIGHLGAARLSDYAAAKAGVTALHKSLAAELQEYPEIKTIIVTPGQLSTPLFYGVQSP NSFIAPVVEPVDVAKEVIAAIDLGVSDHIGAPLYARWIDWYNVLPVGLQRIARNISGI DRSMQAFVGRRGDSLSEKNGAAR PFICI_08962 MVWWDTKLVAQTVTREFVASRLHSKNVDRLDQVLGFGNGLTDET YWEWIEAKSKRIFLILEDVGIPDQIFGAVDHSWEDDDVPIPLNDVDRVTGTKDQKTNW KFWDRQFYYVMREVEEGRHMTYGDDEIVPVDIVDRKQAATALVHSHHIDKVVLSNKPN RVLGRLRIPISKISSGVSQEEFLKETRRTSYFHNEHIISYFASYTHLDSVCVLTTAWT EYSLKTALTNIPASLKTHLKHDPRVQVMNWIHCLADAICYLHSTGGSHGNIKPSSVGF DQDHKILLTDRSILSLEGIPASTDRTAFNKESYDYAAPEQWFRPTNCNNLYNNINKSV QTSSSASSSSSGSYAISINKGGPGYGTAQQHQLPQLDPQAADIFSMGCIMLELMGFLF SKRTSKSFAAHRGDKHRHAGRGGAPLDASFHKNLGQVESWMVALAKDAGKKKDDAVLR GVAPVLQMVARMLSVTPQDRPSAYAVERHIYQVLTEHCGIAEPHCVHQYEDTAAFIDL PVTSGLESLRIGTGGGGRGAAGTDDESIFSIATSSRRVSRQGRSSLSSTRTPTTPTMM TGPPRSQTNLDGALAVPRGHRGMQRSIAATAQQWGVPYTFEDAAQTS PFICI_08963 MRSSIWLSAALGTATTSALATIEAVGSKFFTSDGDQFFIKGIAY QLVPDDPLIDTEQCQRDAELMQELGANAIRVYHVDPSADHNGCMQAFADVGIYAMIDM DTFSTYILANDLYWNQTQLDRYSAVMDTFQGYDNLLGLFVGNEIITTNSQSLAAPFIK AAARDLKAYRNSKGYREIPIGYSAADIAELRPMLQDYLTCGGNSSENIDFFGLNSYEW CDPATYETSGYANLEADASNFPVPIFFSETGCNVPGPRLFEDQAAIFGSEMVNDWSGA IIYEWIQEANNYGLISYGPTVAATVVGTNIDGGYTRAGTPTPVSPDFSNLKSQWASAT PTGVSKSDYDTASVSTRSCPASTASGWLVDGNVALPTLGETFTGTAYSTVPSATGTAA AAAATTASTKNAVSGTKELTGMAAGLAGVMMIFAFWL PFICI_08964 MEDNKPNDHNVANLAPGRQQVDELNCPSHSRKRWTIDRTSSSWH DWLPLHDRPHLRWALAIILAIAIIAIMIVGIVYTIEYYAMYYATGHGGWLYRAAADRN NNSTTDSSQAIPGTMTVEESYPDVVWHTMDKEEEEDAYWITDQRYRPMILQMAPCIQK YSCAQYDKPSVCCNAGLFCHQTDTDLSNSGVYCCNNSTCPSEGHLTSSAICPQGLMQC GEAEGGGCCPGGSQCAEEGCLLFDQHKPPATPSTPASSNTVQEPHVMATVYKIGEVPS QAVQRRLGYTSHPVLEGLVLVGLVFFTF PFICI_08965 MSIFFCRRVDHHGRGRIRPELDESLCASYLEAAEKNRKTIPEQL SFEEIIKNVTLPPRSLNDFMDYLLQVEHGAECLQFFLWYCNYVERWCKLSPDQRELSP QWRHNREDDTAKRKTHARTRSFPENMERFNRIFAILEKSPKGKEADAAAGKDSLRSRG DSTLTNFSWPRVLSPRTESINMEKEQDDGDHKQEEAVETACSPPPKDVAQPFRDEIFQ IVRQYIFTGGERQLNLTHQDRTACIQAVEQTTHPSALLPAFAAAEAMLRGHCHPEFVR WSIANNNNSNRPRVVFTKDLGAAIISIRQR PFICI_08966 MPASPSSDDLYFTEGTGQNTTATNDNDNDDDYADELSPSDGYFA SGVSSNVAARNVPNVMVPDPTVRDSDVETNKNEAKALQARQESLLNSTARGDSQPQAL SARDFIESQLQRSAPSTRSDSTYNNIPPIHTPPTSYAPSSSSTSHPASTSRQQYRPSP APGRTLSLYSEAPPAYTPSHTATTPLSPSRETNQTTNYGSISPTSPTTTPPPNMGIEN ERLLGRDPESMGEPGEEPVYSPRWSRRIRRRFPWFNWKLALFALVLLAVTFGFLISGY KAVRGDSGKTIRPTLPEEDNTPPSEPSSPADPSNPAQPGSPAKEPLRSTYCHDAKYRF PDQIMAVKFTKDKNLTFVQGVTTHSGNMNVRVGGQVNLRKLDDGGIPRVVVELITNDD LLRLDSYINEEEQEMGLHVPKRRISDNTEEGPCLEILATIWVPQDAELQQLAVGTVHL DILTLDDLSIRISEMTKFSSTLGEVASGLTKPKTYDSPSGVKADAPDFTFVPAPDSYV FDSRIIELYSTTGSINGNWPLYDMLGIHTTSGNIMASITPKPVLESDPKPAVLSLSSV SGTIHANEPIHELKKIPIRDYLVDVKSTSGGIHCALAFGRGIDLKSTASDIAVDLLPV LDSSVLSPSQPAQLETVTTSGTTAVRILEPVWFGSKSSAAATPDFNCLQAIHKSTSAN IGLRYPQSWIGDIHADTTSGSLSVTGKDVRVTKSGGGWPGSTLSAYKGKSGGASTITV KTMLGNLDAVLGDE PFICI_08967 MGRNRLRGNSPTYLTPSPKEITPPSSIYGDWDTETAMSRSRPGS LAIPRTSSPGARLPSLGEILSNTAPPPWTLSAFTAYLSQNHCLETLEFLVEADRYRKA YEDHFMNQEHELQSEDESVCDTWQRIMQAYIMPYSPREVNLPAPVRDRLLQLECSDSS VPQPSELEEAVSIVLELMTDSLLYPFLQSVVQSEAHGQEVVGERKSRSRLRIPRDLIH SSAEEGSQSPKTSFLPLLLGRSSPVANRSNSGSGGSASGSGLGSEHMEVDLVADDSSS PNTTPGTEPMTPPTTPPTSDFTFTSPNNLHRALTGNSWKRMGARLGIGRKHRATRHSQ PTNMPTSAAPEPLSSTRSGPASL PFICI_08968 MTTQIYYWNRPYRPIPSRQRSGPISPLALELDTDTETEVGILLE DPDRDEVKVAEIPSSFASFTDLSSFLDASVDDEVDFGTTPVSVVGPGARGSYDTLQSP DDLYGWEAELERKVTCGIANTDICRCDAYQYDRPNSSSKRGLLHRVFTSGRRPSS PFICI_08969 MSNTEPEGPATTTSPAQANHSLTLTALPTLKPDLYERAWASIPH PTLPLLATAHQKAVTVFSLSSLTSHSTLTGGHTRSVRSVAWKPNLGPQKLCLVSGSFD STAGLWRWEGPSDGGANLETEVTAATSTNRLGAGSDDDDDEGEKDWEFTLVLEGHDSE VKSVVFSPSGQYLATCSRDKSVWIWEDVGVTEADDEWETVAVLNEHEGDVKAVAWCPD TPNRNDRGRYSSDVLASASYDDTVRIWREDGDGEWVCVAVLEGHAGTVWGVQWEQNPR HDRFPRLLTWSADGTIRVWTLHEESDDGDEEGAQDRPQHAWGGLGGIPNTMRRSLKEE WSAESGLVASTGSDGVVAVYREADGNNNNNNNVHATTDAEAAAELDGDVHMTNGQTTS AAESPSSSLWNIVATTSNAHGPYEINHVTWCKRFDSGCPPERRGVEEMLVTTGDDGIV RPWQLS PFICI_08970 MVRNIVVLGGNSHPALVDSVCGILGVPQCQRILGSFSVGESRCE IKDSVRGKDVYIIQSGGGKVNDHFIDLCIMISACKTGSAKRVTAVMPLFPYSRQPDLP YNKAGAPLSKQPSSLTNGSYTFESVPATPAPGIPKSAGLSNGIDGLSKKLGKASIAEE VNGNSTPAVIPGSDSYFKRPDLPAVPQTPAATFTTHDYEKKPLKNFIPKPGYKQWVAQ AGTLVADLLTCSGADHIITLDLHDPQYQGFFDVPVDNLYGRPMLKRYIQQTIPNFKDA VVVSPDAGGAKRASAIADSLKMDFALIHKERRPTKITDRQNATMMLVGDVANRVCVLV DDLADTSNTITRAAKLLKKEGATMVYALITHGVLSGDAIQRINQSAIDKLVVTNSVPQ DEHKRMCPKLEVLDIGPAFAEAIRRVHHGESISVLFQYD PFICI_08971 MKILEAQSATLTNVEVYQFLQKQAKEYQEQKRRGPGNLETLRRE LLQYFETHPGPLSQKPPAYDETSISRLLERLRPYEITKGEMIMILNMRPTNPVALNTV IEDMEVRFGPEQQEDISAGIAEVLGQFPEQEEADEDQGDVMETTEDR PFICI_08972 MDAEHLRYILVHSSTAKFGLLQTSPKRLSSPLVRYFITDSLFLG MDELFPVKILLLGDAHVGKSTFLARMSKGAGALEGNLDIELLRDMDQPFIFEARNKKG GYRLEFYDTSSPENWRLLEPDMVIICYDISQRLSLINMQRVWIKEVRTTFQSESQLPL LVLGLKRDLRSEQDPNGIIYPQEAYRVAQEMRADKYAECSATTGELFKLAFEEIFSTA MKTKTAAGGQSEGGCVVI PFICI_08973 MDKKPDYSRWTKEALVQRVQALEAERAASSAPRSQVTEQQSAEI AAAVLQQPQSSADNDGRELKPKKKKTDNKIDPSKYTTRLVAFKLAYIGKNYGGFEFQA SSALSTIEEELWKALVKSCLIMPENPAEVRWDDWEYSKCGRTDRGVSAFGQVIGIRVR SNRPLPKQDVTPASSEQAEVVKQENKKAAGEETEEVAEEKPFDDFKDELQYCKILNRL LPPDIRILAWCPTVPADFSARHDCRERQYRYFFTQPAYSPIPNSLEHPKATVKVKDGW LDIEAMRKAAKKFEGAHDFRNFCKIDPSKLITNFERRIFECDIVEVEHVETALPYLSQ PEFRPALDNTGTEVAEGTRCPKVYYFHVRGSAFLWHQIRCMVAVIFMVGQGLEAPEVV DQLLDYQAQPRRPNYVLASEFPLVLWDCFFPRAGDLERKDALDWVYVGEDSPLNKHGA FGLVDDVWEFWRERKMDEILSGQLLNVVAGLADIDKRKDPRAPPHVALSQRMFEGGNR ERLVGKYQPMLNKSKLPLPEDTYEKEAKRRGYKSAAHWREERNNNAAIRRSAAAKAEE EGGE PFICI_08974 MLTTAPRLHIRRPSPTTTEFTVSTLPPQTVPLRLLLGLVHAARV VLSLSTLLVLYAAWSLSPYGTVRPGAALARPAELVSFDLILYLLHGCLTATGAGGRLA VAVAASTPTWALLPGCALALYAAVRRLHTSESLLVLRGLGIQTSSSGHTVLGGPATRF IPTEKIRDVLINEAFLGFEVRYYLAVVVEGEDEVVVVFPKLLPRRDVVERVWRAVRSC LFEVRQKKLVQSHGHNSKTRNGVA PFICI_08975 MSRHRQTSVSSAGKSSRKEKAVAEQNDFTSTKALMKYFEPAAAT ASMLLYGQGSSVVVAHHDSLTIERRFSRHSAEVQLLAVDTQSEIGAGRLVVSYDADMT AIVWDLMTGDEVARFASYENLTCAAWMRNGNVAFGNSQGSIILFEPTTSEHISARTID QITVTALAPAADCRTFAIGYQNGSLLIATLQPRFQILHNLSTSRAPSPIVNLAWHASS PRQKSDMLAVQTNDGDLRVWSVAKSSTGDDPAKVVRILKRTDNFQNGPNWMGWSKNGR IIQYSESETLSWDVRTKHVTYDSIPTLEHVRGLAVYGPGASLFTLGANNTVQQFDLNT PSLMVANVQHPANLLPPSPPVSIEEQVEQGIVVIATDSDSVSVPITSEVSESDEDHMS PLARIVRGTDVANDPYEQDRYMAASPVSSRSHASSTLSKSSAGSSTRGRQAPSVMSRG MTENTYISTGSSSMRSSAMPPYGDKDAYSISSVSSASVTSSSHASRRHRPSRLRNEVP RSPDDNKVHDLFKFTRSRLHDVPYKRTPLADQTRLTNDDLRRQMLSIIFGWNKEIQDL VRDEMSRHPNGSSSRILLAKWLGDIDADIMTASSENMTSSDWMLLALSGIGGQASQHK LGRAYVQRLLESGDIHAAATIMIGMGDQNDAIEIYVSHKRFMEALILLCLFYPNVWER QSQIIKKWGEFAVLHGQQQLAIRCFACTGQESTEPWTSPSAAQVTFQSMDATIPEVLS PPLSPPGINLGPQRSIAKTSALKLITTFGDQGSKSKFFAAGDGGQTPIAAGVTPIAES AVSPGGRDPTTAFLKPSSRSTYNTPSSARAVTPGGFGRPRLPSIGEARVEVPALKPQE AQKERTHSRKSSYEDQQMIAGLSVTRASTASPMMMRENHRKKGHPPPSPSPESFAALM ASGRSSRNGARDRVPDGIDLKLQSIDDAVTSDITSPEQSVTSSTRFHWPSRRRGPGSV ASSVTSSSSAGRSLRSGHGTRAVNNYISSLDTAQSSSRRQRSRESSRPRQDERRTRSS SRNRKDQRASSGDRGRGTVRNYTPKPAKRSPTSPLPMSPEDLLNLSTPRVNEGIVGNE SIIPLQLDSHEPSTVRKSSQIRVSSRTSSRGRKRSSERRPPALDLRGRSKSRGDGSVA RSPSSPLPMSSQAAQFYGGSDDEEDYRAAVEAQEMFRAKHNGSRGAKEPASPAVSRRD GSVPHRRRTKTPALEDVQATIKQAPQVVFDVTEPVRSGDLKQILNERQLKKEAAAREL EERRKSLARRPSAPPIPHPDELSPIASRPPTAFELPQTTFTPQDLPQRSQTADFARSS SYARTGNRPFIGLPATPKAMRLQFDSHGVGKPNVPPVPMAYVKTSPSSSAQPSPNNLP VSSPEKSPQSSQQESLLTLLPSTVYSPPMRPGIERCMSAPIPEEPMASAGSPMRPSQP SSRQGRRGSVSRKMSVPDAHIGGRGIDEMINAPRHSRQASRDDQMPPPPPPPPILREL QHLATPPPPPPAPIPGMRPQVLGSAGSGTIEIVMDEDDHSDGPAGIPVAVPVSEATVP IIAPPAPRSQSHARGRSFSEKDNSIASRISRATERMRSASRGRNNSILGSRTKSPEQT SPYESLLPPVSYKAPMIEQQQQPREPQMIERHPREVRAAYQQQQQQQQQAYTIGVNEG EMF PFICI_08976 MYGSYGSYSSMSSVTQPLDIGSSSYLSSPSAYHQSSCAFPSWQR PERASSYLSDDDLLDLDDDSRSFTSGGSHSSASSNASGSPYTTVADEDFLHMQREQQA AMNREAIRLVVAEKERRKQQAQMAKRARRGSGGSAKKSPKAKSGAMAPIDEAVE PFICI_08977 MCTDTYNQFTRCPCRKYLHTVLCNIAWRRGADGDHDEHIAYLDM SKRKRPSHMSHCNVETMTTEVDGRCSDCVKKNDDDVSKQWRKGKKWSGRKERYY PFICI_08978 MINLPVYSATLAPQFNLDNDNAVSAINITIKVYTSAAKAGQPLV MLPLNVGTTPTLRYDGEALSASDAAGPLALTIRDTAEANSERYWCPARNPQGEIVLRC TAVPRETDAKTPSGPRIDLRMDQGGVIGLGSGFLPYPAGTDKWEFRIDWQVPVSAPAG TQVVCSLGDGLCSRDTGSPDNVLATAVFAVGPLQRYPAWETTQGNQASSQRFGMYWMG TLPYNVNRLGPLVDSIFRSIAGFFGDNSEPFRVFIRKVWTGHGGTGGYRSFLLEYYDG VTDEQTEDSLIDLLAHETVHEYPLMIPERNEDVWYNEGVANYYGVIAPYVGGAVDRKY LVKALNDQAQAYYTSTTVNLSYQYVLDHYWDDFSVTKTPYNRGFIFLAQQQGRINRAT GGRKSIDDIVRVLYQYRFRGQEHSVDDFYQILTDFIGESIVQKDREAMENGHLIVPLE DCFAKYGLRLVRKDAEQFEPGFDLKSLRNLKITGRQSTWYGMRAVQQVLHRSAKAISP SEFYDAVREACKPRFSEARVVRNHRPVALGISGGVDSMALAFLCSQLRKKRTELMIAD NRLQTFRGFVVDHMLREGSYEEAFNVSRAVRKLGVTCEVHQASWTRELGPDVDRNNLP NLESAARRVRYRRLGRLCAYRHISSLMLAHHEDDQYETVLMRLMAGHRNRALRGMRKA AAIPECEGLHGAFDSGWVDDQRRQRPNNQLTRIQRKYLMHDLQLSIGSLEDTEAFSSQ QLDNLLLDDDLPGMDDWSFGSMPVEDGGVSVYRPLLEFSKDRLIATCLENDVPWFEDH TNKDPTLTTRNSIRHMYRSCELPRALQKPRIIALSKEWQRRAAAQDAEAKRLVQRMTL HNFEPHAGTLVVQFPKLSVSRPSRYSRTTERYQRRRSYMRLMAALAIQRVIALVSPEL QAPPVANLQNVVSRLFPALSEANGKNDSAPKGFNIAGLHFMPIESTPQTVNRRGQSHQ RTWYISRQPYPASMPLPQLRVSYWSAGRPGKRWARRDHWRWSTWLPWYFWDNRYWIRL THRLPYRVVVMPFLIEHAKQFRESLSPQNRDRLASVLKEIAPGKVRYTLPAIYSEEYL DLDNIVPRKGYPVPEGQYTEGVRLPKRERSKPQPLAPVDPSKMRLLALPTLDVQIPGL EKWLLYETRHQRADRNTLRAMADYSKGSFSSSRTFQAGKMSSSKRRRRS PFICI_08979 MEVPYLSVQDLLDYLRAFNSRDYAAQHAFYAPDIELVIPDPEIG TLVGSAGVMKHYNVVHADAEENVIPLVVLSDRGNVFLQMESYFRYLKATNQTVHGYTV VPGDVTKISCCALYELDKGNKMKRITCFLFKQEKLGQVDVEERIRDTESRAQPDLKL PFICI_08980 MSASSSACVSTTFANPSVLGAEILSISASLVQNFSRTVSDQLYY NHPSITLNGIDYCNVTVTYTHPGQNDTINVENWLPLNDWNGRLQALGGGGFLAGRFPL TNTAMAGAIGEGYAATSSDAGLPLSYIPDPWAQVSSGNVNLYLLQDLASVALNDQAII AKSIVNDFYGQPPAYSYWSGCSQGGRQGMMLAQRYPEAYDGIAAAAPAFNWGQFIPAA AWAQVMMNVLDIFPYSCELDAITDAAVAACDGLDGIMDGLVSDAESCHFDPFTTVGQQ INCTETGSVITISDGAATIANLTWTGPRSSSNEFLWYGPYSQARLTGAAEATGTTSDL GYAMTSCSNGTCIGVPTGLGEAWLKFWVEKDPAWNYTLITSTDEYASLFHASVQQFDS IIGTADPDLREFEAAGGKMITYHGLADGLIPTGGTVDYYNRVLDISPHAQDYFRFFEV PGLAHCSGGNGGQPTATFQALVDWVENGVVPESLPISFNDTDGVLNERILCPFPAKAT LKSTCMASTSADCFECA PFICI_08981 MSLKGKVFAITGGASGIGYATAKILSSRGAAVGIADVDPEALKA AEDYFSSQGDATAAAFLISKVDVSKRAEVDGWIASIVAKFGRLDGAANVAGIIGKHHG LASVAELDDTEWDKIIAVNLTGMMYCLRAELQSVVDGGSIVNVSSIHGTKGFAKHAAY DASKHGVVGLTKAAALENGAREIRVNSVAPGSIYTPLMKKAWDFHNRADDAKFDEPTA FQRLGTAEETANVIVFLLGPESTFVNGSVYSVDGGWI PFICI_08982 MRFFFDFTLLACGASHLVHGYDASRHLWYDEPGADFSTGLAIGN GRIGALVYGSDTEKITLNENSVWSGEFQDRINNASLEAFPKVRELIADGNLTDAGQLV LEDMSGIPTTNRAYSVTNDLILDFGHSEGDWENYERWLDTLDGNVGVSYDYNGVTYSR EVIAQFPSGVVAVRLNASQEGALSVNVALSRSQGVLWQGASLDNNTVTMDVGGDDAGS IAFSSGVRVVADGDINVNDSSLVVTGATVVDIFYDTDTEFQWTSQELYKESVTNKLAD AVSAGFDDLKSEAIADHSKLIGRVALDIGSSGDTGLVATDERILNYQEDPDADPQFVS LAYQFGRHLLVAASRDTGGTLLGVPANLQGIWNDQYSPPWGGKYTININTEMNYWLAE PTNLIDTLRPLWDLMARGRERGSEVAERMYGCPGYVTHHNHDIWGDAVPHDNGTEWTM WPMSNLWLLSHMMEHYRFTGDQDFLKNTAWDLFYDAAAFWNCYLFEFDGYTTSGPSIS PENSFVIPDNMSVAGQDASIDFSPTMDTSLLREFLTNVLTIASDLGISTADDDVLSNV QSLLDGLRPPQIGQYGQIQEWRIDYEEAAPDHRHISHLWDLFPNSRFTPLVNQTLADA ARVSIERRLAAGGAATGWSRSWVAACYARLFDGDQFYNQTQQLLQGHVMPNMLNAIDV GSTTFQIDSNFGVVAAITESLLQSHASVVHLLPALADKIPTGSVTGLVARGGFEVSIS WEDGALTQATIYSRLGNTLAVRVASGTSFKIDGEESESIETTAGSTYTVTLA PFICI_08983 MSSTAKPSQPPFATALVAGGLAGTTVDLSLFPLDTLKTRLQSAA GFFPSGGFSGIYRGIGSAVVGSAPGAAFFFCTYEGVKSALASRRRRQQDELLLLSGGD GLHAKQQGGGSRWVEPAEHMIAASLGEVAACAIRVPTEVVKQRAQAGLHGGSSSAALG AILGQYKTSGLVSVWRELYRGWGITVMREVPFTIIQFPLWEALKAWGRRRKGDDRLEV SAAESALYGSIAGAVAAATTTPLDVLKTRIMLSKERIGVVPLLRSILKTHGVRPFFAG IGPRVGWISVGGAIFLGSYQWAVNALQGNIL PFICI_08984 MAKKARQRISYVCELDHSSSGGHRLGVNGLAVDPNNAILYSGGR DGVVCAWDLSAVDATSDTKSKSATKFRTSTQAHTHWVNDIVLAANNTALVSASSDLTV KIWRPLAGETEAHTLGEHTDYVKCLATPTGGDWVASGGLDRKIYLWDINGKGQSLGID VSGEDVKEKGSVYALSAGRNILASGGPESVVRLWDTKSGKSITKFVGHTDNVRSILVN EAGDTVMTASSDQTVKVWSVTAGRCMYTFTMHNDSVWSLFSDHPDLGIFYSSDRSGWV VKTDVRGVSDMDEGLSVAVAQEHNGVGKIVASRDWIWTASTSSSINRWRNVETGPDAQ LPEAYKRARASSTSSKTFQPPSAIVNGTNKKEISPKSILKISHTATFPPTLSSPSDPN LETPAANRTSVLLEPESHDVEPLDHLPEETIEGQNGLVKHKLLNDRRRVLTLDTAGDV LLWDLIKCEVIQRYGKKHLEDVEPEVNTLEAVAPWCSIDTSSGNLTVVLEPFNCFDAE MYADELVLEEPVEFRDDQRINLGRWVLRYLFAGLIDQEIKKDEAYRGRLNESVERRLS AARVNPPLSIPIPPIPDFDETGSNVVTPRANGAYPIMTPGLAIGVATPGPHGHLPGVP EGSALTPGTPHEKRSSTSRPSAEDYFAGGISSADAPAKAAAAEPAKDETPKTPVTDIK EKEKDTTKSPTAFGKKFRMGMSFGSKKLGRSSSSTQPEKPVVVEEKKDEENKSESSSN HEKEVDDSFYGVIQKIHNEYEKQLIEKPDQLVETRITPSLPIDTPVLKLPPGTRIIIQ EEMSGGSANLYRGTVETVGRDADIIEQKAPMWLGEVLLTNSIPPKDPVKVSFILHPWE NALPTLTATDGNNRLNANRMLRVKKILAYIAERIDPSLDESDEDALKPEEYLELYCND QLLPITMSLATLRAHVWKGGNDVVLHYKANGRKELPKELPKPAEQQPAAEPAEAAPAA VTE PFICI_08985 MMFKTVALLPLAALVAAQDTTTGTLPSIISDITDTGSSATAAIS SILSDASSVLSSITSDASGAISSATGAAGGALTTITSDGSTFVSSLSSAGAGAFTTLT SDGSTITSQVSSAATTQTSALTDSSGHTTGTTTHTSAGSQSTGSSSSSGNAAAVPTAA AGGMMLGLVAGAALIL PFICI_08986 MSLEDPESTESLLDLGRSTAKYYWEASLGRLGSLARRYSLPGYY DTSMMAQPSPSSPSPFLELPPEIREHIYRIILHPDANRLHHPDEYTDYSYRDALALFR LNRQIWYEARKVFRDLNVFVRIETPFPNAREYVAFEGHVPILMQGERAARFRDWSLSA SIGAPQTPIAESDPHHIVILLDDLEKFAQIWLYSDLSNPGLNRFLSLKLRLRDPYTPE WEDRRMPKSLQRRLIAPFGTVKNLRQVQITGDPTPLASIEADMRAEMAKPYPSPEQCL VETTRLKDAGNTELKAGRYQAALDLYTQAWAAMFIVVKGRARHVHGEAYFARELREEP YVGRSGQLERLALRIQLVASTCLAYLKLGDWEEVIFWGMRTIHMFQQMSGADEREVEP QQELVPDNFPGRLQIGRIYYRTAMAYKNCKDRDQAKKLLRVAQAYMPNDVVIREELAS YTLKLG PFICI_08987 MSDRPRHGRRGRGARRQSRISRFGRPTTRTRRHSSHYRGQAFQL PLRGRAADQDRDRDRHRSQTAEAPIQADDESGTPSERLRRLYDARHLIELQILNTEIG CEHQLQEMERDSRSRRYDDGEVTRYGAGESYRPFNDRTPRRVRTPERERRSPQPRDRV RSPPREPRDRPRSPPREPRERPRSPPREPRDRPRSPPRGPRARSPLPRDRPRSPPIHE SRYVPDRTPRRRSRSPFRPDRSRDGGRDDDGNNRGIAAADTWRRRERSRSPVRRPSPR RSPMRRNHSPPRRFASPRRDMRNDRDRRDFNSRDPRSFPQSHSFQTDTFASPRGRGDG NPNNIPIAHNKRVSQSPLGNGNPSSDFQHEYENPLRRFDAARPAHSAGPGNNRRGRNT SRGRDLMFPDVPHASEQYTAQRPFHRNSQHDSNFQARANNVDTPYRGRSRSADRDRRD VRDRDRRGSPVRRVSPGPRPGPYRRRSPSPDRRDTRYIPAQTQRRPSPPRGSAVSSAF PSRDQSRRPSPLPVNGRRIDRSNPQSPMSSRHHSRSPQRPAPRDREASPLRPAAAVAT TTSSAAIPIRSPPRGPAVQRPPPTGPRGDRNWRDSRDERDSRSYPGRSASISNETPNR PTAPPTRQDVTSPEVPPAGPRGYGAPRGGYGRGGRGNTWIAPGGQNRNDSPAPGPAAA MTGGNNVSVPTGPRGQTPSNSVPSTPNTQSKPFNPPKGPAAEKRQLTVFDKEVATMTP IIPGGKMSIEDEAAMNGVLPEQLAHFKAAEEEADRMRKEIEKNDEKTRAMMAEFRKGQ RECELLRLRTELTEKAVAKSSGESLLGSAF PFICI_08988 MDHHQSNGVDHSMADAPDPVPESAHDFDWGDDHEELIIDEYESP HENEEFVQSLVDRKLLPTGCCYDDRMKLHANADFGPTPHHPEDPRRIEEIMKIFKKAG LVFTGPDTDLADILQNTPTKYMWRVPARSATQDEIQTVHTREHYRFIESFVNMDTDQL RKLSHEMDQGRASLYVGALSFEAALLAAGGAIETCKNVVEGKIKNGIAVIRPPGHHAE FDQPMGFCFFNNVPVAAKVCQQEYPETCRKILILDWDVHHGNGIQNMFYDDPNILYIS LHVYANGNFYPGKPDNMYIPDGGIDSVGEGAGEGKNINIGWDNQGMGDGEYLAAFQKI VMPVAQEFCPDLVIISAGFDAAKGDELGGCFVTPGCYAHMTHMLMSLAGGKVAVCLEG GYNLKAISQSALAVARTLMGEPPPQMQIPRIDKTAARVLAKVQATHAPYWECMRPGVI DAQVIGEGTSRLHDVIRNYQRQNLSEQFGMVPLFIQRETLFKSFENQVLVTPGITQKR KILVIIHDPPELVGMPDLIDNTVDPHNAFLSDGVTTYIKWAQENDFGVIDANVPHYIT RPEDVDPFVPRPGELTLSQQIKELMNYIWDNYVQLYDFDDLFLMGVGNAYLGVKLLLT ERHCKDRISGVVNFVTGNLRPVKSATDETLSGWYKRNSLVYVSADHACWSDPDLTKKV NKRRFGDVQKSDKVGLNHMMQRHAPQVFDFIAERQSRSGYTTEEDKMS PFICI_08989 MRPFEVLPAELILEMMENMGVSDLTSFALCNKKLFGIFKENQAT VMTTSLLRLVELEQILLIYTVDKRDFASNAMLHPRRISVDIGRGDDKLVDLMQSAVAF RDGKLICPRKIVLKTEDLSRIWTLVKVVDWWVEHYPRFRWHKNPEDTRCLRPSEEHRL RKAITRWWLYSECFHGKYARSPFLPRLLEADDRLYHIRLLSSTEIRELEDLWETFRST VQRDICSSISDKDWDRIPWGWDDWRTKDIVSTYMKLDPTQAKHLITHSPLLGKPAIIQ AARQSQPDFAEQQETLSWAIQTVLQERLLLMSNAFTDIPASGIIDEDRFFDENDVFTA DAWATGKPPLSRQEIMSYPIRPPKYIAYGDDGRDLDFQF PFICI_08990 MASVYKSLSKAGGRKAEAPANGVKKNKQRVLILSSRGVTYRHRH LLSDLANMMPHGRKDAKFDSKSKLYQLNELAELYNCNNCLFFEARKGQDLYLWCSKVP NGPTVKFHAQNLHTMDELNFTGNCLKGSRPVLSFDNAFDEQPYLKVIKELFLHTFGVP QGARKSKPFIDRVMGFSFVDGKVWVRNYQINEVEASKVKEGEEGEEKEAASSKGSKSK ETDIGLVEIGPRFVLTPIIIQEGSFGGPILYENKEFVSPNQVRADLRKKTASKHNARA EQYVERLAKKGDLGLRTNGGKEVAPDALDTRQLFA PFICI_08991 MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYENVTR WLKELRDHADANIVIMLVGNKSDLRHLRAVPTDEAKAFASENHLSFIETSALDASNVE LAFQNILTEIYRIVSSKALDSGDAQNPISAGTTIPLTKPADDAAAKGGKCC PFICI_08992 MATRTDDSSSLFVNGDHDMEIDDDSVSLTSTVESAHDSDEEFVV DAILAERPHESEPGAMQYLIQWENYPVFRSTWEGIENLSDELYQQWSEQREQEKKGLR VPFDIRIFEDAMTEEFRRKAARHSLRNDKRKRLGLPLTRPFPADYVGPETTVEANYED SESSDEAMEVEDAIDPATTSPRQRVIKQSTFKGMTSTKPLSKPSAPAWQGTARKPTSS DQMTADKNRSDQKRADQKATNPKTAATKISTVIVNKTSSNMASSSSLAHKFQGKRLKA TRSIPKLVQPQPKATSSGTETASKVRKRRANLKDAMMDPSRAPSFMSNMHRVNQLRKK ALELNDSAPSDPSSIPAGYFITNDAPRRKVPPTDPNAEESNTADLDARSVIGGLLSSI ARPKDPEKPRAKKTVRFADDVIDMPNAHDLEPRSGTGVKKVSLQSYQQRLTSQTLTKT VSFGGDTAEKIRVEFCNIPRNASNVWLSHFLDEETVHFRLLCTYMDFFSVNSLYDPQN TTDRLALGKLDSTEHCTALTTVATNLHLACSAFYFPYANYSVLVFPGQTPEWASLADR SFTSANVLNYLIFRPKISVCNEHFPALSNIAISEATTSSQLQIELMQEVASFDYDILL APPAGKKHVFFLMYPQGLASLFKAISLWLRACQSDCQIFHSDQPGAWDAYQKATNSGA VILHESVRKNIRSIPGLWPLLGHSTHMLWSLSSADHESPLRVASESNFITGVPPQLRI TQLFPFGRVFLITPGFAISQPRKLCIFLEWFKDKAAFEPCVIVVCADFSNYLIDIVIG KARDRVQYFKDHPSADPMLQGCMGFAPADHDARVRAWELLGDISQQYGNLPEDMRKIV SVHDQVAPDDEQSLVHWFAAWSMTKLEEYRNFTF PFICI_08993 MPDESNDYDDTCEMGGQKEEAVATTQDTLRTPRPKEDGALDLAE WLSTSFGHLIGTSSYWMFWKPIAWLDKDMATHCGDRTMRHSAMDFWLSGCPRFFLQVN TLVGFFYTTEGEPEKLNAANAGIRHPWLAFLRPVDVLSHPFQYSAMELLIWDCAAENR WPSHPRRKDLLPMQQRLIDTVGKELPRKFPEEDPFKRKRYYLERVLVGGCRVVTQADP SKPLHTTRKILSDIVNGSQPLPLTDESLIAAGWKNLEEVPVKKNQFPRNPADDSEPER IIFHPPPRGSGSNGPSLCRNDLYDAALEARKRDPQCIEFRYDFRITTRWYDHLKKENR QYNHILVESWNKVFDECGIPHTTDTT PFICI_08994 MSSEQQPFYRNLGLVAADPSMAPRSQKTGPAAAAAATATAATVT AAGAAIAFTNIEPSTATGRGGHVATATMTGSSTPQVGINADTTPRVIAPHSAPLAAAE AVAAAAAGQIISSSPPLATSTTTTTAAASASSSSATTATPLSTLEHPSSSTCGLAAGA ESSLSGRSPAAVQPSSNMLFSDLYKSTRSPLSRLRQQSSANSEADFDADLVSKDKAKN KEAVKRYLAEKIRNDWEFKWPRPAPGAGPGTGTGTGVANPAATSDHAKDGPVEASTEA PSHDHEDNAAETVDANDDDGADDSDDAESVYSTVSEDTAHFKPRLEWWSDWSDDDTVA ISSAYRFDTPDAVGSTVKATAEAKRAKRRRAVREEATWNLGLACFNARRDAWTGAKTV RVKPKAPSTPTTPMSPSSRRLSFFRFVSSSPPASPGQPLSPEITRTSGDTTAVTSSDG DSKEHHPRAASSRCTVETLLPLAPPLLPPANPMRASITPATYSNLYDRIIVHSLTPAC PVNLADVLRSCVVGWKRDGEWPPRQAEVPISVVAVKNKKKAAKPTHSRQSSTGRRLSL GFLGRRESTVGDAQPADPSAEDGGAAGKGIRKSIQRVLGLGHERSGSNASNNAVA PFICI_08995 MSSNGSEYDPSSSSSSSEGEEGHREGIVASRLLLSSGDDSNDEP PSLSPSGSSAGPPSPQRMIVTLRFNNNNNNSSSSSSGSNSNNNNNNNNNTTTLDTMNQ QQLQQQNNGRAGLLRNQQRGLNGAIVTHPVGTCFSNRTEDWPRNSYHALEEYMENGGP HQDVDKTYLAGVQHGIGMGIAAHKNSQMREYETTGKLWSLPIKFEHPGTREDHIRHIN DSDAMELLASMEAGNSFIRLRAQIEADAANVSDSDGEDEIESHDGTRVSVADTVPHFA RSDVFNAGFPSLNPTGPGANQNPSAYDPRTGPPEGRIPGSVSWTYYNSGYSGLEPPEA FLMNEGGVCQYGRNPYNPPQTQDLQASHQMGQQSAQGFQHIPQNAQYIPQNTQYIPQN TQYIPQSAQNIPQNAQNIPQNPSLQAQQNIHRTQQPVGRQNAQLGLQQGQLPAQHRPQ TYFHQVPQQTQPNVQQRPQQSRQQNPQQNPQQIQQQVQQARQQTPQQAQQQVPKRVQN TAQQSEAAKEQAGTAANTRSRKRNRTQSEQGNPQNSDQKKKHK PFICI_08996 MSSYYQYNHQPTTATPSVSHNHHGGRNRRAPRLSVSQNSHRQFR GVRSMKELTESAAVSTFRTNFESGRSFDLDDDMEFCPKLLTESDLVSISSSASDRSSL SSNSPHSSPTQHPQQVSTTFSLNSSSPAFIPPTYHTQQSTLKLHQPAATRTRNAIPII NPANGLTLSSPSPSVSPSRMQQQPLGRRW PFICI_08997 MAASFWESTQRRFWIFTKDELARTRNKLREDEQALVAMFPLPEW RHLSIYFNQQVTRLAKRLVLRQQVVATAQVYLKRFYTKVEVRRTNPYLLCVTALYLAC KMEESPQHIRLVVQEARSLWPDFLTLDMSRLGECEFFLISEMNAQMIIHQPYRTLTTL QSDFFLSSDEISLSWTIINDHYMTDLPLLYSPHIIALTAILLSLVLRPNPVAGGQAAS MAAAQAVLAQAQTQSQSQMQAQGSRGLGSGLSSGQTTPGGAFEKDNKSNEARVGKLQR FGAFLAESDVAIEDMVGCTQELIAFYEVHEQYNEKAVRDQINRFIKARGLDKG PFICI_08998 MKVPDVVTEIGMEMEESQNQRDARVEALWKKLDYQNKNELDWKA LQRGLKKIDHPLKNADGILQEIIKVVDSNGDGKIQYEEFRVFVEAAERQLYLLFKAID HDSDGKLDKHELQAAFQRAGLTVPMRRLGSFFEDIDKNNDGYISFDEWRNFLLFMPNQ EASATLRAVFTFYSDIVTVSSEGDSMLNDDTLHSIGTTIKSLMLALFGSIVRIASPLD QDRSQSSSPPQSSQTADNSSPTIENSSPHSSQQDVHSSQSNGSSASMEAAGREQLANT VRASANALRTAATSASTAPAAPGGATTTARGTDIEPDLSEKEEETAEENWLIKYLPDS GYFVAGAVAGGISRTATAPLDRLKVYLLVNTKSTSHAAIDAAKNGKPIAAITNAARSF WGATLDLYRNGGLRGFFAGNGLNVIKIMPETAMRFGAYEAAKRTLAQLEGHGDTKHIN PYSKFAAGGLAGMTAQFFVYPMDTLKFRLQSEYVAGGARGYPLLKQTITKMKAEGGFR PWYRGVTMGLIGMFPYSALDMGTFEFFKTSWITYQAKKLGVHEEDVPQPSGMMTGIMG ATSGAFGASVVYPLNVLRTRLQTQGTSMHPARYTGIWDVAQKTLKNEGPRGFYKGLVP NLLKVAPALSITWIVYERAKDIMELQ PFICI_08999 MAGQLPASPSPSQSSERLRILLRDEWEAHREGRKNAREVGAPSL PFAYAPTNLRTYKGRQVNSFRAPNPGLTRFRLPGESDIVYPDDPVRPSKKIAAKRAID EIATPNDTEQSSSKRQKVPLASTPLITSNFGSRIRAKRAFHEIDTTDEPVRVRTAAWV NTTPSAKRQKVLLPSTQTDPDSGSHIRGTQRIPAKRAFHQIASDDTAAVEIATSANTT PSFKRQKKAPAPTAEPTSDSDDEGSVTRSLSPIKGDDYQIQSFPPIKCHKLKDLVTQR SQKSSHTEELPTERALLNFSTILRPEKIKAGNNTSEEKLGSEKLSSQETATKRPLLLY PTSRRFREIKADNNTSDKPSSGRYATERSLLQYSTTATQRFEKLKAARNISKENSSSS KSTSTRPPLQYLTSRRFEENTADKDTSEENLTAERPRVRYLISRHSEKLEAFKKTAAY TQSTRRLTTHNETSRAQIIAERGLYTRFPIASSDQRRILTDFAQLRRPLERRVSEQQE FRQQRAQQLPEANAQGIQQPRHQEWQLLPCILVILHIVMAITTRLWTVATFLQKVFTN PRQILYQNVNHGDSARDESAEDQNTQTNRLLPGTFPGTNEDNPQDALQSPQPLPLDDV NNNARQNGTLPEEQDAAMNTSPVRNIYPTEDHVTASSTNTTTDIVQHEDTAATSSVSL SSRDGRKLSDAHSTVETDLPQQEIQRSSRKYSDSSEEWRHHDAARRQLYHEAFDRNYS YEKDQILAKDQYRSTGLTPAQNLECVQRASVLSKAAKPKSRPTYKNPRSFFDDENQHS LPHRTRTTLKPNHHKLEEDGQDRAAREKREQELAEQKRYEEANAKLKNLGLSVPRAPV IIKINDKWEGQVHNTQKPAFKPLKTCAPEPVEFGHRDFLRLVPRGTWLNDSCIQAATQ YGADYINKAAGVTLKKDTPRCVALNSFFWSSLVSSGVSGKERMLKRVWGLTPQNFLDV ESLIIPINADAHWTFIFVRPQRREIAYVDSFHTRNQKRIDKALEFVAAFLGPRFKEDE WKQVSFNVPRQTNSYDCGMFVITNSLLLSLGLDPSDYTEPEMGHQRSNIAAMILNGGY HGDFDLKKLYKL PFICI_09000 METQPAKQPSTKHYDIVIVGAGPVGLMLSTCLARWGYKIKHIDN RSEPTPTGRADGIQPRSLDLLRNMGLKSAIMAHKPARVYEVAFWDPPKNGGGIVRTGT WASCPSFIDARYPFTTLLHQGLIERVFIADLEKNNTKVERPWTITGFKSDEKENPEYP VEVHLKHVDETSEETVRAKYLFGGEGARSFVRDQLKIGITHKDPIAHVWGVMDGVVTT DFPDIKMKCTIHSDHGSIMVIPREDNMVRLYIQIASSTDPDFNPRKTATAEEVQASAK KILAPYEIEWERVEWYSVYPIGQGISDKYTLDHRVFLGGDACHTHSPKAGQGMNTAFL DALNLAWKIHAVEAGFANRELLKTYEPERKTVAESLLAFDNKYAKLFSTKPSADTVQA ASSESGEDNDFIKTFKESCEFTSGYGVAYGPNALNWSPDHSAKSPLIHPQGTKLRTGR LMINANVTRVVDANVVHLEQEIPLNGSFRVYVFAGNPAVTGQALKDFAKNLADKRSFF GAFQRADIDKVSHHDSMNPHSLFFSFCTIFAAPRNKIEISKALPELLGRYRDCVYADD RGDRRVPDAKAAAHAKMGLDEEKGGVVVVRPDGYVGIVTSLVEGSGTVDALNEYFSAF VTKKLGETRSQL PFICI_09001 MTSRQFDEEKCRNVLVRYCGHVPRNYQYKDGIQQVFLLLRDHLG DEDLDLAGGVLYELIEIDCEHTHDPGAAFQRWRHDHMSRYEQSDLELPHVPHMMTITS SIVPTDRLSRWRQEHPDRYPFVLSDHKPLQERFSAERNGIRNRKPWLIENTFFVSSHT EGTLPLTNPNPKEFHEMQWRGHHGEVGRFLHEREISTTNSEQYTVSTPAGVATSKSSM EKKSRASRISLLNKFVGRGSRDKQEPDPDQRRRSWLPTTLFESKPPSQDGLDGSGQDA VPLPDGCHVPHAIFARKHLLVDSEKSLPSHPPINRMPIAESKATESWTDTTSLERDFR GPFTPQLGFDGGRDYRWTVSDCNMTTPTRRTTPAFRHDGNPPRLKVDPFAQAESGSHT RAKRRGFHMGYNNALDWLSGHDQTTPTQTRQAPSNMQDISPAMPQQGSPSSYRRNRHR WQNSLHLDHVQFVVPATAGNQTPPPNRKTTVVQVSPSPPSLPAVRRMTSIADDLAHPL GSKPSCLSNTSTLIPDDS PFICI_09002 MDAPTESNAPQSAHESTKTTFTPFLNHHRHFRGTPPPSPNKVRT REKSPYPTPRDSKGRRRPPPPPLNLDNARVPNTSRRIEIEHNNVTPTSPEAVVHAIDG TNNRSRASVSFSDARKYGSIGSGPQVARFPLITPAEVQHRQVNWDGSSSVYSDNSPFN GMPTISPIIPPKNRINILQGYNDWRDNTCPQLQTPGDAIRSPGLLPPLRSKSVSQIDE PLETLPATTYQPPPMPAITSREDKKPQQGLVRSVTVHDLPSAKLQPQSALADQFSPLT PWVMNFDRRQATKNLFGDNGWLQDSNQTKDEKKNQSSKTANFFGSIKKMAKDLAENTN FKTHTRRSSEFHHATISLSPREQSLIYCELEYALSEALDLYVKSQHNGGRLNPDKLKA ISDKWFSKGRPRVLGFRYDLETQLDLVTLHIDEFRFYGHAQLSDSAVAGLLAVMKGNA RTMRVRHLAQPDAVVAKHILDAQALMRMLGTVESLQMAVVECSEFYKRAIERKQAQAL AAERMSREA PFICI_09003 MSRFDDRGRPARYDRNHSPDYAYAGGSGIPPPAPPPPGANSHAR LEYEPQTPIYPPPPGGYVDNGPGGHLQIPDARLRPRSLPPPVDYRGGRSSRNRDRDWD YDDDDRRHRHHQQRLRSPVARAKRAIENTFTDSTTGLGVGVLGALVGGLAAHEATDHG SGGARRPSEAQKRNQLVSTVLGAAVGALGANAVEKRLEIGRARTEEKQERWEQKWGRG RGDDHYHRGGGGGGGGGGDWDDRRRGSSRGRQREIDPDARSWNNVEDWVYDSPGGDRS RDRRGGRRSEEGYRY PFICI_09004 MAKWRDLGEVPDSDEESLLDSEESQPLELPPPLPREEIQEPAVE STSREYASVWDIPPSSSPSGILESGIAPSRPRIEVHIPTRPIQQEPDSSPLSTPDISD DEDTIPPAQIRSDIQETLGIQDQREEAPRPQFEPSTTAEAIPSPVIVDDDDDDDDEIV ARRESARFGRSLRPRKPIQEHPYLLENAQYSTLFKTHGIRPVRLPPAEERQRAQEQDS QEQDYEDDSQLTNGNGQDYATEESQQALILDSFDDDRDELALSSPTRPSPSPRRPPAS QEMPNSSQNDEDLPSLGELFKKRLNDKTTTYAKRKGSPKQSSRHKAAKLRQLVRATEV PKSPQAQSLDIFDIPPSPPHTSPAFYSTIGAGDFAKAGLGVGVLTPKPSSVIASRDPT PAPATFVRHTVDLTNISDFGSDDDPLTHEDADRESRSRSASRSPKPNMAVEKRRIKGV LPASWITLDQQVPNSKTRRLVHRSPERSPDKAKRKGVAQRRQLSTKHSSNIPFILDDF DDDDTNLRNDEISNILETVDVPDFEDDAGSVVEENHIDHMLPGRKRTSAELEPSVRPA VKRLKTQKAFNGQSGVRKRQQRITGMLDTPKSDASARRSRPMQTNRRPRPSRNRRVAY HATPPRLSIIDVIDADAPQFLKVAARAANRRKEKGKSSPTRKYINLGSRQDNIDVLGV LHDWKTGKLRSKLPSATSVQHTADPRPLQPISDNPLYQPRVSMGNMHSLPTNRFSQSK RLVKQATIDGFVDRQAGVHESRPRSLPGESERTATATVRRLLGRSKSQGSSLRPAQLE TASNDQVNRRLFHARKKILDNIYRRSGKTQSSAASIQLEHAFRGQAPQPELPPPRETA IDIDTHSVHHKPRARQRKLHRPRQIDIAAPQYVHANDPLPEEQERDLAFEPVGVAEHI SDNKLLGLGPFGSHYTQHFEVFPLDAGVHFHESTILGQGRISKALMGKESDIMTKPRG SRSLILGEQVLQWDTWTANTSSEFGVLFDWIADKVQDSSKSIGNANAPTLTATQAADF CVDYVQENLSFPDLESGRLFVRRILEVISGFLSRLPSSIAESSDLRQIVEVLTRALLV VLHALRICEALNLTEALQVEDLLTKTAKQTAHALLQSDLRELVTFHDELQVRAARSRG VRNENYSIIGWVTLIRLLQDCRIPRASFWDVVSSAMLEPRITSLNDAQTFERLWHTLF LLLPLGEFDNAGVVVPGFRNTSPLEGWNLPQKLLNRVFELYKVNQRQSPSFNDYCRSL VSRCHYLVEQWGWRRPNSVIGTIFDFFAAQNLSHLRNEEVYKSPDFLERLEGPVSLTV QPEDRCFHIFLKLVGLSIQRLRRYGLIKDVKNLTARLLPNHDRQYLKESDIHENDLAS LRNHHDLLCTLFWCSPQDLRPNLQMLEKLVIPGSSHKEACLINLRAWNQLARFIASAG EDKDIYKPFTAWQNNVFKQVLDQYSSVESDIQQQFLRMSKDASNGVSPELVKRVINMN KQAAIDVLHFSLKANLDVMRHARSLAAASYILNTYQLHEVFNRMSLAKPDFDWNTLGV AVEIVDHYVARIENFMAVTDISHSDDSWHGEDALMKLDRHVAIPFFSMARTLLADAEE KTSSLNGGKPTCLARTTTVAGRLAALFLRHGVTRLVSFFSSGKYGLFEGPSKLSLHAR RYLCLFMKILIERKITDFKAINVTPLEVFLCAIAKPFDGLAYEYQLADALKRQGDDCF KDIIVPTQHPDYGINRDLFDRLLSLMRRTLRFAAASQRQHIQQEYSKALKSMMEQMKM DLKAIVHDTAAHMTFMQFVRSIIPLIKKHDFCPVDNFFYQISHEFSPSAQDPRLQTAQ ILSYGLKLEDGDVRSVSTLFYFLYSNFKIAMANGKLEQERAILRKGIWNPHIFSFMLD RMFPSIVKSVSKVPEAWLLLDTYVGSLEDLLDGYLESEESDRPYIYRSIGQEDMGSIL ALMRSVSAAINELSSLQMTAVGLDQLRLLSLLVKLLNLLGPSLVAFLCLPENSRTVTG RALNREIESFTDFTRAASEYLCGIIQAAATGEEVRFRIDPLLLLEEMQFYSGDPNLNS NEHIGNFSKHIEDDIQRTWLNTGSVISVRGPPKPTAPSTQSGQGTAVPSRSIQDATHE LYEQLEGWNRMFDQSPSTAWKRRPTVPDEVFLF PFICI_09005 MSTILIIGAGPNIGLATAMIFASAGYQVAIASRTKTSDSYKHFF FDASKPETVPQLFAEIRKEFGDPKVVVYNAVDFGEADNEVFFNLAYSRTATPENPFSL TLEEYAHDTNINATSVYAAAKEAVEGFSKTGPGSTFIFTGNKLNVAGFSHSLVFGMGK SASAHLIRAANNSFEGKGYNVVL PFICI_09006 MTETAPAPEDAAAARAAEQARLRKERREAKIKAGGTARLNKITG LGGGFQRDAAPAPSPEATTTTTTTTTPPQSTATAPPSSSPSQPSRDQGSHDDPEEVDI SQHFYTPQQRSRPAEPSLSDAQLRQMMLGFEGPGAGGAGTPPPGGNPFLAPGGGMPPG MEGMEGLADDPMMKMMQQLMGGAGAGGPGGGNPFAGTGLEGLFGGAAGGPEGLQAQQA AAVTDKSANVWRILHAVFALGLGLYIALSTTFTGAKAERDFDTLSMANSGTATSEKDG EFASFATTDEAARSIEQTRAYFFYVFSSIEAVLLTTRYFLDKNRAPPTGIVWTISGFL PGNLRSGVRHALRYGEIFSTVRSDALVCVFVLGVCCWVRSA PFICI_09007 MTSPLQPRPSTARQRWDKDVPTLLRPLVRAYILGYATTVTPRLI TLVLRQYVTTKKARKARGSSGADSSGPSSSQQPALSTPLLHILRGGLELQRFPTFCAL LVGGSSLLEFPLSAIISRLASRLKPLARQRLARFLSAFCAAWYSLKLLQSKHSDAFTE RATVQSDDAQPHRLVEDLTLFALTRALDVIVGELWDRRHRTKRRPWAQLDTAISKLTD PSIFALSCGFIMWSWFYYPSRLPRAYSQWISTAAAVDARLIEALRRCRKGEIRYGEDT GQAPLLEAMARDYGWPVEWGDPAKTAPYPCEMVHMGCGPSCEYHFISRFARSFKWSMT TYLPLNLLLVARSPRLKAFKKALVSASRSSAFLAAFITLFFYGVCLARTRVGPHLIGK DAASRTKIDAGICVGTGCFLCGWSILLEAAGRRKDIALFVAPRAMATLLPRRYHLDKQ WRETLVFSLSTAVVFTCVLENQTRVRGVLGKVLATVLVP PFICI_09008 MGSSQPAQGAGMPFGYVSRGDDLFFEPPEPAPGPALLSFEESQS LNHLLEQLKSGGPLMDMSFGEGLNFSDAWQQLPPQFMGTATSFGHQTTNFSPTMFDFT SHISSDSLFDSIQFPTMAAPQMMQPPRHHIRTPHPPQHSQTSPTSAAAVLAGLQNGLP PRANAMARSAMLPSQTPARTTAHPLLRTQGQYTGRTSPVRAAPVQSPVTEHRDEHLFA DMAFGNPHGPSSHRVAQQVPEDVRWGSDRNFGRNFVPPSQRETTQTLEGERMKYLEIF QPINSATTTQPPSPLGSGSSSPRGNKANGQPAISREEGPSKKRRKSDEGNDEDEEVTA SSSKAPARKRKSKDNLNETSEASSSSAAPGKRRRKSAVNGAKPPRENLSEEAKRRNHI KSEQRRRHIIKDGFENLQEIVPNLKNGGYSKSTVLQMAADWLEELTQGNELLSS PFICI_09009 MSPDRGSEADAPSQRPHHATDGMRLLPEGRHFTVRGVAVGLLVG LVICFSNMYFGLQTGWVSMMTMPASLLGFGIFRTLAPHLKFPFSPVENVLVQSVAGGM AIMPLGCGFVGVLPAMEYLLSSEEQGPISLSLWKLMVWSLGLCYFGVVFAVPLRRQVI IREKLKFPSGFSTAVLIGVLHGKNQPKGGMVLDPSRADTFGSLALENRPLLESEDAND GNETTPAPATTADEESLAQPYSWGANIKLLLITFGISGFYTLCTYFFPVLRSLPIFGT YAASTWLWDLNPSLAYVGQGIIMGPATTLHMLLGAVVGWGILSPLAKHMGWAPGPVSD WETGSKGWIVWVSLAIMLADSVVSLSYIAFRPLVQNAPSYVASVRQKLQHGSVKGLFR SQSTGYTAVRMSEDDPRDSTAGRNSLSQLLPNPTFQQDDDHELREWDQDDAPPEQLVG SKTVSIGLVLSILICVASIHITFGDLVPLYANIIAILMALVLSIMGVRALGETDLNPV SGISKLAQLFFALIIPQSNKASVLINLVAGAVSEAGALQAGDLMQDLKTGHLLGAAPN AQFWGQVIGATVGAVVSALIYRLYTAVYQVPGDLFQVPTAYVWIFTARLVTGQGLPPL AREWAIGAAVLFAFLTALRTKGLGKSWQVYVPGGIAVAIGMYNVPSFTLARTIGGLVS WYWRQHLKREDTPLIVLASGFVLGEGFLSIVNLILQSARVPHL PFICI_09010 MPKALDNLPLHLLELICEFIVAHDDDDAYSDKGAGVDLYAFALC SKTCWTAAKPQRFRQIQLWLSAADPCADGVAPFGFRQQHHIQRWVELLDKYDGWRHIR RLRVTCGPDEEARKQDPVIEWDSRHDFEVDYFLKPSKITNNFGLDDDDFGHGDFGHGD FGHGDFGHGDFGHGDFGHGDFGHDDFGHDDSGHDDLGHDDLGHDDLGHNDLGDGDLGD DDTSSHLYLRDGDTPSAFDLRDNDTNLVHLLPTFLSRVTVLQDLVWAPSQIPSRVVDM LATQFPQCRLHMHRFQLGSLWMHRDQVPQPISSQDWALVTSPNLCTAVAYCNSSDHKG NLGFLWPAVMDMVAGVAPSLQHVTFRPGPASAALGLLEARYGGRPPWAGFHPDLASGS GDGHDTRNCEDASRGTGEKTRGALRSLVYRQAVTDEEMLQYSERTDLTKLSHLTLRKT ASQSLPLPTLAAQARAGEFCNLTSLCLQQMGPRGEHLGALNDILENIGPLKHLCLEGF VGNNSFNIILRRHGKTLRSLHLDSRGLFSENDERKPSELLRMTAAEVQRIAEFCPYLT EIEIPVSRSHGDAREVALYRALSQLGRLKRAWLHLCFWVGPDENASDDVPEEDMYQSW ENAAVTLPHLKDAIVDCAIDGTLARSIFNVISDEGNARSTRSAATTSFSNLRWLRLDV RREIGRFATPGYEEPDFDGLLDLFTRSWVVERREESHDDKDGEVLSQAASPPSQNASG IVVTGLDEERAQRAAKEDWPEHDEWQHDSRKTFKTAFEELWQPDMNKPQWWNDWRSFP LQMSE PFICI_09011 MTILLTGGSGKTATRIAALLAADKKPFLLGSRQGPLSHAASHDR EKPLIKFDMGDESTWREPFSRDTHIEAVYLMEPRISEPWVSMNKFVDFAAENHGVKRF VLCAGMSAAIGKDGMGRVWEHFIQSGVEYCVLRPSWFMENLLEPGSAFTISKSNKIFT ATQDGKIPFVSADDIAKVAVHALTVEKSYNCDFKVLGPENLTYDLIAEKLSKVLGRRI EHVKLDEASRIQGLVQAGLSDYFARFLTRLELSASKNSEMATSDAIEKVTGHAPKSFE EFVEENKVIWSNN PFICI_09012 MWSLVFFAAGALGQAGIDYNSAPPNLSTLANGSLFETWRPRAHI LPPFGQIGDPCAHYVDAETGTVHFGWLHDGASGATTDDLVTYKDLNADGAPFIEAGGL NDPVAVFDGTVINVGVDGKPTFLYTSVSYLPIQWTIAYTRGSETQSLAVSYDDGKNFT KLEQGPVIPGPPFAVNVTGFRDPYAFQSTQLDTLLNSTDGTWYLVISGGVHGDGPSQF LYRQYDPEFQYWEELGQWWHEVANTTWGSGNWAGRWGFNFEVSNIFTLDEEGYSDDGA FFTTLGAEWSLAPIVPQVSDFRDALWVSGDVTIEDGEVKFIPSYAGKLEWGHSAYATH GQVVRADSKPSNASGAPDRFISTTWLTGDYYGTLDPATNQQNWTGALLLPRELSIGKI CNVVDNELAREVGSWKTSRNESGVVDLSTLRQTIVRESLAALLEGESFQEDSRTLNSS AVIPFQQSPQSKFFVLEASITVPQSVRGGDVKAGFQILASEYESTSIYYQFSNESIMV DRANTSAAAETTNDIDSRNEYGRLRLFDIAQDGEATVEVLKLTIVVDNAVVEIHANDR FVLSTWARSWYASSTELRFFHEGEGEVTFENITIHEGLFDAWPDRAY PFICI_09013 MVKLIDLGDPSHPHLTKSRQNAAVPFLQLPIEIRLKIYEYALAK AIVHVQQVKERSNKFRWRSDYLDALQKNNVVITDLELVSRQIYIDLQVHGIFYRVNEL YCRRPEDLLTFLAAIAPERRAFLSNMTVRIDEDELAVLFPETEDAPTRHQGASLQHAL TLLSQCQGLRRLKIVIPVDRLGLFTIIPVVASFLRRLVAAAASDSPCPSLRRISFIKP VLYFSVIGYREVNLFVDLSDQQPLRAAVADEPQFHPAEQELLNNAKQAVASLREYWQR DGNRSNETVTQEQVRQATIFARVDFPGEERTTLDRINSSWGQISSRTRQKCKKDLIDE HGVIQRARRKYDGEGILTWSKLDIHGIRWSGPNIEVDVENTSLKSKNGLRERSWEPVE AVMSTDNQGKILRHLKILFVKNDPANDSPKNDPSATLQRLREQPTPQDVMDTFPGLFG GSTPEGKWRKHAVGSRRFPKWQIELWERGQQLFLETVDHLEELVRQKEVEEKAGEENE NGEGKEEMQTIHTSENRTADDGPTGSG PFICI_09014 MADKITLHAAITTRHSTRVFLPKPVPRSILDEALDLARYSPSNT NIQPWRLFVLAGQPLKQLKTAVFAAASATEEPLRAIPPLPAHLEPLRKALGAQVYGAG FGVEWSDRIGRREAVLRMFEFFDAPVAAVVCVDEELGRPDALSVGMYLQTLLLALTAR GVGSCAEVAVAGYADLIKQEVCIPDNLAVICGLAIGYEDPEHAVNKIRPGRLPVSETT VMIGFENQE PFICI_09015 MSHLRYAAYSKHGEGAKDVANMSQSARYPLSGEVITISGQGGWD RETSKVSEDLKEEYDRAFDNVNHALIDIGGKGMSQVYKLVIYLAPLQDEAQEFLFENL KKWFPDHSPLVSVFGIEKLSLEGMRIEIEAWAHVPA PFICI_09016 MSSTTAVSTTATVTAATVQALTTEFKAPTTCYSMQLTQLSSPGW EIWLNEPQPVPGTVYPDCYPTEFIDGYTSIANASSSIAPMMSPLVAPENWVTAYEQDN GYIALCPSGFLIHMIDDPIDTNRPAYGATCYSDFTAGHTVTVSGYDTQGFTGTNLFNP KSTDQAFAHVIEGKKLTSSITAAATTTTSDSTTSTAQTSGASATSTSSSDSSSSSSSV SGGTIAGAVIGSIAGVALIAAALFFLLRRRKGPAASAPEAGGQGHSAVEMGESSTAQG SPYQENYAFKNAAIKDYSTAPSHASAISPTSPYGQMHYGQAMSDGNYAELPAHNLSEL ETPPGPTSASRELDTGYMGSELHSNHQNHPPSSPH PFICI_09017 MDPLSLAASVAGLISLADVVFRYVYKYGKAAAGAKQEVLALSQE INNLSSLLRTLEALASDLEDGGDEFNPALRSHLLVNCKDTLEKIRGKLKKATDSFQAR SKLSGVTRQLKWPFAASETKELMVEISRYKETMTLAISSDSMRQLQLSLAKQDEHGKQ IAAIHTITKRIEINTQILVNNEKRRVLDFFMPAAINPQSNLEHNIRLRHPVTGMWLVE SDDLTKWIQTPGSKLWLSGIPGGGKTVLAAAVIQEALARGSLQHGVAFFFCDYKNEDT WKPLSVLGAIVSQLARQKDEAFDMLKAYFDTLHPERGLEKAADLEELRLTIAKMAELF DQVTVIIDGLDECGDQTDDVVDSIAETAENSHQITIALFSRDEINIRLRLEDEFKHIP IAATTGDIDLFVGAEIQQRVQAGRFGTLSTALKDEIRERLVEGANGMFRWVVCQLDYL GEFATDTDRRQALHELPPTLHGSYMRLLERLSRLPERIQSRVQMCLQFIASSNHFIST IGTLRQAVSDFASTGPPLVETNLVSEREIEYRCSSLIRKSKDGNSFEFAHFSVKEFLE SPSISENPKFAGYHISKHRYQQLLTSQCLKLVHLSNVYEQQHGTPPDFLIEDQKQSGL YRYACSHWPYVIREGLEDPSLVQLCHSLVSSTSMQTINSTSIQSNLPFSWKIFFKSAF VEYLRSRYSSGSKYQPIRDRIISEGKPEQWFEPLHLAAALNMPEVCLSLLKAGANPNH RCPYGTPIDLAMRAILAFMPWDSAWNEDEPQAMGWGRPQKHWKVIKLLVPAVTQRNRT IEILIQAGSELSHDRNPALDLGKESIFSTVSVIASHTKDFNPVVRLMSLGITPSDSEL DALEGYLKYWNIEKSIQGDSQVRDRSLFDLLVYLDSTGAYDSAWGIRMGSIVWSTASA VGSAFTKERNLGIIDTRISLSKDGLVQQALAAIRRDDSTALKTCLDDNRLDLSQVYTN RADVNITMVELAALANAVGCLEVLLDFGSNAGIDHTSAPSTKTLIRRCIRYQALGSLR ILVDHGASLLAVDSDGMTIFHICANEFGNSNVGFFGDVLEIDPLATVAGLVMRMPNGE TPLDLLLLRNKNHKEIEQMTLLLMDWCDKIPKFWLEDHQLFTNAAAIGSATIIRRLLD MGAKPEPLGASNFLPLHNVNARATLEFVRLLKSLHGEVACAVRARPDMCLPVELYIMH CVHDAIHPDEAIVHELLTPEVITPTDPTMGTLWRFCCETIPVSALKWTQKAKLDYLDE DERLSEQLLLMLQRLGCMDGYEKSCSQSALIPLFKGVLDGLESDWFSNPTLCISPNEP GGITQLAFDSTKYWDTAKTSPTTIRLFKQAIADGHGELVSVLLRRGVDVHQRQDGVSA VEFLCLESQVMTNHATAKGRGMISEVLDHTNLESLNEVEFNKGRLGLLHMITSCAEPG PAWLMSELVKRGVDIERKCATTRLETPLLYHLHEKSFDVAQLLVELGASYGNRMSIDK RIDVPQLAAYRGAHTFLQFLLAHSVKNSIEIVWDRPCEESFEVDKDEDDHKDVTALHF AAEGGSVDCLQLFYDKGLLKEFEPRTSRGWTPLHCAASNDSFEAIEFLVSKGANVNAL ANDKSSPLHIAVRCGHLDSVKTLLRLGAMRSRDSQAMTPRAYAVGYSNRDIIVVCLDE AFGPESEVMPSTVPWSGMKHIFQSLETAIERDDVEECKRLQNLGCPLNASMPSCHGCS PLMVSLAFRSRKVAGWMLENGASTLKVKCDGHSDSGNYATEIAAETKELTTVLPHFLE RHHDEGGDLIFGDEYPLHYAVYNKNIAAVELILRHVEDNKSYMGRRAQLDPELVKSTI INRLGMVEDKDTTPLHLAVENKDLDMISLLVENGARIDALDDVFGAPLSYTSSEPVAI RLVELGASLGPLYEDNSLFPKLFHRRATKHDTISSLEEPIFSGFQGRYLWIYPSSTPF QMDAALMLTSRGHDINHDRGVGQSLMHELICGDTSSSLVLHNRDLGLDKTTPFPWHLL PSPFVDIAFLVTRFRHFRRLLSTDDFTRIMNMQPDRGISPLCWAARMNRLDIMDHCLE MGANIDFEGMPFGSALMVACSYGQLDSVKFLVRRGAQTSYIGQNGPVNVMKRTQSTIV RQWLLVGRFNEQKRITSAGYETAANWSDCVLRPWSGIREARLMLTGRREMLPHESSFD YAKKLATIRRDMRGKIVPDLIR PFICI_09018 MELRKPTFRFDHGCKYFQEAGSNDEALPMFRYKEVYDSSVFAVD TFGDGSWIDTSIPSQCPPACACHQLAVSPEEESFAFTVTKSKSIFQVQIHSMRLRFEI LEKFVSVKALKEAPIGDGFGAFDGFALVKCRPHLMQRLDRLRQRLKSSSQSAIDTREL RLVSEMYLLVNGLLNDTEITQPFHSRGPSRNLHPQQCYDSQQWGRFSNAYMDLPSQTN VPHEQSMWFGPLESDDTYGRPQYTPQDVHSCSVNSYEESYTVHNEQSFGSLSLWKVGD PENGNDDNHPDDRQDHSQSMLNNPPAIESQPSLTNVINNPRSESLRIAASRGDMEAVC QLLDAGADPNSTQLSPSSGPALYEAALAGHESIVRILINHGALVEGISIGSRTYHPLL AAIRRRSSKIVKLLLSHLSNANLNEVNLLDICPSQDRWVIARNLLDCGFTPESLTEQA VELSDPDERLISVLLDARADSKILENILFQRGHEKLVCAAKDQISRNRRLISAVQRGC QREYEQEIAAGANPSWALSTSLRSLQPDLAGYLLKQGADPRLLSFRGDLAGEVGKIRL SYMLAQSTHFNDKQLLDVLLDQGANVNGLNFENGKSILVEAIEAESYACVQHLLDRGA LVNKQDWWGTIPLRAALQTGKSEIVVELLQHGASFDKNSDESTISSDCTDQGDPAYDG LYTHIALQSRYPKRSLWRHPSPREPHIRRTELYKGDPESPEVLVARSNLRNSVLSLNT GFRTPEEALFDATCKGQVALVQNMLECAADGNGCRNAIPSRVLSLDDSFGGRTCLMQA VESGHLKIAKALLQYGADVNIMSSHETALSIAAKSGIESFTRLLLQHGADLLIAALML KIDLEWTARPRAIKWLDIMAKRFQEDILFQQLDTKREIALLHAEFLREHEHMRRTASF SGDTDMSRCADMSEDGKALDCTIRLEISKRWAYSLELNSRKAWAIGRVTLKRLCRRML PQDLNQTLLFLALAKSMSRVLRSEGQDDCGEEFDQDVERWQILFKTTDQLAFQQAVES IWGINLAGSHPDLLIPSEQDLDPFQAMALHVLQQTERFHIGSESGDVGLMSVRASWKL RQGENLQPSDGTQTNSGSSPDPGNKESTSEIKCHETNDHVSDGSEDHAVPKETPNHCL LDHKDMVLAILMAGTIFTIVIGFFLAVGHSLFDTSRPRGDTPGNILLTVRQESLKIIA ASVLVETLPHKESSDREWEGEVLPAVRKQTNEAIETGQINSSAKLAEFFVKEIEHRFT GLGKALAASCHRKRYFNVMQNAYSMLQAFLGLPITAISPSSLKQPTDESTDAKSRRKR AVSECSETERSPKAARMSPSTLNLPNFTPSSDDNSSTVLSSKSASANIHGKVTFCVEC NRDFKTTSNYGKHRKGPLHDNKRYPCAYDCGRHFLRNDIRLRHEKKAHQGIMATKPQL PASFSS PFICI_09019 MSSAKRGHKSSKSSEPRPMLDIFNDQLPTQDSAVYGRFLNYSKL DSVKDAQDRAGEKIKAFEDAMRCEKPEVSKHSDAHKS PFICI_09020 MSAHTSSPELWKTLTSIEQISPRGWPRYLFPFELGDDYDLKEVT RVLREGWSATCRRFPILRSEAVPDLEAKQGGLLKIRELDLEELKTIQPIIVKDFQAPG AFATFSELKAKHFPVAALDENVLCRRYTWPSPEDRLPIMLIQLNFLRGGMIINWNPYH AVGDGQTFFTWTKVWAEECRRAQGIPITNPAHIPDDILTDRAHVLKPRFPGPDHAGKL ENHPQYAIMTDPMKQLEKLLKRDGHAGQIFYFSEESLARLKQDAYPLNPSEDEPSYIS TNDALSVLAWRSVMAAQFPLDEIEGDPITTFNIAIDGRYRTQPPIDPATLGCFVMFLE VKVSLRRMLETEDLRELAALLRQAILAANDYPGGLTNDISALVDSLPHVGLIVPGAFL DSPGLGCSQTSWAKLQLYGLEWGPLLGHRIASIRSPSCGILNGMQIMMPTLPGGGREV LCGIEERCLERLINEPLWRKYAETR PFICI_09021 MEIDPVILALFGPAPSDLDLSEDPAKSNSALVAVLFVIAACAVV LRFASRRIADTPLQKDDYAIVVALLFTGGSAGMSFGVGRFGAGKHVWAVTARDLSTAS KLLFAHTYIFAAAVTFTKISVLLLYKRIFVTGTLSFSICIWTAGVITCAYPLTLAIGM ANCCKPVSFYWTRFAGNTEGSCPLDVGTFFVALAIINVFLDAFILAIPIPQIVRLQMS TRKKISICGLMLLGSFVCCASCVRIYYLHEFATATDMTSLMGPASVWSAIEPSIGILS ACLPNMRPLYIISRRKSSPSYAAQKSHSNQDPPSVPGLMTWGRGDPKKSNHKKDGFTQ VQDEDEMHLTGPEVPLKCLVSSGASNADMYVDGIKVRSEVHVQHDSGNSLPKG PFICI_09022 MKAILPGKPEASLQGVSTGYWDSKRVIVYISGNALAILSDPETI LQTIYDDDERTLDAVAFDEYSGKIAACTGSTVRIYRPFDGEQRWFLESTFEIEDASTP ATLSWGSSEELLVGRRSLRLYDTTSKPPACHWKKDLATPAKTAVLSYDSAYIASVGHN DRLVKVWRRLNFGSEDVHFDFGYLPHPQAVTSIQWRKPFHVDQTIENVLYTFCADQYL RVWTGADGHGCETLRLWGKLNLATAIQDETLRQDPRSQSRLAFIISQRDLAAATETAV QDKDDGVEKDVALQYLISIANRDTEICMVLDGHGNMAAYALEGVSSKSQSTNHIDNVA LTKSRDLDITKGFDGELPHIEIQSYCNRSAGHLHVLFHHFDGKVEVFESNIADLLDPT SRSGRLHHRATWSGHSASVKKIVRNFSGRSIVSRTEGGESIVWKHAAHPRDTKLLRHN VIAEKRHIHRICLLRGGSFVVFLHHDAICLWDCRHSEPRLLAEIPYQVSGNPLCLVVL PRHSVKEVDVAHIATVTSAHRGVVWQLNLPIKAETNGYKPQANGHKAEESAIQEFCTF NLEGTEDLAYVLPVDPAGSAPVISGFLDVFARDIAVSYTHEGRVEFWTARVDRNRDRV EWLSTSSMETGVSKPALVSGSTMKKAALVNSSRSEVTIWDIKGAKLEYAQDFESHNTV QDLDWTSTPDSQSILAVGFQYRVVLLSQMRFDYLNKGPAWAQIREISIREYTPHPIGD STWLSGGNLVIGAGNQLFMYDRKFETHSSLVTTARLPPRKDGLRDLFEVTQRLNGPLP VFHPQFLSQCMLAGKNYQVKRILLALNHLLKYYVEGDVIDDHLGMDLADFYLGDSASR PNGNDPTSYLMRRMSIDDSEDTFTEDTALAICERLQKIGLPQLSGHEQIQLIDIVECV GMVEQQRRSMDENGARFMLFFRQHALHKRRTNEIHMSWREINWAYHSTSQDILTDFVS RQHHGSMLWENARESGIFMWLTDAAALKAQFEVIARNEYTKGEFKNPVDCSLFYLALR KKTVLQGLWRMASWNKEQRATQKLLANNFDDPKHKTTALKNAYALISKRRFQYAAAFF LLADHLQDAVNVCLNQIKDLQLAIAVARVYEGDQGPVLHRLLENEVLAIAAQEGNRWL ASWAFWMLKRRDMAVRALITPVYTLLETPVSPDLKAKLYLTDDPALVVLYAQLREKTL QTLRGATKILPRTETEFVLHNARLYDRMGCDLLGLDLVRNWEFLRTPVKAETGLGGGI VDPRKLLRRRSSLVVADIPMNPLGEMKSVNKEKQQPTVFEEPDAGSLLDSFGF PFICI_09023 MSPDSSPVPSSSSSYVALSVAGDGDEYSEEELQFIWDTVMRAEA TLPQLPPSSRHPTNALFRAYGDLLEERNIEPIDGAKLDKLLFKIGGSRDGNTITERFQ AVMAKMNITVRLDLDDITDDEFSSHSDISLQSNDQGHYISSEKRGYLLQPTRGDRLNR LEPLDEPVADNRDLEDEDVKEQVLEESAAAFEEHRDRIQNLHVLEQWQDKAYHLKNQA ELFVEARVEDLILQSQDVLVAWNEIAVEVDEMPLDDLPPNVYSKRIEKIATRTHEIKT SKNLLVGWRNRTQARLRQQDEHQRQWDEQRHQQAVEDGLFKDDPKLVRLAQRTHENLS KSRILAHWSNRAAEEEEKAEVAKRAHEMSLKAKAFGLRPKPQIFASLRQRLQEKVIAT KQVHEVDAPPPRPNTTEQFRNITEEGIPENRPASKQSSDPAVHQREADAGGHSAVTHG EMNPPQKVMLSTPHDSDMRESGVQVDQGTAIPKDVERKDDESSLADELDERTLLAKRH LMRMRFFRAWEEYTSKHTKMVEEFATNKALESWYDRSCQMAANAQEGTQEHSRRRDRH LLGQWRRPDERQKKLEAMALDFYRKQRLNNALAGWRAAAIQERAKVQGFDQMSNLADQ YCRTHGTLQAWKARSRESAVRTTMKQDALQAWRKDCAEQQRRREFLEYMTQRVDLYRI KEKVLPSWRGAATGKATREQQLRTWSQRADFYSLTTQAITTWRATAKAKRRARLRETY LEIRRRVKKAMGARCIAQWHKSSEARRHHLDLVTEEFAKYHDWNAKAQSINTWRLRAR EKAEMEAARHTEAQQQHLRTWRTCNDSLLQLQIETEEHFQDKAMSRAVKEWKLGSLQL ESRRNASERHLNRQKKQLKQGFELWYTKAADKAQRTPAAEEEPTIQQPEQEEVDFIST PARPRLFMGPLTQTTTPLAPIPQRQPWSGLRGGPGDSLLGRPLAAPGGTASRSGRSRR NLRVYWADRG PFICI_09024 MPSRTRPIQKFAAAVSQCSAEASVYGKCIVADYNSVHKDKCVKE FMQLKNCYLVTNLPFNPTS PFICI_09025 MSIDFPKEELAIIEKWREIKAFERQVELSKDKPPYVFYDGPPFA TGLPHYGHMLASTIKDIIPRYWSMKGYHVPRRFGWDTHGLPIEHEIDKKFGITGKAAV MQMGLETYNSECRAIVMRYREEWRTTIERLGRWIDFDNDYKTMNTDFMETEWWIFQQL WNKGSVYQGHRVMPYSTALTTALSNFEANQNYQDVNDPAVVVSFPLKSDPNTHLLAWT TTPWTLPSHTGLCVNPDFEYIKLLDEKSGNHYIILEKLISTLYKDPKKAKFKIVEKGI KGKDMLGWKYEPLFDYLVPEFGEVAFKVLNATYVTDDSGTGIVHQAPAFGEDDYNVAF EAGIINEKRPPPDPLDDRGVFTDKVPEFAGMHVKEADKHIIKHLKGTGRLVVDSQLKH SYPMCYRSDTPLIYRAVPSWFIRIPEIVPQMLKNLEGTHWVPTFVKEARFANWIAGAR DWNVSRKRYWGTPLPIWASDDLEEKICIGSVAELKELSGYEGDITDLHRDKIDHITIP SKQGKGTLKRIEDVFDCWFESGSMPYASVHYPFENKESFAKSFPGDFIAEGLDQTRGW FYTMAVLGTHLFGEIPFKNCVVNGMVLAEDGKKMSKRLKNYPDPSLIFEKYGSDALRL YMISSPAVRAEPLRFKENGVKEIVKNVLLPFWNSYKFFEGQVALLKKVENVDYMFDPK MESTNENVMDRWILASCQSLLQYVNQEMAAYRLYTVVPRLLGLIDNTTNWYIRFNRKR LKGEYGVEDTKHALNSLFEVLFTLCRGLAPFTPFITDTIYSKLLPHIPKELQAEDPRS VHFLSFPDVREELFNPVIERQVGRMQKVIELARVSRERRSIGLKTPLKTLIVLHRDQE YLDDIKSLETYITEELNVRDLVLSSDEAKYNVQYSVTADWPVLGKKLKKDMAKVKKAL PGVTSDQVRKYSEDKFIVVDGIRLEEGDLVVRRGLKEDENSKNLETNTDQDVLTILDT ELHPELAHEGVAREVINRVQRLRKKAGLVPTDDVKMEYKVIADPDNIGLEEVFVSQTA TIVKALRRPLDKHDITQVDGQIPEEKQDGIIAEEEQEIQNATFLLRLLKL PFICI_09026 MSFLFGRARTRTNTLDLSKQAREHVTKLDVAGKAEELAKVLSQM KLVLQGTPESDSTPEQVYQLVQGLIEEDLLLLLAQNLWRLPFESRKDTQVIFSYVFRF RPPTASPKTDPVALSYVVNNKPQVLLELCRGYEHKESATPAGTVLREVLKNEAAAAIV LFDDGEELGSSTKGVTAIERDRLQSGSGVFWRFFDWIDKSSFEVAADAFTTFRELLTR HKELVPRYLAVNFELFFDKYNHILVQSNSYVTKRQSIKLLGEILLDRSNYNVMTAYVD RGEHLKICMNLLRDDRKMVQYEGFHVFKVFVANPNKSVAVQKILIMNREKLLNFLSHF LEDRTDDEQFIDEREFLIKQIRNLPPNPVTPARQMHQGV PFICI_09027 MSAIFKSANTAVITGGASGIGLALAKRCAGAGMKVLVADRDAAS LAALPSVIPQNTPLTAEMDVSNPADWTSLKARVDAELGGRVSLLALNAGMGARTSFDE GSAVEVDKWQAVFQTNVFGVVQGLAHLLPLVPRGGGGGGDEPAAVVITGSKQGITNPP GNPAYNASKAAVRSLAEQLSYSLRGTSVGVHMLVPGWTWTGLAGGVAGGIRDNEKGQQ SKKPDAAWWPEQVVEYLEAKMNEGQFWVLCPDNEVTEDMDRKRMLWSAGDAVNGRKPL SRWRDEYKEEAAEFMKKDL PFICI_09028 MQPSYRPSYPPQQQQPPPPPPPQRASHNAGQRRGGIGPMMSAGP GHHQVPMTQAQVAQQQQQAAMQVEMAKRRSKKPTDKNLPDAIDDCTIGDVAKRYREQR DFERRLDATMTRKRLDIVDSVNRSVKRHKTLRIWVTNTTEDQVWQSNGLNNDSFDFSS NTEPTYRVKVQGRLLEDDDDLDKDDEAGDEEKGDKMDEDGDKAAKASKAQKYRFSHFF KSLTVDFPANRKGGDQPVEWKKPERAGNSGNLPASADFDELTFKRSGDENLNITINLF RHEDPERYALSPELQEVVDETEATRQEVVMAVWDYIKYFGLQEDEEKRNFRCDDILKK ACGIETGSIPLLQTYITPALKPLPPVKLPYTIRVDEEFHKDPQPTVYDVRVSVESPLR EKMSAFLNNPAYAGMLKEVATLDDQLATLVQAIHVSKAKYDFLTALSEDPANFVKDWL SSQKRDLEVVMGEAIRGGEGPSGDEWRKGGQDSVWGTANAKESVSMILAKQPQHVQR PFICI_09029 MSNDLSLSRALGGLRIANPDDATTSPTRDTPNDSEGPSAIDSIA PQALSQTQSQSQSLSEPPTNLSRSQTQDSSRSGIEADSSNQPSPYAHNETLAYSSSTT SRPASNLYSSAERDQAANSYNILRTEPSKASMYSIPTRSDSRANPQYSVDPSNIPTRE PSHRDRSYNQNRPIHLPVTTGPLPPRRSSKGMGGGYASIPGVPIAPEPKGGIRMPISN SSEEWKDKGAAVSVRREVDSHGKTVMKPVKKGVRDFNFGRILGEGSYSTVYLATDRQT LKEYAVKVLEKRHIIKEKKIKYVNIEKDTLNRLTDHPGIVRLYYTFQDESSLYYVLDL CTGGELLGVLKKTGTFDEECTRYFGAQILDAIEYMHSRGVIHRDLKPENVLLDEQLHV KVTDFGTARLLTDPRLPKTQEPQRPDDPNGKIGNKDDSRADSFVGTAEYVSPELLKDR NACKASDLWAFGCMIYQMLAGRPPFKGNTEWLTFEKIIGLEYEFPPGFPPAARDLVER LLVRDPNRRLTIEHIKNHPFFEGQAFGKGLWRSKAPRLRPYVPPPQETKIIQLGTPSS VPANASTSRLLHQTNGVNGSSRGPGRIITDIPPPSQLDIEWSPVLTKTNERILRLGDL LVLSAPIPNSPHTKNGDDGHRKFSRFFGGSTTKKRQRLVMVTSSGRIVLAPAGGEEKK SKQEISLLAPDASWRTQIDAKGQTVWCVDSHGYHYTFEEAKPANSLDPSRVSANDWLE CLDQARDMALSQNMSGPYHSDSAFGDMSSSMSSPASTLGGNGAYSEGFGVSDRSQRHQ LSKSQISLDDAASTNVKRNRFSKRQSKQGLGSAF PFICI_09030 MLRYPATTISLTIAEVKEYERGRRTNDHLAQASEIQHEVLPKHS IRPAICSSPEQIREIGRRHHSDRSKREKPIATSSVANDLSQQCVLAHRQRMPTRDDES GELEAQSLYSSASTPQRAALAADVPDRSLNVAQMLSMRLPPPFSMGNRVVSDEHATPS MRQSVDRSPGEGAGRTTPATPARLPSLTGHDGPAASPAVQASGMRPLEATASFARINC VSTDDGLTLSSSPHSPWDTPSKPPRVCEERPHVDPRQSSSKIKVYSDDVPASLQPSTP QHLPEARHQSRLRGSYTAPVARAQRRAGHEPGTVRRRHARSPRQTGLETPGFRGLYGG TENSDDLALYQEALHLNEGEADHGT PFICI_09031 MGSFSRTQSPVLVMPPSTAHQAAFGMPVFSHQPSPLSRPGPYTP SAARFQQQQQQQPIFAPSSLSKGRKRSRDEAAINLDVEEAKLETIEPVKEAEDEWVYG PGMVLIKKSQAYVSEAGSQSGTWVEEKIAQEELHKNEEARAFNQQERPSLRSAKSQRL DMNSFSLPSAHQSSPARDTNDAVTATPSLPNTTSQPVIDNFTLHLGIGWSRVNSNEHS EAAARGWARYIENHFPINNVQIQLESKGLQSYLVEASEGFFLFAEDLQRGQFVSRDLN QTFQNLRASPPVFEGLEVMTAAGTPQPTSSQDIDMAMA PFICI_09032 MVSQREPDASQSLALRGAQSVVGTRSSSRPHVSSRSKRYNRSHA GGTSYIPQNEFPWFSASGDVEIVINAGGRENRYLLHRHTLTRCSGFFEASTSQQWSKA TVLPALPEPPSRDLARIGEDSGVSMGSRNSTESGNELTTRPGAGSPGPRKRWRYELDS GAGGDDLPMLVQKEADSSRSGGGGTLTSTTNTAAPTGSIFGGGTGANTSSVRHKPSHS APHTTSSFFRSVANLSLAGGHHHTPNHPQPEDGPPISQADADLLRDYDNLFRIFYNYP PVLDGINIADAYVQCKSLLTLADQYDALAVCGPRVDHHLLQFQSRLWKQIAKYPVSYL KLGFLARSKVIFQEALIHVVGQWPGGERSIRNALPEIVLDIIEDKVDELEETISRIEG RLFRLNLTNSRGERVTPGSSYLDWLAVSFFRQWLAENTSPAPPPPPPTDRRGGSSSAN RPPPAAPTLPSLATVGRTYRLLGSQSPSVYLTHEECKRFLKLTPELYSRDNLRRFEKR VDEMKTAARELVRPLMGTGLELELSNPGKDGTTSSPVAYLTCVRVVERDLPWAVED PFICI_09033 MGIKGIYKEIGPGQRISLTKLAIDHLEQTGRPLKVAIDISIWQF QVQAAKGGSNPAIRTLFYRLLRLLSLHIHPIFVFDGPNKPVFKRNKRSGRGNGVAASM AKRMIRLFGFQSHDAPGEAEAECAFLQQNGIVDAVLSEDVDTIMFGCTKTMRNWSAEG KGKTPTHISMYDTAQLKQGGSGLDREGMVLVALMSGGDYLPEGIPGAGVKLACEAARA GFGKSLCRLKRSDIHGLREWKDKLKHELVTNESRLFRTKHKALSVPDDFPNLEVLGYY THPVVSPLSHIERFRNKSWDNPLDIVGLREFVRETFDWEYQGGAIKFIRVLAPCLLVK KMMQLHADREGRNCEVEDLQREESRLARAITNRREHISTDATPELRVSFTPTEIVGYS FEEEPEEEIAFGRDGIALNSDDEFDGLGDEDGSAAKVGPKKPFNPTEPDLSWIPETIV KIGLPLMVEDWEESQRVKAAKKTKTKVSKTRATVKLGGMQAGALDKFVKVSKDIPATM KGLETLDNSSLACSTRARNPLGSFPATSQKQPVSTQSELACKSTRPSTTHSKQSTRSA KSPKGKGAKAAPPDPTVNPWSIASSRLPSKCNSQPAANTITTSTEAILIASSPLTSPV KHARPRLSREGSSASDRQSSPRSSPSPHKRRSGTPVDGTLDGGVFNHESRPSSPVARQ GVVASRRKLARTESMPADSPRGTKNHDVFASQRAPLSRASTISVEPHLGGFDGSDDDN DDDDFGLPPLSSFAGRIPKAPSLPASPRPSFTMPADAMESITVDSSPVLSHKTRTSLG PKPPATSTVPLTKSKQKTAATTTKASKKQGKLDNTFGLRTTKVYAPRKSMPGFFSEME IVVDETDGKEPARGNSRRWRQSDISFVDLTDD PFICI_09034 MSSSANIPHRTISSIRGGAGSSKEGVPHTPARPHSSSIIGSPSG GRVEEDVIVIELGCRNVRVGFAGDYEPKRIITFDADSDKRVGDLRAWEAGYAEKWRER LAGRPWGFQHELFQLDCRGQDMALVGDKLERGLRDAFATHLLLDSTKPKKISLVLTPA LPLPIISSVIDTIFIHFQAPSISLLSSPVATTFAAGIRSALVVDIGWHETTVTGIYEY REVNTMRSTRAGKMLLEETRNFLVDAIQPRTEATQGSIPTTNDVVDFEDCEEVATRML WCKKAHRTPIQDTPLGLHTLHEQDENEGVDPTEDTTPTPIYLSSCSPPKTLQIPFSKL AEPCEATFFQTPYATATFDDEELPIHLLVYLVLLKLPMDVRAICMSRIIFTGGCSKVL GLRRRVFDELRLLVEERGWDPVQGRGVQAYRNNPKLKRNGGTKIRETPIPSIQTPEGS EDKDETPTLGDPSLAPAEEDPVNSAILKEKGYKPPVQGTLRVIDSMGPWIGASLATQL KISALAAVERDLWMQQGLNGASKPNEIDAQAQQTRMSRQSMGPGGLAKSQGNWTLGVW GAV PFICI_09035 MLENHIWSSRRYSRLKAMEVPLHQPSYSSRKRRRYINILGSVFL LILVNHFYWQQRHKLLLDSSEHERISSKIWQISLPRSQSPKDLAIDPEQLSDTRSWIA MNPDYEYRLVGLKSADRFVDEHFAHDSEVLRMYHGLRNPGLKSDLLRYLILSVQGGVY CDTDTLALKPIDEWVPECMRDRVRLVVGIEFDQLGGSSWADIPHELQFCQWTIAAAPG HAVFTSMIRRAIDSLDGLSRAYNTTLSALAPTSLEVMNSTGPAAWTDVVWEQLQLADP QNLTELKDLSGMTAPRLYGDILVLPIDGFGMGQPHSHSTNDGSIPAAANLRHKFRGSW RDG PFICI_09036 MLRLSARPFVCRQCRLQAPKPRFFHASSWLSSSSSTPSPAEPAP IRPTTAPRPNIDIKHIRQNATLHEQNCLERNYKAQSTYPDRINNLHQQWQEHQREGRH LRERSNLLRRQIANPATIQGEENPETLQLKDMSKEQLLEEAKQLKEKLSVIERNESKL TAEIEDLALQIPNLTSEQTPRGDEALVLSYINDHPEPRPAESDRVWRSHVHIGSELGL LDFAGAATTSGWGWYYLLDEGAQLEQALINFALAVATKHGWRQVTPPSIVYGHIAAAC GFQPRDQHGETQIYALAQSQADRERGKPELVLAGTAEIPLAGMKANTIIDESDLPMKR IGVSRCYRAEAGARGVDTKGLYRVHEFNKVEMFAWTEPNMSSTTEIFDEMLDIQTEIL EMLGLHCRILEMPSTDLGASATRKCDIEAFFPSRRQKDDGWGEVTSVSICTDYQTRRL ATRFKSSGKVIFPWTVNGTALAVPRVLAAVLENGWDESQMSVKLPECLWPYMGKESID LKHRLK PFICI_09037 MTDNNTNSEGGRQTFTRQQLDGMTAAEYVEAVGGLNSTVFTFLQ LESQGLRSRYPTLFAAPVKRELKEATGRALDGVGIKKLEMNLISMLLYVAGVSPEKSC RHRVKETTIDHCQTFWDGCIVAPEGPMKMETRRRPSTPLRRMSDMQHTNDLDKAQDRR EQHLSHREERDSDLEEDNNCPDSISAWRDKEH PFICI_09038 MPPIERDAPVDWHLRHKHSASTSDQGRALIPMWDSSDPERAPAP LPLNPQSPSTSRASPAIQSAHAALNEKARENAPALMPPLTKRMTDGSPERALVSRPSP HKRTQTLQPSSVRDLGFLLEGPPRRDSVSSIGTSRSPEKSGRPSTPVRGRENEKEKSI DGENAPSPMSNSLTPVMRSSTRKAPLGILGENTPPQSATMLALQNMPPPASSREATPS IEIPKPLSNVTNNSTGILKTQLPVESLSHQILTLTNIATALQKEMALLSRRSRDNATD LMSLKEATHARDEDIRKSLREIIINADHRRDGFRGGLYIEDKPHGSPPSKHARPFSLP RIPSPTSFAVTMDQDSTISSSTMSSVPSLVGDSPATLALLEKIIREMGTKDGQENAVE RLTELAERLTGLASSAKVEELIGELKSTSQQLALVPAGGGRGGGNNTRGRHLSFDDDD DDRSHRDMNWSHGGSSPVSQRINAFIQDRDGRRASLPSNRAGEIVNEEVIKVIRGVKD SVAASGGLTSEVKALVRELRGEVLGMGREIGRRIDEVNATVAAGGVIAPADTNEPATK NQMEKIVIEGLDQMRSRMTQLLKDHRRESAESTTAPIDYQEIYNAMKAALNDSQELFS REDELSRDDVLQAVRDAWETYKPEIHVEQLGLERDEVLAVLKQGLEEFAPPETPQGAS RDEVFLAVVEGLKQFKPPQVDTPASLSRDEVLEAVRECLEEFEFPVAPSALGNDVSKE DMLEAVKQGLESFEFPDFSNAIVPHAGSVDNSEVIERLQDIMQVIKDEFKAVSAEAKQ NVAANGRDTEQVLDATKDGFEELRAHMESYIDRLNGTGSHDDLAQTLAESLNGFQTEI SEIVAQASDGSKAMLQEEIESLRDAVNSSLVPHTPQGSDNREVLEALREGLERVRLEL LRPHAGTTEVLDAMHEGFGELRATVERIADKPTDLTANDEVLDALRSGLDNLRADIES LREQHRDEIEALREQNKNGIESLREQNQSNLDALRENNGSQIEKAVAPVSGTVSDAVI PADMLKQDDIKNLEVMLTQLRIKVEAMEPSAQADHDHLSKSDIAEMEELLRNNPSKED LTEVQELIRANLVKPDLSEMEALLRNVQESVAGLAQKDAPKEGADDEEKTPKNLEDAA TKEDVEAIETILRNTKARLDDLIDGEQAIRKDHVDTVEALVLETKESLSLLTLHLESV SKKDDINAVESLVTQIIAAFDEMKERAEKSLEDPERVTKTDVDAVEAACLDIKSVIDE VLKPDIAALPTKDDIKGLEEVVREAKVVLDSQVEANEKAFEERQAEIVGVSERVTEVK AFFEEFQTLVKEKLENETSGLEALSKVLEALSSTMDKNATVSDDLKEMFEVMKVEFED SKNGVAGAKIDNDEKLQATTDTLGAKIDEKIGELIAKYDAFELVMEERHKSGEARDVE TEAAVVSTKAVADELKLLIDTLGSTVTESMEKMEEASKTVFTRVDELFTKSEENHSDD KNEHSITRDQVKAAVTAVEGLQGHVVEYQPKILESIKDVLLIVGQHYEHSKTTTTEMQ KSIEDVKEKEPELPQLPPVEKYDDTEMHAKLDKLVDHSHAAGKAYAQLDTLDKVHAQV VQTAAEINSFLEAQTKRIEEEREDHEKAQEEAAKKLSETNISLAVAQAEKEHVEANVG ALRTEEEELRESILALRTEQEFLARQKTRLTADVSSLETAMQIRREELHAMEARAEGL ERRILEGVLDHSRALLMTKSGAKGRDAMSRKRVPTSKSNLAPNHELSPPAPPPTSHRK AVNIAVNGNRASLIPPNPAGASRRILSLSQITNNVPTGGLKRSQSVRTPAAPIGVRKG SWGAGIGKKYGDLGGDKENEPIDSVREDEEPSELDVQPDSTPRQMPLQIEAAPEPEEE TDNEIEDLAAVTPPESEESGGDEDNASDSGTLRRSSLGSLGTTVITSTETETADGDSD YDSESYDSRSEWTESALGTESMADTESLVDSTVGTGGELVLHAA PFICI_09039 MKSFSVATAVGYLGTLCAATPIQQREACPTDWKTQQWDAIVVGA GTAGIVVADRLSEAGKKTLLLELGGPSYGITGGTERPDWLSGTNLSRVDVPGLYKSIF SGGSELLCPSDVVNGFQACAVGGNSAINAGLYFQPPDSDWDLYHPDGWHAADVKEATA RLLAMQSSVTTYSQDGEFYWQTGYDAAEKWLVESAGFANVSFNDDPNNKERVFGRPVY DYKNGQRGGPATTYLQTALARDNFHLQTGARVKYINRTGGVASGVTVEVDGSVIEVSL AASGRVVLSAGALVSPALLMYSGIGPSDTLAKLENSSYTPYNSSNWIVNSAVGDGLFD NPNTFIELSSPYVEAYYHSYDDPDAADRDLYLSSRSGPYSFASQTSAFWGYIYNEDGS QAGVQGTIDSFGHGAFTDNHTITLNIYGTSGMLSSGRVILSDDGKFVPGPDNNIYYSH PRDAEAIADFIHNIFQALPASTPDAPAAEGLTPLNLAQNSTIEEIQTYITTPSDYAVG AVQHWSSSCRLGSCVDADTKVMGTDNIHVIDASIVSPLTVNPQFGVMVAAEKGAERIL ALDS PFICI_09040 MSPPMVAGKEKESNLARLLGSGSAGIAELAVFHPVDTIAKRLMS NTSRVSGMSQLNAVIFKDKATAPVGKKFFSLFPGLGYAAGYKVSQRIYKYGGQPIARD YLATHYGKDFENAFGKKTGKAIMHSTAGSLIGIGEIVLLPLDVLKIKRQTNPEAFRGR GIFKIIADEGFGLYRGWGWTAARNAPGSFALFGGSAFTKEYLFGLDDYNKASWFQNFV ASIAGASASLVVSAPLDVIKTRIQNRNFENPESGFRILSNMAKNEGMGSFFKGLVPKL LMTGPKLVFSFWLAQTLIPAFDGMLK PFICI_09041 MSIHEGGLGKPCGLAVVNRPVYQPGKKRVWSLPLITSIIGLAVF YHFLSTFLPSPPIHWSSIADTPSIPEAINGNSWAALQPSRKLEWQKCYDGKFDCARLD VPLDWLEPSDDERVVLAVMRAPARNGGREYKGPVFVNPGGPGGSGVSWLEEGIAEHMQ TIVGDDHDIVSWDPRGVGASVPRIDCWGSSRKRHDWAMQMSGVVDSHPGMLFDALAQF DALSRQCETHMNATTPGLLSHISTASHARDMLEISEQMGFRKLKYWGISYGTILGGTF AAMYPDRVERLVSDGNVDYHDWYANVQLNYLEDADKIMEAFFEFCNRAGPDKCAFHEP TSEAIKERFLALLSSLRKLPVLIPAGTNGTELEMPELVTYSKLQNLIRGCMYKPIYRF TELASAMAALERRDGVPYYQLRNEDEGPPALPVGFCAVNETTPNVPAVPEHSEDAFPA IMCADGEAVPAADWTPDSFQTYFEELQRISRYAGASNAQSKLSCAGRTVRPKWRHAGP YANITSAFPILFIGNMADNVTPLRSARRNAAAFPGSAVLVQKSYGHCSFAAPSTCTAR VVHAYFRHGELPEPGLECEQDWELFEEPPPVMSAYGELGLAVRELSRKTNVVFR PFICI_09042 MPVSPLSSPKDRGFLQLGASRSSTLDDNMQGIPLQPVRSTASST GARKANQAIGNGFDGTQSPPENEKNALYTGAGRRRAKKPDPPGRVNSLGSEEFSLNAM GRLYNKIIGFSVVTRYLVYIVPVAILLAVPLIVLPLVGHKNDPVGKKTTTDSDGNKTT VEGPSLFNLFLWIEITWLTLWAGKTVAHLMPPVFMFVCGVVSSGTRKYALVLRALEIP LSLFFWALATWLTFKNLFGSQYDSLGWVETMKRILGSLFVSSAVFLGEKTIVQLISVT YHQRSFANRIKDCKREVFLLGLLYDASRTLFPMYCQEFAEEDYIINDSIEMMLGKRGR KGGSGTPMRLIGNVGRLGDKVTSVFGNLASEITGKQVFNPNSAHSIVVEALEKVRTSE ALAKRIWMSFVVEGNESLFVDDIIEVLGPAHREEAEECFEAVDADGNGDISLDEMIRK VVEISKERKAINHSMKDISQALAVFDRILLFVVLLIVIFIFLAFFQSSFITTLATAGT ALLSLSFVFAVTTQEFLGSCIFLFVKHPYDVGDRVDIVGSEKQQLVVERISLLYTIFN RIDKMQIVQVPNIQLNNLWIENVTRSKAMKEVIDLNVSFDTSFEDIELLRQEMEAFVR HPDNARDFMPDLVIGVGGINDLDKLTLKIAMKHKSNWHNEAVRATRRSKFMCALALAV KKVPIIGPGGGGEPLGGPSNPSYSVTVSDQEAARARDKADNDKDAKRLVPMKTPQRQP SSASKYSSTSPELQAAQNLNTMGAAALAAGDDWGYNRDDDPGASLDASNDRLERIRSN EIDRLRTDLHKTESTRGGRRQAGTTLPADHFGSPTIQVTQHESAFDEEAQIESAQIYQ SPTITQYGFTSMSPPSQAGTSSQPGTSSGAGVPAARTETLQSSSSRGSRPRGASVSRA LSSSHSNNPYQQR PFICI_09043 MADEVKPTAVPTTHDTSGAEKGRRASMAAAEDINANINARISNP LKGLTHDELFRRVDDLIDSQGLQDERALLRKGALVAQEPERYEEIKGEHALTDEEIEW LRVEVTHKWRVPKLLYMTIITCSIGAAVQGWDQEGSNGANLSFPKQFGIGDETRDRDT FLVGLINSAPYIGSALIGCWCSDPLNNYFGRRGTIFISAIFCLITPIGGALTQNWPQL LITRLLLGIGMGIKASTVPIFAAENSPAAIRGALVMTWQMWTAFGILLGVTANLVVGR VGDIAWRLQVGSAFIPAIPLCILILFCPESPRWYIKKNRYKDALGSLIKLRNSKIQAA RDLIAIDAQVRLEREAIGDSTYIKRFVELFTIPRVRRATLASFVVMIAQQMCGINIIA FYSSTVFKQAGTDDFNALLASFGFGVVNFLFAWPAIWTIDTFGRRSLLLFTFPNMAWT LLAAGLCTLIPGKGGAHLGLVALFIYLFAAFYSPGEGPVPFTYSAEVFPLSHREVGMS WAVATCLFWAAVLSITFPKILASLDVIGAFCLYAGFNVVAFVMIFLWVPETKQRTLEE LDYVFGLPTAKFVQYQFRKALPWWFKRWVLFQKGAVLEPLYHFDSGSDSDGYGDDKAQ TGFSETKELHGTPARTELGTGPGSTAEPVEAPTDNEISKH PFICI_09044 MTEIHPTPPSSASNASPESLANSVSRHGLPDKNVTSDSIENAYV AFIFYCNPAVPAETDTTALREGFRVPPRSDGKAFSTYTLFELIKMLNTKELKTWADLA MKLGVTPPDTGQSSQKIQQYAVRLKRWMHSMHIDAFFDYLQGNDHPYWTQVPTDTNPL RAEGRDGVAAEDDMALRSLLPHIRPRRGRRKPEDDLNKSPSQRPRMDSPSLGESRQNV SEPWTAHPDGQRAFLFPTPDEARSSILPGTGSTFPWPSELSQTPMTAYPQSAMTPING RGFWADEPRSAITPMKMRSMGRRHGAKVVSSAWRSGGSGSTGKTRGRPPLQRGTDTPL SAVPDDDSTGKMNPFGHDTPRSAHPASAMAQSFAGAPTSAPTSAPTSAPTSVPTPTSA GPHSSRPGRPSGLSLQVPERQGGNVRLATPPPPVLMVNGQSTPNGTDANEISNSALTQ DADIASASTHYTGRKSLSTTPIGMQRQPAAPVQDENMINVREVESLFVSELLVADWVD GSGNSIPPCGIEEAEAIAKMTIGNMQKQALTPEAFLINLSALAGGSILQRGVRAVITR TEDSPNSSRYTCKWGLRYGAFRGSFSMTETVPHAAWQKEKPLPGGASAVEADASHWKS KYEELLRAVKADHERNTDLRLGVLDSLKGPHGHD PFICI_09045 MAPAASKPIIPQNDNVAHALAGAGGGVLSMILTYPLITLSTRAQ VESTRGETPFLTAVRRIIAREGVSGLYAGLDSAVFGISVTNFVYYYWYEWTRAFFEAA AVKAGRASSKLTTVESMIAGALAGSATVILTNPIWVVNTRMTTRKQDIEEEKGAGQPG AEKKRSPTTIGTLLALLKEEGPQALFRGVVPALVLVINPILQYTLFEQMKNVVEKKRR ITPGVAFVLGALGKLFATSITYPYITVKSQMHVAGNGQREGMGQAINRVIKEEGYKGL YKGIAPKVTQSVLTAAFLFAFKDVLYEQTVRLRGTAAKKALA PFICI_09046 MDKPLRAPVPPLLPQIASHPGIMAIDITDKFAAAVKKLAPGELI KDGHFTLFESVSALEIMDPKMDSGCLSEGESLDEEYDVTKNLLPEEILGIIDQLLCLE MAWHLGYPLSQTLFTSVHLETLMQPTPVCLDEANFDRDSDEWRRQSPFLFVLRAYGIA LLKGCYYVNELVKDELYYEEEDFVTNTYDRDLLTNISLEDVNELLRSTRADLRAMSNQ LSKEVREALDLRLEFRVAFLRAMELVGIHKANPESLKTPWIMMGGVLEHLRKQHSLGT PVPEAFSTKMQRRLASTMPPRPIVQLTFEDTCDHFKRMAEDGKEAMDILRYSDPQSLM TFVSSFQARKPQPLVIIRTLMQSLMFKEMIVLGSYSIRHILDHDLSIVCLPNAPQIDP VNDTIEVPMDPRHQTAAQMEIFRQRVADCYLDIYRIFCQNRCRVRRTLCHSIQEWDLL QADVEEIDTLLQHTLDEQMHTSQNGPVGYSLPLSSWAYLYKLRQMEWIVQLGFELSVY QLDELAGMYWYLNYVAKTRAQHGDRIKTFVMRSMFEARNATKTYSPAKEEKYMKSMSY IRVTMLDAACTWEFADGLCCLYTVLQRLKLIKPPPRPYSDDLLRYEIRMKPFVNIGLP QLPSFDDFTKATLQPETSIPDLLKYADGAVGGAKKGYEALSRMQEQNTFSVGCHDRWL ANVKNCQKATIFAGIAVNMLQKTIEKFGDGLDGEQMGLHVEMPEEGKGYHDWWIVPKI VSTASSSA PFICI_09047 MVFAWKAAGLSYNRYLAVASRVVRRSLKEDKRIAAERRGESDLR FAKWSNGKMGETKNLNEANVAATKEAASA PFICI_09048 MFSRTALSRGAQLATCRQASTKLAQRRGYAAASSAPSSHTFETS DVAGVKVAARDSHGPTTRLAVVAKAGTRYQSAPGNTTKRSALRITRESELLGGQLNAY HTREALVLEASFLREDIPYFTELLAEVISQTKYTTYEFHEDIERVIHLKQAKVGSDVS ALALDAAHSVAFHTGLGAPLYPSPSTPLKSYLDEHKVAAFAESAYSKPNISLVADGAS TAALSKWSEQFFKSVPSSGSALNSASTTYFGGESRSAHTGGNALVIAFPGSSFSSFKP EVAVLAALLGGQSSVKWTPGFTLLSKIAATYPGTTAFASNLAYSDAGLFTVQISGNAG VVRSTAQEAVKAIKSVVEGGVSKEDLTKAIAKAKFDALSNSEAGVSTILSAGSGLVHT GKPFQIAETIKSLEGVTAEKLKTAAKALVDGKASVAAVGDLHALPYAEELGLKV PFICI_09049 MLVTKNVAELCVLLIQELYGQLPSRIFDVMLSKGRATLKQLGLH TSMNQRLVRHGLAVLIQQNLIFHHTDPDTNITHYDANPEAAYNLVRVGKILDAVHRGY GEEARGLVHQVIVNGHIDVATLMKHKSGGLMNGEAHQTNGHVNGTVSEPSTNNEDGHD HSQQTFDTIAHLIAIGILEPLNMRMLQPPDDVRVEIERDVMKEYPTGLRGTKQKNDYN AKTAQIFRAYMDESKRLRRELERDYLHLTPGVKRRKLENGHPTPSRDDIIEPETILHV NYQKCMVELRNRRLSHYAEDMIGPITGQVYAAMLAALSKKLQHCHLNAESSEGDIPQA PSVSTLEIYEYLRPSVDVFSGIGKCDPDAINTAYAEKIQAEPPGDEANQDVDGLATNG DVEMTNGHHLDGPQESDTKVVTSQNGTRDTKVKFAERVPTKTERIHQMRQHLLILAES NMGFVRHCGAKDLGVWTVDFGHLIPKLQLFEIDALIEESFGRQGLRLVKVLRAKGKID DKTLPTFALMKKSDVYLKMAEMELQGYLEVQEVPRDNNRTASRTLFLWFFDQKRTMAR VVNDTYKAMARHLQRLEVERLKKKNVLSVVQRKDVEGMEEEKLRGDIYNEYQQFLDIE SKLLGQVGRLDDLVSLFRDF PFICI_09050 MAPLKPERVTEPISDSESSDSREEDDEGWDDVEQDEEETQQVIS LLDDKVFPDVMSMLEHCKDKHGFDFLAVRQKLQLDFHGCVKLVNYIRSRVHDGQTVSE NISTSEIESDQYLKPVLDDDAVIIGLFDLPDIVPESTPAQTQDATAVDDLLKRNTELQ EELQRITAQFERYRATVSETLDQRWGDVEAAEAEASAAKAAGKGKEIAPKKPEDASKY YWESYAGNDIHETMLKDTVRTEGYRDFIYNNKHIFAGKTVLDIGCGTGILSMFCAKAG AARVISVDASDIIDKARENVITAGLSSTITLIKGKMEEVTLPVDQVDIIVSEWMGYCL LYEAMLPSVLYARDRYLKPDGLLVPSTCNMWIAPVADGEYVMDNVHFWRDVYGFDMKA MQSGIWDEVRVLHWPKATVCGQPAGFKLLDLYTIKTEDLTFSSHWRSELTQDVDAVDG LLIWFDNFFSPTREDNKSVGLQSVADDWAKENQDRVAFTTGPFNTETHWRQGFLMFKY KEGESSAFKKGDVIEGDVTFAPPEDMPRGLIIKTSWSAAGQDKKHAQSWDMR PFICI_09051 MFSTIANAPAKQSVGETIAVLGGRLNSATLLEDRRAAILGLRSF AKDYPASVASGALRSLIGSLSKDGEDVDTVKVVLETLLMLFDPNQDSPEASEDIALWL ADEFTQRQENVTLLLDFLETNDFYSRLYSLQLLSAVLSARADRTEACVFAAPLGISSL VAVLEDRRDAVRNEAVSLLTYLTPTSPDIQKAIAFQGAFQRIFAIIAAEGGLSEGDRI VEDCLVLLVNLLRLNQSNQYTFREEGYIANLSQLLKSTYELGKEAEEVAQWAQQQGSR NTYALLAIVRLFLTPGEKETPQNQRAFWQHGVLYHALQLAFAQAADVSIRAEALTVCS EIIRGNRELQEGFAQLQVPSVLPNDVNGVNGKTNGISVTLVYVIDGLLDLTLSVTSLQ LFDLRMAACECLKAYLYGHDEIRLHFLHRAIEGYSSGSDETANVLTTLLQPSASTSND PYRHWFASIVMFHLLHETDDGHEPKAKTLAMSLTEGDAESGEEVVTSIQTITAHLLSG LKTGIDERVIMGYLMLLIGWLWHNPAGVDDFLGEGSHLQGLTEVVQEPKSNAMVRGLS AMLLGVIYEYSTRESPIPRPSLHEALLSRMSRGNYQVSLRNLLKHPMMRDFEVIPQKA DDSGELPEVFFDATFVEFYKDHCNHVIRAIDTDPAIETSVVVNGEEKGVSRQLVDDLR AELDAVRAKQDEYYRNWQSSLMDGTASNKQLGQQLESTVAELNRIKSLNDALHRNHES EIKNLHRKHEEAVRSSDRKYEESLKVLQRKHDDEARAYQRKHEQETKALQRKVDQLEN QNKSLEAENKDVKQKFGDTLRAIQRLEEQLKSTEEQAANKTAEIQRQLDYVKKTSEAE AARKERRTGAEIADLRANVSRLELDLMKAAKTHSEEVQGARAELEAETVKAKEAEKRA QDFQTQLQEAQESAKNAAAQLQARVQQLETDYNARLEAAEAGKANELKSLRSGLEKKY ADNLEKAEAKLKQEHAGELEEQRSQSEKARVELEKKHADKLEQVSGDLKREHADELEQ SKAQLEKAQSDLQKATSQPKQQKNDELEKAKSQLKKALEDLAESKSQIKKSEDELKNA KTQSKQQKTDEVEKLKEQLKKAEDELQKAKSQSKKQKDGEVEKLKGELKKAEDELQKS KSQSKQQKDSALEKLQAELKKAEEKLQKATSESKKTEEELQKAKSQSQKAEDELLRIK AESETPKEELQKAKLQLEKINTAVKQKDAELKTKDEERMATQTELDDLLMVFGDLEER VEKYKKQVQELGGTVSDAEDDDDDEDEDDEEDDDDDDGVD PFICI_09052 MGIPAAFRWLSNKYPKIVSPVIEDKPIEMDDGSTIPVDTTRPNP NGEEFDNLYLDMNGIVHPCSHPEDRPAPKDEEEMMLEVFKYTDRVVNMVRPRKLLMIA IDGVAPRAKMNQQRSRRFRSAQEAKEKAADKEELYKMIKQQNGGVLPPETLESMNKKA FDSNSITPGTPFMDILAGSLRYWCAYKLNTDPAWSKMKVIISDATIPGEGEHKIMNFV RSQRLSPEHDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFADQGKVRTCRLCGQ PGHEARDCRGEAKPKDDELVDKKPMPLKPFIWLHVSALREYLAVELDVPGLPFRFDLE RAIDDWVFMCFFVGNDFLPHLPALEIRENGIDTLIAIWRDNLPHMGGYLTKDGHVDLA RAQLIFEGLAKQEDAIFRRRRETEERREASAKRRRMNDERNGRNDYRNGGKDNSQGGP GQALTHDMIINKAPNDDANNANKSAAAVLKSQIQGLMKPNSANGDDPAAAPSALGKRK ADLVEQDGASTPGSDSAATPSAQPKPDPSEDTVRLWEDGYADRYYEQKFHVDPKDIEF RHKVARAYTEGLAWVLRYYFQGCPSWEWYYPYHYAPFAQDFVDLGKMEINFEKGRISR PFEQLLSVQPAASRHVLPAEFHDLMTNPDSEIIDFYPEEFEVDLNGKKMAWQGVALLP FIDMPRLLAAAEKKYPLLSAADTARNGQGKEVLLLSEANQELYDDITSHFYSKKQGAP EYKINPRLAQGLSGKVEKQEDYLPHGTLEYPLESKSMPNVEDDRSLTVVYKMPSSSHT HKSMLLRGVELPTPALNRHDIEELKGKMRNSGRSYGGAPFRGGYNGRGRGRIDYGPGM SGGRGGRPDRGPAYGGHQQQYGGSNSFPPVPPPGWVPPPPGAFGFGAGLPPPPPPPGH YGGQNSYGGSHNGNYGGHQNYYQPPPPPHGGSYGGYGGNNNSGSYGRDRRQYDDGRNQ RGGRNYR PFICI_09053 MNGDAGLVGCLVERLTTRLPHRTGSQAEDFRQDEIIVITRTSLL KISATSIALVLDSLITLLEELIRPYKSLEAQPNHIFASGTYVYGLIGDCCTAAWQHQR EKVANGAGGVENGQPAPGLVMPEPLDGLLVGRLTELNKLMLAPVPDNFVLPSVTLLDE YTLRNFLDPGKFEEFGLRMATNVPGLNPATLKVQRWVQVEPYAKVIVEYCSASNWNAA FEYLRTTVFGIRTAAHVHGGVPQPTTLAEEEKYSLTLLRLMSYLWVDGQKMGLVIQEL CSSFLHFKKSFQNTVAVALPMLIRRWIDRVPGEFVRLHQQHRRLDGGADTLFDMAQTA VDNNQRRAILSPMQMSLLFLTPEVFEVASGLRESKTGGINKKMQYLDGLRKGLKNKNE SAAYCVLQLLRVVRHFDISSETAIISYAMDIQDEVRDAVFRRTVAGEAGLFDQDITTA AFNFLTHLNLEDTVESLAQVCLHPSSPMTFKIAIIQTCAFFVRETNSERYRPLFLETI GFIQTQLKALFSVQSDLASRNHTTKRKASEASIANATLLRNILSFLEPSPESLWENPP SENPEEFYEDIFSGLVSCMVSPDEQTRALAGKVAKNLFAQDGVLARLRQTKRLDQDDL RHDFWRMTSSVLNSIIDSQAQNGDQTTLQSIHSYLESTFVLLESIKELTDPPREIPER TATATKMETLFLVSLCSPDIETCQLVTSCISLFQQECAMIDVSDSIKAGSALLRNSDV FEEIGSRAFRFTGVVAFQKRIRSLLRRMQFPSAGILNAWELAFDRWLHLSKDVSTTPV DAVDDRTLSEWRNYTGFLASLGGICTADQAYVLEEPAISGLKWIDRLSSENFEEPLLN RYLRQSVQLLACANVRVRETMRDVLSTEIAPNLYHPLFKALESELEVLFTGALETTGK NSDSEIIFAEQAASLLKALVERLHSPSDLGAASTVHLGALALNFAKFLEAGVDNMSCL RVKIKVCQLCEVLTKKKEHLNLRDDVRIRNQLLEFIFGWIARPRSPRGDGGYGQSRLD EVYRVQKDLDKACLRSLAELTFRLPLQPGEGHNDAGTSELKSQMFHTYFNRFLSLLNY ESQEGFRSDHLVPPATRDDNTSASDLAITILSNLLSANIDVGLKHSLSIGYHDNIEIR TAFLKVLFNILVQGTEFSNLSDAAVNEKYDELLSLLTHDTQLAVAIATVCPSNEVDEL TISLLNIFESRGRAFDLMEGLIKQEIEETENESEILRRNCVATKVLSIYAKWKGAQYL RDTLQKVVERLMLTSHDLDLELDPARGIQSPEDIQKNALQLKVVTKVFIDDIVTSANM IPASFKKICSIISEAVLERFPEAKYTAVGAFIFLRFFCPAIVAPEVEGLVSTPPSKEM RRGLLLIAKVVQNLANNVLFGAKEPYMFPLNEFLTENIYYVTTFLRQISVTPDPTEIR QPDPETFDFGSCVALHRFLYDHWDQVRQKLMSQERREYVRSPAEMPRGRSPVLEPLRS LITNLGPPPLAVTWNRPQISSNNPPAYSRFQQFMLRNAFRGTESFMTSRAVYDGGESK DGLSIICIILRHIDSDSIDFDTLLYCYLKIASRLWHRPFGILIDATCYNGQNEPPDDF FKKLDLLCPSELSQQLSRVYVYNMNSAFRKCLRRVLRVATKNDTSVFNPGNVDYHLIG SLQDLQAHFHLSQLHLPKETISVVTDTRYVFQPITRLSKTRGKIEVTIKVGSQFVQVT TVRKQEVHPGFRLNATVNDIFRLGEVEEAPTSIPTEDDSAFGLRADNGKIVMYFQSAK KTDVLQAVRGAKAKYGKDTRTQKSFERLIRPQDVPGTLLNLALANLAAPDSILRLASY NLLGALCRAFKFKAASKFMLIRDISVPLDPTQFIVNISKHLADEEPQLTADFLNEFFG GWESISDEQKPLSLAYMAPWLPGLRTALLGDETDSEKSKEKIALIFRKIIDVAITDPT LNLTLELTVWPAIYKDETLLDIFLEEILKAALSLGLYDEHTQSLTTIITAMGTITLRG KIISRLRKSLNRSSQRPTKSLPDNAVWPEICVLLQFCLSLSFDCGVQSQLFLPEIFHV VTMLANTGPTDIRGLVHRLLINTIHAACTSFKLDDTKLARLRSSLETLSEPRNDIFSP PPAFVRDGASVSTTQETGQTLASTEHLASLLFEICSVAAPSVDMANAWRSRWMSLVAS TAFQNNPAIQPRAFTVMGCLAREEVDDDLLYQVLVALRNAVGRFGEDNHNEMMVAIVT SLSKMMGKLMTASRYGLQLFWLAISLLRLVPSSLFNCAANFLEAVLTNIGTAGDLRND KMAQVLLQGRVQLEDAALPLDDVYGIHFTPEYFHHAVCACLTRGLTDTMTKATALRVL SAFLEMTTTSSSFDAEKLPREIITSPYLTLILARAVEPDELKDALYLSGLPASSMASL GRIRSLRDLAPLKDKDLLLNTAIELVDFQYLEDGVQSRTILWLNELATGRPTVAVHLC SPIAQVLDDILLHCQNSSTLSSAHDLFQTMTSNPKFASALESAGVLNEILEDMGFGGL WRSCSFSQTQEPDKQCFALTEKLIELIII PFICI_09054 MAGNWHSRGHIVAELLKKKQTQQQQQDLQLAAITRVNSAASIPD GVRAAQVDYNDHASLVAALAGQHVLIITLVVAAHKDT PFICI_09055 MSLAAPWAFGMDYPGRKLTFYDQGETNINAIILPQSGRAVANLL SPPLESATGPSLSGFKNRLVYTASFCRFAEGQKQLAEGDAAWFGKMLYARVFFPDGSG NHETRRGLHNEVLGHEQENLDDFTKIAITKVESGSTYP PFICI_09056 MDRVSTQRRRPGRNVGFAPVAQTESDLEASDDVSQYWELPVNEP LDRDLHSRVRNIFRNAVPPGQFDEDEWLQHVAANTAETVYAPVDNLVALDGLEEATIA ELAGPGTIEYYAPYARGHDRAPSSLSNESDARTEKTYVEKEQWDSKDKFLRFEPMVTT IEVDDEVPSHPPKKLPNYKPAALRRMFLVILFSAAVALLAVMVTALLVLPKSSNSVAS DIISRNTSEIKLRSSGITQRSLQHAYGLVGPRGHGDSGSSSAEESASEDEQTSKATSS TTLKDVDDATTVLKTTTTTSKVSDGTTSVPESDPSETKSELGQTPDTSTTSSTLHTSS SSSTTRNQDDTTTKTTTAAEAASTPTDTQPTKPSKGGDDASPTTMRATTVATSIATTA VTTSSEKTDPTQNESTMTTTKNDPEPSDGGHGSEGDKTRPNSGHEQSTGSETSQTSTT STSSKNTDSSNSHPETTSSTKFGDDGPKPTKETDHTSQAETATTTSTSISQSPPGHES ETLPTSSSTTSNGDFSSPYLTTNSVRATCTVEVVQTHTSWVVLPVSTVDLGLVKKFAL PPVTRMDRRQADSCDTTVTNTRDTTIWITTAHQTVTIGVPPDPTTSAIENTGGSISTT STTSAVLTDPQTNTLPIDPGTTATGSAPDVTSPSTTTNAAGSPTDLPGDGSTPTTTLP SSQPSQQPGDATTSENAGVTTSATTPNGPDPTDIHITVPEDTNTAPNPGTTASSAPPV FESSSVATTPGSTTTTISVDVPPPPSSIVITEIYTTTNADSSVTTSTSLSTILINPGT TVNNGGQPPPETLPNQVSTTVQHTVTVPTVNTQNTGPGHAEPTTNAQGGNNDNGPPPE TSKTTPVTTFVRVVQSTTVVLSIETTITPTSTVTDDSGLVAVGAFITTVPFTTALAFT QVKAITKDDGDTASGATQKIVTNTYMDKDGNPTATMLETLYAHAFTSIEKDSDGWPTK TVIQEIQETPLTTTMTDRYGKIKIKTYFEDTSTKAMTDSHGKTTGFQIFYITDLPTVV TTTDAAGHTLTITQMMPAGTSTSFSTVLVDPTSTPIANGSATNPTIAALPVSSGYYFL GILLPTLLAIGISIPIRVLDSTVKLHQPFSAMTLSYGARPADSLGLKTTGLWGLTSGF VSPRMGNWLLAVTGLLVVANSILIALSTEALDLEVQDVNCTMSSDGLFKTCPTSVVIS MAPAKSTTGLICLMAILIAVAAKALWRRRSGVINDIPWSIFEMRRLAQHESTLTSLNK LDWKFGNVTRDEVIRAFGKRRFKLDYWKNGNAGWEYVVQVANDDGDYAKDKRSGIHRM CSMPFFTLTLLGRVLFFLFLLALALMVLLYHNTHGAFQDFMDSENFGGRFILTGAGVI ISMIWWTFFTCVAFLSPYRLIVRKKSVQDALYLSPPSNPFSGFWRVISRQHPDKFLGV VAFTAILSEFLPLFLANVPARLEEPDSMSAACVWLSISVICIMAVVLISSFLITWPPE MPMDPSTVAGAMFYALESMASMKRKSSSTLGGVSMNTV PFICI_09057 MRFTQAAVAAAVAVGTTSAQRPSNTSICDYYTTALLKDNTADNQ ATLLTLVVNTVVIGNYTMPNVGIMVPGILAPGTFNGTDVNLLPYFTGELASSNRGGDT GVSINFLDGGGAEPLMKNMAANDDTSMQYFLLTHLYQFFGSLLGCSMQGMPGFDAYTG HASMYEVHKFMDLNPYEMGYFIEQVAMAAASFGVAEDDLTVVGTALNSLFNYRCAPAT TVIPAQGAQLQSICIDGSCPVAMENATCSAYDPVLVPTNSTTNATATAPGSVGTATMS AGASGTMTGSSTGSSASSTAATAGAIANGVNFAAVMAGVFATFML PFICI_09058 MPTALHPQAKFDPIPPDLDLHALVDDTPNFDWVVRISISQIRRL GTSGFEKLVQLHVIEGGRPLVIEGWNKVLPESLFSAKWLEATYDKKQENVRDLFNQSD LPMTMGHYLRSMRQLTNQWSPTNFRDERRQRLYLKDIDCPPEWFDHLRKIIPPNVLYM NENVSENTGPDAHPDDDIFGAPEPTAAVAGDLMSCLPEEMRAQNLMCYIGHEGTYTPA HKEMCASLGHNIMVDASGSENGNKPGSSLWFMTETKDREVVREYFLSMLGHDVEIEKH FAQINAWKKANFPVYVVEQKVGDFILVPPLAPHQVWNRGTRTTKVAWNRTTVETLDLA LHEALPRSRLVCRDEQYKNKAIIYFTLEKYYAELQKAEDTAESSLLGLGRELTNSPRL RQMANDFRRLYGLFTEILIDEMFGFKQNDTEFIEFDSNITCSYCRSNIFNRFLTCKCC TRQLLNGDEDTYDICMECYAMGRSCVCISGLQWCEQWRWEHLVDKHETWRAMVIKQDG FVDIDYSPQPLEVARKKTGKKSIAQICQEQLRRRPWNDITKPAVERTAEESEPEPEVD EEGRVKKKPKPKRKVKKGDTYRCHVCCHKDYTYRLNFCTTCQEAYCYGVLFRAFDTMP QAAMEQEHWQCPKCLGICNCGSCRRSGNTQPYVPKSTLLGHDTRRIADDRSVESVVDF RVHNLGWLKGAGEETRSSNTKRMQRLKEQAEAEKARDPLAALEPTEDGPQDMDMALQG SIMDGDIQVVPADEQDPNTSRVQMADMGAQNPEGDSAYPDPSAYPSPSMGGRMMGMGF YEQDDSADRILFDAFQMPSADALDHEPEVSDFVKKTLRLAKRKARLETDDDPDFRGPR SHHRKKPKTGNLDQLVNLDPALLGSLGNADGSENATPNRSDARSAEGSAAPEAQQNEI IADSDKRQQKPVRPPLPIEPLNPTLRHAKPAQSYVEAEEIVEDPDDIVTVNPIRLFGA EEDPVQVVDSVDLAADAIRALTGQDEPSTTKPTPQPGAKKRGRPPGRPRASLAADTTI SAGKEPKKRGRPSRLGLSDKASENAAISTEDPPDQDNQADQDGENSGIDGLEAELEAA LMRDDDQNESPAHEAPAGEPTARRRGRPPGRKSMPAVASEPGPDEPLERRRGRPPGRK SMPAATSAHDPEPESQSAPAPVFPKMLSMRDRMAARGKGFKMRARTSINPQRTPKTDS AKSTPASKSKSPGPVTGAESTSTNGLHGRSELFDDDFGMEQEESSSESSADEEENQVQ VRNTRNHGNPTVVRLDVTDDSFGSSDSESIFDDDDDDEGIPAKPVFTARRGGAGRGVG MATRGQRGRPRGRGRR PFICI_09059 MLLRTTGWPLCRVNQQNTLRVLKQSYHCGHNFQRTLPSRTSKSL KLVTVAPRHVVPFHASARHLTTTIESPSSPEIPQPYSPPTTGIISRLPASWVPYAELI RLDKPAGTYYLFFPCLFSTLLAAPMATPMASPLSVLGTAALFFSGALIMRGAGCSIND LWDRNLDPHVSRTRLRPIARGAITPFKALAYTGVQLLTGLGILLQFPTSCLFYGIPSL LLVATYPLAKRVTYYPQFVLGLTFSWGAIMGFPALGVDLLSNSAALAAAGFLYASNIA WTVLYDMIYAHMDIRDDAKAGIKSIALKHDAETKQVLSGLAVAQIGLLGAAGWAAGAG PLFFAGGCGGAALTLGYMIRKVNLKNVKDCWWWFVNGCWITGGAISLGMGADYIARYL AEGETFDGEQS PFICI_09060 MGKGKPRGLNAARKLRLHRKDQRWADLGYKKRALGTAFKSSPFG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLLALWKEKKEKPRS PFICI_09061 MSSRLNGPSVKVRDPPPALFLHPSPAASHVSLPGINAVTSASNN HTANTQQSAASVGPPTMTRQGSLLKPRGRTTGPIPRLQTGESTRTADRTDALWAEMQA TLEEVELSASGGTHVFGPEHDRKLADLRAAQIALAQAWARSEADDAIETTGGVGADEV RDLKGALGAVGLAVAGSAGPGIAGKLGADGTEQGRSTVGTGSARPPSSGREGERLGAM MEQETEADILLARKRREANDEYFSRVDQGVRDVVAKLEEVAVAMRDVERESRDVWGDE SVPGSAKP PFICI_09062 MRFLTIAAAALACASGALAVDQEKSIIVTFPNEVTSDVINRAMD EIRKAGGTITHEYNLIKAFAAKAPQKVIESMSVWTTSEYHAIVEEDQTVTISNTNNRQ NH PFICI_09063 MSQNKFSEEWQEALLNWARNLVTKALKKPGPETIEDLYNGAVFS DLLVILDDEYKSDELEENLSLVSQDKPLDGRQRNMHIIRKALRSFVKRQLEVVEVLLD DVDFQALDRNPNNEGMCQILSIFLVISVNLPDKFVSQEILQDMQKTSEDKSRLARLMQ IMNQSQNRINQGDHGDHGEPAETAPAPSSAPAQPAGMDELALEAELGKLHREIESLKK RNADLVTVHERLQESHQELLERHDRVTMDRDKLQELLESGAYGDGAEKKKQLQEQNRL IDNLEMQLDDARRAKEELERVKVRLEAELNKLVPLAQEYQDLKQENEDLAKKANAAEH LKQKLEKLRGLENEVKKLREERNAFFESQEHLMSFQKQVNALSAERDQQARNMQAYEI QVSEFNAQKMAWNMEYQKLQRHLETMETKSKDDEEMVRELQEKVMLLDPSAVTDSPFP ARTHSRSLEDELEDDSGENHTLKDLEIARLQTENALLKSSLGGEGDKGQLFRQIEKEQ DARKALQEKYNELFEKHIIERAALDALTAKQEDEALANLRKHALAEETRVKDLTRQLE AVQTELQDKERALTEAQGDLKAVQDGNDALSELKTTDGLLALSLRAELDSLRKKHDNL KYDFDSRQEHLVSALIDKDMFRKEVEAANAELRKAAEGGQAVNPDVTKTLEKIEKLRV KYKQLSEQYDKSEAGRRELEKSNKALRAGSGEGEDKTKDQIIKNLQRENAMISSAWYD LTSRLQSNHVVLQRRNDAPKSWLNKQRQLVNATPRR PFICI_09064 MPATTAETLAFVSKHVTVAPLVLLSVVDHYNRAAKGTRKRVVGV LLGQNDGKDVRVSNSFAVPFEEDNDDPSVWFLDHNYVENMRDMFKKVNAREKLIGWYH SGPKLRASDLEINELFKRYTPNPLLVIIDVQPKESGVPTDAYFAVEEIKDDGTATSKT FVHTPSTIEAEEAEEIGVEHLLRDIRDVAIGTLSTRITNQLQSLQGLHLRLRDIQAYL QKVQDGQLPVNHAVLGNLQDIFNLLPNLSTPKPGNEGKGANASELSYAMSVKTNDQLL AIYLSSLIRAITAFHDLIENKIQNRQQAEENEAKKEELAAGKDEKDKKEGANGANGDS TEKSGDKDKKK PFICI_09065 MGVIDNEVQRLHDVVSALEKRVEKLEERRSGEVKPTDGVRMILI GPPGAGKGTQAPNIKEKFSCCHLATGDMLRSQIAKKTALGKEAKKIMDAGGLVSDDIV IGMIKGELETNKECKGGFILDGFPRTIPQAEALDKMLAEKKSPLQHAVELQIDDALLV ARITGRLIHPASGRSYHSTFNPPKVDMKDDITGEPLVQRSDDNADALKKRLATYHAQT TPVVGYYKNTGIWKGIDASQEPGQVWKSLLNIFDSDKKSSSSILSKLTGK PFICI_09066 MDSGASTLPAPRALLTSLINTLTSLPIPIGGTQLARTASRIAHA QDATNPLALLPPNSRTLITTLHVIYPSLLLPALDLLDRGLVTRVLVLDSESAAHSPLT SSLDEAEQARRDARAGLFHIVRSAQQPRRGRRPALDDASRGPTYLVCTQAWSCDCAAF AFGAFPAGEKDRAATAGYQIRPAEDNRYASRPQREAVHPLRGGAHGWEFGGLSMDGRS DDGGGGGVASGAPPCCKHLLACVLAERWGSVLGDYVQERKVGREEAAGLIGSL PFICI_09067 MFKRRVCQVALPRHRPQATTSRLPQRFFTTRAGNSASQWTSRAS WAAAAAAVLSVSAAVYGMTQQSPLKLDSRSLAELDERTKREDGVNDNSPMRLRMEELI RQKQEEIVYALEQIDGTRFRKDTWQRPHGGGGLSMVLQDGNVFEKAGVNISVVYGTLT KASIEKMRANHSNIATDVDELPFYALGLSLVLHPHNPMAPTVHFNCRYFETMKADGTP QAWWFGGGSDLTPSYLYDEDAVHFHKTLKEACDKHNKDYYPRFKKWCDDYFFNTHRGE RRGIGGIFYDDLDDKDTDIENAFAFTKDVVSSFLPSYIPILEKRKDLPFTEKEKDWQQ IRRGRYVEFNLVHDRGTAFGLTTPGSRTESILISLPRTAQWRYMHEPEPNTRESRILE VLRNPKDWV PFICI_09068 MARTKTQMTAEAAARIQANQKKGGKDDGFAARAKAAAMRNARAA QTHNAQADQSGQQRHYSSGYGQQRSS PFICI_09069 MTALQGIGSLPQLAPRPEIRLRYTFPYKKDMPFVLRNGSWPNPY LSSILYEKTQEYNPQDEASEETALVPPGQTIYMVPYHAVELHDARLASIDITKWTAVP ASNSLLLSLFEIYFIFEYPFHSVFHKDLFLDDMLSGKQRFCSPLLVNAVLAAAWHGHQ GFQKRSEYWRPDNLGYRFLAEARRLLEREQTTSKITTIQATSIMNLTLCTNGLDELSW SLLGNAINMARQLNLFTPSPESDPKWQKAAAITSWSVFNMQAWHSFHMFRSPPLLEPP LHHLPDAEHDPSFFGEVHVRYPGAKQKVDINHGHVFKAMSGFRTILNAASLEVSMSEG DRLLPVRLLHYWRSIEGWYSSLPQCLKARNIALPSHLKLHMHMHVLLIRLFEPFEHVS QIFAIEELPRPGNIVIQSKMALETLVRLHYSRHGFEAYDPAMPYFVSLLAWNSLRDYK QMILAQNTSHVDAALSTIMLCAKLLWEQGANHFLSEVVFFFFRSSLPSLHEVRLLREV VDVIDEETGPRLALMVQEVRSQWPVGIFSTANMSADAARLSHFIDWCERTVDSQAQQR LSTSQSPDPFGSPDAAWIQYP PFICI_09070 MQFKVAALFTALSASTALAAPVSVAAAEEWTLQGVTRTCDAADT TCAWSFSISTGAANTTAVPCPYVVNATASAPASQANGGPTACGAFTVLSGWSGQFGEG EGFTTLSIVDYPNKLIAYPAYKDTELADGATVSPDRSYPVQSLP PFICI_09071 MGDDIVGQSRSIASLWSPDGDADADPQARKQSLVRLVRGNYLNV NEFRLLKELLSTVTLQRDIITNLPIELVILVIDKLNAQDIMACLMVSHAWNATLMSDK VVFSMADRLFPPLTWESLHHSSSSRDSQKALRLQFVNHLQKRLLLHDRVARWDTYRGY ERTYLWDTETEFKLAGQDYTRFPPPNLPSNERAVYANGHIAWQQETHTLVLDNLQDKS RKILAFPGARLLGPELKLAALGNQLVVATMDRHVFAWNIHTNVCERKTLPSLPAQCTT CRTQVVIVTEKGLYVWTIGGSLIEVDLPVYEIEFLAVKQFCKAFVHPRLDNVVYVRRV YRHTSSTLRFVIDKFVQRQHVKTLNYDVDIGWLTNERIASSYEGVIPLSEQNHYHEGR YLHEFDMFHESFLVREIPHNGRRHNETFMFNFDDNFAVELSEKKYWVW PFICI_09072 MASQSEPDQPATAPLLRSPSTSTLGPLSDTSSIRDASSDRKRRR NARVLRVLSFASAVFSAFCVGTISVFSLYAPRFQQALRFTQFEINGIASAMSISLYIL VPLVGYLCDRVGPGPLSLVAAALLAGGYGLAASLYHKGETSLRTIEAYDSHTLVPYMV LAFVFIGAGTSFLYLSAVSTCAKNFGKGKYRGLMLAAPLTSIGLGGILVSQFGSHFLC ERNPDGSRGEVNVFHFFIFLAVLLSVAGVFGGFFLRVIDEDELIDDAVDELERSGFLD DTDIYRRPSRAGSEVFRRPSRTGSDVFRRSGRGYGTVGGSVEDMENAGILDPYVQDED EEDPLLKKQWLLNAETRKFLTDPTMWLLAVGFWLIIGPGESFLNNLGTVIGTLYSPGL PGTETSAATHVSILAATSTAARLLTGSLSDLISPSPHTQHPQTGLESSGTLPQRRFLI SRIVLLSASGFLMSLGTLVLASGYVQGHGERFWIVSGLVGFGYGALFSLTPIIVTIIW GVENFGTNFGIIAVSPAVGATMWGLIYASEYQHGAKNSPLLADGTEDVFCHGRHCYTA TFWAMTISIWIGCALFILAWKGKNGWSRRGIVI PFICI_09073 MKSVFTQFLSAIVLSGLALGLPAPDKTERDALIKTTVAIPAGTV VGSVGVVESFGGIPFAEPPVGTNRLKPPVRLTESFGTIDATGTGPSCPQMFFSTDDND LLTSVLGDLLNTPLFQTVTGQTEDCLTINVQRPIGTKANAKLPVLLYIFGGGFELGSP QMYDGTGLLLNGVIMGKPFVYVTVNYRVGGFGFLPGKEILADGAANLGLLDQRMGLQW VADNIAAFGGDPDKVTIWGESAGAISVFDQMALYDGDNTYNGKPLFRGGIMNSGSVVP ADSVDCDKCQGVYDTVVESAGCAGADDTLECLRGVDYDTFLNAANSVPGILSYTSVAL SYVPRPDGTALTASPDELVTSGKYAAVPMIIGDQEDEGTLFALFQPNITNTDLLVDYL LNIFFNDATRADIEALVATYPDDITAGSPFNTGILNNPYPEFKRLAAILGDLVFTLSR RLFLSGTSKVNPDVPSWSYLSSYDYGTPILGTFHGSDILQVFYGILPNYASASFASYY LSFVYNLDPNNGSGILPDWPQWSESQQLMQFSNIASWVTNDDFRTESYEWIGENIGVL HI PFICI_09074 MRMQFVFTAFASFTIRTRALGVPANVKTFYNNAKAQGQCQKPIK SGFHDYNHLTPKSTYTDWSYCGDHKDDWGIVYIQGKNGQFANMDIDCDGVSREPNSGR CGHNPTDQSVTAMRDTLRGYAITGLTDLDTYVHPYVVFGNSGTNPHNVPFDPRDVGVL PLSIMLIVTPTVMASLISLYMKNTCGIWGDTNGGERDASYVGEASLATGELTFGAGVV NGTVSHEPNDVLYIAFTGPTAVPGRGGAAWAANTKDRFAASIYQQCMAMAARIQPAAA SGGGGGGDTGDGSCSWAGHCVGE PFICI_09075 MSEEVEMKVDPSRAAALISQLGSVSERIAAVAKGRKVRLVAVSK LKPANDILALTQPPTAHLHFGENYAQELTQKAELLPKSIEWHFIGGLQSGHCKSLAKI PNLFCVSSVDTAKKAQLLDEHRGKLISSAYPASPVPKLNIHIQVNTSGEESKSGCAPG ADTVALCKLVIEECPNLNLLGLMTIGAIARSKETTPENENEDFVCLREQRDLVVKELG LEGKKELELSMGMSEDYEGAIAMGSSEVRVGSTIFGVRGPKSEAKITV PFICI_09076 MSSDPLLTSLCGICHMREPKYKCPRCQAKTCSLACVKKHKNWSS CSGERDPTVFVPASQLRTDRGIDHDYNYLHKLERKVEQVEKIFTEERGILPQKTQDSR PNKRARVHKGQSRGRTTLGEGLRPWARASISRLKKLSINVEQMPYGMSRQRENKTSYN QKLRVINWQVEWLLLDPEHGVTRYLSKTPETTPLFAAFGECQWHLLSREEKDSEKREQ KARLRDQQGDKQQALVQHLQNLELGTWSEATNLGQNTATGQWNTAPHPVNTRTKEEGI KHEKEKYKFFLGNPRDPAREAKSLVPLAATDTLEVVLRGQTVVEFPQLYVLLATSSLH DGFILKTPKKDEPKPNNKKRKNGALVAYGSDSESGSDSDQELEPGSKEEGEVREDDDN GAEFDFENEEQRQQFLEAYHANAADDTTSSSGSGSSDEDME PFICI_09077 MSEFLGSRISLISKSDIRYSGVLHEINSEESTVSLENVRSFGTE GRRGGDPEQEILPSDQVYEYIVFRGSDVKDLRIEEAAPAKENKPPAVPDDPAIVGARA RPVGPPGAAPGPQNQPGGFNQSPFQQQPPFYPPGPGGSGGPWGGRGGPGPDPRFGGMP YPPPGWFPPGQGFPPGPPGPFNPYAYPGAGPHGHPGAPGMNVGGTPQQEKPSPIGPGA APGSDKPRTGATPTNAPSAEPKSIGQPPKPSANAPAPTPPVDSKPSAAEVKATAESLA SAGPAKAPQQPRNNVPTGPKNNRVQPAVPYPSAILQRGASSGAQGVPQAAAVAAAVKP AESASGSATAASIRDATQQAKAAVAVAMAKLDATNAASAGPSANGGNVMDNLTSKVNE MRVNATRGGPNRGRGRGNRQGGTAKIEVPVDDFNFEASNAKFNKHDLVKEAVAGGSPM NEAPSGPAPEIDESPADGTQPPAYNKTRSFFDNISSEAKDRAESSGPKPGGREWRGEE QRKNMETFGQGSVDGGYRGGYRGRGRGRGRGRGGRGGFRPRGESSAVPQ PFICI_09078 MSDTSNNGELKHEPKPTTVESVDDHEIGTARGNHSSSEDEVPND FWPRSRAPQPESPVTSPRTTPPNLASWGVDPHPAVCSSSLSNSDDGPGWADTQELMAL DLYDRLAETTEQMMVIKSHLAMCQKQLQALEAMLSSEQRSSNSGGHHVVRLAPGWPWY QAPVVQWPAWPW PFICI_09079 MLKDEKAAPISAGARACHIAFERCLQSASHPMLGVRQYSYTEDQ MARFSIWTSNMAVFAAWRFSLDYRLREADDVQRLMLGMLEVLKGRISECVTFISTLPD TQYHNLQVSSMQVHADFERLTTALASQVGLFHEVSNVIRKASRQTQNEIAASSFRIRD QEGNDLENVLQGFLDRNIRDRFPHSNSIIRERLASTMVLRRRRILYRRARYATTSIEP QQTPTDPTRATSHVHSISKSATTLNTDNFRRAYSPSAVSSASYISVGASQDWVFPSPP PTPEDPSVEVTCPYCLYVLPGHEFQNETRWKKHVLADLDPLVCLFDHCDEPQVLYSHR KDWLQHMHKHTERWHCKAKSHGLRKFGTFEKFLLHMKDDHTKNYNDSQIDLLAERGIQ SDRQLFEICPLCGISEKHDSVTQGNMIRHIMGHLRSLALKSLPPLYLENDDAVPDDED NSQQTRSTIKNFASDNDGPSSFDWLLEPESPSLDVVTRDGPHTIEFRNSPWEDLLQTQ ATTSQPILDPGPSALSDAIASPSENPQSQPQEPERRAWETYETKIRELYAKHTLKTVR EIMEREDGFVAS PFICI_09080 MGFNDPQAKRNALRDCIAFGDQENQLALLQLLANGCTAVLSKTD TEIFESEFAPRKIRQKPPEDVRSAAGSLFQVLEATRRCTCEPIHKYIVQLSLGTHREP VTSCDFDLYFGLEQTWQEARVQTGAPMHSAVPRHQTTGENSGRSIRRRDQRRRVHQLC KDILNTKRRFPDYRLKFCLEEDCLWKLQSEESDLVNDKSKAPVSLTQLLTDGVTLLNE RIKRILSVMLGYAVLHLHKTSWLSPTWGSDNVMFFRSVDGLPMRPYIRTPLGDDEATG QSSSERANDGDEEEFDPDDLLLPPYPYLIGLAIVLMEVHKATPFRILSETCNVSASDE DDLAARFINARDVFRRCNHEFTDKTRMAIEACLDPNIGVDDAGQDINDTDLHNVIYRR ILHRLEDELEQGFREVLVEQLDSLIQDLDLANGGRPMLGMKKRSMALSGGMNTLKRPL GDDSANRRVRFQIATSTVIARTSHDTHATPIKINTSNDQRESLGKQIESTTRSTAWKH ASTEAIQKFLRPTMPRKLPDDRPDTLRVMNIPEDISKLQLRETLEQYFAWNCKIHSLA RASRDARWKKHATVTFPGMSNLTLVETIHKLQHQVVNNNFEFDRSFLGLTPLYDSGES ATLDIIAIEGLGTNAFGTFRAPQGDLMWLRDFLPQSFPSCRILLYGYDSKVPDSMSNQ SVPEIASTCKSFVTNFRRMTKTDERPIIWLGQSMGGLIIQEVLVQAHKHRSHGSSGNL SDQTIGFLGYGVPMNGLRNESLLNAVRKQPNFEMIRSICLDEGDRPSRYLEDLATRFA LCNERLSVHHFYETNPSPDIWKPEATPQ PFICI_09081 MSSRQLRKLQKQRELEALEKPALEESEESEDDIPIAKPKPSLFS GFAALGGDDDQDDDDEDNEPEPEPEKEEEPQPVEAPKTSAKKNKKKKKKAKKAVEETS SKDAPAEAEHDDEIEKALRELNLKAQASGNAAHAVTTPATIAYERICELLRINTYHLK VLNEMRNLFGRDAMEAAHAEEQEEEHNRTRRRGMQQLQQNVDLETYLKGVPGKSLPEV TLRRNPFLPGKEIWPRAATDGLTMKQINDGAMDTKCGTVEFGFAHDERYNSLETTFFQ LVQMYDPMQLVHFLHRHPYHISTLIQVSKVAKQDQNNALAGDLCERALFTFGRVSISA FRQKMEEGKARLDFRRPENRQFWLAVYHYLKSLTMKGTYRTALEWAKLLLSMDLSDPY GVIHFLHPLAIRAHESKWFIDFCDSEALDHCDTAQDYIRQTVVLARLQQKDTAGAKAL AVEGMERLPWLYSALFKSLNQDVPRAIWGMEPRDDHEQLFTELYIHQTKQLWENSQAL GLLKEAASLAQKPAIASLPPPPLAGRNVGRFVYLDNTPALMGLVPGGMLHGFPNWDFD PLPPTQEDNIFSYETQCLPWNPEPPAAGTGLQALNLANVGGRNAILRMIDMARRQGAP PNALRALEEAMAGLDPNADGNVVDPADDSEEEDRDEEQDDTNQEQQRGRWEAFVDYIM PTRFAHASADGTSNLQQHSNMAPGGWPSDSDDDDDMPAIIQEALEDSDVDLPNVAPGS ANNSNNNGGRQATVEDDTDDDMPSRG PFICI_09082 MADNHTYKFNVSMSCGGCSGAVDRVLKKLDGIESYDVSLEKQEA TVIAKPELDYETVLKTIKKTGKKVNSGEVDGVSRSIELPTEVSAAA PFICI_09083 MCCSLASMISGCLLLQVALVQCAIVPGKAFDRFISIWLENQDFA KVIADPDFIDLKRYGISQTKYYAHTHPSQPNYLASVAGDYFGLNHDDVVRLPSNVSTV VDLLEEKDISWGGYFEGNPGPGYMAAGSYAVDGTWDYVRKHNHFVSFDSVNKEGLRLA KLLSFRDFQRHFAAGIVPQFVMMSPNMNNDGHNTTLEYATKWAHEFLLPLLADGAFAE PTVIQLTYDESEDYGKPNQIVSLLLGNGIPKSSQGTEDGEFYTHYSVLATAENNWDLA NLGRYDVGANVFKFVADVTGYVNKDPENADSVDNSISYAGFLNNESSLEVPPPNLKLT GAGGQPILESIRKAWQTDEFKASPYDGSGRVFDGDKNAPEYKIQG PFICI_09084 MSSQAGDQEKHPQPSEDGTQDDDDQHEDGGPRKRQRVRLSCLEC RRRKLSCSRDLPCDRCIKSGTPEKCTYETRPGGATVVPISAAGVANGSNVGVAGGRTV PVDLTRPGMRREQDVLKDAAKDHDRIRKLELEVAQLRSALAKQTSVDGTTIAATPSTA KDVVAKDSPLDIPVPSFLRRCKPGSDGLDFKFVRGHNFKTRFFGPHNAWSCFRELTGL TPFMRETAEEWLRPLNIANKDRKKRGENRQRLFEAPDADLEALVPSREETDSLVAVYF DQFEQLHRIVHVPTFKRDYEKFWEPNPTRTGAFTALLLAVLATSACMDMQTTSKYVGV KSNAFQTAERWTKACDVWLAKQSHKHRKMLHYQVMCLLYLAKRANVIKKKQYWTNSGS LLREGIMVGLHRDGDEVSGKITPYYREMRRRLWATIMEFDLQASFDQGVPTLLSQLPI DAKAPRNIDDDEFDEETEELPTPKSASEYTFSSYQHIARQSLQTRLELNRVMTGPMVD LDWDRVTSYCEMITTEIDGLPPWDNEELNKTNDSRKPVLAHTLLHIQLRQYLIPLLQP YLRLRQHNSKYQYAEFMYYTAARDIAMMHHRLFQKGIRTLYYLREDIMHAAISLCSVS LHQPKGSTSLVMCNIEETLKLIATMISIKEDRILRCGNNDPWGYSSMCAALGLLETHL GKKTFENAKASAAERFISLHYKLLAYQIPPFPDPDAEDGHGGAPAGPMSRGPGGQDQH PTPGRPKQTPPCSADGTPVNSMQLGTAPYHRDGLPLSMPWLLPPTTDAAPMMPNPELN SGLELIGMDLNDLWSGNDWTGMDLM PFICI_09085 MPPKKRAPVYVLGVGMTKFIKPRGKVDYTELGFEAGVKAMLDAQ ISYDDVEQGFACYCYGDSTCGQRVFYQFGMTQIPIVNVNNNCSTGSTGLALGRMAVAH GGADCVLVVGFEKMMRGSLQSFFNDRENPTGTTAKMMAATRGVTNAPGAAQMFGNAGR EYMEKYGAKAEDFAEIGRINHEHSIRNPYSQFQDVYSLEQIQKAPMIHEPLTKLQCCP TSDGGAAAVLVSQDFLDARPHLKDQAILIAGQTLATDAPSLFSKSSIDLMGYEMTQYA AKTAMAEAGIKPSDVQVCELHDCFSANEMITIDALGLSEKGKAHEMVRRGDITYGGKM VINPSGGLISKGHPLGATGIAQCAELVWHLRGWANNRAVPHTKWALQHNLGLGGAVVV TVYCRPDRSEAPKIDSAAIGKANKLGYNPAVEAKGFTAAQATAVRSKNRSSDWALQDT EQKVEARF PFICI_09086 MSGLGPGNDVPDVTQGPALLGVSVTMTALALLTSILRFCVRVRI NRKVVWDDLVLGVAMLFGLIGAIMTLVEGANQENMTTVIKFDYLSQPWLSLTSALSKV SICLFFLRLVSRIRAWKIVLGAQASMIVLVSLIYCFTTLLQCRPLEKLWNMSVDGECW GMEVQHGIEYFQGAYDVFSGLFIALFPLMVIRDLGISRGLRLPFYILSITSVV PFICI_09087 MPIATLFSNRIRPISQALSAAASARENDDAVSILSRASEPSKLS RPSRRNSTGSKFVLESPSRDSFEAQSIRDVAGGAEAWPMGIIKTVSVEVTQESAPDLE RGLTIGELKRSASREDWYRHLS PFICI_09088 MASSDPAPDDAKPGVIAESAAVITEAINQEHELSFIEAVKLYPS AVGWSAFVSIGVIMLAFDPQLLGNLYATPQFQRDFGYEYNGEYIISAPWQTGLSMGNP IGQVVGALISAYPMDLFGRKITFAACVILTAGLVFIQFFARSLSVLLAGELLGGLVLG CYVVIAPAYASEVCPLALRAHLTSYTNLCFVIGQLLANGVTAGTSKLDNHWAYSIPFA LQWFWVLVILPGMFFVPESPWWLVRMGRMHEAEEALRRLSSSKVNVSATLAVIAETDR LEREIEAGSTYLDCFNRANWRRTEISIGVYCTQVLSGIYLINYGTYFFQQAGLATEDS FYMSIGFLAVGFVGTLGSWFLMLHFGRRPIFVQGLGVLVILQLIIGILDCVPGRPSGV AWAESTLMLIWNFAYDLSIGPVCFALLGECSAARVRSKTIAVATAAQGVIGIIMTVAI PYMINPDQANMQGKLGFFFGGLAALCYVWAYFRVPETRGRSYDELDMLFSKNIPARKF KDYKVDGVANAEN PFICI_09089 MADHGTPSSAHEVTSSSSNNNNINNNNSSHNANASLGSAGNASG SSRSVKRPRPVKSCIECRNRKLKCDRLLPCSQCQKSNRNCRYAADGDVNNLSDASDAE VPDRPPKKQALPINAESPASASKTRDRNLTVPISLWEDYGLRLERLEKLVLSNAKSPL VKDLNLRAPPLASSTTTIRGLTVKGSLRTRFFGQNSTRVLLNLFDEAKEFMFSKTKPH SVREIFTNIQKIHKSLQVEQAKALAPITVYVDSINPVHKRMADILPKRVVCDRLLQVF LAGSESLYRSVHIPTFTNQYERWWDGTLQSESFLPQLLSMLCIGYRFYGSETGYGPDR ESIHIPTACSLVKEWLHNLRGKNLMDFGTLQAEVLLLMAQRMINPNNQDSWTQLGLIV RMAMTMGLHRDPSEFPQKISPFWGELRRRVWYTILELDLQMCIQCNMPACIREGDYTC RPPRNVDDGDITMEMTELPEAKPIDQATDSQIQVFAASTLSVRFKVIEIINGLDTLTD YQPVIDCGNSLERIFDDMRYILLRKQPTTPEEATRQWLTKTILDMHLRRALVALYRPF ALSTPDVPQEILTSYLRSSVVMLTYMDDLDRDSPNYTQIFHMHHLVLKQDFLQAAFSV CYYIKHVADLSDEESTSPQPYSHTSASRPDSFAEACLLATESSVLLSPSRLVSVLERA LDAMNSRIREIGTDLKDLVSLTVVLAHCRGGPVEVVHQRVINGLRAIVDAGLHSIHTS QTDIASLPILQPSPITMNSMGNAPGFMNSQQPFMFSPDLPNITMADDFAIWDMEFWNP LLQNGP PFICI_09090 MGPPALRRNVLRRSTAIRHRAKSLGPAVKREASQPPSDGVVRKS TKIRKTQEAVSQQPEQEDAGPEAAAILAKYNRLHKDQTLSGLPRDWASLNMLIRGRPD IKKSDAERGVNIIQRLVAEPTGGSCEGTYKDLEKLLRSLPGEKTPEAEIEAALILARR MEAHFNIVPSQIVKTPPSPASTKPPTPKAADPTSKPAKTATSKPAETFEEMGVPASED TEDRGRVSRRDNFNNFNRAASRAASRVRDKVRRSLSRSRPPTSRASSPQDNREEVTRG RAESPPVRSRGRTARARRSRSVHGDPATRTSGSTRQS PFICI_09091 MSNGVANGTTSTGSPQRYLSTRGDDYDFSFEDVVLKGLANDGGL YIPEQIPSASQWESWKDLSFADLALEILSLYISPSEIPREDLKGIIDRSYSTFRAPET TPLRHLHDNLYLLELFHGPTFAFKDVALQFLGNLFEYFLVRKNEGKTGRDRHHLSVVG ATSGDTGSAAIYGLRGKKDVSVFILHPKGRVSPIQEAQMTTVLDANVHNLAVTGTFDD CQDIVKALFADPDINSTLNLGAVNSINWARILAQIVYYYHSYFSLAKTNPDFKVGDKV RYVVPTGNFGDILAGYFAHRMGLPVEKLVIATNENDILDRFWKTGKYEKQAAHGAEAQ GGLPQDGVKAHEDGAKETLSPAMDILVSSNFERLLWFLAYEFAESAQMDDEWNKKQAG QEVASWLKQLKTSGGFGPVYKDVHEAAKRDFDSERVSDSQTVETIKSYFNKISYVLDP HTAVGVAASARSLQKAPQTPHISLSTAHPAKFAGAVEMALKSEPSFSFEENVLPTEFV GLEQKEKRVTEVNNDWKAVREIVKKQVEEELQAAAH PFICI_09092 MAGHHRAHSSLSSDISPTSAGNRSGDFITQVPGGDVPPMPSLTP PTVGGLQCPTPTQTPPAAGGLPRPLPTQTPPPIGISRSASSAGAYRRERPLSRSIPYQ TGYSNEFDGPMSARSLRSTRSQRSTRPLRSDDRDDWDDEDYYYERDRDAWRSRDMDRF PGRQRSMREGTRPPQAWRNRSLWDGSDSSISLSKPYFVDETASQRELRIRDEEMGYVP TWSSLSREQKVEVLRLPLTHWMNSELKNHFVASTGEFIGTSLFLFFAFAGTEVANLGS QASDSNFDIARLLYISICFGFSLMVNVWIFFRISGGLFNPAVTLAMMLVKAIPPVRAG CLFVAQILGALLASVIVRFLFPGSFSVRTSLSDGVSLVQGVFIEAILTAELVFTIFML AKEKHRATFIAPVGIGLALFIAELVGVAFTGGSLNPARSFGPCAVTGQYEAEHWIYWI GPILGALIAVVFYRFIKTLEYEMANPGADGDVANDPTINPEKRAEIQSHRKRSMSVAS HYQ PFICI_09093 MPTTYANWDITAEKAKAVYVYTRLGKHGLGRCAEWEIMVTNTDD KPIALWVRRVRENGLETGEISLDSTNDRHCIGIAMNEILLWQWGHIFELKFGTPTDIN RFISKMRDDAGKYFQITNVPELDDAYWIATSLFRTQKIFGWQGADLGQLHSLYNQVAA HHARQVGSETKSVALFTRKSMGNLRGRSRHGTYNNRWSDT PFICI_09094 MNCTFRRPAASLVRACKAPTSPRPSTVVSPSCAVPSWTRRSYAF TSAGAPIHQVFNRRTKWLQKERAASNLEQSRTADYLKDEVARRLCERLLDIKRNYPKV LDVGANSCNIARALVAPDLEQDPNMPESTPLVSRVGHLTAAESSESLLFRDAELDFNK EINMTREILQDEETLPFEPNSFDLVLSSMSLHWINDLVGLLAQMNNVLKPDCAFMGAM LGGDTLFELRTSLQLAEQERRGGIGPHVSPLADVRDVGGLLQRVGFKMVGVDVDDIIV DFPDIFALMQDLQAMGEGNAVLGREMGPIGRDVLLAADAIYRELHGNEDGTIPATFRM IYMIGWKESADQAQPLARGSGQINLKDILENK PFICI_09095 MASSAAAGFLGRSSNNNANMRGLVQFIADLRNARARELEEKRIN KELANIRSDMGITDGNLSGYHKKKYVCKLLYIYILGWNVDFGHLEAVNLISANKYSEK QIGYLAVTLFLHEKHELLHLVVNSIRKDLLDHNELFNCLALHAIANVGGREMGEALSG DVHRLLISPTSKAFVKKKAALTLLRLYRKYPDIVQPQWAERIVSLMDDQDLGVALSVT SLVMAVAQDDPDAYKGAYVKAASRLKRILIDGDYAADYLYYKVPCPWIQVKLLRLLQY FPPSEDSHVRDMIRDSLQKILNIAMESNKNVQQNNAQNAVLFEAIDLIIHLDTEHALM RQISSRLGRFITSRETNVRYLGLEAMTHLAARAENLDPIKQHQDVILGSLKDRDISVR RKGLDLLYSMCDSTNSGPIVSELLHYLQNADFAIREEMALKIAILTEKYATDIQWYIN VSLRLVAVAGDHLSDEVWQRVIQIVTNNEELQVYAAQNTLQHVKQDHCHETLVKIGAY VLGEFGHLIAEEPGCSPIEQFLALQSKLPACSSSTRAMILSSFVKFVNLFPEIKPQLL HVFEIYSHTLDSELQQRACEYLTMASLPTDDLLRTVCDEMPAFPERQSALLSRLHQKH ANTSDKRTWVVGGKDANADTSELNMAKNGGLKRTFSSNEPNRNGAANGTNGTNGHASN DLMGLDLSAGPNEQKLLKAPNLASAAHLSPGWEQGYYKLLLKADGILFEDGQIQIGVR SEYRGQVACLILYFTNKTPAPVTSFTTTLDLDESEKEKLTWDVKNMPESQIGSGQQAR EVIMFECKRVFEKPPTIRISYLAGALQALTLKLPLTAHKFMDPAELSSEDFFKRWKQI GGAPREAQQIFGIVAGKESTREIHDTFIRKTVQGFRWGVLDSVDPNTKNFVGASVMHT SEGGKFGCLLRLEPNYASQMIRLTIRATDETVPPVLLKLVQDRLALGISKKKPLVEAP SRREISDAFSNVMVS PFICI_09096 MHDGVHPTGFLDKRSRRAWNGLLVIIGIIFVTLLVMAGAMVHEI IEFQEKTPEDINNQEQANTWMIYKRAAAAVDDGHHPNAVLTLVPTLETVDSTPGHETN AMSVMIETLITIVTQTVYPNSHTSTTPTPKHPFGSTSDAASSTTGSPKEHPSEATAAT SGTISTDAVVKTGLMWCADGQRLNDVYTPCTYVYTEPPSPNGQAQATGAAMQSTPVAS SAAGRKRPLSLVRICLDIAQWSIDNFPGWHPAIDHYASTHSPHINTFEDKLRKAFNRR VRQDSQYRQAEAKMQHCVVAAMKIWTEAAVHSAKLPIKGDTSACDEELKIEMAALEEQ ILELNRQLDTSKDDLDKCQRAFPHHEGTNILLI PFICI_09097 MNTDLVEKGLGTHSSSPVGKDQFAFFKSLVLWSTAWAVDSFFVQ MISMHAMFRLYDRSINSALGWTCISFSILWFGMQLFSLTGEFPGTTVANLQRCASVEN GIYITTLFWKNMSYGLALLLPLTHMSEYLKNGSWAIFHSSLLVWFGCLVFSLVAFLEI MEWPNWCDMTFTSYQFVIWGTTDLYVSLIFAILIPLQILVKHRRDETCPNHDPEKACT CDTPCNDRSSGDDGTLPQLVPPTQPQPQPQPQPQTPEESGKTQDTDSGFESASTVTEP WAQVGWAMSTYPIPSVHLTDVDETSGACTEGCSSSSFHACSYNNGPFYPQASNVYW PFICI_09098 MSIIQQHTASSLTDAWRTINIDALDPDSSQNFDTSTLHPPLPEI SDADVRQLKEGVRQLLRGGDAEGALRGCLESPVYNSDEATKDAHLQTVLEVLQSIKAS EMTPMLQRIYASEGGSELLDVLTKYLYKGMSASSGLKAPTRAGSNVTPQATGFSQMSG RPGAANESTGAAMSVLLSWHEKVVDVAGLGSIGRCMTDWRKV PFICI_09099 MAVGQICSSASMTLNLEQCVKLVSKAAAAGAKVLFLPEASDYIG TSAAESLSLARPVATSPFVTGLQSAAAQHSIAIHVGIHVPVAVKALGSSAVNPVLTSA PQESSSSEETATTKLYNRTIWIGEDGQINEKANYDKLHLFDYASLRESNSTQAGSSFT PPFPSALGRVGSLICFDMRFPEAALRLAKPGPRSGFAHAPAQILTYPSAFTVPTGRAH WEVLLRARAIETQSWVVAAAQVGRHHGPGGKRVSYGRSLVVDPWGKVAVELGGVVGEA EQDWEAEDGAVGQLGLVDIDLEQLASVREKMPLIRRT PFICI_09100 MQCSLKTTLLAILLAVQGRVCTTYQIPLTGPRNDIPPIDRVSVA DWKALNRSVGGRLFANLPVGLPCHDNFNGGPRQPDIAACMEAILNRSNMTFLSTTPAG YAQGNWDICQSTRVGCSLSLRSSLSDSSEACTQANLPSYYVDVRQVGDIRTVFNFANK HAVPIVVKNSGHDYQGRSAGPGSISLWVHNYKPAISLDLSFVPEGCDGSTGDVVTFGT GHSFDEIYTFAHQHNVTIVGASSGTVAPAGGWIAGGGYSNLSPAYGLGVDNVRQIRVV LPNGTYTVANSCTNRDLFFALRGGGGGTFGVVTEMSMRALPRIAALQWAYVAFRDLSV AGQRRLLDVLARNAEVWFDAGWGGRASPAGPRPDGIYSVQLLNPRISSRDAEVSLRPL LDLANHMGGRVSLETYPSFYDLYARHVRGWDSPSGSVGFARASRLIPRSSFTREANRS ALLDTILDTATQAQLGVTIHLVAPSRGVQDIGDSAVTTAWRRSLWHLVYTAAWDATSA TADEVQDEFWGVSRAMDPVRRLTPQGGAYFNEADLFEPEPARAFWAKDNYAQLLQIKD SLDPNRILQVHSGVGSDHGGELFKCYPSASRREGSEVEL PFICI_09101 MEPETSSSSSVTPLERSTSQLSAASAGGRGHTLRTRSRQRAKLT SQPSSSASSIAASDKSLTSFPSFSPDSPREARPFFESFAEPSRSRTPAAADRKQSNPQ SINSIVDSLTTETPRSSRNALFEDAPISTTKIPGALHLADDDHIQRLITRHGAPNLIR QVAEDLAQRDAQIANMRRKADQRERALRRIILECGLSNLDLERRLREVEADLKAQEQS KKGGLSEMMNDAMQDTVTYGTNGINDATIRGNTISVPNDGRSTGTMRGWRDWIMGTGT SKRNSRASSVNGDISKGPLKAATPGDRRPMIQEDQFKPPDSESVRSSSRASSIYSGHT QRKPSTSLASMALQLVAGRATGRDGDSVRGRSDSTGPGGGSLRTSSATSDRTNASGRV VSSQVGPKALMAMRRATPAPVNLPPRSTPLERWDNAGASPPSPVASRPDNSGPVEMDT IFSPENQPPILTHVYNNHAGSEFLTDSFGFIFDQRRRKRQKEAAQVALQMKRGSRTEM LTGKLTISPSLIEDEVRSLPGNIERPDSPFSVDEAIERPKRWQDYLKIATFPTELLSH TPSMTASALEVLSGDESHHPPGLILSEERGFLPPASTTAAVNDETAASESAKSTEEAS ASNAVPQDDIEPVKLLLDQLNDVHDALQREKTFKWNEFMRKVRAERRREGEAAAAAAM AAAEARYQEAPLILPEAKLADGELIGIADLGVKGKVGRNKWIEFRTLVLGGIPVALRP KIWSEACGATSKRLPGYYDDLVAQGSEGLDPATVTDIDRDIDRTLRDNIFFLRGPGVQ KLHELLVAYARRNPNVGYCQGMNLIAANLLLIMPSTEEAFWILVCMIEKMLPEGYFDH SLIASRADQQVLRQYVAQVLPKLSQHLDNMCIDLETLTFQWFLSIFTDCLSAEALFRV WDVILCTGDGSTFLFQVALALLKLNERQLLACTAPSEIYTYINRQMTNHAISIDGLIQ ASEGLRKEVKREVVESKRQKAIEAEKQLMIEREELREARLSKKAMSAPALVTPESASA VPSPAPSPLLKQGEDASMASMSVADLRELDEAREMMAHTPLPTEEEVGMGLG PFICI_09102 MLYQRNMRYQQEMPHPQMMPPPHPQGMPPHPQAMPPPHPPMMPP RPQEIHNPQMMPPHPQAMPPRPQETPRPQVMPSYPQGMCLQEEMSFLQEMRRQEEICR QLEMRLQPEIRYQQKIRSQQEMRYPQTMPPHSPETYYPQTMPPHSPETYYPHQMPPRP QEIHNPQMMPPHQQGMRHPQNIHHPQHILNPHQVQQDASQHQAQDRPCEQRIEPKRRQ RAHKTPKPPKTPKPPQDPQVHVSPVKQRAPLVVDGRSEWGKRKGSVRPPQKPGHVEAS DPVKASTQRPDPDHASDPVKASTQRPDPDHASDTINAPAQDNFSHQGL PFICI_09103 MIAPDKNHLATEPVNAWADVQTTCSVGMRTMDEIDAAASLIELH GGWRNGTLSGMENDPALSRYMLERPPLSAYVPSMQSGPTSLSSRPRLSHPIDVASTCK KFSETYDVEGDPAKLMNAANDTPDLPNLPKYVEEPPSPPTVGHYSQIQQASLKPAPPK QAPPEPAPSAPASSAPASSAPASSAPAPSAPAPVAPTNSKRKNRSRKKNKQKTILEPS EDNQAQTSDEPSSTLGGTKTIVGNSRISQQAVAEAKTALGCNVGTKEDSTAEKSDGDG HLPSTNSCAVAFGEEMTMKNSHVLSLQQPADATGGKSAHAKNSPAEPQITDSSKSSED IKDATASKIPIEVDDSGVSSCPNQEAEELVSGHQAFDNADDPANDHTKNRSSAGSSAI PKDVTSVVSETPISGADGKTPPRQIASPGAHDQRGLVNDQATLADDLEGYQLVKNKTK LKREKQAKHKEKPQAQRNLPEDNFGKNPTSTRDKAGSFTIKSARQGRALSGQGSRYSQ KNQAAIAGTPLLNGTRHHVEALPGQAKSEPGAADTSSSGVKDETRRVTGGDQNDKDHV TKGIKPTYSAVTSHGTPLDKSQRKVPPSQGQKFLLKKPEKVQEKGEMQADDTESSARV THITSLSSSTGELLDTSFSPSPLSKAETSIITEVQQLGPLHVHDKQALTETTPSIKPG PDNAHEDAVCDASQTREIETGRDCDINSRVQEPLNDIGKVQEPTPLHDQKPETVLDAP PLHPLTEVDQAILVKADSGQKAKESAILDQITPQPNDPESKDHYELSLYQTLGRERPL ADQDQLLA PFICI_09104 MATSSHNPFPRSPNPSTRSYDSSSVSSATSPKPSTQYLGSLMGT SSTRANIVHAPQPIGIPPLPSATQSVFQPYTPMTGNSIMGRDSLPSNDSVAGTPGLSN AQLSANVQAQKRAYRQRRKDPSCDACRERKVKCDATETTSCSECSSRNVKCQFTKETN RRMSSIKQVQDLEKQIERVRRENSSLRRMLSERDGQMDIDMEGADHLPVPIPEINSNP KQRKRAAPNHDPARERANFRNFSKGLFKPPMPYRQPPSSILFDPPLPQLPPKPATTAL LHSYYGALHVMMPLLHWPTLQHDVEELYQPGGIQRAPKSWLSLFFAVLAVGSLFSTDP HPDRTYRAAEFLEVSRTMTDPWNNDFDLDSVRASLLTFISLQELNLKSAAWTWLGGVV RAAQDLGLHLETGTRSRLDADMRRRVWWAIYIVDRMTALELGRPALIHDSDCDVALPE PIDDHFLSPEGPVHPVNVEPLTHSLHVIINVVRSIPAITQASATTPIAPTRLSTFDAH FIACQRAFPAACDPSSNLPIPPHMLMPLAYLLNTRLLLHRNNLSPACPPGVRTVAIEQ CTHTSIETALLIGRTNASLADTANTLLTQHVFRSALFLILTGHNEYAATCVRALKSID ARRDVAIPCGRFISFFVSIVSSKRSEFAAYYPRSIPVQGYQHQPPSSQAIQDRLLADE ELLTYVSADLQAGSETSWIWAGAERDAAITSAPAGGGLTRVENRTGLVQEELQDWGGW DRLDGLLRGAPTGPWPAAPTYTSPAPHQSLPPALPPTLPPIKMEASPSVPRPSTTGPE SAGNSPVPGAAKRNTERISIANII PFICI_09105 MCKTPMHEFLAALPKCEHHLHIEGTFEPELLFELAAKNNITLPA DKDAAFASIEALKARYLTFANLDDFLGYYYIAMSALIDAADFEALAYAYFKKAASQNV RHAEVFFDAQVHTERGVAFETVVSGLKAAKARAERDFDLTVELIMCLVKHLPLPSAME TFAHARDGGFFADGTLLAIGMDSSEKPFPPNMWTELYDAARAAGIRRTMHAGEEGPSD YVVQSLDLLGAQRIDHGLRTFEDPALVKRVVDEKIMLTTCPLSNLKLQCISSMSEFPL RQLLDAGVRFSVNSDDPAYFGGYILENYWVLQDTFGLTVAEWEGIARGAVLGSWCSEE RRAQLLAQIDAVMAEWSGKL PFICI_09106 MVHLASIPNDEEINKLPEAVRKVTLKLANEEDQFTTSVYGSKFA AQDLPRHEMPDGEMPKDVAYRMIKDELSLDGNPMLNLASFVTTYMEEEAEKLMSDSFS KNFIDYEEYPVSADIQNRCVSMIGRLFNAPTHSESEGAVGTSCIGSSEAIMLGVLAMK RRWQKKRRAEGKSTEHPNIVMSSAVQVCWEKATRYFEIDEKLVYCTPERYVIDPEETV SLVDENTIGICAILGTTYTGEYEDVKAVNDLLVERGIDCPIHVDAASGGFVAPFVVPD LEWDFRLEKVVSINVSGHKYGLVYPGVGWVVWRAAEYLPQELVFNINYLGADQSSFTL NFSKGASQVIGQYYQLIRLGKRGYRSIMSNLTRTADYLSEALEAMGFIIMSKKSGEGL PLVAFRLKPNEDREWDEFALAHKLRARGWVVPAYTMAPHTEKLKMLRVVVREDFTKNR CDALIVDIKLCTELLESMDKESIKKHQEFLRKHSVNSAQASHNHKNYKNEKHSIQGKH GKTHAVC PFICI_09107 MIDHVLGRPSVKSRRFQVLAVLAFWSVYIFKGDRHGPPIIRLFS KLSSKKLTAWQTVLFTMMYLYAARNFSTLVGLASPEPMSNMYDKKYFRATWVLTALDA GYWTAMKIKYKWLRDIASLVFSVFYMVAAEQADEKVRKVRGMLTVEHLRVSWNKGTTP YLQFLQGLVRPRFTRWPPRAIRIPRPSSSDYNEVVSGWLYYDGPIADLEHINKMILDI PGGGFVAMDPRCNDDKLFAWSGKTGLPVLSLDYKKAPEFPYPYALNECFDVYTSIVKS KGRCIGMSGRRVPKIVLTGDSAGGNLATALTLMIIEHNMSHKRSGSVELPIPDGLVLL YPGLDMNIGNWMSDEQMALVKDRRMRGTNKNYLRRKSRQYTDVAGTPHESEDEGDTPS MDTGAAKPAEITRAPTDEALRSPHPEYSHAHPQTWPQSPAVSPISQRRNSRSNSHKPQ PPLQTRLAMSSMISYFNDRVLTPEMMRAMIILYVGPHNRPDFSQDYLLSPILAPDILL QQFPKTHFLTGERDPLVDDTVIFAGRLRKAKQAYFSQRTSQHLGVLDDISDFDEKDVA EVVLIPGISHGFTQFPTLYPPAWKLIDRCAMWAVDLLNAAEMRDRREYEQRANRHHRR VESSGDEDRPLEINMTRGAAARGGRTSSDEKMAKEMPQSDTAEEAQESDGLSRRKRAN GRARLNGKSKSIIKLKSTDDLLGRRMQGLAGSLTGVRDEDD PFICI_09108 MAFRLPVRRAVASGRRYLSSAQSAAAASNLSHEAQQSIARDAAL PNPDPTEDSASAAMVKEHQKYMLATYARPPPVFVKGDGSYLYDLENRKYLDFTSGIAV NGLGHCDPGMANIIADQAKTLWHASNLYYNPWTGALSQLLVEKTLESGAMHDASTVFV CNSGSEANEAAIKFSRKTGKVLDPSGAKHEIVSFHQSFHGRTMGSLSATPNPKYQEPF SPMLPGFKYGTYNDVAAINELVTEKTCGVIIEPIQGEGGVNVATDDFLIALAKRCREV GAVLVYDEIQCGMSRTGSFWAHGHLPKEAHPDIITTAKALGNGFPIGATIVNNNVSEK IKIGDHGTTFGGNPMACRLAHYVVSRLADPELQKGVADKSVALKKRFDQLRERFPDLI SEVRGKGLILGLQLSQDPTPIIKAARERGLLIISAGTNTLRFVPPLTITAEEIESGLN ILEQAIESTR PFICI_09109 MQSLISAFLLLWALAVSPATTVLASPVDKRQSLAGVTQNGLSGS CKGMTVIFARGTTELGNVGSVAGPPFFQSLSDKMGGDIAVQGVQYPADVPGFLAGGDK GGSAKMAQLVTQAMTQCPDTKVVMAGYSQGGQLVHNAAKMLPASTTSKVAAAVIFGDP NNGDAVQGVSSANTKVICHTGDNICAHGDLILAPHLTYGRDADTAASFVASAAA PFICI_09110 MLRQLTTALLLAAGVAAQNATSYDYVVVGSGPGGAPLAANLARA GHSVILLEAGDDQGNNPNISLIGNFLPAASDEKTRWDFFSKHSEDPERELKYEHMVWR RPDGDFYTGLDPPAGSTQLGIWYPRAGTLGGCAMHNGGICALPADDDWNYIVNITGDQ SWKAENMRKYFVKMENNTYLPKDTPGHGFEGWLQTSIGDYGFVNGTNGFRTMAEQIAK ATGGDSAKLPELAVRDVNALDPDRDQDVGIFSLALHMDTQGNRIGTNTYIKATLADAA KYPLTVQVDSLVTKIIFNNDTANPAAIGVELLRGKSQYSADPRHNATAKGITQQVYAR KEVIIAGGAFNSPQLLKLSGIGPADELKKFNIPIVKDLPGVGENLDDNYEGYVLGLAN KPFEGAAPPPMAVLLKTPTSSTGHRNIHGWCGELSFEGFWPYFPRDYGPAEYECALVH MNPRSQAGYVRLRSADPTDMPEINLRFFEHGEDADLQEMLDAVKVFRRAFGAAPGPDI APFDELHPCPGVNQNCSDAQIKETLKLQAHSHHPTSTCAIGPASDRMAVLDSKFRVHG IKNLRVVDASAFPKVPGAFPVCPTMMLAEKASDDILTGA PFICI_09111 MGKRKVAALEKVEADLAGLQYKIRRDPLAYEKEFLDHFRQYGSS LDQFMAAPLSSDSGALISFREQIDLIAHVADLYPEHTAGFADELKEIITKHHAILEPE LREKVVSSLVLLRRKEVVDSSYLLTTLFPILTTTPSKSLRSLLFTKIVSDLRSSNTKT VNHKLNRTMQTVLYNLVTSDPSSPKALWAVKLTREMWKRQLWTDAKPVDVMRQACLAD NEKVVVGAVRFFLGGDKEREEMEDDESEDENIDIRKVKHQIGINKKTKKKAKALEKAV DKVKRQERKKHAPHPLNFSALHLLHDPQGFAEILFQKHLQGSKVKLSLESKLLVLQLV TRLVGLHKLTVIELYSWFIKYLTPKQQSATSFLASLAQATHDHIPPDVLEPLIEKIAN EFVSEAAAAEVCSAGINSIREICARQPLAMGDSLLQDLVQYRKSKDKGVSMAARGLLS LYREKGAELLAKKDRGKDATMGLKSGDQKQRKFGEEAVGEIEGLELLEKWKEEERKRK RAEKGLPEDADGDENDEEEEQDDWEVASMDSDSSGEWIRVSDSEDEDEPIPKKQKKSD EDEEAEDKDAEEEIKTLSKLATTSILTPADLAKLRELRMEANIDQAMGNRKRKQQEAQ QRHIDEGLTAEQIEAPAKLRKTTKEERVAMAKEGKPEREEHKSTQAIRKSKKEAEGKS TTNKEKARKKNFLMTLSKAKNKNRRSLTQTRNILKAHIERSKSGGRRRNGVSK PFICI_09112 MGVPVILCGRTEAIGTGVVADLKPEYEVIHFVMSPESGIIQIPA ILKGEQSVPADSELGSKDYSRPVAAVILGGGYDDSATDAMLQAVKQHEGAKAVPWLRP DLTKPSPPLGPAYGKAMVRRIKELMPQLERENKMDMTEVHYY PFICI_09113 METFFTTAEHHQIDFIKTLLSRAPNLNSLQIIERTRSRFGVYPS KSVTKWLHARMSGLTTSRDDVAWGQGAQATKQMSTANHKLHTQNSNTGKGAESHIVQP PQVGSPSLREKVRGTPWDRKVYMDRVG PFICI_09114 MIALRNMIAFLVAAVLCVDVGVFAHPGEDIELVNHEAAIHKATL KVAHAAISRCDDAPAALALKKNAIFRRYGLADALQYENSLGRTDDFLDERDEKDLKKW EALSHDDTSKDWNAGTPESVIFGSNATTALTPESILGPYFVSGELFRKDITEGQDGVP LHLDLQFVNQNTYEPIPDMLVDIWHSNATGVYSGIAQGAGQAGLNTTFLRGVAKTDTE GVVQFDTIYPGHYGGRSNHIHILTIEGGIMLPNKTYTGGRANHVGQLYFNQALNDAVE NFAPYNSNKQQYTTNQNDGFAKDAASAQYDPFMSYIRLGSGPGDGLLAYITVGVNQDA NYDAKPAAHWGHDGGHECHNDNCN PFICI_09115 MPRRRRNYDFDIHVDPSCLSEAMEEETRSQPGDLDVVEDAAAPA HEEMHTDAPPEPQADVTAQSDHAADASMVSDVMSSNEERSEALDESHDMNNESVDRSI LSHDNDFEADQEQSYVDESVVEEEEDEDEESHYETEAQPEEESVLPSIESDGESQDED EDEDEEQDLSILDDSNLQDDRTSHRGGSSRRTSGRTEALIQQAARDIVAALGTPRNEE EDDQQELGHDSVVSTHTDISEEQHEPSHLSMTENHEEEQTQAPEMPTTTEEGGDSSSQ HGTEEDIFSEKSARSSMGSYDGGSESGKDTTIVDGVSTTTRSPRMSDISQYDYKGDDF VPTIRGNPRPPFRSPSDVRAMQMSSPPPSVFGSVIGSPRPAKRPFPTVSRLGSPSNSA QYSPKNRTPSRFKVKEAPLVLLHVTLLPLRWMWGDIINSFDAEDMSEQAKTLREAWRM LQDRMGDTVCERGILLGHPQNDYEILEERLLEALDLPVRRRARILECGHYLGPANETA IVDDSESEDEYEVTQRPTQRHWCKTCNNEIAYDALYPGKIFRVKVYASNGLMKAGAWD ACWKEMERVDVEIEPILEPGVSEELERLLAAQQEREAASHQQIELATNLAHCIEEQID EQMDRSYEQQPDLIHAQLEIAPPSPETPMADQSSAEERRYLEEELLREIYRQTPAPEM SPEHDTAYQPHPDSYIPPPSQRSPSEEAYERREARRQALQSASFGDLLIQSARVIMQD TRNLFIIGLSLLVLLMALRSPQNTHTDAVVFENKSAVELQEVPITEEVLAVQEVPSAL EPVMSYEIGVESIATLSAITSEGIESVETLSVAEPRATPMALPAPEPQEIEPPVVSPV FQSMVTQKTTVKVVETVTETATLKIETVTETETMRVKATVTVTDAPKSTATSSNWSMD LELYGSQASEANVIVDDSMLIEAH PFICI_09116 MADEQKPTAENMTMLQGFEWYVPADQKHWVRLEKNVAELKKWGV DNIWIPPACKGSSTSGNGYDIYDLYDLGEFDQKGGVATKWGTKDELLKLAATAKHHNV GLYFDAVLNHKFAGDRKEKCQAVEVDQEDRNKEISDPYEIEAWTGFHFPGRKGKYSKM KWHWYHFSGVDYNAANDQTGIFKILGDKSKGWADDGDVDSEKGNYDFLMGSDIDYDHP QVVEDTLNWGKWLAKELPLKGIRFDAIKHYSEEFLRHFITTMDKEYGEGWFFVGEFWK DSLDDMTRYLDRMQKKFSLFDAPLVYNFSEISKGDGADLRQVFDGTLVSVMPVNAVTL VMNHDTQPYQALEAPVEDWFTPLAYALILLRESGYPCIWYGDLYGVDPKGEHPFPPSC SGALPKLALARKLYAYGKQADYFDFPTCLGWVRYGTWDRRFGCATVISNAGPGEKRMH VGEMHAGEVWTDVLGWSDREVTIDNEGFGVFVCGGTSVSIFVNRDAEGREKFSETFND KIYDE PFICI_09117 MASAQESSSKRRASVLVADWVDSHHGHRHHHHHLADTTTFAEYS FMISMEARQFLIEFQADIATKLQECIDRRPTSGRQTMEILNDEDAARTLFSMTVERLA TPSATEILRMMEEEFYFDCDDLVRAALAWYELEREESASPASQKPELIMLIEELVEQY SWEPSIAHWRERHSPARFQADRELVEAFWRALPRLVREHQQLRGDYHDTDDGASDVRS EESGNDYGNALDSAGPKYKKSAPVTEVMSTTCGPCPIY PFICI_09118 MSASNQSQSSSSPSNTQPCVVDPLDMLDFSEYDGLSYQSPSVSP ASSSKPQFARQTPSAPTGAMPSGPQMHGPSHRYDQYKQQTPFVPGALASTMTINQQVG HIGYTLDYAQSSPNDDMFDFNAGPSPNLEMEFESREPSFYFPGDTINPNAIAGREQSL PSPPALQSNIGRMYPGMHQQQAIAKAQAQQRQQQQIIQQQQQQRQQAKQKSVQPSDPM VEQKITQLLNSMRANPNGMDMGESPMANMPRIRKDEEDMDDDERLLNSEEGKKLSSKE RRQLRNKVSARAFRSRRKEYITQLESEIATKVTENGDLRAQNRALLEENKRLSDLTRM LLSSPSFSNFLDHLSQNPNPAPQQQQLAAQMAQRRTEQRQVPKDVNAYAAGRQAQQQQ IGMVMIPEQTMDFSMLNIAESTEAYNYQPQVFAVLETPEMPEIDTAVLSGKTSDVLSE SVDATEKVEIALPEVPVLLESAKTQVPETPVEQVQTGKVVADLDSDIFDDETTVSSSC QSIVDALSTIVIPSEKASVFELVDASQEEAVAARALARVSRLTSSIEATMSRLERLTA DL PFICI_09119 MSRRLFGQNGSDSTLYARDAEVAIAQQAQQAPPRPLPVGFWHHD LYKVRKHAAKKYPQTVLMLFVFVMAVLLLYWAVLFRVEEKMSALTVHVVDFDGQAPFD DVDPFVGPMVTELALQTNDLDRPTLGFSVLSPAEFNNDPIAVRQSVYDEHCFAAIIVN ANASSMLMSAVLNGNTSYDPTGAVQYILLSARDETAYSNYIYPQLQTFSDTLMAQFGT VWTQSLTGSSSVTKDMLARAPSAVNPGIAPLKIDLRPFGPPTATPSVTIGLIYLIIMA FFSFAFFFPIHQQFVIPAGHRPLHFWQFILWRWLATTVSYIFISLAYSLVSLSYQIPF WKPPASPTEVALNASAYGRGSFPVYWMINFVGMVALGLASENVTMVIGQPWTALWLIF WVITNVATAFYTLDLAPDFFRWGYAWPLYNIVQASRTVLFDLHSRIGLNFGVLFIWVA INSILYPFCCHFMRWRIEREKRAAEKSKGQFAVDTPPPAPAPLPPVSDRGHSQDVGAS PTSIISFWKGR PFICI_09120 MPQRLKLDQGWFLQQAGDDDSTEHERLSVPSVPTDVYQVLLAHD KIADPVQDLNELSVRWIADKQWIYTTKLRIDTLAVKNGERVDLVFEGLDTFATVRLDG QVILEAGNMFLSYRCNINRLVCKDEPNDEHELEITFASARLRGQELVEEHKHEHRFIA RQSEDSRIPVRKAQYHWGWDWGPILSGTSGPWRPVYLEYYSTKINDLWAEYTFGADGN CIGYLYCETRCSQIGSRILFSISCDDDEVCQVECEQTDRDDADSFGCRFVILSPKLWY PFSHGPQPRYKISATLVQEGVIIDQSSKLIGLRKVELIQEPDDFGKSFYFRINDVDVF VGGSCWIPASNHLSSLTRDQYHDWIQLLRDGNQNMIRVWGGGIYEDDAFYDACDELGV LVWQDFCFACGNYPTYPSFRSSVESEAFQNLLRLRNHPSLVIWAGSNEDYQVQERYKL EYNYDDKDPRSWLKTDFPARYYYEYFFPNLVKRHNPGAVYHPTSPWGDGKRSDDPTVG DIHQWNIWHGTMEKYQYSEKLCGRLVSEFGMVAYPHLETIQSVITSPEQRHPGSVIMD FHNKAIGHERRLMSYIAENFQVKYDLAAFIHLSQIVQAEAMAYAYKAWRKSWGVKSHR GCGGVLVWQLNDCWPTISWAIVDHYRVKKPAYYSIQRALAPCAVGISRTCHDWSQTHM NAMLDLGHVDPTKDARTDTEYEVWVVNDRQEPINFEVEIRFISVKTGADVLPVIHKYL LAGANCTSTVVKTSIGPLNPDLEDSSTPFDLSKYDPYVIHATLKHVDQEEILSSDAAW PQPFKYLDFTGERLSMETSRISNEELQVHVNASHPVKGLVFEERRGLTLSSNNFDVIP GALEIVVVIKGSDLTDVRYTYIGAPSGSIQLNTGAI PFICI_09121 MATTHEKVEPSGESSTPPSIETTNKEALVETSDLGAVERRGFDA QATKALIRKIDWVLIPFLALLYLLSFLDRTNIGNARLADLEVSLGMDPKSLQYNTALS AFFPWYVAAEIPSNLAMKRFRPSVWIPSIMVAWAIVCIAMGLVHNYAGLLAARMALGF AEGGLFPVVVTYFPLVWYRRHECGLRMAIFFSAATAAGAFGGLLARGIVEMKGVAGLG AWQWIFILEGIATFIIAVTAYFVMQDYPDTAKFLTPTEKDEVMRRLEEDRSALANEFD MKYFWDALKDWKIWVHMFITIGVYTPLYSFSLFLPTIIKSLGFTNDKTSQLMTVPPYV AACICCIGAGWLADKHGQRGIYMIFFNCVAIFGFILLICSSNNHLKYAGTFFAAMGIY PNVPQGVAWNGNNIGGSTKRGVGIAMHVGFGNLGGAIAGFLYRSKDAPMFYPGHGTLI ATETISTILAIIMTIYLRRENARRNREFKPPSEYTFEEMTQEREKGDNATFFRYTI PFICI_09122 MRLLRLGAQDELNEYQFNHDPPRYAIFSHTWGPPEEEYTFQDLI QKQSQDKKGYQKILSFGKQAKLDGLDFFWVDTCCIDKTSSAEVAEAINSMFRWYRNAQ KCYVYLEDVVYFHGADIDTQFRKARWFTRRWTLQELIAPRIVEFFLHDWKSLGSKEAL KEVIHEITGIPLSVLQGRSPSDFPVNELIMWTRGRDTTRPEDQAYSLLGIFDITMVPM YGEGAENALARLRKILYEEFDWPRPKTLSLDLVARKDPERDIKEEEEEEEEDNSEPED VESIFSDTDASMSSKSSVELNPVRVSGIREITRVFLGHDEFKSLCTAAINNVAAGKSR AHIRGFLKNYGNHLDEEARNPLQHQAARFVREVAGRIADEIRWSITGFDEVRERETTA EEKPNLEKWLSTVGEGDMEKAPPPPPADDNDSDSDGSDDGLITDDTFPHIEAVQDFLL SSNAFETLLRAFENWTNLKRRPDSDTKPTASPTPQSQETIDFNKPVVHNVMLPVDHLM PSTSDNVEKSTPDGSVIDPNPGPRSRAESLRSLIWSILDFWGVLFSFHDLMDLFVARH PVGYERVRWRCSCNTVLWGDFPVDDSHALAQLKEDLLNNFSPASNHSKSGSAGKGSMG HISEHHGEQQAPSHEKADQASTTSNLNKTAGSSDASSFPRRESGNLSELVSEASNLTT SNQHPVGLTKVTVDRGESIYFEVCTDIGNSAVGHFEIDITQTATDGELFEKIWDIYNR NRGVGLRRLFLRPCNVHFVLFSISTVKTTQYSAGIHEKPAEYPPDEELQRRRYHYHTP KLMMPPHVFIHFLHRARWNVWGDHANDTWLKRLPKKLNESMLAAQASEASSDPDLAFG WGVHILDGPNHAVLGFFLAAGLLITFVISCLILGIAKTQEQAFGVGQYLIAILVALMS AVYFKLQDQ PFICI_09123 MLSAFSEQPGLGPATRESTDASIHQRLTIELHLLIIEHLERDDF AAYLRVCRAWRHAGLSDDVWPRFADACLPGLKERIRLESENDQSIIQSDLFRRSLMLI CRRSHGKFTTVFENNLRLDTEDYFQLDTSLSVLEGGVHSYDDYLDADFTKKLARHIEV NELMGEETDLESTKRLLWYSHGRIAWTSTVPRRPLLIVIDELRTRIRKAYSFPGHTTS DVVYETALGDQLFVMTSGHKMCIWHMERDTCTSVTLPLPLTRCSTEGERVLAVTTNAE VYMWMAESGMQKIDMSSVTGYKHGYLEKCYFGYFGPGDLGPPLQASLCLSPLGHFAEF GARPRLSYIDSFDFIMHPYKANTIFMAAFHDKALVVYEFNDGSWKAHHAPKTIKVSHA YLRHILLRKTSSHGDYVLCEISLRASWSNEQKARQLGICCPKSGGDVEISISFNIYTK AFSIARHHFRGHYLPTSSKMLNKAYSIWNQQLVHISSFPHSFDILAIGECQQDSDFQH NRRQVKVRNDLSLSSSVSKSLIVLHDFKESGQDSHQQDIEFYLDRSGVSSAKHFWQGE KLPKDKSGVMKSACQKTYVLGDDEYLILTRGDGVYTVHGFDKGF PFICI_09124 MSSKKPFRVVIAGGGVAGLTLANMLQKFDIDYVLLESHGDISPP VGASIGLFPNGLLILDQIGCYDAVKAVAQEGQTEKSHIRASNGKSLSTTSYMVDHLEK RHGYPMLFFDRQWLLKVLYDCVEQKDRILVNQRVKTIKTTETGVEVLTASGQTFTGDI VIGADGIHSSVRREMRRIAAATRPGYFKADEEDKVPCYYKCSFGIAKDVEGWPTGEQC FTLGRGKSFLVASGPENRCYWFLFVRFPEPLYGKDIPKYSREDEAEFMKEHGNLPITE DLTLGQVYAKRETSALTPLHEVVFDKWFLDRILLVGDSAHKPNPIGGMGGNGAIETAA ELLNELLDLKAQRQAGLSNMTTAEISAMFKRVQDARFERAKFTVSTSHQMQALFAYEN PLLSDFIWRVATPLSGEDNSMRMLSARIKGGIRLRHSQVEPRPHMVPYDVELPAKSFG AATQWVGRGLLGAGVGLLFNAAHSQSGRVWLSRMISQPSFNATQSLLYSLAMLISPAL IYTIEGHRAGNQGSLLALPSLATFSLAAQSITRVAPAYTTLSALEVHQDPADRCLSVD VAEVLFPSLIAGYLAPSAVLLSLPSSSHNAWLGQLLPALFSTTTFVLGYINKQRRLHK GDGKTTSTSGEEHVKKRYNDSDVPYIKSTYKMAFAVQSVIHITSLVLSFRQGSYNMIS GSGLLKSGAGLTSAAYLLHGLHSLWSLRSQGYISTARALKAAAGVVCGQVLVGPGAAL TGLWHWREGVIASLAKKENHVSQDKKQA PFICI_09125 MKTSFISVFAILGLANAIPVDQIHQLDTRQLAYSDELKLGQCAG SVFIFARGSTETDNMGTICGPQTCSALKLNLGGDAICQGVGTVDGYAGDLPSNFLPKN TNDLAIAGAVKLINQAASQCPDASILLGGYSQGTAVMDNAVQALSADVKSKVKGVVLF GFTRNAQDNGQIPGFDASKTKVFCALGDLVCDNTLTITPAHLTYGVNAADAGKFLADA A PFICI_09126 MSPNTKPIERAAVPPEQLALAREICRPNLVKQLMRENKLAHSFG MRIAFSTEMPLVAKRAGYSAVLMNLEHMAMSIETMKDVAVSCLNVGITPMVVVPTCSS EWISRCLDSAAQAIIVPHVNNVEQARMCVNASKFPPLGHRSVTMVTAMTQYTTNLSYA AIGEVVNDEVMIMPMIETTEGIENVEAIAAVPGIDALLIGCADLCMEFGIPGEYDSEL FHSLVAKVAAAAEKASTDGRQVFVGLGGLEPRPDLLETFVKRHASIRFAMAGRDLALL LAGMSKQAAAMNEISTRL PFICI_09127 MSTKEAEAHKSGVADLDLEYTPPEKLSLGKKILYHLWDADQHLK SPQERALVRKLDFGILICATLGWWMKYIDQSNIANAYVSGMKEDLNIEANEYTYMLMC YTISFAIVQVPANAIALKIRPRICLVVCEIGWTIFTFAQAAARNSNDMYAFRFMVGLF ESAFSPIIIFLLGSWYNKSELAKRVAIWHITGFFGSATSGFLAAGIHASLDGHLGLAG WRWLYIICGCMSLPVAVSVWFLLPDYPHNTTAWYITEEDREIAMRRSAYAGKTDVTGK MDLALVKRMFGNWRWWTLCLMYIFYGNSCQANQYFSVYLKSAGYSVALRNVIPACANL VSMVTDFFYGMMSDMFANRAYWMMGPLLCTTAIGSAILTAWPESDAPKIAAFFLCAGG YVTAVAWTWANEINNGNAEERALTISSMNGLFYATNSFLPILIFPQTTAPRYEKGFPS ILAFALIAVVLIYLTDFLHKRQLKQEQVLQADVPRSEVSKIGECDNKEKAEKQLVLNS QVAAE PFICI_09128 MRFLTILVAGFAAGTGLAATCPYAEQKAAQRDCPYAAKLSKDAI NGASTTDKKIKRTPPPADKLGVMYMNRIGPSGSELWIANADGTDAAKLLGDQSAPFDF HATWSADGEWIVFSSERRADGQSDVYRVKSDGTGLETLVAGDSFEDAGALSPDGTKLA YVSTAINSTANVFVLNLSTGTSVNVTGSDETIGDFASPHGFFRPSWSPDGEWIALSSD VNTDWTGHSDGVGWEHTQTLSLYVVRPNGTDFRKVVGQPGYCLGSPQWSPDGNRLIYY NLTTEDTYNAHGISRGGNTGVVSQLFSVDVATGSDVTQHTFGNSLVVAGYFIGNSTTV GYNVKSGQQPGVNYTTSDATHAFFNGTLRNPTWSPDGSKVVYEVYDWTQRPAEKPLFS WDHEYEYRFMDVFPQWNNATQRLATTQKQLLNASSSVVITDADYSDLVTAFDVHIVGG VSTGFGTGGAFQPSWSSDGSQLAVGYGTWFAGRASSPGALYLFQADGSSYENITDGTF NAGFPSFSPDGTKLVFRLWDGENGPLGLHVMDMETGNTTQITNGWDNTPGWSPDGELI VFARQTNWTQDYGNRWYDDRYDIATIKPDGTDLKILTESHANDAHAVWAPDGRIMYNS GMHGFRDESPLYDNTFQPYGQIIIMNADGSNKTALTDSMWEDSMPQYIPRSFFE PFICI_09129 MAQSPRLAAFSRICGMIWFSIGLHWEALKDALRQDGLGALLHVQ QIRDAAMAKLFCTTSSGFIAFEDTTVVPSLVQAASGVVLELGPGSGNQLHRFDMSRVS YVYGVEPNLCYENEINAKLDKHNIRDKYKLVAANIEDSDKLRSVGISEGSLDSVLSIQ VLCAVQDPKSVMKEVWKLLKPGGKFIFWEHGWSKSRLTMAEQAILNPGWSTFVGCRLN RDVLADILDAGEWENPDEIEAPEDLVSCLPRIQGVLVKKA PFICI_09130 MTTTPMTLLGALTSTYTPIGATCNSIHFAINPLHETGWFALGAI ETDYLTSSCMPGGYTRAPQYYYSPGVCPSGYTPACSASLTTDSAVTTLATCCPTGYVC YQNRGDNIYGCTSLVTSESVLTVLSVSYETITGDSTTSYPIDTATITTTISQDEYMIN AYGVIVQRQADDPAFVSTSGASTSTSTASSGTVASVPSETGTSTAAAAAGSSSSSSSS SELSTGAKVGLGVGVSLAAILFIGSIVFFFLSRRRARNAAGQGEAGQAGHLSELGSPN SANWSGQPVMVSQMDASSIAQPYGQPYKDQQYASHNYAAPAYHQVPQQLSADSVPVEM SAEAPKPASHGPY PFICI_09131 MQNSTDDPSITAPQDRSTPLVEESHDHAQQPSPPPSSGRVPDAL TAQMSSMVSKMVSLTDDHNEETVFAAEIHMGLSPAAPLGLRPGILLHDGPSKENRLLG AAAWRTPFSPNSLAFSIRSALLLPPFVPGGSSPGFIEEIMTVRHRSPYGATRGLSCTA TSVAVPYQCQVRPDNDFGFYFSTGLGRNGDVTRENFCWRKVEKHGADDIGSGGFDLVQ LPAAAGQASVSDGERLATLRWLKKFTRRFSLKFSHVGGSDMGVYDDRWRLVVVITALR LWHLHVNGRTSKTMISIGGMLRGKL PFICI_09132 MEAVGAAGAIVGLAGNALTGLKSLGEFISVLQEGKIDLDTMQQR LIEHEFRLSELREEYDSWPNGSMTAAEKDLFDECIKESHEEVKRYKKLLEKAARTRTR GKNFQKVETAVRIYLHEEDFKKHRSLLQDRTLRLQEFSKKTNRVRFHESFQSIMSSAA HYHAEDMTAHTRTVDALQTVSTTIEKNLQQSLSTSSALEAHVTTILGHHNEETQTLIQ SSHHSTVHELNESLKQSILPMIQSLFDAAQGSDKSLLRRNERGSAPRRTQNMHHAAND DGVNNKSLAYMQPNSRRVYRTPLGRLHVGYTQKNSGGDSLDRGQIKAAYWVRFDPYGN FSQSFVEWKMFLNTGPSGPPTTIWCNIGTLCEDSEVIDALGLIPEKYGCSHKTTGNDR SAVKHTRACVFYEWRTKFPDHRKLRRLLEERRFSPADYLCDRNGTMRRILTVFVSGHQ FCEKPGHTVEIYGPVYRRAICFCDAHRLILDGHLERPDRQTIDLITRKSNGEFQYLSH FYPEYYETLKFLLETGCTPEDDWSDLMQKIADDTCHVMESHARYACTDYFQLNSATKL VRTAVAGSIATECFLPTEYAFTPDLCNRAGEVVGSHRFASVKTHIGNLLPYKNSFQEL EDVLFDNGGLAALHDHDKAPYERWLLVLMSYIEPRLKALVASATGSGGKNSVSDISIE GTCEEINKSDHWKKRLVIDYVVYHGNLPLVQLLLQKGFDASLVVDSAARKGNPEIFDF VLEFCLKKERSLSSIGFIHHHRTWLRILKDPAFKTRLLDVLVLQNRHESQEAQIFDRV LINSNDNPFLGDEMTLCELMLTVSAQLPDYIKEVVSGCIFRYEQAQYRFPGGLRLYLL DGLCAQHYFEQFFNLFDKATCHTPCEDLGRSTRFFHQILQQIVSTSVFRCGLEHVPDI ELYSETPETEGYTPLMLALHGGMIPAVRILIDAGADLTSYSRCGLSALQLAEQNAQSK HPRIFRNGRNIHARISRPGYSVGFQQVSAVADHEMLEMLHDTLRRRGEEVSEPYLHHS AFVKTSPLWIFKPVQRGVERIEPFLYWLFSPTVRINMEELREHLTYLAVVLVFGLLSI VKLFQLNIEGFTAGTMKLISRPIVIIPLAAWAVQLIWRGWRQDVTEPEWS PFICI_09133 MPEPSLAGKTFVVTAAAAGIGFATTKLLLDSGANVGMSDKDGEA LQLAAAKFDPQVTARVLTGVVDVASRAAVRAFIHETRNHFNGLDGIASVAGVSGRKFG AQNVWDIPEDEYDYIMDVNLRGLFNILGESMKPGVMSRPGAIVAVGSEYSFRGSKGCS LYAASKHGLLGMVKSVAHEAGAGPEGIRVNAVLPGPTDTPARRAVMEMVGKERDSTKR RPLGRPADPEELAEIILFLLSPKSSVVTGAAWTADGGAAS PFICI_09134 MVNFDVTEILEKLSLDEKIGLLSGSDFWHTQTIPRLGIPSLRMC DGPNGIRGVRHFNPVPASCLPCGTALGALWDPDLVEAGGRLMGQEARAKGVHILLGPT VNIQRSPLGGRGFESYSEDPFLSGTLAAAQIRGIQAEGVATTIKHFVCNDQEHQRKAV NILVSERALREIYMMPFQVALRHSDPWGLMTSYNKVNGTHVSESSDLLQKVLLEEWSY KGFLLSDWFGTYSTSEAIRSGLDLEMPGPSTWRGFALKQALRSNKVAIWEIEDRVRRV LHLIKKGIESGIPEAAPDCVGDIDAARPLLRQLASSSIVLLKNDGNLLPLKKDKTVAV IGPNAKAFRIHGSGSAAVHATYAVSPYQGIKQKVTNVTYAKGVEDHKKLPLMNGLLRT PAGNVGYLMKFYAEPPSCQERKVIDAIENNDTMVYLTDYRHDSIDGYLYYSELEAYFE PEASGEYTFGLAVYGTAKLYVDGDIVVDNSRNQTLGDSFWGAGTREEKGTVNLTAGIR YKIQVDFGTAPTQSTSALGSTNMGAGGFRLGCALNVDAAAQLAAAVEIAGTADQVIIC TGLNEDWESEGFDRETMKLPGLTDELIRRVCAVNPNTTVVIQSGTPVTMPWVHQVPAI VQAWYGGNETGNAIADVLFGDVNPSGKLPLSIPVCEEDNPAFLNSKSEAFRILYGEDI FVGYRYYEKVRRAVAFPFGHGLSFSDFSIQNLRLSECDTSGHVGENLTITVDVHNKSP VNGYEVVQVYVRQLHPSISRPMKELRGYKKVLVPSYSTVTVEILVVKQLATSFWDERK HMWIMEKDTYEVQVGSSSEEIAQTAEFEVLETQWWRETRKPDTQKS PFICI_09135 MAEKSSPGIPGDSVIVDWESPQDPRMPRNWSTFRKWRNVMLISM LTFVSPFGSSMLAPSMGQVMAEFHCSNTDIESLTVSIYVLGYVFGPLLYAPLSELFGR QLPLLASSALFTITAVACALSVNLPMLIVFRFLSGVVGSAPLSLGPASIGDMFEPQSC GKAMAAWNLPVLFGPALGPLVGSYITQSGGWRWNCWFLAITMGSLFLVAILLLRETHP PTILERHVLKMRKQNHNYTFISVLQPTATPRCTLINAFTRPVKLLLLSPIVLVLSLCS AISYGFIYLLFTSMTSAFTRKYGIGTPQVGLMYLGFGVGNILGNLSLGYLSDRFVKRM AVSTGMKPEYRLIFLIPGSLLMPIGLLIYGWTLEFNLHWMVPEIGLFIFGLGTIYISM PVNTYLVHAFPSCAASATAANTIVRSLLGGLLALCGGRINDAVGDGWGNSILAFIGLG FIFPVFLVYRHGEYLRMHGVTV PFICI_09136 MAELMGSEVTEAMKVAVSEAKSQLSKLNLDIHSHPELCYQEHYA HDTIVKSLESLGFPTKPHAYDVPTSFEAEYGTGGRLVVFNAEYDALPGIGHACGHNLI LTASVAGFLAAAAALKQSGKPGRVRILGTPAEEGGCGKGLLISRGAYKGVDACVMAHP TNMTAEGKPPSNGIVYNPHVGTAMFSITVRGKASHAAASPWNGHNALDAVVGGYVHVS MMRHALFPAERVHGIITNGGAKSNIVPDFASVNYGVRAPGMERIAFLRNKVIDCYKAG AAAAGCDIELEDHTSYVPMIPNKALAATFADAMSDLGVPMEFDVNTTEVKAGATDQGN VTFVVPAIHPNYDIQAPKGATNHTIGFTAAAGTDFAFERTLVVGLGLARSAWVVLTDD KVADEMKAEFEADKDSRRSFLSEEEELQAVINYDKESFEKGEVPIRPGGNCSCNHD PFICI_09137 MANGVKDLKTKTKVSDENIPDDAASERVGEIWTAEEESNVVRKI DWRLMPLMTVAYMLQFLDKITLSNASIMGIREDLNLSSSEYSWASSIFYFGYLVAAYP ASVGLVKLPHSKLISICIIIWGVILALHCVPHNFIGLAILRFLLGVFESIISPGFSII VSVWYKQSEHASRHSIWFAGNAGGAIVGSFVSFGIAHISSFAPWKVLFILYGSLTFAW GIVLLLFLPDGPSQAMFLTSQEREIAISRTAGFEMDTRREYNMSEVKEALGDPHTWLI GSYSLLTCFPNGALTSFGNIVTKGFGFNTFNTLLLQLPSAISTTLLILLTGWLASRYQ QIRSWLIISLLVLGISGAIMVRQIDPSNKAARLIGMILFAKFTCGFPLVLSLIASNVA GQTKKTVVSAIFFVCYCVGNIAGPQVFIDSEAPGYPTAFATIIATLGLAVIILLALRL LLSRRNKSRNEAFGIPSEDDTTMLHESHLTDFQREKSFRYQY PFICI_09138 MAEWSIGVPSPSPLGPSCSGVFIGPNEQEALYYFETIFARWAPK TFIWSACAVLLSKATNDAVLIHLLFAGCMRELVYRGKNQSLSHLADFHFREGSRLLID RLRSPDIDHAHSLMAFWLLQLYYRAHWDEKGLVSMQRLSASMATYAKQHQLLRKLRES CNHEGETNPSPHSIPLTDRAVMCQFLIFVVYEDVSPDAGVGAGNLSKLVLSDENSMRA VFAHSRNGSAAFFRDRYPPEELLDDIERSKPLELIMRSNILLYKANEAVKDILNGVEL LQNLLPQIHALKIEYSGLFLLADTLCGKNPKMLITTLQAMAIYYALWVHVLDSIACLS TSYSRAQEFDEAQGGLRRWIFQLFNFDSNVFRWRWRWPANVALATTTDEIHRDWLLQK RDKDI PFICI_09139 MMGSESRLSWCCLLLGIFAQLIAAETHVFNWNITWVLANPDGAA WRPVIGINNQWPIPVLNLTKGDQIVANVYNGLGNETTSIHWHGMFQNGTVYMDGAPMV TQCEIPIGGSVTYNFTLNQSGSYWYHSHTRGQYPEGFRQALIIRDPENPYLGQYDEER IITVSDWYHDQFRDLLKGFIYYQNPTGAEPVPDAALINDTSTFTMDVEAGKTYLVHFI NVGAFASQYLWFEGHTMKIVEVDGVWTEPAEADMIYISAAQRYTVLITMKNDTSANYP FVASMDTDLFDTIPDGLDWNATGYLVYDSSAENPVAADVDSFDYYDDFNLVPYDGEEL FPDADYTVTLDLTMDNLGDGANYAFFNDITYTAPKVPTLMTALSAGDDATDPTVYGYY TNPFVLNYQETIDIVLNNDDTGKHPFHLHGHTFQVIYRSDDDAGHWDADTMSDFPSVP MRRDTVIVPPSGNFVIRFKADNPGVWFFHCHIQWHMDSGLAVTFVEAPLEIQQRITVP QDFLDNCAADGVATVGNAAGNTEDYLDLSGQNESVSPLPAGFTKKGIVALVFSCISGF IGVATISWYGMAPMKTA PFICI_09140 MAVDVFAIPVFFICFRESLESSIIVSVLLAFLKQSLAAEGDQTT YKRLVKQVWIGVAAGVFICLCVGAGMIGAFYALDKDLFANTEDIWEAVFSIIAVVIIS LMGAALLRVNKLQDKWKVKLTKALETQEAASRPVGFMGRFKAWSTKYAMFILPFVTVL REGLEAVVYIGGVSLGLPATSYPLAVFTGLAAGCFIGFLLYRGGNTASLQIFLIISTC FLYLIAAGLFSKAVWYIDDYEWSQITGGDASETGSGPGSYDITKSVWHVNCCNPQLNG GGGWGIFNAIFGWQNSATIGSVVSYNVYWLVIILSFLAMGYHERNGHLGVFTPVVKAF SKKKNGDEHSQTERGIVEDSNDSGDHTKAPQSTAVTPVA PFICI_09141 MAGDVPSAKQLDNEWSAKVYAYILCGLIAVFIVDRWASFILGKQ FRQDSASGNWSSVYFYLKSFYLKLRRVVVRSCLGFPSVGHAILVAIYVIINVILTFVH LEYDKTNYYAARFGWMATANFAFIVFLALKNTPLAFLTKYSYERLNFLHRVAGYTTVL FMLLHTAAYIRHEVAKNKLEKLIEIDNVCGIVAGCAMLVIAMTALLFMERTYELFYVA HITLFIVATIATGLHRPEWIDRIPIVMILIAGAWTLDRLTRFAWMVARSINNEARLEA LPSGGTKVILKKSLKNAAPGTHCFLWIPRAQLFETHPFTIVSNGPDGLELVAKAHDGF TKSLNELANKEGKQVSLYASVDGPYGTCPNPSAYDRLVLVVGGTGATFAFGMIMDLLQ RQSEQDSKLQIHLVWAVRHVDDVAWAADHVRALRAYGSRVCLGIYVTQDRPLASNSDG LEESTNTTALDLFQYFTVYEGTERSLPPTGEKHGQNENAASTDDLDGWIQESKQEGAT VWALVYGKLRLNKILPELVAGANVNQRILIGVCGPTGMTATSRDVVSPLMQPNGPSID LHCESFGW PFICI_09142 MGPVPTIAADYRNRKCMRTMLTNSAWAFWIFFISALYHAAINTL TLGSSNLQHELSFFLSSWALCCLESVVASVCGKKLRCNAEACSPYAKMMGYIWVFSYL LWLAPKWQYSLICVATGK PFICI_09143 MPIPAIVIFEIIPTIAHVLETVGLLGAIGGSMGGWCALHCPPRD IGQLISTDTPVNSPGDGVGPCNVPQYNFDMCRDAVKNIQVTSSNPRPGVAQFDNVPFQ CMDLVTVLAGSCDGEGARPVPCGSACVQYTGLTDAQMGSLSLSLNAH PFICI_09144 MDQILILAAEPVAVLILFALLSSVVMILRLNSPRKPGLDKIPLL GEELGSPEQRRKAFLSTAQDLYNKGYERFKDRVWRLTGTDGERIVIPRCLLDDVKGMP DSHISIEKAIEKSNEIKYTGLGGKPNETEFLIHLIRSDLTHGLGRINERLEAEVTRTV IEELGPCNDWTEAVIYQKMLRIVAIASGNIFLGPELCRSEDWIVPATMYTVDLFTAIG KLKQWSKWTRPIGQYFIPEIKSLHQHRSNAVAWLSPIVAQRRRMVEQGHELPDDMLQW MMNKAAEYGVSDDSLALIQLNLGLAAIHTTTLTTTLVLYDIAVQPELVKELRHEIRSV MAANGGKLTTHALFEMKLLDSVMKESQRINPGNLVRFIRIVEKPITLSDGTHLPAGTH IESAHASIVQDSNLYSNPETFDGHRFMNLRAGAIPDPLGYKNREQHQFVTATKEFMAF GYGRHACPGRFFAANEIKLILARILLEYEFEMPKGFTERYPNLNMGLDSLPDPTKALM FKRFSA PFICI_09145 MGSEFPSINPAQLLLHQSLAPIVTMTALRSIIELRLYDLVPETG TIPITQLAKASGATEDLLIRLLRPLLASNIFASPNLGEYAHTPVSVGFKQPAIRAAFL HGFDETLPCFLVQHQWLAQNGWKSPESSDNCPFSMAHGGDSLFGYLAKHPEKAEVFDR DQEMEFIGIVGVFPFDQEVKWSSADDVLVDVGGGKGQASRALAKAHPELHGRIILQDQ ALVLSSVEQDIHAVVKKTMPYNFFDPQPVKGAAFYYLRRIMCDWPDAACKLILKNQAA AMDSHSRLLIATFVVPEVGCDFLTAASDAVMMTYAGKERTEAQFRHLLESAGLSLKRV WQKPGELQAVLEARLQ PFICI_09146 MFSATFISLFGGFFAFLAYKLATLGSEIPDGIPWVGQDYPGPFK RTRSYLRSVFHTREMIREGYEKHSKNGRSFVLPNLFTGAEILIPPSQIDWLNEQVEED FSIIETHKQFIRADYTMLLDQTTIHERIYRGAFRKNLTRRFYELLSPLAEEVEHALDG QAGLDHKEWKLIEGFKFWKRVVVQSVSSIFVGKPLCNDPSYLYYASRFNQNVMLKAGI IGTLPSFLRPVFGYLIVLTDKYYHARCASITSPTIKERLHHQMELASGKAGVAVSQPN NLLIWSCADAVQAAQSGNAAKFSLDFLTRRLSLMNFTSTHSTGLNLNSMLLDLLFSAK EHAEQGLSGPSMMDRVRCEVENAYQTYCETRDRNPHAGQVFLESLPTMEACFKETLRL RGFVSRIAMKTTTARGSQINVPTVGVVPRGIKVGIPVWGLHHDEEIYKDPFQWSAERW LDNGPMQSMPVGGGGSHEMYRSYIPFGYKSTVCPGRFFAVNVVKLIAAHVILHYDLQL PADAERSGHLWWGPSISYGTDAKYLVRRRQHTVFSPSTESGGWWYPSL PFICI_09147 MPFVDKQPSDALFNYALTEMAWSIESDAFYLDFWPIAGPMLVLK SPTMAMQMMQQNNPGKPDFLGNAFTELSGGPNLISMEDAMWKKWRAIFTPILSTSVIL QQAPKVVAQCEVLCEKLRRRAHEGKMFQLEDDTIPLTLEVISTISLDRLFNYQIKDSG MPRHLRAMINWSSFGSSLNPFKRWNPVRPLVVAYHGHKISKYIGAVLEDDLAQ PFICI_09148 MAELLVDAYLTEHGDRPGPMEPTFKYLACAQLRLFLIGGHDTTS SALVYTYHLLYENPGPLARLRAEHDEALGEDIAEAGARISADPTLLNKLPYTNACIKE TMRIFPPASAMRVGTPDIVLTDRNGTQYPTAGCNVWSLHLAMHRSPKLFKEPRSFIPE R PFICI_09149 MGRIVDEIKSRSWTKGDYLISTNASLIPLTRLCEVFSSDTFYWA KSLPEEVMRETLENSICFGLYKSESTDGEANALNPKEPEATQLLGFARCITDCTTFLY VTDVWVDPATQGKGLGKWLVGCIKEVIDTMPYLRRSILFTGDWERSVPFYEKLLDMTL VETKKGKTLALMERKGPGHPSFA PFICI_09150 MDLIALPQEILQHIAAQFCSHCTPRHCHDVAEPHDRSDRHALWA MCLTSTTLRQVSQNILYHSVLLERTSELNYFLRKVTLSDILTRAVTHVFICGDMIEHF KPRNGRLGGNKVQGCSSSWSTIALVTAMFPRLEHCEVGFRSGSQEDNSPSGSGNSDSD IPCNCVHLTTKLYRHCDSVLGTDKGDLHLRRLVLRKLPPVTRQCKKSYAFFSRLKVDT LHLVQSKIFWRQFQRVIEFVEPKSVIYEADRKAKLAAIPKRYAWLPGTFPDVEFHPFD LPMILSPVRKTLRNLHIDLRTQCWFPRCAGRIDSLQEFTKVETLFLDMATIFKWRELQ GSEGEKFYICPDHTEDNRLLKALPRQIVNLQLVRCKQVDPSTFAYTLQKFADSIGEGR YPKLKCVKIDVDLMDPAWFKVILFDPAPEIEKLLNEYWCDELPKTFAEHGVQLVYDDP PLDPSDTRVKPMDMVWSLGSHHGPIVHQVAREDTE PFICI_09151 MVSILGRVLEDPSLAVYTAVPALIIGSLVYVVYQRYFHPLASYP GPFLASVTDLWQVFQFLGLKQPYNLTELHEKHGPFVRYGPDKLSITAEEIVPLVYQKG GRLMPKTEFYDAYGAAIPNVFGMRDEAAHSIRRRLMAHSFSISSVRGMEQYLDMNIRI MRKKIAKYAAQEQVFDLQKVLHYYTIDVLGELAFGQSFGAQLCNDEEEAIVPPVVEHS WLAAVTGAWPSMTSTLRRLLPWVPHAGLQKLVKGRKECVQLAAQCVQRSCDTLRENSA ADFEKNVSVKRKDILTSLIQAKDPETGEQLRQVDLETEAFGFIIAGTHTTSATTSLLF YHLLHAPEILAKCIAEVDQSLERLTASKLAYSMAEVESSLPYLKTCIRENFRLTPVFT MPLARRVTAPEGITIAGRHIRQGTSVAVCNHAFHHNPDIWGASHNVFDPSRWDIPEIN HRARYLMHFGLGGRQCIGKTIAQTNIYKVMSTLLSEFNFELANENEREAVRRGDFVGK LPELISVGISDLKDPIWVTAKPRHL PFICI_09152 MVQSLKQVLAVLGAIAFVGPSAVNALGCYTSGETYASVGSDADI KSAASSACDAMAGSYEAGGSKSQCSTIGSNRVNWSVKNNAGNELTLSSADCLAAMTIE INACSMGSVQNHGSFQYQDDPNAGTC PFICI_09153 MGWFDGNRDAHQQIYGDQQHEAKFSHELIGGAAAFEAMKSFENS QRRKGETVSHGTAKELLAAVAGAEVDRLFETKGLDAYDREEAKRHAKRQAEQMYDDHY GGQGEYDPNSRDRPPLDY PFICI_09154 MIFNAITLAAVLTATTVSAHGDVDREIAMRSAMLEHTARDLSHC ATKLKARGFEERAIQRRSETRAKLMKKRNIRARDVDSVLATDHNETALAYTLDTDESV IFASNGSCTLTPEGESGPYYVGGEYVRSDLVEDVEGVPVHYEFQILDVDTCEPIVGSY FEIFNCNSTGVYSGTTNAGNGNTADTSVLDETWLRGLQLTDEEGVVHFDTIFAGHYTG RATHIHTLLHQNATVRENGTVFDTSFTHIGQTFWDQSVRDQIELLYPYNTNTQTVTQN ADDKVFLVEAPTSDPVFRYVQLGDSIEDGFLAWIVLSVNTTLSTEVSPAATYYESGGV ESA PFICI_09155 MASSERVAALLAALTLEEKCQLLSGKNMWKTANIDRLGIRSLKT TDGPAGVRGATWIDGTHTTFIPCGISLAATFNPDLIRRIGGILGLEARSKHAHILLAP TMNMSRSPLGGRNFENFGEDPFLTGTMAREYIRGVQEHGVGACMKHFVGNDMETRRFN MDIQIDERTLREIYLKPFEMALDANPWTAMTAYPKINGEHADCNTFLLRQVLRGEWGF DNLVMCDWGGLNDTVKSITAGTDLEMPGPAIRYGDALLDAVKRGHVSEKEHIDAAVGR LLRLLDCLELLTPASENEKNEKNSDLPEFRQTARDAAAEAIVLLKNENQVLPLQPASI KKLAILGPNAQKPTTGGTGSAAVNPYYITTPFDSISAAAKEKNKDIDITFSPGIQSNL QPSLPGEILRTPNWESVGVQVDFYAGHEFAGPVLGSSHWQNSVIFMMSDGDVPEVLRG KPHCYRVSGVLTPSTTGTYELSLSSTGKAKLFVDGDMVIDNSEWTQTGGTFMNCGSVN RLATLHLEAGRSYALQVDNVVCPPPIKPHDNTLFHTVSGLRVGLQLAIDEEALFAEAV AMAKDADAVVLVVGHNNDTEKEGIDRTSLKLPRQTDELVEAVCKVNQQVVVVTQSASA IAMPWVDVAPAIVHAWYQGQENGNALADVLLGHVSPSGKLPITFPRSLEDHGSNEWFP GDVENDYAEYGEGVLVGYRWFDAKEIQPLWPFGYGLSYTRFGLGGMAVTGTLKSDDRS SRINISITVSNHGTVDGAEVVQVYVSASPIIKERNLVSAPKSLVAFSKVHVAAGKAEN VSISVSPEALAWYDVSETNAHGGKGAWRVDKGQYSCFVGTSSKDIVETSTFTVQ PFICI_09156 MSRLTAMVVAVCIVDSITIAYDGSLMGSVNVMPSYTSYFQLTTA TTAVNSAATYIASILIAPFAAVYIDKRGRKDGIFVSALLNIFGAAISGAAQNIAMFIA GRMIIGLGVGLAQTAAGSYVSETTAPRVRSFALGMYFTCWALGSFLAAGFCYGSQNLE PSNWAWRVPSILQALPPLAVLVIIPFLPESPRWLAYNDRQEEALEVVARINGATTDDV GVQVQFREIVDTMEYEKSKGNSLGFREIVRGPSNRKRLVLALSLAPLTMLTGSNVITY YYGTMLDQVGITSSKTQMEIDLVLSAWQLVIAVCGSLSAEKLGRRVLCLTSLGACTVM FYLVGVLTAVYGDSSNTSGIYGTVASVFLFLGAYSFGLTPLTVMYPPEVMSYKIRATG MACFTILAKACGIFVTMVFPYMFEALGWKTYMVNASWNVVFWIFVYFFWVETKGKTLE EIDVLFDGEKHSDAPDLNEVSHEKSLMVAAESEI PFICI_09157 MAILSGRPLHWAITATAGSGFLLFGYDQGVMSGLLTGNAFTAQF PEINTNEGANGSASLQGTVVAIYEIGCFFGSLLALVFGEKIGRKRTIMLGSLILCVGG ALQASAYSIAHMIVGRIVAGLGNGLNTSTIPVWHSELMIAHKRGKGLSIELAINIFGV MTAYWVDYGMSYVDNESQFRFPLALQCLFALFTFFAIMPLPESPRWLIAQDKHDEART TLNSLLAKTTADDDVQEVVRVEMEEIQHAIHEEREASEGSSYRAMFKNGKQKFLYRTM LGIGGQFMQQLSGINLITYYAPTIFQSSVGLSHNLSLLLAGFNGVAYFFSSLIPIWVI DRLGRRKLMLFAAAGQGVCMAILAGTVHNGSAPAGIVASVMLFLFNFFFAVGLLAIPW LLPAEYAPLAIRQRAAALASASNWIFTFLVVEITPVSIKNIGWRTYVYFCIFNFCFLP LIYFFYPETRNLSLEQIDKLFTGEKVLLHWQPSMGEVGTGNTGSKRAVEQVEKSEQVE NTEAVSP PFICI_09158 MVSQSANVTRVRTRTGCRNCRRKRKKCDEQRPVCRGCLRSGAEC DWGLKLVFRPEHAETIAADHPSMQQRRNSLGRRLRDFDIINVTSEVIRDYWNDVLETP GNRGLANGYSQGTLSPNGMRASSSGSDQELPAYTIDSSAISDQVESSLMHHGTPGDQG SETTPRTYEMLHSIAAGLLDLGRPIPASELAGGATQSYSPLDNTLLPLEPQCKPSTAE QSYEDDPEDGLFVPGSAYFEFHSALRNHTFQAARTAVPPRHGAHSSTQTSRGNSSRVT PINLATATLIDPGDTRRTELSAQFHNLSPHEEYELWRNWIEEIAPWLDKFDIHCHFGH VLPTLAREHSHVRFSALALSSRQLERKYPDRSFKSLELYQEAIHQLIPQLQTRTTAVA ASCVVLCVLEMMNCSPNMWRQHLDGCASLIQSLGINGSSGGFEQALFWCFARMDLCGA FISNEATIIPKERWLSRSIIDMSISTLPKTLGVGMYANYIVYMCAAVMSLMSSSLEVE HADRWEEMFAQLTMWYRHRPAEMRPVLDIPPSEVDYTRPFPTLLFSTPCAISGTQLYH TACLLMLQKKPQRVNLGPGTRPMLWHARRICAISISNHHHGCWTNCIQPLWIAGQHMS HHAEHRAILEIYELIEKETGWGAKWRANDLEAFWGNLDGDWC PFICI_09159 MADGKIVSDVALPAHIPESKITPANASHTALFSLSGKTVAITGA GRGLGITLAAAVLEAGGNVACLDILDEPAAAEWAGIQKTAETSGLQLSYRRVDITDED NLSKILDEVAAEAETVGSQFYGAIACAGIQQKIPAVDYPKSDFERMLSVNVTGTFLTA KHSARIMIKNGVKGSIVMIASMSGDIANRGLTCSAYNTSKAAVQQLCRSVAQEWGQYG IRINTLSPGYIRTAMTDALLATNPDLERIWMAGALLNRLGAPEDFKAPAVFLLSAGSS FMTGADLRVDGGHCASA PFICI_09160 MASVTIPASTVEHLPLTLSGSESQKTADISTLELKDNEKTSLLL RTFRCLIADLCEQFKGGHPGSAMGMAAIGVALWKYVMRYSPENPNFFNRDRFVLSNGH CCLWQYSFMHLVGYKNMTIDQLKSYHSKRTDSLCPGHPEIEHEGIEVTTGPLGQGIAN AVGLAMATKHLAATYNKPGFELVNNKTWCMIGDACLQEGVGLEAISLAGHFRLNNLIV VYDNNQITCDGSVDLTNTEDVNAKMVACGWKVIDVLDGNHDVEGIVSALVEARASTDR PVFINIRTVIGIGSKVAGDAKAHGAAFGPEDVANIKKNSGFDAQQFFHIPQEVYDYFA SVRGQGRQFEAEWDQVVSAYSKEYPELAAEFACRVRGDFPKDWADIIPKKEDFPTTPT PSRKSAGLVCNPLAAKLNNFMVGTADLSPSVNMAWNGKVDFQNPDLRPSCGISGEYTG RYIHWGVREHAMASISNGLAAFNKGTILPVTSSFFMFYIYAAPGVRMGALQRLQSIHI ATHDSIGTGEDGPTHQPIELAALYRAMPNLQYIRPCDSEETAGAFIAALSARETPTII SLSRQNLQQYPQHSSREGVLKGAYVLIEEQDADVTLIGVGAEMVFAVEARNVLKQQGV KARVVSFPCHRLFEKQNLEYKAQVLQYRAGIPRVAIEAYAAMGWERYADAGYSMSTDR FGHSLPGAEAYEYFGFNPTKIATEVQSFVAEWKQRGPEAFRGEFRDLNKTHPSH PFICI_09161 MRPESPDGKAGPAHDVSIDPSLMEQVGPSDIDTVAERRFLWKLD LIVLPLLAIIYFTHSLDRANLGNAKTANLEADLGLQGNQYSLVLILFYIPYGTLNVPL TIAARRLSPAVVIPALMLAWGAISAASAAVTGFGGLLAARVCLGVVEAGFFPSAVYYL TLFYTPTEVAKRIGLFYAMGFVANAFSGLIAWSVFQWKDKPLHNWQYLFIIEGSMTIF LAIVAFLLLPRSVETSQYFNASDRVIARERAAASAQLEAEVFSWRSTLLPLAQGETWF FAAMALSYGVACASVPNFLPTMIKRLAAGDTVRANLYTIAPNLSGAVFIVTVCALSDR LRRRAPFIILSTVVAMLGFILLGTLDLTRLVGVGYFCTFLITFGTFTSAVLVPAWVSS NIASRSARATTLGLISGMQNLGGIISSVVFRAEDAPVYRPALVTSGVFQGCVVVLAAA GWIWYWHEIKKLEKDGQKQRRML PFICI_09162 MAVIHLLASPWTLALAPVALVLYYLVPYFFTFGKLRGIPAPFPA QFSNLWLLSVCRRGGNRYEVVDELHKKLGTVVRIQPNHVSIADDAAIQAIYGHGNGFL KSEFYDAFVSIRRGLFNTRDRAEHTRKRKIVSHTFAPKSIGQFEPYIHGNLETFVKKW DELAKQQRRSDGYAHIECLSWFNYVAFDTIGDLAFGAPFGMLEAGADIAEIRSSPDAP PSYAPAVEILNRRGEVSAALGILPDLKPYAAWIPDPFFSQGAEAVQNLAGIAIARVKN RLENPPPIERKDLLARLQEGRDEKGQPLGIEELTAEALTQLIAGSDTTSNSSCALLFH VARTPGVLQKLQAELDAAIPNEVNVPSYDMVRNLPYMERVINETLRHHSTSGIGLPRQ IPYDGKGVHLNGHYFPPGTVLSVPTYTIHHSTEIWGPDAMEFNPDRWENVTDRQKNAF IPFSYGPRACVGRNVAEMEMKMIVATWARRYDVYLRQDYMDTREGFLRKPVELNIGIK IRDSLQ PFICI_09163 MASHNSDPMHLSEKNDVTVHNSYGAGPSSIASTDNGSHSDEEDD SIHGRPSQEIQRQTTRKSRMSEKSNTRASGDLRRTASNTLNNVLSRLSTRIRDEPPPP PDGGLRAWTQVACGFLVIFTTWGYVNAFGAFQNYYTGVLPVSASAISWIGSVQIFLSL SLGVLTGRLLDAGFFYPTFIVGSTIQILGVFLMSISTKYWQLMLTQGVLTGLGNGIFF TPTLAMITTYFDKRRGIAVGLVTTGNSLGGAIYPVIARQLLPQVGFGWTARVLGFINL ACLLVALAFLKPRLPPRKSGPLVDVTALKDPVFLAFTFAIFFAMWANYYTFYYIGSYG RETLGLSYSSSTILTIIINAVGIPMRLLVPLIADRIGPVNVQVPILFIWTIVAFTWLA VHDITGYYIFACFFGAASGATQSLIPTSLASLTPKLSMVGARIGMGFGIVSVASLTGP PLGGALQSADGGSFVAPEIWVACVMAVAFCLVITARVKKAGWVLKMRC PFICI_09164 MVWPGGYLAVSLALAAQVAVVLGELPNCPYLGPVFPKPTNLASS DTIAQAVANLNSAFAGYTNDPANNPNQTSWSVQLFSTSDDGDQPVWENYHTAPNVNTS WVSVVDGNTIYRLGSVTKIFTILTFLVEAGDKHWNAPITDYLPRLAQLAKEGDSDFDA ITNVDWSEVTLGGLASQMSGIIRDYAIMGELTQENNQTLLMSQGFPPAPLALVPPCGE FYLCSRDQLMDGLKLVPPSWAPYTTPGYSNLGYQLLAYALENITGRSFEDMLDNDIIK PLGLNHTFYTMPPSGMPGIVPKGNNWGWAFSLGEASPTGNMYASVSDLSSLGRAIFRS TLLSRATTRRWLQPAALTSELVASVGYPWGIRRIQLGTGTNRVVDSYGKAGSINAYQS LLVLLPDYDVGISALLAGTWPGNANWDMADLIGGIMLPALEETARLQADAAYAGTYEL SSETDPASGLTNSSIVLSTDSSRPGLGVESWVSNGTDMIPIAVRYTLNYNVTAPAIRL YPTGLESRTDGNSTKKVAWKAVVENLDATDETGKMFSTDCGSWVSQTAAVYADMPLDQ FVFTIGEDGKATQIKNLALRAELDRTG PFICI_09165 MEVNPLASNPPAPARFNSAGARLPPRRDLSTKSRTQRMQKAGAS RDSTTKRSLPDLLGSMSDKNAKMKAGMSPNIGTKPLVPAIERRTIGEDEKLGRLRKGS DAEKWDLTPDGGSASREGRQFTVANVGHNGKIYLRPTIRPANQRYPQPNFVFPLTPPS TAGFDALASPKQEKQKEKEEASEILSGQWATTPLNTPSSPFTIKKKKSFLTLGKPTGR PKHRRAMSDSTVQDISIAHESEAGGFKVVITKPKDEVKAKTTEDIGLDGAPLLDINIP SWKIGNPRFTLRGTALIRGSSYAPTEDPRSSNVSFFQKSQRGASDINSLHPEAMSSRK PSPISLSHVPFLSPQLYSPTSPRFLGPAPTVYASTFVVIEPSMFDALTFKPGCDDKLI VRYASGNGAVTAATPPRLVAEITSPSFLDYELISDFFLTFRAFLGTADLVQMLIARLR WAIARSDEVGMIVRVRTFVAIRHWILNYFVDDFMVDYQLRVLFCNQLNDFVDELSQQS LAQKAQIKVLAELKKCWRRICAQYWDGPDFDSGLGPEVPIAPGGIAGHRDPTLDPSVW ENEDSVLPRLQDFDFNHEEPRVDSSFAQVIDRAGHIDSILHGDERPASARQRNEAIES FRRHAASPTSIGSMDVISCSFPTKSLRTPADPAKRPLGAHPVDPTSIYNNPDPIASTP RALSGKRVRAQQPNHKRNNSLTDSMRDYATTNNKVLSKNAEILLTLPYAGSLVRGNLL PPGQAFVDVMPTAGSLTSRNTAYFEPQHQLEGIHANKGASAMSGPGMKKLLGSVRRAL SHRGQGPNGVGPLGPRGVTTNRIPGTAVVPQARPLRPNGFRPAVRIDILGAEIAEDFK KAVREDATANASEKSATGSAHKVTGTDIEYSAAHLDSSFDLHAALDNRPLSDTAITQG SKSIVIVDGTAPPDVPAMTGALPLMPALNASVEAFADSFLPGGGADPTPPTTPPSLYT TDIPRRSSYILSQHVVEPSLEANPLPPFIPDLATLKTRTPSEYTSTQPSLGHPSMISI QQTPNRPPLSALRHRGHLRQRSSRSFRSQHSLSHRRWASINSAFPRSTLRSFDATTVS EESRVSEIMPQPLRVLRRRPGGDLRGAQNIADLDAFPLHRSRSAGSLTTYSESLRSSY LRSPVRDSEGYVDVFGSDYSQHRADVFSLGAMAEKPKHPLSLFSTHSSKPVLRPSFEA EAQKLAQIPDDIDDDGGIESALAKLEGRKLSIEPQDVPAPQFAEIGPEPLEESPIEHN TPEKVRHRHQHVVNDEAPQSPSLTAPESLATLEVPRNAEVMSFLSEGSRESYNSIPLL ERGLTDDGRSKTPNQQWTDQSVFDDEDEQTPGAGGAAKSYFGPSAYEIVTKTESLEKI PPGETVPRDISSPAEVLSFLDVESDNESDLSSELSLQIIHAEQPNHHAIDQNLTEIDS QVLFDLSTDDVPPPPQGRPPSPPMTLAQALKMSPPESMREPQLQDHQIWSQKPLPPTP EVTPTLAMHQQKMGHANDLADSRGALHKSWGPEDELSQKFSVHLPFILAFDSDILAQQ FTLIEKDALNEVDWKELIDMQWKNSHSNSRSWVDFLRNSDARGVEVVVARFNIMVKWA ISEIVLTKDDTERARCIVKYLHIAAHCRRYRNFATMSQITIALTSNEIARLTRTWSLI PPQDMRILNDLESLVSPTKNFYNLRIEMEGGATETEVGCIPFVGIYTHDLLFNAQRPS EIASSPTTPPLVNFERCRIAASVVKTLLRLLEASTYYQFQPIEGITERCLWMSALDDD DIRRHSESIEPQLTQ PFICI_09166 MGLFVLAETPAGYGLFKAKDKKLLSNGNIASQLNSPDAINSMLS LKKMIKFEDSAQALGEYSSLTEGKVTPMLQQLLDDIKDERKSSLAVADPKLGAAINVL PQLQITPVSDSSTTDLFRAIKTYLPELFPDLSGDYLGNMALALSHSISRHKLKFSADK VDVMIVSAIKLLDDLDKELNNYAMRVKEWYGWHFPEMDRIVNDNLAYARIIMAMGTRD NAANTDLSEILPEDIEQRLKSGAEVSMGTEIGEFDLENIKLLAEQVISFTEYRQELSQ YLTSRMKAIAPNMTELIGELVGARLIAHAGSLMKLAKSPGSTIQILGAEKALFRALKT KHDTPKYGLIYHASLVGQATGKNKGKIARMLSAKAALALRVDALSELSAEGVDGADIA IDDEERAELGIRSRIKLENALRRLEGKPIQTKGTKIAPTGTGSKFEVKPSRNYNADAD GVAKPLIQEVEDTPMADADEDESDDDSEAEKKAAKEAKRKAKEEKKAAKEKRKSGATE IAPPTPQQPKTLTEADFERLAIDAGISVSKFKRKYERGDVKLDADGTPSVVSKKELKK QRKEEEAEEEDAAVAEDTSSKKRRRSDINGTEKESKKSKKAKKEKS PFICI_09167 MEGLLFNVNNGYIEGIVRGYRNSLLTSANYNNLTQCETIDDLKL QLGPAYGDFLGSLPPNPSTSSLASKTTDKLVSEFRYVRANAVGSLAKFMDYLTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETMPVLCVATNIEELYNSVLIETPLAPYF KGSLSHQDLDELNIEIVRNTLYKNYLEDFHNFVNTEPDMAGTPTSEVMSEILEFEADR RAINITLNSFGTDLGKGDRKKLYPSFGRLYPEGTLMLSRADDFEGVRLAVDGVGDYKN FFDAAGLGGGPSGPGNMAGGSGGNDSRSLEDMFYEKEMQISKSAFTRQFSFAIVYAWV KLREQEIRNITWIAECIAQNQKERIGNYISVF PFICI_09168 MSHRITGVQRLSGAINVCLRPTPQTTPSILPLTQVASLSTKEKK RIAKQDPYRWQQMQQRKAAHDTIKQRYQQERQAALGDSVRGIETPFVESFDSAGQSPL SVPKTDHNNNPIEEPHPLPTSPEVLNHLVSRAELDDVLKHAYILTKPTDAIEAFDDLA LREEAHEKKHAVAVEAINRIMDLNNGSAFDKMHANIKRCVNTFGRHQTDLTMRPKALA RGVKAEERPVRGGPDTGSSEVQIAILTAKIRALANQLETGRGYKDKVGKRDLRLLTHR RQKLLQYMERKERGSDRWYHLIEKLGLSPATYKGQITL PFICI_09169 MAPSNLPAIFNATSQDIEMLLASQSHLGSRNLQVHMEPYLWKTR ADGVNVINVGKTWEKIVLAARIIAAIDNPADVCVISARPYGQRAVLKFAAHTGATAIA GRFTPGSFTNYITRSFKEPRLIVVTDPRTDAQAIKEASYVNIPVIALADTDSPTEYVD CAIPTNNKGRHAIGTVWWMLAREVLRLRGTIYNRETPWDVMVDLYFYRDPEAEAEEKV EEEKLPSADEVGPAAITTGFTESGGDWQASAPGFAGAQGGNWDGATDEWGGAAAAAAA APTGEWGATEAKDSQW PFICI_09170 MFNKETYVPERWHTSDEVKNVRINVPRSIIVASTTNSIMVATFV LVLLFFIGPVDDLASAPLPLVYVVYNATGSKPATNILVTLVALICYCATFNCFASVSR LVWAFARDNGLPFSGFFGYVHPGLRVPTNALLLVGIMVVLLSLIYIGSVTAFNAIISL QTLGIYASYILPISFLLWRKLRGPEPPYGPFKMGTFGIPVNIFALCYLLFMVTWMPFP SVLPVSSDNMNYAGPIFGGIIVLALGDWFLSGRKRFQMPVKVY PFICI_09171 MASCRAPSYSSDSSDSSDLPDRRHEARGRTRRRPGAGRFGKTKA GRISKPLPKTKTVKIAEGPKGKDTKGKGRVIKGRTREDSPHPGHFTGSSPGSSSGSFS GSSSGSPSGSSSGSFSGFGDPPVESRVDHLELQLRLQQVELTEHKRLLAEVDQKILDS ACRCPDEDNGCKCNQDNAGGVDRAEIDEIKRRLNQTHVLAEDVADAIWDLHDDTMEAL EDMHGRLDHTQSLAEEPANAVRDLRENGTSEVETLHRRIDDHEDDEAGQFQDMIQRID ELEGRLRVLETGPPSTPSGLPTPAPGERRPAIDFGLGGGLRPGHGPENPIRSPIASDS RGPSVPSSGGPPPIASDFGWCGVGRLGRLGRGDGPPRIVSRPRRPSVPSSGTPRPIAS GSHGPFVPEVWPSICGGLRPPPSASGSHGPSVPEAGPNMPGGPVVPDAGPTRPTCPCE PCDCVQETLPEPPSNDKPPSIKSSSGKANSSDGSTSGESSSSSEEDDTSDMDYLDHSD SGMSGA PFICI_09172 MSDAEAAGSRTRPPRDSPPPQQQQHRRSRSRSPRRHEDRDRSDR REDRPRPKKKNFGGFAYKDKRRDDQDRSRDDRDDDRRGGGGGGSFRGYRDRSRSPRRR PRDDNDDRRRDGGRGGSNYRDGGRREGGGGGSRRDREDTSKPPKRAAPKQTVPVEGFI VVYVNDRLGTKEAIPCLPSDTVGQFKILVASRIGRKPEEIKLQKQGERPLKDMITLAD YEISNGRQLDLEVGTND PFICI_09173 MIHEDVPGMEVTVQINGVDVKEYDAPEAGDEDKDYPTITKYIES IDDAFFAVNLNINNHYDWRHGKAKHCLSCECYVDGCWIGARVVKEARDILIRGHQSIN NAGEGELSKLQFASIQTIDDAKKERVEKDMKATKNLGLIDVKLYRGTEHGAIAHQAER SPKRDRFEFAEKSLKGKAISHGTTLRSKEQITKPYWVDFRHLPEDNKQPIARFRFLYR SRDALKREMIIPRSPTPSPPTFNALSDAELRRLARERFNQLQDSAVKNESSRPIKREL GEVYDLTGSTAPKKQRKGKMTRLESGRRVEVIDLSDDDE PFICI_09174 MSVTLHTNLGDLKIEVFCESVPKTAENFLALCASGYYDHSPFHR LIPNFMVQTGGPANPTPENPKGGQSIWGGTFEDEIRPTLKHNARGTVSMANKGPGTNG SQFFITFDKAPHLDGLNTVFGKLLGDDSIAALAKIEAVEVDKKSRPKEKIFIEKVTIH ANPIAS PFICI_09175 MTDRIPASVRVSGPPNSSFLVGYPGISATLPRIEGKVEIRPSQG YSAPVAVSLVRICLQRRETIHPAARNLAKKHLGTPRRDTTDVVGKELLLFRCASGREA ESVIAMDLPFVLFIPFGRGGEETNRRIPPASLQLASRTAETYYELVVTVQQGASQQNK YAFPIPLQRYDTLSTFGMYNRPETKIATADNIVTLGISLPRWSYGPMDPITVYIKIAP NLDWMSKARKVTIQKITLSIEEEITYNPEGDEPTKEINRLHKHVQQVGTKLPEAGYVT NMGVIFPHRDLRDSQGIVRRGQPAFPNYEVSSFTTTSTLYKIEFFLNIKASMTSAKDI TLRQPIVICPMDHQACKEEMDAIEQAAKDASSVDPHNPMLPARNIVLATDYNALRTLG LCMVGGQKKPLIE PFICI_09176 MAFDDEDPTAAPLPAAGAHAVGSTMEGDEAPPTAEDDLLDEEVQ DFRKFASALQKTQVSGKSIRKGEKDFESHGTRLQEGYLEASRRAMHDTLSYTRTHPPP SYIRGWYFPNHFSEETELGWSDRVVVVEEAKGAMFKAMGRAMKGRGANFAPAWDRTWL LPEEALYLVERGDLHLWWPEREIKDVFPLKTEGSDEDTKISREEMGIPLSFQAAYSLF IGKDGERGKISLEKYQVYANLRRCGYFVLRAAFATTESDALTQNPRPDSIWGWLLSLL SNDPAKPPKSYPAEGPLLKPGLYRSYKAVFQQLHLIQRHKPTPHVDASNPAQPPFDIF FHVFKSRPSFSKASPPPPDFRISVVNARTTSVPTITELSALLESTPWDPPDERMAAGL GPGFMQKRLKHGWRNAIVAVVDSGFTSYLRFTEMAFGEERLYETFDRPQGKGGKKGRG GPGGRGGRGGRGGGRGRGRGRGRGG PFICI_09177 MYSRGQTADSGPRFSKTEEDDGDDGVLSPPPSSSVSSVDPAMLG DEIVVGTGNGTTTANGDKSSPLQVTSDKEDVEMAEAGSEAAASHYPKRKRASMYDNLG EDKLEVGLAAATDDANSAARQAKQPRVGGHPGQVMKGILLGYWRDSPVPNEAKKHAVI GFPDVRDRLRTRIQPFTRTGEAINGRLFPIPPGPGGSWTTFDRTVFEDHLVGLDHNEI KEYIKIRLKAGDSGVDEVDKHQAELDAIKEAKRNLKNNPYAESPIPPAIAYGKIVPEN HQHGSRPESKRRRTGSATVPPVVPSQTSSPALRSDGQLPPPSSVLAISSAQADAERKS PVQPALRSQTPLQPQPHLQPSQSPTPAQPPVSGPTPPQQQSHGLPHQILQHPQQSFSA QQTAAPPPAAPVPSRLDDTQYVGNLPGQRPTRILVGCWSRSSAKKDQDKHAVYGILGA NDMFRVKLVRETMDGRFVDGNFPTGAGALWIGYEEVNFLPHIRDLNRSEMKEYVRVRQ YQIDSGESEEERVANETKAVYEAQRRAALNPKASAGPPVHAGRHSLNAFSEDSHIKQD TNAQDPRDHRRGILQDIRGRPVSEADYRQTGRAASIDPLERTNSLARREVHKLEAAQL RQDRVLSQRGLSSAPIHTPPPAIVPSDNRAMLQENMVRLNGVWKNQETARHHGQQQQA QQQAQQQQAQQQQQAQAQAQAQAQAQAHAQAQAQAQAQMMQMTPQQPPQLPSQPMAPT PNMEEVRVLGNVTYSRKQTGPFAGKLVSRGEIITIDGEDYVEYRVLTKPTFF PFICI_09178 MLFGLLLAALLPALAKSACSSGSPPASKGTRHNVTLSGGRTYMY FMPEKYDHSKPNPLILSFHGASRTADWQADLDRLTDPYFNKDHVVVYPQALQYGSTSS YIYWQGSPNATANDVAYVGKVLDEVESELCIDTSRVYATGKSQGGGMVGVLACDTAAS QRFAAFSPVSGAFYTTGAASSLTSCGDPKTLAMTCNPGRDNIPLLDFHGGNDTTISIL GGLRKGGCLPDVRTWVANWARRDGLSASPSATVAINGSAELYRYGSGADSGLVTFVYD GDHVNHDWPATFANTDNEDHGSGPATFNASTMIMEFFKKYSLPDAKDGDDGDGCSEPS STLGNSSSSSTVTASSSGIKTATLSTITSITSAHANSTSSTFPTSATITAIISTGLTT TEASTALPSSSSSTAGPTASSVPVSGASVVGPLSFFWMTAATIMAFLLH PFICI_09179 MASHLKRKRTAAEPSPASSIKPTKIARSSLAASRDITSSGPITT PANAAAQLERIASSDRTPFEKKVWSLLCQIPPGHVSTYGLMSAALGSSPRAVGNALRR NPFAPQVPCHRVVATGGQLGGFKGHWSRNGEGITIDEKKKLLRMEGVKIDPSGRVLGT PWSAFV PFICI_09180 MGVAEAWTLLSLGLAVIGVRVYVRWTAVGPAKFQLDDYLMPLTG LVFTAETVAAYLVGALFQGLTNSYMTDEERAALDPNSEEYYNRQWGSKIQVIGWSFYA AILWMLKICIAVFYSRLTSGLVHLRLRVRIAYVLLGTTYLVVALCILLGCQPMHKYWQ INPDPGNLCQPTNSKLYVLVVLIPNIITDTYLLSIPLPLLWTVNIGWRRKASLMLLFS GAVFVIMAGTIRAVVIITSGPEGAVSGSEWACRETFVAIVVANLPIIQPLIRRGASKL GLSGMFSKSTKMGRSHPLGSQDGNGDTYALTKHTALRTGDASSMATASRSKSQSRVGV TSRPSNPRIDITIDQEVTIIHEEIDRKKGDNESDDKIEYHSAISTNRGV PFICI_09181 MANTYDFVIVGSGPAGSALAAGLANSKAKPSVLLLEAGGDDNDR NLRVDGQRWQTFMRENMNWGFKTVPQKECADREIDYSRGRVLGGSSAINFGVYTVGAR DDYEEWARLTGDDAFGWDRIQGRYKALETFNGKLPPGVDAKYAAPKDSNHGFSGPLKT GYAAEWEDDLPPMLDIFQQNGFQLNPDHNSGDPLGMSVLINTAAGGLRSTSRDLFTPQ PENLTILSNSPVQRIVLNGKKAVGAESNGTKYYANKEVVLSAGALNSPRILMHSGVGP ADQLKKFNIPVVQGIPKVGQGLRDHEFSPVVFKRKEGSTGRSAFYGDDKVMQEALKQW EADGTGPWAKFACETGIGFFKLDALTSSDEFRALPPQEQAYLQSPTVPHMEVITHFPM HWFLPNFPAENLDYSCLLAFLLNSQARGEVRLQSADPDAPLLFDPKFLEHPFDQRAAI ESLRAVLRVAKSEAFSQDTVAQLVGPVSDSDEDLLAYWRQTLSSSWHMTGTLKMGRIG DADAVVDPEFRLIGFEKLRVADMSAVPILASGHTQAIAYVTGLTAAEKLRAEYNLD PFICI_09182 MLTSSSALLHTTQLISLTTSIFLSGINFGASHLFVPVLHHLDAQ TVAPAALAALYHRGARLVIPLAILSTTAAALTAAQSSGRDRTRWIIAAGATLASLPFS RIVMRETKPVMVSRWTDDDVKDAEESTALHVGESSLDDADKEAVSRQLRRWRRLNLFR STLALVGGLVAATTVVYRG PFICI_09183 MAPEVIDLLSSPEVQPQRLPRPAQRHTGPTAQPRAIGLDGDEFQ DIDDVLGGVNNKPTTRAAISKKSPKKSNDVIKNDKPQRHKDGNDYLFLADDFDTTGDL DFDLPRNSKASPRTTARTSTNRSMARTSSAVLPAKPSGSGLKRWHSIADPIQHTSSPV DLGDDDPFDSSPPPAKSNKGKEPQRSGLSEDMTANKRTNTATTNVFDLTSDFSDYSPA PTRKNKGKQKANWDPISSSMPETNTAANDRRAFSSSPAKAAKRKMGVIELEDSDSDDL PELSKIRTDGPRLSRSLSESPRNLKRSKTTSTGSSTKRPAPKTQEEKDLEKRRKTQAR EVENERKRLEKERAKREKAVQKERDKALAEVNKIRVNKADAQVEMIVDIPQSFDVGLN AQVVELLNEHSIAHSTWDSPVDKAVRWRRKVDRKFNEEKEYFEPIPLRIVQEKHALVV VQAKEFVQLATNKDGGGLGEHVLKMRVAFPDSDIIYLLEGIDQWFRKNKTARNRQFQN AARNDANTAAAQGRSKKATHEIVDEEVIEDALLSLQVDHGVMIHKTGAPVETAQWITV FTQHISTIPYRKRRDEISRDAGFSVESGQVKTGENARETYILMLQEIARVTTSMAICI AEAFPTVTDLVRGLERDGARALENIRKSTNSNGHITDQRIGQSVSRRLFKIFTGRDPF SNEV PFICI_09184 MGIQYLFIDAISIDQSLQGDELVKQVIAFSTLYGTIPVIAAYDK ADELFRNTMHRPWISKEARLYRNNPTKIVYVGHTSQGGASLGKYFPKNELQDYRFGME LDSIWTGSFIETINGVLCGDIGMSYISDLKFIIAPCAQALVVAYEKMSRNDYLLTALI LCANYTDTREIRLRSNTRENSFDRYSIRKVDGPGSGIFWAVYGIFLDGVRVGHLEAAG KTKSRVGSYVAVTPNSEDIILSSLGFKSSERKEYTANVQARHAYFSISNKSVPLPEIE VVSIEL PFICI_09185 MTSSPPASPGMMSPRPMSAMVKPSSRSASRLSMSSKAGGGSRAS DEEARTAVKVAIRVRPPLKPTDPGFELIPQRFQRSMVQATSQTNLAIESPQGKKLFVF DRVFGPDINQEGIWDYLSESVSAFVQGYNVSIMAYGQSGAGKSFTMGTSGPGDQADLE VMGVIPRAATSLFEKLEGAPVKNSNRQSMSQLRTPQRYSMSQAPTPKAEKNWSLKATY VEIYNEQLRDLLVSEDTPVHERGNVTIREDTKGNILLTGLRQMEINSISDLMNALSFG STIRQTDATAINAKSSRSHAVFSLNLVQRKPKTSTAAEKRMSVPLEAMSGAETLVTTD SKLHFVDLAGSERLKNTGAQGERAREGISINAGLAALGKVISQLSSRNSGAHVSYRDS RLTRLLQDSLGGNAITYMIACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQTEEGD KQAIIERLKAEVAFLREQIRSAERGGGDRRGPVVSERSERHNEREQELQNHLLDVQEN YTTLSQRHARLIAEMARARDNEAASENQPEHSDDSATERLNRSNSFAQAVEQVVLEYE KTIQSLEQSLSSTRSTLSTTEGNLLEKETKCAYVETINTQLQARLQKLMDREANTENY LHDLETKLDGHTSGEEKNATIVSELRKEIVRIRENEAACEDYISTLEERLAEADQDSE LMQREIDRLEQVIERQRSLGKLDNLLYELDNIQKDGPEAEASNGVGHRRTFSERSTKS HVSRTSVSHARRELPDVGEEEAEEDEDAAKVAQDKERDSAESGEHSGEESTLDVNEKP SVAEYEPTSPAQSKFVADKLENVTEELIHLRVEHETTLNEYDTLQTKYEEALKTLSEL QDAIDEAKHPSRNRDSLLSATSPNETRPPSFLSDAKTNDTKDGTRFSSRSLSSELSSA MESPATIDSPSDVETPKPAESVDSPTQEIVASAPDTQDELSLELEQLKSLAAEKEAAE KELAEKYAQLEQKHSETLDIVEELKTEVAKAKYAEPPSPRSATPVIRRKSSQNFMIID RAHRSFAQLRNIAAENFEEQPDKMENFEVHLNAAMHELHSRSERIQELEADVANAKKE METKMTIISGLTRERSSLKASPMDMSMVSTMRNQLEQNEKQFKNLQQAHATRESELMA ELEALRKNIQETAETKPVASDDSAAKELSAAHDEIVAAQVKKIQELESDLSDWESKHQ AALQTMQATESQMKQTIDELETQVAAVNDKFTQSQDGTQNPEEAKDAEDKHQALITSL QSEISEYKSTIDINASKVAELEKAHLATKTQLEEATQAHDLAANNHKDLVTKLESQIS EHEQTLKTHQQNLEQLHADHAKGIDGIKSSSQQEYESQLSTLVNEHAENVRLLESDLA DAREELSTIASQVANTLGVEASIDKINEKIEELLADQKMLLGEQEKKAELEIHVNELS SINNTIMRDLETVKSALNDMLKADGENIKSPYPSVAEQVAAVTKRLGDLENKNKKHSR LVEELEDQLQTNYDQAQITSNRLSTLQSERQAQFEEIAAARIKAQADLDQLREEHAAL QTRLEQTEGVQRSNSLNSQVRRSASHTSLPSPPPAIPLPPLPGGGSTSPPPGASPGSG RPQSNDLAMAQIREDQDARIRTIERHLNAEKQLTSTLEEALTDLERQSNKVKADCEAW RKRAMELEGEVKDLKDKPQKDSRWRDSLQQVEEERKKRQDAERAAAHLQERMAAINQK KKKKGSLNCF PFICI_09186 MEPHEAAGIATTAFSTLFVLLRIFTKLRVANERLGPADYLNIAA LVSTWVFAIMIFPFNPWIQRLLAAQAVAKGPDDPEVQRVYEEGFDLFNAVTSIFFALA LTLARLSILVFYLRLSPIRSFRWTVHGIIAFLCLETLISVVLSLLIFDPVSKDTMKAA DQALGMFYGVSNILVDFAILVLPIGVVAPLQMSPRRKMAVLCLFGAGSLVCAISTYRV TILTFISSRPTSSNPISNQLTLSFAETNGAIVCGCIPVVTRFFTQFLPTKLGTWPLFR CLGNQKQHDKSSSLSPSSGGGRGGGTYSTTIEKNRRRREQLKQLRDRLGGDSGFATSA TERDVGSREGILLGHEMSQMSTDLEAASGQQQQQQQQQQQQTHHQRGYPFGSITNESF DTLERNVLGKGRADTHICAETEVFAPDREVGITCTHDTRISYGP PFICI_09187 MSTIDSLDGLFQAAARQFRDSLSPADSKEFMEFPSAKEMIEELN KRVSTYQRNSKLSKYCQRLERVSESLLPFFDIIGIFIQSNPEVPALVWGAMRMVFLLA SNFSSFLDKLLAMFEKIGDRLPGYAEYYRRLLTRQVLGNTELDIPKFESTRVVKVLSY IYTDLVQFCQEACQVFGTKKRGIRYKAVAIADIFWKPFDVRFKSLLERIETHQALFLS EMQLEESKFTEYQLRKRERDSANTNDAISQFKLQVSELKQSILEREETLTVRFERILE HIASMTSDRETSGHRGDSILQVSPIFAKPGYGKTILSSLLIEDLANPPETNSSEAQQA PTTLPVLYYHFASERVEECSPTHGLRACLHQIIHCNIRSANVLDAVSIVIESDGTGQM RATDEEIRQCLLLLLKQLPPVTLILDGFDECTNPPDLFKTVYELCCSTGAKAILMGRP SCKPPRGSNPYTTISLEPWQNAHDIRAYLQPRIECLNNDGLLPKSSNIEEIVALLSCR AQGMFLWARLVVRYLSCDWLSPTERGDAIFDDTMLDGLENLYGKILAILEKGYPAQKK KVQRIFQVIAISRTPITLPELRYIVAVQLGRVTREEDLIVDFQNCLPIMCGALVECDS SGNVCFFHSSFREYILGDDYCHASPFSVTKQMAWLILTAICFSYLTYDIPRGAITTTG FSNEQRTLTAESFPLLRYGLTRVQQHDPEIFDSSFAASHNDFEEVSVALQVLEEWINQ RLCVTAWVEANFSFQKLPTLEPLISMMQLCSLKYVAHRARVDVITTLYLRLEKDLVEL RKDWAEVLLKEPCAIWTSTITAFAKSSFWFTQNDTVVTHLGERPLSPSMDNYPPSMIL VKLQTASDKMTHATIYVLPSRQYINMTIRMTASGLADCGMEKDNLQDLCSGWKAQYRI RDISSQQVLFSVMLNLSTAEVLEVLKISNDPINREQSCPWRFIFPVTISADLHRVLIL RVLVSLRSGTATGDEKQFGEFAMYSQRLKSHTTVRDFDFRYLYGSTFSPMGDAVAFFE KKTSSTQVLEVWSRAASPPQASANTYVFRGRQLFGGVKTQSPKGKSFLFHPSRPCLAF TEWGKASIWCFNETDGPRRCYQFIRKTIELLSFEDDVFIIYTCWGSSFGESVPGMEMP ESASNREHMAPGRHTERIRLPAAPVSTGNVRDCDSHVGNSTSITTRQGENMTVTKHEK PFWISQEGQTGQVVKITSANGQTAQFGHLPFLQSWEPSSLTVLPITDSVKIVWDKESQ DDYSILDTPSPHLPSIIERTLQSVPLLEQARSENSESLVDTEPEGNSMELQLIRKRTR IEDDSAGGEPSKRLNMTLTNVATSRDDV PFICI_09188 MAPAATVDIVKPATLPHKGGVPMADSKVHSNMEVATGAAVGSKW EDFTFQPIRESQIAREMARRYFADLDKYAESDIVIIGAGSCGLSTAYTLAKARPDLKI AIIEASVSPGGGAWLGGQLFSAMIMRKPADAFLEEIGVPFDVEENYVVVKHAALFTST LMSKVLAFPNVKLFNATAVEDLITRRDDSAPGGIRVAGVVTNWTLVSMHHHDQSCMDP STINAPILISTTGHDGPFGAFSAKRLVSMGALEKLGGMRGLDMQTAEDAIVKGTREIV PGLIVGGMELSEVDGANRMGPTFGAMALSGVKAAEEALAVFDLRQKQNSI PFICI_09189 MARSDEAAAFFATVYSAVREIPEGKVTSYGHIAKLIGTPQRPRQ VGVCLKHLSHDAGARFNNVNVPWQRVINAKGAISQRSQPSGARNQAAALQAEGVTVAT GALGELTVDFAEYGWFPRSLPSEEESELDDDDSE PFICI_09190 MHLLLIRHGESVDNVAGLYAGSRDSALTNHGVLQARRLGAHLVS RRDVIGPVVHIFTSNLQRAYRTAEAVADAQRGSKAALKKADVELTVAQLPELREKDFG SAEGVKYGVLSNGRADGSIQSDSESREAMMVRVDRFIDKELTPMLKRHASEKVAVVVV AHGIILGVLLQALVSHFPVPTASTASADGDRYGAAWSNTGVLQAKIENISAQVRASGP MSSASTTVDCTADQSRLTIVIQGTNLTDHLNGLKKTRGGIGSAKFDSRQQTLTAFFTP TSKKRKAEDDLSQ PFICI_09191 MPVRIPKAGATEGVAFATAGVVGFAPFYFMLPGAESRLASQTQK WAPRWERNISYFAPPAQRVAQRVEPRVSKNVKKLDDKLPLERMAQGVDRRLQKGFDRL HLHDIPK PFICI_09192 MSQQPQSAKRMRSNTSNNEFTPQTAPALRGRPSQLDAAAAASVA LYSNSVNVYDSSAFTTIPSTRQDVAYGSYVAAAPQHSDYPAAAAAYAAAAEPYDPHPH ANSYIIAHGLPPPADYNPPYGGYYSPDLGLASIQNGSYSPVTARHSTSVGPDSYSPSP IPTSSTATSLSDIQAHSAAAASAAVQHSLSQQFEDVYGTQPVSHSSAHHHHHAQTLSY PDAAVVNSQYTPPTDSVINSQYNAPTPGQLTLDGHHHSPMPSRVDEQEDSDEDAQGET ADEADLSEIHPSPVSTTKPVPSLKRYTSDETSTSKSSETKCACKKGRGKKKASCGNPF QDLSTFFGPPTKFPKPCDANPCFATWLSNQPNIEELDLDLMVDMLLYDDASWASLKKQ TKAFKDWEDKWLKAKNAKSKKLKEDRERLEFELLRGALGNCNSDDFHGFWYSFCRGQW VPMDDWEHCRECKNCAPSSDWHCEQHNRCTSNGTCAECAAASTPYSELSPYPVTA PFICI_09193 MSIRRYLSYACLSTAAAAGTRFGNIIFPDADPDYAVEFGVLADS LDRPNATGRYPIAGPNATVAYPGSMMDGWEIEMDVSASMPTAHGGDKFIAAAGIKLHP PAELVQQDSNGVQHLNADADSWFGCVNVFGAGGISGDNGNGMCSGLLSDTCIDNIKRL FAEAPQLAAGGQCVSLWNMTDAEASGCPFPSDEYTSGFGFGDDLLVGHEIAYISDAMD SPSDQSGYDRFASTTYPVIITWGHTDGAGDEMVAEDHITVACVRANVTTPGSQTPNTS NGIKLSPRGVAITILGFVFALLV PFICI_09194 MPPSYGTQAYWDERFTKENHFEWLLPPDALTGPVRDALETCGET AAAAATAYYPRLLHIGCGSSDLSFHLRSLVTHGEQVVNVDYSEVAIRKCVEQEALRRS RDNNGGDHASMIWKTADLLAPASVAMLRPATDRLGHDAAKEAAPGFFDVIVDKSTSDC IACADDVKVDLPYRLCLPLHRTAVSLADYPEFEVPTVVPCSSYVHPLHVIAVHLAALA RPRVARWLCLSYSDDRFDFLGTSHINNSSSRKDSPAFDDDDDNNNLVPDGFPDPRVLW RVEKKQEIVVGEEEESGDVARPYVHRPPTVYWLYTLVRTEQPL PFICI_09195 MSETNVSEASSAFSRFHLPPSHPQLISKIPKILPHERVFPIQIG SELFKLSGASLSSDAPSYFSQYFLCQIKTAEENGEEVCSAIRTLYIDRDPETFKDISL HLQGYHVAPRSGTHFVRLFADAQFYTLPKLMSQLYEESIFMSIGHREFQIPRDLFQGP GNSPNFFSLGYAIFFSTPEEIFPGLNREGLIRPPSIQPPAVRNRSADIFADLVNLLKG YPVHIRNEEHRASLLRDCRYFNFKGLEQRLIPHHISFNQARHRSEIVLRIQDILKSGI SFAPDPVSTPMHHGGDAAAAAATVSGWINYARPFVDDAPHELILEIGVENTKIHTHIM RAEFFGQIKARIAKLFEVIAAKLNLPPTTQPLGLLMARGGAGSQPPTPGHTALSEDLV RIAIEPDAYIELDGSVFPTHTADADEMPPAPFSSHSSMGRGMSESDSPASSMSGLFGN PRKRRRLDITPAGQAAPTASSGEWVIKKGQWRLKIQASQGGKSAVECVLVAVRLEAYS SEQDRNAQRGFLS PFICI_09196 MDAESLPGSPCAGTPTTPLLPATPDRVNQQREPNVSPTRSGHHR DSSIHDKIQQFNNMSSRLGPQTAAAMTKQLERKTADAALKRAMLGREEAESEMRRFRE EARLLRKQIEEGKERERRVGERLETVMENYGRAKETYSHTQALWEKEIRRARKETFKS QSTTVKLQEELKAAREELKITSASAQSTEEILEREKERTQAREQEAFASRHQLATLQE QLQQAVQRINVVEQERDAFKTLAHNEEVARIAAEGRLPLPQPEDSDDEFSSPKKEAAP LASVDVVSSAASEAEIEELTRLWQWERQRANRTQEYLEFLEAECHLKTCACAKSSSSS SSMRRSLLGSSRRSRPDPVAILDPADLAILGKPHDSTPFRSSRSSVPPEETVIHRSGS TSPATEVQDMLQLEEQPKRAKQPRRSMVFLPEQGTFRTMSQAEMEAMQIDEEPSSAEP PTPVDAVPPPAHYSRTPSVEPPTFAMIRQERTSLLSLLNAPRQDENEGNAPVFNIPTT PGSYPASEADFDQNDRAESVEPERTSFRKSQEDVQAQEPAGHYTTTTTTTRVPLREDR KTNSKTYAITGRRAPSREPSREPSFDKNNPAMTPTMTREQALAQIRERRGRARSAAQG AATPRRQMVTGNGERRDVSAPAGRAAQGKS PFICI_09197 MAPSLHAHSSFTRPRTSDRDGRPSTRDQDNSLIIPSRTSSLHSR ITQPIPSQLQSKPQQRTPKTLTHAYMVCGVGREPSQWVKAPTPAQGKIGHMKGAVGQF WLPEILGSSPRLEQDNEIARSLHAAMRACFPHDVEICTGRSQPHCVHHAFVLQQDSSH TLYGICLRVWSRADEKRAETIRDLRKRTEPDFYDNTDETYWIPYCLSFLSRYPLYNLL GDYLRGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTGF QNFAMWPLWACLSIPNIVGVIEAAISPTRRIIFVSHYPAMLTMAAETVRFCVRVYEWS GLYVPVVHARHATELVQEPGPYILGITAECRSLFTAPSDALVVDLDRNFVLTSSPPTA LSPSQRNKFVTRLTQALNGDVAPSGVPTHLRSAYGGGKLVPAGQIIVMRGEVESVQDP EWWNQDAIMSVMDHVCEKLGRNTGLKAVFGGSVKKPLMTKVSMRHLNEIVRERNQYSR DAMEAWQDFINLKGRMETELAKVTKRNNYLVEELESWKTQFLKFQAFAEQLTKETQDL KIKIENHKRENRRLGGLIDQQKDDNARLSVRLSGTEKQRDDALEALVLQQEIAEELER ERKRNKKELAALQATNSTIMRQRDEARRVVLHLRSLIGGQSHHMEHLVHSLTKPEDLS EEIEAGFDEENAEENNNSVSAADERLGQTLTRASDANKRLSFLDVSDRHLKDKTDAIA HIIRNIAEQCQAAVESLQLAHDADIEPRTNSRRASNMSIAHSEDQSTISSEMGDEQLL HPSGRTSSIPPTPDLIPNRSSTALSMASTMTTPERSSQQYMTHSDIPTKIVEDDEESL AERSDNETVSHEPGLLSKHDLIHRPSGARISAIGSTR PFICI_09198 MSPQVNGDSHASSATISHIRGYPVVNDVVSYYQKNPYGKKSLEL GDSAYQIFARPLVLYLERPYELYLSAYVQKADRFGAGALVKIDEKIPIIKESTESIQA KSKQAAFYPIVKTKETADHLFGVYNSEVKKVGGHGVVTTGKALLSTGIVLTTEALEWV NEVLRSGKEKAEKVSNDIKQ PFICI_09199 MTVTSETPTVDNQLKELFENVEKKFKSTNLKDGSWYIVALTCIA ASPDPELSAQLYLYLRDQEGFPTPDSRQQLVKRLRETLVKSIPLIGICKPIESVVAIS KVEREEDRDYSCSREGWQADAANHERGIDWFKKVYTRNAGDTMGIFDAHKDFAWLSAD ITYGLYLSDRQVLDDIETELVVLPAIMMQNLPLESHWHIRGTRRIGVSKEDTQVIWDC VHIIAAHFGVKLHKVPTVDEVEPDV PFICI_09200 MAISGRDKGLIVLAIVLAIIIAQILCYHFKRYPGRRSGAIENIE IHAIARRAREVEAGEGAEPVQNDSSSSSSSSSWTTNSSSASSIASVPPIRLARIGVVA APPPRPPRSPMPVYSLYPTPQEITLEWAPPQKPPPVYTVA PFICI_09201 MKFISITISILVALFSIVIFREQVVEFATATIETLSNYRKDSPE VIDTSATRQEETKLPTNPITPQTDTNMSSSTIPRAIRKVFLAIEQAEGAGARVRRSIG TPQLRNFSPFLMLDHFSIKPGAGFPDHPHRGQETITYLIAGAVDHEDFAGNAGTIESG DLQFMTAGRGIIHAEMPRQNPDGSANVGLQLWVDLPKQLKMCEPRYRDLKAKEIPQVD LDDDKVHVKVISGQSGGVDSVKDLAYTPVWILDFEVKPGGKIAQPLPQGWNAFAYTLE GVAKFGTGKDERSVDQYHNVVFERDGDLVEVSVPEDAKSSARFILIAGQVLEQEVVQY GPFVLNSKEEVYQALFDYQTHSNGFERAKDWQSEIGKSMVN PFICI_09202 MAFTIEPLRYQSNSIAFESWVTLLTVCLAPLIAHIFAGASRVSY LVPSRPRWHDRMCLYNPISIIYRYAAIVERRIRAYHWESIDIAGANAIFWTQYGWDGS ENMVVASLPFCGLLPDGTTVGISVELFKTIITTFQGAQTATILFGGLNGAVSFDGFLA MDSIFISLALLGLLRLFAAMWLVDDFVYSARILTTEDRTPPITEIQMQPIVSMDSLLL EPEIFATAHDRYHHTLNWRIVLFRTIYLSIILGLCFLAGSWMFRSKVGHNQTPYLYTT TSFLDGLGNLLIILPTALVYGYYSIRNRMHSTIVPCIAHLWFKVHTVICIVFVMMLIF ISALETRQTPCGRYTTWPKDYIGDGCTTKSQIPVSVNRNADLSAPLRISGLVSQYDWG HNKSVYALDEGEFWVLNFTGTCLGLFDDLAVWTRGMTMGVANYTNITGGALEL PFICI_09203 MSRSVPRGRLKSQPVAEFGYDLGEDQQRGLDNPDRAKVLRVISD CPIQFYQLDAETRSKKTILQEQRDYQRHEWSVGIAYRTFDMAPDFLSNSQAVSTTLAH WGVYIKRDGKPYKIFGMNFADFDKKELIIEDVWAANTNHGPEWRRELDINDFTKLEDH RDLTMYFSEIEFVLAGKFWLLLLAFPNNSNCATGD PFICI_09204 MPSLHIVNIGSSFAAGPGLPPQIEPLAGRSGENYAHIVAREVGA KLTDLSVSGATLLNLLSEPQEVTGKAFPPQISEIPRDADIVMVLGGGNDIMYIGGLFM DSFAAYTMFRLASRLYSWVAGGAEDPAPSLDVQELAERYGTVLDAIHAKAPDAQVIVV EYLTLLGPDLQPGVDLPFDDAGRIDYHKGRAAQVQEATVQTIQGRETWCTRIPVASES MGHGLGSQEPWVNGFGLRELYQKCGYHPNGEGMKAVAAMVLERMKNLGIV PFICI_09205 MRSSLVLALLGSSALVAAAPKSNKTSTSSSSKATSTTSSKSSST KAASSKTSSTASSSSATKTTGSGASSCTFTDAAAASASKTSCSDIVISGVTVPAGETL DLTGLNSGTTVTFEGTTTFEYDEWEGPLISVSGTDITVTGASGHVIDGNGAKWWDGEG SNGGVTKPKFFYAHSLKQSTIKGLNVLNTPVQGFSINSATDLTLEDITIDNSAGDGDD GGHNTDAFDVGSSTNIRIINANVKNQDDCLAVNSGTNIEFTGGTCSGGHGLSIGSVGG RSDNDVDGVYISDSTISDSANGVRIKTVSGATGSVNNVTYTGITLSGITDYGIVIEQD YENGSPTGTPTDGVPITDLTVSDVTGTVSGGTNIYILCASGACSDWTWSGVSVTGGDS SSDCENIPTGASC PFICI_09206 MEISRDHDSMPSPLPLQAMSQQRYVQSQDDDWTGVTSTSERRRL QNRLNQRAYRKYKPFGPVRRQGTITVYQELLGVVGQDENKTKGNEDTAAAPRDAVSLT LQEEGYKMLTAPQRRDNVIRFAREAYERYALGAPRPSQLGMLVKLNVLNALARNARLI GLPNDRVCDDDAISPFSLSGPLPLPLPDQTLYPEALKPTAVQYSVLHHPWIDLLPFPV MRERAIRAVSSGVLDEDEIVLDLIEVWPKDAVHTPFLIVWGDSSNPGDWEATLPFLEK YGWLVWGCTELFESTNKWRSKRGEKLLGL PFICI_09207 MTVFNDCPQHITVIIDNNDNMSEDFASPSIIASGGNASGCVDAS TWPGQSSFDMSFHSGDKMHILLGQSPLYATCTIPEYLPNGKGGVVLAPGRPSQTGGDY NFWFFNGPGVLTPLINSVMQANLPAIIKYISSNSINVKISETNSLTIKGLQLDPASVQ CTYAGILPKSGGSSNLWNVNMVFRLREGYLVGTETISDQTGSFNLSVTDLVVYIQAQI DLTFHTKPHVTALQCSLGDYHLSGTIIDVLEALFPLIENLFSIGATPFRVAGFINTIF NASVISVINSFISKVVETPHPKTKYASSLIHSLRFGSSVLAYPRRKVVKLSRPPLGTD LSIWMSTPQIQARRMGRLKLPGTHSSATYGLTAVLSQIVYPHVQFLWNMSAHASPANG NWPICIPPERKNPLYIGPICHNFILGRAVNAISRTQGRNILEQLQSGIRHFDFRVYYD TRDGHFYTQHILRGPLFSDVLAQIRFFINAHPGSGELIFAAISHTNFGHHPAQAPRFA QLVNSYIAPEYLYYEPDSFGQPSFDFQILSEATVADITQGAPKVMFMNLDWRVYAFAD VITNTDGYAGAPIRPGDEPRTVDDLSAQQGLGLRCHHPDSAPLWSVDWVLTPDLPTVV QTVLIHLTGVQKWALQDMAAQANASLAGFLNLHGGANARFNVVSVDWLEYGAEKSVSE LVIGMNH PFICI_09208 MPLYQSPTLRYPTCNLAPPASQAKSRNKSGNKESAFGHNLKALE RSGLSGAMLKATLVQVSVSWRTEHQSQGGTPETLTGKDLKVLVFKVPPKVQAQLRTSN QGSHPASSGGRHNIPEWSPPLQPTSTSQARCSPSGSDHHSSLAPTNQPNLAPWEISVF EPSDFFHYGVGVGGLHPDPLSLGDSSLSDNWLTGAVDNGSFGLDLTGLDWSEGARPAP LPAFDISHILQNDVYDHILATTTTTMPNTAALTVTTMPSSANVTPLQQSPSSTLSHDF GSETTPPFSASTEPTGQRNSRKRSPPVDPETAIKRQRNNIAARKYRQKKIDRISELEA ELKEVKDDRDDLRVRLARQEAEVAALKSLFKIKGGFDV PFICI_09209 MINAVLVFNGQGQPRLTKFYTQLDTSIQQRLISEIFTLVSNRPD GACNFLPLPPILAASGTSHSASEPHNDVPSLVTYRNYATLYFIIISTSTESPLALLDL IQVYVESLDKLFENVCELDLIFNFETLHAALSEMIVGGVVIETNLDRIVAGVKSQGTV AKRPVNETRSTGLGAGLGGTFVWPGR PFICI_09210 MFATLVRRSAADSFPSKLRSTTRSPSSLIYTSRFRPKKIWPPDF SKLSRKEQFRFEKKYKRRVKLATARPRWDKYVKMAQLVTVTGVTVYSVLFMDWNTEHQ PFQGLRDSFWAALESFSPTKRYERKNAD PFICI_09211 MAATSVSKALEGLTVSKTKELKGTEKRDALIAIEKKYQVQWEQD GVFQPDAPSTKEIPLHTISAAELREKYPKFFGTMAYPYMNGTLHAGHSFSMSKIEFTA GYARMQGKRVLFPMGFHCTGMPIKACADKLVNEVKLFGQEFENYKEEESVIEEKPVAP PKGAKEDPTKFKATKSKATAKAVKMKYQFQIMQAIGIPLQEIHKFADPQYWLEFFPPL CRRDLTNFGARIDWRRSFVTTDANPYYDAFVRWQMNRLKELNKIKFGKRYTIYSIKDG QPCMDHDRSEGEAVGPQEYTALKLKVLEWAPKAGETLKGKIPASGNVFMVPATLRPET MYGQTCCFVGPKITYGIFKASENEYFLVTERAARNMAYQGVFATEGKVEKVAEIQGSD LIGTLVNAPLSLHKEGVRVLPMETVLPTKGTGVVTSVPSDSPDDFATVTDLAKKADYY GIQKEWAEFEIFPIIDTPTYGDLCAPTLVKQMKIASPKDTKQLEEAKELAYKEGFYQG TLKVGEFKGEKVESAKPKVRQQLIDAREAFAYSEPERKVVSRSGDDCIVALMDQWYLD YGEESWKKTALEWVANTDGKGLNTYTGETKNQFEGVLNWLNQWACARTYGLGSKLPWD PQFLVESLSDSTIYMAYYTVAHILHKDIFGREKGTGSIGAEQMSDEVWDYIFCRRELG DDVLTGSGIPKETLEAMRREFEYFYPMDLRVSGKDLIPNHLTFFLYIHLAIFPPEYWP KGVRANGHLTLNGEKMSKSTGNFMTLDDMLKKYGADATRIALADAGDGVTDANLEEDV ADNNVLRLFNLKEWCEEIVKDQDQLRTGELNSFQDALFNNEINALVAEAQKHYENTDY KLALKAGLYDFTNARDFYREACNSAGIKLHKDLALRYIELQALLLTVVAPHWSEYIWL EVLKKPETVQNALFPTVPATDAGLSAAREYVRATSSNVNSAEQTQLKKKAKGKETAFD PKKPKKLSIFVTDRFPAWQEKYIDLLKEEWDPASKTFKDEKGLSGKVGKMGEMKKAMP FVQNLKRRLQVGEPADKVLDRKLAFDELHILKAMIPALKKTAGLKSIEVILVEEGGKK GKIVAGDGEGEREGLPPQAESAVPGAPNFAFENVE PFICI_09212 MAAPLYNQQNTQQDGVLHGNQAGQLDENFLPNNLLMNTERDTHE STGTSILEAGSIHDLNGRTYQGYREGKYFLPNDPIEQDRLDFQHAGLTTLLGDMLSWV PFESPPRNVLDVATGTGLWAMDFARLYPTANVLGTDLSRIQPDDSRPENCHFVQEDAE EPWLHPKNHFDYIHFRLVTTCFDQPQSVIQQAYESLAPGGWIEFHDAGPLLLGAGGTV AERTWQMAVEGAAAMGRNILVAQSYKQWLIEAGFINVEEYKLPWPMNGWHENPRIKKS GRYMQRVLLDNAQGLVYKMLQGKGLSPPEIEVRVQQCKEEFMDESIHGYWPFYVVYGR KPTA PFICI_09213 MPAKSFTPSPDEWIKLYTLSLFSNAVANRQGTEAALQQCMEDIL PSEIENLDNSWALSWGPRVYKKNKLDIHNGPDNVWYAAVNESEKVVVVAVAGTSTKSL QGWVIIDFNVAQTVDFEEWTGTWTSDEIPKPTHSRPEAEPAARAAMGTCVGVWNVVSH TSSATAPGTTIGQYLETMKDYTVIFTGHSMGGAVAPLTALALRQSQIVPTNSTWYILP SAGATPGNQELIDMMTAQFPPGPLPSPPTKQYAVFNRDLYNTLDVVPQAWSLDPSSDR NVNKINQIYAGIGELAAGDVKRLVNDLAVLSRVSGIKYVPIAGVPFTQDPFDAGSIET LDDVARQAVTEHVKAYLDYFGNTDAITRFSDRLRAHPGVKGAVLQMASSAQAGEMSDG KVKLGQEAAVHSHSIGIGSNVI PFICI_09214 MDTLVDTLPFSDRHAVSRLHVIVVGAGIAGLVLGLGLCKFGHEV TILEQVREIAETGAGIQIAPNASRILNRFDILEEVIKYANVIERNSIRRYANDDELGS VPIMPAVGKEYGAPLFVIHRGDLQRVLLDAAKLRGCRILTSHRVTRIDESFSAHVQVN DSEWLEGDLVIAADGIKSAIRSQISAADNYESRAIPTGDAAYRCLIPRETMTQDQQAM ELLQHNVAQKWIGPDGHIMAYPVKSNQAYNVVFLHLAEDETSTQEGKETPWTTTGDKK EMMSFYKSWSPLIQKLLSYVPEGEIKKWTLNSHPPLPSWVKGRIGLIGDACHPMLPYV AQGAANAIEDAGVIVAALTCTDNVEVALQVYELVRKDRAEKVQASAVRNRENLHLQDG PQQEKRDEAIRSANRGEGQNPDLLADKEWQNFMWGVDVMRDTIEQWDELVVKAKEEDN SRAANAGTYLGWQS PFICI_09215 MSSTLPPEVMRRIIDLLIHEHRSVVTVDRSSEISHHRWALTKDA PVYASVCKFWQDIIERESFAILFLSLERLSDANTIMSPRRRGYVQSIHLQVILPHYFD AAWGQVETPEEQLDNSVITTATIKAFIEHMAKWKMEDLPKDRRLTVRLVAMSPSDEHY APPEEMRQRQLWPRPKWKQIYEKRYENSVLELKDIHTFASLPEVSVIDVIDKPHTSGA RHFAPAVVCALFGRLRNVRAATGHVWDDPRDYSDRRHRIRADLAQVVQDFEVVPDMLI LHQETDNKLHENHSAEPVIFTPQGTDDPLTVSLRGLSQRSKNLTLSMFIIGDEFWSTQ STDADNRPAWPFLTHLHMRLRLSTPDGRWRFDYAEPESQSESEGDSESEDSEDSDYEA VNSLRRRAMPDMMNNFYRSVARAALQMPQLKFMELDFRGWDSKDVTFHTFRYQVKDSG PLATWYSYPSFGPEADIMELWKQVGVKYHNVTTGIFVSEERP PFICI_09216 MPKLTHDQLLRSVEGATIQHSGTAVEHQDEKQQRLSSLTSKIED ILTSFPAEGTGLEGFSDAINLLSSYSVNTSSRGFLGKLVSGPSLPGIAADLYVSILNN NGHVWRTSPALSTVERHVSLELAKLFDLRGPYAGGVTVPGGAAGSMLAMLVARNIISP DVKIQGRASKKYAIFVSESAHYSISNAAQIVGLGSDSVIRVPTVDNEIMDMLSLENLV RAAVDSDKIPLMISHLSRCVKGELKTR PFICI_09217 MIAAISGTTVSGAFDPLDKIGAIARAANAWFHVDACWGGAVAFS DKLKHMLRGSELADSIAFNPHKMPGVPLLCAFLLARDLRTFWVANKLKAGYLFHENHA NTKAEKIQPLDQKHDSKDWRNSAQLEDAPNVSEIRDLASLTVQCCR PFICI_09218 MPQTCDGVDTSLGSGTSSNGSQWKIFVHIEETTTYFDIPMDVTA TAHGEVKVRAATDPPPDGGWRAWLCVLCGHFIFMNTWGFINSFGIFQTYYTSVLDLPA ADVSWIGSIQVFLSFFIGAVVGRYTDAGYLRLMLVCGATLILVGIFTASCATQYWQLV LSQGICCGLGNGFLVTPAVAVVSTYFERKRSLVIGLTTCGSVTGGLIFPAMARQLIPT IGFAWTLRAIGFVQLATLAVIMAFMKSRLPPQSPYHLVDWASFKEREYTFFTVGMFFN FWAVFFGYFFLGSFSRDVIGLTYVESLNLLLVLNGVGIIGRTLPNYLADKVGPLGMLI PACLLASVMVFSWIAVHTLSQLYAWTVLYGIIGGSILSLFPAGISSLTTDLSTRGARI GMNFTVISFAVLTGNPIASAIIAAQHGAYIGAQVFMGISLLVGMVFIYFAQIIKEKSA PFICI_09219 MDINIPAYAILSHTWGPDSEEVSFQDAQEYERIPPTMGRRKFDG SCAQAVKDGLDYVWIDTCCIDKTNSTELSEAINSMFRWYADASICYAYLSDVDGYVDQ WDTDSAFSHSRWFSRGWTLQELIAPKVLRFYRNDWDCLGTKRELCPVLVSTTGIPRQF LLGVMDLNEASVAQRMSWAAKRSTRRKEDLAYCLLGLFDISMPMIYGDGDRAFIRLQE EIMRQNRDDSILAWGLQRIALSDCPRGALATSPADFSSSGRIVPSEHENELLDPVHVA GGSLQLHRCLHEDESGHSFIILHCRLNDDPDRVIAIPVTKSASPGLSDKYERLYDRDL SLLSVPASKTLPKVLRLLTTVHRGGESSNSQLTFYIDNSVERFVKVAEVEPRVWWDEE RDMIVPTVQTNGSDVRKVCIRLKPLKTEEFDVLVILDPKNQDSQPRVEWHVLICSQAI ELDTVLQNLDSLKPDEFGKHGANNGRRGLHVGIRPELVGNRTMFVVRVESTKWSKEDT VDISYAMGQISIMNDLLHGFQDEYTATNEYMHVQQQIDQEEEKLEPMRVRMTDLDRQI LKLQQEKEQLSNSLQHASLRSTMLRNEQDSKSDEMRRSSSITNTTQGLLAEEYKHKLA MKLVHNWHSLFPKPWYHSNAETIHTQDALTLNELSEFEHISHSRGHGFEVLSFISVRK ATVVWPKLADEPLDFYESLRDRYSLQYDGVEYKSYEDIANYHRLQYERAERESMAAWT RVQPLGREVSRPQWDASGWLDETVQALAVMSNAAGHDSRILHNLIAGDNDTDVNYAPL TIYAHSKPFEENSNMLYSYAKIACSSNEFFIQTSKKTQSHWLDLFTKRIRSMELTRTF ALEFNALLGYGHKPLDCLVYIPFTRSGDSDRENYLLPDRLRMPTDRDEVFDNDASYIF TEAFSHFTLERSRGSLFVDKIIAHGNNILAAEILTDSYERFQEYGTKRARDGLKDFST HECNDICRELRIQTTQEQLGAGELHFRETWLVRKETTCCSNKLCRKILYLGRSN PFICI_09220 MQLHTLCLFSLASSPVIAQATSSDNSTWPNSFPKQIVANRRRTG LTTAEYLYHHTIVHGRKAWNAPDSIDQPIAYVQDHAFDSAYGINTTAQGINTPQVSYF GHSDVTELYSRSQEAFFTPPPNNYTQDVIGPDGNAFSDFSASISMYAYEDFQAVNSSC VITESSELFNAFYFVFANAENANQFSFDNATFAAAIMQTLLASLPYGSIYNASIHTSV PGLDARLYYGGMDNPTLSAVLKFWLCDDNLAVSSFRIAQLGLISQNDELGINLDESFV MFTRATLIYDRASAIPFDDERAKQALLNDRFRGDLAGPPVLA PFICI_09221 MAPPALPNFDSFTESWHSEPYPFISPKRQELSAAGRNVVITGGG TGIGKAIGIAFAQAGAQSVAIIGRRLDRLEIAAREIQAAGHSTRVLFETGDVEKRESI EAALDSIVAKVGKIDIFAANAGMLPTEGPVSNYDEAELRRCIKTNILGAFNSVQAFMH CAAPGAKLFNIGSGIGHLAPMPEIPGVFSYAASKAAALKIFEYFAFENPGFHTVSIQP GIIATEINPNITEGADQVELPAHFLVWLASKEAEFLKDKFVWANWDAQELLARADEIQ SSMLLRVSLNGIGM PFICI_09222 MSHLEQSRTIVVVGATGNQGSGVVRSLLTSTTASWRVRALTRDP SSAKSQAFLSANQTPDDRLVLVSGHVYDRASLISAFTGAYGVFAVTSEVYPFKVLEKE EEMMHEIDAGRNMVDAAKECGVKHFVFSTLPDMVKTTGGRYPGIHHMNNKHAIEQLAR KELDGYTGLIPGYFYTNLAWPQYSQRREDGVVCFVAPIPGGQVTQWTDPTYDMGIFAA KVFELGVPRTKDRNFLVLSPRITADEMASTFTRVTGQPAVHVPNTADEFADMTAPFVG PAFREDAKQMMEWAAVTPTDKVCFGAMDPQDDDSYEVLGVRASTFEDFLLRSGWKGPE PFICI_09223 MLPLFHPRRLLQIINSWYRQPSERTASSWACINLIIALTQCHSF GYLDPVLQIPSVGQCIENAQSVLTDILKGNNLELEHVQILLGLGMIFLGRPEPAAPMV FVSAAMRFAQAMGIHRRDYYDGMAIAPEEATQRRRVFWIAYILDRDVALRLRHAPILH DDDMDMDLPPETMPQSDEDKTDQAGFISVSDDGEGHALTSFNFFRARIELAQIESRVY DCVSSVRASRRDPGETAQLAESIRLSIRQWKARIPGQLINPTPMLPQADVMHATYLPR FLCTLSAIVVACLGQLCRVNSMDFSWIDKVLTYAHSSDAGAVGHIPTPPSQPPGWNAL VRESRAFMKLFGSIPLKHPIFIVTQLGAFASSLLCLSVDLFLNFDDGHCVGDQILKAD AACFLRELPEENSCYVVEKVLEASRVLEVYSESEWQLRLMTAGLAA PFICI_09224 MQLSQFLAFLAAVLPVAYGAPSQASSSLHPKILAAMKRDLGLDA DQATARVAREIAATEVIQQVRTLAGDSFAGAWVAEDGTTINVGITDASLAAEVTNAGA TPAIVATSLTKLEEAKSALDNMDISQAQTFATDSADLGIAAYYVDVASNKLILEALPA SISHAESLATEVGLAASEFEVVTVNEMPTTLATVLGGDAYYINRAARCSIGFSVTTGF VSAGHCGSSGDSATTSAGAALGTFSGSVFPGSADMSYVKTVSGTTLRGYIDGYGSGSL PVSGSTASAVGASICRSGSTTGVHCGTVGALGATVTYSEGRVTGLTRTSVCAEPGDSG GSFYSGAQAQGVTSGGSGDCSSGGTTYFQPVNEILSSYGLTLVKA PFICI_09225 MSSLPQDYLERVYAGVLGKLIGVYLGRPFENWTYQEIQAKLGDI DYYVHDKLNVPLVVIDDDVSGTFAFVRALEEHGVRAELSSEEVGKTWLNQVIEGRSIF WWGGNGISTEHTAFLNLKHGIRAPESGAASTNGRTIAEQIGAQIFIDGWAMVAPGNPD LASRLAEAAARVSHDGEAVYAAKLWAAMEAEAFVSKDVEHLLDVGLKSIPDNSLIARM IADVRKWVQQDGDWRQARQRIEEGYGYDKYLGICHVIPNHAIMIMALLYGGHDFHKAM HIINTCGWDTDCNSGNMGCLVALMHGLAAFQGGPDWLGPLADRAIISSADGGYSINNA ARISYDLANIGHQLAGQPQIQPPKAGAQFHFSLPGSVQGFQGTAHPNLLRINQTRDPG VESLGIYLEGLTDTSDPVEVTTATFTPLDILKVNRHYDMMASPLIYPGQTVKAELLAA DRNTAASRVCLRLKAYNENDELTVVDSIPVTLEPGQRAKLEWTIPDTLQNCPIQQIGL AIRSNAASPALTGAVFLDSLGWSGTPHMCLKRPTNKSQSLWRRAWVSSIHKMHTTMGP SLFLAQDRGQGILSQGTRDWTNYKVVVSNFVVNHGGPMGVAARVQGLNRYYALMLTKD KRAVLIKAADDKRLELASKPFDWKPDVKYDVNLTVQGSKIQAQVGGVDLLAVDEDYTG GAIGLVVTDGSLSADSITITP PFICI_09226 MAPRKLSRLIPRDQRWLIVCLVLVSVIDSVLVGYDSSLMGSLNV MPTYKSYFTLTTATTSLNTAISYIGGAAVAPFAGLLVDWRGRRECVYWSALFTLIGGL IQGFSKNIGMFIAGRCIVGGGMGLAQTAAPTLVAETAPVKYRGLALGMYYACWGVGTL IAAGVCYGTQNIDSTYAWRIPSLLQVVPSLACFLILQFVPESPRWLISRDRHDEALEI LNIVNGGDTDESQVQYREIADTIALEKDRNLSLFQALSKKSNRKRLMLTSTFSIIVML PGTNIIQFYFGDMLTGAGIESASSQLQINIILASWTLVVSIISSWYADWLGRRWLCAI SLALQSACLFLFGGLTKLYGESTDTGGIYGTIALIFLYNAVYGWGITPLTVLYPPEVL SFDIRGVGMGIYTFTTKCCGILAAMAVPFGLEAIGWQFYMVNACFDVVLVVFVLVTWV ETRGLALEEVDNLFDREKRATVVEHVKAEKEGLQYTETVVSGP PFICI_09227 MDLYESLSNTSSLNPIRCIDMHTTGEATRIILSGFPQLQGTLLE QKREYAEKHDQYRRLTMLEPRGHDGMYGALIVRNSELISSGEAHIAVLYLHNEGYSTM CGHAAIALGRFLVDTHDLNVFPLRNTLEFDPKTHTTTVNLHTPCGINRLRVPTTPDGT KSDPSRPVSILSVPSFAGALDLVVEIPEYLRWPELGQRTSVTVDVTYGGAWFCMVSAT ELGFAGGLADRDMATFDRVTRIVKAQIAETENLVEQIALPLDIPWYLYGVMVVDRVNT AQVDGVAATESGLCFFADQQIDRSPTGGCVAARMALAHAKGESQLFERHAFNSFVSAA SNGRGAFIGSNVEEVAIASGHGLSTKGVVVRIEGEAFYTGAVTFLKEPEDPIRDGFLV KGLEDAWGRK PFICI_09228 MATSSSQNSCTLKSVQRLAALMQDNESTESFWLALSDTLDKTFS HTSCTVLSYNCDSRLLLRLYSSRPDVHRPGGYKQVTSSHWSRTVLDEGRILIGSNRED IKAYFAEYEDLWANGWESILNIPVKRDGKTIGTINIMNASGAYDNADHATAILFAQLT VAAIERATEREGTSLTEFNQTEVGIV PFICI_09229 MLNPEKNKTALGPTSVDDSLGVCSNDLVGSGEMSEVDEAMKRRV LRKTDFIILPMMCFVFFFQYLDKQSLAYASVYRLVTDLNLAGTQYSWTSAMFYVGQLV SEFPIIYLMSRLPLSRFVGITIVIWSIACMCLAAPKTYGQFLVARFFLGVTEGAVSPA FITITSIWYKKSEHPLRIGCWITCNGLASTLGALLMYGIGENNHIALAKWRVLFLICG GLTTALGVVFYFLMPDNPQTAWFLTPDERVAAAARLAEEHDGGDKTDFSMAQLREAMV DFNSYSAFLFGVLVTAPAPVLTFASLMIKRLGYTSAQTLIYNSPAGAVQILAIWAGVI ACKLFPRNRCLVVVGLIMVPIAGCIMLLTLPFQGWPIIVGSWLGGTGSSIFTITMSLN ASNVKGNTKKSIVNTLYFVGYCAGGIAFPQLWSSVDAPRYTNGLICSLTAWGLFVILM FVYWTVAARRNKSREKMCENHQVNNYEFGADVTDLEDKTFRYST PFICI_09230 MTPEEFQKFTKPWLPTTKAPSWILENAKIVDTVNGAIIESGSVH IANGIIASIATEGQQIEAPEGTTRFDIGGRYICPGLIDCHVHINAVPGDLDLRQTMAT PEDQVKFRMAYVCRDMLYRGFTTVRDCGGAPLALKEACDEWLIPGPRLHIAGHALSQT GGHGDFRNAHDHKDCASGFVNGLGRVADGVPECLRAARDEIRCGADFLKIMGGGGIAS PTDKLEHQQYSPEEIQAYVSVAENAGTYVTCHAYTPQSITRAVSNGVLGIEHGNLIDR ASAKLMAEKGAFLTPTLIALRAMADESLFSFLPPENREKNIKVMKSGLNALKLAYEEG VTLCYGSDLLGPLGNYQAQEFEIRSQVLPAAEILKSATVNAARMMRLQDVGQIKVGFQ ADIIVINSNPLEDIKVLSRPETEVTGVFRAGRLCRDDSNLFGGGLLDKIAKL PFICI_09231 MGSAERLYCMEKVLAHYFTGKPLTDQELRKLAASIPEEGPLNRS SRDQAVMIDGLSTETVQVAKTQTHSLDNKETAIRLTTILQVTQKELYATNTDVSLDTQ LQLDALNDALSCLPPQPIAKFLLEVFFRYIQTSIYYVEEKWIYETLDRCCSRSLDNGP DDSPTVCILLMVMACGTQFAHMESSSISRDTTQGHPKPSHFSEDDIGQTFHGAARKLL PYIIVSPSFQSVQALLMMATYNFSLDPSGTSYAYLSHALSLGVEQGMHDQKYYDAEPS KTLAEVKRRVWWTIYILQMQLSIKYGRPHFLDPFDVTVQRPVDIPELHPLKEVSSFEN QLGLINLTLMTQTISKQTALLRKSNDSAHFFKLLTIRKDLIKWREPLHWEVASIKHMD LRSLRNHIHLRLYYWNTRLSLGQPFMLASSSLAASSESVPETAQFIGRAGLVKDSVDS ALEIISLCQMLRDHVGFARASYVTEFMSCFTAVLVLLAQTLAQPSPSIREALSRGLEL IRTMSTGCMLARSEVSIIEALERAVIRLQNVQRQRSSPGAPSQVAQEATNYDTFKTWT RLWKSTSGLTPPEQTATPHATGSYDNAQSLQQDFGESADGDMFYGLLPMELSQFDVIP FLDTGFDYEDASFNAE PFICI_09232 MDYHAESLSSWETNSAFWDEGVGRDGNKYWKRLQEPSLRRMLAS HVHPDRRSRALDLATGNGLTARWLVNNGCDSVLATDGAAAQLERAQKRALTATEKDRI DYRQLDVTSYDALVDVLKDPSKSDGFDIILINMAIMDIATIEPLANALPKLLKADGVF VATILHPVFFTSRATRLLEVVDYNPGSTQRPPIDRAKVIREYLHIPPYRGVAVWGQPA EQVIFHRSMQELFGTFFRAGLVLDAFEEPAFTEEDAISERTYAHTNFPQLPAILSFRM RRRADAP PFICI_09233 MDSRNALFGRGPRTGLPGRQVQGGPPPSRDYGGPPSGYNTPPAY GAPPNTNRAPQGPPGGYGGGGGGRPVSLGIEKFADNRYIFGNLSAVSPSDFQPRDSGP DVYVLLKGPSLSGEYVVTARPLQGFPPGKISLAEHQRSWCGISMMDQFTGEVYDPFSR GKNAYLGTLDLEIAFARSKMTEEPYDQDKLAEEITRLFANQIFSPGQKFLMEAQSIPL MFTVKTVQLVDLSMEKGGTSDAPSSSDRNDRGILTNVTTINFFKDAKSPIKLKGSARR PATNAILRPDFKFENMGIGGLDEEFSTIFRRAFSSRLLPSGLVDQMGIPHVKGMLLYG PPGTGKTLIARQIGKMLNAREPKVINGPEILNKFVGQSEENVRKMFADAEKEYKEKGE ESGLHIIIFDELDAVCKQRGSGAGGGTGVGDSVVNQLLTKLDGVDQLNNILLIGMTNR KDMIDDALLRPGRLEVHVEISLPDEHGRQQIFKIHTSKMREHGRIDGDVNLADLAART KNFSGAEINGLVKAASSYAFTRQVKIGDLSNPSINYDDIKVNMEDFVRALDDVKPAFG VSEEELADVAKHGIIPFSAHIERIRGDGRNHASQVSTDPKQRLLSVLIYGPRGSGKSA MAADIAMNSNFPFAKLVSASNMLEMGEQAKIGYLRKVFNDAYKSPISVVIIDGLEQII EWTPVGPRFQNGVQVAIASLMQTEPPKGRRLLVLATTSRREIFGQLDVLEFDHELAVP AVRDVQELGAVLSSQGVSEQDVNEALYEIETVTGGQKVGLGVKRVLVSVGAAFNKVRN GDNRPVGQIIAGELLQMMGSA PFICI_09234 MSTRTRSSIPATPRVISPSPTPSDTQDDGYFGPVTRSAARRRQT GSITPQLPIQEESVDESESDPELRRARARSRSPIKARKVSGLASNTGTRSTKASKSKE LEVTEHANGMITTSNGHLAPPTPATPSGWSWRDFSRSPSPLGLIPIHRHFKTLIHKHE VPRKVLHVSIGFLVYWLYVSGTQTSRVTPWLMGFLIPIATTDILRHTYASLNRFYVKC LGAFMRESEYSGYNGVIWYLLGAWTVLYFFPKDIAVVSVMLLSWCDTAASTFGRLYGR YTPRLRRGKSLAGSLAAFLVGVVTAAWFWGWVAPHTPAFPGDEQNPFMFNGLLRLPAA AADLLGLSEEQATVSGTVALAVMSIWSGLAAAGSEVIDLFGWDDNLTIPVLSGIGIWG FLKIFG PFICI_09235 MASYSTQYYATAVPIPTKGEQYPSYYHSGGSAYSVSPPEDNDAS VTSGVPSYGHSYSVGDSTYDGSTSGDWESSVSASGVDFNDYIHDRFAESFDPIPLDRS LAMQAQTSGQLNNKHRELLELQAKAQARLAKSRARFAEGVQDAQEVHANLEWTSKKVS SMKSKASRKHSKEYKKARERYPSPEF PFICI_09236 MDDDNTDAMAAAMGFSSFGAQPSAKRRKFNSNTDSFIDAPSRNT DHGNGANAMPLGIRSAKSQPSAAAPPPPPQPKQNENEISLEDDEDDPEPQYLDTSRPA ASVGTAPTPGDAAIQATIDNIIGSTGAPGPEAHHVGGHGDRHGPRQAGGDRRRGGGQP WWEDYYDPTANMNPWEKLEKQSGLEPLNNDWLSWEESKARWESLQTGTSHQEAASAAA PFICI_09237 MSSTPAITLCNPSRYASESNAKTPTTPHAEFFYRTWSVAHSTLS MWKSAKNVRITYKPIEDGTSFDDLVEYEKGAKLKNVKGIDTTLGPGSYIWRGKGLLKP FTSHWEVLGWGWGEHMPDGDAERWMVIWFAKTLFTEEGIDILTDRKEGLSEKTLSDLK TQLKSMQGCDKMVALVEKKLIPVKVELPWISNDS PFICI_09238 MPLHLLGKKSWNVYNSDNIARVRRDEAAARERELAEEERQQEED AARRLAILRGEAPPPLEPPRDDQALADGDRTRHDRHRDRDGDASGGHGRERKKRKRHG EDDTDFEMRVAREMVSGPARPRGDDTEGPGAVMPHKSSDAPLTDASGHIDLFGEANSL VPDKRKNPEAEAEKERKKREFEDQYRMRFSNASGAQASASGPWYAPASSMRDQGLDRS EAQAQHGTDAFGRPDPGRKDRDAARIEASDPLAMMKRGAAKARQVEKERRSANEEREK ELRRLRREERRSEKRRRRRDDREDDGSDDLENFSLDQDKRRPEERDHEKRHRHRHRHH HHSRHRSPQRSSRSEKAESRHHGRDHDRLGR PFICI_09239 MANSKSAAAQALEITEILVLILRKVDMHTLLVSCPRVCRYWKAS IDSFESLQRKLFFLKDELATPTHNRLLAKHFPFAFEHADAPPERDLRFFSRTTKLIAP KHDVTFPDLPWIRDRQDAYRRPEASWRRMLVHQPPFPGVGWVGTRRSKEVMFHCIPTP RESLRIEELLRAVLSGFPTEHATVDRVARSFRVIWRHVPPDIVNAYPNARAGVLQSTV NTLRQVFAEQWSAFGIIVHQDVDDLVYASPDPQQFILYDLLQDDED PFICI_09240 MVRLWLPLVLLLSPLGYASCNSTRKNLIIDTDLFSDVDDAGALL IATTATNVNLLAVNINYPSSYSAWCASAIVAHYGLNVPIGIRKPFANVSYFDDFYYQL GEYASKIAYHHSGGNLTWGNADDAWDAVALYRKVLSEAEDNSVTIASIGFFENLSGLL NSTADEYSSLDGPALVSAKVSELVIMGGDYPSGYEYNFWGDNPTATAHVVNNWNGSIV YSGADLGGNVTSGALLIAQGPENDPVRQAYLYYTHGGARYSWDPLTVLYAIDGLSSGL FEYGNQYGYNYVHPNGSNEWVFDEQVANQHWLNLKVDNVTAGAKLDQMFLSKAWSAV PFICI_09241 MARQWILNSQDGFEKSLEYQHVEIPKQSDLKPNEVLVKLYAASL NYRELIIAGPLGVNGPIKPPIVPGCDGAGVVEAVGSSVQDFQPGDRVVTQFAPNVAES RGDDAPSTMEDVPFMLGQGTDGTLRSHGVFSEKALVLAPKSLDWIAAATLTCTWTTAW NSLFGVKGSEVGSDTWVLVQGTGGVSVATLQLAVAAGANVVATTSTEEKAARLRSLGA AHTVNYRTNPENWGLEARKLTPGGKGFDTVIDVGGNETLGQSLASVRPDGTVMIVGQV GDNVDMVPLHAALFHTCVVRGVLGGNRAQFRELVQFIDNKKIKPVVDDAIFELAEAKD AYRRLKEKKHFSKIVIRVDHQEV PFICI_09242 MASSSFTFVNTTDGPALSHAAAKRMRAHVTKTNFAKRRQRLGKA PAAAKTSDAHGSMTKHQKQLPDMQRALVAGLRSGWIPPVPKACDDDSRAESLTKFWSS LFRLRGQGYPANSVEKNWQRLICSEPSLLQTTVAIELRHLAPDEECQKIADQCWSSAT SILIRHISSGTVYTDAIIGTVVTMAFGERLVHNEEAWNIHMDGLTQMVNHRYEHGERR LPSWLYDLVISDATNAVLGFPRWYHRNLVKAICRYGFLAMSEIAIACDALVEVLKSIE EVQNAPPSQTSGLKEVEINKQVLDILLQARALKSYEEPSIRITATTIELILYLSWPQE PAVDCTSTADEMRQALCRMFIRPCCYSDLTSGYLMVGAIASDEGSETKKWFTSRLRDA LVSVQTDNARSLREELKGEFLHRVGSMLCSEETLNDILVCRILN PFICI_09243 MGPETKATKKETKKDTRAWDALTPPLAEWILDYLSSSGFERMTP VQAAVLPLFSASKDVVVEAVTGSGKTLSYLIPVINRLLRLEEPTKPRHVAAIIVSPTR ELASQIHKVLVDLVKFHPTSAELLPYLDGDEKRPITSTPFILPQLLVGGTTTPAQDLS FFLRHCPNILVASPGRLVELLQSPHVSCNQSSFEVLVLDEADRLLDLGFKQDLQNILS RLPKQRRTGLFSASVSDAVSEIIRVGLRNPVKIQVKVKSLKTGGVIEERRTPASLSMK YQLTPASQKLPALAQILEKLDPRPQKSIIFFSTCAAVDYFQHLLPALLPEGYACVPLH GKHHSTVRQKNFNKFLSSAQPTILLTTDLAARGLDVPQVDLVVQIDPPSDPKVYLHRC GRAGRAGRKGLSVLFLQPGREEDYVPFLEIRKTPIEPLTYPEITLNESDGAEATEKIR DLVKEDRGLHEKGQRAFVSWVRSYSSHTASSIFRVADLNWADLAQGWGLLRLPRMPEA KTFEGDRSLGIEMDWDNFAFKDKAREKQRRIDMEKAADPANGEKAEAIKAKRKRNTEA WSDKHEKEGVRSVRREKRQKKREAERVSTLTEDEKVRERELEDMLAQIRKQNQEKLAA AAAKGDDEFKGFD PFICI_09244 MSATDNSSAPLRKDKGPETNGASDGHIGFRPQQNMTVEPPKPRD LQPSYASIVGDDANPEGWYGSMINALGACMGTLGAVPCIICCPNPYKQVHQGNVGLVT KFGKFYKAVDPGLVKINPLSERLHQVDVKIQIVEVPKQTCMTKDNVTVHLTSVIYYHI ISPHKAAFGIANVRQALIERTQTTLRHVVGARILQDVIERREEIAQSIGEIIEDVAAG WGVQVESMLIKDIVFSQELQESLSMAAQSKRIGESKIIAAKAEVESAKLMRQAADILS SAPAMQIRYLEAMQAMAKSANSKVIFLPAANQTMGQGSPAFNAALQQESQNFGESSGG DAHDFGAADPQFQRAMNARVIENI PFICI_09245 MRLPSTISVLWALHQGWLVSADASANYTDYLLSSGTVKLGEWQD AYDKAAAFVQSLNTSEKVSFIGAGDAGNMSALKMLDSSTNPLTYFFVTTWPAGLAMSM TWDKEAIRGQGSALGTEYRGKGINLAYAPTLQPLGRSAWGGRTGETYGPDSYLAGAMA GQFVKGMADSGVVTSAKHFILNEFETNRMGTTSSSGGMGGGMGGGSNSSAPPSKRAED SSSSDESYSVQIDDKAFHETYLAPFYDVVKSGVGGVMCAMNRINGTYSCESQQALGTY LKVEAGFPGLVHADVGGQKTALGSANGGMDLGSSSTWSESTITAALSNGSLTEARLDD MVIRNVMGYYHLGQDEGYPSYAEPTAHVDNRGNHSAYARSYAADSLVLLKNTNNALPL KDKKSISIFGYHAAPRYVGANTALSVYDGEPPTMQGHMSVVGGSAMGSLAYLSTPFQL FNQRAASDGFMLRWWLTDESTNSSSGMSGSGTELTESTTGVADLSDACVVFLNAWAGE GADRSELRNATQDTLVTTVADVCNNTIVVINTIGPRLVDAWIEHENVTGVIYGGPLGQ ESGNAIDDVLFGAVNPSGKLVHTIAKNESDYDQGTLVQETNLLLNYTEGNYIDYKYFD QQNITPRYEFGYGLSYTTFEYDSAITVASTSNLTSGYATGDLAIGGREDLWDVVATVE ATISNTGSLAGAEVAQLYVAFPEAADEPVRQLRGFEKVTIQPGDQATVVFSLRRRDLS VWDTVAQNWKVESGDYTISVGASSRDLKAEATLSV PFICI_09246 MGSINQSNGKSRWFGFRGGWLTFWITVACATDMALFGYDQGVFS GVVITQDYLDVHDLNGSEKTNLLSIVTSIYAVGCFLGAVVAFTIGERFGRKKTIMIGS CIMAVGAALQTSSFSVPHMMVGRIISGIGNGINTATAPVWQTETSQTKWRGKLVVLEM TMNIVGFSMVNWINFGLSFAGGAIAWRLPLALQFLFLIVLFGTVPWLPESPRWLIAHG REDEAMVILADLENKTPADAVIIAARNEIAYSVRYERENAIRWRDLARGHADGGTKTV RRLLLGIGSQAMQQFGGINIMSYYLPTLLMESVHLSDTMARLIAAVSSVVYFFAALAA APLVERYGRRIMMIISTAIQFFCFLLMTILLYYAQKENFPSQEKVAQASVVFFFLYYI GFGLGMLGIPWLYPTEINSLPMRTKGAAAATMSDWITNFIVVEVTPIGIQNLGWKFYI VWTVTNAAFLPVLYFFYPETADRTLEDLDAYYRENPSLIVTRDRNATSRKRPGKYAEM QRRDIVEAETGREKAIVEHAA PFICI_09247 MMNHDQPSPATSNATNRTNRGGRPREWTPPRARRLTRLYCYTSL KVDEILKVLGDEVWSPGKEAANKHLNHLLGKDPRWMRPRDLGEARQRIAGLKNSDRAR SSSQSSSNTQNPLSPMSGIYDPSVHPYQRTDTMDSKSFGRSSGSSLEKTDTFMFGQPG LQQRTATTTFSIPPSARQNTGTRNLQTMQNPSYASFFRSIPGLGRQGTSMTTSTNFSI GSTKAAWNNMKEKLNGIEGLQKSDVKDVFRLLKRYTISNEDGTDHSRSSPRSAMGAFN PPTMANFRGQTEGVTSVADYSLPGDFAGTSESIYDSTTCMDQFGNTTYHLVAARENMM THLFHLLSQENSPPSPLLNATNSGGQTFLHVLHPNWFEEDSRLVILIETLRSLQFDFS TTDVYGRTFFHILRSNLKSNSGLMREITSLFGNNMNLLNRRDAFGVKPMILRASTIPV NRAEARPSHLTIPGTTDSTQQKIKEHTKFLKIITDVNATDDGYTREDPQGRNALHCLA EVILDIASIDGQGNNTKPRKRKMDDQNEPVLQTCPLSHRIQYLDTVLQAHVDVNHYNA SGDTVLMSFVSHITDGQDDKALEELIERLLNAGANIEARNRNGETVLQVAARLGQKFA VKVLLKQGANVHVRNSDGRSVLQTIDDYTRFSGDDDEALARLEATRGVLSGRFSNYKA VQEPSLLDQWSFRPPQLPNRFG PFICI_09248 MRFQVLLLALASGVALAHTGHDLEEELAKRQEFLLTTRGNIAHC ASKVKARGIEDRAIARRAATVQALQQKRGIVSRRDLGTVLATDHNATDTGYDLTTPTT TLFASNSSCVLTPEGESGPYYVAGEYVRSDLTEDEPGIPVHYDFQFLDYTTCEPIEGA YYEIFNANSTGVYSGTSNGGNGQSQIGLTWLRGVQPTDADGVAQFDTIFPGHYAGRAT HVHTILHLDAEPRENGTFYDLTAQHVGQVFWDQSVRDLVEQISPYSSNTNPVTSNAED RVFAVEVGNDNDPVFNYVQLGDSIEDGFLAWLTIGIDLSRTPTTIHPAAILRA PFICI_09249 MVNEFDYIVIGGGTSGSTVSARLSEDPTVKILLLEAGADSAEVD NVHMAGAWALNHEGETDWGFITPPQPGLNGRQCRLPRGKYLGGSSCVNATVCVRGVKQ DYDDWGFPEWSGDEMFRAMKKAEMFHSQEWFPEDKNAHGYDGLVHIEPAPCGPLGDLF LDSFQSKGLPYIPDLFSTGETGHGCGHAMRTTWKGSRSTAADYVAKDRQRGNVEIHCH STADKIIFERDSDEAPRARGVQYVDNQGNRHEAFARKEVVLSCGSYCSPAVLLRSGVG PKAELDALGITCEIDLPGVGKNLSDHQLIFVYYEVNEDGLTEDAKIHHDPNSLEKAVK EWKEDKSGWLSAFPFGTVAWARLDERLSRENAEWRGFDRKPGRDPMGQTKSQPNFEYA HTIAYGGPPEWTDFPKEGQFAFGMCCLLMGMQSRGEVTLESTDPLENPIVDHRYFSDR RDLLMMSEGVRFANELITTGTGTKDKIKGSWPPEKTHHTFKTNEDWQPYVEKHASTSY HPVGTCKLGQRDDPMAVVDQGLRVYGAKGLRVVDCSIMPVAHSGNTQMPAYGIGEIAA ELILQDMGKQ PFICI_09250 MGSFGSVDCAYSSPPTLRSSTPSSQERSISCDFDRTAEPPAGLT TYAHIGTWISACSLETATNRPQQLDISSAQEHPSLVPLPLYDNGRGSTSPTLCRYLDD YDTVPVNEKLLAKITFGTPMNNVT PFICI_09251 MSDEPASHIVVRVATMEDLDDLTKIAQAGFPDDPEFDYRFPHRQ KYPDDHWRWTRREYEGYLNQPDKYAVLLATLPTNQKSDEYNDEHKQERELNRSWPVSV ALAVWDMAVTTESQVSGLFPLHASGKVINCGRPLISLYPVDIGIDQRRDANPEHMLEF ANTLSSAFETHFAKYAGNQIHLWLLTTHPDFRRRGAGSALCRWGLQLAQKRGQPVTVL ASWMGKTLYEQLGFQTLGIVVVQVPSEEEKLEVSCLERKPEHEMTSGRGSCIIL PFICI_09252 MNEEHLESGTLYVATWSNLASLPVPLEALDYEATDEAPLMRERE NFDGLPAQPLLPLDTSQQQAQWTNVRQQSYDPASIFASHSENLLIQSQVSAVHSSFLS ALGSSTLKRPSSSISTAPVLSAYNQEAAYIPLLGNGLQNSGGEFQISQYNPYGHIRST GTTILEEWMSRKRTQVCHSFHASGIYRRKIQLSQGIPGLVLNVPVVPYAMGRQDKTWY VWKDANKEIQHMNMPPYYICDMQSISHALLQRFRSDSAGQYLISLLGDEGDVIREAFR EAHRVSQNSLFLRHALDMWCGTRFTELTWTICGDDKLEFEPPTETDNPWYGTVPVTPI MDTQLDEIAINSFLKPHATQFLRELRRKMENRDTTDWYEVLLALIIILHNFQRIFKDV VEYTTRHGMEKSKFRGRPSLSERNVFTCKSLLAYFHFAYLGSKRFFSVIETGKDEEPM PEQQQRFVYTLRHFMIREGQLKDWQQRDMYQDSMFWVIQLLIVDWKSDFDPIRPNDDF TEEDFLTS PFICI_09253 MATAVLSSPLSYFPSKKQAESFWEAISQNVPEIVRVPLGFPKKL ISPLAWKAQAIQARLEEYVVELSQEDVDDIESALASFKDMLLDTSALSTETFPLNMNL SRRLKAVSSECYSGVGFSIVRGLDPSKYSSVDNVIIYAGIASHIAPERGFVDRKRESV ISHLLNVSSAVTPAYTLEKVAFHSDNSEIMGLYLASNHPGVLETLAGPWVLDTFKDYA KYPPLCVPFLHRAGSDKIVFRFSRYPLTGFQGLKRNTSLPSLTEAQIKAMDAVQFLAT ANSVEIPMTKGNILFVNDQAILHARGAFNDKDSTQRHLLKMFLRDPERSWPVTEAALE QQQRIYGPNCADGTRAETWWTDFEQGQEAEAPTNG PFICI_09254 MTRSNITKLGRAVGRGLQARAVTAMRPAYTQNFAQRQTNVLRTS TAAFSTSSALFRPISAEALKTAQPAQITDEQYHKLADQYLDDLLVTFEEEQDAKDEID VEYSAGVMNIKYPQGTYVINKQPPNKQIWLSSPISGPKRYDYVIISEGQESKQDTATG GWIYLRDGTSLNELLQEETGINLEALDGDSVESL PFICI_09255 MPLISRAWKPTLGSFQYHAIEAQLHTHKKNKAYKYRLKKWGFRK NLNTAELDEIQQCAATGRELLLPRVNGREIGSKRLKTFVAVDKQRRKARHYAAAPLRL GVNHISDSLRMAEMDLHAAVTHTRHQCEAASEKTWLHPATSASGNWNHDMLLAILSLR GKSEVDKCFQLIDKCCASFKTVMQAGDPLLLWFTYCSILELSEVGMDLAIVFARFVAG ICTIDEGRTHPLTVLLTSMVKAPVKSAYNRHNVIRVVDAQFDILIDMYEEAWDKLWLI YLRLVLRRLTATGGTTQRQAVVSSNTTVPPELTQRGRIDPWKERELVTWVQIYKGITY MVRGELMRSSLILNDKRYSDASCWPPATRPPTNIRDLRAGIEEMLVPYTSTEKFYNFT LATILADGQKASYTPVDDDSRKGNTTASAMAE PFICI_09256 MAAAQVSKNQRRRAKKKAEKAEKKAQAESSTPVEETQEAEINGE EAAQVDAAPADAPTDLEVKKDATAPAKAEPDGPVEIDFDNDDPAFAMYKHIFTRFGAS GEENEIAKEANAGNQGEVFFDDDNEIPDEAEESGPPKLSKKKRKQLNKLSVAELKALV SKPEVVEWHDTSSADPRLLVQIKAQRNVVPVPSHWSLKREYLSSKRGIEKSAFKLPKF IADTGIAEMRDAVLEKQAEQSLKQKQRERVSGKLGKLDIDYQKLYDAFFRFQEKPTLT RFGEVYYEGKEYETDLRHLRPGEMSETLKEALSIPPGAPPPWLIHQQRFGPPPSYPSL RIPGLNAPIPPGAQWGFNPGCWGKPPVDEYNRPLFGGDIFGIMQPTQAAPSAGEPVER NLWGELQPPEEESEEEEEDDEDDEEEEDEEDVGAGLQTPSGMETPGGMTSTVPTEYGT QTEAMSGEFDLRKNRRGHETEESMHPRSAYTVIPERQARAEGFFGSDRTYDLSKSGHG DMRVLGQDDDRGHKRKKPGDIDVALDPEALMSGDGLSKDELRRRYDEGKREEGPGAQW RREEGLDEMIANESRKRLKRDEEKRDERKKYKF PFICI_09257 MPHSTSSGSYSREPSVSRATSKSGADSRDVDTGLEKFPDHIDAP MFADNGWRDPSPSLGYANGRNGANAPAVERWQPRRESLQARNVRWGQMGTNGPSRHGH GHSKQKSISEAFRTIRGRNGSVSQNAHEIADALKAPLSWQLIGLCVMWYMSSALTNTS SKSILNAFDKPATLTMIQFFLVAFYCITSSWLASVFPKLRTSIPALKNPIRQPSRDVI NTTLPLAAFQIGGHLLSASATSKIPVSLVHTVKGLSPLFTVLAYRLVFNIKYPRATYY SLIPLTMGVMLACSGNHTFGGEMLGLIYAFLATILFVTQNIVSKRLFNEAAKAEAEGH AAKSQKLDKLNLLCYSSGMAFVLTSPIWFWSEGIYLLKDFLTDGSLDLSGKANSMDHG RLFLEFLFNGTFHFGQNILAFVLLSLVSPVTYSVASLLKRVFVIVAAIIWFQNKTTRI QAVGIGLTFVGLYLYDRSSESNKADKRARMLSQSNVDRPLLPLNTQGPLIGPNALVFE SPAVASAAPSPYVYTNGSTALANGGSDDSKKSDDTRGARQRGNSNATWLAPGTRQEDT WRYQDRLQQPQHVQ PFICI_09258 MFKSGISSFSRAAARSPFVAGSRRVARPSAFRFPISSRFASTTS VGDGKVHQVIGAVVDVKFDGEKLPPILNALETTNNGQKLVLEVSQHLGENVVRCIAMD GTEGLTRGQKAADTGAPITIPVGPATLGRILNVTGDPIDERGPVKTDKFLPIHADPPE FVEQSTTAEILVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGY SVFTGVGERTREGNDLYHEMQETSVIQLDGESKVALVFGQMNEPPGARARVALTGLTI AEYFRDAEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAIDMGGMQERIT TTKKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKS RMLDPRIVGQEHYDTATRVQQILQEYKSLQDIIAILGMDELSEADKLTVERARKIQRF LSQPFTVAQVFTGIEGKLVDLKETINSFKAILAGEGDNLPEGAFYMVGDLASAKEKGE KILAELEKQ PFICI_09259 MSFFGQLPVELCLSILQHCDLSSLWHLTLASRDVAAVFDEYALE TVEKVIANSIPEQTQALMRAVIRTRAACGPGLEAAQSITAIDSDPLRRDEVEDRSIPR RFLSLAHHLHTISHATAEYYIEQSLTVRPWSSLQLDLKADCLRQRFDRAKKCEYHPLK TGPPSWVEEQRIIKQLWRIQLFFELNNAQLHHRLEWPREDQYPLVDIAEFFTIRDFEL QQLFTVYDFLHHTPEQRQTMSNYSIPAAASATVLQANIVCKGPVRSAARCDHYQQGLS HLDRPPLSYIFQRLMSRDGQGGPIPGLPFDPYRKYGFAIWDDQRMADLGFAEAHGRAI LNRSHYFYRWYSILTEEDKALH PFICI_09260 MYAQWARASRSAALRPPTAARLPTRPAAGSCFHSSAPVQEAAGG ASDPSPSPSPNDESPNGSDNPKNGDGSSGNNGTTSQESGKEEEDLSGDKGMSNGDARG RARRTNGGPKSRTTRLKVPEELPPVHLPKDFAKLRVVGKKSNQAWSKQTWRFPGKHYR PLLSTKVLPTKDLDKEDLERLAGMYAAQTSPKTGSIMNETTLDEDALDLRLIYNKASK GHIPDANLRELDSVWTDMFELTAWTSDEVENLKNNSRSKIDSHADPDWLAEEIEFVSL RGSVMLVAACNSALLSMAELELQTAVDSHHLEVPSRGFIDLIESQDPAEKAKAYEVAQ YHAQRVKPYNLASPDEKWLLRNVLVSKLPQRPSLGIAHYETLCEATASIRADLNMVPP KHIRAADIKRPITVLNFEYYSGYSWPRMMMRDIAMELDANMVTIHAPDLAYVAGKYLG QDPILAPGPLSLLGYKAAENAGRVQHRKAEQSDDESRTDIPFSIVMHAEKPKKEGKKN IMDYFLGEPNRASKTDEKWNDLKVNAVLEQFVLAADTHLPGGAEAAQQRPLVIHVHDF NALNMDDECGSVVMGKLRTIVDDLWAAGRKVVIVGTCSSSGVPSAYKSAMKELRTTER VIDFRPPSTEAPESEMNATAAAISHHSISDDINTALKGVTRREHLTENSRNIYLMMQN LVSDVDSEHLFTPEFELKGMNPDHYPSFLSKKLLPVSEVYRIVKIMHGLRTTHPDQSM QTVFEDAMRLVKHIDDAQSHHDAPEKAELETKSNTMNDPGRSATDYEKRFMSAIVDPK NLRTTFNDIHAPAETIDSIKMLTQLSLLRPEAFAYGVLATDRIPGCLLYGPPGTGKTL LAKAVAKESGANMIEVSGASINQMYVGESEKNIRALFSMAKKKEPLVIFIDEADALLG ARGGRNDAAGRRDVINQFLREWDGMEASKAFIMVATNRPFDLDEAVLRRLPRKLLVDL PLEGDRAAILKIHLKMEKLDDTVSIEQIAKRTPLYSGSDLKNVCVAAAMAAVKEELEA SEKHSGPDPYVWPEKRVLNNRHFEKALAEIPASVSEDMATLSAIKKFDERYGEKKTRR KRRGMGFEVVPESTDSHEARVRSADK PFICI_09261 MTTPLPYKHGSPFLHRVWRSAVDMLAAAQKSTPDDDRPRAAKRR RITEDSTDPGIFASVGPLFSDPSQGYSRTLRFQVLKVGHVSDPDPGVNGLLHGNGSPV KKNASIRVVQARCRLTISSGTSSAPSRPIYCDSQPCEIRVSCDRDGFSSHGRVHLQQP FVVGAEKLYVMRDDSPHFMLADSYLITTELESVGDPNWPPFDLLPKSSWPRSQQWALS STHSYAYSKPRLSTPVVLRKTHGVEEIRTDLVMETDLRWSAMNSEQPSPQIGDILAPL KTEHVNGRTDNSVNKNDHDDDDDAAADDEDGDEEAITPSRSLRMRDKQQVYNLKLLSD KARGREMKERKKRKDAKVKGMNDAKPGISGRVTWTLPDGKRAMLDHWHCIYCYVPHDN IDQLQRHMVQHSEWKIATDFSQKDGWHIVISEGEQLTPRAARRAAAQARKLESPTNIS PPVSLRSRRSAPSHSTEAITSAKQQLVPNTIQPMYDRLSKAVLEPLSKVDEPPIDNAW LLQKHRDTIMEYTDVDPDEKEYIVEWDAFLFTRKGTVVPYLKNIYLEFIQEKASWLLA SQARMHEALKHLAYLNGRDLLDKSTASEAVEILRSTKPEVQDKLPPAQIPRTALPAYS SKAGCGICGQIVPATSNQLICANLDCETPFYHDHCMDYHAKQEVSDPNWHCNKCC PFICI_09262 MDSDDYSDESPPQPSRQMPRRAAKLKDPVRITRSSAADAQLSSA ERVLISDTSDDDDDDDDDDDDQADEFSISHSGRKRKTSSQLLTAAAKRPRRTQGSNQS EPSRRSGRPKSQTSPRQKVSQKDVDGAGGSDKLVLEGDWQSLPELIWDNIFEFVAAPI GNLAAHQDAVSAAVLTLCNATRASKILVEPALRARYKCVHLQTFGAAQHISATLGMSH EQTVFRMKYRSKIETLRIEVGETLGKRVQGQYINLWELIRFLPRLMDVELYHHLDLAY KRDDLEANVRWKYTPELFEALEFVPDESETGAYAKKAPTELRSWMWSSRLAGELCSLD NMASIHQRPSFSTLRKLTFLNYQVPSLGTKVSDDAALALDLPDIQKLASAVCLLPQLQ HLAFKSSTIVNGYLFGLLPKSLRHLELHDCYDVTAEALREFLLSHGHHMQQLTLDHCR ALSLDFLNVLRDACPSLTCLSMDLRYFRYIESYPDNSPDYEELLCSKLPPTWPRTLQC LNLQYMRFEDSTAMESATTLLKSLIDSASALPDLRYLALKASINVSRSERSKFRQTWA SRVEKVFQRESPEPKKTPHRTFTIPIQTVNMNGRHGSPVTPARRSTRIADRPPSPTPT TPGDGFGTPSQREEKLVRRMKKESRRLRVSSKGYHADNEESEDELSASGSGFTQASPM FKQRLCDVVDIQIDNQKITEHHYTENDFLDSPPDDDDDEEYKD PFICI_09263 MAIATNGIKGARQAEPVDISIVKNPADLGAVLPTLKYLNSGLPS LATDSDDARSDMLQAAWKLVMSLETPRETMIRHCWAQSGVVAALNTGTVSGLWRTMAQ NGDRPQTVKELAVATGMDSVLLARLMRHLASMQYLVQTGTNEYKTTNFTKSMAHELIS DSHIAMCSGTSAGAYQFHEFAIETNFQNPIDSHNTSMQRAYKTDLDMFQWLQTIGYGE SFNNHMRAYAQGRLRWMDPAVYPVQERLINGASTAPDAPFMVDIGGGVGHDLAAFKEH HPSHPGRLILQDLPQVIEQIKELDGAVVRMSHDFHTPQPVRGARAYFMHSTLHDWPDD VCGSILRNIKAAMAPGYSRLLINENVIPKSNAHWEMTALDMVMLTLFSSRERTEDDWT GLLEANGLRIVKIWQGAKACESLIECELADAELTVEFDMAEVITAAESGSVDIHPMIQ TELTEIKQDTEYEMAEADHVVELQSINAQPAIECDLSEVSPITQGAVVDTKHTIECHH LPSPVSIQDQNGTSALE PFICI_09264 MASAPPHDQPGTSAQTPKVAADKQQQQQQQQQHQPLPLPEPPKE GDTINLNVGGEGVRLDHMGPLVVNQDGTMSRISNWAEMSDIERENTLRILGKRNQQRL KKLREEKEAAGEKAEDSQ PFICI_09265 MGVVQSKLKTLSLAIKALLMANKQIEELLERVQQPPGLPVPNPS PSYWLEDPPHPELVDTQSPGLPERADIVIVGSGITGAAVVRSVLQASSGAAKGGKPRV VVLEARTLCSGATGRNGGHIKSSPHELFARLKGNFGPERAAAVTRFQLAHVKILTELC QSEGWDVAECREVETVDLYLDPEDRDKVFEEVRELRKWIPELDIETYDAASAQKKFDV NKFVVGAISYTAGALWPYRFVSSVWRDLLAQFGENLSIETRTAVTDIQVGDTENTAYR VVTDRGIIECNHVVHATNGFAGQFVPGLRGKLSSLLAHMSAQRPGKQFPDYGGSRSWS VIYAKSAFDYITQRPTVNGVPGDIMLGGGFDRSRDQGLSVIGRYDDSSEAIDALTVNH IGNIFPTIFSPQWGDDQEGGRIKKYWTGVVALTGDLLPFVGRLDPKLTGRRPRQVKKS SGVDAGEWIAAGYCGDGMVWAWLSGTALGAMITGREKDKSPATPGHPGGRLEDWFPHE LKPSSKRVDKADIANLMELMF PFICI_09266 MATQTIRLATASPGTQATRKETLAQLHQLARSAAAEKADILLLP EAYLGGYPRGTYFGCKIGSRSAEGREEYLRYFKAAVDLGDTVGGGAGAGDAWVKRQLP ADELAGETGKVAGQRGDGTREELERIARETGVFIVTGMIEKAGGSLYCSAVYVCPKLG IIGKRRKTQPTGTERLVWAQGSPATLKAVSTTIKGVRLNIGAAICWENYMPLLRQALY AQNINLYLCPTADGRECWLSTMRTIGLEGRCFVVSSNMCVRRTAEPSAAATGGDDAAA TVVEDLNGIPESHPRGGGRRNSCYTEEGFEIALPEGGSAAHSRTERRRSVFDEDGHEI VLCGRDGAGGPVIEEEGSALQEQKQQQQNGIPSSKKASAPSGISTATTTATTKASAQP NGVSAEDEFISRGGSCIISPSGDILAGPQWEDEKGIIYADVDFEDCVRGRLDLDLGGS YSRNDSFKLSVEGLELDPLPYY PFICI_09267 MAETVLASDAAHPFTASVVPVMVLTRLLDHHERSGRRRPFSTWV KKLANFKNGSSSSDSNKHQHPKRDHVSKESKKKPSKQNNPYPQSGRIVPPHHHSQPSL STTHTGVTTNFSDGQSQTSLQSSVDGAAPPTAGGLSVAGTDNEASQSIMAPSQMTASI AGTSRTANGRKGGDSTFSSPAPSVRSLTTTLTTIQSVGVNQNANQPQPSSHHQNSNSQ NIQFSQPFPTASPASAIPAHLAPHGASGHPTTYQMATANNLLSDNASILTLASSSKRR RRRSFDTDASVRAMAPSSLWGGSRESLPLSVLSANIDGVPTTPGLHQTTSRMAANNER NSMYSTTGIAAALPSERNSLYTKQSIAGDGASVRSGFLGHGRADSINGSVVGVTSPLV SPREVSEKGAATEKDPTVGSASQDKIQEKE PFICI_09268 MSIKPLPEDVIAQIKSSTTITSINGVVCGLVENSLDAAATKITI SIDYSRGNCSVEDNGSGILPSEFAASGGLGKLHYTSRFPAHPSYHGSSGTFLASVAAL SLMSVASHHEGHRSHNSVKIHNSKVIARHTPSPPDQRLLSFSHGTRVTVRDLFGSMPV RVKQRALALDRATTLRDWENLKLSIVAILLAWPDQVSVSIRESTSQQTSVLRNTEAII TQLAERARRSLLVSRVSGLLCQANFFDNADPAAWVALKASAAQLSITGAVCILPVATK RLQFISIGIQPLANIRGQNVLYEEINRMFANSSFGVEEDTDGIDEDEKVRRAKDRLSR TGEYTNREVKGKRGIDRHPMFYVHVDLGDLVSCKGGHDADEILDDRQSHLHTIIDVIK AMMHAFLKKHHFHPLSYKPTRRWSPRRSKSNAPESESSSRDDSPGVSFNSVSQMDAAS KTQSVPDADLGDAVSARFLGGRSDMSQFNRWSRVSRGSQTPTSSKEPVFEGTTVRRSR PRPSSENDSSAAMSPALFNTEGNLIRPPFLDLEQAFDYSHARSQTSAVESGQASRHEE TIAQLDPATKQKCIVDARTGFAGTRTESDADHGLSRQPNRLTKRAKGLRTPSPPARGE PSLWVEDLLARWKNPVFEATEPPIPVAFDASALAETASGYTERDGLCHHGLAQILPKV ESRISRKAIRTAEVISQVDQKFILVKVLLERNLRAALEGDDASLLVIIDQHAADERCK VEELMSSYFDIDGPQSASFRAKTETLDKMLQYEISSHERPLFEQYLAHFKHWGIAYSL NVFPSGPQFESRKLPSFVKVSSLPPCIAERCRTEPRLLIDLLRKELWKLNETSGGHGH LPQHIASTLVDEHDSTQQHWLSRFHGCPQGILDMINSRACRSAIMFNDILTLDECKAL LGRLAECAFPFQCAHGRPSMVPLVDMGKQPVDEVERGSFGRQFRMWKTETGERFS PFICI_09269 MSGTANCTSAPAVAATDAGVAGTGVLLSFIFTAVIAIVISASLI IQEQYYKQSKPSVVRRKLLNAYSDQQILTGIGIQSVGLVKMHIMIPYHFFIIWMLSLL AMAVHNATLLALVHDFRRDWVLRWLRQFLMFVSLALSSVYGGIILKAVENGLTESTLP IACVWDSDAVKTSSSGASKSLSYFGTIAVIAINAIIFGMATWYLHSRDQKFYRITQIM GLVLMTASAVGATVRVITLSQAFGSPSVPLADEGEKSWSFGTLLSLTLLILPLISVIE ILRGEVRCAPPVPDEGDRTKLLGTDENELHPFQPNPFWGGQNQMRKR PFICI_09270 MQQHTHSLFMRRTLHVWIEHQGVVHKFDHAFTSSPVMIAHIIYP ADLFVMFQHYADHLGLDWDMDFYEMHLHRHKGRRSPPQADHKHLDTPLEDQLRIDELD TGQLRAMLLELAERDWEDVIFIKEVSGVLSEEDKELWEALEGLSSLALLD PFICI_09271 MSSFERFLEKAIADQDIHGAAMVAKNKSGTLNYSKSVGLQSPLT DPSTPYSPSTIQELASMTKLLTTIAALQLVERGLVTLDEDVAASLPSLASQRILKGYD DYDESNGGRPLLEPRRNPITLRQLLTHSAGAGYTFVPGSPLKRYQTKVLGRPLVQGAT IDERFDQPLLYEPGEGWAYSSSIDRAGQLVEKISGTTLEAYFQTHIFAPLGIRTGTFW PDPSLDDRRSAVSFRDAETGRAVQRPGATTLVSGTVECFGGQGVHMSTEDYMRVLASL LHDDGALLRPDTAALMFTPQLSRPSKRALLEAMEDPSWAVGHFPLTGEYDWGLGGILV DGHSHEFRKKGALMWGGAANLTWFIDREAGVCGVFGTQLYPPGDSKVNKLHEAFEKEV YELGATR PFICI_09272 MSSPTNPSRKRRAPGSEPASMQTAYFPPPPPSAIPDQSSTWTAN NANFMENSTNPNPYGMVSSPHQAQFTQQGVPIATPSTALARRGMNNQLVAANRSYTPQ QNELWANFTDDSLVPQSNGGNAQDEHDNVELLEEKAQKAKREAQAKRKQIPPFVQKLN SFLESSKNTELIRWSEKGDSFIVLDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHKK VGLSDNSMKASERKNKSPSEYYNPYFRRGHPNLLWLINKPKSGASKKKGKRVDEADGD SEEDIIEDGAFGPNYPQPNIAATRALPAPETGTLSKKDLTVVRDQMADLQGQQRAIHD AIARLRREHVQLVNQARQFQDQHNRHEQSINAILSFLANVFKGKIDPNGEVFNINDLF NGIMSNGQIPQNQQSGTVVDLGDWDQQQSRVAQDLTSPPKRQQRLLPPAPPEMAIKRS ASASSVPTRTPTPFGHNQPQMGTVTELFDSPSDSAPTPSSILDELNTNPQEGMMKLMQ DVNSNVGGGNPRQSPNVGNVPLMGNDQRSRMLHALATHGNGSATAPPAISFSAPAPEP AVAAPVPVNHTSFSPIMGSSIPPPSMTRISQTQEEIEQLQKLQLEQSHKLDELTSLLG HYSPSGRIPGLEENGQYFDDNIDFGQYLDSNAYNQDGLPSEFDFGSNHFDNSNLDNVN TDNLFVNNGAGRAVGSNTPSHNSASPSGTEEIPRDDLEDSPGRASKRQRKD PFICI_09273 MSSIYNLEPQPTASVIIHTTQGDLSVELFAKQTPLTSRNFLQLA LDGYYNNTVFHRLVPGFILQGGDPTGTGNGGESIYDGGALDGELDPWPADQRRGKNAG PMGVNFKDEFHSRLKFNRRGLLGMANEGRPDSNGSQFFFTLDKADELNNKNTVFGRIV GDTIYNLARIGESEVAEGSERPLYPIKITSIEILVNPFEDMKKRERIATQQNQAPNTA VKKEKKKPKGGKKLLSFGDDEADGEAAPVIKKAKFDTRIVMDIDEEDEAPKPKPAKKK NEDSSVKTIPVREAPPEPSKPRSPSPPPKRETTKVKYEDIASPEPELPKKQSALERAN AEIAALKASMRRDAQVEPVKEAKKTGIEQFIPETATRGRKRRRGGADDSISTPEYSQF ESFKARLQQAAPPTTVPKVEIKEGVEEANVASGNQDEEAELCDLHFIANCQSCKSWAE AEKEAESDDEGWMGHALSFAADKLGKDLSYRKKAEEELVVIDPRDKARTLKEEKRAKA DARAGGSSREWDQARNAKLARQSALAGRGAR PFICI_09274 MAYLLGRYKQPLYGLMSTYQSAQAADNAQEHKQEAPAGMSTQPG SPHGSHDRADKDSPNQIHRKQTSSNGPVSAKGKHKPMQERPADTVQQETFTFSPSLEN HTRRTSGNKVMGLERKPDETSDDTYQPRKPARRLSRKDVMEMNGGPQETSDSSVDNGG FVSESSDNIEPRKARRKRKMETKIQDHCTPGTSEQESLPEKRPSKFRKT PFICI_09275 MSYQPQEPTEENGGKGKDRVRGPIIQGKRYALPPDPHMPERRQY ADRSNTTLLSKTEMAAKSKQMDSHPSAKSFRIKAAEAAAKSKPAPGRMRSEEDPAVRK KDEAM PFICI_09276 MASENPNLPPSAATEAVLVPSDELPKDAQKVEEIDFNAFKGKPI TVEDMLENMKHMGFQASAMGDAVRIINNMRAWRGPEGEKTTIFLGYTSNMISSGLRGV FRYLVEHKHVDCIVTTAGGIEEDFIKCLGDTYMSSFSAPGAELRSKGLNRIGNLMVPN ANYCAFEDWVMPILDKMLEEQEATKGTDDEINWTPSKVIHRLGKEINDERSVYYWAYK NDIPVFCPALTDGSLGDMLYFHTYKASPQHFKIDIVEDIRRINTIAVRAKRTGMIILG GGVVKHHIANANLMRNGAEYAVYINTAQEFDGSDAGARPDEAISWGKIKVNAEYVKVY LEASACFPMIVASTFAKEN PFICI_09277 MAPRSIPYTQPDRRHKPASGYTSRHRSTNRPAYLDTNAHHTLSR ASRYSGSRYDGDTRNRSRSRDRSPGDRYNDRASQYSDGGPRRASGENRANSAFQTNRD SFRDTLPRDTPRGPRALLDAPSGPRGGGISGDFRGRGRGRGRGWRDDSRDRGRDRDID FRDRRDSTYRDERSRERERDWRDRDRDSFRGRRPSPRGRSPPGRDFRDLRDAPLGVDA ERARRGSRDGPLSAGSSNSDPPFGPSSYRGGFGGRGRGRGRGGDRDRGRGRGFYDDRD RDRYGPGARARSQEGRFRDRDDRDREPRFFDNDSRPRDLRDERDLRERENRAKMERTS HEPPPSSRDVSPPPIAPAAPSFGSIPNRTASISDATAPTANKAPPTGPRALKDERPLP PGPATNSDARPPPTGPSKPPFADGAPPIPSGPRAHGYTHTPRTGPSSKQWINPNLKGG PESPKMNRSQGFVPSRFPGYRPESSSSDQPTDPDRRPRSSDAQDSYDRMDGQNRDVHM HDVDDHDRYARRPRSAGSFDREYRQQISPTTVLPDSARSRDPNDHGLRENKTIEEKTS RPVVDVPRTHIEFKRSLKHGTITLPRKQPKATILDQSSESDDEEFEHVIETNLLEAET NLKKLEVIEDAGSTDVLVRHSILSLEAADKLATDAEGLQSMIGAVPETPEVDKVLEDL VELKAETPKDGPSPAPAPEPVTSTAEPEIQQPEKIVPHIVAPSLPPVPELPHPSIEED VEMISAEGHAIEEPEVKEPEAKAPKTDDQDVIMEDVADTVKSALGSQLEVPRVNGLKS PRSHPSSVGPEEESALSKEESKQGTSTPSPPEDDDETDVDEDIDLRTVETVRVHMMTP PLDSLPDFNEVPWFQDQPFVKSLESPQPALNNFILKRMNEDAHEVGTEQSKQRKLYEH DYEAYLRFTMSDDPVAVQSREKFTYIPGASDVPVQKPGFNNEGTKPESTRRSRYATER DMERILEESRRQEEEKRERQLRAEKEKYRSEKEAIIPDQFQTQQEKDNQFYVDETGRT NPEKVIAAWEVLPPTQNFSEEEVKLFEKAYMEFPKQWGRVANALPERDFGTTIQFYYL SKGKENLNLKEKLKRRPRQRRKRNGKGRSSALVSELPEHEESQDTGENGERRRPRRAA APTFNSEATPAADSENATGASTPGRRGKTGDGTEKPKGRGRKARDKQEKQTRANQTLA AGPPPTTSKGNRSRSSSRVQTSEWATTQPPPADASQIASAFELGSGGMQAAAVSIQPP FTAVQPLRSPERTVIPTTVPDMAPPLRPEPPQPGVVPSFEVTQQRPATERKAGSSASS YWSVSEATDFPFYLSAFGSDWHKLSSHMGTKTSVMVKNYYLRQKENGKKDWEERVREA DDKKARGEKLPFPPPPTPPVKKGRFDTTPLNRTLVSDTIMEDAPPPKIEPPAVVQPMT GRFNVPIAAQPQQAAIMQSSPFSQPASTGVPAPVQAATQPLGQPVVSQTMSPSNRPLR APFGYPEREREVLQTPVRTSLPMQAQAQMTPVSEPPSMRHPLPGSAVVEAPVERQKME VKPPKEPIIQERTPLRVVKQEPDAPIDPYSRVDPYTLPQQPSHIVARESMPMSRAPEP PRTAASVPPQVVSFGSILHQQQQQQQQQQQQQQQQQQQQQQPPVRGGMMTDMHPSSPA PPRPLSTLSRPGSDVGVLPEYTRTPPMQSTPPVAPPPVVSSKPKTSNIMSLLNDEPAP PKRLSEVPSAMKPSATPPPASSLSRPPPAPPAPTQMRQQAPPMADAQAYGHFGRPPPS APSSMPALKPYTASPQTQPMSTPRHIAMESPAERPERDFYRHQRQFSSPHQAHAISPQ AVQPYPPPTPSGQMPYQSQPAYPYGAPAPPPPSAASPPPQYGGHPSVPRGHEPPPAPV REMGWPGPHSSHGMQQQQAPQPQQGWAPKSAQPPPAQSAWAAQHAASTPKPPPPSSSV PPQPTWAAPRTHDPRDALNLRDARDTRGDPRDMYHQPHRSMQPPMQTPYAPASRAAEP PPPQPPSAYPRYANTPVPGRDLRDPGPQRSYTPNPYDHRGAYPPPPQDMREAQLREQQ QQQSILHQQLRPQEPPQSMYDRRLDRYGR PFICI_09278 MADKKASSGKTIVFLHPDLGIGGAERLVVDAAVGLQNRGHKVVV FTSHCDPSHCFDEARDGTLDVRVRGNTIIPSSILSRFSILCAILRQLHLMVQIHFNSE LKDLSPDAFFIDQLSAGLPLLQYLYPRGRIFFYCHFPDLLLAQGRQKWWKRIYRIPFD WLEQWSMSFADAIAVNSNFTKGVVSRTWPGLAKKRDLKTVYPCVDTKTNKSPEVEQGK PLWNGKKFLLSINRFERKKDVALAIKAYAGLTPQARQGVRLVVAGGYDSRVAENVGYH NELVELANSLGLSNVTAKTIVGALEVPDDVQVLFLLSVPNLLKEMLLNSTQLLVYTPA NEHFGIVPLEAMLAGVPVLAADTGGPTETVLEGETGWLRSPSKVEAWTEVMDRVLHQL SNGELDKMRQTGISRVRDNFGDVQMAERIDGICDEILQQQTKPGSYAPVLFVIALLGG LVGAAVAVAVNL PFICI_09279 MQKRKRPAKEKQQKIWKKKDQTGIYSSRLKRARVLPFQQASLLQ SLPDDVLYYLARTFLWEPTDIFDLARTSRSLWGILEREIYITDVFAVQYHVSRGLEAP RTTLLHWAALAGRTDILSKALAAAKLVWTGYMNFQHSKCGHAAIHFAAHYGRLEVVRA LQREKEEGRASSVDMTAASGWMCPAPQRLQSILQRLTPGQFRVPPEYMLQDEDFPFKI DALGLAILKGHRDVAMHLLDIYDAERIEEEKIFPPLHLAAFVGMAEVVESILSRGINV SAVCKHVANSVAIHWAAAGSSKDENTQTLRILREYGADITIRDSVGRTPLDWAIGFKN ADNVLYLLKRSIRSLAPGHLANQVEEWTKRLQRCMADDLLLDCTKFILKHCPRLPEEC LKLCVQSTFWDIDRSWDEAGCVSTSSKNLATKRWLVDKNIGLGVLSKGARQSWMQEEL FQGRCFLHYAAGSTDIDAELLALAIQKRPHDIDVVDAKGLTPLELALGYRCIPEKVKL LLRSGANPALCYGGERARADVDRQIEQLTLEEEGDVE PFICI_09280 MSSPDGKLENAAPAASLSSDTLSALSSLNQQEEQLQQQQEQPNP EPEPESVLAQQQNNPLPLPPPQPSSTTTTTPSADDTISPVTATPAPASEDVNVDVDVD VHVDAATGTTNDVIDSSLVTGHSVEDSVHVPVSLPARPAHVSPSDVPGTPESNHASST TPTVPSAADQGSNKKSRPSMSSLRSSGPSLLTQALATARGIIPASASAQHLDPRRPEQ KSSHSFGGTPSNALGKPHGSPSDPIDREPHDDIAIRGDGAPLITRVRSHDQAVSGSSK TISLPTSPPTPANICIAAPSPDMPGRTSERFVPSDHRDVFMGAKDRPRSLERTEKEIR THQLNVNGTSSATVDNTPLSSAITTPEQAQLAADQESSDARQQYRTWRAERTVSVGPE KAWSIGKGDMAGAEPGQVEKSIAEAIAGVEPTRSRKASHSLRFFKEGLPDEKGKRKDT KRKDDLGTHQEQDEAARAQHPAVPSPIYVQDLIGLPPDAIFPSAGSAVESPVDEEPAS DYFGIKPHPVGHDHHPQLSPGLEQRKAMPSYHEPASDTAPVIVNGVRHESESVENVAD APEEGEDSGEEKISSAFFVPHQEAPEVIKPEHHVGRPGPIHRQSIVKDASPWLVKADE PEVEDGHLSQDGGQASKSDHGVVSQVGDDFAVQDDLALEKSHTVPASLSLPVPQYYDD VHDHQFAPKRPLEAIELIPYKHQVGGHTTLWRFSKRAVCKELNNSENKFYENIERYHR DLLPFLPRYIGVLNVTFQKKPRRRSIIKKDEPEYMIVAQDAHAPRNDENLRLEVLEQP NEARNESKEHRRIISQSLQSSQTHIPTVTFVDNQHILPRSLLEPSGDIPQRGRSASEA VLHDMVEVDKEQSALIRRPNLETRHANSWGATTVNKRLRNEVFNDAFLKRPIPVQKHQ KPASHSRVIPRRAVQGQAARPSTADADLMSSRAMSSATTGGPHGPSPLRMMPGLTQIQ SDLANDESSLKVEAADQVKDVTGTSAPEPDTLDERFPQQQRRKRRYSGTNLRRKPEDV DGSRGDLKYFENVDEAGYKGDIEAPLSPSEASTLTGASHTPTDINQILHGDVHSAQSA ISSAITSELPSPAMEVRRISRPINPKEAQTQQESIKFFLLLEDLTAGMKHPCIMDLKM GTRQYGVEATAKKRDSQRRKCAGTTSKELGVRVCGLQTWDVKEQKYIFKDKYYGRDIK AGQEFQAALRLFLSNGADDASVLRHIPTILQKLNQLEETVRRLRGYRFYAASLLMFYD EDTTVDGYDTVIEDSTTDFPTDTEDAPGARRKRKKEIDFKIADFANSVTPNDISKDKP CPPKYPDEPDRGFLRGLCSLKKYFLQIQKEIRAELGLISHYRQERCQEVLDAVEEIYD SASD PFICI_09281 MASGDIEMENLMGERKDLGEEPIYCAAYDDGEEILYHRSEHHRT QSERVAQRLRYEEHALRFLRGQRPRLISAALRGPFDQASGWRNPWLPARQSSNVQANA TNHTRKRYSTKSTNALAKAAIQTHPKNVGGLTNTAPDMQDSMQCHLPSPQSHRELELV ENAHFDSSASARIRDWARNVQRDTLERDDFWAPDVGTDDASDGSSNAKRPAKKDWLKS KLNKRRRTENVSFASVSTPTPTPAAPAASELPIFTGLAPDHQSNNRTNANQSFEQTTP SSIAKQQPEESPEEVVSMTASHKSSASRVRPRKKVAVKAHALRRSSRRQSKQPSKNLD DAINQPACQPMECQDASGMGMNSAAQPQAAPPGQGKEDMISEQENPHGAASPRQLLEH GDTSFESHQDQSFRYRAKSTKLPPIQITQSNLVSQQSQITQTGTPDSDEQHEANLDEN SVTGCHDFDVVDYPMYDQSATDMLVDFTLQDDTEVAQDFIPTEPTETLTEDCRPEAHS IEKRLCEETSTLDNLVSEEGEQDLDHHQQASHENPVDQPGLDQAMLFLGVENQPTPLH ISIFRALEAGSALVANAVEVDTGRTLPLVDAPARPELPKYSLPVAGIAEGNEQTHPAE DGEAVLVADQEETSGPGGVESKSPIECQNPEPCDRDESSTPHGDGDGCSVGGPVEIMQ EQNEGSEASIEVSEDEENQEIPVPISKAEWVRKESVDDPSDPIQNTPPLLETTHTLAD ANVTTAGNEEGELQSDQVTLQSPWVSEGAQVKIEPMAEEAWVSSSRPISIASSPIEVV PQLAVPLSQQQPWTSLETEPPYSAGLQASESLQQVVLQEPLRLSLQSLPEKQSLWACC DHQSAYADQQARLSVSQSLQDRRPPTQAPRFNSEPLAPVPPMSLLPHLEQIGSLTQPA TPVHMAQRQTTPEPILSIKSFATFNTPSPKYDRNSRNHRVSGEGLPSTQFLTDATGAN PWTSSARSSLRSIVRPRSHLRVSFAPLPHESDDTGDSVLASNVRKAASPPPQFRREAD DEDVGANFQKHFDATSRRSSNGTKILQPRAATRLLPSESQQIPMSPGIGLMAQAFREA DEGQGIKSSPGPFIAVDESCQIPDTENHTQEQSPWRAESQSQGVDDVAAVLQNLDDFL NPRWDAEVDVNKTRVADGEENFRLEQSPGFLGAIWDAL PFICI_09282 MAPHAWLDSLSEDWVSQPRDDSSQAQLSVFSDSRAASQQSDSRA AGISLPTPSQSIHKPDLSTTDALGERSSNNINIQASQRQSSRGSDDVKSSQRGRHISR SHSASASGSVQHNTIEHKSLSASPAKNRADIPEWRRRLLHREGDYAEPLNLFSSAGAG LESMFRPPPRADAIPEEAHDEDHTAHDMTMPSSPPPYFKSRSQNVSGGTFEESSLEFS EIQPALLKQGIAQRINNENDSGSSMLDNSFVDRATQSRDDATKNQGQRSSISATSKQY LDVSRRVSGRSDTRNEDFSPIYLTRLSKEDGKITFAPTELSADSLRKRLDEIRQDHSF MKSDSREQESTMPQDDLDSTQDYARQGAFLNIKRGGYSAEGSFRRRPLSPAAQADTSE MLPESSLQASTPKHFPATIRIIAPTESNDHTSSESPDFPRGPDPSPDKRPEHSHSIGG SPLKLFGPYDTFTNQTLMRRISQFEDPVTENPSYDASLDNSHSSLLSAQEGNSSNSMI PPTVAGQPRKQQLSCGHDEVLGIVNNFGAGDLDDYVFSEDISLGPVERSELRDKENVA PIRSSQTRGVVFDLGHKSSSSVLEDEELKLELRRTRTSTNASSSKHSASGLRSSRPAS SPERALGSRFVLGTPKRDSSETKRPRTSPSKDPTPKRRRTLHRSDIAYGLEAHPAAIE AVRNVHCQMQSGIGRKRKDARPSELQQSANSAVMANRQVIRPRTPTPSQRSSVQREQE FSTGFSRESINAALSRPSSEGSDMVSQDFQPNGSRRVSIKTQDFFVEAEKIMAMIRNK ARPSNLYDGLDSVDESKADISSRRKTASAEESYDDSYEDSFQEPFLRPPSREGGPVLH LATRQQDPAIADKLKQYEEKSDMGDLITYSMRSMGLAKEATREVKRLNKALKADLQYN HTRSSTPEEGEVISDLSNVRLSRNPDASIDDELGPIASHGSGSSSGSTNSIPTASSSR GSEFRRLIAPDAVSQVIGDHVGNMQLDKEKNVWMKVKTPRREPLGLNILPSEDSEEDP FASIPDLSVDVNKEKQHLNLKTGPLDDLQEYVKEDFPHPPASGKKPTATDKPVDLGTL LSYAKQTFQGKNKSMPDAAKEVEEDEEVEHEITLDEDRVQKSSPARKRNLTITFSSPV ASFIQDALPQELHGDTTIDEISAEESLVDDDSAHSSDRGRGVKPGASAIRNVDQSRSR SRSKSVRRSLSVRGRTFIPRPVSRIDEQDEETAAVEVSVLEQGQLSILGESSVILPNG AEQRRNSVSFLFTTPAPIRQIPQRLATPIIAEHVGMLSLSPLSELTFNHGDRSNALEV SYVVGDDYLVTGDKSKRVMSQAIRALVEKITEVEPFEPDWDSISVLNINGKRLGSLHK LDEFCGSIVTMDASDNLLSHLDGVPPSVRNLKITHNHLSELTAWGHLLNLQYVDISNN QINSLHAFKDLVHLRNLRADNNLITGLDGIKFHDSLQVLRARNNMIEEVDLEGTRLHR LTELDLQGNHIRAVANIEQLSCLSTLNLEDNYLTSFATTTDDMPSLRYLQLSGNAITE LSLASFPSLRLLHADRNQLTTLKGFSRTRRLDSLSLREQRGEEPLNISFLTAAYEVRK LFLSGNLLATFDPKVDFLNLQYLELANCGLQSLGANFGQLMPNLRTLNLNFNALEDLS ALRFIPRLKKLLVAGNRLSDTIALANLLPEFPHLAKLDLRDNIATLGFYPPIQSLVSV EQESDLDPFVLPDANKERDDSFSKRLDLGTKQKRRFYEIAVTQRCGRLKTLDGLSVDR QRIRQKDHVWQALLDCGVISHVSKKDTEGDGTIRTPEATGETHEI PFICI_09283 MKDDVIYQAAFQCTKSFEPLLSDPKIIEDSARFKVWVGNVGASR IGVNSLDFKLRDSSHIKNRILSLLDDLHECLNNADAIIKGERKPWDQCLEDSQVDDNE DEYIDALAKDSSNTSGFGTELDQIRADVAEIVNCLYQLSTTTQAPAPHDQYMIAHHLV IEDAYIRLDISAIMVYELRETSLALATRLAEANARRRRYWTFRQTRNNHKKDYPQFQL AAQEDDPEISSAIPLPESRKINTLDIPVPPPQSEDGTLECPYCFTVIFISTPQTWREH IYSDLRPYICLEESCRSAGQHYLTQNQWMEHVLQHHWRNWRCVFCPSATSFESSLDLR RHLESRHEDSVSNSSQVDILVQSGKQAKKLDTCTACPLCAETLQDAGVYRQHVGRHQE QVALLVFKDIKK PFICI_09284 MSGLEVLGLVLGGLPLVISALQNYKRVPQGIGRWRRYTSEIASI IRQLQSQECILTDLCEKLLEDLGLGSRIDAMIKEPFGPLWQDDGTKERLRQLLYRDYT VFQETIKEMSIAMDDLKKRIGLGPDGEMNGIDITLWKRELKRFSFTFNRSEYADLMRI LRDGNECLESLIRHNKDLEPRRKQRFQTRELGLLRVVSQNVFRALQNSIACSCNHALG LELCPVKRHGELKEHDCDIVSGFEFRVGISGAGLSWNIWKELLLLPSIGIQDSQKTSI SSSRTLVSPSSIKVQKSYWRRFRRSSSVSIHSEQDMTCAETNQVDLTPPQSPETTDKS TKLGINPPSIPIDDICSTIVNSNRPASSFYGSISTYHQAKFSNFDVHTATTSNGHGSD LITLDQVLRRNDSSSNQLSYTQKIRLVYLVSTAVLQIPESSWWTDTSLSRDIIFIQKQ GFIDFQRVFIGRDAVDQTPPALLNKLMIDPRRHVRNPALLALAIVLVEIMLARPFEEL RAETTPWNVAAITDARSDLEAAQKLAHRVHNEFGSMVGSAIRRCVTGDFDCANISLED EEFRQEFNAGVVALLKDNMKYAGLS PFICI_09285 MDPVTGIGLASSCLGIITKIVSMGKQLDTFVKDFRSAPKDIIRL SEQLSIFREVLAQLEAWLKQRPDISLSMSGTVRKSLTECAIIIEDIHSHVLSVSPKPG EDSIGFWRRIRHLWAEDHVKEHERMISSQFHIFTLLVSLTPIAKWNVESIVQDEPNRE LLKRGQADAQSILSARDNSSRFGQITIDGDLGLDQELSIDAEITQTGPYLENYRSLVH RLRNSKPDATSDLKPVSSSSRTDEDTSTTPRSSLGVTMTPTAVPRLDAKAISSVVPRK PLNLLPHWAQMQFSKEYRLREEENTRQHNEKVRQYNEKVSQLCLHAEYGGIAHMKASI DNGADVHGRNWRGAGPLEVAVRHGQVEAVRILLGAGADANSPMKDDKNKATGITKPLH LAVSMRSVEITELLINHNAAIQKLDAQGNSALHRAAGLGSVELVQLLIKHSADVHAPG LNGETPLHMAAGETVSTLLSSGAVIYAKNSSGEQPLVSAVSRGDVIAVKLLLSHGAPV NTQSEKTSLLILSQGHSQGWM PFICI_09286 MTVDPASPKRGFRFQSLKGYFIAQESMEGDVENTAQKITQKDLG LLPRTYLEEVTSSEHDGSKASWPAFAEHVKELNKNAPDGVSYKVVFVTRHGQGHHNVQ EAKVGTKEWEGHWALLDGDGTVTWADADLTEKGQQQAVETGRIWAELIQEREMPFPVL YCSPLQRCLKTSRIIYQDLSAKRGRLYRPIVKELLREQMGRHTCDRRSPRSWIQANFP ECVFEDGFTEADELFRSDVRETDDEGIAREHVVLEDIFAHEPADFIALTMHSAASRFL MEAIGHEVVRLAPAASIVFLIKGENVTE PFICI_09287 MSTSSVWLITGATSGIGLDLAKAALAAGHTVIAGCRDTSKIATV AAELEQRGVTWLTLDVGADDVVEAKIQECIAKFGRIDVLVNNAGYGVMGAIEDISISQ VTAQFNVNLLGPLRTIQAVLPSMRDRRSGTIVNISSTNGITSMPGLGVYGASKFALEG LTEGLQMEVAPFGIRVLLVEPGMVATRLADPKGSGTIVPLSEPYRDSLVDQTMQGILG AYAAGMGASAEKTALRIVEAVDGTGLLEGRQDSVKLRLPLGADAAETFQKKGAEFSGL YSDLKDVSESIG PFICI_09288 MTTLTSPLPLAATASIIASAWASGAGAAISFFTITPILQSSAPR EVLLQQWHLAYNLGKSYMPPAAGAIAASYLGVAWTVAKHPAQYGGGSGEWRGFAAAAA LMVGIVPFTLLFIMRTIRELEGLMIAGDAKDTDSNTRESDRDEVQAMEEARRLLTRWS RLNFARAMLPLIGTGFAVWNLSKVLSA PFICI_09289 MGSQKRKFSDANGSKPAKSTKAAPAVPAQKKSKKVKRVEPEEPV EEESSEDEVEDEAEEDASDDDDIEDVDEDEDAAAESEEDDEDDNETDEVAKVTANGDD PLQDGSLTLPPGAESNANTFDELKLSERTMKAIKEMGFTKMTEIQRRGIPPLLAGKDV LGAAKTGSGKTLAFLIPAVEMLNSLRFKPRNGTGVIIVSPTRELALQIFGVARELMAH HSQTYGIVIGGANRRAEADKLAKGVNLLVATPGRLLDHLQNTPFVFKNLKSLVIDEAD RILEIGFEDEMKQIIKILPSEDRQSMLFSATQTTKVEDLARISLRPGPLYINVDEEKQ FSTAEGLEQGYVLCEPDKRFLLLFSFLKRNQKKKIIVFFSSCACVKYHSELLNYIDLP VLDLHGKQKQQKRTNTFFEFSNAKQGTLLCTDVAARGLDIPAVDWIVQFDPPDDPRDY IHRVGRTARANTKGRSLMFLQPSEAAFLGHLKQARVPVSEFEFPANKIINIQSQLEKL VSQNYYLHQSAKDGFKSYIHAYASHSLRSVFDVSKLDLKKVAKSFGFNAPPRVDISLN ASMKNDKVQGRRAYGSQPRQGSKQQFNKRR PFICI_09290 MAPRANGRGVQAEDTKICVVMVGLPARGKSFIAQKAQRYLQWLS IDAKTFNVGNYRRHDAPQPSADFFDTGNAEGERRRRAAAEAAIADMLEWFKQGGTIGI LDATNSTKERRKWVSDVCAREGVEVLFVESKCDDEDLIMANIRDVKATSPDYKGQDPE QAALDFRNRIKNYEKVYKTIDGDGDESEYTYLKILNVGSQVIINRIKDYLQSRVVYYL MNLHIRPRSIWLSRHGESMYNLDGRIGGDADLSPRGQQYAQKLPELVRQSVGSDRPLT VWTSTLKRTIQTARFLPENYNQLQWKALDELDAGLCDGMTYQEIKNQYPEDFIARDED KYNYRYRGGESYRDVVIRLEPIIMELERSEDILIVTHQAILRCIYAYFMKKDQAQSPW MNVPLHCLIKLTPKAYGTEEERWQADIPAVSTWRAKGSTAKHEDPVPSA PFICI_09291 MDIGDVLFNAAAFLAGLSLLERGARLFVSSATTLAKRLRLPDML VGILVAGAEWEELAVVALSLAQKRPALAVGNIIGSTIANIFGAFALGLIFSTRNWDGF ERDSKVACLGLLALTTFVSLFALADILWYRVVAVILLVIFVIYVLVVLWSIWQAMSYT KKDDDAEDIESGAAHDHLDDASSSSSSECRSEGGGEDADESTALLSKPASSKIHRLGH NIMPILQLLGSFLALALSGFILSRTSTLLAASFDMSDSAFGATILALATTLPEKFVAV ASGIQGHSGLLVADAVGSNIFLLTLCLGMAAWSVAAHQWHDHPEDGGHSFVDVSRIEA WWMWAASIALTAVVFIGSKDVCKVVGVRQLLGALLLIAYGGFLAVEFTVLRD PFICI_09292 MAEQRQPLKTMTKASPFVYFYEPSSSSSAVTDTENGSPAAAATA NAIIGDGTTTAAPKLILVASWMDARDLHIAKYITRYQTLYPTSRILLVKFVFRHIVWR SECIAAVRPALSYIRSLMSSGVLSSESEDNDSSSTTLKEQQQSPPEILLHVFSNGGVA SSKELFEAYEAETGGRPFPRHTAVYDSCPGLYSYWSAYNASIAGFPRGSLLRWLMAPL IHLLDMYLWVVAVALRRPYNLIINADRHNDAARTRQACRAYIYGRGDDMVDWRHVEKH ARQAEARGYDVRAEVFEEGTHVAHVRVDEARYWRIVAETWDKSQSTVS PFICI_09293 MAGTYTEVAQQDDDRNDGASPVSLSPALSPSPMPLLQAVKSTFT PISMDCDDSYQQLDSEDHIIGEFPPNDNDSDQRADKTSQTAIGNSDAVDLAQSVGARA SARATALQCPTPNNTEPDLHSASSGAVDTASSGRSSPISNLVNTRSHVSQGTNAASPT AVHRISSSSSSNSPKATIGHLADLGCTSSAKSSTSVEDNNDILQQQHSQQQQSPLLVK PKPLIRTASTKGVKIIHPSPTVRSRSSSHASNIAQLEATAEKLSRTSSIESAIRDLHE EQKRSDSRRSSILQASAGFGPDYKEPFPFTRQVSVASSILDTNNAARHGGYSPAGYVM SPNHSLLSNHSRLRSASHPHSEADSSDLLTRHGPGKSSTRSAKSTKPSLTDIAEMEPT GLTLAAMDEADRLQEHPEEHHSSHMPPMDDVDLTPGAASHNGPGATDYWGNSHAGGQV EQGRHDERPRSRAESVGTIDQAKDAFADFDGAHCSPEADEDPFQAPFNLDLSLQMPID QPREPGRPIISAPMNPTVRPKSYLDPMTGQQMMFYPARVPMMLNLPQKLSKNPKAAVR NQRRSQVLSMMPEATRQSAAWLPEVVPEPMFDPLGPGSGSNSEIPTPLVEAEPAPEAE GPLLSAEDDSAPQARPNLRSVDSEARKSRLSVMDPSDKRKSRMSYTIDNLPPQLRASA FFDMPSESPNIELKDGSAMATLDSILDASAKAPVSAFTDHSFAGKLGDETYGVEKRKS HVKRNSTADALEVKKRRSIFHLRAPSKISIRSSSKEEKQSNMSSPGLGIKNGSESGDD EHNKLASDAEDGEDVSDNEEDSDIDPVSHGPPTTLLAELQIRKHQQKMRTKAPGLLYP NGMHSTLLEIDTVAEMERQNRKGKKINLAWEGANDAAGESDDEDVPLGLLPVKQNGAS KMQAFQAEMNRPLGLMEQRNLDDNEPLSHRRNRLQGRPTLASRQSLMALSGGGALGMS GGLGPPSPALRTHTPEEDEHEGETLGERKRRLAAQGDAENPLPQARPVSGAFSAELLG QLGDTFKEDAVSDTKEKDKAAPAEEEETLGQRRRRLQAEREAREKEMGAGGGPLQIPA PPMITKRHSMADVLGATRRTVLTDPRADTERAKQEEAQRFRKSQDLKLAGFRAQIPDN LSTPNLQRSGGYMAGQYNDGTAGGLGRTRLQHSSSFQAPGVQQLPMNNAIGGIMGGNN AYGMGSVGGYNGMHNNGYGQNVLAYNGMPLQLPMPMQQPGQQYDRVDRWRQSIVP PFICI_09294 MADVPMPDAPASDPKPRRRFAPQLVEETVKSSKANKEPESRATE DVATASEPEKPRRRFAPQLVEETTKSSKVKNEQVAEDSQEPAPPSEKPRRRFAPQLVE ETVKSSNKSESSSAAAEAPKPRTTRDASVQVDVDMKDATSTRRRFAPVPIETTFDSYR VGKNPHGPTAELTPDPSPTDALPPIPALPSAPVIETRKTSDQKPKRRFAPQLIETSRR AKRAGQDGPATKPTDKTDITPGTNHIYVQKPKRKQQPPSALRTAHGASSVESGDQSPR FLPRRQQSLKQHCNTRRATRANSYLPELEVIPSSESDKSDDENGAPKGAAFSIGAPAR APSDGETWSTRNPDAKDRRESCDERSNHYLLAVAAREAFRQRELETAMSAYPNGLPPA GVEHFFVRDNSEDDVAYEEPLRHGTSNLIRRKSTDPTWAVSEMRQHAEKLASKNKQTR EPTFDDDLDQMDIAPPPEDPLWTTTGPRPPSRTQLQKVESQSPWQSPYLIAQSPRIGA HTGAADRGFASSPRVQPESTGMKAGPFSMPFANFNTGKEDRDLMRQQKRKSPPMLGED LKFRTCPSPKATRMEPDHPWPTHGDGSRPEERQRDPSGETGLWRGYCVGQAPEDMVQS AGFNPPALLATPGEPASPADPFAMAFSASMTSDHTAPRTPTSPSRRDPPKGLHMLHGL DDRLKMEKARKDHKERLLAEFDDAFITQVYNYISLGYPAMARSFDDELSKISGIPINE LRQDDNKKIEKGFMLEMELRVGSDSSSDEEMRTPEEDEKRTRKPPRWRALRLYILEWA RQHPDLGGDGNTSGWGVRARRGSWAI PFICI_09295 MGRLSITAAMAVLALAVPLLCRLIVPWLEVGTAWASSRFQFLVP FSSHWASSSALPSNAAAAAPYVCRPHAYTTEIVSVDPLVMYLRDFVPEAEAAALVAAG EPAFAPSEVTKHDRKQHTSDRTSSSAALPIDDPAVACVLGRAEAFLGGLMDFGSDAEM GPPQLVRYTAGQRFNLHHDWYERPQPARRDKLRLGRAWNRAASFFAILEDNCSGGETH FPYLQPPATVAAAAPGGHHRRRDVNHGKNGTMAWYERTEEDGGGIAFKPVRGNAVFWV NLHANGTGDERTMHAGLPLGDGLKTAMNIWPRQYYL PFICI_09296 MGANSQPTNSSPSPSLSENNTTQNNNDNTRRGPRRFLHPRQSAI GLRRLGSSTRQDAGQVNNNGNKPPPQVVRSMLDVDDYADRGSIKLRRPGARSAAEPQT PNNVRSMLDVDDNTERDTDAADFASTDGVAAAAAPTPANKERMGRFGRMKILGRKPRA GSHGQDQLIVQDEYDPSVVDMLDVVDPEVATLNSITNVQNSLFIPSLGRLVNRRPTYN LSSVPRLPGAFPPEANEMSRAQTPDRLQPIESNEASEAGTPTTEDEQTLGRPSMERIP STMTDRFYAVRPSNTSLADWSDEDLAELNDHVRHMLHSKRSAFKRRMKAFGQYVRKPL GFFVTLYATLITLFGLAWVLFLIGWINVGGKQLYVINVIDNVLVALFAIMGDGLIPWR LVDTYHMIFIARYHHLTWKLRDKMEVPELKDKNDLPSQPVVSNPEEQRDLEAARDPHF KEGEYSVLTPEQQKKLEHHQNKFAKSHTFYKPHETETHFAFPLRLLVAIVVLLDCHSC FQLALGLCTWLIDYRTRPGALTAVILCCSIAVNTTAGILITIGDRRTRKKDVVERMFR QELTEEAMRRIEHKKEKEAKEKEKAENRLHDIQEEDGIWPLPKIDFLHRNSDDNKGKS RKSGELSRKSLDQSRKSLDQVGKFTSNVGTRSSKSMDEPRVSGAFPKEEN PFICI_09297 MDQEKSQQNPHRLHTDLPPLHHLFPRTVHNTPISSPGLFSPSTN RTQMAYPTTSVSDASTPVLGALSSPYLHPLQNHRVRETNKALVDLDLMTGRKIINQYE VIEEIGRGVHGKVKLARNLETGENVAIKIVARFSKKRRLGKVTAMSPQDKTRKEIAIL KKIRHPNVVALLEIIDDPELKKIYMVLEHVELGEVVWRKKGLPWICAFERRRIEKEQR GEVDTEEEAEYLKLMDKRVAIKEVKRARMQQKQRGAEDYWSIEYGAANDEGSLSLPRN ASKDHSLFHQLEMRQESAREAHRIASGAPSLKDASRSSTPQPSEPDISSVFADYSSDM ETPGPLLSQPGSSTALDTAIFNPEEAMSRGRSPSMADSIISHMSSVDFDRHSHDSFAD DFSYVPCFTIEDARATFRDTVLGLEYLHYEGIVHRDIKPANLLWTRDHRVKIADFGVS YFGRPVRDEDMDEFVSESEARDFDDDLELAKTVGTPAFFAPELCYTDLDREQQPKISE LIDVWSLGVTLYCLIFARIPFMAEDEFQMFKKIATEEVHIPHQRLKPVDPLTNPSTQS LYLRPSSAPGYRGDDEIVYETLDENLYDLLCRMLTKNPDKRIRLRDVKRHPWVIQGIP DVVAWLDDTDPSRQSSGRKIQVDEKDIGGAVVPLTFLERVRSAAKKAVGKLTTHRSSD KVESQATRKRANSSANSSAGESVATTPASHIFPRDARRKSIRPDDYSTANEHHPLAQS VTASPQGSPDDGYEPEQHSRRATLVGGDYSGRPSRPAIDFNPDADLPYRPSSRMSTSS SKAYQQAAQPAHLRTQSINNAFLTLTAAPAAIPYTTPATPAGGLDSLVDPMASLRKAR DVRPLDDGSRSRSVDRGLFASADKRAEPKVSLSNAVAPGNVELPPRRPTGIGLSEDNN ILASPLFFSPKAIRTYRHTEPISDPSSLSTSHHERQLSLPDTDHRALTCQQVMVMEGR TPPSRMYKPSTPESFARAHQQMIRRQQREQEEHAKRQELIASRTEVNPSSIPCPPSPD DAYFMEKPSPPSRRDTSGTIITARSGSVDNQTTPLTSPSEFTSPVSMTKESREDSQIF RSDPSLPALLSGASSVSADAEGEFLQRPGSVDPTSHFESNGDSRTPPAFGKKSVPGFP LETLDTEDSAVHLHFAASLHSQNSSIYSSRAVDDDDDSDSDEGLVMAKTKRKGPARDQ AAQPLSRIATSARRRDTQTSVGSTETAKKINVYGD PFICI_09298 MNVPPPIPPRPPGYELRTPANPPLPPRPGAQQQQGQYIPSSPPP QTFGPRPGQGSYAGPPGQPSQWSDLHYPDGTPTPLFEQFMAVVFAHLDPQKTGYIWPE TLSNFLDLSEFEENVWKSNLKGNIMYTPEDIADAELKWTYEAWSFDHKATTRSPGRQQ FPFGGLPLLSLRGFTDMMALEYASDPMRGHRGLNVVLTTYRIWPHLGPLPRSCLPAAM PDPVRRRVAAARRTAEANAREILDANQARLRIEAQGRQYALELLDPPYVRRYYY PFICI_09299 MALLMWKAFDFFEVSQVKLGDDETRSFFENNEISSVCSGSDSLF LGTFDGYVRIIGASWKIARSFQAHDAGRITHMRQVEGTSLLVTVAEDLSNEPVLKVWA LDKPVKKTGLPTCLSTLSISNGRKQFPISAFTAVDDLSQLAVGFANGSVTLIRGDLIH DRGTKQRTVHESEEPVTGVEFVSEAKVTTLFVATTARLLKVVVNGRGQGQPPKTVEDS GCAVGCMTLNKKTGDIIVVRDDAVYYYNLDGRGLCFANDGTTTLAATYQNYVALVSPP ASSKNGDSDNLRRRFGSGGVDSLFSASNFTLIDPTLQIIAHSQSLISKPQALFEIWGD FFILTQDGKIHRYHERSLQQKLDLLYHRNLYPLAINLAKKAGMDEQQQNIIYRKYGDH LYQKGDYESAMAQYIQAIESTEPSQVIRKFLDTQRIHNLIQYLEELHEHHKATADHTT LLLNCYAKLKDVEKLEKFIKSEGDLKFDTDTAIAMCRQGGYYEQAAYLATKHGENELV VDILIEDSKSYTDALHFIWHLEPEAAYSALLKYARVLLEHCPSETTQLFIDYYTGKYK PMIQVPHVEEAPTPLGSALGGLAAGTASAVHNLTSLLPLPYMNTSSIASPSTAGTKEQ GTGNVDETRNAIDPDLIEPPKYTPPAPRTAFSSFIDHPDEFIIFLEAYMKEESFKDSN KSDIYTTLFEMYLHKASEKKGEDREEWEQKAKKLISGRDLPIENSNVLLLSHLSDFRD GTTLVKEQSGLLFDIFRSYTSAKDTRGAIKALRKYGPDEPQLYPAALAYFTSDTKILD EAGPEELANVLRRIDEDSLMAPLQVIQTLGANAVATMGMIKPYLQETISRERREIAAN RRQINSFRKETEQKRTEIAELGTKPAVFQAQRCPGCGGPLELPVVHFLCKHSFHQRCL KRGGDSAETECPLCASNNMTVRAMKQSQEERADRHDLFKDDLSKGKGDERFKTVARWY SQGVMSSGVTVPE PFICI_09300 MAPTAVDYEPESGYIQKLKADIKNVDKSVFPDGFKTTGQHPPKY DQIYPYSDFPKSIEGPTVWRPEDYSNNPERWVHQFSDEEITELSAAADRFMELKLPLT GITKDNFPLPNLAPSLASMRREMLDGKGFILYKGFPVDVWGNHKSAVAYMGLGAYIGY PVSQNGRGHILGHVKDLGEDSTQIDKVRIYRTNARQFFHADDCDVVGLLCIARAEFGG ESDVSSIHTVWNILQEERPDVAELLAKPVWYFDRKGEVSEGEEPYIRTSVFYLEPKDP ANPDREQRVYCKWDPYFVRSLKRFSDAGIIPPLSPEQVEATEILEKTCDRVKLHMVLE VGDIQFVANTHTLHARTAYRDFGPDSGKPRRHLMRLWLSVPEEEGGWRLPFWDSNEKK RGGIQVNDTPPVARLDAD PFICI_09301 MPEHAKSDDVVSAAITPQAQTVERGTDWETGTLQPSGLLNHVAT EGRFSLQSILSPQGSNVSDVLNENICSTFSPEDPVQLGHINLSIAESLFDNFIKVLNP YISQLDPVLHTFNYVRRRSSFLFTAILAAAAKSFNTALYQSLHDYAESLLANTFRLGR KSIEIAQAVLILTYWKEPEDTRAWIFLGYTIRMGMDLGWHRLAPYPSCDQLTLSEAQK RETRNVQRTWYILFVYDRSISLQTGKPWMIERSTFIEAIESWCRDPLATDNDRLLGAF VTLRLLSSEVFKLLGPKSSRVLSGPLHSIESLLAIIKIRIEEWEQRWIHCLLKSDMIY SETCHPFLIRFYGTHLRLQLFSLPLQEILASSDPDISTNLEVLWVSYSSALEMLQLIN RSTSFLYFAQDSIHVMTAYSAAFLIKLLLSTPESIACQIEHHVTAAINTAARIFSQQA SPPGSSCTLQAKFLEKIMSDFEARRQEQRLQPSQSRPLGDDPHTRINVTLLDESGTSR PTDALGNGVTSIDDTLQAERNGSQSVRQEFSFAEDDIWADMFASAGFNIQEGVFFA PFICI_09302 MKSTAIISLVALSTVGVSAVQPWLNTSLPYEERLLAFIAQLNDT QKYAMVQGDTELDDNGTGVNACIGHISGNDTLGIPSICMGDGPVGVGNSMNNVTTFPA PVLAASTWNTTVQYLFGQALAQEHMGKGRNVVLAPTINILRSPLWARAAETLSEDPWL TARMAVAGVEGIQSQGALACPKHFAAYNQDTNRFGIDPEWVTVDAEVDERVLHELYFP AFKASVQEADAGSVMCSYNRLNGYFTCENDWLLNTTLRQDWGFEGFVVADWYFSTRST VGAVMAGLDISMPGGDLTNEYGFPAYYGDLLIEAVNNGSIPYSRLDDMVKRVWRYMFK LGMVDNPVTGDALSYVRTQAHLDLAQQMVEDGTVLLKNEDSTLPLSPEKYTKIAVFGV DATSQSQVSENHGGFVIDSTMVVQAPFDALERRGAAENISVKYAEAYPGTGQFATIPS SMFKNGGVNVTYYTTTDFSGPVNQTDFVPNITIATFPTELWQAWPQVFSADYEAVFLP NTTGTYHFSLYGQGTALLYLDDALVANMSFANFGNYVQGVAYLEAGAEVKLTLRYDMG YSLSTGGYGVTLGVNVGNQTRDAEADALAAWADVSIIFASDRISEGADSGLGLSLPGD QDAVITRLAGQSKSTIVVLNTNSAVLMPWLDNVDAVLEAWYSGQQVGLALERLMFGDV SPSGKLPVTFPKALEDAIQINTNIEVPFSEGLYVGYKAYDKSGVEPLFPFGHGLTYSN FSLHCMTVSANDSVVTVRTTLKNEGSVDARQVVQLYVGYPEAADEPPKLLRAFQKVEV VAGGSTLVELIVQKEDLMVWSEAAQGWVFVSGDYECMLGFSAGDIQTTQVISL PFICI_09303 MLSTTLLQTVLVLAGVSSVTASPLDLSLEHYEVLAMRASTTVDP NAVTNVECTDANVNIVFHDQNVAELGICGGIAGSITKCGGAPESTTGESGTALFTLNA ATSGATINISKGRWEGCIRAARAVCPTGSISGTCVGGASTGNVDFTLDNP PFICI_09304 MASRTFLSAFRPAAALASRQTIASRSIASSAVLRHKEDSLHDPE STHEALNKSKNGKEWKPELASNSEEAVRADRENTDDIKTLQEKTKKQAEQKK PFICI_09305 MSSANQLLLLADHIKLSLLERQRAQSLNLDAEANDGHIARSLEQ FKDGLEALEQKQAQLEAEGDEDGALTIGDHLPDLQKQYKDLQSQFHGFSSPATDSTLT HPNDPALAADFAHATTSPKDMAASGSSLRPQGVQRGASSKTVRFSDNPSSSDGRDPDV EAQLFGSRYTDNPNGDAAGYRDEIDSQNMDNQQIHAYHQQIMDQQDAQLDALGVSISR QRELSMQIGDELDEQVAMLDEVDVVVDRHQGRMDRARRRVDKISRTASDNKQMVAIIV LIIILILLIALLK PFICI_09306 MPLPIGVYRADQVGSLLRPRDILATREKVASGELSQDDLKKLED KHIADVVRKQIDAGLRAVTDGEFRREWFHIDYLVNLGGVEKRGALQSTNVSKGGTMPP RLVVVDKISHPKPIQVDDFNYLESQIAAAGASGKVTTKVAIPSPTMIHFRNSRETISE EAYPTLEPFFDDLARVYQEELADLYAAGVRFVQLDDTNLAYLCDPGMRAEAEKRHGDA NVLARQYAALINKAIEKRPADMTIGIHLCRGNHRSQWFAQGGYEPVAEVLFKELNVDV YFLEYDDARSGDFSPLRHLPENKIVVLGVMTSKKAALDDKADIIGRLKEAAQFCPRGL DQLCLSHQCGFSSTMEGNELSEEEQWAKVRLEVEIAKEVWGDDLSQ PFICI_09307 MAEANRSIESILAALAAQRPPTTSTPNPAQPQPPYQQTPTPGAY PPTMPPGVPPPVAYGAGAYSIPQPSSSGNFDLSAIKPVNSGTVSIADAIAQAKAFATE KGISSYDNSRAAPSYQAHDSRAGDGRHYRSRSRSPHGRDTYRDNFNPYRDERRDDSRG NYGRNRSVSPGANRGRSYGRDSPRGNSGRGGDDDTETIQIESSLVGLIIGRQGENLRR VESSTGCRVQFITGPDNQGPFRQCRITGPRARRAEAKDAINRIIEDSGMGAVARADNP HGGNRNAPGASAPAPLREGEDVMQIMVPDRTVGLIIGRGGETIRDLQERSGCHVNITS ESKSVNGLRPVNLIGTREAAAQAKELIMEIVDSDSRQANGGGGAPAAAGGKMDRPQRN DHGRSNAPGGDDKINDAIFVPSEAVGMIIGKGGETIREMQNTTGCKINVSQSSGPGET EREIGLVGSRDAISRAKRAIEDKVEAVRQKNSGGGGRGGGRPQNRRDYDNPNYSQGGN SQPSHAAAPGQPPAAANGADPYAAYGGYQNYVALWYQALAAQGQTGGEMPKPAGQ PFICI_09308 MDSFRRKLANLPGSLKSQPVDSRAFEEKTPTSTTTTTSEVWRRN GTTPYLGLNARLSQVWFNKWTVLLLLVLLRVLLLIGSLNGNLGDAKVKALSACTKVED VGSAMASMPHYLSVGVNRLAAAGITDVVHGMMKGLDLILIAVRELILFVINMMTSTYT CLIAAAVHGGLNVTALVITKATDEINSALPAVADTIGDAANDVNDAIDTVYSWIDTIT SVFSNGEPDKPSIDLTDELNTIKNYKIDTTGFVSDLDQLNEDLPTFKDVQNLTAEVVD YPFKLIRDALNETYGNWKFDENVFPVAQKEALSFCSDNSSINDFFEGLFELVATAKKW FIAILVILAVAVCVLMAFLEIRSWRRLKNYRNTFVERKFDETDMMMMSGRPFPSKIAL KVSDWLNRNKDHKRLASQEAAKQKRETLIRWAVAYGTTLPALFVLSLAIAGLFSCLCQ YIMLRAVEKEIPALANEVGDFANEVVSSLQHVSQEWSNDVNGQISIYSNEINNDILGH VRNATDIVNNTLNTFTDAMDDALTTVFKGTILMDPIQEVIYCLIGLKVEAVQKGLTWI HDHANISFPTLPDDVFSLGANESVNGDSELTSFLSTPSSATTDEVSGAVTSVTDAIRS NIIQEALISLGLLLVYVLVVLIGITRMLVGMTGLGYDSKGGPAEVTSPSLTTNRPPGA FRSASEASADRRKFDYGEKHNSSNLNDNPFGSEKQIEEGEKSRRETVPPSYYYDKASE WCHQRWAVVGKQAETVKQKAEAIKQKAEAAVKQKAEAAKRNGSPKHESIELEDNPFGI GSYRTSERHGVLDELDDMDNPFGSGAFRTPATPPPAPAPKDSVTPRHYAKPRALSSGS IDIADQVNERQFV PFICI_09309 MAESKEADAPPPGSESSRPESEPTPTLEQARRFLNDETVRSASK EKKSEFLRGKGFSDDDIQKLLSEEASREGSTSDDRAQDATDTKDIPNVPQSTSTPAQA ASAPLSEPAPIITYPEFLTHSPKPPPLLTPSRLLTAATVLTTAWTLAYGAARFIVAPM VDTLAESRSEYYEHVNTKLSTLVEKLEGVVSEVPYSKGKLSKSDADGDDNSSYGDPSE MFHRDVGTQTSPFTTELPIHQDKGCAVDQQARQLTQLTTCLKELSTMYTTQAENSSNL HLAMQGIREDVDKLAYPATEYSSLYGGSTFGLGRSDPDDEIKKTKDAIRSVKGMFLSS RMFPSTGAAPVR PFICI_09310 MASRPRRAAAQKANTAITDMVDSDNIISSKTGKIMSSRAQSRRS EGKIAATTTRRGQPSPLGSNQHMHVTVKLPASKLRHATSSRNGHSGGRESFEGGEILE GKRNRSQKKSYVVESSEDDAEGEDDDDAEAEDDTMDLGDDDAEGEIVDDSMDLDVDAE GEEDDDLSDEDAEGEEDDMTMDVTPAAPAIKVSKPSASRAAASKARAAAKPALKQDVA DDNSELSELDSDDEEIQDTVNVGGADDEEDEDAEGEDDDDIEAAGAAAQDDDEGEELL SDDDGSRAASPDFAKMTKRQRARFEDDDGSLLKLSDEVQAKKHFTAEELSMRRAEMAR RRRNLSEKRNEEVKRETIDKLLKKQAPKTNRKAQLAAEEAAQLEEKPNPVFVRWVSNK DGNRVAVPDEIIAGPAGKVFIPGGLRSGKMVEEVN PFICI_09311 MPTDAAAAAASAASGNIRVFVHWDENTVFAGEDIKCRVTFKNVA PPNNHAERQRQPSPLALVGTPRKSAFSSSNSNGLTPPVSARGTRGHRATLSLNVPNGH SRSKSGSTPSWLPQQPPPTTARSGHSHKRSVSIVSIGSATSSATIEDPSLGNGNRMLP PQRPGRGHGRSASLQISPRGSGALNGPQSASLPQRSNTAYSQASPVFNNHSFPPSRYG QGRMSGVTTAPNTPAPGLSPRKGSLQMPDWKFPTVRSPLSESQGTSPNGQEEDYITTP VSVSGGGLNNLPVRSKDASIPSVVEHPAPAARILSTTSLAEGTPRSSGDFYSMSNNSS ETLASEYVTQPLRNGVKPLHLRRSSGIPSAAMAKLPETLMMGYAQVQGSFTLDGSLIN LGPFEAVKRKAVLGGQGGGVIGLEPSKRDSSGLLKSFGWGSWGNSIGELLGGNELSSI KEMRGTASSKSIPLLSTPQSILFVDLQLAPGESKSFEYTFKLPKGLPPTHKGKAMKIT YSLVIGTQRPGGTREQQVKSVDIPFRVLGSVNSHGEILGHDLMSPYIILRDLAKVQQL EKHASSLVKKKHHHHSVKPESTLQGFLGYVEELLDRPRNGGGLLSPTAQGPSSRRPSA FEDAATARDAIDLAILRSNLSSYDSGQSTNRFEIARNGQRVGVVMLARPAYRLGEQVS MAIDFTEAEIPCYAVHAALETAERVEPAFALRSEASVHRVTRKVYGSSSEATLFSRRV VFSPTIPINATPEFMTSGLSLEWKIRVEFVVPSSSIETEEAERRREGPHALLEEISQD DRGGLVMVAAENLECESFEVAVPIRVYGAVCNGLERLERDEGEEGLVV PFICI_09312 MPSTPSNRLRQTPSNSPYLQLPATPRTPSRGRYLPEPRLSLRRV IGTTCASPTGLDTCQSSFAYIAGGAVVVVDVEGETYSQRFYRARPTAIPLYGTTNQGL TQTTPTGTPKANDSRNRIPIPPRESSYGALDRADAPKTWTSRERIKAATCLALSRDGK YLAVGETGYNPRVLIFGLQDSSSDRPLVSINEHVQGVTAVAWSPNTRFLASLGSANDG FLYLWRIDPRTGAAKLYQQNRCVSFIRGMIWMGNNLITLGVRHVKVWQVEDPQSNSPT KSRFGNEVNSPSQPQKALPGRNALLGDLIEATFTCAVTVSDTQAIICSEAGDICLLEE NSKQIKLTRILKIGFATTCVAVRHEAIYVSGSSGDFAILNLDQFLQGDLDCITSTSKS DASLLALDFLTDNMVTVDSDQSINIWDASYMPGSGSETSTRAQIAGNGDTTQGVGAIP RSSRSEATFYTWSGSGSIQLWDTQGNIKESFDVALENATFQNDGEPVNQMTACTTTPA ADYFITGDKLGVLKVTDAETKQCVFETKAHSSHCQQLAAFQDESNFMIASSGRDRTAQ LFHRTSSGRFELFQTLEFPSKVTQVLIPSRDKIITSSLDRSLQVYEIVRKESDGDDLA AFPVRSFPLKTSPTSVVVSPDGKSIIVSLTDRSAYIYDLETGKLVKSFKCTDEVGVES VVLDSLICRPEKEREPPFLLGLSNTDKSVRLYNATTGAFLDREWGHTEGITGVTLVEE KDGSEKVVSVGSDGTIMLWGLGSEEQVTGSASRDPSPAGDVSTAGRAPLRRVRSKADL REFQRPSSVASGRRSSPPQTLTKKRSLYGLTGSAPLRSPTSTFQSSPSTVRGSMHARK TSSDSQVSSPPISPKVRSIRRPSMPAMDSKKTKSSSSLRGAMSLTLATENVCRALREF RKKLESEARVSESALAELDHELRLTSLALGDQAKQSRELSNSVIENILDQYSNKLALM LDEKLQLNSQSSGRQDTPSPDDEHRPNSSGAESLSTATTDSNYSS PFICI_09313 MACNCRTSSLRIFVQSLTRTQLSGTGERSAGFLNPRPLGTYTTN GGAVKSFTAASAARYPRQHLRTLDRGTSAVTGQEVPSHDTSYYASNTDAGATNASASD IEKARQSNAIFDLSPEAIDALAADASRTPNENALYGEVNGGSQQDPEAYTRRKPGLSG PSRLKRSKIMPAEKPRQHDTQAAIKQRELWQLQKDALKEKFPEGWNPRKKLSPDALDG IRALHTQYPQIYTTAALANQFQVSPEAMRRILKSKWQPNAQEEEKRQERWFNRGKNIW EQMAELGTKPPKRWREAGVTRDARFNKKKGPRVEYPYVPRWKEESAQKKLGDNLM PFICI_09314 MGLLSTDPDQFDIYGTKFKWGPFHQSPEQLNHYIHTYDTVAAEA LDALDEIVPPAAIAPRKDIQQAVEVEGKKHRDLFNLVKEHAKTDKRVGRLWDEVNTVP EWVDWKQIDRGQKVFWRYGGASITALTFLSLLGGMGSGRTVETLDRTGGFGAKAVRRR LLETTQHTLGVHKDLEAIKPGGIEGFESSVRVRLLHASVRQRVLKLAREKPEYYDLKN YGVPVNDLDCIGTIGTFSSTVIWLGLPRQGIFLREQEILDYLALWRYVAYLMGTPYDW LATPETAKAMMESLLVSEVKPTKKSGTLANNIITGFEGQAPTHASRGFMNAVTYWLNG KDLSRELNIEEPTLYYKTLVLGQCLFFMVSGYINRLIPALDERNLARKVLYDLLVHNK KRGALGYRTKFNFKWVPDLQSTTTPLGEPVPGQAQKSGIRQNGVERTAFATLVFTGVV LGLAVWGVWSGFHAVSSLARGGSPDSAFVNIAAPIWEFAKQVKA PFICI_09315 MSAFLNPEDFEIVWVVLPGSDPDIPYFLLDERYPGEFSSEVWSH QNCLDAGRMCGRDVLIARFFEGPPINFPYNLFSEMRNKFPNLLLGLIVGIQETVPDLT QIFSEDVRLGDLLVGLLNGETAERTAYRLSKEADPSYNDASYTPEKGQARETMNSNVQ TAISDIELEAPSDTHRFFDYYGALKIRGYHSKRFIDPGQNFDILYRTNGDGIEKRVKG RVPRTSRQRTRVWHGPVDPGKYYATQDPKFWGIFKENKDMGTRLPVYVIRGICNYGDG HDTSKWRSYAAAMAGAYAKAVLDKIRPRELNIANTASGFERDDTERADNLRSRAVQQQ TSQIDSTSTSSHSTTGRVEKEEVPQNLSPEIARWPMLFPIFHRSMLMAVVLVFGLLGG AMITLAVIQTRDPVCNPTRDTLVTDDGFWTMLSQLCFLVLTIYCTLYPVVLRSKRREA FINKFWFMGLLLISFMAAGAAVITYPWNWKAATILWCASSFVQVLSTAQLANSLEPMT DNEYLPRRGTFEMEELRSR PFICI_09316 MGVQVPDEALGTVVGVLIFSLLCLTCSILMIWLVWVHHERDSFV AMIGYFTFLSTITSIIQQLHTMILWDDVKTVQWEHARANIGSVEVAVAGPSVGLDLVL FYIQYFSYNVEALLTLFWAGALAQSIYGFADIAAFKRVRRRANGIAKLFAVVMPATLI SLLQLPSVRSSFTGFLVVANINMILSLTLGALLLLAILIKYIHTRRQFLSWNVQYGNS TKSSQGRRRAASNRQSVISERPRSIYDQWLMTRFAITFIMLSIFELFLIMFQVSSART TIPADAPDLSADKAKSDFVLFMPGCLPGVLLFVVFGTTTPFRDHMRKTFLPKRFQNKM TTPTDAGADQVALATYSSQNGKSLRPSIMTDDGPLTPTDATTIIQLREVELHRNSTRK DDDDEWPILATTTRVNNNVV PFICI_09317 MRSCTRLPKLPGVRFFSEGVSQPSNKVQVYISKSRDPWLNLSIE HFLLQKSAPESTVLFLYTNRPCVVIGRNQNPWLEVNLGLVNSPGSFKRELEQWTDKTS PGESSDVLLVRRRSGGGTVFHDEGNVNYSVICPPEVFDRDRHAEMVVRALRSLGVETA RVNERHDIVLDVDGSSGSETYKISGSAYKLTRLRSLHHGTCLLSSPNLPNIGQYLRSP AAPYIMARGVESVRSKIRNVELETSDFEEAVVAEFGSMYGNADAEFIDENEAFQNQII QKGFKEIIDDKWTYEQTPRFLFSTHSNAEDERIKPALPDEIPKPFEVLIDARHGEILS GGITDMSPTNALNGAHIHTIQDWRQYTEGAGGEWLNRMFGIKS PFICI_09318 MSTFGNHFRVTTYGESHCRSVGCIIDGCPPGMELTEADIQPQMT RRRPGQSAITTPRNEKDRVQIQSGTEFGVTLGTPIGLMVMNEDQRPKDYGNKTMDLYP RPSHADWTYLEKYGVKASSGGGRSSARETIGRVAAGAVAEKYLKIAHGIEITAFTTSV GPVHMFPPTAEAPTPSQNPEFLKLIETIDRETVDKFLPVRCPNEDATRRMEECVADFR DKEDSIGGTVTCVIRNVPSGLGEPCFDKLEASLAHAMLSIPATKGFEFGSGFGGCEIP GSLHNDPFIRAPESAVKAGLPRSKLATKTNNSGGVQGGITNGAPIYFRVAFKPAATIG QSQTTATYDGEGEGILAAKGRHDPCVVPRAIPIVEAMSALVIMDTLMAQMARIGARSH LPPLSSTVPPSSNGVSSGL PFICI_09319 MASFPVQSMDTMSSLVRAMVDMEPPITRTHLPKSLTVLDLCANV EVLAAHTNTSHVLETCQEAQEYIMSNDFKAAEPITGLTLAKEEETAKDVVATTFPFND LPAELRSKIIEMSVDNHYVSAGRRVQHVSENGANVLASMPASAAVSKQWRAETIRHLV VAQVQSAIPGGNSNAFGAVTTVVYPSGDVVFLTDRAPDTYPDNTKTILRAAKTVMVYE EALVPRDPEDSGLLAEVMLAGTTFEQLQTLNVIIQPIHNKPIVEISLNVQAFEAFVNG PLRNYIRMPLKTFRQTRVDLLSRTRQPYRRVGMEFFLPIGDRQLWTLFINTLSPLRSA WPIPMLPHEFANNIQFEHDNAEMVWQQDKSVMIREHLRVTREIFWDCLNVMSQQQGFQ MQTRAAFIRDMRHRTPAMQGMVRLFLCMKDWESENANASNMSCTVAEYNTMMRATGQP EI PFICI_09320 MSSGTYTSQLSKWLLTSPPIEWALKNARELLIGTLRQGPIPQHV AFEMDGNRRFAQNHHIEAIEGHNLGFEALARVLEICYKCGVKVVTVYAFSIENFNRPK SEVGGLMKMAKIKLEQLCQHGALLDRYGACIRVLGERDLIPADVLPFVDRAVEMTKHN KNAVLNICFPYTSRAEMTAAVKATVEEFSAPPNPPQTPFSEARITQAIKSNQLKHSDS QPSREGSPDLNGKSDVDDSVSTDTTLNPDSPHHETQDSTHKPAEFKDKETITAETLSS HMYTGDCPPLDIFVRTSGVMRLSDFMLWQCHEDTHIFFLKCFWPEFDLWHFVPVLLEW QWRQKQMGREGRLGRRVKTE PFICI_09321 MSSRRQPARQARSNPPRSALLAGSIAGRDAHGHDQPIDIFPAIT HFTDAITSLPKDLVRHFTLLKEVDAKIFQPEERLFQLVCDAIKSEPPAQRPTTDGSGS SAPTPAPMSAQNSVNGSLPTAPSNDASYNVAVYSRDNVPRRQLFRETAFKIQEMLVSL EEKNHVISTANEALSKQLARIDNVWPHLEGEFSDEAKWGSNTHWAYPENRIKASATER SRRDGASAITAAAQQLAEEAAARTEARKQAVAAKKKQHQDSDADADQEKKNEPAKKSG TKSSRKAAEAAAPVGLGITTETNGNPPPKKRKVENKDNKEKLASNGGQPMERALSGVF GSSSGNTNSNSAASKAKTSSPRATPAPEASASKKRKALPTVNGGAQPKKNKNALPAAL SPSVTTSPILPNFDPRTIGRGSPVPPVPAARPTTSRARNNSTASNLAQRPSSSASNKP NGVILPVPEVMPAPSGRVVPEVKPTTKETPVPVKIESTPKEDVPQPTVPSASVQSRSR KNSVVKEDTEPKNDMAQVTPQMATPLVTTKSGRASKPSTPALAAFPETARTARSSRNT QAGGAKRSHKKGASLQAALAAAAQAADDDANSSGQGDDEGDIDANEPRYCYCNNVSYG EMVACDAVDCEKEWFHLGCVGLRSAPSSSTKWYCDNCKNRMKNGGKKVNAR PFICI_09322 MVTTPTFSQLGLGPPEQSLGVPAELKTCPMPQAINKMSDTQQEQ EEREISPETSGRSSFSSVRENDSDLAQTFTSTKVSSYSREPEEDAVEDLPSPFDMATV TETQFRPPLTRPDSRLLGSWLPAVAADGFQGWKQIDVRGKPASRSYGDLQALRIVWSS PDAPTKAKQPVFGQSAIETLPLELLGTIIDHLILDVPPNGLTARNVDLMSLLVASKTL HTATLNALYRNITIPHSRIFRKFLNHISSNQELGTIVRRLDFSHFNPQTLFLTATERA STQNLTPETLLRCLELTPYLREFLAQEYIDDEIDVSVLKKVFFGLERLQAADFTGCSS ASFRDHMISLVSDELPVSISMSRLSLHKCINLPAEVFSTLLPKLAHLTHLDVAGTRIT DEALLSIPPTARLSHLNLAKCKQLTAGAVIDFIAHHPAAKELVFLSLGADARSHQLLD CDDITALIPILPSTLRSLSLKGSKMEEKHIDMLRPLSKHLEELALGRRLKLSDVERLF RPDENDDDLSMEIDWVPHTLKYIDVSDYLGTELDLNSLLNRKTSILEKYSAPLEVVEI GDDVYKRLSKSPSVEKSGWTVTEFGNRAWMVRKHQLEDGPRDSGMRSWKWGASFWGMR KIPVAKQEVGGMYGSYMFKRKL PFICI_09323 MTLRCYGQACNNLNITYDNLHLVKTRSFGAISPIMAPNQTSMFR SADMSMVQLYISNEIGREVVNALGELGLVQFRDLNGDVSAFQRTFTQEIRRLDNVERQ LRYFHSQMEKSNIPLRKLDLDVESLASPTTHEIDELAERSQSLETRVASLNESYETLK KREVELTEWRWVLKEAGGFFDRAHGNVEEIRHSTEDDDAPLLQDVEQNGQAADADRSF SGMNIGFVAGVIPRDRVGPFERILWRTLRGNLYMNQSEIPEPLVDPTTNETVNKNVFV IFAHGKEILAKIRKISESMGAELYKVDEDGNERRDQVHEVNVRLNDLQNVLRNTQNTL EAELTQIAQSLSAWMILVTKEKAAYQTLNLFSFDKQRRTLIAEGWCPTNDLPLIRSTL QDVTNRAGLSVPSIINEIRTNKTPPTYLKTNKFTEAFQTIINAYGTATYQEVNPALPA IVTFPFLFAVMFGDFGHAVIMFLASLAMIYWEKPLKKVTFELFAMVYYGRYIALVMSI FSLYTGLIYNDVFSKSLTLFDSAWKWNVPDDWKEGQTLDAQLVSDYRYPFGIDWRWHG AENDLLFSNSYKMKMSIILGWFHMTYSLCLSYFNARHFKKPIDIWGNFVPGMIFFQSI FGYLVICIIYKWSIDWSKADSSPPGLLNMLIYMFLRPGFVDEQLYPGQGTVQVILLLL AFVQVPILLFLKPFYLRWEHNQARAKGYRGIGEHSRVSALDEDEDGDGHVGNGRASFD TDDGQGGATGQGDDDEEGHHEFEFSEIMIHQVIHTIEFCLNCISHTASYLRLWALSLA HQQLSVVLWSMTIGGVLSKTGALGVIMIVIAFYLWFFLTCAILIAMEGCSAMLHSLRL QWVEAQSKYAEFAGHMFTPFSYGTLLEEDEGLAEFRG PFICI_09324 MAAEMTQEQEPGVQVITVTNDEGTGEGPPLVTQDGGTPESEARR LESAPCYIISGTTEAAVAQIAASPESLQQQLGEEMTILGAASKRLVVVHGLPTGFTPL LSTELGIDPDLIRAVAGRKRYRPKLIPAGSCPASVVSYEYPLLLGSDGTRAVYEGNGD YDEIYGQTRDEMTPPLVCPMASSSSDNVAFCRVTAWQSDTNNVLFLDRPSWLGTSSSP DGDSLSEMDTLERQAWQCVSSEESMNNFTSTIETMVHEHWVEFMDALQPGMDKSSEGT MSLAWEIQRRLEANLHASLSSSSSSSGGSVPGLQAYSAVRPNWQALLDRGERHRRLAF DIASLKSRIERTVIWPPETIEKPRQPGGNATPWYPDEVKQESLQTEENQRSLDRVSYL GGVLLPVSIVSGILSMGDTFGPGGDLFYVFWAAGVPLTVLTLLVIYADSIRREVVYVQ TSHRGGDSSSDSSSHQVAGDGSGEEGWRWNRMGNEEQVTVSMPDLESAFPPTVPYTEQ IPISEPISAVPAASPYPRLDKANSVASEPVAMLEPRFGKKKPHDKATWERRELGWMGA AMCALRLSELKRQQRPPRAVGRSESRFTTTPRL PFICI_09325 MDESANAPLIPHREDTNDHHEDTENVPDAELLVHDGRIGAFLWL LVLSAGISGLLFGYDTGVISATLVSIGTSLSGRELTSLDKSVITSSASLLALLASPLS SILADAYGRKRVILLADVLFVLGAVTQAGSYTVSSMVLGRSIVGAGVGAASFVTPMYI AELAPAAHRGRLVTMNNLAVTFGQVVAYIIGWLFTEYGDPLTGWRWIVGLGAVPAALQ CVLLFFMPETPRWLVQAGKSSAARQVIQRTLGLESPKDAAVRAVLADIQTEVREEAQS RRRGGKESQSWLYGFRELVTVPKHRRALTIACLLQGVQQLCGFNSLMYFSATIFMLVG FSDPTLTSLSVAVTNFLFTVAALLVIDRLGRRRTLLYSIPFMVAGLALSGYGFTSIHL STTDNSAPGTSTTPEKTAAATILVSMIIYVASYAIGLGVVPWMQSELFPLSVRSLGSG IATATNWSANFVVGLTFLPLMDVLTPTWTFMLYALVCAIGWVAIWLIYPETSGLTLEE CTNLLEHDWGVRR PFICI_09326 MVQLDEVDRELIAAEEDAQWQNHQQQQGVRRPSNEVERVVTASS VSTSSSSGMGRQRTVGMSRVPTQRDLERHPTELSRIHTARSQHSETIGRSLRSRQSAK PLPSFGAGKPFPPPLPNQEEYVVEFDGPDDPYHAQNWPTSRKLLTAAMLGYTTMTSAF TSSIFSAATSHVAAEFDVSSEVGILGVSLYVLGFATGPTFWAPLSELKGRRLPIVISM FGFSVFTIACAVAKDLQTLLICRFFAGFFGACPLAVVAAVFSDMFNNATRGAAITIFS MAVFTGPLLAPFVGGFIVTSYLGWRWTMYITSIMGWVAFILDFLFLKETYPPVILIEK AAELRRRTKNWGIHAKQEEIEVDFKELLQKNFTRPMRLLFFEPIVTLLSIYMAFIYGL LYLFLTAYPFVFQGVHHFNAGQSGLAFFGMIIGQLIAGTVVLLDQPRYQRKLAANNGV PVPEWRLPVVIAGGVAFTGGIFWFGWSGYRADIHWIVPAASGLLTGFGLMAIFLQALN YLVDAYLMFAASAIAGNTFLRSLCGAGFPLFSTYMFNGLGINYASTVLGCVAGVLVPI PVIFYLYGGKIRSKSAFAPTNVNTPPAAEEEDTAGLESSENSTSDGANGEKTEAPDHA ALSNVTKLQQERSRGQV PFICI_09327 MSFTNAPVTRGLVYGLIGSSIAVSLLDLKHYFYILVDLHLWRFH QVWRTLIYQLCYTNSSEVLFGAITLYSLRTIEHLWGSRKFASFLVVTSLLTSILPTAI LALVLRPLTFGVFNYLPAGPTPILFALLAQYHAIVPHMYKYRIATSNTPSDRFQGFTL SDKTYKYLLAAQLAFFQWPGSILGAFIGWTVGYAWRLELLPRALTKWRIPGWMVGVRT QRRSQEFEGLRRRLEGEGSTGTASGIENGAEGEGGRRRNIGEQLVDRFGGAI PFICI_09328 MNGIAARGPNGYDARPQPPRPLGALNGPVVIDGYRQHDSRNAER MQSSAHVDLRDPVQMHLLTETALYDSREYEILSQEEVDDLKKEVRFLSEMIEQTRSKL IVQAKFRDAAVSMARLYTPASKRKSLLGNRTSVSSSASEVEAERDAILKRCDSLTSEL HNLETRIIEPQRRLLEHTAGILQLTHKNPGKNRGAGTPRMRAPLVNGIPASPESMYTT SNGRNSMDADDIPSFDEDSWTRSFDDVLDFPGMNPKKNTIEIPLKSPVRVQHKQLTEE SERLREENLSLQQEAEELRKQTASLSAELETVKREGTDQWRLISDTEQKLEMFNEQMR EMILKADPVKNADYSSPPSGQMEPGNLLGSHLEYLQKALAAMNDSSGNNREALETLFD LNGQVQHLLTSNDVEYSSPPSAEAGFEEQVSYMYGAFSALDTTLRVANERSLAGAADR QRGEQNDAVLMGVWEIIQSGFADIEQQRQDRKQTRMDKGLEPEDDDMSDTEAFDLNEE YSLAAFSTKVQWLYAQATNLKEQKTVLKRQIKQQRELNNKSDSEKDEVLRLKDGELEQ TRAALARVEKEASDVRQKLSDAVNKYETAQNHIAQSSTEQSSAISEAQSQLKERNAQL SNLQANTQELQMRLSAAEANITTITNQLRLANDAKYAVDLQLEEKEKEMKAQQEELDE MTGMVAEYKIEATLAKAELDGAYGSRRERAADAAALYNNAESAKLQLRVERLQPRIDE LESELKGTVKDLKDITKQAIDAETKIADLETELDRVNQRARKDKEQLQESLDQERLKA TAMPLSPSGRPNASILTDSYRDALRAERKKYEQQLREEQMNRRKIEEELRQLRKAMGP GKSPLSPGLR PFICI_09329 MAILLRQERVKYLALAGLLGILVFYLSLYHNLDGGSPLVAQNPD LSKGGLGGISNDGATVAQPPEQSQAPPPPKPWHRPDGHPISKLIDEAQMQFNERLGKR STTLEQAAYRYRERRGRHPPPGFDKWFEAAVKSDAVIVEDFFDRIHDDINPFWAQDPL EMRRQAHLQPQVIRVRNGNTTFVTDNPHRPEYIQLWHALLAEMQEFLPDLDMVVNVMD ETRVLVPWEDMAEHIAKEQKSRDLFSPDLALSEYTDYRQLDEATGEKPYDVNWIKGKQ NKYWDFLVEACPPDSPARKFASLASFDSPIENVYPTEPLPYTYQGFVQNWTMAQDPCQ QPHLRGMHGTFVESLSMSTSTKLFPMFGGSKLPQNNELLVPGAMYLTKRVFYHGGDDH GGPWETKRNGLIWRGVASGGRNHADTWWHFQRHRWVQMMNGTTVGLVENGDAAAGPTF TLDGANKYNVKARYNGGIGPWLNTFSDAGFVDLECFPQAQDAEGKRLPSCPHTDPYFP IQESVDMKIMYDYKFLPDVDGNSFSGRWRGFLMSTSMPLKSTIYTEWHDDRLAPWVHF APFDNSYMDIYAVMEYFLDGHDDAARRIAEEGKEWADRVLRREDMRLYVWRLLLEYAR VVDPKRDRLGYVADLTTAKSL PFICI_09330 MASNLNDGADVGFDFDFELDFNNVSDLGNVELDFALNNPSPGAD GPSPAAQPSTEDINRPYHSKRPHKKSRAGCKQCKQRKVKCDEGRPRCRSCTLRKETCV YPTPAATSTALVRSGKSPSSAASSRSPREHSPQQMTSSSNSPLTVVTEPMFIPAGTSD AVDMKMLWFYTAETCHSFNIEGGRQGATDTVLRVTIPQLAFESPFLMQTLMGLSALHF RILKQPVPPEKAAKYRARAFEGYRTSIELARPQDYPALLAGSLLICALASEMFREPET KPLYIIDWLVVWRGIGLIVDLISPSTIAESGLAALFFRPPINLNKSQRWIPNNLLYMV EAIQPGDDDYEHKQIYYEVLRYLGSLYQELETGFSPLMDLRVITFFTFLPRTFIPLAQ QHRPRALIIIAHYCAFTKQNLGPWWMKDIGDRQIREICEEVGEVWEPLLRVPLKVTTL TDRVEVAKAILQNDTWTAPDRDLYSFPTRDPRVKSLRLINNLGQEMKVADGEWEAMGP PLLLDKMTLDDKRTAPSDNAVVGQILDMAGQPIDTPSPASATPSSSTTSGSSATETAH SPDVSIYQDSLGKSGVSRI PFICI_09331 MGSLFQLPDELIATLFTTFPSREPQIRSLATLVYPRAAPCRNLI IHGTEATGKSAITSSLLAVLSEDDNTGLDYAIVKSPECVTARHLFERTVDAVADALKW QAPVGRCETLAALTVELSKMLKYVERGKSWRFVLVFDAIDRQREAPPTLLPALARLSE IIPNLTTIFILTAPLPSTLRTSGTPHLHFPPYTKPQYAAILSTSPPPALPNTTQQETT DLYAKFVAAVHDSLTRAASRTLPSLKQATATLWPRFTAPVAAGTHKAKEFSKLIILAR VWLQDESVLAPGIVPSAVRPVGVSKSATPSGTPQKPASTTATPTKANAGAIKTGTSTT DLAVLLPRTARLLLVAAYLASHNAPRHDQTLFSTWHHGRRKRGGGHVKSGQRSKHRKI ARKLLGPGVFVLERMVAIYVALRREQLGDGGKGGSALGVDADVGMAIATLTSFRLLVR VGAGAGAGDMDRGGRWRVGVGWEVVRGVGRGLGLEVEEWLIE PFICI_09332 MAAPLAEASANSLDPNASTGAPQEVPKDGTGVVQLDPWLAPFQD TLKRRYAKAQDWIKTINETEGGVEKFSRGAEKFGLIVGKDNEITYREWAPGATEAYLI GDFNGWDRGSHPMKRDDFGVWEIVLPAVNGEPAIPHKSKLKAGCTLKKYTAASKLTTW QISMTKPSGERIDRLPAWIKYVTQDLSVSPAYDARFWNPPAAERYTFKHARPKKLLSA RIYEAHVGISSPETRVTTFKEFTASMLPRIKNLGYNVIQLMAVMEHAYYASFGYQINN FFAASSRYGTPEDLKELIDTAHSLGLVVLLDVVHSHASKNVLDGLNEFDGTDHLYFHE GARGRHELWDSRLFNYGHHEVLRFLLSNLRFWMDEYNFDGFRFDGVTSMLYTHHGIGT GFSGGYHEYFGAGVDEEAVVYLMIANEMLHDLYPETITIAEDVSGMPALCLPLSLGGV GFDYRLAMAVPDMWIKILKEKTDEEWDMANICWTLTNRRHGEKTIAYAESHDQALVGD KTLMMHLCDAEMYTNMSTLSPLTPVIDRGMALHKMIRLLTHGLGGEGYLNFEGNEFGH PEWLDFPREGNNNSFWYARRQLNLTEDHLLRYKDLNSFDRDMNLTEERYGWLHAPQAY ISLKNESDKVIIFERAGVVFIFNFHPSNSYTDYRIGVDQPGTYKVVLNTDSKEYGGHG RIDENTRFFTTAMEWNGRQNWTHVYIPSRSAIILALESA PFICI_09333 MLSMIKRVRAAPVSMPLSSLRFRGFATSLPLRTAPRRSRDLSSS FSNTYDPDEEGRGPMFNKNTFGVPKFYPRDLKKRVDEYVVGQDRAKKTISSVIFNHYQ NIRRRHHQEEQERKREEKLLRRHEARDRFAREREGVHPVEGAPYYHPVRRSYAADEVY EDEFPGHNESVNDRAHKVWQHREEEDDFYIPEDPSRPPPVKIDKSNLLLLGPTGVGKT FIVETLSKKISAPLTICDCNSFTQAGYIGQDVETCIERLLIEANYDIKACEHGIVILD EFDKIARRETMNGRDVGGEGVQQALLKLVEGSKVTVNVKDNRSSSSRSASPITTNYSG PGSTSSSSGPQTQPPQSGKVDQYTIDTSNILFVFCGAFVGLDKQILNRVSKSSMGFGS ELRSRADDSKGRANLPLDLFKHLPHRPANEEDRSTLTPLDLTIPEDLQGFGFIPELIG RIHNIVALSPLSQDDLFRILTEPRNSLVSQYTALFETYPSTLAFTQKALRAVAERAEK SRTGARGLKMEMERVLAEAMFDAPVPYVLVTEAAVRGTDKPCYWGKDGKYEMERRIKD EDGSLPHDNTGHGAREVVSGTTFEQYRQAGESGA PFICI_09334 MMRTSLLRATRAAAPSARLAMVQRAAASTHAISKPTLANIEKRW EDLPSTEQAELWMALRDRMKENWAELTVQEKKAAYWIAFGPHGPRAQAPADEGRKVAL YVAIGVGVSFAVFATMRMFAKPAPSTMTKEYQEASNEFVKAQRAEPLTGISSPGYEGK GMVQSPPKH PFICI_09335 MSSTKRSKSSDSDAYESSTSDRDEAERANKRRKTTRPAPKRSNG NPGSVQQLLSADGAPSVPIEPCALPQRQHILSYHRPLLLDRRHGRDALLKWFDGTSAT RGMPWRKPWIDPSSVPDPAALREQLERRAYEVWISEIMLQQTRVAVVIDYWNRWMEKW PTIHALAAASPDDVLAMWRGLGYYSRATRIHEAAKLVCGDSVMKGLLPSDVVELEKKV PGVGRYTAGAITAIVFGEASPMVDGNVLRVLSRQMGVLGDVKTDKATIDLLWSSADAL VKAVANDTEVDEEGDVSKDRPRTSNRPGRWGQALMELGSTTCTPKPNCAACPITASCR AYGEGLQSATKKEKLKLETPPAPTDIEDVCTYCKPFEEYAEMDGDEEGTSTKVPTKSK AGTNAKDGKKQSSLSSFFTSQNGSSKTKPKTATPSTEPDAATLEKISNHARKFPVKTI KKAVREEETLVCAIRRKSDGQYLIQKRPEKGLLAGLWEFPSLLLPEAKETTTKSRKET ATKFVHELVGNEVKHVGELGSIPWLFSHIKLTMHVHLFVLSDEKSADAELGKRSRWSD DVDSESMGTGMRKCWTMIQDNDT PFICI_09336 MSADPFSLSSYGPGLATQSNDNFGNTPEFWTEWYCNPSDDPTRM STAVSAPSPVGSSFSVGSNPPLDMARQSAIPRTAFIDPGCFSPVATESTAATTVDGDE KDGNDFGDMSGDRKRRKSSAGTRTTARDEGLHNTPRRKSMTEPARRERNRTAAAKCRA KSKVAEEELKETQRIERDRNLALRATVEELNNESLMLKHELLCHSDCNHPIINKYLSR AAEQISRGVALSASTPQDNLSLNI PFICI_09337 MIPGSRPMKHIDRKDLYTNLEARIQYLHTFLDFSSNDIEALIWG SKYIKQLIPAIVNIVYHKLLQYDITARAFETRSTSYEGPVDPNLHDNSPQILHRKMFL RAYLAKLCSDPSTLEFWEYLDKVGMMHVGRGREHPLHVEYVHIGACLSFIQDTITEAL LSHPRLRMDKKIALVKAIGKVIWVQNDLFAKWYVRDGEEFRDEMEQPEFEKEGYLNGK KILADIEDAPALNAKSAAGVCPFTGKGSGDADATGGTCGGCADKDDDCKTVVASQTSE AK PFICI_09338 MAFGSRRQHRNGSLPSTSAPAPPHHTSRAPAPAPPRLLLGGNDL FASSGSQQVQTESSSDDEVDIPRFMKPPGRSPGKPPTHPSHSRSMSHPFPTLFASKKS RKQPSPDETTDDDAARHGHSTLLPSMSSKKPHRGPADFTNGNCMTCSGKVRWPKDLNV FRCTICATINDLTPYSPKPEDGRPLPESVRPLSLEHTRKLVRQCIVQALKSASDASAA AQRLSPGMRPGTQSSRPLEGQFSKMNISGQRGRSGSYGGSPPPKAYKPVFDEFTDDHH EPNSMLRPNPAARSYSASHSDARNPNLAADGRAHTQGHRFPDPKRIFKPVEDYLIVSF GSHTAINTSFVPMRRSFSSRPENSKPEALKSEVSKPQMSIKRKPVPRHDKPAVQEESS LTELDPKMLLLGGLAENAQWWTGGQQDSTPRSRSQRKDDGSTFVSPRSPRIDWGEVME WYSIITNAARPWKDLYNDCVNTGKIKEMSTQEAQRFEACLLEAQAHLQRVLLKCTELL LKRPGRLLEEPQDVRFLLLIIVNPLLIADHKSYKGEHQQAHREKGRDAAPEDQFAMGR HSGIIKRIFGLISNCPDQSHHQLVSWFSRLPEHLFLQIKDLISNFVNYRLRRQTDKKV EPQVDLTGGLVPEMRSNAQSAATVASLHAALAAPASSSKKQKQAPEAPNITYSDDWQL KAGAKVMALVFAANNLTHVRRNEVSVRHAHGHLLATSDFYNTMLDCLDFKTDFEMWES RKGKFAFCQYPFFLSISAKIQILEFDAKRQMHGKARDAFFDSLLTHKNNTQYLFMSVR RECLVEDSLAKVSEVVGSGSEDIKKGLRIEFRGEEGVDAGGLRKEWFQLLVKDVFNPD HGLFVFDEDSQYCYFNPHTFETTDQYFLVGVVLGLAIYNSAILDVAFPPFAFRKLLSA APASASSGSIIQRSTMTYTLDDLAEFRPRLASGLRQLLEFDGDVENTFCLDFAVEVDK YGSRSRVPLCLGGDTKPVTNANRREYVDLYVKYLLDESVKRQFEPFKRGFFTVCAGNA LSLFRPEEIELLIRGSDEPLDIASLRAVCKYSDWDNSKDGKEPTQEPVIDWFWESFAA ATPQDQRRLLSFITGSDRIPAMGAATLIIKISCLGEDTERYPTARTCFNMLNLFKYNS KEKLETLLWRAVHESEGFGLR PFICI_09339 MSAAENFPRPKYVEGGCLCGSLRYRVEFSNDHDFSKSSGTCQCT MDRKATGSLWFQYHQVRANSAFRFTSPTASLKHYISSAGTQRGFCGECGSFLYVRPAA SEDYKRVTIAVGSVDALYLFGEDADGDEVPVGGFGRALANGWGDHEWCHNEIPGVTDK ISILGYERGKRWATDPA PFICI_09340 MLDDCLKDSQVIILPCEPAGSHISRERHADLARAIILGLRRLRQ QKSDAVDAAAAAGETTAALSYQPPSVIQLRSPVQQPASSSEPPPEACWLPRMIHRIAT FRRSHGGGCGIGSSGRRSRRAEYLFTKAAAERSEKGEAALIRHTFVDLSPGVDCEPRG KRRHPLRSKFGRRKRKHEAVVEDDDFEATTLLGDEYPLAKKSFYSRLLQRKTRKTSAT DEPKNHRWSVLVDDHSYAHAAPTPPAYLEKTVVDSDSDSDSDSEEVYLKSQSRKWISC LFAGMCYIGMAIGTMPHAIPEQTQVY PFICI_09341 MAADTLDAQDFGHLRRCIALAAEALEAGDAPFGSVLVDQAGAVL KEERNEIATKNDATRHPEFELARWAAAHLTPAQRTTATVYTSGEHCPMCSAAHAWVGL GRIVYVASAAQLGEWQRSWGVPSSGVAYLPVQQVAPGIKVVGPVPKLEQQIKALHEQS AALSRQ PFICI_09342 MSLLPFSVSSQSAAVASAAYFFAAINVGFGINAILRPTNGLSFF EFAPPADPSTRAMVNSLMAIYGVRDIFMGAAMAAAAKFGSPAALGWILISSTGVAIAD GLVCYSHGKGQWNHWSHAPLSAAVGLVLLGCLD PFICI_09343 MGAFPIRGLDLNSWDDEEDLVAMKPRLPPDSFSKWVNGVLFPFI HRFCGEKLKVSIILMMTQAMALRITQDPEAPELGDGIYTYRESLATTLVKIVTTVVAS LFPLLSIVVLFVIESDSLKMGVIVAFSAFFALALALMTNARRIEIFAATSA PFICI_09344 MEGYAKVAHLMSKYDEFAVLRRFERLNIQSLLYSQAEIVHLEDS LARLVARDADDPEKEFHAKDWWSLAHGEGETGREQWRLIRKIRKKLDRYSERNHEWRV RRLLLIAMRRRSSPQTSRNIQLGKT PFICI_09345 MGNQQKEDFTAEEYAKFKQRKRDDKHRMDYIAHLNNEGRSDKED QMIEKWERENEQKKLNKNNKWMVLSPVNKTPQYPTEAQFDTGAVLNYITEDMVRSLGL KKELLAPPRKIDTAVGPGGECKYHVFANWKGLEGCGGRVEFFVLPETSPITKPLIGTK DQKFWDELLDERPDNPLYWTTLSKQKAPEKIESAALRTAALNERTQLQLRKEAHEEKR GYSSSKSKGSRSNEKKTTKN PFICI_09346 MDKHVTQDELDARARIQDALKKANDPSNPITDLTDFLLLAKRNH LAPAVYEKYERTIIDMWYQQRNARPPSPAASTARDSLDSKVSDAPGDSPKDLPSLDPE AQIRNAWIVHPISAEFKYAKYKQWDRPGNYIRADTLKNNDLRGGEEEFVVHQWDLDGP SGTSNNSDDNAAAHTAFRVVSKYEADRIKMDVDIVFGTDWRDNLEDQAFGVHVVRRAS RRLSDITEQGLPTSTLLAGSSLSQQQPSIARLEAPPQHVININGPIILAGPATGPADL AAILNVLSGTGYHPATHRAATGLPGNAALGPGVTEMDVPKIPEGSRNKRKMADDHEPR SRDRTERLQG PFICI_09347 MAALDLRVVAAASFIPAFPLLLAEGIVSHHAVPAVGLVPLAFSS GTSLFIFLRMRVHHKRRRHSHGSHSSSSSSESEDEEAACDTEHPIIYFVIDLILAAAL LVVLVFSWTKSDELSGKVAALAAYATIPLLINFLIHVYFSVRSLSAGLALYDLTQYFA LQIVPAECPHCEHRIRPTSLPRIPWFHQARRIKLIPGTKGVKVPEFEGSGWFSKKREW QAPKWLRKRQDSDDHGGMFARHDDDDEHERYRDEPEHAGPADRVVEPEEVQIAGKIKK KVREGLFHHGEEATAESGPSTVVAQEPEEVDAGDKFKQKVENFLHHGDEPVEAATPVV VPKTEEVETEETIKQKVGHLLHHEEEPVESAPTPAPVVPEVEDDETHETIKQKVDHLL HHEEEPAESAPAVATPKPEEPEAEAPETMKQKMDHLLHHDDDSDSSKSSSK PFICI_09348 MDSSATASGSDARESESIEKQHGTVADVPTRAEADEAQHEQAED WKISRHELYIILSLTIINMVVALDASVIVTALNSIISDIGANTTEGFWIGTSYLLAST VVMPPMANVSEIFGRAGCLMASLALFTIGSILCCVAGDINVLLGGRAVQGIGGGGIWV VSLLLLTDIVPLRHRPKWWSVISLGWAIGLVIGPLIGGAIGQNTTWRWVFYLNFPFCA FGLLVTPVLLTIRPKAETNQEKLARLDWVGGVLFTGSLTTFLFGLTAGGVQFPWNTAK ILAPLIIGLLGLMGTAIWEEFGAREPMLKRSLFHDWSSIITYFGGFVQGLVMYGQLYY VPFFALAVKQVSPIRAGVILLPVMLILVPSGAVAGAIVSRVNNYRYIIWIGWLLATLS SGLQLLWTATISDAVWFVTLIILGLGHGFVLNAQTFACQALAKQGDVAAAAATYGFAR QFGTAVGVAVGSTTFQNVMSLKLGWQGLPTDIASNAEGYVPTLHGLPDGDPTKSAVLD AYVFGFQGVWKVFLVISGIAFFSSFLIRPSNLDRENETEHTLARSRIVRSPK PFICI_09349 MDEFGWTAEDDVAMHEVGDSLDVGMEPGATVMWFGTHEGVRMDE LTEEYRRHLEHGSYWDRTPNLLRFRNLHTRYLDWLDQERSPLSAPIWFGKYRGHELRI LYTRPRRWRWLMDNCGKWRRALLDMIRRYELWRELHDPDWQYRRRRRRQTHGRMIVNK VGERLLPRDDGPASDDDDSYATDSSFIVRDSDMGTDQDGESSQDEDDPFEPSSDAESD VILDGDFDVQQQASMVVGTMESEGETTPRTAAKRDERRRPLTLFGDSDKDSDNEFPSV AAMFRTPQRPRAPRVRVADESHVHTPTQTQRIITISSSSESHAGSIVEDDDEDDKDEE LRPHFSQATNRLFDVEAKGGREGRIGTRVRTSPRKYISLEISSSDDDNTARNTPRTSV RSSRSIPRLPPPQEDSMSSSSDELVPSPTRRITFMDRSKISPIRRKHSDVTLTPRRQP VRNSPRRNAASPRKQSPRKQSPPKESISLITSEEEEEKPVQTPLKRRHSRISVSQTNT NRRDLSPYDSDDLPIYPKGFGRKTAAPANSMDSPASLDSDDKPLRPRSSPIKHTPTKV GDIICIDSDDEPVRPPPSRRKRSQAQEMHTESDDEPISPRKPNHGGYR PFICI_09350 MRFSRSVAASAVVAVASADNYFGFNSGALKDDDSAKFKADFVQE MTTAQNLVGAPVTFNAVRLYTNIQAYSTTDPIEAFEAAVETGTYLLLGIWTSGTTSIE NEMTALKAGLDQYGSELADLIIGMSIGSEDLYRNSATGVANSAGVGADPDVIVGFIND FKSAFADTLIANVPIGHVDTWDVWPNATNKPVLDAVDWIGVDEYPYYESGKGNDISNA GTLFDTAYDATLAAVGDKPVWVTETGWPYTGETWDEAVASVENAKYYWDEVGCRRLFN QVNTFWYNLRDSNSANEMKFAITDNLSTEARFNLSCPATFDTPSSTSTGSATGTATGI LTASATGTGASSTGTSSSGSSSSGSSSGSSSSASSTSAVGTSDAISRGSVSAVSAVIA LMGAVFLL PFICI_09351 MLADHNARLTEADGDNLGIASAYQHGDLVVSRNAPVTPAAASAP PSGHGRWATSADWAAHKDRVVRMYWNEDRTLKEVMSIMATEYDFHATVKMYKSRFKLW GLAKNIIKEDASRIIQAAWSGERPDEPVVKGRKVGSKRFRKQLQTARELGARFSSKES SLQTPSPPTMPPGSPDQLQKQQQVMMSSSACSSPTSLSHRLWQPDVLGQSERACHAIH SYTDFCLNTKVWELASVTADDALNLPKGRTFKVFVVDNYMSMTAGFQDPTFITATMSV VLQLEEFAKELATSLLRYIYHMSVVKLGAHHPFSCFWAHMTSLGVVNARHAVESTLRV HYDTLQRQMHPEDIKYWGDSPGSGRRLARYTDFARDQMPSNNFMNIMYDMEADVTSVV DEIYINWCQIMLSYFIAHAAPDERGDPNRFVAKDADAEVDRIKVEDVEDEEGSMETLH KLEHFEDS PFICI_09352 MNINLPGILDAAIPKPGESNRNVAVIANNMNGPNHNDPGFLSDD FPKSPPRLWITSGDPEFDSTTVLNEWRAEGFNAEFLPMAPGAKGPGAHKEYRMKLASL HKTSGLGPCETFGIVAYGDAASQCLEYFHVLDNNPDFKLALLVAYYPTKIPDPRGRFP GGVQVLVHLAGESVGVVRQSQMVGIQGRKRVGKKKIDRGIGTGNLVQMSYSAFSYPEA DPGFAETDLDAYDKVAADLAWDRSLAAARKAFRWDTNVMGVVEENMESKFFAKDEARL MKTYTTTHTPHTTFMPTLVGGVGTEELARFYGDFFMESNPESLQVTLISRTASANRVV DELHVSFEHTQGMPWILPGIPPTNQYVEIMVISIVTLRGGKLHHEHIYWDQASVLVQV GLLNQNMVPRKLKERGVEKLPVVGKEPAERIIDGGFDDGEGAADNDLLPEFWDYSDEE EGDYDDEDEEGEEEEDYDSGDDEGEEGDESDVEEIPRHDIAAQKANGRKPAAPRNNPP SPKAMGKKPANTQQNVQSPQKQKSNGKANGKANGNKPAAQSHGRGRTSNVAHRPKNAT VEEDSD PFICI_09353 MARSGIASRAMRFDEYYRCYPIVMAPGAERPDLNYGSKIFLPQS ALNKISRLHVQWPLTMELVNGDKEKHTHCGVLEFTAEEGRAYLPQWMMQSLLLDVGDM VQIKTTSLSPAKMVKLQPQSVNFLEISDPKAVLEHAFRNFATLTKGDVFNFKYNDEVY DIAVLEVKPVTEKMGVSMIETDVSVEFAPPVGYVEPERRAGSGTSTPRSGKGGLPTGG LLHSQGTMAQDINYEAIKPSGTAAAAGARAVSSHFISEGHKLNAKKGAKAPTPKPSTP VAGTSSNQPPQPIRRTNGPMPLRLPPNKLFFGYDIKPVKTDADKEAEKENDNRPHFAG QGQTLRGGAVKRKGEPDDKAKAEKKPDAGGRRLDGRKA PFICI_09354 MSSRYSAARPKRAGEAYARAHHGETGPDSKKVKFDVRNPSALAP DAAEDGEDGADAYLEVDAEVIRGSAATKRGAVNIDGYDSDSDREELGVRRTEKKPDKN VDDVDDMFADEDGDEKDDEVDYSTGKKSKEVRFLDSADIDGQDLRSKGGGTIRLDEES DDEDDEETVALAIQEEDIDEEVGAGGLKKHAPKVEAFNLKAEAEEGRFDEAGNFVRKA ADKDAQHDRWLEGLSKKDMKKAAEAHEKREAELRQKRREDDDILTSDLLKQLILRLEP SETALEALARLGKGQAKTKKVPKWKQKKQKQKDDAMDVDAEKQPEDPEQARIKEAIDD ITDASDKLLGRERPDIYDRERELLIREWSRETQERWVEPEVVKEETPATKMWEYRWID GRDEAAKQGPYDGPTMKAWQDAGYFGEGVEFREVGSETWSRIVSFV PFICI_09355 MPPVTRSHSKKQEKSLTAKADGSVTLLPVSRAQRNQILFIKLVF TVMSTHLRAKIIRKVQEYGGLHLELETLNEWFDHIRNLNIDQLERVPLTQDLPAQVAV TQDLLAQVPVNQDLVSQNLLAEDLLTQDLLAQDPLSTNRVGLDPNSSRNSIHNPSTQD LLIANRTGLSSLSFNNRTRNPLNHDSSNISSRSALDQDFIAASHTGFPSLSSLNDQNL EDQNLNALNQYFTTSTRTSVLPRSSFNDQNSNTSNQNFIVADHTGSPFTPSYNGNYSL LPQDTNFAGRSTLPSRSSDNNNQICDPFTQDLIVADHTGSQSSSDTDDPYTSLFRSIR EAFSDFNMDNNGDNQDASRAINDEDYDSAAEGYPMMIKKTPRGRIIWNDLAHEHLLVA LYYATTPSDQQWRQIQENLGPFGFDNCSVVAFKQHLLKLANRLQPHNEGRRGPYRVRS IESAEPETPANDDDGDDESSLASGGRRAVARGSRGARGGRARARGRGGRGARGTTRGT SRVAGTKRRVNETDDGLSSGMATPDAGWDADVPDDESDAKRVKTNDAGPRGVRDAPAF PGTEYRNSHYSDGFAYGPRGSYETANHEGFYPMRASAYERPAGFAPAQLPRAPAPYGA EDYVPMPVGMNTGYYVGDRYHEVGNNVRFPGGGAGYYQPPGNIYGGYHRRPEVGPPYG HYHHHHQQQQQQQQQQQHQPAYQGYDAYGGNDGYNAAAEQSFTAQLEAPVGPASSLAT IATAALAQQGKEEEEEKKPM PFICI_09356 MDVFLDVLFRDTVYLLQSRKHPRPMVAWSGVAATMGPPVGGSLG RVNWKWLLWMTLTICGLAPAAPLLFMRVEDGDSGLSIESTTAGKPRGTTRMSMERLTR LTYLGDALFTSNMRAIL PFICI_09357 MEPSTATTAWGTPVMMAGKSIERFEPVPMLVTNSSQVHFTMGVS ASKPVTRRKASVSSDNGVAWSGLDLPKWIQRIPVATGKDQDPHNRGQNVNAELEDSGA LCSLGVDVVEVCQWSNKHGCRKDQEVCRS PFICI_09358 MSNNDNVMARFLFAILQQKNLKDIDWNKVASNPILAQEITNGHA ARMRYSRFRSAMLGLEPQRRNRSTKEKPNRVTKSKKEPKDQKSKKEEAIKTESLKNLA NATISPSQSPAIPMRIKQEGGPSTYHNNRMTPTPIPMISAAPTPHTIQPRLLTPCSDT DGFPASPAVTASPTAEMLNPQPSYDFPMGHFSHDPPMWSNSSPMFPPYEHSFTFEPIP ISLEHQAMHHHSGCATVPSETQAQGEYVHVKHEHWDNHCT PFICI_09359 MNKNWGDRADKDLFFTILSVKNIGVISGSEWTTIGNHMRTLGYG FTNEGCRQHFQGLRRAQHKAESNGFSGEKPRQGDPTLNPITRRPGPGRGRPKRIPPVP IAREPGQLDSAQPQPVQTHPTQIPMQVPTQNQDHALDAVTAAAVASLAAATAALPDPQ QQHSLPEAIPLDQQHSHPSSPPAAAAVSLPPAPAPAPAHAPAPGHSGEVPEEQHLTPT EAPTETPISEDVPDHSPVPTNDANSLEMGIDEDDVGDEEQPTKRQRLDDSAEDLDNSA GMALDDRNVDPMIHDHDDLPQ PFICI_09360 MSGQQTVQQTPREAAADKDARFFFTVLKHCKTKPDTDWDAVAAE LGYANKGVAQVRFGQIKRKLGITTNDKATPDVKNKVTKSTPKTGSAKAKQSAAKIKKQ KEEEQAMKKEEEEDLEKEEYYDDDDMADPITPTPKRPGKLRSMKNEDEV PFICI_09361 MPSRLPKSLTALDLYASTKVIAAHTKKAQEYIMSNEFKAADPIT GLTLAKEEEEATAKRVVATTFPFNDLPAKLRSKIIEMSIDDHYSSAGCRVG PFICI_09362 MATQAQDIPLTRRLSDSAPEVSENDGTPEPGVSPVSRKKQAIVL LSAFVATAITIGYNQTFGVFQEYYLSASQDVLVPAPASQATPPIAMLAFVGTLCYGLT WAGGIIVNPIMSRIEHGTWKGAAATPSTRLWRRRLLRLLTRKMITIFGVLLVAAGFIL ASFSTTIWQLLLTQGFLVGLGMSFIYFPLLSPAPEYFTSHRATAMGFILAGGGTGGLI FSPIIRALLDAVGGRWTLRIYAAVNLVIGLPIAWAVPRSRFAGVPSADADEPERRNTH VSRALAARPTFIFSVITAFLQAAGAQLPLAFIPSYTVVLGKSSSTGANLLAAANVINA VSRILTGYAGDRFGRQNTLALTLFLAAASVFAFWLSSVMIPTASSAFSFWLAFIVLYS FSGGGYYALFPALIAEVFGIRQYVAVNAFILLVRGLGTMFGSPVGGQLLSDSETGSRA YTNIVYWDGALLMGATLGCIGVRWADARFKGWKWIA PFICI_09363 MDPTILVIGATGNTGKNVLRTLPGLLATAGSKYRILGLTRSTSH PVSQELAQLPGVEMVEKDWTRIDSAWLRDQNVVRAFVAPHNLAHQFYDESTLLVAMLH AGVKYVVKVSTMLEFLGPANPVFYGRAHWAIEEMLSQPEFDGMQWTSLRPNVFTSAFL YPAAHWVKNFRATGERGPLQLMVAADAPVSLIDPDDVGRAGAALLALEDASQHHKGRY VLRGADDVTGNEIVKLVEEYSGTQVPHTEFKATRMLDAMLGDYPASAPASIAAGCEFV WQGKCTTTGVPTAAEIAKLAPPQITTKEAFERLLK PFICI_09364 MATAAATGTILITGANGGLGSAMVQHIISKPELAAYHGLYTVRD TTHAPDLTTALAHGTAHSYDIMSLDLARLDSVRQAAESINSRISAGVIPPIRALILNA GFMDFGKQSWTADKLETAFAVNYLGHWLLTMLLLKSIDKENGRVVIIGSHTHDTNDPR HAVNKAFADPKYKYFLSDADSFHAIAKGKWSTETEDASFRGGYRRYGASKYLQITMQH ELQARLNADAALNKICVVGVDPGPMISGLQRLAPWFIRVLIFRIIYALILYLKPINGM IRSTTRSASDVLEAVFVVDEEGNPPKDKYWDGRTPSETSEESRDVSKRELVWKETVKL AGLKEGETVLANWS PFICI_09365 MATSSSNSRSQVNTLPNAETQGLTLCVYGQDLGSSASKGTLAYE DAVADVNRIIRVPLFGPGDHPERVHQEESIPQFKFFAQAAVEEGRPIVGRIASGHDMC IPLKTILVYVSGILEDKMFEDLPGGKELLNAVHNVPITVDMMETALKAHFLELQRNVR EQAREKDLSINHIVLSFPNYLHQRDSDEHVEAYMKCYTHLMQSIWGKDITFHRIMEGP AIALYLSEPFDEGTSFLQRKSLSELYHKMKRSNTLNLMIIDMGGSSVNIQVINQYYDK NGNFECSQMSDGPDWAKGTKGGSDLINSQIKAAAKAMLGSNISPGVLAAIINEFETKK YGLDFALDSTDSSSQEIKVKGKQRSVLRLRGKDDCNYWVNIPARQVQEIFKSVFEPGR QVWNAEIERQLGFKKPFIALFCGGSFASNILREQTKKDMDKYKMQAEKEGMDFEYEFL QRFDHQSASAVASGSAISILRVPPARVVMNGSAVLIQGLYSPGHHSTDWIGKNHANVL FHKGRDGRAREFDYFDNTRKASSLRGFRLICDPNYHESIRDNGTAPDVVPVPPGLATW NGPMGLYDLGFEVSGKDLPPGNIRFTIEGRFLNELARTEVKTTEDPIKLIITCQKLSR EGGKVVHHPLSKRWILTLRTHPECKLLNVSKDEVIRCPLWCSICGKEITLAGYVCQEC KIDTDMCVGCYFPAE PFICI_09366 MTQRLIGSAVRELAESLIDDYENIEDMRHHSVAAKAKAEICKLR EAGRPEEADWLTETLRCHRDIRNIPDRFEVTICPGNGRKETWSLVSVCDPLRQEDSPS QRPGANPFLEGPYAEGSPDPLSHQNENAMQFRPSTTDTVLPSMNENVTTSARMLGSAH EVDNYATENDLRHSGRGITGGQLRNEAKASAVAAGQTSPNIQQSIPVLPQIQRTPALI DVELDTFGHGIITFSKAREQKLWIGHNHSGKAFCLTCPCAVMVAPTGADFPCPMTEGT HHLIPRFFHLDPFTDNDALVHFRDVHKETFSDIGGMLRKYGSEIQTENIRLDMWAIAG HNMSIQLWQENFDEELREAKSKMLQQCQKADYLVHSKPRSIKRSKVPANNFVFSRPEL HEEWIVYCPIPTCSEPNFVDDPLHDSTAIHHFQKHDMQLNDNQILNVFGLRVIPDLKS TNTHEPQHEPRSVNGLEPVPAVTQNGDQSVRPEAREVKKRPRRTCQRKAVPAAIQNGD QSARPQARQAERRSQRKRTYPPKARGNVEGKKYSPWIAGEQMIQYNGVKVERITCRRD LERGHGAINTQYEFHFAGCHKGEWVSISKLNELREIVESFDRGNGEKTPWLAKQKKVL GI PFICI_09367 MSTKDDSTQFQFLITCIKNSNAGKVDFGAVAEQLGIVSKGAAAK RYERLMKAHGIGPNGIAGTASPKKAAIKKEKGAGKSPAKKRKLEEVDENAGDDDEPIK DENIKGEVKSEVKCEVKFEDAMNVKLEHGSGGMAITDTGAPNSTLSSSHGNDEDDDDD VLVVSATERSSSGNVPAYGSSDHHHHHHHMSMSTQHIPSIHSPFDYATNMGFPLQTTP TSTMMTTTTMMPRSSSGNPLPYGFAPSPYAHSHDGHHFFWQGPGMMPTHPDGGHKEDP TDRSS PFICI_09368 MTHSRNGFAGGWSSIATNQAAPEPTSTKAWREETPGERAAQRIP PRDQDQQREEYGSEAKPTETWPVHFTATVNKSVAVNEASRESIHGRTGDYDDEKPPRI EGSGC PFICI_09369 MEAQLPKTTYSSESVNSRHTRNTGRITSRTYLRKTSGGSVRSNM AVHSEDHDIGTSASTSQQVDNTSVAEHHSTPAITDASITTATSVRDTPVVQYATLRNT VHNNQVSPVSAHSPRIRPLAALSGGIRSNNGVVYDAQKLLPMAEQLEAARADSRFPNT TTSYTNAQAVIDDFEHLLALEQHVRGSQDHITLYVENVRRIQERDSQIVHDNHDAHVR HTVQGLLRNQDVGEDLHAIVRQAARTASANAVERALANHNQISHSDVVRDISQAVIHT MAQEGLVSDQAVDNIDAILEDVFSVIETRILDATGPLRLNVNRMRDVNDNLHAHDNNL HAHDNNLRTVTNTLDTNLGNNLNNLTTQVGAINTHVGAVGTHVNALSTLMQVMNGTAT RTNDQVGQFTQQLNSLQQVTAMLPALIREIVQQILPGAVQTALTQVLAGSLQGANAKA SFMVAAQTIAATQNQPNGSDKKNSKSPKKGKKRGFFSRLFKRGGGKKDGEDGASGTSN PFICI_09370 MSYEGADLNQMAKDGTRVPEDAAKPNIVPSKAGYHRTQPTAGGL GAEMLSEAADNPVSDEKRMGKHGPGVGEVITGTGDAMPSMASTKHTGHGGKERQ PFICI_09371 MQRALSSRSRTSVLNSAAAAAKYRPGAGLSQQLRFAHKELKFGV EGRAALLAGVETLAKAVATTLGPKGRNVLIESSYGSPKITKDGVTVARAISLQDKFEN LGARLLQDVASKTNESAGDGTTSATVLARAIFSETVKNVAAGCNPMDLRRGTQAAVEA VVEYLQKNKRDITTSAEIAQVATISANGDTHIGNLIANAMEKVGKEGVITVKEGKTLN DELEVTEGMRFDRGFVSPYFITDAKAQKVEFEKPLILLSEKKISSVQDIIPALEASTQ QRRPLVIIAEDIDGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDIAVLTNGTV FTDELDIKLEKATPDLLGSTGSITITKEDTIILNGEGTKDNIAQRCEQIRGVMADPST SDYEKEKLQERLAKLSGGVAVIKVGGSSEVEVGEKKDRFVDALNATRAAVEEGILPGG GTALIKASVHALKDVKPSNFDQQLGVSIVKNAITRPAKTIVENAGLEGSVVVGKLSDE FSNDFNKGFNSATGEYVDMISAGILDPFKVVRTGLVDASGVASLLGTTEVAIVEAPEE KGPAPGGMGGMGGMGGMPGMM PFICI_09372 MSQSGASVSQECINAFNDLKLAKKSKFIIFKLSDNNREIVVEEA STDGDWEVFREKLVNAQHVSKTGAVGKGPRYAVYDFEYQLASGEGSRNKITFIAWSPD DAGVMAKMVYASSKDALKRALNGIATEIQANDQDDIEYDTVLKTVSKGLA PFICI_09373 MAEVAFAKQFLAALDPRPVRISPDHVQDPREYPPRTAYILARAP RQMSKPSSTASRSAPGSERSVTVTLKSLRNPPLDIKLTSQPLNTSVLDLKQKVADETS VPLDKIKLLYKKKPVVDSKVLKELLGEDESTTVEFGVMVLGGAAAAVKKDAPASTDVA QGTSGTATVETAEFWDDLKGFLLQRIRDEKEASELFETFQTAYKSKK PFICI_09374 MGVGRRMKKQGPPEPLSEAHYAKLKRKAGLPVDDVPAEPRNNKK RKAAKDEEPKSNKKAAKSNGAVNGKKAAAPAPKANGKKGKKAAPVPEDEDDISDGLGD GFGDSDMDMDEFSDLEGAEGLKDDFLDSDDSVYDSDDAGQQKAMFSEDEDDSDAEEQL TAANIAGLSRKLDEKIAQEEADAQAELEEAAMQTNIVEDKPHILDDDEEDGLAAKTKG LLAPDLQLLRTRITDTIRVLGDFAKLAEEGRSRAEYTAQLLKDICAYYGYSEYLAEKL YNLFTPQEAFAFFEANESARPIVIRTNTLRTHRRDLAQALINRGVVLEPVGKWSKVGL QIFESNVPLGATPEYLAGHYILQAASSFLPVMALGPQEGERVLDMAAAPGGKTTHMAA MMKNKGCIFANDPSKARSKGLIGNIHRLGARNVVVCNYDARDFPRVIGGFDRVLLDAP CSGTGVIAKDASVKMNKTEKDFMVLPHTQKQLLLAAIDSVNHASKTGGYLVYSTCSVT VEENEQVVNYVLSRRPNVKLVDTELPFGKEGFTSYGGKHFDSSLNLTRRYYPHTYNVD GFFVAKFHKFAPSPAGSAGREKVPMEKKDVEEEIIDKTPIAADEDTIGAEKDDFGGFD DDEDADYMERAKRNAMRRRGLDPKALNKGKTETNGTKEAKEIKETKAEKTEKSPKSPK SPKSDKVEKAEKSPKAEKSPKAAKTEKADKSPKAAKTEKADKSSKTEKAEVSKKDKKK SKKASS PFICI_09375 MIVQSLPIESEKAPAELLTALTLAGTTIDAGQWGPRASEGLQTA VTHSLPSVPAATAHNHNTVSRSPQVVESSTPVASYPPGTESGSSPTGSAETPGTQITS TITSTSMITITSCGPE PFICI_09376 MPVSINIVDHPAEEFDGFGGDLRATTVEELFYGSCSKHFRRSQG IIQTSFTKSQLQDEHVFASSNSFVWAAYHAYSKHHHLRIRPEDVWFAIIAQIGFFINA HPEELRDFLGEHQGQKHLEVELGSLESGYLATLVADMMAKNVKDPELRDWLMPAFTTT KAEDSIVGSILFMGVPKSHPHYPVTLDCGLPSVTLFGEKADWENIYRRLDKLDLMGQE PALFAKLLRPILKRMIMTFDAPTSPQVIRFWNTIAHRQELSSGTKYITGWLTAFSFWN EQGKANVQEKEPLMDDVAYLYIELHKLSICHAFVPVLVHDNEHEYEATMVAGSVGILA SPHNSPRLHDTIGGSFEEPDSTPNGADTTGQAEFTSEPPRNVVEPLSGWFIYEDEPAT AAEARAAEYQDLSMEFQDIITKSSWTSEDHDCLSIVVDRLNDSCWD PFICI_09377 MLFDTTVLLTRAGLIASLIISSIQPVVGYRITRSYHQFAQIPKT YQNNIIHRPISNETQCLAYYERYTNTSVYDDTTIGHQSAVLLDNCIIEQMQQYQQSEM GAISVVLGLLPAGLTQIGLSTSHFSLLASRRPVLATLLSFGALTVLPMDAETLPSLRQ PVRVPTRPGFLGSNSVAIKALVTTIEYLVALGAVANVLWEVYFLTYQSVCWAAIQLLQ MQGLPETVVPLFWALFPVVAQLLSHLAMWIQLYRRKRKYGEKGTPYEFTTWPPSLWTR VITELTPSSWGSPLVAPEVRGIERGSLLMLELAVTQVVNLCSIAHVFMGTIILSTMQF ISLRNAVIILVRLLSGTIIVRAVVQYELHGMREVSQEKGLGRQRDVSVAIDSHYVGQG KPQSHTRDGGY PFICI_09378 MNCGIHDNTTIGPTGYDAQYWQFSTSMAKNATCSERTYLRPDTA GSIIPWPYALVWLLIHFPLVLIRATRWQKVQVLSLVLAATSIGWSVEAYLSTQRRPDE VLVWAPLTVILDVGAVMQLAFLIMEDFAEHKGFVPPWYAFSILMGGLRKQPPKPPRSP SLRSPTEPKVANINHIELAVVTNGPAADTGVAQLSKGKAFIVVLSILLFFGLASLQII GLVSAINGLAVKDTLESTWCSPMFESFAIGVLDGNCRLHSVSSSASRGIGCIKLSGAQ QAGWLAGTVGVLIASLVLELADMLVLTLVTSKSKWRGVKMRRPWCTMFCGVAILVFYV IYGLLEASRLPSGMPEVVWVFRKEPSLGIETVCRGIITPAGVRGSIMGWTDGFLSNWG RTYFG PFICI_09379 MPAPPPFDLEAPSPLDRIAGHYVELNEMKPQRTLTGSTRVDDSP ISTPVVATMDAKPRDTIPEELPQRLEPVAKPSSNWWIVEGVAWIASAIALAVVIATVA MTDGKPLPTWPMDITLNSFISFMTTIMKAAAAIPVAEGISQLKWLWYRKAGAVRDIQT FDEASRGTWGSAKLLVSTRGIHLGKLGAIITIILLAVDPFVQQVVVYEKQPVISEYTN SSVPIVANYSDYAYGGIMSTREPTLSMKAAINNGLFDTSDEPQNDFTISASCATGNCT WSSTYYTLGVCSKCANTTSQITSNCGEQFGTLPATCNYTLPNGMAFDGTRRGQAYMNS SGEYESLAYNNSQSTIGVVSTMRGLHDLSSSTLLGVVSNECVLYVCVNEYQGNVTNGV FTETLVSTYTGDENPVMSENITISVPNSDTEYWFGATAWLAVSMHLSSYFTGSVTGGT GTQSSTSDVVGALFEFGEDGSGSGTQKVGGENNTIASVAAAMTKMLRMYDLSVDSSTW NTTDPNSTSIDRFALGIAWTTETFVHVRWLWMILPVALEVLVLVFLVGTVFQSNRSGL PGWKSSTMPLIQGKLGELQLAIAEKRRSKL PFICI_09380 MHFSSRSRLIPGLLALASTSAAQTVNIANGTIQGGKCNRTDSYY FSSIPYALPPVDDLRFKAPQPHVQAYNGTLDATVAPASCIQFSTLFAESNTQSEDCLY LNIWTPSTATRDSKLPVKVWLYGGGNEAGGVSDPTYDGCYATSDSIIVSINYRVGPLG FLALESLGLNGNFGLQDQLLGLEWIQENIESFGGDPTKVLLFGQSAGAIDVYVISTLP QATELISSVALESGGGRDPPTVNKAQQWQQHFLDQLNCTTPDLDCVLAASTSELVVAE AAMPDEQAPGATTPFTAEGARANWGPLVDGNILPETPTAVGNKVPAIFGFNSEEGTLF VFGDYQEESLSLTQADYDVFLEYNFGPLASVVNQTYSVSKFNSTIAPVFAAMSTVLGE VSYKCPAHRALLKSREIGVPVWSYEFSHTPSCAWYGAIPESILEYVGATHTAEIPFVF NTTHNMPLPDGNCTFTNAEQALSASMSEAWTSMAEFGRPADETVWPQWTRNTSAGVNI DESMVVGTVDYTSCLFWDAIYEKSVEIANAS PFICI_09381 MKKTNITNVLIFDGEKVTGPGSVVIEGGLIGDDATGAETIDGTG CMIMPGLIDTHVHIAKESELRNCTKFGVTTVCDLGAYPKQLFEEMKSVQGTTEYLSSG LAAFPPQSMHAHFHAKLDKDMSLKGEEDAADWVSARVAEGVDFIKIIADEPGFSQACL DKLVAESKAAGKITIAHGTHYTAYERSLKAGFDILTHVPLEKPLDETIVGRMVAQRTV SSPTLAMMKLMVDNDHFTLPDADYENCLRGVAAMYEAGVPILVGTDSNLMDMPIHHGS GLHDEMALLVEAGLSPIDVLKGATSLAAHHFRLRDRGRIVVGLKADLVLVEGNPTKII SDSKRIRAVWKDGVKQR PFICI_09382 MTTSNSTTQASCLCGAIKFQYTGPPLGVATELCHCVSCQKWTGS AFTSNVTIEKPLLHIIQGEPSRYTTTGLSGNKIHFVFCGDCGSGLWNEPEATPQWICI KAGTIDDAWVRSLGTVPLPGPQPEDGAKSAKHVDVEFFIKDRVGYQAACPGAKQEEKM PFICI_09383 MADNQSCDYLIYHGAVVKPNSDISGIGVILAFVLSAYVTFIAIL AAYLFGLVDEELLNEVDRRIFQIHPYRSGTPSSRGPRGRVYRCVRHVIIILSDQQMFT GIAIMSAGFRGLQSREISVYHFQIVLYLAWMSSSVHLSAVTLLGSYLRRHRGMLFWRF AGMLVLLVMLIVALVPTISNDWGIYWWDGMLDGRTGWAIPAYCFWGELWGDGVGPDAP LGFVILAVSYLWKMGALFETTTGAYRSYLKNPIEDAILGSLTYPATKYRDSQISWFWL WIFRLALGIALPTMAIVECASSFAASLWLSLTSLLYGTIQIFVPRGQMIPLTGSSESS WGFGQLVPLILLIQPVGVLFEHLHSQNKSNESQNGDHATGHDTIRDAIEAAPAIPGSQ KPSLLEILVNKRDEEFQKGHSRHKAIWKLLYSSKLFAMMVYLVQLAIAAICAVVFYFD ALTIGNVRSDNWSFILVALAAFLGAGLVSSIVLAPLSVTGRRPRKMNGELPVVRGNER LLELSHVRT PFICI_09384 MGSSRWTYRLQASLGAIATLYNKSNAAPVAESEVVVLSKKLAST PFDVIDPQNWVNPDNMTWADFVSPPGTNWSDPTRKGSERNFNIALVTIDYSDRPFVVT QPAESTIYGNPLPLVSGLTRDEVPAYYRDLLNTPNDLNNGHTLHEYWMGDSHGRYGVD LTVFGAYEMPSLAYQYGISADMNLGGCPEAGTCNLDIRTDALGLWRADVGNETADSFE LVFILSAGQDESSTWQEFGEMKFLTKEDVPDAFGPPDEAAANATNWSKTRYVDWTSWA AASNTWPNAGDGSSTQAESSGMSTYAHELSHLLDIGDNYNNPYGVPLRRAYSGPFSMM DRGSFNGPGGPHSRWQIPALQGGSMGSLHTVRDKAQLGLISNTTILHLSREALVDSGL IVAEVTARAVVTGEDGLIGLRVNMDADLSPNCSVATDVFCDGGGYDHYHVEVVDRMGA DSFQPDHGVMLSKTKELDSQPFVWVIDANPQDIELIDFYRPNGTAAYITLGDYRQLLD ALFHAGTRSGSEFEYVDEANDLHFYIININRDETGVLSYTIGVRSVTGTAGPSTHGAA LDEGTAQGEDATTDGLLCTFSLTNNGTYVESENGHPDDVTSYLDSDIYRLNATVQGDG WTVQLPNELVTAKFGASADAKVAVAASSEDAADSAVVTLTATSESDSSIVATATCQVQ K PFICI_09385 MYSVEKRPTFLQWLKITIVDILTMAVVGAYALVVFRLGPAATRL FPLTIRDGSEIIYPQFAYPYRSQYISSWLAGILALLVPIAIILLAQIRVTSFWDTNNG IMGVVYAIILSSAFQVSLKWIVGGLRPFFYDVCNPDINRALSHELFDSSGLNGVGYQD YMFSRDVCRTTSKAALDNAMQSFPSGHASTVFSGMVFLFLYLNAKLKVFSNYQPAMWK LVLIFVPILVATLVGGSLSVDNSHNWYDIVAGAIIGIVFGFASYRIMYAAIWDYRINH IPLNRHKSFVQGDGVEGNNMVFSEHAGWTSRRKAKKSALPAPTTTRPSR PFICI_09386 MALQLKPRNIVEDEVENWDDDDFMIDTDDLSFRSGSTTHASSRP GRRDSHTSFRSDRDSLFGDEDRQVHLAGEDEKSTMDAIFAVQHAGIPIPQNVPPSALM GGTIKRLGGRKVKKIIHEDWGDEIELPKAGTALRIKPQDPSQFPETLRQVSGSSTTSP LKLMKSAVIYRSPKKENLQENILPTSSPASTLDRFRDNDDDDDVFGDGGETIKAPRFQ QPPRLPASYNPLSLITPPTPRKKEEEDDFELHLELPPHGTLQLSSRREIPRTPSVKTE DDFDWGEGSLGTRFGGTRREVFSTRSSNASAMSPSIASSITAESEDDALDGLILPIGP LDLNERLRLRKQSASPERPPSAGKSIESSRNMPETPIAEKEDDLEGLDFEDGDVFSSG KLSLHRNVKVKETRQTSPARPKASISVTISNKPSTAQPSRLPRPAGGHHERSHTQSSL ETVSESGGPIPYRPSRRSQSRLGGHSATSSTSSIVSPATPSSASSFQPSTPRRRELGS KTSLGALRNESSTTTNAQLLRFKRSLPAIKSSDSPVRPGSSRFERPTSLTEIHRSQFS QPFRPKTPVDRTQGAPSYQGSAAQARRPFLPAGSASSQSHHVSAKPSSRLLRRHDSDV GPQTRPLSRALSRSAMRSPSPRRLRPVEKVAAEPWQQLSKPRRVKQFGDGTELDAFDD LPTSAHAESKFVKQPVAAKDRNNTVRNKMYGSAIPDRSGPAPVAAFSPARVDNQPHFA RDTAASRKAREVSLAQRAPPTTAAAPPAAQRVAQLSSRGLNPHVPHGSIRSKKSRKPP QLKPHLITNMSSAKDTKVIDGMTYNPETCKWEGNETALNAFDAPLTTPTTGTTSPNMT RDKESMTTPRPYLITNMGASNGIKREGNMVFDPVHFRWIEAPGNNDDPLAGFNALDDE EDPFKDIPDLEDKEHDNSGEGGQARASDVGSEWLVGEEFDVGPEFIRRQREEEDRWRK KCERWINTDGRDNDAWRWALRDIVQGP PFICI_09387 MNEHNLVLRFPVSDRPEQSFLLEVIPTEGSKNALNLKLVGTEGI KPYVVKLRHQRIQEWKASVGHCTDDEWEQILIATLIEPSSPHGKDIEVKVELVEGKKP TANLIIRKNLSGITQRLGSIELKENGNEFVDPFQWCVNAIGDLAKVDNDLAAATAKAA ELEKTTNELKAQLEDLLKAKDDDERQLLEKFRDLLNEKKLKIRQQQRLLASAEVDPEK LQNVGASQNTLRKAKASRDSKRKAAEEEPDDVSDDDSDKMEVDEPVKVKDESDQDVED HDDLEDRQTTDDELGEATASETDDTDEEAQPATRTKAKHPIKAASTGRAGKTPASRST RSTRTRSETPPSDAQDEDEDEDVAPPPSRALPVQARKQSTLQAQATNNDDTETDDEL PFICI_09388 MPLRAKILPDKMNSIPSSFTPDASGATPKQAAHIPFLKLNDGNQ IPMFAYGLGTARYKSQPTAEPDEEIVKLTAMAIKNGYYHLDGAEVYGNEEELGLAIKK GGAPREQLYVTTKLHGTKKQDVQKAFELSLSKLQLDYVDLYLVHAPYLADTPEELQEV WRQVELIKDSGKARSIGVSNFLQEDLEVILKTARIPPAINQIEYHPYLQHGDLVDFHR KNNIAVSSYGPLSAVTKASPGPLDATYAELAKKYGVSPADIALRWVMDQGIVAITTSA NEQRLQGYLNKLPSFKLTPKEVETISEIGKQKHYRGFWNDKFAKDDRR PFICI_09389 MAAQTSSAPANGHAASSPGRPINDIPKTWNFTSKLPPDSEYPTP ADSHKTPRDQIGPRQVRDAIYTWVRPEGATNPELLSVSPAALKDLGIDAETARSDPDF LNTVVGNKIQGWDEEKGEGGYPWAQLYGGFQFGQWAGQLGDGRAISLFETTNTEGTRY ELQLKGAGLTPYSRFADGKAVLRSSIREFVVSEALHALGIPSTRALSLVLTPDVRVRR ETLEPGAIVCRFAQSWLRLGNFDILRARGERDTVRKLATYIAEDVFGGWENLPARLED PASATTSPEPSRGVPKDDIQGPDDYAENRFTRLYREIVRRNALTVAKWQAYGFMNGVL NTDNTSIFGLSIDFGPFAFMDNFDPAYTPNHDDYMLRYSYRNQPSIIWWNLVRLGESL GELIGAGPKVDDATFVEKGIEEELVEELTQRAEKVIMQVGEEFKHVFLQEYKRLMTAR LGLKNFKESDFDVLFSSLLDTMEALELDFNLFFRRLSGLKVAHLDTQESREVKAGVFF YKDGAGVSAPSEEEGRKRVGEWLGKWRERAIEDWGESDAADEERRAAMKKVNPSFIAR GWILDEVIKRVEKDGEREVLDRIMWIAQHPFEDSWAGKTLDGVDYKGDKEEETRWITE VPKAKRALQCSCSS PFICI_09390 MLRHSLARSAWRSSRHAANATRAFSATAQRPAEVELTIDGKKVS IEAGSALIQACEKAGSTVPRLRVDTVTMSTIPHSSDFDTITNKRADKWLRKLMIAGNC RMCLVEVERAPKPVASCAWPVQPGMVVKTNSPLTHKAREGVMEFLLANHPLDCPICDQ GGECDLQDQSMRYGGDRGRFHEVGGKRAVEDKNIGPLIKTSMNRCIHCTRCIRFANDV AGAPEMGSFGRGNDIQIGTYLEKNLDSEMSGNVIDLCPVGALTSKPYAFRARPWELKK TESIDVHDGLGSAIRVDSRGLEVMRVIPRLNDDVNEEWINDKTRFACDGLKTQRLTMP LVRRDGKFEPASWEQALTDISVAYRALQPGENEFKAIAGALTDVESLVVMKELANKLG SENVTIDVPAGNGPVPHGVDVRSNYLFNSLIWGVEDVDALLLVGTNPRHEAAGLNARI RKQWLRSDLEIGVVGEQFDSTFEVEHLGTDFAALKKALAGPFGKKLQAAKKPMIIVGS GVTDHPDAKAFYELIGGFVDKNAANFLTPEHNGYNVLQREASRAGAFEVGFVPPSAAV AETKPKFVWLLGADEFNEADIPKDAFVVYQGHHGDKGAQIADVVLPGAAYTEKAGTYV NTEGRVQMTRAATSLPGAARTDWKIIRAASEFLGVPLPYDDVAMVRDRAAEISPALTV YDVVEPTSLKQLSKVQLVDQNKGSKPSGEPLKKVIEDFYFTDVISRSSPTMARCSIAK ATGNPKTDLMAPGMTEDKPMGQVAYGA PFICI_09391 MSWQQVSAMGGGNMGNLGGQDLGGLPANGLNQPHATEYTLQGVM RFLQTEWHRHERDRNAWEIERQEMKGRIAALEGSARRADATQKALRRYVGILEKKVKD QTTQITRKSQGSDAGSVDEHAVKQPPPKVDRAALIAEKLQSSNEKPKEDIAGLEGLIG ERGQEEEDREQLRTFLDQCQNEFMYLMITPANPIPPRDSPPLPMLDDVRDGEYGVPGG MQPLDAAFRALHLNQNHVKDPGLRSQPNHAAPMQQSQIPGLARQNVQELQSAPMVRAN ENPSVTYNNTNPDWQAPVGVALTSRDDVPIKTNHTNEYPRQTAVEETEKRALGEPDGW DFGEGAFPEAASSQPLQNLSSHRPDTDVFPAAENPPKSPNRGANSHRRKTSLSRRRSL DQELSLNSLSHKSDSGNFKLRYGLRGHLNTVRTVIFSGGGSPGEPEICTAGDDGLIKR FHIPRENPAHMSTSASDLDVPADFTHRGHNGAVLCLTSWSPSPNFSTGGRAQGDGWIF SGGQDASIRVWERGRVDPKATIDGHTDAVWAVCVLPATLGAVFGQTSSYGSPDRILLA SGSADGAVKVWTVSAPPQLTSPQPSTGRRATGSRGRGNSMSSGSQFPNTPQPNMTSST PFHSTLVHDIKRANGSKASPTCITPLSNNGEAFVVSYSDAAIIIYDTKTGEEIGTMAS LETFDGTPGTSVNAVVATTAGLEQSSGPGLGDEDANAPSGGAGPTGGGLGAGSGIEGV IISGHEDRYVRFFDANSGQCTYNMLAHPAAISALSLSPDGRELVSAGHDASLRFWSLE KRSCTQEITTHRIMRGEGVCSVVWSNDGRWVVSSGGDGTVKVFAR PFICI_09392 MYFSSSIALAWVQFAVLCSASPLLVSRSSGPACTFIDNIGVISC DGGCCGWALSGATSPFCESSTASTLTLVSKPDGWISSCSTLQSDLTANNKDYILTTYQ QNTWHAIVSNDGCRFEVNISDPQDSNDPIRLTGGDIATFLASGIPASQSGGLGATGST SCYSFHLQWRMVPPGN PFICI_09393 MDDTKQPQQQAGQDVTLPMSIPKPRAQDFAPDLTSSPISTSPHT SVDDTLDPNITDLTDEMGQMYNSKSGLRGPPPPRPDLTDVLSIEQYKQLARLITIILE GMNKSLKELWEDMGVANKHKSAAEPRITGPTPMPAMFVSIPNPYSPKYAHLYGNKPLI PEIEAPKDGNAATSNDENKENKAGFNTPVPTSTTAIVDKKTKTKWPKPQVFLPPGMTT DDIKATNAGNKPKSSNSPTPPNLPVGIHADMLPLKLPKSFGDAVEMYGTKTEEEIMRS QITELKRDSLAHFGKFRANVAKRMDSVLIRKGGREGNVIQPQLSFESQAGHVGQVSGI RRGSGGGFPGSFKGRSQHGYPSAPSHVQGNNVSSTSTTEFDTISIRLFSPHSTPLSNQ PKEKRACILHCVALILLGLDNYCSYSRALLCHLATSLSIPLHVLTDDENRIGKALAKI VDCITPEELQARRLEEGKNRFRRNHLKQLQPQDPSASVNASLNAPNIRPPNAVNGETV GGLAAPLVSAGLSTVFGGFGVGPAAATTMLQGMAESPVVVGTLFGLYGSRATAKMTES YAKDIQDFGLIPVHGQKDRTAMNDPFDVPADDRRLRLTIGVTGFFDPNIPDGDDCKNP WKCLGDLNEVYSLQWETEALLKTGAALDNVLKAPGWTEAKQDSTGNISESINTLKWPA AMIRCCKMIDNPWAVSMARAEKCGLVLADMILGNIGGHRPVTLIGYGAGARAIWVALM TLSEKRSFGLVENAVLMGCPSPSNTQSWAAARSIVTGRLVNVYSKKDIMMAFAMRLCN FTAGIAGLEEIVGVNGVQNYDVSNILTAHSRYRYLVGPILQRLGWEDVRAKQGQEQFA ELDKMISEEKKRDELRAAFSRKMAASCVEQEQKAETAYTKTTTTVGISLGDTQQNDDK ENVRPVNGRDETGRTPAGGSKSRKKRSGKGRKRG PFICI_09394 MSITICSQCRTALRLQRGTSRRAIQPAIRQQNLSTFSSPSKSLF EAQCQSKSRPFSTTSARRIFAPDQSRDPSPEEILAKPTWSVRSLLPPSSSNSSSSPAV QTAEEEEEITPQTLRHLLRLSALPPPATEAEESQLLGTLRAQLHFVRSIQSIDTTGVQ PLVSIRDETTAGVSEQTVGLADLEGALAAEDVVGHAKRPRRRRTRSGQQIEGVEDWDV LKGASEKVGRYFVVRSGPGK PFICI_09395 MAGDPRALLQKADKALSSASGGFSFFGGREEKYMTAADTYIEAA NAFRVQKMNREAGQAFEKAASVQINNLKEPDDAANTYVDAFKVYKQESPEDAVRCLDF SIQQYCKKGNFRRAAQHKENLAEVLETQIGDIKRALEAYELAAGWYESDNAAALANKL WLKVADIAALDADYYKAIGNYEKVATASVSNNLMRYSVKDYFLKAGICHLATQDLVAT DRALEKYRDMDPTFTQTREHQLLVDLTEAVKAGNQEEFTDRLFQFDQMSKLDKWKTEI LVRVKNMIVAEEEDFS PFICI_09396 MAASCKAQRNKPVKEQSYSSSSTMYDRPESMPSLGKLLHEAEQE LVTREHVYLKVPLQLYLKYMTRHSPNLLTPAASLSSSDRRNHGSTRHSTESKSTESKS TESKSTETEVDSIYVMYAEEQPAANTSNKIEPTPSVTRKSAGSGKTEPEVDSMYSQYP ASFLKNGRRDVLHPRPSATTKCLKNSYNDTVSEYTDQDLDSIYSTYSQDLGSTQASET SDGLRPAPLAIRKKPGFKSLPIHIKKRIWMEAMPSKLLLAPKYWLRGFATRLKPPAIA NFNAEVKEAIEEMGGFYRLARPRPKIDGRNPPGFPLLSLSAEKVIGVHTVTKHVVMES FEHLKPLQLHHRLQRLSDREIFPDLQTIRIASGVICADGDWTAEMVDSFFGPEYLVLV DLRDTDAINRIAHKLLDFVHENGGPTVKWYRKFTTLTRLFQYAAHWEDLEFVFGEAWL NATWKLPYTKIMWPKQGWREEVVPSTLLEHPQTKHRFKYAVIDDSEDFRSLDSQGQWD RTSPYAREILKNMPAVSPVFVFVRPENLESLSRSTTSKRNSDRS PFICI_09397 MATLEEKLDKIRSPNLQSQQQTVVILKAVDETLKEQNTAPTPTG YFAALLALLNQSISNGQINKELTPSVVYLLDVVTPFAPHSLLRSKFTQILTLLAPVLL LADAEAPLLKPSIGCLEALLLAQDLAAWKQSTNEISPRRAVAGLLNLAIDHRPKVRKR AQDALKKILTNPPPSPSLDHPAADLCAETAMRSLAELAEKAAASRKQKKSAEGEHDPA LIHALQLIKTVTLACGGWPSKNIESLCELLLGIAKTGNDHMTMAVFDIFEMIFEGMAT EEMASVKLPRLLEIIAELRPSANDTQLLPPWIAILSRGYDVSAQMEPEDTFQKLPDLF SLVSEFMESSSHNIRVSASECLISFAANCIPNQVILEPSIYDEKVLEKLAQIAEKFLT VQYQSAWMQTFNVFGGLFDALRWRSNPILLGIVKAVGELRGNDSFMGKKEADDVLGKA VRAMGPEAVLKVLPLNLAKPARGQPGRAWLLPIMRDYTSNTNLQHFRDEMVPLSEAMF QKVLDNGDAPKTMEIKIYETLVSQIWSILPGYCDLPLDLTTAFDQSLAEMLANLLYKQ IDLRLEVCRALRTLVESNQAIASVEDAEDLVLQSRVSKDDAKKNLTYLGTFAGNLLAV LFNVYSQTLPQSRGPILQTINSYLSITPNQELIETFDRVSNMLAQTIAEAASEQEKNN KQQKPKDQMPSTSHTLMDLVITMSAYLPRESFGALFNISSLIIVKDDDPQLQKKAYKL IPRLAGSDIGKVALQERFEELQNLLLSSSEKVSIPARRERIAAIASMVPFIPDDGLHF IPSVLSEVVIGCKENNEKARTSAFDLLVAMGQRLEQSKGKMIVNSKVPHMPADAPSVP AGIEEYFTMVSAGLAGSTPHMISASITAITRILYEFREALSHEIMSDLVQTMDLFLTS NNREIVKSVLGFVKVCIISLPTEMMVPRLPSLIPNLMVWGHEHKGHFRAKVKHILERM IRRFGFDIVNKHCPEDDRKLINNIRKTKERSKRKKEAAKAEGQEEDEDEADGKRRSRF ESEYDEALYSSDDSDAAEDSDEEMGGNVSKKGKKGGNTYIMEDEDEPLDLLDKKALAS ISSTKPVKMRKAGRTKAKTDMDGKLILGQDDEDDAMVMDAPAEGGDSGVGAYVAALRG KDAARRGQRGRLKFSNKHRNQDDDDDEMEVDERDMAAIKHTVNKAHGGDRGGRGGRGG WGGGRGGGRGGWGGGRGGGDRGGGGRGGRSGRGSFNGGRRGLGEDKRHGASVQGGGKV GKPHFPKGGRR PFICI_09398 MSNPLDTDAGSELFSSYEAEFKLVQADLTQKLDQIPELSGEPRK AAISQAERALEEADELLGQMRLEKANIPSSARAKVNQRFRNFETSADQYKRKLTSLAS DRAALFGGRYTDNPSGGPQDAQLEQRQQLLAGTDRLDRSTQRLRNSQALAYETEAVGA STLAELHGQRDRIMNTQARFDESEGYLDRSVKTLRGMARR PFICI_09399 MFLLHFLYLIIRELLALVAAPLFDFPLIFFITASAEETSMTSSV NRVPRKTVAIVGTGSAGIGALWALNRTHHDVYVYEAADRLGGHTNTVTWKRGKYQALV DTGFIVLNTATYPNFINFLERIGVNTVKTEMTFGVSRDHGKFEWAGTGLDAVFCQRKN IFSLKMWRMIFDIIRFNQFALDLLRNDGAGADETETIGQYLEREGYSETFKNDYLIPM TAAVWSTSPDKCSLEFPAVTLIRFMWNHHLLTTVSKRPDWLTVEGGAKSYIDAVVKGF PPNHLFTNSPVKHITNDADGRVRLHLENGKSEVYDHVILATHGDQAYQIIEPSATNEE KLIMSNFKTSENTAVLHSDLTHMPVRKNSWSAWNYLTLSDPWTGRDIDKVSLTYNMNI LQHIPREPFGDVLVTLNPIHEPKAATVQGRYSYSHPIYNPEAVRAQNQLHRIQNKRGI SYAGAWTKYGFHEDGFSSGLHVAQAHLGAKLPFDFVDSTYSRGKRPRLGLRDRIVRLI ILAIQVLVVTLLETVVRKIRPSPLPKQVNGLPKRRTNGYRRLS PFICI_09400 MNSMPRMFARSLQQSVPSGRACSSCLRAMRQSRAQLQQLESSAS RRYLSNSSPKRATPQQTPPPQPSRQQQQRAATPDLDRLKEYYRHKNHTGLNYILSIIL GTVAFSYGSVPMYKMICQTTGWGGQPVRAPGHGPSGDSIDPSTRLVPVEDAKRIRVTF NASVSDILPWKFTPQQREVRVLPGETALAFYTATNTSDKDIIGVATYSVTPAQTAPYF SKIQCFCFEEQRLNAGETVDMPVFFYLDPDLLNDLNMRGVETVTLSYTFFKARYDDNG NFKNIAPAS PFICI_09401 MGVESYLNGLCVRASRSWLLSYTAIQYYSACCGALAGVFFILAF IVADFIPPPRPSWDAQTVATCFYQDHITRIRAGGAILMICGGFYLPFSACISNQIRRI PKVPYMIHQVQLSSAAAGVWTFMLPGIVLCITSFRPDRPAEITQMLNEFFWIVALMPW PTFMVQNFAFVYAIILDTRERPLFPKWLIPFNIIMPILFAFATGVHTVMDGPLAWDGV ITFYIVGFTFLVQLITDAVFLALSAREEYRSGDAVTLGNEVRDYHEQGEKNGRTIPAV PFICI_09402 MSSIFSMRFTLVLFLCLDTISGLASPANVKLEKNDVTRSGTATV TLNSPSGTARFLAAGVHNGIPPNDFSSYVAGTPAATAAVQTQIPDQYFSGIKLQNARG GQGNLPSPSRGWQFGEDEYNNRFRAVHSNYLTVRKFGGNYTLMVSNLWGQRNSSSPDP GSDGDWSSWDTFLATLCADIKAAGMTSGLDIEVWNEPDGQFSSSATWLNIWGRSYPKL KSLCPATTRIVGPGYAGPPSTSDVWWVRFLDFIQSNGTVPDTYNYHHLTHDTDPAVNY ATFQTMLNDRDLPSRTIYVNEYGSTGEQRPSYDAWFISRFERLNLHAIRANWESTAET TADYLANTLAKDGTAYSPAGSWHVLSYYANMTGNRLATTASADGGFDVYAVSSGSGIG STKIITGSHGTTNPYLIQISGLAAMGYPTSGQIRVTVREFAWNGKYGAVASPGIYGYV NRDIENDSFTIGTTASSGDVAYAFEF PFICI_09403 MLETDIISFEAIRGGFSLLKVPILVDKGDFDGVHIAAHHLSQDF AKVTDDAANQVVTNVAELHGARKAIIIGTLERSITLQSLSATGKLELSTIANGWECFT TQLIRDPFPGCDAALVIAGSDKRGAIFGTYTISNQIGISPWYFWSDVPPQKHSNIFAR DVQTRQGPPSVKYRGIFINDEAPALSGWVHENYGDKFNTEFYKNVFELLLRLKANFLW PAMWFGFPHPGQSFFVDDPLNQEMADKYGIVISTSHHEPMQRAANEWFSEPYHQADGS WSWLANREKITKFFNEGAERAKPYESYITVGMRGEGDRKIVGSNPQAVISDVLKTQRE IFKHHYGKEDGPNQVIALYKEVQQQFSAGLDIPGDITLLFSDDNYGTARRLPYGDELK RSGGSGIYYHFEYVGHPRSYTWLNSNHVPKVQQQLSHAYTNGARQIWVFNVGDLKPME IPLTFALMHAWDVTRYTHSNLDQYFGLFARNTFGSLPNITDDISSLLCTYDRLVALRK HDHIEPDSFSLAHYSEAEVIEKQWKGILSKAEALYVVVDRDMQAAFYELVLHPIKATT IFISLRVAQAKNRLYALQRRNEANHWAEEVLRLFNADADLSQEYHRLLNGRWNHIMRQ PHLGYRELDYAPSRNMIEGVSYVQTREDSNPIMGHLGIAVENHAGTRPGLICEEADRT HPTRRDLVPSVTLPPLEPFGAESRWFEIFSRSTREFIWTLSVPYPWVRLSRETDIMKP HSPSARIEVSIDWPQVPDGFEEEILIEIRSSMGDFDYVHAKVVNRTIPSGFTGFVESD GYVSLHATKCVQPDLSVYQILPFLGRTGDGAVGLQEDIKGPTGFLSYPFHTFTSAPDS RIVLYFTFTLDTNPERPLTYDVVIDDGNLETHRLVREPVVAGDLPPGWLSTVMDCAWT KHHNVDLGITGTHLLKIRLNEPNCLLEKVVVDLGGVKESYIGPPQSLFIHL PFICI_09404 MATLKTISFLALLASSTVAVPFENAPEAVRSILKRTNPSIASDF TAQQKTQIEDALKDVISLSVEAVAALDDDDRDEIIENYFDEDDKDTVRDVYLKIMGDP DDPTNPDPTGNSMLGNIEIVKDYQDVNGDYNCDDGTMALLVDWSTDNPHLILCDLAFT HGGIDKGYDNVQKIDCDWVDDRVTWKIHFEKLVVPPLDLDVTDEEDGYGPVNTRKLDK DKALYNADSYAWYATEAFWTKHCDNDYGEPEDDDDKDPNCNDEACQDATA PFICI_09405 MADTEPAIPSISVRLAITPPEYSRQTGDAGFPTFTIVATLAPSA PKPITLMTWPTAFNPQLALKRRDFTAQNISRDPPEDIRLEITKGPSRLPYQPRRGSSD QRYYVTLYPGRELTIAEQPFNLVKRVDGEGVCIFQPGNTYRLGISDSGSKTGLWWWGT TDDILYEAGGPPYRIHHLKGKGDISLISEPVDFTIVE PFICI_09406 MSNPFKKLLSLASKDPAKANPNGTLAQKSSGRAVSSWNQSSTNS GRPPSTSMGNTQQTGAADRAQDPPPAYTPTAPAAPPAPAATANSNKFQAHPIIKRRSE LHTDNDPYAFLRKFDTVFLIDDSGSMWGRRWRETRDALSQLLDVVLGYDDDGVDFYFI NHQTKDRGNSDEPWKAGSGYRNVTRATGTSRPGEQLTVEEIFSNVQPSGGTLTGMRLE KILSRYLRKYEEMVRETDDETCLKPLNIIVITDGVAQDHPKEYIVPAAQRLDRVGAPI YQIGVQFFQVGDDRRATESLRVLDDELCKTQGCRDMVDTTTSGGSEMTGEHLLKALQG GVDKHWDKKRA PFICI_09407 MPGIRSSSDSSGGALLARALEATPNKDNDGMGSTTNRSDSPSDD EMALVEASDQFNKLVNDSQGYRPLVAADEYHQGHHLRRPSTVRPPNSFVDQSPFGSPG SHVPPSVASSPLYRGFGDIQARLVTAQQYVRVTPGYNNDQAQNIQTLLMGVGQDLTHF ATNIIQEHTRSQHQAGELRVQYEKAQNELLSRRQQITDLQTKYRELSQDKDLHAKMAA GLEKQIESQQKDIQRLQKLAQSFEQKRVKQVKELEAEIKSLRLERDSHMQLVKVSNIA ADDDDSDNEEIEKTTNNNKGAATKRRESFLNPNALEFGPTGAKADHSKEMLPLLRKYA NEGASKTTQPQEARSYTPSSIEPPKPAPSRMGFRSGTPATPFGLPNTFGAKSNALVRA NTPRQPGFRAVTEFGSVDFNALQNKVAWEAEDVAHGFARLYGLIEGLIAKNHIIPPFN EADGMLVHTNPATWNYILSMGLKNPTQSASHMADLLTKFKCRHWVMKRIIVDYIINRL IVPEIFFGFNDAIDSHLSALQSRMRSRGPNAGRPQGAERQRIVMDHAKVVQFIIESGA EADEFRDRSVAKHVGMLMEILKPMRSCSIDDETARRALTIVINAAWTITTRVWTSGMT LHYYFPETGSKFAYGTMRSINYTDTPSDQMQYQQYRIMLVVTPTLSLRDDRDMDRLRT HELLKSDVLVMR PFICI_09408 MSALDVEALLDATATKDETPESKSREPDDRLKTDRADRRDRDRA RDDSRDRDHDRKRRDRSTDRARRDRGSDREATPRSDAGSHKSRRRSRSRDDDRRHSRR HRGGDGDYYRGGRGGGGGGGGGRDRSRSRSPNRYYRPRDERRDREDRSHRSKDDDRFR GGRTPKRDATPQLTEDERDRRTVFVQQLAARLRTKELKEFFEKVGPVNEAQIVKDRIS GRSKGVGYVEFKNEDSVTAALQLTGQKLLGIPVIVQLTEAEKNRQVRTTDSSGTHANS IPFHRLYVGNIHFSITEQDLQNVFEPFGELEFVQLQKDDNGRSRGYGFVQFREADQAR EALEKMNGFDLAGRPIRVGLGNDKFTPESTANLLQRFQGQNQPYQGSAFSGAGGRGQQ ASGFDRAGGRDNDKATGASALDDTDVGGVNFNNYSRDALMRKLARTDDAPNGGRDERQ ILKPKTETKPLPVNVNMASRCVVLHNMFDPAEYAKSLPYTCLDYSIANTHSREEGETW VKELEDDVRQEAEEKYGHVVHISLDPNSQGDIYLKFDKVQGGENAIKGLNGRYFGGRM ISAAPVVDAVYSSLFSRTKAI PFICI_09409 MTTLLLTAALAAVGTASPHSPRAALNTTTSADSVVNSTTCNGQT YVYQELAGYGFVVSDAVDKFGDTLGGLGSAIYIDKTSWKKSCNGTYTGTLWSLPDRGW NTEGTLNFQPRVHKFTVALTPAPNATVADPSPPNLIFSYEDTIRFSGPDGTPCTGLDA DVTGHLSYPGFPDLPVATYTGDGFGGDGPGGQRIPVDCEGLVVNADGSFWISDEYGPY IYLFDATGQMLTAIRPPDAIVPFRNGTESFSAASPPHYIDDGNGPLPNPEHPDTGREN NHGFEGLTVSENGKSLWVLLQAATVQEGGLEKQTQRYTRFLQYDISAPLSPVYISEYI VPLPSYIDPTAKASKNPKIAAQSEVHALANGQFLVLSRDSGAGHGQDESQSVYRQIDV FDVAGATDVRAAGYDCTNCSVADADTGVLVDGVDTATYCGFLDFNVNSQLGRFGLHNG GDQDAQLLNEKWESISIVPVDPRNPDDEYFVFSLSDNDFITQNGFLNNGQFTYADSSG YNLDSQALVFKVTIPS PFICI_09410 MYNNIFTATWSLLQLLYIVAPPNTLDVCIVLAGLGIRLLLWSAS QTDIVTKVFLEALNNLHEDTLSEAILLNNSVYQSLPALEHGGGYMQGRLLELQPGSGN EPIVCTLGIMELGHSDGKPYEALSYVWGTSPWTSRVQVNGKNFVISITLHRLLLHLRR ESTPRALWIDALCINQSDLAERSSQVLLMPIIYSNATQAIVWLGHHEPLGLEKTFQYM NLPSQNGAKNDRVNNRIHYGSSRVAAQLLRNDYWTRTWVIQEVVLAKSAVVQCGNSAL AWDRFCRLAHASTQHSFFPVKSTHFDKFEDLNDIRTSRLSLQNDSNTAPRKSRCLRAT MTRPENSMDLLSLCYRFRFRKSTDPRDKVFAFLGLSDVSKRLLNVDYCRRQSFLCIEL SMRHMCHSRSLSVVALAESMRAESKLDNPAVGKPDYIPTWCPSFFRSDGWFDDNLRLL WTGLPSDETSFSATGCTPTSIAPEYESSQEETADNHSSFHLLKVHVLPEFSAKITHDG RFDDPNDEALSKTFRIDETLHATLRSISGQILPAERTLSKEAVRLRKSTDAHRTSHHP AALLDQDMSADELLHLTLTAGKFSKFPTAVANPSRGQYHQARRHVYSGRRLFVTGNGH LGLGPEGLRNGGELHLVLGMDVPVILRPALSEWEHLGTPYKSAWVYVGQAYVHEMMRY KGDLVEDIRRSKVKLEERVLV PFICI_09411 MAPSIEELDATVRTFYEGRGEQQKNAQAALNQFKEDPDAWLLVD QILSDASYPQTKYLGLQVLDNVIMTRWRVLPREQCQGIRNFVVNFIIQCSSSEESLKA QRTLLNKLNLVLISILKQEWPHNWPTFINEIISSCRTSLSICENNMIILRLLSEEVFD YSADQMTSAKTRNLKTTMCNEFSQIFQLCQEILTTANQPSLVNATLETLLRFCNWIPL GYIFETPLIETLRSRFLEVPEFRNITLQVFTEIGGLTTAAHGQPNAYSEQLVKMFCEV LTTVAGFIPLELDLKSTYPQSNSKDQEFIQNFALFLCNFFGQHVNLIENLPNRDFLTH GHFYLIRISQIEDREIFKICLDYWLKLVQELYEEMQSAPLQEMNPLMSLGSTGATSGA PNPSVLASLPLRMHKFKEVLSNLRVVMIEKMVRPEEVLIVENDEGEIVREFVKESDTV QLYKTIRECLVYLTHLDVVDMENIMTEKLQRQVDNTEWSWHNCNVLCWAIGSISLAMN EETEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVN KLFEFMHESHEGVQDMACDTFIKIARQCRRHFVALQPSESEPFIEEIVRNLPRITCDL TPQQVHTFYEACGFMVSAQGNKHQQERLLAELMGAPNAAWDEIIRAATQDPTILQDAE TIKIIGNIMKTNVSACSSVGPYFGPQIGRIYLDMLQMYRATSQLISDAVARDGELAPR MPKVRGLRTIKKEILKLIETYVDKAEDLTAVRQQMVPQLLDSVLVDYNRNVPGARDAE VLKAMSTIIGKLTNLMEDQVPVIMQNVFECTLEMINKDFSEFPEHRVEFFNLLRAINL HCFPALLKLDNRQFKFVIDACMWASKHDNRDVEGAGLNMCLELVNNIAEKTDDPTKNA FFQQFFTPILQDVFFVLTDPDHKAGFKTQSMLLMKLFNYVLPAEGGTPKIQGPVYTDQ APSGTSNRDFLANFVGNLLRNAFPNLQIAQINLFIEGLIGLNNQYDKFRLNLRDFLIS LKEFAGDNADLFAVEKEQETQQKLTADHERRAKVGGLLKPSEIEAEDDEL PFICI_09412 MSSQPDHPTLLIPGPVEFDDAVLQSMSHFSESHVGPGFVATFGE TLTLLRQLFQTTDSSAQPLVISGSGTLGWDLTAANLVEAGEDVLVLSTGYFGDAFADC FETYGAKVTKITGPIGGRPQLPEIEEALKSKKFKVVTVTHVDTSTGVLSELEKLSELV KRISPETLLVVDGVCSVACEEIQFDKWKIDGVVTASQKAIGCPAGLSISMWSGKAIEV FQARKTPPASYFASFKNWLPIMKNYEAKKPSYFATPSPQLIHALNTALVQILAKPLSE RFARHTEVSDRIKKAVTDLGLKQVAVKPEDQAHGMTAIYLPETVKATELLPRLAKSGV VWAGGLHKEIAPKYVRFGHMGVSVTDPNRKDIDKALNALSNGLSELGYQKA PFICI_09413 MAFLILVIGDMHIPDRALDIPPKFKKLLSPGKIGQTLCLGNLTD KPTYEMLRAIAPDLKIVKGRMDVEATSLPLSQVVTHGSLRIGFVEGFTLVSTEPDVLL AEANRLDVDVLCWGGTHRFDAFEYMDKFFVNPGSATGAYTTGWLGPKDGKDGEEEINP SFCLMDVQGISLTLYVYQLRKDANGVENVAVEKVTYTKPVEPASSS PFICI_09414 MARLNEPVAAPDGMEILRRKFLRQNRDIARVNSTQSLRIRSLEN ECARMLSENLELRGQILRLETEIQESRAQRIADHALEIKEKMEAQLLEWGSMLASLGH EPLPRNRSPRANKRLRRDPSLGLAGAGSPRFRRRNTSELEAAALQEGRLPPLWENRPC PRETLNRDEILALCSEAEETESPDLGPPPMSRFVDPEPVKISLSTTQTPMSPAAEEPR SPHPCSEQAPEHMALGRVPQEIVPGHVESTASKSPARNFVELPVPATQISNVTLKRKS RADEDKENAPTAKLGGDPAKSTKLREKLATTKPFKDPSADKGDGHEQITTSAPVLAPR KALGAKSTNEVVSSPKKPAKRPLLDEIAQEKANAKGSGKVREPSKPKKKEGDSKPVEI SVPQPAPAPCMPVDVEPDLMSSEPISSAVTSPEPQSLRDTPPPADISSTGETSRVGRR ARSNVSYAEPNLRDKMRRPTKQLFDAVAGEGKAMRRSSQSRHSDMFPAKSDEKPSDCK SKDLEGSEKEEHVAASPLVQKVSRNMPTEDLQTTVVTERKKRDSSAAAQGAGADSSKT ATRPMNKKMEEIAAREAEVAKMFDGPDVYEFTSSSPSRHGSTTTSVSEDGKKSASSRS GKSRRLSSMLNEDLGNESTATTQKPRASRKRASMMVQKTASSFDADTSTSADGDSSFS SNSSGDTEVTGRGVSMRRRSMML PFICI_09415 MAFSTRSLSGHLRQGSASGNAASQSTGQSSALQARINEKKAELE NLKELRDLSAAVANQMEALEAKLSTLSDGTEAIATVLGNWHNVLRAINMASAKIPKPR EATDDQDDDQAVPLPQTLVRIPTEHAPALQAQADNLENEHNSSTLS PFICI_09416 MASDTHPSTRFDVFNDSCNTSCDDSDRSSLDLESSLLPLSDISV SHAQNRQSLGSASAVESLATALKEFHIGESPERVQYKGKAIGLKAIKQSIARSSIQPL AAAAAYNPIKVLETVPEDSSSQEGSSTIAADSVSDRSQHYIQIPVDQYTHHPLSEAAR NLSSFEEQLAKHRREEYEWVMTIKVAEPLPIDTESTQAEPATSRATTRLYFGCNLLPD QPDTDLLEQTSYSLLVTPLEGNAQLDAATELEDDEMMALTGYNAVEQVMPLQPVDQKP EVCVAEISLEDAKSDDAKSFGGDSSVGAASVVMEEPLARIEDSVEALDQLEEQLEAFD LAACMRDLVTHAPSKSLPNQTCPSTAPTPSKSKEAAPQIQHRSPRTDVSALRVNTFHS TRSPTGRRSGSMAGMDAPKLLDSPKLKVEDKPLVQAPVKKAAPKLTSLLPPKQPAKST KPPTVPTFELPGEAVARRLKEQREARLSMAANAKKPEATPKSSLRRTKSAKPPTRPDF ELPGEAISRRKREEREAKLKAEEEEERKRREFKAKPIRMGGVASYVPRDTATSRARQN KPTDGAAQTSPSRHKLSAAPTSVSAGALKTSTDQMQTRGRHPATGSSQESRATSSSNG SVNGKRSSVSIEDVQAQRARGKEILQRDGMFAQDKQREKREREALARLAREQAAERSR QLSRAWAEKQKQKRMTIGSVRDVVASSS PFICI_09417 MLSASLSTEDRNQLPSCPPKAYSGRDKAANDNTCSAQAYDLESN HKEKSENSSSSDNSMAPKAPWWSRYSGYQKLRIQRPNSPARRGVFAALAACVVIVTVV VVWLSKTHRLGSGKSSVTVTLQQGTYLGETTPKSSIYPRAVDAWRGIPYAQSTGGDNR FRAPQPLSSDASSSKVYNAQDFGPICGNTGAEDCLSVNVYRPHFGDNYQADAADMNKL HHEGRQLPAMPVVVYVHGGGFNGGAGKERNMASFVSWAAMPIVGISFNYRTGALGFLP SSITEKEGVLNLGLKDQQMLLAWVQKNVATFGGDPDNVTLMGLSAGAHSIGHQLISYS PANKLTNNAVPFHKVIMESGGPTARAVFAPSHPLHEQQFQEFLSACGLSDISDDEVFP KLRELSLSRIQSASKAIWDKYNSPSLRWAFQPSIDGPGGVIPDLPLRSWEKGSVLRIP ILSGFDTNEGATFVPPRASDSDALRDLLGGIIPALNETSLTTMENIYPDPLSTSEGKI LYSYQPAGFGKQFWRLDDAYAHYAYICPVLQTGHYASIATDSKAPVYIYHFAARSAAH GGTDHGDEAPVVAHDQQVLANFPGLTETATLMTDFWTRFAAFGDPNPEYLSTATNTNM MWMKYQSPFSDSGDKVTGVNGQVALFGLGNDERMLAKGRSSPGTPGQMIALTERELME CRFWFDKVIYSEGFGNGSLALT PFICI_09418 MSQPPQPLVQSAPQPQQQALNQNRAYSPHVQQQQTPQQQPPQHA PSPNANQQIRQPQQNQTQPPRPSPDPRSPTFPAGNFSSSGHSTPGVRTPTSTMASPSV SHFAQAQPQPSVQQPHQTPSYATSYNQSQMAPQQNGRLTPGTTVNQRFAPPQQQHHAT PQPNANHMVAHSPSAMNHPPQSPHVQQPNGTAHGYANTTFSPTGQVQPVSTPGAMGPP PHLNAPKPAVPVKSYQYEMDDSLAGTGINLDEEEQYMNDYETRLGFGSHVPGGRGSFY GAGPANQPAETGQSKSQEEMAADAADRAWNEAAHRYGVSRAQDFLQQGFLLPALLHAR MSKAAAANGLELNLDPKPPNPNMPLGRFPNPNQWEKPYVQVTTKTSEDNAIVTTAGSF LPKEAYLIDQIALLSLATKTRLGELLTDANLVACNRQQTAHGKPPPEWAEAAEPPVMS DSAVSPRTNSRKIYEGPADELSNGLPTPVSEASIKNPFADTIVSLGKTSRSVEEARLR KRQKRAEQAADREKNPDGTSASRAGSVAPGTPGSVAPESGEAKPLSKKESKKAARLAD TSSLSVNSTLSQFMGSKKKKYSWMTGAAGGSGANTPRGPGAAAPASGGPNKAAKGPLT QDASQQIGSLREDSVKGKHIQMRDWIEVLEEHGYLTDQVALQQAYIKLERSDWGDKVK VPALPIPAPAPSTATTPVVSTPVVSTPVVTTPVATTPVATTPVATSIPT PFICI_09419 MASKRKAAAMGATVSDEPVDPSDELMFLALGGGNEVGRSCHIVQ YKGKTVMLDAGQHPAYDGLASLPFFDEFDLSTVDVLLITHFHIDHAASLPYVLAKTNF KGRVFMTHPTKAIYKWLIADSVRVGNTSSNPTSQPVYTEQDHEATLHRIEAIDYHTTH TVSSIRITPYPAGHVLGAAMYLIEIAGLKIFFTGDYSREQDRHLVSAEVPRGVKIDVL ITESTYGIASHVPRLEREQALMKSITGILNRGGRVLMPVFALGRAQELLLILDEYWGK HPEFQKIPIYYASNLARKCMVVYQTYVGAMNDNIKRLFRERMAEAEAAGDGAGKGGPW DFKYIRALKNLDRFEDLGGCVMLASPGMLQNGVSRELLERWAPSDKNGVIITGYSVEG TMAKQIVTEPDQIPAVMSRNTNAMRRGLGGEGERVMIPRRCSVAEYSFAAHVDGNENR EFIEEVNAPVVILVHGEQHNMMRLKSKLLSLNNAKPEGEKTKVFSPRNCEELRIPFKT DKIAKVVGKLASIPPPSKPMSSDDPEAPLVTGVLVQNDFKMSVVDPEDLREYAGLTTS MITCKQRLTLKAAGAELIKWALEGTFGSIDELPETKHLGNGKEESNGDDSKTEVDEEV TQTVAVYLVMGCVTVRVRNNGEVELEWEGNILNDGIADAVIAVLLSVESSPAAVKQSA SKHSHSHTLPTRNLHSHLSPQERLERLFMFVEAQFGADAVAPIAKPKLPPVIKDAKPV NDGASEASMELSEDGEEEEKLQEQREKAEIERLHKIGIPVPGISIKVDKMLATVWLED LEVECANRVFADRVRAVVERAAEVTAPLWG PFICI_09420 MRRWFSTEILGRHGDEADHEAEKGTGPAAESSTSDNSEKVNATG TTSTLPQNVVNPFSGLPEKPVRQHKRNASASYESRWDRLKEFHVVFLIDDSPAMRNDW AEALSFLGEAIPECLDRTGQNVSVFFTNRWTAEPSRYGGDDCGGWKPQWPVPSGHIDI RYVTRAAAEAASSPPQTSAEFIFDGVLPVTEEERLSAVAAATAAAAADTLRETTSSTT TPATGMARRLGHILRPYVQAYTAGQAGDTEFKHIANLDVIVVTNGVDAADVQTETLAV AEDLAECGAPTAQVGLQFVQVGDSAEGGALLRELDSDIVLGERDMIDTVRYAQTRDPR TGRMTGDGLYKVLLGGVSRKVDMKKLENGQFLGKK PFICI_09421 MGNGTTPGANPAPLYKEKSNAIAALMSRHTSSSSLSFLSSHSSK NNNSSSSSGAAQQQQQQQQQRKKTPAQLVAEAEDYELDRLAQYDDNMGVGMFALENRK PSEDSRRREQEDRKLKARLGLTGKGKRKGSEWEAVSGVSRARGAGSDDDEEDMGRSGV GRAKKRKRVVVVDSSESTHPEAVQTPQPESEVTAAAGTSQETASNDPQDLEETHKTGT ATDQDSAANADGKKRRKKKKQKTKSTGQVGATSS PFICI_09422 MATVTESASTTILGNGTAQETMGARTVPIITTTTPTPTPIKMSA PSPRGDPMDLTTPTSSSAPGSSSKSPEGEGHDQNISSSSNDQHLASTNTMPAPVAAAQ AVHHQTKIVQTAFIHKLYNMLEDQSIRHLISWSNSNESFVVSPSQEFSKVLATYFKHT NISSFVRQLNMYGFHKVVSDVFATGNPETVLWEFKHGNGNFKRGDLVGLREIKRRASR HTLIHREYNNSKPTPSQPGTPSEPMPPIPDGVDPRIINIEHNMYDISARMQRSEENAH YMHVKNQAMMDTITRLLEFNQTLTRTLLALVPDPNQSIHQEVLRLQLDLQRQSETFRS VDEPHEPPLSSSRQFFANLENPPLSPRQHAQDDQRRLNAAQARGPNYYRPPVPSNLSI SSRRQYGSVSGATPPIINQPSPSPLRPQAPPVPSGPHPLSNVEGPPGSLARRHTSADI RAHGWVPNPSPLAGSGPSSSQWPSSPSRLPMDDRGPRDTLSTFPPHSHSQSRPSTPPP PPPPFSNGINNMDSINNNFNGWSWGAANRENKNLSIKDSSLPPTRRGSMAHILNPTDT AEQENEDDGREEDRKRKRLL PFICI_09423 MASTAPPRPRPRPAHTQGATLCAYTPDGTQLVTVGSNNTIRLYK TGFDGEPRNIDDCPEQHTAVDAANDFFVAGSEDGTVSYYSIPNAEFERFLLRCSLPIR DVALSPDGQWCAVASDELTVKVVNTSDNSQVRTLKEHGKPTKHLVFDHKGNTVALSCT DGVVYIYSLTSDEPELIRKMDGIIGALDAESEISSMVAWHPDGRAFAVPTPTRDIQVV SKNDWEKQRVFSKGHNGDITALAWSPNGAILASAAKDKKIILWESKTQSVIARLDYVN VMNISWHPKENLASFTNSDGEVYIHPDFVPEQFAQLLKLPKQPAPFIHDPLQEVSLNI RRPGPGPKEAERRPRRDSLDSLDSLMDGADNDDFVVDDDGAGYTLNGNGKRSHDEDDL FGDGSNKRFRLEAQYHEAFQPGSTPWRGNRKYLCLNLIGFIWTIDQDGHHTVTVEFYD HDFHRDFHFTDTFLYDKACLTENGTLFSSPPKDDTPATIFYRPHETWTNRTDWRTELP KGEAVLAMSLSESFITVTTTANYVRVYTLFGIPYRVYRPKSTPMVTCASWRDYVLTMG NGPVGADGNARLLYTIENVKRDEICQNEDTVALPEGATVKSVFFSDNGDPCIYDSTGT LLTLLHWRQPSKASWVPLLDTKLLPRLASGRKHETYFPIAVADNKFHCIILKGGDQYP YFPRPLLSEFDFSIPLSSEPPKAKSTNAEDPDATPEPEDGESETRKLEQTYLLKGIFA AQLQDSVDATQGSGSHAQRSTLARLELDIDKTLLQYLAIECREGEDRGMRALELVQLM RDRTGRMLDAAGKVAERYGRSILGEKIREVSERRLGGDEMDEDFP PFICI_09424 MQKGLSADHFFETDASAQRRERQAAKSANKNGNPISLKSKPLAA ILDLGSPSSLLVAESSGTVRRVNTETQDTKQVYRGPTAPVTCVALGGPGNQTLFAGSW DKDIWSWDVSSKAPGRKYSGHSDFVKAVVCTKLGGKDILISGGADKKIIVWDVATGSR LHVLQDQVVSMMAVQHLAVDPVSSGPDEVILVSSGSDPHIRRWRIRVDSAEQIIETLP NESEARYAILEHETTVYRLFFDQQGDEVDLWTASGDGTAKCLSRARNYAVEDTIEHGD HVRTIIATEHWIVTGGRDEDIKVWDRASGKLYCTLDGHYDEVTDLIVLGGQTTSAAQI ASVSLDGTIRTWSLEKKELDKINQEKLDKANGVEEENPAEEEKEGLMTAEEEAELAAL LDDDDE PFICI_09425 MEPQAKRRRIEPEHTIPGDTRSFGGPPHEKFQPQSISSGFNGTG VANNGSFNVAGDFYTTAGDAANTANAATTRREELLDSLRFEQIESRQLSIKRAHAKTC SWFLKDPMYKRWGNRAESQKNENFLWIKGKPGAGKSTLMKYLHGQLKKQLRTNETLIA FFFNARGSDLEKSTEGMYRSLLVQLLDGRPELQHVLDRLRPGCQWTIDLLKDVFEQAA EQQNIVCLIDALDECDEGQIRDMVDWFDAIIQADIKVHVCFASRHYPYINIQTRLSVT LEDQDQHENDISSYLDAKLNIGHSKLAEKIRLEMREKASGVFMWVVLVVAMLNKEYGE GNIPNMRKRLRQIPGDLHELFRSILTRDDQDRDGLLLCIQWVLFAKVPLTPKELYFAI ISGSDSESLPDYHSNNISESDMQRYILNRSKGLTAPTRLNEKPTIQFIHESVRDFFRK GDGMSQIFPNLANNMFGSSHESLKVCCLRYIEMEKGIGFEKSDREDAMAKFPFLKYAN QQILYHADQAASNGLAQRDFLAKFPHTDWVRNHNILKRHQPYTSQVSMLYILAEAGTT SLIDDLPDIQSCFDVEEDRYGLPIIAAMALNNFDCAQEILRVQAKRFSEFCYEQFCER WSSVLAIPNRETNFNSLLDYSFDRKQPKFHEIINYGGEFLSLFFLQTEGFGTDSKDAR GLTAYRIAASKGYCHLLVELHHRGVDIELSTGRRALHVAASACNFAAAKRLIDGGADV SVVDVQNRTPLMVIPYDTQDAIDIMRLLIEHGAQVSSADFWGVTALHHVSRSKNGCEL ARLLLDGGASVAAVDKEGQTPLHKWCWGDWFIRHGEGRAAHQRQDYATPIPEGYLNVG RLLLDRGADVAAVDKYGQTSLHYCNTPETAELLLSHNACISVIDHNGNTPLHWAAGRH EAYLCQLLLQRGADTTALNKEGQTPFDKLSIIHRLTFVSLEDWMKKMIVEDEDWMNIV IDDDDPRYVRDDANTSLVELRYGHQLGS PFICI_09426 MVEKSTKKDSISHHPNAEAFLQALSEREGTPNVAAVLLEVFKPQ EWFSEESKAEILDILSNLKLDTVCSSYGNFRKVRDCLKQVNLTGERISWDPDRGYEGL LPSYEAVEATRIVGSKIGGFGTLPQTIDKLIKRGKMHFLLENPDDRKTPIHEFWVKRV KEDDEERQRNSVPRTRDDSKNENSKTGGQKDTHHDAFSEDEASDEDEKDDQGDQSVDD NEDLSDTTSDEEDMDDDITEAKDNTLSSKILSSKLFISMVSDAMSNAGLPVHNRHIQT TVKSRALRDALQEAIANAVKLDSDVHRQKNEARLNVRGGNGGKKRRASSSAVAERRKS ARSAAKKR PFICI_09427 MGSSSAANSSSRASSPTQPPPPEPPINHPLAAQTHPDHQQQQQQ PPASTASTARRFANLRIRQRLATWHAHDHHTHSTDDLDENTMPSVQSPRGNFNQKLKN FFKINNDSKSSHSSEKNQDSGAPKHNDAKNKGNRNTKFFATVGRLRSTTTASEGNPLD EAMSPTAHANPYYALQGQPALQHHNDLAVPPSPPDTPSLKISGPDSAQAHASTATKEE LARKLRKVASAPNAHGLFSKGGPNGERPATAELGKEPLEHSSDAVGLVDKAASTDNGS LAVPDKDGLGALPSPGLNPLAFRRTYSSNSIKVRTVEVGPSSFDKIKLIGKGDVGKVY LVREKKSSRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEDYL YLCMEYCSGGEFFRALQTRPGKCIPEDDARFYAAEVTAALEYLHLMGFIYRDLKPENI LLHQSGHIMLSDFDLSKQSDPGGKPIMIMGGKGNSSAMPAIDTKSCIANFRTNSFVGT EEYIAPEVIKGSGHTSAVDWWTLGILIYEMLYGTTPFKGKNRNATFANILREEIPFPE HTGAPQISNLCKSLIRKLLIKDETRRLGSRAGASDIKAHPFFRTTQWALIRHMAPPII PHISKDNETINFRNVKESYSVDISGTRNSNLKGVPLDSGLATPGNEIVDPFEEFNSVT LHHDGDDHYGSQSSIEPAR PFICI_09428 MTTVRLDDYNMYFDDGMVEETDEEGVDVVDVVEDPYNAEMEGIG EYPLEVDDERVEASPLDAKGKQAEGYSYGIEMGMNNMEMYDDQMDIPAHNGVHENNTD ENVGGSEHIRRRGEFKRHLDENDEESMDELATNDILGDQHERELDTTEFIEAPVEGAD GMAAAPTVALQFTRKNHQGSGRAAGETSPHLHISKKAKLGKQRTLHSTHSSSSTHEQK IMGDGRLRARQAPKPFSDEMSRAIAIMDQEIRDHDLGQPKKRGRGRPPKGSRIVPQVT VTATTSGSGTPGTGSGPGTAIKRRGRPPKAPPLSIREIYLQTKPKFISFGCEWHDPQL YPTTASGRCPAELQNLDTLRRHVFIVHSYESGSCICRWGKCSAQSEPVTFQDEEAWTS HMEQKHLIPYSWHMGDGIQNRGIEELKKDIDSEELPRYLFFEDGQQVTPSIRDQQFDD QQATLDRKRMLSDIRRQAEENAPTDQEHRLQLLGQEMPPPARLVNQRAS PFICI_09429 MAPKGKEEKGKKPAAGKVLDDKTFGMKNKKGGAAQKQIKQIQSS MSNSADAQKKKAQQEAKAREKKAAEEARAEAALLLNKPAQIQKVPFGVDPKTVVCIFY KKGDCEKGKKCKFSHDLSIERKTEKKNLYTDGRAEEEENKKKETSDDWDEEKLRKVVL SKKGNQQTTTDKVCKFFISAIEDGKYGWFWICPNGGDQCKYKHALPPGFVLKTKEQRA AEKALLDKSPLKTLTLEEFLESERHKLTGTLTPVTPESFAKWKKERMDKKAAEEQARK AKEATGRALFESGDWRFQEEEESSDDDDNDDAWNLEKMRNETEALRQKKEEERMGVDG VEASTEDANDVA PFICI_09430 MSGKLDQSLDEIVSTQRKAGGRRGRNTTRRASGRTTTVAPVGGV QKNSKKPQTAAKQAPAKASGGPGDSKVVVSNLPKDVTESQIKEYFVTSVGPIKRLELA YGPGGSSRGVATITFSKRDGASKAFNQLNGLLVDGRPIKIEIIVSGDKAAEIAPAPKT LTDRISQPKSQPKSAVPNKKKEAATKDTAAGGANRGRRKPARGKSSRPAKKTAEELDS EMADYFVAGNQNENANGGAPAPANNGDAAMDDDIMVSSVSEMLPINRC PFICI_09431 MSGPQRPPPPPYQPQMQKQQQHTEFTPEMRDRQARGKDPYSVHE DASRPGGSRGAESFEVVQKRRVAAQMLDTPELLMMDAQRVDDSIPATRLRYTRMLCGM DQPPQHGSSSSAAQSSSRQAHGSSSKSRTHTPSKRQNSGGK PFICI_09432 MRGILGAVAAAVLLTFSPLLAEAHSTKRNALSYISRVDDPILHT PSHRVHAHSSFELTFLLHGANDKVRLTLQPNYDVLSDDATIQYLNADGSIRHEEPILR SDHRVFKGDTFVKHPDHGEWLKAGWARMSVYRDGANPIFEGTFRLDGVIHHVQTSTNY RKTQHHEDPVAEFSRDEYMVVWRDSDVLPSHNAVGELKRGLGDSSCDSDHLSFNTDLD HPIFTGIDLSSMSPAGGHDLFARDDDTPTGGNGAGVNLASTIGSTDGCPTSRKVALVG IATDCTYTAEFDNKEDARSNIIQMVSAASEVYESTFNISLGIHDLVVSDASCPGTAQE TAPWNVACSDSVTISNRLSLFSAWRGQRNDTNAYWTLLSTCNTDSAVGLAWLGQLCVV GTGDGNDTTSGANVVVRTSTEWQVFAHESGHTFGAVHDCISSTCSDGSVSMQQCCPLS SSSCNANGKYMMNPSTGSDITQFSPCSIGNICSALQRNSVRSTCLTNNKDVVTITGSQ CGNGIVEQGEDCDCGGEDGCGDNTCCDATTCKFTSGSVCDPSNEDCCSSQCQFKSSTE VCRASTGTCDPEETCTGTTATCPEDKVADDGTSCGSDGAGLTCASGQCTSRDLQCRTV VGALTTDNSTAACNSQGCLLSCSSSRLGSNTCYNLNQYFLDGTPCQGGGKCSNGKCEG SNIADEVGGWLKDNKNVVIPVASVVGGLLLLAICCCCWSRFRGRKRPKTVRPLPNQGW PRGGPPPGWAGANMPRSQPRGPGSQMDRGMPPPYAPYGQQPMSRIQTARYA PFICI_09433 MPKRKNAKLVPHDDGGQKQKSKLPPPVRLEAPPPMHHQVQALVA AAYPDKKRLTNAWDDRRGDLKRRRTDSLMSLPWELPGEGRLNQLGQKIESPHYTTQHL SGMVDNKLLLQERVSQWRNMISTTSKSENPKSGLGTFARVPTEVRDMILSYLLVHYND IRVLNNWSLVYERSRPNLHVGILRVCRIFHRQGIRILYGENTFKYLVRDPSPMNPHTQ LVIDHVYNSNHIPMEKHIHLVKKVKLVVEANRMHWYDIREAVPRALLMFVPGQLHTVA IELPAQTRESLGLDPDIGTNMDDVPVSDWLHGNTLVLKTLQQLNCQFIRMLALTYENE SFEALIDRRSHFTQRGAGDGRSDMWSEDQLMLANRKMEATKSCARLGALWHWLRRLAL EGTADDVGPFLQHVAEPEVSNHFQLESPAASNRRNPRRAQRLTSGWFNYNVGDIGRAE SDDDYEDDGHNHDDDDDSIFVR PFICI_09434 MASIDEIQGNLLRKPSGEEAEELERTASTYKPLNSFQLAKEKSY QQQYADMYFLRLTKIKPAVETLAVEAWSDTVVGGEKVKPVDRVLDIRQGELCWTIGTV YMDMALKPNILEDVSKDKWISAPTSTQKYWSDDGSDAVMLEDESGRIRLVGAALKSVF LVTGCIIAVMGTENANGELEVYDIKLPDLPPQPDRWALSKPPTTNGTKTSKAKDEDEE MTDSHSRGSGGKVAIVSGLSFSSSDASHALELNLLLEYLLGEALDPSAQQELAQISRL IIAGNSISLEDRRPVEEQQPERKTNKKYGYDASSYNALPSQLLDEFLASLLPTMPINM LPGAQDPANASYPQQPVHTAMFPNARAYAAVPGSKEAGWLDNVTNPWETELDGWRVLG TGGQNLDDVFKYVDSDDRLGMMEAMCRWRCCAPTAPDTLWAYPFQDDDPFVMKTCPHL YFVGCQPEFGTKVIHGADGQSVRLITIPSFKDAKEIVLVDTETLDVSTVKIATL PFICI_09435 MDAATEAQQIRVNFTTNLPDLQLPEGKSQLLVPGDIKRYGLSRV LNSESMLNTDSPIPLDFLVNGTYLRTSLEDYLKENGLSFETTVTLQYVKSLMPPMYQA SFEHDDWITDIDVLSAMSRAGLWTGDSLRQGQNRILSASYDGLLRIWNGSGRVVATSP SASNGGHSAAVKAAKFMSSSQLASAGMDRTVRVWKYNETDEFSGEIKPTLELFGHTAS VESLDVHTPSKRILSASKDGAVGLWTTSKSSAPEAPASLLPGAGTNVSKKRKIAAAAP QRGALSMMQLHNSPVSGAIFHPDDATVAYSASEDHTIKTIDLTTASVVSTLTTAHPLL SLASLPGMSTPLLAAGTSARHITLVDPRASATTTSVMTLRGHRNFVSSICASPNDNYS LVSGSHDGTCRIWDLRSVRSGTKAEGGGSVSEAVYVIERESQKGKKKRADVPGAGCKV FAAVWDKHWGIVSGGEDKQVQINKGNDLLLAKE PFICI_09436 MTTSPPNFQVWHPSRGISFSPGPSRSPTPVRQSRPVSYSAPMLA DSNNALLSTLDTEAQAQVSEQDVVQLGIPMELEDTSALYPELPKIPIAQGTDAKADDA PANTPVDPDVAKKAKDETETETVIKKPLDLPFPALDFKMSEDLFRAAQKSAPGSPGSF WGYSLYRGPDATGNIRKPTVHYCRSKHTAERVCQQYFKDEKVLGFDLEWVAEASKSSG PRRNVSLIQVASPSRIALFHVALFSKIDDLVPPTFKKIMEDPEITKVGVWIKGDATRL RTHLGIDSKGLMELSHLYRLVTYSRTGEFRNINKRLIPLATQVEQYLHLPMFKGQDVR SSDWAKQLSMEQVIYSASDAYAGVHLYATLEHHRKQLVPCPPTPHHAELNLPIRLSDG LDLETDTEEENSETPPDETAEISTVLSPAARKRALKAHLRSCRAAVDQVAKALRETEK LLDAVIARDVRVEAAETWATAFITENYASDPAEPDSTNKARAEGHAKFIELRAYHLWH TNKDLSTGDVAALLRSPPLKTTTVADYIFNAVKLENLPVNDSRYSKEVIETKPGRWQK YRRSLA PFICI_09437 MAPHESINHSETNKPKPFELFLLDPDQKKIEEKIYAGMSNTSDF ILNKEDHTLGNLLAEYLKRHPKVLMCGYKVAHPNVPEVLIRVQTDGTIQPKEALLSVC KQLIASYGHLAREFTREYELRKMVSAGNQDQNNTGGY PFICI_09438 MESTAPNKKAKLGVVSGVYIPVVLNIISILMFLRFGSILGRIGL FGILGLLAIAYFVDLITTLSLSAIASNGEVKGGGAYYLISRSLGPEFGGSIGVLFYLA QVLNTALNVVGLIDCLKLNMPELIPAGFWESYLMETCALIFCTALCLAGSGIFAKASN GLLVVLLISTLSIPISAMARRPFKDTDLGVEFTGMSAQTFLSNLYPGGRPHYKGSETF RELFGVLFPATSGIFAGASMSGDLKNPSKAIPKGTLWAMLSTLVLYLLVILSMASSIT HQSFLHHTNVISTTSLWSPLILAGECATTLFSALMGLIGSAKLLQALARDKPLPGLFV FGYGTKRGDEPIYAVLLTYIIAQVALFANLNQIATLIAMGYQMTFFVMNLACFLLKIG SAPNFRPAFKFFSWQSAFAGSMLSAAAMFFIDETYAAIAICLLVFLFLVIHYMSPPKH WGDVSQNLIYHQVRKYLLRLKPEHIKFWRPQIILLINDPRRHTRLIQFCNSMKKGALY ILGHVIVTDDFNTGIHEAKLQQSAWTKYISEFSRIKAFVQLTMSPTIEWGVRNLILSA GLGGMRPNIAILGFYNMDELRQSLPEADIASVPPSPSKPSFDRKFNDGTVRRRRRGDT SARILEFSLPTDQIRTEGMLSPTSYVTILEDLALRHRLNVAIGKGFQALETPRSDGTN TKKYVDLWPIQMSAEISAEGKNVLTTNFDTLFVEYDTEVDEERARLKALLEKLRIEAE VQVFWLASGALSTYDYIINGTVRNGVDKDVNQVLDKDEWWQELRDIRYGSSRTQQYQS LGELLSSGRRRGSSPSRQDVLGLPKKSTVSRVTRLGANIGIRTSHLDPNVLGDETDQP MYDSSDDESPSSPSSDVDFNDAASDYDADHRHDVTRPLLHSGRTTNDGDLLRPPGLHP TATAPGEQGRSNQSYGAIKLAAPPAAPRRPTVKPHESLERSESTQALLVPSESTQQSV EPPSTTTQPARPALSRHSSSMRKFSSRPVPETRVHSEEGGEQRLMFAEETEPARTPMT RSRRNSNSAKTGGPDMQPQIPELIDSFHSGSANETETRSNYSTQDLPLSFNDLPSRAQ HMILNELMRQNSRETVVLLTTLPIPDEGTCKSQEASLRYLADIEVLCHELPPVLLVLS NNMTVTVSL PFICI_09439 MATPNRGRSQTPQPRNPFDIPVASSPGSILSRNYGTSLPRADAT ARLATPPVPHAWNTPPRSSNSPSRANAANSDDATPLAGPGQGSVGPGVSALAAALSNS VGNSPPRFGTPPRVGTPPLGRPPLSPSGSGSNLAGEAGTYGSYSRNNGAYEDPEIVKR HLVQPSGTPEPGEQLGKGKQAGDTESVLDDDEFSSLQLQGGDITRTIYKWTEDAQSRH KTQRSKSFSLSRPEPENEVLDINTIKVPGGFRRNYLRRSAQSPAAHATSRYDGGESGA RSQPQLFTSSFLEFLTIYGHFAGEQLEEDDEDLLPGEYFSSGEDEFESDEGSDEDREP MEDSALLTPSRRKRRRRVRGGSGNNTPMNAALLLLKSFVGTGVLFLPRAYYNGGMVFS NLILLFVAALSYYCFVLLVTTRLKVVGSFGDIGGILYGPWMRNLILTSIVVSQIGFVA AYIVFTSENLQAFILAVSKCSTYIPITYLILMQMVIFLPFALFRDIGKLGFTALIADA FIVLGLAYLFYYDVLTLSSEGLADIILFNERDWTLFIGTAIFTFEGIGLIIPIQESMK SPAKFPKVMFIVMIIITTLFITMGAVSYAAYGSKTETVVLLNLPQDNKLVNGVQFLYS LAILLSTPLQIFPAIKITENALFTKSGKYNPYIKWQKNVYRFFVVFVCAFIAWVGADN LDKFVALVGNFACIPLVFIYPALLHYKAVAKSKLWKYSDIALCIFGFIAMAYTTSLTI ISWASGPSGPPLPKYCDDKGL PFICI_09440 MRMKAALKGKRHPVQCFLSITHPGIIVASHRHGEKYSPIITPVL TPGIAPVLTLGVALVLTPGVAPVLTPVLAPVLPPVLTPVLTPVLTPALPPVLPPVLTP VLTTVLTTVLASVIPPVLTTVLTTVLASVLPPVLTTVLTTVLTSVPPPIFTPVLAHVL APLVYILASRSSAAPSDGTGKTLETGGFDAFNADGGRHVLSFRLDIRADGAVVAPKAP LRVNTLRTTHPEAQKPVVEDINILSAMSYDDDDNGPTVHLTYKPDIGGDEGKAKSFIF WRHVRRDMLDMNEFGQLAMRIPNISDDMKLLTLQLLDKVASNLIKPFCGGSYDEPRAY RYDGRKLDAIDQPDLTVTWVSFPYLTLIDPDTIRPEKSFSAPLHPPRTLLQTLYDNEP ISGRDSEQVFTTGLKDSGHKTLHVAHVWFLVFGQDAVLSYGPMHLVDLFSNKVKRTPE KNETPEILKIVDPQRRQYFIPLANCSNFLTFRTIVTKECVSLIPLNFEDSLFLFHEGT TQLTSSTWLDLLCAKSSIVTIEIAHKNISKAANAVPKIGNEHSDSSSSEGEEMAPVPV IRPKFVTSSTGTHAVMLAPRDAVKTSLDGQRQNRHSKRESAEADMLSSLERKGYIVND MESLKKPHEIKFFRPISDIQNMVKTEATSPQGDQNSGTEIPTDNTDGEKKASPSAFKR DEPQTGSNRPGKYRPPGTYLGRESMIPFFNWSPDAIGQGPSTTPVSSTEAGDGGTKFL NQVLNEERRDKMDNIHQIMLVKNSFSIKSSI PFICI_09441 MRQTQAETENMSIADWRLDELRHQMDDLVSKIIEETQGFVALFT PLTFPHTLLDRIWGALAVIYKFKNLVPWKASGDSSRNSGKPTVSWIVRRPVSWSEAEK RGIPLPSTGFRIDDCAKCYHQTQYESVGEAVQHVRQVHYKQSGLKMDGEVLTEKLRFW VHTSEQRVRELSNLECVELLQAYHHCIERAYEQGLEIRDVVAHCKEEGNPLSRATERP KTLQLPSKIVRAFENFVVITLCTAHALTLLENRPPKDSDTKELSEVPPLRRVIDLIAN RSLMTMEKAHNEISLFLKTDLSSSGVSFAAVGPRFILSVIFDGLSQRRFFDKTAVAVY EDTASSVQYKAYNGRPTRRLLTTIERFQEELEAIALLAGKINQTWTNFIMLGDEKTYR ISDQTRRQHQQMEHKVYSSAWFPRQRETNKIYAIQMRLTKMENKLRQRIEIQDEDQGK AIMIFTIVTIIFLPLSFVTSFLGMNTADIRDMENSQTLFWIIAMPVSALVLSVTGILA WKGDTIRDWLSNQILQRSRVTLEKNHDHLEPRKVASGRKPKQKQGKQWLRRRRQVSFK EDDLEMN PFICI_09442 MDTLLEQLKTLAVQADSDGRHKVLDFIRTLQLSLETPHDMLSRF SGMHLSIAAARIGEDLNLFQILAESEQPLSAEELATKTGAAPLLLSRILRYLASVGQI HEVGPDSFAANTQTKTLADPGYRGGIYHFFNNCGPVFQAFPDFLAENKYQDIDDASKT AFQKAFPTDLPAFQWLPTQPERFGPLQQVMTVQGALGNQWFTVFPFEKELGNFSGPHV LVDVGGGFGHQCVALRARYPELQGKLVLEDLQQALEHLPPPLATQLKGVEQVAHNFFE PQPVKGAKFYYLRQVLHDWPDENCVVILKHLMDALGPDSQILIDEMVLPDANVPWEAT TIDLTMMASLGARERTNKEWHALLDKAGLKVLRIDTYLPRRQDSIIQAVPK PFICI_09443 MTSAHENKHQTTTPKPIPAPTRQALSEQLLPSGGGGESHPSASS RFRGIRGSFRHRRQESRKTTSSTQSQPPPPPSGTIFTSPTVPFHPQKQYQRHTTDAAR QPAGAARAGKQEVPGRVSQLVAPMEDMSVSYYAHKHHPWSDVGFYPVPWAQPLDMNTA KKNEHIPVRRSKPAPRRVKPDNGPPISNQNRETDRQSSTANYSSRPDTAMLVSPAASM AQLSTMSMGPRSIMIGFDGAFGRELPMERFKEHWAPISMADMSSGIIADLGALDGGSV RYHDTLNAVYEDLPVLLPSSVYRRESGPTRTAVPSRPATTTPKMPLARPRYSSRSTIT DSPSDAETDPLSGAIWEDEVPGSANVPDLVPPTGSSIATDFKTFTPIDKALPDTPGLL CPGCMIGGKRPRPSSRGTPREEHRGTLQYSEERESGFCNACRNSDLVPNISRFTLPGL TDQTNRTAESPPGNQTASNETKKEVHVPIGTKSHVLDSRFRLGKTKESTLASRRRLQV EDEPLRPGSAPPRQKTRISHIAECQISNASPLDVVDGSDSDYGEPSPASGTMQQFGAL PSHFSLGGDGSIQPLRLLTKGAEGNMMSPNPKFRRSIRGNSVVVNEINGMIEDWEADI FKDYGALSPIDTSKALVSPSLSLLEKSLVNLKRHTDMSSLKSADLETVTPRTRFYNHH KRANGDF PFICI_09444 MERGGNFVAPGQQRYLRACMVCSIVMTQTRFRHEGCPNCEEYLH LKGDTDAIDSCTSQVFEGLITLANPAKSWVAKWQRLDTYVQGVYATKVSGQLPEEVRT AIEEEYRITYIPRDGSAVETE PFICI_09445 MAEPGNTTITSISSTAATSKSHKAQELATCTIRAPPFSYAHLEA MRKNSLEALELDAIQVRSYCSAALKQFLGVSGQAISIDILKVEGPSCWLRIPRDDLSA FAAAITAWQGTYEGGTHSTLRVRGCSDWLGSLVGSEGAEQLWRG PFICI_09446 MASPTEQAVAFKNEGNKAFSSHDWPKAIELYTKAIELNDKEPTF YLNRAQANIKSEAYGYAIADATKAIELNPKLVKAYFRRAVAYAAILKPKDALPDFKEC VRLDPSNKDAKVKLAECQKIVRQLNFFAAIELQDEPSAAEGLDLDSMVVEPDYDGVKL GDEMTQEFVDDMIERFKNGKKIHKKYVYQIIIAVKKIVYDEATMVEMKIPDDVKLTVC GDTHGQYFDLLELFRLNGRPAEKHWYLFNGDFVDRGSWSSEIALLLYAYKWLRPHGLY LNRGNHETDDMNKVYGFEGECKAKYNERVFKLFSESFSALPLATLIGDKYLVLHGGLF SDDNITLDDIRKLNRHSQRQPGQSGLMMEMLWTDPQTAPGRGPSKRGVGMQFGPDVTK RFCDRNGLDAIIRSHEVRMDGYEEEHDGKCITVFSAPKYCDQTENRGAYINIGPDYKL QFNQFDAVPHPNIKPMAYAQSSLMSMA PFICI_09447 MSEELRDEVEAINSIYGDGCLVQASDDPSVYILTPPEESSSLRI QFPPAYPAEPPSVIGTNSTGEHGRRGDAARELSLFREVIGSVYEPGMVCLFDAIEELK RRLEESVVPEEAEEEETFEAYAEEAAEDAQPTQDLLNMPEPPWILSDPFVELRSTFLA RCAPVTSVTQAEGYVQHLLATDKKARVATHNMTAWRIKGPNGTTFQDCDDDGETAAGS RLLHLMQLMDLWDVMVIVSRWYGGHKLGPRRFALINQAARDAFVKAGLVTEQQSSKKK GSAK PFICI_09448 MVGPPPLKGLKVLEFAGLAPGPFAGLLLADAGANVLRIDRAIPG KTHTPGAKLPPTEDMLVRNKSSIAVDLKSAHGISLIKELAKSADIIIDPFRPGVLEKL GLGPDVLAAINPRIIYGRMTGFRRDGKYAAMAGHDINYLAVSGVLSVLGRDDEKPHAP WNILADFAGGGAMLFQGILLAVIARLSSGKGQVVEANMVDGASYLTTFPRQALKTPLG NAGRGKNVLDGGCPYYDTYETKDGKYMAVGALEPQFYAVLIKGLGLDKSWNQRRYDRA VWPEMKQQFEATFKSKTRAEWEGIFDGTDACCTPVLEYPELESQPGREGDQRPPVGLR DTPMLAVTQGVTDPSAQGQGLGAPGNGYEGHPLSPGQGGEEALQKWFGWSRGKDFEVE GGGLILKSKSRL PFICI_09449 MAGRRRLRSGSTSTVATVDEQSIKYITENQIVRPPAAGTQPNDW PCFLLTEAAVYNKDGHMANLLEVDLEGPFMIRGIMVVEPDQGSNLNRGFQRTRSIWVE ISRTYTYSIGLKEDSGTPVLWAAGQCAHFEIIPSERYAPIANIMFQGIVMHYSVLDLY EAELEDMQEQAQKDPKLKRRTFRLSDVKLPLEDVLYKYAAAVGDGSTLEEVTQRCRDQ AGFLLAQFPKGTGFHTWLSGEFPDITQRLRRKPSPSANITFTAPEVPAPKPASIRQKS SSADIRGSRGNPKAVSRDSAPRRTRAGTASRSEPVDSGSEEPRGARIRSSKAHQTPPE PVDEVPELMEVDQHDFGHKSITLPARAKSEATVSTSEPQDTALNALLEVLDEQKKHPN SMTPANWQTKIYTSLSISDYAAKAEVLQYHAADLVNHLGPEWHESELYKWCKQNGRRK PKYDHISEEQILRIRKRQKKPAAKAPQPVKSTPIETGGKRPPRGRPSGKAAGLRPSLG GKKRPRSQEFDEDDTDMDDLVLPQKKTAKTSQFFANGEDDANSSDDEDQSDSAREPLT RVIIQAEPLPSIDPTGPNHTWICEEPDCDYIVRSAEDAEGQELISQHYDEHQREASDE MKERELSKVNLAMQESRGHLPINHLLDKIRKANTGKSSAINGRPVPQPIKKSLLI PFICI_09450 MAASKPVLDGLFAVNKPTGMSSAQVIRDCQEQFNPSTFFKPMID EQRAQRGQESNTKKRRRGYGKNDIRVKMGHGGTLDPLATGVLILGLGKGTKSLQSFLD CTKTYETVVLFGASTDTYDRVGKILKKTSYDHITKPMVEEALNGFRGKLQQMPPLYSA LKMEGKPLYEYAREGKPIPREIATREVTVTDLELVEYYEPGTHDHRWPAEDATQFEKS FAEQVWKIEKDQMTKEKRTPEEEEEEKAALERYERVKQKADERVDELVYDKNNKRHKK DKSPPMMMSGALGDHPPEKKQGKGSNLLPPPHDPNTPPPWTDKGPPAARIRMTVTSGF YVRSFCHDLGAKIGSSAMMAELSRSRQGDFKLGTENCLEYHDIMKGEEVWAPQVEAAL MQWHEKSKPGAAQAPKRAEPVTSPKLKSIESPPAKRKASEEPASEEVAPKRKKSKSAS PQPRKKTEKDTEEEWKGFDDPAVPQQDGAKA PFICI_09451 MASTRLLTWIAILIGVLTPVVYLLEQNLESFYIFDKEHLHDLAK RGIAAHGNDTRSIVSYITTELHEKYPNNVNLDVEYIFNNAGGAMGAMYIIHASITEYL IIFGTAIGTEGHTGRHTADDYFHILTGEQWAYTPGEYDPEIYPAGSMHHLVRGTVKQY KMPEGCFALEYARGWIPPMLFFGLADGLSSTLDFPTLWRTSYVTGREMIGNLLLGKF PFICI_09452 MAQTIAVPGQLLGPANKYIAGAGTHIQDSNLYASLLGTVAIEEP EKAPGPAKRLTKIEALQTPATLPTISVSRSGISEKREVLPEVGNIVLCRVTRIQPRQA TVAILVVGETVLEAEWQGVIRSQDVRATEKDKVKIYESFRPGDIVRAQVISLGDQANY YLSTASNELGVIMATSEAGNSMFPVSWKEYRDAETGLSESRKVAKPF PFICI_09453 MTVSQSPKKQPPTMAAAPCCPNCGTSLDSSEVSSNSSDPTAALL AAQKQIADLQAQVRLLNQKAAAAVDRWADYEDELTRLRAASSATNTTVTTTTTTGALS ATTSSSQYPPRSHTPSQSQGALSTASATSPRASFLNAGASRISALLSPRSKAAAAAQQ QQQNLNSTAGGPRASLSTGALPLMSPKSGLPSPAPSTDDLLEALSREQGLRLAAEGKL DETSKEVEELSATLFEQANEMVATERKARARLEERVEVLEKRDEEKRNRLERLEGAMG RIERVNRLLKD PFICI_09454 MPSLLEDNWINDQNLTAPITQLEDTSIAVDASYYLQQFLDFPPT HEPLLPALGGLTGIETHIEADLDSWKQNNTTPFFIFNGQPVEGQDAVTVQRGKTAIAK TDEAWNLYFRGEANQAVSTFGQDRRAFPISNLFPLLQRLLKKRGLHFLVPPFNASAQL AYFDMFDSEQCSGILGSQELLLYPIKDYIIKSIDWEAGSVKLTSKKLLTQRLSCSESM LIDALLMIGNSFLPPFPPLLEISALYSVNDAANMLRTAEKSVANLCGSFNDVLKRTDP TWLTKYRKARMMIEHFIAIDENGSVRVHNFDTLTQDNYEYLGYQLPAELFHYLNTGLI SARLPGWITHGQIMIPPTLDGVTSEEYKKLVTTQLIHVREQTLRLVLPRLNRGISFKP IFMKVWYNDKYSHTIEPTRSVSNRILDQVKTWSVNDATIKQSFPDAEHGSIRFELNAL KNDNFIKDTVAKEKKASIESADLITSLTIWRFLHIRGYVDDDHQLTAWGEALVASLDA LAPTIKENPDDGLADSVLLAFELLRYELLNTRNQHSELNGLPMNGSEEDKASLLLISR CAILLKLHHEANGYTGPLSKNFLHYRTLSSSIREANRDLIEGIVTSMLLYAESQKERE DYLEISQRLPFLMDNDVGLGIAVKTYLDDVHPSESADLRQKKLDEFPSKYVPFATNFF KDLQVSFAFFDALHAGIKTLGDSQASIDQKVWARAAEYLQARR PFICI_09455 MASGQVLRHRGLSNKSVSLDALLADFNSDAGESTIVAEDQSTET TAPFSSPQVGEGDDSSTDGQGEFILRPWRSSAPPVSTPRETFETRSTRSSSTTSRHAN RLSLTLPVAPANSLPSRPAPTPSIPPTPTETIGSGMASPADPNDFIVAIAAQERRVLE LREELNRAEAELKTLQTKWNSSEAHKIRASIRKQEPSRRPIPGTSVLPGSTERPSGRR SLDIERKKALLMGNGTPREYKRRVMRGGHTRTLSLLSPTKSENDFPIHNDFDALRSPD AVRSVENFTPTQLNKRATWAPRQTSPPGGVKQIAEDFRQGLWTFVEDLRQATVGDEGI SATSNRTSDFQNRSNRRYTSDQDTIRPSSAVRGRVPFPPDAEVQVETPRKPSPGSFQD RISQHQRTQSKSDSKARKHFSWTPLTSDDLGDDDWSSWDSPSIKTARWSGSTVNGDII PAIPEKHDDTETFLRKKRSSSDLRSSSPHSEDKLGEMPSSLLNTLAPSNIKRFSADFI KEWERSLSPPADEPSIEPNYQAIKDKAH PFICI_09456 MPFPFRYICDLLQTLHDETRSKRAQKRPDKALVEDWFHKHRKLL DEPSTNGVAVLSTLLPGNRPDRVYGIQVNRLQSIISRAMGLGISRLRVLQEYNTLPSS DKDLADCVEELLTNTPNPKQLEEITVEEIDAALHHVASGCKFSSKEVQASRNKDGQTI SLGDFYNRLTARDAKWFTRLVLKDFAPVVMPETVVIKAYDYRLPEALAVREDFATAIS LIREGGLASRPENLIKLGCKVARQPWYKAHSIKHCVGMIGTRQVSCEQKIDGEYCQIH IDLSKPRNQELKIYSKSGKESTKDRKNLHPAIRASLNIGKKACPLKQGCILEGELVVY SDRDRKILPFHKIRKHVSRSGAFLGTVGDSQRHDHEHLMIIYYDILMLDGTSFLGMQH SDRFQRLTKLITTRKGHAELIQRQIIDFRRKDAQRLLLAAFAKCIVARGEGLVLKPDD PYFTFGRKKKRYASCAIKLKKAYIKGFGDVGDFAVIGASHDARTAKSSKIENLKYTHF FLACLDNPTEAQARTHKPKYIVTNIVTLNDTQLKYFRQYCNPPMVRPEDHTSSEFDFR GLGNADRPTVIFSDPPVFDIYCFSFDKAPNSAMWTMRFPQVSKIHLDRDFLDVLSFVQ LQEAAHDAVEEPEMEDSQEMRLWLAKLEATDPERKCLAYDSQSTVSTISTNQTASVVA STDSEAEGEQVQANPVVRPEDTPAAVAPQRGLITPPRSSATHATAATNVGARGSTAPN TRSSSRKRSSTAVEVTSPSKKPKTNTQNRDIQTTKRKPLGARDANDSQRSTRSGRSVS PPLSIGELEATPPVEGHFPSSVTGSFYTANEPPSSPSGRTPRKGRNAVGNGTMSKDES QVVERCRHVASKKRCAFKGCAFLLSPCIREYAWIDDLLKGHGIFGFQTIPEVWARQAS SRSSSAASTPRSGSPTPGSINSATKRREQRLCLVDSRRADATRDFIKAIEVAGLTCRN GEREWISVYDWRILEDITSMESRAYRKGGPDPWRARYLGLA PFICI_09457 MPKAAAIPIIDISAEGVDELDIAKALVDAAASYGFVYIRNTGKD IAAEQVQSAFDISRKLFLETPIEEKQKCSIQKNNRGWSGMHTETLDPKTQRVGDFKEA FNFGPFTDGKPDQPLPSTLEADQATIAAFRASCSALCQRILWLFGVGLSADPPSFFTA SHSDARPSGTILRLLYYPPPGDATTSSARADDVRAGAHSDYGSITLLFRLAGQAGLEV LTPENVWAPVPVVPPGTEADPAPPVLVNIGDLLSYWTNGLLRSTVHRVTFDGGSGGVN GESSTGPRYSIAFFCHPANDTKLEPVPSARVREFAGSLDSAKEGNPYAERKVLTATEH LQMRLEASYAKLYEKKD PFICI_09458 MQLGLRPRLHARPSLVDLVNRAKSPPASPATGAEAVAAVATPLA RLEEAAVQALTQPLPTSPTSTSDDKELSSSSSSSSSAASASSFNTTTNSSTPQLSQHR QPQQQHPQPPTPTVPIPLADDAQAEAAAAQRPTSPQKTVNMAPKKQQQKKTNGDADGD ALDSGKIFSISGPVVIAEDMVGVAMYELVKVGHDQLVGEVIRISGDQCTIQVYEETAG VTVGDPVLRTGKPLSVELGPGLLHNIYDGIQRPLEKISEQSQSIYIPRGVAAPALDRK KKWEFTPTMKVGDHIAGGDVWGTVFENSFIKVHKIMLPPRAKGTITKIASKGEYTVHE KILEIEFNGTKTEHGMMHTWPVRVPRPTTEKLSADKPFIVGQRVLDALFPSVQGGTVA IPGAFGCGKTVISQSVSKFSNSDVIVYVGCGERGNEMAEVLKDFPELSIEVEGRKEPI MKRTTLIANTSNMPVAAREASIYTGITVAEYFRDQGMNVAMMADSSSRWAEALREISG RLGEMPADQGFPAYLSAKLASFYERAGKTQALGSPEREGSVSIVGAVSPPGGDFSDPV TSSTLSIVQVFWGLDKKLAQRKHFPSINTSLSYSKYNTILDKWYEKDYPEFPRLRDRI KQLLSDSEELDQVVQLVGKSALSDPDKITLDLSTLLKEDFLQQNGYSTYDQFCPIWKT EWMMKLMMGFHDEAQKAIAQGQSWNKVRDATSDLQAKLRTLKFEEPSDGQEAVSKKYE QIQQEMLDKFAAVMDE PFICI_09459 MPSFTKIASIVAAVISVASALPAQPRFTEMQEKIFNVMKRQSPA EQALGLTDVDVLQFALTLEWLETSFYQQGFAMFPDDQFAALGLNQQQITDLKNIGQTE QSHVQFLQSAIAQAGVQPVQPCTYNFGFTDAKSMVATASVLENVGVSAYLGAAPILAD AKILAAAGSILTVESRHQTFIRAAGGTTAVPQPFDTPLGPKAVFSLAAPFIQSCPAGS NLILTAFPALTMTTAAPAAPAVLAAGTNIQAQSTAAAGAQFCGFTNANIPGGTAFSAF TQAGGCALPQNLAGITYMTLTNAAPNTGVISDDIIVAGPSVLSL PFICI_09460 MEQQNNQQQQQPPAGGVPGPTGRRLHIAHRRSPSELTPLVSMFA NPGMEQLAIQQQIELLQQQQQQLQATHQYINMGMMPPGQIAPGGFNPMQQGINMAQQG FQFPNQLPQQQNVQLGAPSQPMSHRRNQSALPNMGMGPPPAPSSGASGSTFGHFDNSQ PSGRENASRGGRGGPPAGGGHQRRHSLALADAKKAAEIAQQKRTTSGFSFPGPNASDS APADDAKPAATTTTDTPIAQGSSASSRGGRGHGRSQSMAVGANGRGHGRGSGSISIGN EGGQGSDFGSRGRGGHARTSSRNFDGNWRTQSQTQGQDQSGGQQSFQPGHRSRASMSQ SISGVGAFQYPGQPQMVQVPGQMPMMQMYPGQLNPMQLNQLQALQAAQMNGQHLVGLQ GSQHAPQLSGQANQQQQRKTLFTPYLPQATLPALLGDGQLVSGILRVNKKNRSDAYVS TTDGLLDADIFICGSKDRNRALEGDLVAVELLDVDEVWGQKREKEEKKKRKDITDTRS GSTAGTSQSGSANAEDAGAGEGGIRRRGSLRQRPTQKKNDDVEVEGQSLLLVEEEEIS DEAKPLYAGHIVAVIERVAGQMFSGTLGLLRPSSQATKEKQEAERAARDGGRPQEHSR QQDKPKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHQDYADRIFVACIKRWPITSLHPF GTLVEQLGKMGDLKVETDALLRDNNFSSDEFSDAVLRSVSLQDWSLAKEDEDAIATRR DFRSETTFTLDLAGTGELGNAVHVKTESDGKIEIGIHVPDIAHFVKANSLVDREAKKR GTAVHLVNRTCALLPPQIANEVCTLTPGEDRLTVSVVFRVNPQTGAVAEGDTWIGRSI IKSSAKLSLEQIDDALTGQSTFKHETVQAKDVQILNAVAQKFREARLGSEDEPIAPLR LLHQLDDENIPIKHNLFDSTSGTELVEELQHKVNAYVAQRLAQDLPEKALLRRQGAPN ARRLQTFVERMRAIGYDFDTTSSGTVQNSLFKVDDNDIRKGMETALVKSMNHAKYYIS GKTNKLLWPHYALNLPLYTHFTAPTRRYADLVVHRQLEAVLSEGKIEYTEDVDNLVKT VESCNTKKESAQNAQEQSIHIESCRIMDRKRQEVNGDLISEGIVLCVYESAFDVLIPE WGFEKRVHCDQLPLKKAEFRKEKRVLELYWEKGVPSSAYVPEDERPKAHQSQRVSNAM AAAKQAEEAEKARKEREEAARKQTETGTISTDDVDALFDDDEDNMSDVTESMAGASLA ERPTQSVPGSPTRSTSNTGVLHRTRSDSKVPMAEAVETRLTNKEKYLKLFALREEGGE YIQDVTEMTRVPIILKTDLSKSPPCLTIRSLNPYAL PFICI_09461 MAGTSPVRPSLASPSPDRHRYGSYLSDDRNNISNHHEALAASKD EHDRVRDHAIQALEKHAFQLEQLRIHDEETRVLEKQKREQVRLEQERRLREEEKKLRE LEAQHIPKLPPKPPAETAPPAAQAKDVSTNGIKPAAPSIAPKGASSENASATSKSPFT IAPPTEKTNGTVASNAKPAPTPSAQEAAPAAIKQDETATKPNPFATIQSSSAAPSPSP FAAAGKSATPVPTLFGKPTGAPAINGLNTATTTAPVPVAVQSPDRYVQIHQSLKKLRA SIKQQMTTNVELKKNAGNMRREIRKCIGQLTGEKGANRQQLEKIRAVLTAAVRGSPPS ALVDPSEYVRDQRQPDQEALHNGELPSLFLYLLNCLAKDMIKQFDGECGPMPHKADPI GVIAAQIFSTKEFQWRGISLVDIMMAKFRVACPVLFGIRGNEKMEQGRLRLGWRKPDG HWMPDQQHYERMSGLGVGYASIALRDFSKVKTRNPYPPANYWTSMAKIVNSPSNEISD TQCVVLRAMIHLYEEKFINLYGNQAIAALRKALVEFPAKAPNKTPAVHGLTVLASLYE KDLGLDLR PFICI_09462 MMSSTNEEDPFLQVQQDVLAQLQQTRPIFSSYVRIRSLTSAPSN PELTSARADLETALGSLTEDLEDLRAAVQAVESNPAQYGLSAAEVKRRQRLVQEVGGE VEDMAEELAKGGAGKQTSNSKARAARAAASGDLPDPNAFALEDGENEDDDYAAEFEHQ QQMQMMREQDDMLDQTFVTVGNIRRQADDMGRELEEQREMLEVVDSMAERVQGRLQTG LAKMAYVARKNEDRWSGCCIAALIMVLIILLVLLLIL PFICI_09463 MIPSKPLPYAECWGSTEEYVSSLLEFATTSDLLQTLCGGVHILD FFTNEPGLFQQIIPEDWQDYLMRTDPMRLLDILMRDDLSAFPEGEMPPESFLQYIKDI RRFSLGRKFAAADEKPPKLTRFVSVGMKPKKIHEVSNFANYVDKFAGDVESITGEEIT HFVDFGSGQNYLGRALASAPYNKHIVAVEGREHNIAGAKELDMIAGIADKEKVMRNKK LWHQMMKNKKEGTDTDFTDEEMLKKLSLAAKLPEQVVDFRPRRELEALYTPEDGKGFI RYVSGRLESGDLSDVVEQLEETPEASQDLRMMAISIHSCGNLSHHGIRSLVLNPKVRA VAIVGCCYNLMTEKLGPPSYKLPYMRPSLQALNGRVVRESAKYDPQGFPMSERLSTYK EHGVRLNITARMMACQAPQNWGEQESDGFFTRHFFRAVLQKIFLDRGVIKKIYHDKES SETPSSSPFNMSTNPVIIGSLTKKCYTSLHAYVRGALAKLTTHSDYHEHCDIIEEKLG DITDEEIARYEAQYLPRKRELSAIWSLMAFSAGVVESLIVTDRWLFLKQHSDLVQDCW VEVVFDFRESPRNLVVVGVKKRDQ PFICI_09464 MNTPSQISFLDGTFSLIHIPLCLYPLFLQPILQVLLPQGDCDPD NLDEAIEGLSIDNKHGFLNISITPVECSVVCHSNWAEKVFEPIIKRLPKDSSKTVMIS KDTYLILSVESAAMDAGSRVMELTSPLAMAGIPIFFITTYYSDFILVPTKDRQTVQQA LLSRGFEFSDESTFVSPSAHSRGPSVSSQPPSTPPPSNIAELQTRTFELLKKRDVVPY IEDGLSLVLVSGKEHSKTTQMTDYRRPAPSRQPTGNGYNSRRSWLDNVDTKLYTSLIS VLVLQPRFLSMTLAQEDPPSLLLDKQHLGVFGDSVVGDMSGDLVPIFLDLENLTLEAT GIVSGVAGKLVSEMHMVDTGVSEQLSYLSTARAGAVILSYDQSMKALEIMKPLLIKD PFICI_09465 MSGSESIGSGYSAGSAYAMNMSMSNTSSSSLLQASSSNSTYQVI NQLKFLAAKSIRNSAIILAVFNTIAAFATAMGILYDCYQRAKRNSPRGKPVRVWNCVT GAETYPFILSLAITIQGIIFAVSQSRGLDGLFASGCSLISSFMLPGIFIVPFLQLIFG LELTFRGLRTKPFPKRGKWTVAICFAVWTTLLLVMGLITFFVPSADFCFASLFWFVAK WAEGGFALFTFMSVVLIGCAITIFLKLTRHSTIETSERVEASRMVYFLSVAIVSDVLV VPFFIYLTFSNFGDSEGTSGLTLSMIATVVVNVSGLMNGGLHLFFRSNIISTIGPRDK MAEYERQQLKHKIRKADPEYDFTSHALQSVSGSKSLRRVDSEETLVKRYEKDEEDAIE SRSTRTYGYSPKPVMSNGVFPTTSIPRVPEPVELPTPAAPSTGFPKRRPSTSYSLFPN TNMANNTASITILPPTTYSPIENNNNISRFEDFDNNTLKPPPSIKNRHMRNSSMASSA TVQIGLRFSNVADMPPMASSIVKNAEQVHNLDCPKAIRPSPLAAFATTDEPVWPSEPA SPVSATPSRDPTTKDSRMKTLPPVPRPINRINTNIENSRQQFDDTPILSAAVYRPESP SKKVPSPKGVGFQFPSPKRTNTTPLQMSTRTPPPMTRSRGNSDVTQPRGDWI PFICI_09466 MNSLWSKAAPEGEPQTGPSEPEDLADTGVTAEDAGEASTAASSS VNTNASNVLSLRPNLQRNSSTPGLPPPPNDPPAPPSQLQSQSDQQLDSLSLAQLRKYV SEFPKNNEAAIAYDFVYEDTGPHAEEIDEWFVYGQFWQWVRLNGSQRIWEMGWEEFGG GQDWNEADQDTKADFVRKWLVGIQDGDDVTRVHAIGRIMYLILGRWIETAQPGPFGDS ENMPERLKGRSVATQGQLLAMKEGVELIAQVGGLPIIWQALQHALEALWTLDPTKHQS EGSQEAQDELMNLMTIMYITIQEVLGSGEEMAEVRDKLLELNPNIAVFMLNSVAKIRW LDGVPTSMTQILLLFWKSILLVFGGMDAIVETKGALGEAPASAKDKDTISASPLDYHT FRQEISSKYPSYVPPQLPISLEPDDTSLLPPLPNHPTRNNGSNGILPAPPNTQSGGAS ILHQPVHIATPAPSPPPSPAAGGKGGKKQNYQTNQNFPFMYPPLDESSNSAGGKGGAG LQESLVGRKWEGSDIPASILEAGELFSKRVRMTRAVRQLWEERQRFLKFERGFDVSVD DDVDELELDLDALEVGPDNEVDDDKLLALIEKRTATTRSKEVDYGPDPDNLDSKFKHR LDAIENFYDATLPQLQSIVIVLMRVVLANVTAFITMPQRGQDGQQNQGRFNQSGAPFS RSQDGIGVNGAIAPDAPDMSLEDLDQVRARELEGKALSGAILLLLKWFKVSHVLKFEY LCQLLLDSNYIPLVLKFFAHQDVQQVVDSKTDRTDHSFFYFCNLRARGNGDKPMEPTE IDGPDDESEDDAAPPPIKRKKSPPAASSSTEEQGAKMNNHAHERPEVDEMGQPINDLP KEPITDFSRRNFFSLINYLRILQKICKNKAHRNLLLVQYKSSNIIKKSLKVPQAELRL YALKLFKNQVPYCGRKWRQSNMRVITAIYLHCRPELRDEWLAGSDVDAEVEEALPLEQ ALRSLTHWHNVQRHPDQMAPEVRQALQQEQDFFKRELEKLEINWADTNAQVDGGDGMW DGQAPMSAAGWA PFICI_09467 MSDDSAPLASFSLTHVHYDPNDPVSYLCAFLALVPQGLCVVYAT LIWSTREVEIGLMFAGQLACEALNFALKRLIKEERPRRMNGKGYGMPSSHAQFVAFFA VSLTLFLVFRHKPAYHNRRRNHTGLTMVERVFWSVMGLGMAAAVAWSRIYLNYHTQKQ VLVGSAAGTVSAIAWFVLTTILRRTGWLSWGISHPIAQFFRVRDLVVEEDLCQAGWEK WDDKMELLKAREKKTS PFICI_09468 MDDEDARAIELSSITAIYHEELKLDEKDPYSFTIELSLSLSRPL TVKFPAPAEAVPLIPGPEDAVARAVPQVDSQQLLNLPSLQIYVTLPEGYPENKPPAIK ISTSPPWLPAHIVKRLEGRVEELWEDMGRDQVIFTYVDDVQQSVDDVFGLVDQESTLE VGPEHKIAILDYDLEAKKRTFQRSTFDCGICLDPKKGLACHRMLDCGHVFCVQCLQDF YNNAITEGDIVSVQCLEPGCASEREKAARQANPGKSRKFKAYISPSELLQIPIEQDMV KRYVALKHKAELESDKNTVYCPRPWCQGAARSKKHKKPEGLDFAESSDDDSEDEIEGG GDKDPAKRAKFSASKELLAICEDCGFAFCSRCGQSWHGEFKYCIPKERKEEITEEEKA SLEYLRMHTTPCPTCAAPCQKTQGCNHMRCFRCQSHFCYLCSAWLNPENPYQHFNTQP GGEVNSCYMRLWELEGGDGNDVGIAYQGGNAIRNPNIQPGEYGQHLEIVGDVHRQEPE LEIDRGRNPVAEQHQQGQDQPQGIQPGGGVAVAREGPLVLRIEGDAPPARGRPGRQQA PPAARGGNQAVNGAGQRGRGRGGNRGGRPGAGGNRARGQNQRGNRAGRNDDHNIEHEG EAMELNQADEVWVRHFIELALNDQEHLLLEGDSGDEDD PFICI_09469 MADLKEPAHGGLWSSYAGSTVPLSTRFPHHVDSALPHSSAAPPQ HSAGRTRTSIDHPFTYPRYSQGDTDAFHRQQQQQQHHSLTQHHSYPNLKRSYDESEHP PYQEIVQDLRDDGSKLTVNHDHKLLSFRRVQDKHTIVDQHGRMQQLELSAQLHGMFFL SEMPANTNDGATMQPELTCYRRNLFQISGSLITPRGQLSILRAETGEQVPVSNTEVTV SAIESVDGHNVRLIVIPWKTPPPNAPELPQGPDQEPPSLPLIPFQDDGTETDGEYAVY PIGWRRLQFRIATANNGRRKELQQHFVVHLKVMGTLSDGSKVVLTEATTAPIVVRGRS PRNFQARKEIPLLGSSAGSRGQALVETGLGIVAGPLSVKPQDNKLRGLNLELPRTAFT FNATKLPGTPVTMRSNSYPSWNPASQLPVSQMPQSATAAYAPTSLSAEAYQKLPISGA QTYSTEPQDLPLQATMPPVQVSMVASEQQPAIRTQYAYVGNTTAPPQLSIPATAMSTG SDNSLSVPRYVDSARPAKSPRHSTHQSVHSGSSLTNNDASEYRYGSSYVSVNHHTPGD LTSSSYNAGDSGSAGGHAQPPSREYYPPTTTWTTTASEPNATVHSYHNTDSRPYAYSD QYKTGHAMPPLKTDPNQAQSSYGGQLNHYSWSPT PFICI_09470 MFEVPDSKRVRRDELFNDVGNDGDAPSDAEVSLDEDAEKELRRR LNAQLSGLLDFDITAPTEPVKGSSGQVIGEAAAAEDGEEEPDELAFEFRLFRDEAPSH TVVIQQNEDKPGALGAGGFVMPRRPDAYYIAEAPDAATLEKLRYSAVSSDHLLADAGR RRWGLERPWRVLRISVSGKPLTDGSSSAVTATADDADAKKKRRPGKKRRIVLRTRAKA EKEKAEAARKFLESKEEHLKAKKQRLNREKKLKRRAKDKEKKAAAGATGDSGSVAGQG ADVEMADDISDGGSAA PFICI_09471 MKSGEMRDTIAKQEKVIAFEMESAGVWDNFPCVVIKGACDYADS HKSKRWQRYAAATAAAYMKAFLDYWAPSSKAVWCHKHHCTESLLYREQESEQLARIMD TRLLEGFDRVQSHVASSMQNIATSISKTLVAANDSHNLGQIVRAELKRQLTPFSDNFS RSSGAIDGIATHISKQAHEENIFEPSNHAKTRTSPSHSPASSPGPGVSSTDSPQESMT RHGTSLVLSHSSNRTLAKPQVVPLLSTFNYIRTPIGVLVIKVTSYRIRNSVPRQDEKY FRITLTFIPKKQLCLQGLSIAYSSGPDNAGYYDILPRIQLFSIIPDDSPLYDILYDDD LAAFMEMLQDRRLSLRDRDGNGLNVFFLSVALGAPSISRYLLHESGYRMLLDDVDEIP FNHLARWVLYPKKLDQIEELLPSIRDCVDAPHPTSPGLMFCWTNLYENICQGFAFQDA VRVFQLFLRYGAVFRSFGWERTENMAEHETLLRLYLDIGGDPNIREEEGWSPLALALY FIYDFKRLETSDQELPDRQMSGEAIPLNTKSKSQDHQRCINLLTSLISAGADIHESAT GDRILMLCEIADDYEIYDIWEKALCECGFNEDDVWQESMRRKREMNRLEGATRTGVDV RVLDEQSSGLRFRGRRNDSGS PFICI_09472 MASSASSAPEAADDSRARKSRRPAPSDFHVAVFCALPLEADAAD AVFDEHWDDYQDSLPSKAAGDVNAYTFGVIGRHHVVLVHLSAMGKVHSAAAAAQCRSS FPNIELALIVGVCGVTPYTPEKEEIVLGDVIISDGVVQYDLGRQLPTRFVRKDTIHDV LGRPNVQIRSLLAKLRVLRVREDLDNKAAYYLELLQEQSRLLAQYPGVEHD PFICI_09473 MSQENPDVRSPEPANDESATAAADTTTTVPAASPEDKSSANANP KKRTASESDHNGPPKITKRRAARACVSCRARKVRCDVVEGAPCGNCRWDNVECVVQES RRRKKNLFDPNSGARTHAHHKAEAHHHKTQQHIASNPVNISPATDLRRPSEISIATTT GDDATNAVNVQPSQSNLLDAGLDGHVPHLIYQQQSASIQPDPLMLAQLQASNNGSRYP NIWPNLANTNQDGAAAFGQAKTAQFLSSLEDPDASSQLPAFIRPLPAKIASEDVSYLH MKGALTLPSLPLQNALLRAYVEYVHPYMPLLELHDFLSAINSVDGLCGQISLFLYHAV MFAGTAYVDVKILKEAGYANRKAARKAYFQKTRLLYDFDYESDRLVLVQSLLLMTYWY ETPDDQKDTWHWMGVAISLAHTIGLHRNPANTSMSPRKQKLWKRIWWSCFMRDRLIAL GMRRPTRIKDEDFDVPMLEEGDFEIGPLADDVQVINHDCTLVRDVLMQQQLASMCVAK AKLCLCISQMLKAQYSVLVRDSERAENTTNSTMMLHPKKQINNMENVTDCDISLMAWV EQLPACCQYRALTPMDVKDGYRTIAVQRNLLHMVYYTTISALHRPQFLHASPMHAPTT SRQAQEMSRMKVRDAASQITRMAAEMHQLRLERYLPTTGVTVILPAMIIHLLEMKNPL IHARDKAVRGFRQCMKVMEKLRDIYSAADYAVAFLDAALRKAAIDIQASHNAARMNTE FEKPTHHNSFSAPSFPVPEKMATPPPENLPYMTQPEIDRAQPKAAFVEPIHIATGNTP PPTEKEDATPTASDSSDAGAPAPMELDLDFNANHQDEFDWNALTGTNIDFDQWLQFPA EGGKKNNSAGLAANVALPVTNNIVENNDSFMNMLVDTGNGSGDIFVS PFICI_09474 MSSNGNPTSGYAQPPKDILDVMQAPSPAVPVLSPTIDRMIMVQW EKHPPIERLAAPFLRLAGVRVEPHNHSRRDNVRGSGFAPSAYRYDLVQIPHGKRTRIE LPFDNARLGSPIWSADGRFFAFRHITDEAVELWIGDGQTGVVKQVQNVRLNPMLGDTL QWMPDQKTLLVKLVPANLGAPPSTPVTLSGPDILEANGEKGQSSTYETRDTLKNAHDE DLFDYYAAAQLALVDASTLETRHVGEVDRFLSLDPSTDGKYLLVQTIQRPYSHITTHV RFPRNIEIWSNLEGQSVSKQTIASLPLAERVPIKGVRTGPRLFSWRANAPATLVWAEA LDGGDFGVSVPARDKIMLLEAPFDAAPKEVTRTEYRFEHIRWGERSDMAILSEYDINK KWNRSYIFNIDEPENRRLLWDFSMQERYDHPGTPVYRKLPNGARVMRQEGDSIYLSGN GSSPDGDRPFLDRLDLKSLETERLFRSSKSCYEYFVDFDKSDTNTFLTRRESPDDPPN IFRRSLSGRIDAAEREATWDSDSVAITHDVDPTPAVRQIKKRLVKFKREDGLELSFKL YTPPGYQEGTRLPAILYAYPRDYAGASTAGQIVGSLKQFTSLAKYSYLLLAGYAVIDR VSFPIIGDPKKAYDTYLEQLVANAQAAVDEAVRLGVVDRDRIGVTGHSHGALMTANLV AHSALFRAGVATSGSYNKTFTPFGFQSERRSLWEAHDVYYKVSPFLAADKIKAPLLLM HGADDANPGTKLFQSEMLYAAIRGNGGVSRLVVLPHEPHAYSAQETNEHVVHEMLTWF DKYVKNRADPPLSGDGNEKAAL PFICI_09475 MITQPIPAVPVIPVNEVPLDFDRKVLETADFPQHVEHADSTTSP PELGDAEHKLTRQTILACIAIATQINAYIMTLLIPSTTLSYINADLGPDPNYTWITVS WTLGAAVIVSVGGRLSDIFGRRYFMMFGATISIIGCLVGANAKNINMMIASGVLFGIG SGFQEMCYAIIQEMLPNKHRIVGVGSLDISLALAFSSPVISYAFIAYQGIGWRGAYWY MFSWHLFGFLMLVIFYHPPTFDNKHGREGKTRLQLLMELDYVGLLLFVVANVLFLLGV NWGGRAYAWTSAAVITPIAIGVVCAVILSFWEAYANLKYPLLPPKMFKKVREFDMIMV VCFVGGMLYYSMNVLWPRESQLFFVSSDTPILQGVYAMIFSCGTFLGGFIVAFISPRV HREKWQLVFFMVAQTALIGSLASVGVEDKAQAIVTIVLGAAMVTPPQLVSFTMLSLTL DDQNDIGIAVGLAGTFRLLGGAIATAIYSAILSSKFSEVIPGKMIDAISDSGATYSDS LLQALVKAASTNTAAAYKAVQGSTPALQAAAALATKLAYVDAFSLVYLIAIAFGAVAT AATFLTVNTDMKLKTMQRAVYLRGERPTEEVLGEKAV PFICI_09476 MPSVKNPNGPSKNRLAARRASARKQSQKAAKTSKDKVVKADQKR GAGGGLLPTSGPRAKLSAKKQRKVDRKLGYALKRKMEADGEVEMKDAAEEKPAKEAGV DTEMDEEIS PFICI_09477 MADILQIWPPTIASGPVLGLLILGFAVSTVLAALRLAHKPSSRQ LNVSHHGKDEKIDRNNALNVFPPSRRAALAQLLPASKLGTTDLVIPLETLKTNQIPTN QVQLLDHKDLFTSTSVLTQEVKALGRFPDYSVLSGVPHPQPAPSFDISKAKFRPFRPF RWNYHQTMAVMKYEPDYWIELEQNYFRRMNQRMELWKEHGERVMFEAPGSELATRELM EMVLQFLTIRYPHYFQLVDNNTVFCNQLLKTTSVIDSMKPLELLFRHVPEDFAIMLRD QNDGNYYLRAAIVCSSVGWNVGSHKNKLLRKIHDNVPLWEKMAFSVDRYMTKQPCDAP IQRGSWGIEDWEAFFCPEDMPRSKFEHDPSSCKIEDLQLRCDWQTLRRLPLSGAVIFN FKAVFTPLTELATEPYVPALLHRVITQGDRRLIDYKMEKHVLDIASKHLEEWAYKQEE DGIVEKGWEVGTLQQSPFFPGWQDLKDWGDCPMRPRPYLRRDI PFICI_09478 MDMSSDSSSSDCQISMLWNWYTIDACFLSTSWHITNNGMFAATC IGVILMVVLLEFLRRLGKEYDALILRQFQRHVAAQSVAAAAKKEAPEPVSCCAPPPDE EEVEAAKPGPRTITFRATPLQQLIRSVIHAVTFGLAYIVMLLAMYFNGYIIISIIIGA GIGKFVCDWMVLKVVVGLDESSGGVAGIEEPTVCCG PFICI_09479 MATFEERVVARHIQDYSNASSLEPHWGYADRVVPCTNDAGSCEY LDEVYGSHDRGMLYSGILWCTLGGILFSWAIARKLWPTTRADEVVDLDNEKALTHPGR ISRIRRTIGTGVRSYLLPDSVRAVFGRTTRLQVVILAALASYLLIWSFVGIKYKTWMT PVKSSPGLYNQRSSLGPFVDRIGILAYALTPLSILLASRESLLSLLTGVPYQSFNFLH RWLGYIIVIQGVVHTIGWTVIECKFYQPQPTTAIEWITQTYMIWGIVAMILLLLLFVL STPWAIRVTGYEFFRKAHYVLAMLYIGACWGHWEQLKCFLLPSLLLWFVDRGARLFRT AMIHYQFLPNGAMGFRAAQATLTHFPDAENGDIVRMDFAHNHEAWNIGQHFYLCFSES SIWQSHPFTPLNLPIVKNGVVNHSYILRAKKGETKKITDLTLKKLSEKGPATTPVILT GPYGESIAETLTPDVNVLCIAGGTGITYVLPVLLRLIQEPASRDRKIELIWAVRRKED IKWVEQELEIIRKASKSHRVKVHIYVTREHSPSPSLHDGKTGSAKGAVKEIASDSSSA ASSRSNSSYAVQRIGDENEHNTHPNLKEKVSEFVDNTVRGRTTVYASGPGGMISDLRA IVASCNSAGKVWRGNERYDVELVCDDRLEW PFICI_09480 MLVNQTPVNYALVVATKYGAGYVGLACFLYFLSALFIGGVRAIS LPFSILVESYGAIEILWYLLWFLPFKARVQKPGRPMVMTTRPQRKALFEVSLDQVSDA RLFIRKWLGNAHLDEIYRDDIKDWLLSYLWATDTDVGVDADELEEYIELIEQKANLTL LRGRAGAKPIRLHLDPVQVSHRSIFFYIVIGVVDIITVARLMMKGFNFYAQPRSSFFR VFPLRLMTLFAARRSASPHFSYFYRPHTSKTHRPMVFFHGVGLGLSPYVAWLDTIPKD IGILALEMLPVSMRICPEAVAPADFQKAMTEILSQQGIDDFVLVGDSYGTLLARPLLD DPALAAKVDSLVLCDPVALLAHLPDAVYNLTRRTPQTAPELQARALAALDPGVAHTLA RRMHWPEHTLLRENLVGRRTTAVVAGRDCIINANAVAGYVYYSDAGYITSADAEELRK TPELWTGRAELELIYLHDRGHGQCMRAPAEMRRVTNVVEMYARLDLDTNEELGEKTEA GQEQDTAEVGTVASRSSQVSAANFV PFICI_09481 MAATATQLVQPALVSELPSKEQAAVTITELQPTTFTQRALVVNH ERKYELRQDFATPAYLADHEVKIRTCAVGLNQIDYKSVDYNFCLPQLPWITGREMAGV VEEVGAGVAHLHPGQRVWTSTYYRDRRAGCFQDYVIVPQHTVSAMPEAMSYTDGACLG VCGLTAAMTLWRWFEVPLPYGDATSDMMTPPTTPPCKPVDAAATTPALLIWGGSSVTG QFLTQLARRAGLRVVCVSSAKTAPMLLDLGAAHVVARDGKTEDEIVAEVQRYAGDDVT MGVDLVGAKTALATLRCLSATRSARFAPLAWAPKVEVADNIEVLNVEMKQFVLNPASA VYSDQLTRLVEAGEIRLPEIEVLSGGLDVVEKGLDRLKRGDMAGKKLIVDMLN PFICI_09482 MPATYPIQGIPVPAGYTSPPLRLEINELADSTDAKLTKQFSLFV RALRVFEEKAVDDPLGYYQIAGIHSEPRVPWDGESPAPGKEFCAHDQVTFPTWHRPYM LLFEQRLWEIMKKEVLQKMDFSKSANAKDKWIEAADSWRLPYWDWALRQPYSDEAGLP WVFTDEQLCIEAPGGRQENVPNPLWLFLNPMKDGSGTPVAFGDPKMGNNAWKLSADSI PWDLSSGISRYGMVMDAGGKWSGLQGVNNWEAVNDNMADPYWYSIAGGQFREAVSRMF SPGYLQTWSDFATVRKDVDKKVRFLSLEYIHNNVHNDSGGFIPQNGVGHHSDVPVAAF DPLFWMHHCNIDRLLAIWQKLNPKLWFDHPEPGDNKPTDPLLPFHKDTSGGLHTSDSV RDHTALGYDYAECVPKKQARNTNGSLNQEEYAKQIRASIMKLYPSTASIAIDNIQLPG LAKTEDQKTFHDYVINIIYDRYALGGTSYAINFYLGEGVGIDTRSANRENLCGKVYTF SNDPRRCTSCSQNSSSGKLSTAQIILTSTLGQRFKRDIMYMIRRSAPRGADGTMLGLG PEEVEHYLKKNLRWEYVDARGQVRPATDFPRTKVVVLQGQGNIPTGRSGEMSSYDGYK PIIGATSGKAGGVGENDPEYGRNASF PFICI_09483 MRALHTPFLLLTTAFCLVNGSPPRIPPAYAANGSRNLTWQVSAT GSTQRFRGLSPVSGDIAWIAGTNGTILVTLNAGQTWDSVGPALAPEDAELQFRDIQAW SATEAIALSISEGNQSRIYSTRDGGETWAVPFVNDDAAAFYDCLAFDTPQHGLAMSDP VDGKFRLLETLDAGQSWAIVDDSGMPEALDGEFGFAGSGTCLSTAAGRWYLASGGVDP GRIFRSVDGHSWDVSNSSIAGGAAAGVFSVAFKDAVHGIAVGGDYESPNITNKISAWS DDGGATWISSEKFPGGYRSGSSWVPGLCGVAVAVGPTGSDVSFDKGKTWKGFDSGSFD SVECVDGRVCWASGEAGRVARLTL PFICI_09484 MHGWNIYADVNAGDERTRVTPHVEGFTNSIQSAFPSWPHPLLLP VILLNEHISRGEALRDQIIETLQDISGTLGVTASGRLINMEITDFKRIRELMIHPQER ITLTARINTTITNAINCLDVLRWNARCRERIAACFQEIESSLTENAKNGHKELLDYFA FMDVQATELSDFVESLKLRLELQLSVLNNLVAQVDNDVNYDMARLSVKIAQATGLDST AMKTLAIVTAVFLPPTFIAPSQTLFSMSMFDWSSSESTDSDSPQVVASTFWIYWAVSV PLTLLILVAFRLWWRRQKDRYAEMYGMDNIRVESTDTDASYMRPHYHKYQNGLVGPHK YTVRPHRYTIRQNSD PFICI_09485 MPDTKEIVLDEIEAAREGDQKNESEPTDEYSGLGPDGADFMRGY GISNQKRITRKIDCRLLPVMGLLYLLAHIDRGNIGNAKIEGMDKAIGLVGNQYNIATT IFFVPYIIFEIPSNIIIKKIRPSYWLSFLMVAWGIVMACMGVVNNFGEMVALRVLLGL FEAGFFPGAVFLVGQWYPRHELQQRLALFYTASAFSGALSGLLAFGIAEMNGLRRVPG WRWIFLIEGAITVFVGLIMCFLIADSYELCSWLSEEEKRVVKLRLQMSGVQTATQEGD EFSWRLLGQTMIDWKIWLGIVIGWANATPNAAFKFTLPQIIQQFGFDTKTAQLLTIPP YFCGGVSAWVTGRLADKHSWRMPFIVGPLIVLATAFGILFGLSNDVKSHMPAMYFGII LAEIGYYPILPGSTSWVSNNLAPSWKRSMGVAWLLAAGNFGSFVGTNVFIDKEGPRYP TGYGTSLGFICLAIIAAFAMEFFLWRENRAKSKVSEREFRQLHTQAQLDAMGEKSPLF RYML PFICI_09486 MSALRNTLIQTAKSYIDGFNTNTAEGIIASRTPDCKQIIHPSNV PPPWKGPPRSNTEYQAWIVPGFKMIRNVKLSLVEGQDMLVDDVACKVMLHLRSTGETD AGPYANEYMIVLKMTDDGKLIKEIVEFVDSAAVRDIVTAMQQQQAAAE PFICI_09487 MRFTTGLAIAAALIGAEARVRHDSRPYGNPNESGFGGSWPGSGA GSSSVAATSTHTRTATHTAAASTSFVTSVTKASDSYHSSSSTGTKTSSTTAAATTSAS SSSGSLTTDEQNALDAHNSARSDVGTSALVWDADLAAEAQEWAETIASQGSLTHSSTS NEGENLYMGYDSTPYTSAVAAWVSEKADYSGETISSTNYMTFGHYTQVVWSTTTNVGM GSATSSDGATYVVARYSPPGNYIGETAY PFICI_09488 MYPKFTDLPVDKNGPHGNAWGLWSPDDQIGTLNLLTDDVVAQAA KECIQTGQRVSLNWSMAGASKPQFQFPRKTLEQTLINKAPLKHAHDDEWSFNSQCSSQ WDGFRHYAYQKEQLYYMGRSAQDFATSSHPNSIHHVSAKGIAGRAIFIDWYSWALKNH KTVDAFTSYAVPFSEIAQALQDQGLTLDVFRPGDILMIRFGYLAQYETMNDNKRDELD KLYQSKKPDNIGIQPSRELLEFLWDRKLAAVAGDSRSFEVWPCTEEQWHLHEWLLAGW GMPIGELFYLEDLAKISASTGRYIFFLSSSPMNVPGGVASPPNALALF PFICI_09489 MASFGWSAGDVIAVATLAWKLYKACESAGDEYKSLSTEVRTLQS LLQDVGNELNEPTSAIHKAGKSKRQQLTNLIEDCNTDLGDLERYLLQYRSLHSAKPRF RDRLRFGIKPISQIRLKLSQHTDGLNLFLTHINTSSLGRLEQASETSAVVLNDIRARL DDLHRQVELGQKDVAVLDVQKGWEELEKELVGDRVTEDDVASNRDSIQEYITSWLGTS DQEFQASASKNKRTSSMTPWSPTAAFTRTASSSSSPQYLAASPLISSARDASWSNPYP DMWSFGGMRGPPSSVSEVPPSEIVSSVAESVFDEFSSPSSRMTTPDIPETPSWLPHGS DACLGVTSPAVRSNSDAGIESLMDELDAFVLDFSRSSTPTGDFPQTPENEMPPPDLPV PDETPKAVRPLPSPSPKRRIISRTLSLTLEEAFKGGFKTKRIRGRLQAQRNDRNELEL CESTIEVHYPAGAQSGHLIKVPDVGVDMKGLPQDIHFTVELKSHKRFEWEGQDLVATV KISLLESLCGWNKVIRTIDGKVIQVQRTEVTPPSWSQCYPGLGMPLEGMGGQRSDFVI KVDVEYPESISPYQDKIFKQILSPKRNLSPRMEMMLADGHN PFICI_09490 MKLAEYLFQRLRQLGVESIHGVPGDYNLSLLDFVEPAGLRWVGN VNELNAAYAADGYARIKGISALITTFGVGELSAINAIAGAYTERAPVIHIVGIPERAS HESRLLIHHTFNDGEYQRFAQMHAHATVAQTRLWDAHTSPQQIDNVLRQCLLHSRPVY LEVPVDLVTAIVPADSLQFPLDLLETSLGTREEAVLAEVHDRIRSAKQPLILVDGEVR PMGVVAQVQELVDSTGWPTWTTPFGKGLLNETVPNFHGIYQGTYNQAKVREFHDTADL VLCFGPHWSSSNSYGYSSIPKSEIAIVFTDNAIHLNGHKYRDISVKAVLQHILQSLSQ SSAHAYHKYPELPCDYKLPVPDIAETQLLAQDRLWRVLANILRPGDILLGETGTAGYG VRVMPLPKHARVFTPVTWLSIGYMLPAAQGAALAQRELIESSNYFGLTRGRTILIIGD GSFQMTVQELSTIVRHDLNVLIILINNDGYTIERCIHGRKQGYNDVGRWRYLLAPSLF GAPDGTYLGSARTFGELSRVMVDVEARNETGLAMVELFLDREDAPAGPLRHYLEAQRS KEQK PFICI_09491 MSFRKKVQKCHENRARSKSLPIGSAPFTTSLFYKQQPETQKSAA LRWDHLLSRASTLQTVSPLKIASRGQPMISLGTARPTPEYYPWKKITLECLKTLGGTD EEPPELSYMSCATGEAAYDLAVAMNYGYSAGSPQALRFITEHVEMIHDPPYDDWACCL TCGTTSALDIALRVFCNPGDIVLTEKFTYTGTLTAIKSQGLRTVGIEMDDLGLLPDDI DKRLQNWDDGHEQGSKPRVLYMIPTGQNPTGSTQSPHRRREIYRIAVKHDLFIFEDDP YYFLNMGTWQSGTVKNEDRDNYLANLPPSYLSLDTCGRVLRMDTASKILAPGLRCGWV TGCSQIIDKFISLSEVGVSAPSGPSQVMLFKLLDETWGHLGFVDWLHSLSREYLRRRD ILLQACRDYLPMKNCSWMVPDAGMFVWIKINVSDHASRKQGPSSAQGHHLGIEDHIYT QSQANGVLVSKGSWFARDGSSDEVFFRLTFAAAPEHDLVRAVQGFADAVRSEFSVEVC S PFICI_09492 MRDNVDDPIHRDFLISARLNHLHVLFLLSRVPIPRLNEPNDALV DVACQMLQLVVETILIRDELANSGTTVSWKVAYYGLPAAGIIILATLRKPAASDKLRT LNFQAFQDLVVFGSELTRGTVVKPGDPNYALLLKAAHTIHRFLDFIHSGEESQEVPHS PQILQSQDDELLYIPELDPDFWSSEASFWEGLADHPFLDTQFS PFICI_09493 MDIFKNMCKEQLNDRGYFSLAAGQQHEHQVIAITQIHTGDNAGP EVTVHETTIGTDGLVEFVNCGTPRDDISERPESTQTRLVIIGIKNSAQGGLAMRRDVF EGLLESRVGIDYSAVWLLLHHYDGYHFFTGNNEESMRETHFFGWGVFAVVWAYDYKTC STLALFIDRLYRKPGETSRLSGLLPIIVQHKAFISSPIYLGYVLSLAIGAGLDVYQED WRQMREIEVAIGYGYGQTPRRIPGNIDQFTTRALRAVGLMLEQVKQTQKDSHMVQFGH SDISWRQRSFDSSTTLAQAVPLMTSRIRAYDEYMDYVIVRAERLSAVLFALLTHRDAE TSVQQAEASHEIAKAAKRDSSDMKTIAIMTMAFLPATFLAALFAIPSLDWQSDDVIQS NHWVYWAFTIPATILVFFLWYLLNQRDFLRCLKSHKDNMDGRS PFICI_09494 MYATIVPLVTLACLVHYLVFLPLYQYFRDRKGLRKYPSVNWLAG VTNIGFMYEAFKGRRSKRLAELHKEHPVIRIGPNSLSFKSVQAIKDIYGHGTPCTKDY FYSTLSGTHFHLVDVIDEHDHARKRKNLAAAYAIKNLETWEHKVADKVERFIKACDAA CTSPLEATSPAPEDLTFDYFNYANFFTEDAIVDIGLSERLGFLDQGSDECVAEHMDGT LFRASYRKSLHNMTTAQSRIVWAYDWFNFNKVLTGLVSKDFARMWKLNKDWDGILYHR AKKRLARYQAGEKLDDFFQVIMEDKNGQAHNLPWGEIVAEISIMMNAGSDTTAIAMSN AVYLLLRHPLALKKLREEIDAVLDPHEVVAPYDKVKHLPYLRAVIDETLRMMPPVSFN LPRRTPAEGMMVASEYIAGDTGVSISAEVAHRDPEVFEDPDSFIPERWLGEKGRELQA GFVAFSAGARGCIGRNITYLEQTVLLASVMHRYDMALPSQDWEPTQYEGTTTHMKELP LKVWRRDEAKPRCGRCVKKDIECVRPNKIRFKHWHQSRHINENNYKSSRSGFRDNQPW CRTSGPLQFVDESQHIPAIYENSPDSHYDEDETAISPALEQDISHHLSQSESILQAHK NNDVQVDPSSVFIGESELLPHNGTSRSIVATPPFDQVADGTRQTHVSFEIENTNFGRQ SWKPFSGDVVQATSDQERLCASPLGNSGTAMPVPGSTDHPAFHTMNSSDPAFDLPVFP LRDPMEAKLFNLWIEHGARRFDMCDASRHFATVLPVRAMSCKPLVNALFALSAKFAGD VDDFIAAKYYQRCLNSLVPMLDQPAALVNEDLFAAVVLLRSFEELEVPLYGHYGETHL VGTHLFIDASRSDAIQDPILPDPSNLFNSFEFNGLRGAAFLIALRQELFIALVSQRPV LPAFSSLRFNRSLDSPRDDCTWANRIMPFAIDVLDFCYGENTTSSSQSVIRFNELTAY AERWFNSKPATFNPIYVGEVPETGQNSTLESFLNSEGSRNPTKRLYSTIWLLNDAVAT GLQNYYLIRILLLAYNPHMPRTGPTRKMFLRTENEEMRKHTRTLVGIARGNPACVPLS VWAAVGIALAGDRFEDRADQEELIRFLSDAQALSMWDTKASQAHLVEAWGWEEDTMTC DT PFICI_09495 MSFPFSDNSHGGLRADAPHTKPPNSRQSSVRYADTPTVIGHKHQ NEDDTSVHDYGGDLERGLGSIDTNGQHRSSQEAINDKSGAGTGNARRPSMFNRTFTQQ IRNAGMDEGLNFKDLSKEDKRQVMLLPFAYIMDTSFKDDAVAALGEFVGTTMFLFFAF VGTGVANIPAGSGADNSTTTGSTGFDIGVQTYIALCFGFSLMVNAWVFYRISGGLFNP AVTLALVLIRAISPLRGVFVFAAQCAGGIAAAGLAACMFPTELNVSTTLAPGVNLAQG CFIEAILTFELVFTIFMLAAEKHRATFVAPVGIGLALFIAELGGVYYTGGSLNPARSL GPCVIAGKFQSEHWIYWVGPIIGTLVATGFYKVIKFFQYESVNPGQDSHE PFICI_09496 MKFNREFILALFGATTIVSASANPESARVAECGSSENVMSIPEG ANASEYRSCLNHPAGKAGPTAMDSLEKRDCWFGGDHGCKNGYCWKRWGDDLTNGYWCW TALNGGNGNWIQCSTDSQCTTIVACGLGDCKDCGCNC PFICI_09497 MQAQMIAPDEHLLSRLFNQHQPAITIILQTQQKCVFKAEFSTRI DFDLTSKIVRLEIKNKESQSFGEIALLQHLAATVIPDLVPKTHQVGIIEDSLGRALHF SVTEFVEGDTLQNVWSKLSADAQDSIVVKLGEALEKLHTIRPSNLESEKRPKAPPGAN KEMLDKVSQPGVFGGPHTGFIDTGSALLASFMNRRKLKKQFCVLEPRSNMQGIIIRSN FNDLGSLTIDNSESEKWSEEAVLCHNDLNPRNLIIQQRKSPDGVLEHRLAGIVDWELA GFYPASYELSLHDTYLGTANQHLSFYFKLKSYMRSCVPHSPSQIKLLKANELIYESQQ RHLAIGTNIPAHVRKRFKDYFRLVRDNDPYIGWTSGLEDIEFPAWDDAVAQKMEDDVI QEMIIRRQHAKASNHN PFICI_09498 MQHAAPVYQSLGASEVRLLRVLPGNGVSTLSCEMRSISPEHDDT PYIALSYVWGDDRSKSLLALNGEPMAITANLSVLLRHIREWKCGRKTPETQNATFPES VPPPETLFQEHEWIWADALCINQADIEEKSSQVPRMGMIYRSAARVVIWLGGNEGSDE EGQIYEIFEYANKMATLSLSYQDIESCRPYIESLHRQIGNTTKVERLCKGLLGLSKRP WFYRIWVLQEAALAREPPIVIAGGSATTFNNLHTFWLAVQTYLMDDVLRLWYSMPREM DNLVSLRRLVQNWAQEGETMREKSITDMSSLVSNRLYRLLNRLGGQYRCTLPQDMIYG LLGMISISDLSCLPKPDYRCPWPEVFEDYARCLMGNTGDLTLLLSLSQSLDDSPSWVT DFRGVRISTHNYGVERSPFTLANNPFAGKDPRRMRLEGVELSTVVSSYRAPSMDINGA GEQKRSQRALLSFRQMDRFIFRRACLIKNASHKDVVTSWIESWINFQRIPSLLPELDT IYEIYDEFAKKGFDGDHIFASASSDSLKAQNTASMISSLLKASSAGSYFVTTDGMIGL LAKFSGRAEQGDKICVFKGLVYPALVRPLGDTYKFIASVNLNDGIKMYERLTPEHAYT QEFFDSHYCQEFVLV PFICI_09499 MHQQFELEDHQLEAQGYSPAMPRRFSLQSLLSLSFALTATWNGF GSAIGISLAESSSSGTLWTLVVAALMNLIVSLGMAELASAYPNSGAQYYWSYQVSSQQ WAPFASYMSACISTCGWWLGLASVCNFVAAMVLAIVQLYVEDYVIQTREQLFCYVMIV WLAVLLNIFAMDYLPALNQYLLYFSVSTLLATVFIILVAAFPNYRSSEWVFTDTTNLN DSYNKGFLLILCLLNNTYGFMGTDAGAHLAEEIPAPSVNVPKVIVYPIIIGLLTAWPF AVACMYVITDVERVANPPSGIALIEIYYQATASKFLTALLLAAFALCLFGCAVANITG SSRQIWAASRDNCYPWSVGLSQIHRKYQMPRNAACLTGAFTTLYGLIFLGSSTAFASM VSANIVFMMTSYVVPQGIVAWRGRSRVLPARHFELGNWGLVINITSCVWVIFLDIVAC FPINRPVTAANMNYVG PFICI_09500 MRLWMVENLTLDHVAAHMLQKHGFDRKRSQYEYILKKWKARKNI PSSSWSYASHAIEKRQAQQKKSQLQLNGLPILQSRMKRALQRNQPGLSLARKYGIAHS PERPDGCPIVMASPPALENSQVFWPKSLPWIQFDAGFHIALPISSKLLCSLAEVMSIS GSKSISTVPRPMELARALFATSNPNQSSTWAADLIRMLPSLPNTSHESIISDLMEDPR KLSAYFLKSCLFQLSNKMLSSSYSEEQKNQYRSLLTLVFELRRLSATVFNALMAATDP TSKAIKETLYSAAVSWGNIDLIESLWRSGTDLNVLTSLELGNGEMHNLVRGKAALNSR YLPINQSTALQYAASTCDLPMATFLIEHGAKVDLGSPTPLQVLCYRPKHQDTLRFATL LLDKGARYDQQSFDWFLPPLMEAVSQNNRDLVEFLIQQGAQDTVIQVPPVESLADEGQ SDFQLDPLPYVTGDYEYQVNVYGQVWEQKVTALQLAIVSNEIDILAMIIAAVLEQENR HEIFEEGFLTACLSGDEATVHRLLSLDAQILHNQNLVNWAFIAIAWIPDCRIAIHLLK HGAAPSEFITPSVSHLQMAALYGNVPLTKLLTSCGFDINSGEELQFEALDETDMDDRP DIRSPLECVVRMGHRVAAETLLELGADAGDVALKDFVELGSIILVHTALLCCEDIDGQ FNEDLQDALDIAISGRKGREIVRQILDAGAFISGHNLVEAIRSSDEEVIQLLFARGAD IFATGENGETVLEAAYQVGNMTMARHYFSCGGIYSSKALLHGVNRAVTMNLYHGFEDL LAKRPPGPVDEYEATGFVLSIRAADAFLINIFLGDAFRASSARSIYCWHAGLLLDSSM PEDEDQGLIITSNESWRGMKYHKCSSPLYVQRFKDRCLCSPMLLAALMAQDRLIRAML DHGYQPDLLLIETMYNYKPATISYGVREMLMAACPMSSIKEPSWHRCLLLAAIKTSAG LETISLHLSILTCLDFYEIVDHQSYSPLQLAASIGDIDCVRTILEAGATVDWQASSGY STALCCALDSSHWKIASLLIEAGANIDADTVFSDLLIGAATCGDLEKASFLLEHGLDI NALSHGERALEKAAMNGRIDMAELLLSHGINIRGRQRIHFVRAVNFAIQGCHYATANL LKDHGGWDPEDSELARKPRATDRFHSCARFIYDDASLEGCRLCKRPAGCYPQSGSPEE VSNPASFIDCSVTQSREFSNAAQEIPGDDLFMLGDEFHFLQMTEWSFGPYTALDRERD EMARRMLEEYEEHMLEGTGWSLVPYTITEDNHNFEDSIMFEE PFICI_09501 MSIDALSLACNIITVIDFGNKFYQSFRDIYENHKPDTAAEANAN DLLLLAEKLKDSRKKAHQSALKNDLLFQIVDKCAKAATELQQEIVKLAPPGSSSRTKH GLQSLVSATKRTWRHNRIEQLKKSLDDCQATMQNAVLIKIYESGEAERVQRFEDFGKL DSRLRNFIQAVSKHELQMSELLAENRSLHQETQAVLRQEVAALRSVQIFEAEVARLKA SLKFPGLNQRYNDLQTAHPSSLQWLLGRIKKEAGASDRDSATYAGGSHQGIEEEPKYL RNLREETFGDFKNWLISELNSKLYWVSGKPGAGKSTLMKSLFQQMESMDVIPGPHLVI RHFFWLGTTNTRSRHNDMKGMFLTFLHQLLESEASDSILQRIPHSRQKDTHTEWSMEE LQDAVLEALQLLSSHYSIYVLIDALDEHLPVAKHGELLQVIRKLEAMPNMRLVVSSRR EQIFEKGLSSSRQLHLQRLTAPDIHYFALDSLSNAMNSEHYKSGRFLYGIVETIVNKA DGVFLWARLAVDGIKRGFVDGNSEDELEDRLNDMPAELFEYFKSIWSRLGDDEKRYQT RAGNIFTLLLSETWDRGTFHNHLKGPLNHMKGTDKDLLYLSLALDTDLARVLIQGDER NEKKVLLELCQDTERTILSSCAGLVELQEDKRGYCIPCNVEPMVLSKKAAFIHRTARE FLRETAEGKHVVDCNNLGEFGPDFRVLLAALARSVVFRGPRQVPRQCLPKRWLDLGYF LRSFRFLIERGSPLGQPQRYDLIDLCHRVYYRLSGHPDNPIFGQWRPVHPLIIYPFIA FAARWGHGDWVVQWMKAQVSQGRTFSPEAYVIVFRASLECGLEDDDFDPLSPDLNTLF TSTSKMNPCLPRCFVPSWEHSQISPLVNISLSSTPQEILREILLEMQRSRTTVVKRLI ELLTRLGCDLFATVPWVYRSGEFPEKSIWELPQQLSQPVLVKQNETQRSAAQSMEVAR VHMLFEASLLWLIQCAARTLAAIPEAKGLCHDLEEFVSLHGQSDRVVQTPKPILLFTA KADDWVTGFRGPTRIVVRDVKKMPVESKVQLGEIGQDGVLRWPLEQIWEDSGTEVLND AQIEELLIRHKIWCSKADLKQLAMKCNIRMEDGAAPENQHDDWRLIVPPPGATEEQET LGYLTMPFVAND PFICI_09502 MNSSNKNSDPGSPRVEELRADKPSRRCTWNLRSVSSQVLLVSAT ASCTIGSFNALQGLGGAGQEQPYVANAATAINFALMGIVCLLGGPLVNKIGTKWCLAI GTIGDPIFAAALYQNTRFGTQWFLIFAAVFRGACSGLFWATEGFIIIGYPREQWRGRS ITTWVAFKELGSVISASINLGLSAKDNKSGHVGYDVYYVTIAIMCLGLPIALLISPTR NVFHRDGTPVADDKTSGGKMTSYKEQYSQLFSQFKRKDVLLFIPYACFAYFYYSFAHT YVTKHFSVRGRALVSLLTAVASVIGSALVAIVSDISGLKRRGSTLKLFLATVLVLVLC AASWGYFAYNALRPPAHKLDWLDAGYGKTAASVALLFLAMQSAQTYLYWNASQISETF EDNAHLAGVVRGIESLGQSVAYGINASNTAPVVSVSINLALLVIGGGCLLMLVARNGG RRR PFICI_09503 MSSPGSNRNASRANSLGSPPAHRRGLKRSAAACERCRRRKQKCD GKLPICGPCGSARAICVPSERLVVRPDFCSHCVGLREKVARLEAEVQALESRSLNEHQ NGDGDSQQHLGYTSSEGQSISAAPCRSLDIAYTGRILRPTFASRLNGQEVDDAFKSSP WHLWRDDPTTAATPGLAEQTAWPLDAHASKLIDIFFARRWPSLPILHQPTFRQRHYEP LLNGKPAKDLSHFQVYMVLAIAGAEAPSANRSQTLSHQDFFQIAIRDLHLILNADDLE CIQCLLLLCMYGSNEPQSVNMWYTSGLALRLAIGIDLHRAETTSKLDLLHAEMSKRIF WCAYVMDRSMAIAMGRPLGIQDTDITTPLPLQLSDSQLMELSEGPVMGNLVAQSDDMS TFIHVSKLRRLNGEIYKSFHAPVISGMADVALDTVRSGYLSRLNDWIAASPRYLPTAS MFQSPEWFQIAYHQAVLSLYRPSRGAPMSSIDSLRLCMDSAISMVGCYNALYAKNKIV YTFLALNSVFMAAVTILYCIRASSAIRDELSKSVAEANISSAVRLLQSLSSGRSVGSR CTEIIERLSSAVLTVFDQPVQSEELVDAEFLSWFGLKFLSVRPDEGFRAEDMGVPAQP TPNFDIPWNDLMDAGYEMINTTYMDMLF PFICI_09504 MSDNQAAQTSLLPPGAFDTHVHVFDPNLGPYHPSRAYTPADAPL SDLLGFLTSLTTSRKSTNIVLVQPSPYRTDNKVLLAALGQLQLDASVIARGIAVVDLD SVSESALGDMHAAGIRGLRLNLQSDGRDVDMELLYKNMHKAANLIQSLPGWKLQIFCR GSMWERLYSIIVDLPVQVIADHIGGLLGSSKLQEAATPADALHQPGFRQLLDLARLGR VVIKVSALYRSSDETATTYADMAPIISKLAQEAPDSLIWASDWPHTGEGANRVGGKNL EKIEEFRKIDDAAILTKLREWVGDEQVWHKMMVVNPKRVFY PFICI_09505 MDTLKKTSLFKYVHAIRALPKGIFNINLFLSAAFFATAGTPKGW DEGSAAAITQLKSFQTEFHLDSTKDSQTISNLVSFVNLGAGIGALLSFLINDRIGRIW SMRLYHTIYMIGTLISCFSYGSLGALYTGRIVAGLGIGACTVVGPMAIAEIAPKTVRG LMTLWVNVGMLVGQTLGIFTVYGCSIHIASTLRLQSQIPWFVQTFVPAIAILLSFFVM ESPRWLAIKNRPEEVLEALTKLRGLPESHVYVQEEYQVLRAHIESETDQYGQLSLVSA FKETFLVRSNLRRVQLTIIAYILAQFSGANSITNYLPTILGLVGVKSDNTKLLSSGLY AVTKLICTVIASLVFVDMVGRRGSLFTGITVQAICHSYLAGYLRYFIKSPSTIPTSAS DGAIAIIYIHAFGWAVGLYTLPYLFGAELWPNRIRSFGGALSQSFHWLFYFAITKATP SLISSLNTWGAFLFFTAWCIVALGYTYFFVPETSGLSLDDLDRIFERHIYQMRQPLSR ELEDGDIQKGGETQHVERKDPSA PFICI_09506 MSFQQRWSHRANHTISRQNSLPAAYYRGGTSRAVFFKEQDLPAN RDDWVKPFLGVIGSPDSYGRQLDGMGGGISSLSKVCVVGPSQHPNADVDYTFVSLGIN NRHVDYSSNCGNMSSAVGPFAVDSGMVQVPEGIHESFTVRIHNTNTGKIINASFPVVD GEATPYGDFSIDGVSGTAAPIRLDFVEPAGSRTGKLLPTGNITDTFDGISATCIDVAN PCCFVLASDLGVKGDLTPQEIDDHPTLKDTLDRVRRQAAVNMGLAATPEEAPGSVPKI AIISPNKEANGPLVVRAMSVGQPHKAIPVTVALAVAAAAKLPGSTVAECMRQEVGDTG LTIQHASGSIDVDAKYNANGEIEVASVFRTARRLMEGRVFWK PFICI_09507 MATVCNMGAETGATTSIFPYSQAMSRYLEATGRPELARCSQALS SELRADADAQYDRIIEIDLSTLEPRINGPFTPDLSNPISKFKSAVQENDWPQKLTAGL IGSCTNSSFEDLSRAASLAKQALAAGLKPKMPLLLSPGSEKTRVTLDDAGITQVFEEL GSTTLTNACGPCCGSWDRQDMKKGTPNSIITSYNRNFTGRLDSNPQTHIFLSSPEVVM AKIFSEDLGFDPTRDYLQREDGSEFRFSPPSGDALPKAGFADTDYVYTGPSGDRQSVE VQISPSSQRLSRLQPFAPWSGSDFVNCAVLIKVKGKCTTDHITPAGPWFRYRGHLENI SNNTLIGAVNAETGEVNNVRNVLTGEDGDVPGTARYYKQRNQPWVVIADHNYGEGSSR EHAALQPRYLGGVAVIAKSFARIHETNLKKQGMLALTFKHESDYDRLSGSDRIDILGL KELAPGQPVRIRVTPAAKGSEPWETELNHSFTSEQVEFFEAGSALNLMASRLNVG PFICI_09508 MTIVKVLQRVARQRPRHVVSLRRGLASVSTAPIEAPLSRFEPDL KVDYTAFTNRVATLRRQLGRPLTYAEKVLFSHLDSLEYDRIDRGKTQLSLRPRRIACQ DATAQMALIQFMSAGLDATSVPTTVHCDHLIVGRDGQDKDLPNALTTHGEVYDFMSSA CRRYNMGFWKPGAGIIHQTVLENYAYPAGMMVGTDSHTPNAGGLGMIAIGVGGADAVD IMAGLTWELTAPKIIGVKLSGKLSGWATPKG PFICI_09509 MAATERNVQGHKRTPWRNLAVGAFMNVFQVTTLGQPMEVLKTHN RLRGHSLRDAVKFTWGRGGIGAFYQGLIPWAWFEALTKGSILFLTSNEVDHMSRTYLN ASPVLAGVLGGISGGAAQAYLTMGLTTCMKTIEVTRPKVTTPGVAVPGTLEIFASIIR KEGIRGVNRGVNAVALRQITGWSSRIGISRLAEGPIRSFSRKSPDTKLSTAEKIVAST VGGALSCWNQPFEVVRVEMQSLKRDPSVGQAPTMATTAKHIFQSSGISGFFRGVVPRI GVAAWATICMVGLGDTVKEYVATVGPGLATGEKATI PFICI_09510 MARGRLLVLGATGATGQLVVQQALEKGWQVTTYGRRTLPEHANN ADITTFEGALDDEPSLCTAISGQDVIISLVGPSGITATATEVFVPAYKLILSTMRREG VKRIIALSTFSVQDPNDQFSLSRWLAVTALWAMANKVWKTVVGVSQVFGEEGDQIDWT LFRVGFLANGPPMKVVDGYVGDGTLGLYLRRADIAAWTLSQAEKSQPQFVRQRPGICS VAQ PFICI_09511 MPVEYVRSYNLPSDKLKQYLQEQFPSTFIHLRDNGNDSYAVVLP RGLTQAQKDDVKGLRSGEWLNVPTSLVPRPLEQSPSSIDGSRKGERSDHIALDVVDSD QRSQASTPRGSTFASFFASQYGRQMELSTPAAAPQPAQEINPLLQFGGANVAADTAAV APTGSPSPMDNRAAFQKLILKLKKQRVSNTPPFAGGFSGGFSAPPAEPEWGPSSSLAS PSPYSPFNLEHRDGPPHKSFYVGSSGHTAAGTIPSDSGYASLPTISTTVQRMTDTDRL TIRSSVETIEGDVDIKIALVRAFVDEITSRLAPTTLNGVEQGEKLEYAVEALLKTVAE TLEPIAESKSQRQGVLFLRQQRRRISREILTGARSTEGSIESSGNSTPVNQSTHEGMG SDEIMNWLSDQTQWPQLIEEDNLPLGSDESHYFEQSRVFITQSDAFRWLIDQLTATME LAGIENVSILNHCIITEFDRRASPKQVASADFVISGRLDLFLKEYFGDAAACNLGDVL VVVRNEDNHQMLSCRQYMRQTWPSSGEATLTALQKGLDAYQRNQTHDAQDSFDGGTIR LRVEKETTSVLVCAPKLRLCDVGAQICWIAAVCQFPADDEWLLSSAFLIHRGLSNDGE FRNDHQPTLSFEVNIERKRIAPLGPSNHDCWKLLLRSSNIVTGFPIANRTARQKGMEI ALEVMAQSAGAQRVTTFDDKIVLKGFSSLLVAVSCDDLSITWHYIESADLSRIPFSAA KSIHTEELDLSYMKDKRHFLGWTPAAAQEAGTRNAKYADITRSRSETVSGPRFALSGI NLSVSKYISGGASFLLSERANSLRLKREGPFERKIYSLSRMYVVLYDDLDHRGWLIDG ASAVLHALRCQLTKPPYDKSRLFEPSAFKYSEGYGGPAASMEALLNIDFRRMRVFESL SEAGEGPPGAVRDTEKVTYWTIQGMIEDLWDVFEQMHDHQEKLKSSPGIELRFDRGML EGWSFVDLLSEPVLQARSVKLTSSGKGWVDFTRAIQAVTFLARDFGEIIKPIHEESSC FNWAQVPRGIDYLAVRLQLLKQISDVYGDPSATPLKLANGIYWHKPHQLFEDCGCVAS TSGANCDRVQVLLPEFTLGRKTHPGIDLADHSVLGDAAVIFGRSTRLPRHWPSKDRSK ESIPTSGSGASISDDSRGSPGGSHSPFSAAASEQSSKSSRNSRLWLPLGRREQK PFICI_09512 MASTKWALDYPGISVAVVFSSLILGLLLIRTLSASSPPSPPEPP LLKPPHPIFGHLPGLLRHGMLYMDVLARQYHEQFPEGIFTVSLFGKHIYIVMTPGLLA HTQNKSRLVSGWEVLATVTASFTGRGRKYYDRLVKNSQSRKIPNYHSENHKLIYGSLN PGKELDRMRVAFVDTYVSFIPPLETIVDKSGSYTFDFYEWLRESFTNASARLAWGKDN PFSRDPTLWKAFTEFDDNAGLLMTRPLPRILVRKGYLARERAIGQIRDFMAESDEAKI QGFGPLMRHTSHVLSKWGFTADELASNNLGMIIGLASNTAPAAANTLIAIVRDPSLLS SVRAELDALVQRSADGTTATFDAADIRSHCPLFVSAAYETMRLTSSGSTARVIAHDPD NVSSSPGKYHILTSPDKSTSWSLKKGEMMFMAGGLIHGNSAHYANPTTFDATRYLEHS IPETQIPGLFRSFGGGASICGGRYLAVAEILAVVSSIILRFDIEKDGGSGGWQLPDRR DCPSHAAVTPPPGGKMPMTIRRRAGWEGVRWINSHMKDT PFICI_09513 MGLKKRWLDFREQHAELCETWARRWAVVRPYLPPVNFITLHYAY FIITAIIGSLIFWGSSAPQYSIGWWDSLFMVMSALTASGLNTVNLSQLTTFQQVQLCV YMIMGSQVIISYFTIAFRQRIFEKRFEDIVERERAKRKATASKTGAVVGMTGAMIGLQ VMSSFGKGQPPKQGKSSKPWPSKRKSDRSDQNTSLELGPEEPAALYSQGQTNSATGIL GGGERRPSQPQHVGFLEPIHETRPQSATTGHSIYQTHSRQSEKTTRRRPQTTESRTSE GGFNVQTFLKEQKGNIGRNGQFFNLSEDQREYLGGVEYRALKFLSAFVIVYFVLWQLF GAIALGAWMSVYAVDESAVNAQNPWWAGIFLAISAYNNAGFTLLDAGFIPFQGSYFLL TIVTILSLAGPAAFPVFMRLLIWCMSKVFHLFSRDKEGYTAWKEGFDFILRFPRRVYT SLFPSRDTWMFVATFGGFVLADWVLILVLTTGNSTMDAIPVGQRIFDALFEGFSIPSG GYAIVSPSAMYFDVHVLWLIIFYTAAYPHIITMRKTNVYEERSLGIYEGDQTEIEQLH SETSSLFDVDADAGNNDPLAAGLPTAFDANGNLSRKNWDATSQTPSARSVKRIYTVGR RGTAFMGRQLQRRMTAFQGVGVAHGTAPEGGPGGFGAGLGGPKPLRRAATMDFARPPS VHSLHNHTPTGPPSLVSQQVRGQLSHDVWWVALALFLVTLIETRHSIEDPVTFSVFSI LFEIVSGYTNIGISIGLPDQSYSLTGGMYTGSKIIMILVMLRGRHRGLPVALDRAVRL PGKKLAELEDEDAEIRSAFSR PFICI_09514 MATQQTATWEGHYFAFNEKQSDVLGQLSTRLGVQASLLSALRIL HYRLTASEAVYLPVKQHNADGTASESFLSNDIDGNSKIADVLEHAQNALVSAKERGLK QDGHDIPVTTSFCFEHWDEDEGYSGDASPETPMDATGSWQFRGTSGCCIVYSPSRQSQ RAVESLALDYADILDAVLFDQDKMICKVSFHGVVDRLQPLGALRAPKADPVPENLIAG LQDSVTRHGTAAALVDKEVSLTYEELDDFSSFLAADILSRRGEEHSSDRFMALCIRPS ALAIAAIVAILKTGCAYVPLDVRQASERTVGLLETAENPCVLVTKDSPQFLLQEGFPA PVLDITCSLEKWRTSDRAHRAQFVVASPSVNDIACLLFTSGTTGRPKAVRIQHKNILS LATNGSILPIYHGDNVSQMTNLAWDVHMSEIWVTFIRGATLYSFDQAEVLDPVALTER VRDCKITTTILPNALVRHVLNEKPEFFQHIQHILMGGEMARFEQFNKIRAQNPNISMI NAYGPTECCVVVTANHILPSHDIPTEGNIPIGGALDSMQLIILDDQDRLVPPGVVGNI FIRGQGVADGYHKLDTASDAAFVHKTLLDISDHPIRLYNSGDRGRWNWDGQIEFLGRS NADQIKVQGQRLELPDVEANITRVLGVQDVGVAYHKPAGGQDGILTAYLVPDRDSEQG AVELTSAALTSAMPTYMIPEAFYLVQRVPVTLNGKVDRRALASMANVDQQARKNSQAI VHGADTDAEGIVCQLFASILGGGVAISPDTNFMQHGGNSLMTTKLRHALRARFGVTIP IGKILEAPTPRELARKISQSRPETEKPSILGQGSLDAGEASELSFAQHRMWFLAQLHP EARWYHCEVMLVTKSRLNEDILDQTFADIFSRHDILRTVYFEDNGVPKSRVTNHTASL RKVDVEGSFEDVRQVCHEDFIAPYDFTSSPPVRALLVRWGDRHVVMVSMHHIVHDGYS HNIWNQELMQVYNSLHSGKSSPLARPLVQYRDYAKWQHSSEYKSLVDSQLEYWIPHLQ GAQPARFEPDFTELPEGADLGEAGSIVLHCGQERRAKLDAVKKSLGSTWFMLLFAAFR AVQFEVTGQEDGMIGFPIANRHKPELVSLLGFFVNTQILRLKCAVGTPFSELVRQARD LSIKAFDNQDVSFDTLVSMLRPNRSPTQNPLTEIMFAYQTIEEGKIMMGDTVAENGFL RAKLSRFDLEVQWYEEPDDLRLELVYRANVFKSSTFETIGQKMFEFLDAIIINPNLEL ATSRPENLLASRQSPAKAYWDRTLDGFNSIKLALSSTRPEKLDTKAQSFDLELPSSIT HTVETVAEALGISTAALYTTAYLLTMGIYSDQDDLIIGIPNLGQDQDSTVSKVLPLRV FFGWNDSQLSLVQKVHDSIAGAIEHSQISFQGLCEAAEAEGASNRHALFQHVFSHDGD THEKTSEFLRSNCANACHAFDLAASIKRQDSQAYISFAYQASLFSEDAIVGMTSTYAR VLSQLVDQTRFDKELCSLVLSDGDPGIRSDSSAESLIELFEFFAKSRSSTTAVVCGDE SLTFEQLDRRATRLASYMKANGLGKGHFMALMVSPTLDMIVCILAAWKVGAAYIPVSP KWPGLRVKHILKDSSATHFVTTREHAAGKLEDEIPAVSMVYLDDPNFEVLLEAAPSDF STTFVSSDSISHCFYTSGTTGVPKGVLLRHRGVLNLRRDLQPRYFGGSDMIQKVTMMS DFVFDFSMEQFALAFLSGNTLVMLPDGPTGDDKFYKYLSGQKITYMSGTPSVLSRLDL SKLSDLRMMTVSGEMFLPNHFERIRTGFHGPINNSSAPTECSIYNLLHRFEADDPFIN CLGRPIANHQVYLLSEALQPVPQGAPGELFIAGPGVSAGYLNLPEQTEKKFLPNPFVQ AQESPMYATMYRTGDKMRQLADGRIQPMGRRDDQVKLRGIRIELSEIQQVMATCPDIV ECAVVPKFKGEDKTGNVDFLVGYYTTSSESLSEGDIKTFLEKRLPPAYVPSRVVLVPG RLPTTIQGKLDLRRLPEVEKLSEEVPREAARNATEAELCRIWGALLGNEVGIHDNFFD AGGDSIMSIQLIHDMSRAGIHVTVKDLFAHPTVARIAEFAAKKSTRKVKKIEAEQGLL TGALPLSPVQQWFFNKQFTKQDHFNQSFIIHTPRLEGETLNKAWIALQERHDALRLSF EFGNGTITQKYQQESANPNLLVFEDNDSKLAAKLDRLQCSLSLADGRVAVMAYIASTN SETDTVWMALHHLVTDVVSWRIMTRDLQSLYNGKSLSAKSSSLRQWNTALQQYSPTMA EEAYWREVHEICQQEVLDAENNELSGASIQLSEVNTKLLSRSGPRVLGSSTLAILLTS VSRALTSWHGSNLPVTLEGIGRQDLSSTLDVNNTVGWFTTMYPFVAPTTEDLASHVSV VTRAIKDVPRKGFGYGILHGYDNIPGVTFNYLGQVENHAVAGNAWRLGATSGSQWGRN FAEEDSHLVAGSLTLTARIENRVLHFDIAGFVGDERAQFLQQAISYALDEIVDLILEK VTALDNGVQVLTEENDMVEEEKAGEPTAADKFIPFFHFDEAPRQGPTVFLLPPGDGGA ESYFNNIVAQLDEPRLVTFNNYPLAHPEAAAEETFETLAQRYIGWLREIQPQGPYHLF GWCFGGVLAFEICRQLTEAGETVASLSLVNSFLNLQHNARASGLDEESTISKLGMHPI NWRYQPAPMDPQILQDRLGHVNLFKAGAFHDPDREHLGIHDLYKDIPLNGLDAFLPPS KIDKVVMDGKTHYSWIKDKKTVGTIVDIIRSCL PFICI_09515 MDVADRDPDPRSGPTHHHKASFPPRYRPHHQRRPDEHARDVVMN RSDEQQRDRGRSEREPRAPHESAHDRTRSISPAPRRPGAFRDRDFPRDGWRDDFRDRD DIPKGPRHRSRSPGSPSKRRRSPSPGPHRSLKKSKREREREKRRARRARHRNSSAHRR SRSPYDGYHRSDRPGPSGGQHTERRSQSPHFSRQAGAERGTSPYARSRRNSLTSNRDR DRDRSPRAESRHSQMSRAHSPLPPNHSEAGSYSRRSTSPTHEPAAFHRPPRSPRMDRP PPTGPSASRKKKRRDREDPRSGKRGGQPSSGANSIEVNTSRRGDPRGGFGSQPPPFAS RREPRRSQSPPYNAFDTSRHGSPDPRSPRSPSGQTDRRSYEHPSPRDAPSGPRQANLS PLQPPTGPSQAGRGFSRSGFRGGSFSSARSESRGSFNPPSGPAAGRTNNNHSDETTPE PSSAIIHSVFAGNVKTDNLDENYNVQSRELHNDDSQADENDREQEPQSQPVAPGPPAT TPTGPRISFSLKATSKAPVTAPKPEISQKFSAPAPRRDPQPVDDRSNRDRDLPKDTPT GPSSSRARHEPDHHSRHRAPEPPRGPRQPESSRGPRHPEPSRGPREHDPARGPRHPEP PRGPRQPRMRKVKKIERRLKPKPRLPENLAKSESPFFRKPGNESVVGSGTYGKVFKGK HVYTGRLVALKKIRMEGEKDGFPVTAVREIKLLQSLRHDNIVALQEVMIEKDACYMVF EYLSHDLTGLLNHPSFILDAAQKKHLAKQLFDGLDYLHARGVLHRDIKAANILVSSDG ILKLADFGLARFYTKHHRNDYTNRVITIWYRSPELLLGETQYTAAVDVWSAACVMVEI FTRHAIFPGDGTEINQLDRIYAIMGTPNKSEWPDLTKMEWFELLRPGYRKPSVFAERY KEKVPVAAFDLLASMFQYDPAKRPSAAEVLAHPYFTSEMPPPRQAVELADIQGEWHEF ESKALRKEKEKKERQERQEREARRAAQAKEAAKSERDAIPRDNERKRPVPSPDNRDTK RLHVEEQPSSSDKPADVA PFICI_09516 MLVTRQPDSESSHWSSKYQTLLHKPTNPRMSNFEGPLSSQPEWQ GHYSYLPPGEPNLFAQPFQQASSTNSSNASTIPPPSGTAATTGEATNHSGSTMVSSPG TQPQTGNGQALNAPKVESPTREASESQTLANGIKTENVQDELSVSTAPVGPANVKESS SASSADRAQEIGHEQGGLDDTIMSKEEEDDLVDDEDMLDIEGDGDGETSRPMTAAERT AARRKMKRFRLTHQQTRFLMSEFAKQPHPDAAHRERLSREIPGLSPRQVQVWFQNRRA KIKRLTADDRDRMIKMRAVPDDFDNVQALHSPYGAVHTINTPPITPHIDFQTQSYAEH MIRPLMLDTIRRGDGDANMSPTGMNPSFGGIGFSQSSPDMLSPMSATSNDRGYYSSHL TSPMTSGPRTSNPFARQSGLDGGMQMQSRQQVRPLQPLQLRETMSRSRSDTMQSPLRT SMSWKGDAIDYGYQSGNASPAISGRHQSVYPSEQGGSTSNSGMNYESGSYSTGSLQSS PTHINYSSLPATLQHPPQNSRLRAATATLPLNLDLRTQQYRSVSSAHSLQGNGPATPR ATSATPYSSAYTSSFPSAPLTAPVDFTQPRTPGIRSGLSDYSMPQMSAPIAPPHDFSH ALHGGMPGSSTRTPMRDSFGGNAVNTSQSQSSERSDDYSHDSYMKRKRSFSNTPAPQA FSHTA PFICI_09517 MASIIARRTLPQVARRARYFSSTAARNAAEVKSLGVIGAGQMGL GIALVAAQRAGVPVTLIDSSKASLDKGVSFAEKLLAKDVSKQRITQEQADKARSLLTP STAIEDLSKVDFVIEAVPEIPKLKFDIFSQLAQICPKHAILATNTSSISITKIAAATT KDPKDTSASSRVVSTHFMNPVPVQKGVEIISGLQTSAETLETAVAFCKAMGKIASVSA DSPGFLANRILMPYINEAVICLETGVGDRDSIDSIMKNGTNVPMGPLQLADFIGLDTC LAIMKVLHTETGDSKYRPSVLLAKMVDAGWLGKKSGKGFYDY PFICI_09518 MEAAEGSNDVVHPEVRAHINSLVSALGGFSAEDDGRYVMGDSAL EVLRDIKKWIRFYDEKTNRMDVARCLAEANLIEGDLLHILASWPEAATDNKFKARAAL ACYELMVPLTWPLERNLEEMTVNHHRHLPVLQLAQVSYKRAIINFDAAQILHTAVRVA LPSMALPKNDRTTRDEGIIKLVLLFLRNIAMIAPPPGVKYDGDESQISRSALIDAFSY QDILLTILTLASNMGDDFNHEGVILMEIIFHLVKRVDINKLFMDDKQLSKAKANELTT LMSKEAAMHRPHNRKAPTRHNRWGTMLWVQRDDGKMSTVSGQDALFDAATRQEKMDSS KTFRPPRRPRKEEMEPKDLGPSVSLNARAREQLRSFVEEFLDSGFNPLFLNVRKKLDD RKDYVLEYHHRQFFYLTSWFLEAERTRQKAAKGKRTSQDDVGSFNLVATVLNQEMFIT LNRAMQESLDNRNWQELTAAMRCFTQILLTVQDMSETGNEADEEIAENILSRIFYEEE THERVAAVVRNFKDQGFEYLDACTELAHTYLRILESYSKQNVDMQVRSRKRVRKKKAA NKDGGDESNPVEEDDDSENDEANAEKTTKERKFNFNKWADKFIPQPVVDTFVKFTRYY QDLNDAQLKRAHRYFYRIAFKQDWAVMLFRVDIIHLFYGMIKGQEPLDRSCSSYKEWE ELVKQILKKCIRKIDERPALIIEMLFSKINATAHYLQFGYEKQTIPSSTPKPAAELEF RHEVEHERKVAIAVGALLDKEMAHHIKWVRDQLSAAETERRAWGTAEKALQSVEPPAA DNAEQPEGAAAQKEASDIFVRPDDDARRVAMFKNPHLRLLMKLVGFERLAPSVDETPE SAWIIPAALTADQLKESLDLVSKAEFDPPTFEEGKLAEDQLRRKTAPRAPRKKAVFDD DESGIDDDEILFPAGGPTVRPADHSDADKPKKTRRRREKKEITEEEREDRARKRRQRE KEKARRFKSDVYVHASDDETDEERDREFFAKEAELRKKQKSLAKSAAVTVLPPSPRLG AAKRKIAELLESDDSSSDSDSGDEDELGDRTKGPKSTQDSTTSRLRDTTLVDEDDEDE DSQDNDDGDDDDDTPPSSSPHAGSTGSRNANKRRRLSKEPSSAPDQEMVDADEDEDAP KPAARRRPRAKAGFIIDSSDEE PFICI_09519 MVLLARSAQRYARPLSTRALSTAASVTKSPRLSTFRAGTAIRPA VASTGTSPLSLPHRNPFGSPASIRMLTGQREKVKVLLVLYDGGEHAKQQPKLLGTTEN ELGIRKWLEDQGHTLVTTSDKEGENSTFDKELVDAEIIITTPFHPGYLTKERLAKAKK LKLAVTAGIGSDHVDLDAANTTNGGITVAEVTGSNVVSVAEHVVMTILLLVRNFVPAH NQIVRGEWDVAAAAKNEYDLEGKVVGTVAVGRIGERVLRRLKPFDCKELLYFDYQPLS PEVEKEIGCRRVESLEEMLSQCDVVTINCPLHEKTRNLFNKDLLKHMKKGAWLINTAR GAIVNKEDVAAAVASGQLNGYGGDVWFPQPAPADHPFRTMQNPFGDGSGNAMVPHMSG TSLDAQKRYADGTKAILDSYLSGRHDYRPEDLIVHEGDYATKAYGQRAKKNA PFICI_09520 MGVDPGTNSKKIEREDLWSFGEFWRYAGSRPIEISPVALLVFAL RAPSSVTIAIMLFQSVIVLAAASLSLAATPQGFTPATETPLIVSFSGIDASGGKQIAK EVSQKQPQIATNAKLTGTTYAVMMIDLDIPTDSPPQTSTLLHWMQTDLMQASTPTALN TTAGTSQVFTLQMPGAVAAAASYFGPAPPARTPLSHRYTQLLIDTSSASTESMSVLTQ AAQSRQGFNAETVLTQAGLLDKVVAGNFFVVTNPGPAADSTAGAGTGTTTGNSTTGSG RGTGTENKSNSTTTSTATPFKSAAAAWYDVNVLLLGVALVAGTFFSL PFICI_09521 MTKTIVILGAGLAGLPVAHYLLSRTAATHKDIRIVLVNPSDTFY WNLASPRIVLPGQLVEDKYMWSIPELFAKYPVDKFEFVAGKAETLSPEDNSVVVRLAD GSSRTIAYHTVIVATGADARDNMPWKPLSSSTETREAIAKLQGAIEKAKSIVVAGGGV TGVELAGELGAAYSKSGLKEVTLIVSDALPLERRIMRRTRESALRQLTGLGVKVLVES RVIAVLPGQGSKQTLEISKQAGTKTTIETDLFVPTYGVITNTQFAPASMKDAEGRLAQ DSRLRAPGYDNVFVLGDAGNLQPMQGVNVEGQLRHLTKQFDAYLRGAAMDEYKFDSNK IMMGVSVGPSGGTGQFGSMKPFSFLVGMMRKQLGTNYSKDYAAGVRTLFGKW PFICI_09522 MADDADEETRKRLKAALWYAVGKIADEESLRRERNVTPQFIGAL MEMVWLQIESVAIDLESFSRHAGRSTITTDDVLLLARRNADLHGIIKDFVDKKKATKA KGKSKR PFICI_09523 MSELSDLVAHLGDSLHQLFSLVTMAGERFTSNLTASFSTMTIKH WIRLVMIVGTYMLVRPYIIKFSAKYQQKQFEKAHEEDAAAAISPNQLRGQVDIPEDSD DDEVPDPEATAASTSADWGKKARKRQRVMLKKLIDAEEQRLQELQEDEEDKDIEQYLT PFICI_09524 MPDGIDLESFLQHGYWQNSRVKTTDTRDNTSSYSYNNTSIPRSS HSTEYPPELRRRSFYPPSPSVEDESASIAKEYGSILSAYPDEEPRYPGDPDQLPIISG PLYEHNPEQRFVFLGPSSSSTSDEDTTELKNNDSQKRSVDDTEKPPLTKGKERAPDRE EKNDCQKFVLVASDGEKEKLSSRPETEERSKRPIRERRKSRLEDLPTIITDLDRDGHG ESRQKDIRRSRSANGRETGNDDYFSPRRSSQRYPNESLLSPDVTKHSTKGRDRTYYDY SGPNSPSQGRSRAHGDDHPGERRHSRRPDDTLDRPYSAGPVESRNPETSRSARKVAQE GSTGRESRDALNEKHRQNGDRPANVDRTDSLSSTNRPDRESLKPRSLSFRGKRESDDS RFSSEEEVDRRPTPRQRVKSFVHEERSGHLQTPTEPKPLGRRSKPSTPLASPRVSQGE LFPNRTEYNERRNSRSATFPMNVGRENSKPEGRFRTEDDLSRPVSRTSTAKSSLSSAV PLAVPVLTAVAAAAAVAAKEPGSPADRKPSGIPPSQKPVPQKARVSADEKPDSRSSSL TPSSSTTSKRDWEPPKFDPYKDGVHDDKPNASYRRYSEGHKGSLPDLPECPRTNPQTH HVDWLTLPKCDHFNICPSCVSTAFANTEWQKSFVLPPFRATDKPLKCDFGASPWYHIA WLLMHKNQIPDLGMFQGLNNVTRDHQPCSGNQEAIRIWYTIKDPETRRPVRNFKVCHH CAKSIETLLPSLKGVFVPVGQPGETSRGICSMRKQNHAGAGRDRFVVLFDQMETTSDL ALASKRSPDVHALANKVRKICSLDECYGGQPVRDRKWFSMRSMPYFTVCEECYEDVIW PEIERGASNVKAEFYNHSQRLDTAACQLYSDRMRRYFRDAVKNDDLEYLKSKIARRRQ KEQEFYDSIRSIDADAAARGWDRERVDDEIERAKREWRRHE PFICI_09525 MMFTHVEDDDSNDSNDSDDSDDSDAGNTQDELLKEWNDLMSPVL NNDTNRNASKTPIILPIANELLVEFDVVKWRQRLDDIRRTRYNQSMRWTKGEFSPRPR AENLLWLQVYLLDCEIRQLIKSLRSIVRIEGEILLEPPRELEITSERIAQAVRRAWAD MDEDSIQDPLVALDRKIAGELGVSLSEDDTSSYIRQLADLLKLRCVFFAAYLMAIPDS SEFLRYHQSGADIRLPMI PFICI_09526 MSDLISNHKYYDRSASSLLPSTSTTQHNPNTTGHHPPQPCTPSP SATNLSEKPSLPLREPSDSAAACGAAAAAVANARSHLRPRFSSFTFPLHRPSPRSMAF NSTTYSTASSDISTPRSSSPSFSINSARSSSTSISKRMSISSRRSQSQFNPMSSVNIA AIEAQMKMAALDGLRGYAQNHYGEVQQYRSTDYVPKSSAGGYQVLREPLWNKGLSFTP EDRVSKNLTGLIPHAMESAETQVERCMKMIHSRQTNIDKYLYLSSLKDQNVDLFYRVL IDNVRELMPLVYTPTIGDVCLQYSTLYTRPEALYISIKQRKSIKTILRNWPHANPEIC VVTDGSRILGLGDLGVNGVGISIGKLALYTGAAGIHPGKTLPIVLDCGTANEDNLRDP LYLGLRAKRPSFEVMQDFMDEFMAACAEVYPEMLIQFEDFETEKAFAFLDRYRNQYKC FNDDIQGTGAVVLGGYIGAVNLSGVPLDEQRLVFMGAGSAGVGVAKQMVEYYTRRGLT EQEAKDKFWLVDTKGLVTKDRGDKLAEHKKYFARSDNNGHQFRTLEEVIEYVRPSALV GLTATFGCFTESVVRALKASVDSGGVGRRPILFPLSNPLTKAECTFEQAIQWTDGTVI FASGSPFSPINVKHSEGTVTYYPNQGNNVYVFPGLGLGAILAKATSVTDEMVYIAASS LSETLNAEEIHKGLIYPRIERVRDASVVVAREVMKAARREGVSAIPESQWVEWEEWGD VALTKWIKDRVYDPLK PFICI_09527 MPDIAHNDEKPHERSADEVEPRISVSRTSTREDNDDLTARGLGE KSAESAPGLPLTNPVREVATGSSDDDDNDNVGAVEAQRVSSRASSTRSRALSVVPRAR RRGLFARLAVLPEVHRPYDYSNKTKWTITFIVAIAAAAAPMGSAIFYPALPEMSAEFD VSSTVVNLSVAFYMLAMSIFPLWWSSFSETLGRRTIYLSSFLLNVIFSLISGMSVNIA MLIVFRILSGGAAASVQAVGAGTIADIWESRERGRAMGFFYLGPLMGPLIAPIVGGAL AQGFGWRSTVWFLTCYGAVVFVLILFALPETLAQRKPLVPRPAQPVIADGQALSRVST TRSVQMQSQHAAAVLKKALIDPLEVLTYLRFPAVAIVVYYAAITFGSLYVLNISIQSV FSEAPYGFSAIIIGLLYIPSSLGYFIASLIGGAWLDRIMIRSAQRAGRYDADGKLIYL PEDRMRENAWISATLYPGALIWYGWTASSGVHWIAPCIANFFFGVGSMLVFSAATTML TEFMPRRSSSGVALNNFVRNIFSCTGGIIAQPLINVMGDGWLMTMVGLIAWISGNVCL WLLRKNASKWRVQMDKELNK PFICI_09528 MSDKTVSPTTAKAASPAKKVELTTREVEVLAAAWVSLKADADVD IDKLAQLTGFQNPRSATNTMYAIKKKLAQVEGAGEGFTGIAPSGGKGGKTTTIKSSAA AAAAGAKKATTPRGNNKRKGGAEDHAGTDADNEASEAPTPAPKKSRATKKSSKLAKIK DQDDEDVDAAAGDDDKAGVKEADAEAVKGEDKDSDMDEV PFICI_09529 MAPGTSQVAAQLKEQLHHEHPDLILTTPEDERFDDARACFIVRS ARPFAVARPQTAQHVQALIKFCIDHDVDFCIRGGGHDCAGRTQVPGALMIDMRGIDYV RVDDSRATARIGGGVLLGDLTKALGEQGLVTPCGTIATVGYTGWATLGGYGPFSSLYG MGVDQIVGAKLVNGTGTLVNANDEILRGIRGGGGIFGAIVELTIKVYPLQKGQILFSL IVYESSDLEDTVLSYTQGYEQIKANAELPAALSLQPMIVELPELGKAFALLAVWGRDD HEEGRRWFDKIAGLSHCLMNSPEPISLHKFVKNNEENLVTWLSYGRTYTTSVKRWTPQ TAKVMAKYGKLIPGGGTAISVHTLRSPKPSKESVFGSRVDHLMVEIIAVSPDQMYEEK AAAWALAMQKELKETDPENMLEGSYISLLSDEETDLRKVYGEYYDVLLGLKRKFDPQN VFKHTIPNLPV PFICI_09530 MSSDKRVCLAYSGGLDTSTILKWLILEGYTVVAFLADVGQQEDF AEVEKKALALGAERMIIENLQQEFVDELIFPAIQCNAIYEDRYLLGTSLARPVIARAQ VRTAHKYNCKILSHGCTGKGNEYVFSRQVRFELAWKACDPSLSVIAPWRIPAFFNRFA GRQDLLKFAAENGIPVSSTPKAPWSQDDNLAHCSYESGILEKPDMPAPKDVWTRTVDP LEAPDVPTKFSVTFRAGIPVELKVEGGETVTGSLELFKALNDIAGRNGVGRIDIVESR FIGLKSRGAYDSPALTVLRLAHIDIEGMTVDSKVKQIMHWIGNEWSQCLYNGMYFSPE RELLENSIHFSQKHVHGTVNMMVYKGAAHVLSRSAPESILYSEEQASMDTLEGFSPED TSGFIAIQAIRLEKYGAAKIQYGEPLTRGA PFICI_09531 MEYPMNDPTVALLRDVFGAKRQLILRMVESDDSLEPFLIGPTFC ASHRQLLISVFTTSTTVLQDALLASSTAWMDDMSMEPCDAYQKASSALSKLSALEVKN QDDATTCLTLGALIHTFALKLRVGDIYLICSEALGRIKPIYESEHYSDSPSFFMLTCM VAHEILECLVRCCRPMLRYKPSVDPTRVDRFVGLSGTLLPLLQDICELNAALSCVDRT GGAEVNAALLSVEQAVMDWFPPVPSGFTSLFTSMETTHMLCQARVTRMAALMIIHRLR HPFGRETGSALAMASTIMHELEITQQITGKQAKCVDLAILVACLEFEGNSRDSWIHKT ERLLGYSMEYKARIQGIIKSFWAAKDRGQDLFWYDLSTVA PFICI_09532 MDYKVKAAEKCSATYAAIPEEWRLSPECLSLLQQPVEKCRNNLF EPDFIQSSGILSPKEREITENYEVSRLLGALAGGTLSAVEVTVAFSKRAAIAQQLILF DQALERARDLDALRSSGNLAGPLHGLPISIKDSFQVKGTQATIGLVSYLDRVSDVNSV LVDVLLQLGAVLYLKTNVPQTMMTADSHNNIFGRVLNPWNTMLTAGGSSGGEGALVGF RGSPLGVGTDVGGSIRIPSLCCGTYGFKPTAGRIPYGQQVGCSDPGLRMILAAAGPIA NDIDALEIFVKAVVNARPALLDHTAIDVPWKEMKLATDHKLRLGLLAEDPLYPLHPPV QAALDKAVNILREHGHKIIEITPVEGHVADSAEVAWNLWGLDATADEIVKAGGEPAVP SRLSLARDAAATNWSFVPDLSQLPALKKLSFLNIKRSEIAANWNRTWRVHNLDAVIGP PAQHTAVEHDNYGIPAYTVLLNLLDYPACVLPFSHASSSTTPRSFEKKPGQAGPPYHP SVVEGAPCSIQIFTRKMCDEQCLAVAAIIDKALNL PFICI_09533 MNVHENDVGTLLGTWRLGDATSTAIVLQPTPSDDAQDPLNWTKW RKAINFGLGLFYVLITFVLIDIFGIAYNDYVIELGMGYQDYNEITALNYVGLAIGCVM FIPLVYKLGRRPVYLISLTIQLATAIWAAKIQTLAELYPLNFIQGIGGAISETIVQIS ISDLFFVHQYATMNGLFLLFQSIGAFLGPVAAGYVVVGQGWRWQWWWCAIFLGMSLLL VFLFFEETAYVPALQGRPANIETVPAGKKDDPETGLDITRQSSTMGSGPSADRSWLEK LRPYTVYEGSVLHHAWQPFILLFRVPAVLYTALTYGILLANFAVLTSVATTSLFYPPY NFDTAGVGLFNIAPFIGSFLASITIAPLSDFMIVRLSRKNGGIYESEMRLWPALPGAL FTCGGFLMFGICIAQGRSWVLLALGAGIFGFGFVTCADIALSYLTDSYQNLIGDAIIG VIFVRNAISVIILFVLTPWIDGLGLEYMFVSCALITLVITLGFPVILLVFGKRMRQST SELANKFSLRQSRRT PFICI_09534 MDQSGSGITGCGTAYALLHDDSPAASKLHISVLEARGAVSGATG RNGGHLVSDADSLFGRIMNDHGLEQAKKVLYFSEANMSRLKTLVSKLGHADREASEFR SVIASVGFTNSEMFGKMKSDIDVIRELNYRYRDIVGAIEQHGSGALSPYRLFTAVFNL LLDKFQDRFTLETNTPVTSIDYSQDDEYPYMLQTPRGLLRTRQVVHCTNGFSAYLTPH LCGKLWPVRGTMSVQEVGPLFPQLGSEISWTPLSPGSFNAETGVFSTGLYYAQQNPKT GHIWIGGDVQNISEMVATSDDSKISPTSKANLESILPRVLSESEPAHPIRVWSGIMGF TSDGMPYVGKLTSKMTGRQGHGEFIAAGFNGHGMDKAWLSGEAVASLILHEKTTAGFP DIYLLNEERFDKASSEQSANAFLSLF PFICI_09535 MAPQLIFGTASFGGPQTAFQDVDDVKILLQALQDVGIQRLDTGA RYPPTNMGRSEQLIGEAAKGDFAQFLVDTKVFTDVATDGSGDLTGEAIQKSVDASLQR LQRSNVNILHVHRADPSTPLEEQIQAFNKQISEGRCKEWGLSNVPPPMLEKILQLCEQ HQWKKPVCYQGQYNLVTRGMETKLLPVLRAHNISYNAFMPLAAGFLTGKLINDQQAGT RFADDHPFKNVAQKMFGGDELLQAMKVFDEEVKAQGLLTVEVALRWIAHHSALGHGDG IVIGASKVEQAISTANFIKKGPLPDAVLVVVENVWKHVEKVRGDII PFICI_09536 MADPANLSTTTPQGQRSTMRRTLRSTSPQSPSRRRGADALLMRL TPASAVEALRVPSGVLKSCLDEASPSEQHFAMRTAIASKKIHEWLDELLDWPWPKDST SAGFEMPPTKRRKYSESQEPVGDGHQSLELEGEASQETEYMGSVPATEIVRYEGRIEQ VQKGLDELDLEDIKSHVLFNHIMPLSRTGTPISDAGFTFAASSMPYTKMEDITAVITA ITVQTLPNLSRLTKLLKTWNIRIIVLRKVPTVLRLITEAQVALKSGWSAIQTAPPPST SVVSRKDFEVMNSVLRQKVSLPGRDLDYMLDILEGMRDTLPDAWLDQMDAVEKDYAEW VVTAERRVRRGEWGDVYAPSQSERGRAAPTSPQPKIRIQTPSPTRDQSGRGSASSEEE VSTQGSTEQFTGAVEDVSQHALQKRETEPSRRKAQDVDGPADGVVSEAALSEMNRNIL RAVPEISVAGSQLQHKKSLSEFDAESSILESVYEEDEDGEDELQLPPARFDARRFSER SMASTVLRDPASDFGLSDNDALRDDFSELELTRVPDADAQFSSDGPSPPSSPPLRYMR RTPSVSFNGIPESPEEDSSPPLSPLDPPPHIFDTESSFDYGTRASSPEHPSTVSEVGD DLHQQIRAVLKGIPSNIRLSTRPSAINLNPPDLQLPSRPRARTSEPYRRSTSAVSTRS TMSSRSETPWLLAPARASRPQSRASRETQTYYLSRSNGEPPLKLLVRCVGEKGERVMV RVGGGWADLGEYLKEYAIHHSRRSKGEGKVEVRDSSTLSATKTGSSPPARPASALDSP MTPLAIRKTRKVSGGENSLRRPAVPARPDSPPSDESSGDSRDSYAPSNPNSSILGMSG PHPKKTRSLDEESRQWVEDMRNKVRTASGEQRTASGQPQNGNKFGELGKVGGTKRVYR KKVA PFICI_09537 MPSIRKWIKHKLAGKNRQETPDSQLPALDDPLFALARIQPLTTT DLLDEKIQNYGLFGRLSPELRQQILKCVFGGGRTIHLDLVYDHPRVRKQQDSFLLDSD SDHLYYGSVSTLVPNTAKTKRWHWSACLCHRTRYRKWDPDLVIGRPNIHALCRPAEPF EDHYVDGIFIIYGTNTFHISTLQLLLKVPRLIPHHLFNEITSLELTWYFDSIYKNQEP VQHSTTSGDEGQGPSLLALSATIPKIFPRLQKLYISFRGYVDLSNHSTNKEIIPEVET IILGPTERILCAMGPRRGKEFSIGLDSHTLWHTLAQKYGDPERRDSAMGRSEMQGGKF WKSINQPTRNLTASIETVQDDHYGYWICGRTKAITDR PFICI_09538 MGSTDIAPDHAPSWLVPLSTVLLGLGVVSWDLTYILTIRRSLAT KSYGLPAAALVVNITWEAVYGFYVSQAWLERAGFTLWFLLNLGCAWTTVRFAPLDWAH TSPWVGRHMAGLMAGMAVVCLWGHWAFVSWWLAEPHRGSGDKAGKWYFGRDGYDTTEL AFWSAAVAGLLTSACGIAMLINRGHSGGTDYKIW PFICI_09539 MSSSQSADKGASAHIQGHSPNVDPTKTASKQSLKDCNGLTTWQQ CMIDAQERMLEDQRVMMTMLQRILEQQEEILSVLERHERLERNSSLTSLASDSGSGSD DESMADNK PFICI_09540 MAHSAAPFLVIIVGGSLVGLTTALALGKAGIDFLVLERQEIAPN AGASISIHPHIQRVMEQLGVWPEIKERVYPLGQRYHYDEHGYLLDHSKILLEISKMTL GRWTTFMERKFELQCIYNQIQDKTRVRSNCRVVSYTESQHGVEVTTDQGETLVGDMLI GADGIHSSIRRLLADKMEDRDPAAAREMREGFESTHHCIFATSANVNSSHLGEPFLAA GEVHNVYYRGFSGVAAAGAPGLVFWFLFVKSDGVTRTPNTPQFTEAEMEATISKYGHH KLGSNYTFQDLWMGRQKAAMVPLEEGVLRTKWHSGGRVVLVGDSVHKATVNPGLGGNL AVEGVVHLLNELVPWVHRCVAEEARTPTKEELVSVFDEYEKRQRPHANLIVSRSGYIT RYEAMETWWLRALLRISPWISDHIKANGFVSYMKEGPWLSFLPNPDESAVHDTSSHK PFICI_09541 MTSPETYQYERLEKADDIRLLDILPGTDEDPIAISLQTVSLSTN PDFEALSYVWGDPAVTEQVACHGRLLRVTTNLFNAFRQLRLPDRRRTLWADAVCINQT DLDEKSQQIQIMHRIYKSCRTCAIWLGQADEHSAVALDIVQLMGEMVCRRRGISMEEL ESQPERDRRDPMQALRIGLDESLPAINSPRWFSLFRFLRREWFSRVWVVQEIYFSPNV LFYCGDRTTRYAPLFYTTEWILRNGMHVNHSAFRERHRQWSISKDFSSGTPGLNILGM RPECYGGQPPMLAQLLSSHRSFNATDPRDKVYAMMHLPPFRSEYPELVPDYRRSAEDI YTDVAFRLLTGPKHPFFVLTTIDIHKDPDEELRLPSWVPCWHRERKNPNIGIVWYHLM STAVSEDAKAASRLALLPSPGRALQVRGFEFDVVQDVCEQKFWTLNGPDQPHIPLFSP LTPWEPYSPEAGRPPYQSVQGIMAAYCMTLTASCRQTYGFHSFRCGTKVYSDHVRDFV AWLTWIRTLQEMPQKTPHQNFYPPGLHALPQPTMFSTSDAEVSERSKRYSHMTSHYNI GRSLVRTRRGYLGTAPNNVRKGDVVCVFMGAAVPFVLRARDDTDGGYMMIGDANIHGI MDGEILRNWEDVKTQLRDLNIY PFICI_09542 MHITKKFDRAFQWAGEKMGQEVKTGVSDDFKMLETEMALRHDGM DKLQKSMNVYTKSLSKRCDAYDDKEKALPVSFLGRTMISHGEDFEQDSEFGNCLIAMG QGNERIAGLQETYAAQASSYWLEGCERNLAMMKEYQNARKKLESRRLAYDASTAKLHK SKREDVRLEDELRSAKAKYEESSEDVYRRMQDIKEAEAEAIQDLTNFMDAELEYYERC AEELRRVRAAWPASTGQPSSTRGGNPDIGLSRRTTRSRSNTAQSFGERNDRTERWATR QDIYEEEEPAPAPVRLPTRSVRQASSGSTTPISPPRPGFNRSSTHGGTFEGPRDRPAY REPPLPPPSQEALRKSSVSAAPVPINVGSLRGNLRPVSRISTNTSQDVFADDYDTATS SGSPDYARSESPATSYGSLSRTTSNTGLSIKKAPPPPPSRAKKPPPPIPQKRELTY PFICI_09543 MLRLQAFAIASLAGLAVAEDALYSNRLSKRFIDSDGNYNISFYH INDVHAHLDEFSSSGTDCTNPAKGCYGGYARVKTVINETRPSHEDSLFLNVGDEFQGT LFYTFYGGEKIAETLNQMGFDAMTLGNHEFDAGDDVLGEFLQNLTFPIISANIASDNE KLNKTIKPYHIFEEYELAVIGVTTDEVPSISSPGEGTTFSDPIEAVQNTIDLIKSTTD IKRIAAITHIGYDIDIRLAQETSGLFLIMGGHSHTLLGDMDGAEGKYPTIETNKDGDE VFIVTAYRWGEYLGYIDVTYDSEGKILAYHGAPIHLTNTTAQDEDLQTQIDAWRVPFE AFASEEVGETNVELDQTKCYDEECVLGGFMSDAMYQYRLDGGGSPDFALINGGGVRAT IDVGTITRGEVLTSFPFGNSIVEVTYDGETLWKILEGLVSMVNQFTGEEVGSLLQLSK NIKVEYNPDNEVGSRLVAVTIGGEPLDSEKDYNVVTIDFLTGGGDNFLEEVDGAVLDL MDEVLVSYIQAQSPIPADYSVADRLVVVDGEAGSSSGNASATATGTAATSTPTSNGAT SHGITVMSLVAGLFAVTGSMLI PFICI_09544 MSLDNEKPDVTMAEVRGDPKMTQEEAMPYALLSEDDAAFMNRFT EEQRMKLLRKIDWRLIPALGFLYLISYLDRANIGNANIEGLSVDIGLTGNQFNVALAI FFIPYILLGTSTPLLIPANMALKWFKRPSLWIAIMTTTWGTVLLCSGFVRNYAGLLVC RVLLGVTEAGFFPGAILIVTNWYNWSEVGVRVALFYTSSALAGAFSGLLAYLIAKMDG IGGYEGWRWIFLLEGGVSTIIGLACPFIIIDTPAASNWLTEDEKRYLTLRKEIEDGGR EVQAKGHKITGKVVLATLTDWKIYLQAIIALSNTIPNYGFKFTMPQIMKNMGYTSANA QLLTIPPYVLGAISAYLSARFADRFKWRMPFIVGPQILVLIGFAITCAYSQDIKNRIA ENYFAVSLACIGLYPIIPGANAWNSNNLAGPAKRNVGIGLLGTFASAGGLAGSFIYVE SEAPKYPTGFGMSLGMAAAGIVAALALEFLLWRINKTNEKYTFEEIKMKYTDDELADM GDKSPLFKYKL PFICI_09545 MTAADVASRPLCQVITPVGMMGYGFSEEQVQAALENFSHFATPT AIILDSGSTDSGPSKLALGTTTCPRSSYERDFRKLLGFSKKYQVPLLMSSAGGDGSDE HVDLFLEIIREIAQESEHSDWKLKVLAIYSEVPKTVVLDKLSSGKIQGCGESVPALTP ETVDATPRIVAQMGPEPLLDAIKAHPDYNVLIAGRAYDLAPYVAFTVQNALSARGLAD YTDLSPQELGCISHMGKILECGGLCATPKGPGALGIMNQDLTFDIRPLDPKSRCTPLS VAAHTLYEKTRPDKLIGPGGYIDIANSKFNQLEDGRTVRVQGSVFHASRHEGTTYTVK LEGARVAGYRTLTMGSFKDPILIPQVHSFLDSVKAFAGSQHSHISEKWDIGFHTYGFD EHNPDFVPSEVFVVAECIAESQEVATSLASTVRVHCVHGSYPNQKATSGNFAMGIGGM FELETKQCAEFSVYHLMPLPEGQEGCRPASTSQTDTDGQRGLFRWQEYTIGNGSTYNK IRGTTTASGAAVCQQTKNLANSAKLMTNGKAAVHRQLKPCFEGRPQTLVDVAKVVRSK NSGPYEITLDVMFDNMDVYQTIKASGLLDSKCISRLFGVPEDEVIWCDFFDVAMAFKA TIPRRRRGQPACSGDYLENDVHGSQQYIPLVKLALPEGLQQKLEALSQ PFICI_09546 MASQSKVGTCVNCRQMKVRILPYIALEERAEDIEKELREIKSNA THASPTPTANLRTYQQGSGHSPAEPLPIISTPQSFANNIYAVPEYGSCFIDSAFETRR IGELELSPVAIADILNCYFTSYHHLFPILSEPSIFYLNYPRCSQLLFWVLIAIASKSI PKYSQLHLQLEPHVRTLATSTEEVVDHPLGTVQALLLLCWWPFPFKALREDPSWMYAG SATHIALRSGLHRPCHFSDFVYGDRLDAMGVLAFTKAWIGCFIVNQIISSELGLPCTV PLDSTILSVVRGTSEVALPTVLVQQLKIAYQSYNICNILGNNDLSSSGLLAGSTDMMR IFERGIQEVETQIGDKMSTYTEMALLKVKLQLYSFAFTADTQNIRIDSRASKFLSKAG RDATQVIATAAQHAPSHRPLQPWPATARSSIVYAVHVLLRLLAFPEHLDQDTAKNYIG QAWVLLHSRSELENDSWARLCDIITYLSSADSTKDPPMVAPVQARMSANIVVQSIWQA RGRFSEDVLRQRPRDYTAAEARRDLTQFGLDLLSGTLFLDSTPFDDISNSILNLDKG PFICI_09547 MAAVPTMDAVVFQGKLQVKVERRPVPTIQDAGDIIVKVKYTALC GRQVSTDTP PFICI_09548 MGHEFIGEVVETGSKVVTVVKGDTIISPFTISCGECFYCQRQLS SRCAKGALFGTKVLDGAQAEYCRVPLADSTVVKAPPSIDSKKLVLMADIFPTGYFAAK NAFAGLSQDIVGSSTVVLLGCGPVGLCATVNALEFRPQHVLAIDSVEARLDQAKALGA EPWNFQTNMQGIRDRIMELTDGRGADIVIESVGHSSALRLGFELLRPWGIISSIGVHN GEIPWTGNEAYGKNLTIKMGRCPVRSLFNEALNVLAKKQHDLDFMIDNIRPMSDAVQA YDDFHNMKYQKIIFEPGK PFICI_09549 MGHAARVSIIDAGSSISSIPTDRLMAPVLDGFGYMPKMPSLSFL VESANGKKILFDLGIAKDWRNFAPIISNRLKTNGYEIDVNCEVPDVLQKHHVDLSSIH SVVWSHWHWDHIGDLSKFPPTTELVVGPGFTKAFLPAYPECQDSPIRISDVRDRQVNE LDFTRSSIHIGRFRAIDFFGDGSFYLLDTPGHAIGHLGGLARTTVNPDTFIFMGGDLC HHASELRPSKYIPLPDTIEYPIISASLYPCPGAVIERLQRPRGRCSLDPIFDPARGVP LEDAIETVRKTQELDADENVLFIYAHDKSVTEIGDLFPLAANDWKKHGWRDRMFWAFL RDFNDIIK PFICI_09550 MAHSISSKPPWSDEVIDHFTIQGLDLVSLVEYHGEDLHADIQLR MVAAKKVEMQNHIDRLAAFPFAELDDNEFHRVNQQSSSNEVSENPEEKNAFNLFDSND FWGIETNDNSGGWGTDAWATDGDTSSCSDDCNSIVDEDISFFESHIDMPDDAGMFEPS SCFGEGECPGGFASIAVEWGDGEYGTNVTSLEKRLKWKFPSAFEMNEKTVAHTEDDMG HYLEAADFLIRQAKRLCDQRLERQKRAFRRMTRLPYTREDLCKSTSVVDWDLVTRNLN TRMAERKTFKRSKLSFEIC PFICI_09551 MVGKLIPKYVLASVAVALGGFINGYDTGSVGAVMEMDEFTKTMG PLSPFLIGFSVSLIMLTGAVPSVFGGQLADSLGRLKVIMLGAVLFGIGAAIEGSASSL GRFLAGRAIAGFGQGVFLSNVSVYICEIAPVKHRGILAGLPQFMATAGICVGYFTCYG TVNIPSDMSWRIPYIIQCVISIFFVASCMVIPDSPRWLMLHGRRQNALMALDWLDFSM IEAERDFLTATEQRSSLSLWQSLVLLFRRGYRSRTILALFVLGMVQLSGIDGVLYYAP VLFSQAGLSSSTASFLASGLSAILMLAISIPAFLLADKWGRRTSAIAGGIVLGTIMLL IGTLYAAGVVHPYGVARWVVIASVFAFGLTYCATWGIVGKIYASEIQPSNTRAAANSI AQGLAFFTNWLVAILTPILLDKSAFGAYFLFGGLALGTVAVLAAYMPETRGRSLEEIQ EAFHQPGINNLAQSLRRRLLRKVGTAKESIELADAGNNSLALPESNGSSLTGLRLAVN TA PFICI_09552 MRIVRLYVLAATFLFSLSHAKSFLDYADQIPACGLQCILEVVPD SACHSVTNSTCICEDSSLYDAVNDCVSASCTVLERLQLAKTDEVACGRAERHQTEDIA PFLVLDTATVLFMLVRLIGKYKIAKTIGPDDWIVFAILLTLLPFIVLGNYVRITALGR DIWDLDVNTIQTALKLFFIDEIFYIAVLALCRVSLLLFLIRVFSIRVFRKICWIVMVW VVLSSIANIFVVVFQCWPISYNWEVITAASDHHQCLDVNILAIYTAVMGIAQDFTIMI IPLPIIVQLNMPWKKRLMTLCMFSLGSFVVLAACFRLLHILQFSKSSNPTWDYTSPVI WTSLEVKVTVIVLCMPTIRLVIVHIWPDEFRTTRKRSSAAKTGSTPKSGTKSTRKNPY DAISGDSVSSGTTPNPWDRDIELQDQSPKNEFVQIRQEYREDFQDHREQQREQQREQQ REQQREQQREQQREQQWQQKQQQQQQQQHFRTYRNDHVTPIATVHRSRTTPIAASFIR DRNENDPFYQNNHEWPLAPPASRAPAHRYPAPRMRTYTAPEVLPPGSSGHGGWI PFICI_09553 MDFVNKLTGGNKSEGQQATNQDQKQESGGFMDKLNGMAGGGKES EKNEDALDKGVDWVQEHVLGQGDQSNESAAEQAKDEQISDFIRGQYKKTTGSDMPIKD KERF PFICI_09554 MRPPAAALDLIAGRSQAGQVTTATNEAMDSVPQQQQQQQQQPSP DQPPSQQTPVDSNNNNMMDVEARDSRSSSSTNSPLPRDNDESDFYAINNDSESSLGVM PNLQDMQVNDQECLPPAATLPSEILISIFSRLSSPADMFRCMLVSRRWARNVVEMLWH RPTCTSWEKHTQICQTLQLQQPTFAYPEFIKRLNLATLSSEVNDGSVIPLAVCTRVER LTLTNCRELTDIGLKALVQNSPHLLALDISQDDKITEASVFAIADNCPRLQGLNMTNC KRISNESLMRLAESCKYIKRLKLNDCDQLNDDAVMAFAINCPNILEIDLHSCRRIGNE PVTALLAQGQSLRELRLANCELIDDSAFLSLSSNKIYEHLRILDLTCCTRLTDRAVEK IIDVAPRLRNLVLAKCGNITDAAVYAISRLGKNLHYVHLGHCRHITDDAVKRLVHCCN RIRYIDLGCCQHLTDESVTRLATLPKLKRIGLVRCSNITDESMYALAKANQRARSRRD ASGNVVTDYSHSSSLERVHLSYCVNLTLPSIIRLLNACPKLTHLSLTGVTAFLRDDLD AFCRDAPPDFTEHQRQVFCVFSGRGVTGLKDYLNSREEFAQFHPGAAPSRTLAIQDPD TFEDNDGVEDDDMGEGSDFAVGPDPVATQNHNLGVPPPPPPAPATPQHPGPQNTSWLG LQGTAANPASREDLERLLLRDNTNPAVALDTFASSTPQVESTTPTQETPALQIPPSAF QHQVSYTQGPSTAAVNASGGPGQSNGRPSTASLQSDSLEGRRE PFICI_09555 MRSARTRVHLDAVLRPLQQTTRSRLSRLPQCPSASAQARTFMGR SRPSHRAYESLNLDRMRTDSYSYHIKRQRFLAAGSIAGIVAFIYTAYLLKKEIDKPTK FDSGLPKNADPFLTEAGSKRKTVVHDQEGREMVPTGNTTIQWFPRTLELKAGAVDGQE VHNGVEYTLVGLGTRTVTFLGFEVYVVGYYIATPDIAAIQARLIKDINPIATTLVPSE RDELRRRLLDPVEGEKLWLDILKDVKPRSAMRIIPVRNTDFPHLRDGFVRAITARSQA HKQEYNDEAFGASMTEFRTLFNRGKLPAKGELIMVRDEAGALTIMYDDGKQQKQKTEN ENVLGTIGRVADERVSRALWLNYLAGKAVASEPARKNIVEGIMEFVERPIGTVAAQVL PFICI_09556 MRFSSRSAALACCPLLIQAALGNVLPRYIEDLIKKQLPAEPTGV TTLKSPKGMTIRFKEPGKEGICETTPGVNSYSGYVDLDSNTHMFFYFFEARHNANEAP ITLWLNGGPGSDSMIGLFEELGPCNVTHDFITKVNPYSWSEESNLLFLSQPLGVGFSY ADEVEGIFDPNTGFPTPNVNPDGRYANTDPFRYSTTELAAMGTWEVLQAFISALPVLD SKVTSRSFNLWTESYGGHYGPGFFHYFKEQNELIQAGEAEGCELQLHTLGIINGLIST KIQMPYYPEFAYKNTYGIQAINESTYEFAMTAFNFPETGCSAQLDYCAQANRSDWQGQ KTCSQAVSICRNLVEGPYEVIAPNSAYDIRQNRLTSQVPPKYWSDWLNTATAQNALGV DLNYTGTSWEVYQGFDYTGDWAYPTLLEDLQDLLNQEVRVALIYGDADYICNWFGGEA VSLQVNYTHSAQFRAAGYAPFVVDGVEYGESRQYGNFSFTRVYNAGHEVPYYQPRASL ELFRRVLADLAVSDGSVRVTPTYESDGTATATHAELFTGWFTGRPENNDK PFICI_09557 MISAQFFSSYQYTTSSRQCFCPNFLRGEKFGGKLQSRLDVMPYA TEAQSVGKTTKLCEEGSAFRTAYDQCVACLVTSTDDDNATSYISTSFQQYFDFCNFTD TSGVTTVVQRITAVANITVAGQAVPFTTITADVTISLTGTASVTTSDAGEGAVPLTAT NSTVSASPTITTDSNLIPSAEPTTSAEHDSSSKAWMAGPIVGSVSGIAIIALIIFLLY RRRTAKRTPHSDDFADKPQLHSDCVPRPLPEELDAGMRHELPGDEPRDQNALINELPA KESTTQGRTFGKIELPSYEPRQLPS PFICI_09558 MPSATIDCSGNQGIASKHAPSPSIPAMWSQNQQQQSLVQEEHKY DEQESTQEAQRLIRHALFDEDSKFQELITFVDSANNTNGHNTSFTSWDQTRQQYLEAF MNAPIFTLGVGRLIQSDTEDATMDVIPQFGLMGIRLDAQEAFPKDRLVLANMNTPWSA FICGSQGAGKSHTLSCLLESYIDSKSGTGSLPKPLAGMIMHYDNFTNDTTTQLCEAAY LCSAGIPVKVLVCPSNIWTMKRLYRGLRGLAPNSNQPKVMPLYLTTNQLNISRILKLM AVDPSSDKTPLYMEVVMNIVRQMAMEGPKFTYHEFRRRLSEIKWAPAQEGPLKMRLQL LDTFLAPSPLTEYTRPAQADEDIWAFAPGSVTIVDLSDPFVASDDACALFSICLSIFM EDRGTCGRVVAVDEAHKFLVQTGEARVLTNDLISVIRQQRHTGTRVIIATQDPTLSPE LIELSNATFVHRFLSPRWYEILKRHLAAATDQDSQAPGTLLDTIVNLGIGEALLFCPT AQLDTQVNGIGQEVPKTLGKRYVKLQIRKRVTTDGGRSITSMELAPEKKEDTDEEVPM HIVAKVGTKIEGKANPVKDKAVIVNGKVDVVKDKAVSVNKPPKIMPTKKQTKKAARSQ VEEKGQKGWGLLENLQPLQRRQLYSDAETSLNAIVGILSSHSHVGLRAAFDEEYDIRM TSYLAR PFICI_09559 MSANLAVTGSVLKRFTQTSTLLWLLDPVRGEPTIHNLDRHPSDT HQGASERKTSKFLDSIALLFATRKDGDTVSAATLEEGQPEGTIIRIASNAGVEDDTLE QVRAIVNILNHAANGPQRGGTTSLETENQILLRVIELDIEKISHYLSMLQRSSGDIAE TVDWILANPGFLGTLSAKPKSDRFLEWITYLRSLIEADNEPDHDQIMVQIQWAVKGKW SYGPFLEAACKARGKPVAPWVVNIYKLGRYAVASKVLLSFVAENCDLLCPILVEAIEP PPKQLSSLPRKENNLELTLRRSHCSDIEDLIMRLGQAWNVTNPEGYLQKKGSGEDLVV HAELQLINFYDHNLERMPRHRLIGVSKKSCFLCNEFLKLHSTKFSVSACHQKLYRSWC PPFCSDNLVYQKYKTLITSMSDAMETAIKHQIEQRLGVGARRPYNLDSTAGVSLSIFS SDPVRHGFERVSSPSNSITQEGSIHSPTATQSVITYASSSAGHEGSSTHASLTDAKYA RIVGGSMDKSQGEHMDPKLVFHVTRADDRVRQDLVSTTSLIDQTSRRPSWGRLCATLA RESQFGVGFDDAKEFLLVNQNLRVGNDCQFAACIDYLQNARSWNNEVLVFSYEDLARV QSNAVGSA PFICI_09560 MASAKQKAQQIIDDNAVAVFSKSYCPHCSASKQLLSSLDAKFYT IELDKVDDGADIQAALAEISGQRTVPNIYISKKHIGGNSDLQAIKGKLPELLKGAGAL PFICI_09561 MSHYTGWSNRREGLSPFASQDADGAPRVTEDDFSYITSEDLEHS LQAPARVYDSKRQPPTSAKPEDDILLIKHKGVTYPVHFPAYSIGDGKLLVRDVRDRVG MVMQVSSGKVKRIKMLYKGRQLKDQDAPIREYNVKNNSEILVVLPEGSPSDEESDSSE EIVVADPREGHTSKSKSKNKRKKKKRSPRDSSQNLDVPGGGADGRKSDTEDSRQPSRM PSPPTVPSSPMEKLQAINSHFNTKLLPLCVDFSANPPRDKKKCEDEHRKLSETIMQQV LLKLDEVDAGGDPDIRAKRKQLVNQVHEVLKGIDQHLPEGSSKPQLY PFICI_09562 MSSFESVVVIDGKGHLLGRLASIVAKQLLNGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKMTRYNPTRGGPFHFRAPSRVFYKAVRGMIPHKTARGAAALE RLKVFEGVPPPYDKQKKMVVPQALRVLRLQPGRKYCTVGRLSHEVGWKYQDVVERLEE RRKAKGAAYYERKKLAQRQLSDAKKNAKVDSKTSEALAGFGY PFICI_09563 MSCLPSRGDSPDGSDPTTLDAINDSSPHVESKNTLECPIEESLQ ARIERLGRQRPPTFTTAWSEICFVFSIAMSQFLTEFFVSGFTVILPTLIKELDIPQAA SVWPATAFSLVIASTLLIFGRLGDIWGGYPVFLWGLSWLLIWSIIAGFSINPLMLDFC RALQGLGAAAFLPTGVMLMGSIYRPGRRKNIVFAIYGTSAVFGFFGGIFIAGIVGQYL RWGFYFWIGGILTAITLISSVFSIPNPRSGKPPSNNVKMDYPGAITIAVGLTLVVFAI TQSAHAPAGWRTPYIPVCFVVGVLSLLAAGYLETSVADPLLPASIFTTPAMTPLLLAL LLLYGTWGIFSVYGTLYFQNIMLASPLQVVTWYVPLGVAGLLFSILEGYILHLVPGRV LLVISGLGAVGSQLLLALIPLQERGYWAYIFPATILSTIGIDISTILATVFITTTFPV AQQGLAGGVINSVLQLGVALTLGLTDIVQTATVDKVGLGRSYKNTFWFGVAAGAVGLV ILALWGRVPKAESELTEEEKREFMDEAMGEAHGRELDGGGVVTRA PFICI_09564 MVGMTFGDLIQNQSRQTKSCSQLVTPLRVHSRDYRCSRYGSEAI GSLTNPLIQDMNEASRKYLFYYLVLYDIPNQNPFRELIPMIHQHPVLLHIIVANSALH MSNSSQGLLSANQNPLSASHTEVMDSACWQSSQSDQAGSYYYALAAKQRALCLLRPAL DNPLSMNNDVILAVVLLFIEFELLDSGRDDWKHHISGAKAIIEKLCLPSTFTPTVMTP LRRSLVSNFVVFDILGSTFTCPATHLPSSTSSRALSLLQDAQGNHCSSFPTELLELLQ SAGQIAQASNVSSRHCSLSGSLQQLLLLISVAQSFDPLAWASRLEPISPAPDLEHRTR VAFAHRGAVLIYLTRVIYSLDPAADLPLNLDCLVEEIIGHISFISTSNVLFTATTWPA FIAGAESSDCTSQQWVTQHFHELWKVEPWGQIRGALGVLERIWEKRRMQRKMPMNMDQ NIHGGTGKEDNWVADLQAGGVDWLIV PFICI_09565 MRLGTSTYLQVALLTSAAAEVQFGEYILAPSTRTLSPVSVRQTG GDVQAAEALVDPSSQSSTTLNGPDSYVTLDFGKNIAGSVAFSIDSLEGDDEYIGITFT ESSEWISAETCDATQDEGVDLPLWFNLTGTGLYEADKAHQRGGFRYLTVVHNATGTIS ISNLTVYWTASPEMSDPAAYTGYFHSSDEKLNRVWYAGAYTNQLCSIDPSTGNSLGLP LEGWEYNYTIANGTSVLVDGAKRDRLVWPGDIAISGPSIFVSTNSLDTIRNGIDSLMV MQQADGRLPYAGKPFSEFVGNKTGSPDLFLWSFTYHCHTLNDLYDYYLFTSDLDYLVS YWDQYKLGVNYLLQFIDSTGLANVTSSADWLRKGMGGHNIEANSILYFTLEKAVALAG VVNDTSDVANWTTSAAGIPSAANALLWDDETSLYWDNDTQKVLHPQDGNAWAVLSGVA NGTRASAISDALAGRWIRPYGAPAPEGGITISPFVTGFEVQAHYKVGRADRAVDLVGF MWADYMLDDPRTTNSTFIEGYSSDGSLAYPAYPDGDARVSHAHGWSTSPTSSLSFLGA GIQLATAAGQTWKIAPALGGVESIQAGYETPLGAFAVDWRNGTCGFAGSFETPEGTVG SFEVAVTPGWTKLLLQGTGGTTEVDITGESVAVLSDIAGGKYSLSIS PFICI_09566 MGIGSKIKKVLTDEEPRHHHGSEHRKGPGAYANEDVPRSFNRID KYGNNIVDPRATGGTESLPGRNSADYTYGSSSAAGAGGPAAAAGVAGRHSHEIPGSQR EPGLTRKGLPSQTGAIRNGGNVLRKGQQQQQDPYWGDAERAGAAASGPGAGVLGTFDR PGNLDRPDNMPASEINARYNNIPPGDGMGSAGAPVMLQGGRQENLGGDMPSSGMTGNR MAGSGMTGGMAGGMAGGMASGMTSGMPGSATGGPSGRGEYSTTPMNTSMAPGGASNLP QRPMESGNTFRDQKMNNYDTPMGGSDRGMGGIGAVAGTGAAAGLGASQMANRQQEQEL GGGKPRYGNPQYVSRLDPGSPNKMDTGARTSMLDPYGTGQSGNDQGAGVGNDIPIRLR DDIPSGQSPGQGMTDSYAQSRNQASRNSMGTGSPAQASGGMGNMRSSETPLGQKSQMR DSGLGQGDEDLNNNNNNNRGSQYGLRLNPPEPDLGKVIGPSGEHYGPGHQGAKVFHKC YNCGVDNDISRHFRKDAVYRMG PFICI_09567 MPLFTSLVLSALGLVPGALAEKLLASHYTGQVYSLDLAVNGSTG TLKSTSSTGGCGKMPTWLELDSDTGTLYCFDESGAGQQGISGGVATTYSVNTDGSLKQ TGQAKTKGGEVHGSFYGGSDGRGFVATVEYDASTLTTFKLPLTSGTQVLQTQKFNMSA PGPNKSRQTAPHPHSVITDPTSKYLIAPDLGADLVRIFSIDATSGQLAACTPGEAGAG DGPRHGTWWAPKSGSTDGQMLYTVNELGNSVSAWKATYPSSGCLTLSKTQTLSTYAEG KTAPTGSKAAEVHVSGNFLYAVNRNDKTFGAKEDSIVTYSIDAASGAITFVEATNAHA WYPRTFAINKAGTLVAVGGQTSSNVAIIQRDASTGKLGDLVANLAIATPGTDGGEDGL SAVIWDE PFICI_09568 MHFLATACVCLLAALTQATAINKRAALDDCLSSALVPVDAAGSA DWKADAAPYNPRLTYTPVAIAVPTKVEHIQSAVSCAAKVGVKINAKSGGHSYASLGFG GEDGHLMVQLDRMYNVTLDKTTNIATVQAGARLGHVATELYNQGQRAVAHGTCPGVGV SGHALHGGFGMSSHLHGLALDWIVGMTVVLANGTVAHCSATENADLFWAMRGAGSNFG IAASYEFDTFAAPTSVTVFSASLPLTKANGATGLGALDDYARNTMPAELNMRVFASSY FTNLEGQFFGDATGLAAALAPLLNKTGGSISQSQSMGWLDALSHYANGKLDVTHPYSL QETIYAKSLELKGLNGTAATNFVNYWFDVAKKVSTRSWYFQLDFHGGKTSAIANATRT ASSSYAHRDKLFLIQFYDRQYSGAYPADGYSFLDGWVANVTAALTPADWGMYINYADS SLNRTTAQNVYYGASLPRLQRIKAAVDPNELFYYPQSVQPNAAA PFICI_09569 MTSTGVNYAQSHDHGPPGGRSGSDPEKLVVDRPPSVHESLRAGK IDHTHRKLKSRHIQLIGIGGTIGTALYVQIGKSLIAGGPGSLFLAFAIWCTVILAVTI STAEMVTYLPISSPFIRFAGRWVDEAIGFAAGWNFFIFEAALVPFEVTACNLIIHFWS DAVPVGGIIAIIIFLYGLINILAVKWYGETEFWAALGKMLLIVGLIIFTFIVMLGGNP LHDRFGFRYWYEPGAFAEYYHTGDTGRFMGFLQCLIAAAFTIAGPDYVSMAAGEAENP RKVMPRAFNAVFYRLTSFFMLGALCVGILVPYNDQTLKDAYTNGEPGAAASPYVVAMN RLGIPVLPHIVNAMVLTAAFSAGNSYVYCASRSLFGLALEGKAPKFLTKCLKNGVPVY SVIVVLLIALLSFLQVSSNTATVLGWFVSLITASQVINYCVMAATYICFYRALKAQGI DRKSLPYRGIFQPYAAWYACGATFVMAFFGGYTVFLPGNWDVSTFLFSYMMVFIFPVL FIGWKLIHKTKFYSPEEVDLQQDLAGIEEYTRNYVPRPAKNFYDRGLDFLFG PFICI_09570 MGPLGALIDPWKLALHESSEERRNELPAYQGNSGVESLITSSNP QSFELGTGASIYTNSLLPAILKARFEVILVTCFWASSATLTALKETLEQLAEQRRQLV QGGGPDASTLPQLRIRICFSSRSLFQKLFHTTSRNGYVYPSETWEGTLGLPSPDLLKA GCIDLQVKSLFFLPFSVMHPKFLVVDRERAWLPSCNISWESWLEGCVEFTGDAVQKLM TFYRDVWEQELDLQHSSLRDNVFHSILTLQAGGATTLGLRTIQSPARHFASFEGPAVP TVLLPSSHHQNPRFRPLPWLDHPVPPSTPLNAAILRLIGMAERSIYMQTPNLTSTAVM NSLLDALERGVQVSIVTSKGMMLLEQIVTSGTTTALCLRSLVRQYNRLSDSRAKPRSI TSASSAEPMIDLEAGRQRIGPLKISYFHANPENARTKATEEPVHSHLKLTIVDSQYTV LGSGNMDRASWFTSQELGMLFHSADFAKTVSDTVVTGLAGRTRTIFDARPNNG PFICI_09571 MSNLLGPPPKIIFNGGGPNETELISLRPSQLVTGTWLILQFQNR ASRQAPKRSLPTPSRSADDSHPQSIASSIEGPRPNRNDAFAPSASAHYQTDSSAQSQR AASAPPFRLDAEPYIKKDTSYTADNSFRSIFRENFDTYGTFPTDSTSTQQTDLPIAAE SVTQGCRLLTLLSNRWVVNDFIDKWFEVCEGADDISIVGIVKGWLRKLWLCHGDVLES QDTDSMYRLSELLWRNTQAPLVFNGTTTAPEWIDLSTGPNIRWEVIGIIAAIVGICAR YCEASHAIFQKHNVDRLILAKQATHVSNTCVTFTQKCAAVNDLLPWLLVEDHICSALV RGVHTYDSYRQTGEIVSTVVFLKWHQKIEANESVPHFLVEMRKRARASAYCAEIGNAT FLGRPPRASYHYWDLDAPSDLTDSQLMQSNEEIESLLAQMDEHGYNRTGRLHRGTWYR VWLGFAQRREDVLDLALRNYTNDELLRKASDIERKSEEHWQRIPAWIREARHQKFNPT QNLLEQLYLTVIRHGTRGNELMLQRLLIRRKVATSEKLIQISQTIFKEVLEVGHSPDM VSKFQLDITSILVVHGLRAAIIIAAELFKQEQLPVYPKEPLLPRSQTIQDLSVFAARL GVVDPSDSMYDMSQDGRRMLIFVLDKILAPKPADQPVVVPQPCQGPLDHDQPQDQLQQ QPPLAPHLGDGQMEVETASNSGVAYMPGMASAMPSDFGFGPPDWIINEDDSMFMQWLD SVDWVRQGPYVGL PFICI_09572 MPDGYVFVSKGNVYMTANCRKQTQAEGRIVYAVVDKNKRALGIR VPRPVRDTVWDSEAATRKDREAAVRKRDKALELKFRQTVKKLFPAAPDGEIPSIVARA MQKGSGRVGRTSKVDIERRATLAVTAAIRHRHTDYDKKLKDGMNRTKARTETFKQVGE ILATWRGRASPAQKAQKAETDNADGNQTKPQAKLSLRAMRRMRRAEKATKPTLDLRSG SRSTSTQSNSRNPPSPKERRMYKLRTRQQRKTPALPVESPPSQGKIGQSLRDDGSEIE ESDEYVGSSEDNCIWISSDEDD PFICI_09573 MSSNDNTSTLKSYVDSATGAVQSALGSLTGNTSDQTAGQAKQDK ADVEHDASHATLKGPGFTASAAGVSKDDPDRAAGSWNQTVGSAKETVGGLIGSEGLKQ AGREQNLDGQQQEAKGQVSDYTKGAGDRITGTVGAAVSGLTGNTAAQKEYQNQHDTGK TSQRGAEHDILKQADAKNELK PFICI_09574 MHLSTAVGSLLLGTAFGTPASRNAANHVTERGLVGGLVGGLVGG LEAFVSNVEDLLEGVTNATGILNILENIEPKGTPTNVVQVSSTLQAIYSTTSTSFWVD VGVQIEAGLVPDSIIQTVPGLDTGANSEVNDNIKEPESSIYPQKDKSDAAYSLSEEQL RQVIHIPEDFTYGSKPPTIFVPGTGSYGGNTFGPNLRKLLTGVDYADPVWLNIPGAML NDTQANAEYIAYAINYISGISQNSNVSIISWSQGGLDTQWAFTFWPSTRSVVSDFLPV SPDFHGTINAYLLCLNTDDTPGLNPCAPAVLQQDYDSDYVSTLRASGGASAYVPTTTF YSSLIDEIVQPQQGTGASAYLLDDRDVGVSNNDLQDVCAGHLGGTFYGHAGALYSPLT YALIVDALTHDGPGDVSRLDSIADVCAPYSAPGLDLVDVLETAGLIVTAALQILAYPE KVTVEPPLMSYAD PFICI_09575 MADSGTPPLPQGVAYGLVCGFGIVFALTMNLITWVSRKYLHEST DTNMLMTAKKSVKSGLVAAAVVSSWCYAATLLNSVRLTYLFGFAGLWWFVAGATVQIL LYAIMAIELKRRAPRANTILEALRIRFGTRTHIVFFCYGVSVQVLITAALLLGGSAAF SVTTGANIVREQARFLYTYLGGLKSTILSDYLHTIIIYVILLVFMFKAMAVQSLPVLG SPGALWDLLQSPDVMITGGATGAKNGSYLTMQSGQALLLAGVILVSGFGSVFVDPSYG QKAIAGEPGAVVMGYFYGAFAWFSIPLGLCATMSYVAIALANTEYWPLEGGVTAYQIN NAMILPLAAEAVMGKGGAVAVVLMVFMAVTSSFSAEIIAHASIVTFDVYHPYINPNAS DKRLKLVSHISLTAFALFSSTFATGLNYSGVSMGWILEFLGVILGSAVVPIILAVNSA HVGSAFMTFAAPIGSICALVSWIGSAKGMYGQVTVTTLYENWPMFIGCTVGLFVPLLI YFTMWPLHRTPYDWDRLFLMQPLEPRPGDKVYSHEDTTDIGNDWDPSGLARASRNAKI VSAIMVIIFLVIIPFSLYGSGYIFSRNFFTGWTIVVFIWSFVAAGIIWFLPLWQARET WIGVIRGILGKSSGNSKVQENDDIAGIPREDIDVADKGLNTSDVEKVVESH PFICI_09576 MGEVVQDSWQDIAVRKQAERASKIPAEWIIPNSLLPAQDDEFVQ DFPTKSGLFTDRELTITESTASEVVAKIAAGEWTALEVTKAVCKRTSIAQQLINCVTE IYFDQAYTRAAELDNFFRREGRTVGPLHGLPISLKDQFNLDGVDSTIGYASWAGKPIA EESTLVKLLRDAGAVFYVKTNVPTTLMMGESVNNLFGRTLNPRNRNLTTGGSSGGESA LVTFRGSFIGVGTDIGGSIRHPASYTGLYALRPAHGRVSYQQVTNTFLGQEAVRSCAG PMARSPEDIRLFMSALVARKPWLYDPQIVPLPWRTDEEILPERLCFGFGMGDGFVTPS PPLRRAMDITKAKLIGAGHQVIDFIPYETKEATDIIIKMWTADGGEEIRRDAGATGEP LPTNVDRLLGSGNPSLKPMTVLETWQNQHAKSLLAQRFLERWQKTAVQTGTGRPIDAL ITPSVPFPAIRHETQYKWNYGVLSPLLDLTTGVFPVTKVDLEKDRVPADWQPISEKDK EVMDLYEKPENHENALVGLALIGRRLEEEKITALLKVMKDVVGVDY PFICI_09577 MADKKQDAILDGDAEAQQVENALPVGALHRVSLTEEDDKIIRRK TDKVILAILVWVYFLQILDKSVLGYGALFGLLTDTGLTGTQYSLLGSIAPIAQLAWQP FSAWLIVKVPHRILMPTLVLGWGIAQASMAACHSYSGLLATRFFLGLFEGGCLPLFSV ITSQWYRRAEQPIRVAAWYSTNGTATIVAAALSYGLGHIPSETLKSWQIIFLFVGLVT IITAPFVYWRLDSDIQSARFLTDLEKEQAIERLRSNQTGTGSRDFKWSHVAELFLEPK SYLWVGMTLLLNVGAAVQNTFGPLILSGLGYDKYLASLLNMPFGALQMIVILAASWAA QRAKVKSAVLDAILIPVVVGLAMLFVLRRDQSEQGPLLLAYYFLAFLFGANPLIVSWI VGNTGGTTKKSVIMSLYNAASSAGNIIGPLLFSADEAPTYHKGLRSVLAIFVTLIVVV LIQAANLFALNKLNRKRRVRAGKKADIHDRSMDRRFANNSEQGENEQSATNDDLDLTD GKNDEFIYIY PFICI_09578 MSDESGEPRLKRKRISVACNSCRAKKAKCDGQKPICGRCAGYDY ECQWSDQTPSQSTQPPQLIPSSITQDHPSETFVKHRQLMLGLCSKLSQETQEEVKKSL ASVENAVRCGSPPCNTCTTTSHEALLTIPRLDSRKFVGEISDIHFLNLLMTQLALPDS QRNESQEYVDTYDPEEVATSYRLRDPMTGMPPPHEVAGNLNTYFSTIHIAYPFVQKFV FMRKVESIQKDASFRGLTPSWFSLLYALLAIGSFYNSFCQDDSISNPAHRRLFERSFV LSSYDALERSTVQVSALLAQCFYMLATSQIDRCWASLGIAIRLSQSIGLHAKTDATKP HNSDPRGHAEHDEVENRIWYCLYVLDRLMALQLGRPPAISDQDCHTPLPGRMKDVEVD CNNRPIVQGQNEQRHASEYFARMVEFSSIIGRVLRETYHPRKDMASMLQSTKHCDQLL IQWRSKLPRFLRFDMGHVFDQSLVLRRQRNMLAIKFHHLRTLIHRPYLCFPWLRGQDP EPLQPDQHAEVQQYGKTCTLEAQAIAHLMHNVSDTMDIVMNYPWWQMISCLVCAASVM ILTECCDKKESSTDARLTALHEDSETCMEVLTALSNGSTGARLALKMLKGLREKGARI SDKLDRRAVEAHEEVRLPGQSRVGMDMPTQDPTTASVDLAGQMSAIVTDNVYPGLLYS EGDTNFGVGVDDGRSTVVPDSMNWPLEFLNMLEDPQNPFEGHTDA PFICI_09579 MPQSWSSSSRKTNGSSNRDHELSSIPETWRDLSEIEAPAPVQNP DESAEAEYKSLEDEVSSQRRQSCRSQGEQDSSRGFQGRLSEHMVGSGQSLRWSDDVAD AEEGYTAPPEVANLTEAIPDAVVDNPQEDHRYSHHSLEEELGQTQAAETPTSRRFSRV GRSPSQEPPPSQNRETPTRKGRVATGIYLFSHLVFFSILGTLARLGLTALTTYPTDVI RYGSIWPNFAGTFIIGFLSEGAELWHHPAASRSIARPAIHNSKESLSPGHDTAQSGES VEAAMPAVNKPNVPIPLHIGLATGFCGSLTSFSAFMRDCFDALSGILVSSSSQPSPGR DFMSVAAVFITTLGLCAAALKAGAHTAILLKMLDKRFPRRLVHWADRIVLVLGTGCWA AAIIMCVVPPDRFRAPETWRGEALFAIAFAPVGCLLRFILSIKLNARIVGFPLGTFCV NMLGTLILSVVWDLQRIPKENMAIAIGTNLLSCQVLQGIEDGFCGCLTTVSTFILELN SLRRRHAYCYGVASLLTGLAVVVTIMGSLKWTVGLSQPVCTSL PFICI_09580 MLNLKGKVAVVIGLGQTGTDGWGIGAACAVALAQQGAVIFGGNR SLPSTAKTTETIASKGGQCDVMVVDATSSDSVKALVDACVTKHGRIDILIANVGQSQP GCPATMSESTWDSQMDINLKSVYLACHHVLPVMEQQPNGGAIVCVSSIAGLRYIGKPQ IAYNTAKAAINQFVKATAVIYAKRGVRLNSVVPGLMETPYTKSLSTRFAVEGGYEQFK KMRDDQVPMGKMGDAWDVANAVVFLVSDEARYITGQKLVVDGGITSSTGPT PFICI_09581 MSENEQQQGDTSESRHTDYDISKAIQSTHGLRQGLTSYGDAHFS LFLRKVFIKALGYSEDALSRPIIGVINTYSGFNPCHANVPQLIDAVKRGVQLSGGLAI DFPTISIHESFSSPTSMFLRNLMSMDTEEMIKAQPCDSVILIGGCDKTTPAQLMGALS ANKPAVHLVTGPMMPGSHRGVRVGACTDCRNNWAAFRAGKIDIEDISAINDELAPTAG TCGVMGTASTMACILVALGLMPFAGASAPAVSSSRLRIAEETGRIAVQLATNKKLNPQ SLLSRDSFLNAITVLQAIGGSTNAVVHLMAIIGRHPNVAGTITLDTIDEIGRKTPLLV DLKPSGDNYMTDFHNSGGMLSLLYKLKPLLHLGALTYTGSTLGEEMARFAPMKIPQQL SHIQPFDNPLYPASSLVVLRGNLAPNGAIMKASASKDRRLLQHKGQAVVFRNSEDMAR RLDDPALPVTADSVLVLQHIGPKGNPGMPEAGLTPIPTKLASQGVMDMLRLSDGRMSG TAGGTIVLHISPESAHPTSVLGIVRDGDTIICDVANRILRVEISDVEIAARQKQRMET PEDAAGLIMPDGPVATERGYRGLYKRTVLQADKGADFDFLTAQGPEPQRQRD PFICI_09582 MQPSSKNECDHIESAKVIASSSQPLSSDAEKERRLVRKLDRTIL VWIMILYLLSYLDRSNIGNARNIGLATDLHLNSSMYQLASASFYIGTFLFGTIGGLML KVIKPSTWLGLCAIGWGSPFASSWEFFEASFAPGCALYLSFWYLKSELSLRIAAYAGM SAASGVISGLIAYGVGNAGFHKPAAWQVLFMVEGLPTIVFGLLTFWVPPGRPEMGKSH WFTDEEHLIILSRRTRFTKNSDDGINWVQIRGAFKDYRLYLFVFIYSGLSLSLAVSAV FLPTIVGTLGYKSVEANLMTAPVYGVAYFVLLVTAWLSDRFRMRGPFIALGGLIAGIG YILLGTLSDQKSRYGVCILAIAGTYMAFPLTLAWISSTFAGDSKAGTGIGVVIAVTHA VGVAASTIYPAEDAPHYLMGNAVSGALTLTTAVAAILMSFLLFRENRSRDKKYGRPEI GIPIDMGGDADMARDYRYEL PFICI_09583 MLSSLWEQPEKVQAEIFSALPDSFRQLGQSEHSRNQKRGRPLDS FLEGPLVRNGILYLTDIPYGRIFALDLSTRVWTLVVQYDGEPNGLAWHEGRQKILIAD FKCGILELDLNDRKVTTLMDRFNGERLKGPNDLVVARDGTIIFTDQGMSGLQDPSGRV YRIGTDCRVDVLLRNCPSPNGLVLDVSQTSLFVAMTRDNSIWLAPLYPDGSVQRTGRF SSYFGVGGPDGMATDIEGNIFVAHSTLGTVFVHRKDGTLRTRILTGHLGQGTTNLTWG GDDGRLLYIVESESGSVLTVTWHCAGVC PFICI_09584 MARVQEPRDFVGGPGRLILATTITSVTCATFVGSINVGMLTIAI PSVAEDVGLDSSLLLWPQSISSLVCACTLLLFGSLADALGSHRVYLLGIVLQTAFTLG TGLVRTSGQLLACRALAGLAQAAILPSSVGLIVRTCPEGRIRNIAFASMGSGQPLGFS IGLVMAGGLIESLGWRSGFYVAAGINLFVCILAVFSLAGPSREAPVEWRSLRESIDWV GTSVMTTLLALISYVLTIITAEGWSVSYKSNITMLSIAAALCPVFVWWQGRQVKLGRS ALIPNSLWRQTKFSCICASVLLIWGAFNSFEQFMSLWFQLVEQVSLLDTSLRFLPQPV AGAAIGFSIGFLVHRVRANYIILISTVVSTVAPLLMALASPQQSYWSAAFPALTLNAI GADCLYTVSNLIISSLFEPNMQGTAGGVFNTVAQIGKTLGLAVGTLIAGAVSARASED IEQSQRLVYGYQAAFWFCMALCGLSVGICSWGLRSVGKVGLKKD PFICI_09585 MITRFNQSVALLPSLTQEVGALVAQPEKFMHVSEEIIERFRNMG SSGAKQPITSADLDSCITLLREFQELTRAPMEQAGETRALVVRDPKIEKIRGGVNEGM FFVATQALQTSINDTNALDLRNVQAFKMIMKPAQILIEQSNVGMLEDAVARGEDLDSF VDSSLDPAQFINGGRAYRGIDDVDRNPLETQGRGKRFAGQP PFICI_09586 MATLHERETFFVGGSYTQGEDGNHRLSGQMYVEHLSPSRTEETK PFPLVFIHGATRTANDWITKPDGKPGWASFFLSRGYELYLVDQPYRGRSAWPPGHGTI IAYTAEQIQQIFTACKQYEIWPQAALHTQWPGTGVMGDPIFDQLFASTLQMVGDTDLQ ERATQAACAALLDRINRPVILVGHSQGGSTPLLVADVRPSQVKMIVTIEPVGPPFSKA SFIKGPGARYGVSFAPITYDPPVSDPDTDFVKVDLKANSPSRVDCLQQAESPPPRQLI NLKGIPVLLMTSQASYHAQYDWAIVRYLRQAGVEAEHMELQGVGLLGNGHMMFLEENS DEIAAALEGRIDEIVQRRAAA PFICI_09587 MTPEPNTIRAKLRGLSSLKGPLPDIDPATLPDTPQAAFEVWLDE AIAQGITEPHAMTLSTVDKQGGPDARVLILKDLDERGWHFAAKGTSPKGRQIEQNAEV ALTFYWPKLGRQVRIRGVAHAQSVDDCARDFSERPVSSKISAAASKQSDILSSTDELR QALKSAEALFTENPDQVMPAWKVYAVAPTAVEFWQGATDRMHRRILYQREGEAWKKQA LWP PFICI_09588 MKLSLSTALSSLRPTLRYLFILMIAQHVAADDDDDSTKITANFT EPATGILMQRFFGAKSGFGFAIAVPDTPSTANATSFIGHMTFPLANGAGWGGWSLTGD MEGPLLMAAWLGPDGGSVVSSFRQADNEDDNPPEVTGAFTVLPIAEATSANSSFLTYT FLCEGCLASTFGLGPDQTAATVEMGWALGSKAVGNPESSAAVLNFHNVGFGGFQAQLG LARLPQADFDRVAALAGPPAQPAAGAVQFAGDNGGEGDDSDDSEDEDDD PFICI_09589 MSGIAALAKFKKLCVTNDLIKDAANPNGDDIRSGIVDDGTLFRF LRARNYDVEKAFQQYQATRKWRDENQLIELYETIDVSKYEETRKLYTHWTGRRDRNGV PLFLFEIGQLSPSLMAAYKASCSSTTDTVTGTESQAMLRLFCTYENLIRFVFPLCSTV QSRPNPGIPITQATCIVDISGVGLLQFWRLKTHMQAASSLATAHYPETLGRTYIIGAP SFFPTVWSWINKWFDQNTVSKIFIVPPGQEYSMLSELIDPINIPHRYGGQFDFDFGMV PDLDSEIMERMKWLKDENGQVFEELPLGPMRWIEQQDGTRTAIAVGTVNQAQRKMDIM ALGN PFICI_09590 MYRSLGVLPSPPSDGLPDLELNLATPLSSVPGQDNSAINKAAIL RGDDALNNGNFTLESLNLSPDVAVYGLTDKPLNAQGYINWLQGMKTAFPNLRLENDPY FRPVIGQGDWTATVAFLSGTHQGNLSLPLYISDAPVKPTGENFSLLHFTIARWKEGKI VGMRVNLDLFGILASLNITL PFICI_09591 MTTNQDNVTHWSVAQNMRQMQVTDDAFIARDMPRFNHHPNITVY YTGGVTMNLTEHLEDMRLTYSTTDITIHNHDYKILFGEGDWTVALAEVSGLQNGPLPS LSGTLLPPTNKQYKMDLMTVARWNNGDDGGVSLV PFICI_09592 MHETHLQVDTGKVVRLGPNEYSINDVDALRTIYGPATRFIKAPW YSAFDEPSAPHSTIFSMKDIKAHGQERRKYATAYSMSTMLSYEMHVDQCIEILDQRFR EFSSTKELINFGHWMQCYAFDFSKRFGFLDRGHDIENLISSLDAFNFYGSLVGIIPEW HRFLFPLINFFKQKTGLRYLFEFGRAAIKERKQDSDRQDGPSDFATKFMEASAESSKF TDLNTLRGCMANIIAGSDTTSATLNSILFRIYGDSSCLQRLRDEIDQFPKQGGMTQPI SYKESLQMPYLQACIKEGLRIHPATGLPLFRLVPPGGATIAGVNFPAGAVVGINSWVA HHDSDVFGPDPGVFRPERWIATNAEDKDRISTLDRYFMPFGHGARTCLGKNISYLEIN KVVPWIVQNFDLQIRGGTAEDSRFMKAKNYFFVKQSEFQGTLTLRGLHD PFICI_09593 MSTVSPFLHSGPRTKKDSIAIVHLSRDNLVPTILNGTVGAVDGY AEGNVINTRFGSFPHSTLINQPWGSQVRASIVDTGSRGRKRKAREETDEPSNDTEEPT TSAKKPTAAASGFVHVLPPTPELWTMGLPHRTQVVYTPDYSYILHRIRARPGMRIIEA GAGSGSFTHASVRAVYNGYPTESGSQKGKVFSFEFNEARFHQMAKEIDAHGLKELVQM THRDVYNDGFLVNGESPAAESVFLDLPAPWKALPHLSRSRPATLSAEQPWVSPLRPDR PVHLCTFSPCIEQVTRTVDSMRRLGWMDIEMVEVAHRRINVVRERIGSNLPGDRSSNQ NPADVSEALDRLREVEGRFKEFHGRGQKATQTADADADGDLDMGDASEAKDSKTNGST EQSSPKKDTDSSLPPFMLGNLVHRTEPEIKTHTSYLVFAVLPQEWTEEQEAEVYQKWP CGQEKKVIGSLDKATRKQEKRELLKGKGKSNKKQRHGKAA PFICI_09594 MATGDAKASRDEIYDGEPHQSKVSHELVAGGAAFEAMKLFEDRQ RASGEPVKHAFAKEALAGIAGAEVDKLFETKGLDFLDREEAKHKAKKQAEHLYEEHYG QYDEYNPNEQGRHPTLDY PFICI_09595 MAASYLSKLNPVPSFASFTGPYKVGTVDVEIPVDELDSPAPAPE GCDIETVQFRIFYPTAPESTGKNITWLPAPQRPNLAAYVKFLGAGPLLADAISLLPRH LHYTTIPAIKNAPLLEPNAPNQRWPTAIFSHGLGGNRNAYSQITGQLASHGVVVVCPE HRDGSAAATFVRLPAEQHRYFMRDRRREIPYKRIPHDATEEVREARSAQLRIRLWELG LIHDAVLRIDQGAAVTNLNTSTPSLDQFVSGLNIHEPGSIIFGGHSFGATTTVQFLKS VYYAGSPAVAAMKEPLFTPSQDSAICAQVTPKTITILLDMWCFPLTAKATSALFNLPL PVFADDPSAPGGNGLLAVESDAFVKWKEHFHVTARVLSPNPAAPVVEAQAFERPSGIK LQEPNFFYVHNSAHLNQSDFGVLFPWLTKKIFGSAEPERVLRLNMRAILQILRVHNVP IGRTSSVDLVDGAPETKMAEGEGLDDGIHDDKAILDRSGSSGVEAWSWIDIIGMGDEA VGSGNTEDEKAAAEAQEPEMAAEMEPQVSEGEAIKTVVATAT PFICI_09596 MTQHTPEFLRQRSSNMSLRMAAVANASSATSPVPFEARFDAQER QNTVAARDLKPEDYTKPFCEFLQENPTVFHAVDYFKSKAFKHGYTELSAREDWSGKIV PGGKYFSTRNGSTIIAWTVGKAYKPGNGVAMIAGHIDALTAKLKPVSSKPNKQGYIQL GVAPYAGALNETWWDRDLSIGGRVIVREESGKTSSKLVKLDWPIAKVPTLAPHFGVGM LGSNNKETQAVPIIGLDNSDLYPTTNSAPAEALGPAGSFVATQPPKLVKVIAKQLGLT DYSQIVNWELELFDLQPATVAGLDKEFITGGRIDDKLCSWGAFEGLLASTQGEDEGTV KLVALFDDEEIGSLLRQGAKGNFMPLVIERSVEALSEKAGKAFGPSTVGRTYANSFLV SADVTHAVNPNFLERYLADHAPRLNVGITICADSNGHMTTDSVSTAILTRVCELSDCT PQVFMIRNDSRSGGTVGPTLSSMMGVRAADAGMAQLSMHSVRATTGALDPGLGAKFFK GFLDHWEKVDGEWTQ PFICI_09597 MQLRNAIYFSAAVLAALQVDLDSPKSIKNAAKQVAADLMGFYHG NEPGQTPGILPGPPPDGDYYWWLGGALWGTMLDYWHYTGDDTYNALVNQSILHQSGPN RDFLEPNWTASTGNDDQSFWGMSAMLAAEINFPDPPQDQPQWLALAQAVWNEQATDDR RNSSLCGMGLRWQMYPQNKGYDYKNAIANAAFLNIGARLARYTNNQTYVKWCEDTWDW LTEYAIIDDQYNVYDGIHWTFGPAPQNQLDCSSRNNAQFSYSAAALIQGVANLYNFTN GDPRWGDRLEGLVNQTFSYFAPDGYLVETSCEAFNKCTTDMTSFKGYVHRWLASAAQL APFTFPQIYPVLKSSARAAADHCTEGDNGRMCTFGWAPDSVDKATGACQQMNVLGALS SLLVEGVKAPVTNTTGGTSQGDANAGSTRLPLHPQLHDIHTLDIIGAAFVTAVLSLAA AGTFIWMSWEADPLNKRGSYGLQESYELDKIVPKRNKLLRRPFGQRLTSEGK PFICI_09598 MVQQEFYQLHPVGYETHPEVEEFPLSTIDLTCVCTYNQYGFVFK LDESTDRTALAQLVRKGLEATLGQCRHLVGTIEKNEADEYAIVKRRSSVVPFVVQWMD GPDSQAKSFEEMSRLHFSGKAFGDKTYIGIEGMTFGAPCHLDSSPAVSGFKLNFIPGG MILCIHHHHYTVDVKGTHSLIIQLAANCKAMFEGTSPPSWDDAFMDRSLFITPPPPLA DSVEPPPMPQKHPDHKSCYQLIFHLAKSKVAEIKRMATPTDGSWVSTYDAMIALWWRI STRTRLDFYPKTNLTSKALFFEAVTMRHRLRPPLPEQLQANCLNIGRSTQLEERQQLT LAEVMSDEVPLSRLASEVRGITDSSNPAFLFDNIKKVANVRDKASLMFRLDSFAPLSF IVTDWRNSPMCEDDWGFGRPAVFRHLTNHGFEAETIMVVSPPRTVEVDPDEGYEFLIP FEEHDIEGILKDPDVVKYFDYRGLQ PFICI_09599 MPAVRSAYDPKDMKFRHLGPTGLKVSVFSLGGWLTYGGTQKGEI VKKCLQTAWDHGINTFDTAETYASGESEVEMGQALKELDWPRDEYVLTTKIFFGTGRK EPNTRGLSWKHIVEGLKSSLERLQQPYVDIVFAHRPDPATPMKEIVEGFTQAIRNLNL AYYWGTSEWSAVQIMEATQIAEKYNLIAPVVEQPQYNAFHRQRFEVEYAPLYKQFQYG TTIWSPLASGLLTGKYNDGIPEDSRYATNKTFFENSIKQLQTDEGKAKIEKVKKLTAI AERLGGNVGQLSLAWCLKNPNVSTVILGATKIEQIEDNIKALKLSDKITPEILEEIES ILDNKPAAAPTYGRERL PFICI_09600 MASNNAARAPAPQDAASKPKETALLWNPDNVKDVAESLGIGNLN EEALRTLAQDVEYRIGQVIIEALRFMKGAKRSTLTTQDIASALKVLDVEPLYGYDSTR PLRYGEAGIGTGQPIFYLEDEEVDFEKLINNPLPKVPRDSNFTGMSICCVAQHRDTRS FLSSLVTGHWLAIEGVQPTIPQNPSTNESRSQELLPKGPGANPALPAVAGNQGANFRP AVKHIISTELTLYFEKVQSALLDDNPEPVAQELRAAALESVKSDPGIHQLVPYFVNFI CNEVSHHMDDLFILRRMMELTDALVENPEIYLDPYASPLSAPVLTCLLGRKLGNENGQ DWLNEVYLLREFSASLIGRICQKYATSNKMLRPKMTRTCLKHFLDINMPASVWYGAIL GLSAAGGPESIRVLALQNFKNFSDGMLQKLKEGGNDSNQIELEAILGAIIKAIRTLLP EQNLLMANGVNGTSDRETSELKEFLGDLIGERVARLGDHQLVQTVLDARAFSAH PFICI_09601 MIGQRVGLSAVRQVAKSPNALFLSQNLPRLAMGMQARPASTTKL TEADAQSLLASQRRSRPVAPHLQIYDPSQTFFTSSIWTRITGSIFSGGLYVFGAAYLV APLLGWHLESASIAAAFGALPVAAKGALKFLLAWPFVGHCVNGIRHLVFDFAKGFSKS NIKTVGNVLWGTTLVSALGLAFLL PFICI_09602 MTTNVRYAVSKPARTLNEQYMMPSENDSGSTKMQTQHTDHCETV PKAKANETNCINDGPILDDHWHAVSRSLVRKLDLTLMPMIWVLYLFNYLDRNSISSAN LNSIKEDLSLSGEDFNTVVSILNVGQHILILNSYMAMQILSNMILTRVRPSLYIPLWA CAWSIVSASTGAVQNFGQLITVRCLLGIAEAPFFPGVYYLLSCWYTRKELGLRMAFMY TGLIVAIAFSGLIAAGVFSRLDHALGLAGWRWLYIIVGSVNFLLAVASMFLLPDFPEA ATGSQRWLLSEEEQKVALERIAADSVTQESNRSLLYGLRLAVSDRRTWAFVMLLLCNH AAFGFSYFFPSIVKGFGFGSTIVTLLCTSPPYLVGAVMSVVVSWSSDSRGERGYHIAV PAYIAAAGFIVSVATLNGPTRYAASFLYVSGCYAANGLIYGWAANVLNQTPEKKAVAT SMLNVLAQLGNIISPYFFREQDEPRYLLAMFLLIGFSAASGCISLGLKWDLRRANRLI TDAAVATGQQPRFFAT PFICI_09603 MSTLSTANELATRLGYRFSNNCRITHGSGANVKKPFPEMNLTMH ELVAGSTKRFAGQLGFIEFSTDLRLPRHVHMDLDKKRLTDERIMVLHGAGMVEIAGEI YVVAPGSLVDAIGGVPHTWTACPPGIRLPDGTITNGKFTMVYEYEEPTAFFPTASAQP ITDASQYQEFRGNLDDIRFPVLSASEVVQRASIVFGKELLRLKLV PFICI_09604 MSASTHIHPRAYKACLACRQRKSRCELGVGWKPGEACKRCHRQM RACVFPTDRAAVTNRSASSDISRDSQTANATSSAPSADSSRQLSSASWSAAASRESAA SIAPPDGLAGPVMRTMISNSDDALEVLFDPVQHGSSPTVSSVSTNPAPLAQNPQNSRA GHRIWNACRFVKQGWLTAGEAVFFVDKFFANLQILTPILTDFYGEHENHQSLISSEPL LCCTILGISARHHALPCVGGAMRSFYIHERLWTHIQHLLLRVLLGQEKNSYGKTRSVG TIEALLLLAEWHPRALSVPPPIDGWDSDLLVHWPEDANHQQNDESNEARDRWLEDVII PAKRCGHMSWMLINCALSLSLELELFDENDIPDADAVDVARRLRIQTLLYVYTEQVAL QHGRQTTLPQGVIRQVPRLITSTVDHEVEYSVLKPWLEITKLTRLVMETMYPSSAHTA QLLHNGRYMNLIDHFQPMLKTWEDNYLHKADLVSDVHGVLLCIEYNSTRLYCYSIGLQ GIIERSAVQHGGHRQGSQSITSQVLDQSDYQLITEVVRCSCEVLKAALKLEEQHILQH VPTRVMMRVISGSIFLMKSLGVGVEASTLNASLELLQKAIGAFRSNAVDEMQLGFRYA ALLELHLSRLQSSFVPSAHPGCIPFSTGIQDPTAMDHGDVQQVQTWFSLPADATFAMA EMGEIQGFPNFDDGSLDFVWNLEFPDQL PFICI_09605 MEEIQLVSAEEVQKHNQALDCWLVINEEIWDLTTFVSEHPGGAS IILKYAGADATVPFREVHSQSIIRENLSLDRFRGNLDPSTLPGNWANTKTTAASSQTG KPGDMIRPPLHTMINAYDFDSAAALSAPKKAYAFYSTAATDCWTKEANESMLKRIWFR PRVMRDVSAVSTSSTILGIPVAFPVFICPTGLAKLIHPDAEKGLARAAQSSGILEIIS SSASYSLEEIVQEAPNYPFLFQLYLNKDREKSRKMLLKAQDLGMRAIFVTVDAAGRGK RESDERLKVDEVIVNPVTGERAASDKTGAGLTRLMGRYIDQGMTWKDLEWIRSITSLP IILKGIGSAADAKIALQHKVDGILLSNHGGRNLDFSPPSILLLLEMHKVCPEVFQKMD VYVDGGFRRGGDILKALCLGAKAVGIGRSFLYALNYGTEGVEHLVEILKDEMESAMKL VGITNLSEAHPDLVNTSDVDHLVPNGAGHPYITWRSRECARL PFICI_09606 MKSIVSWLRRRAKNLKPAREGATDGLSRLPLELHYLVLEHLGPE DLVVLLNVCRSWRYIWLSDEVWQWLAMRWLPGLQEHIEDCAAHGQERGEIFRRVLVKD LLRNHGLFSFSSYHSLDLETNRVFKLSKAVPVVDGGIHQYRDIREPETDLARFARFML YNSGRIAWWPEPYAIPYVAVIDDLRTRHRRVYSFPGHCGERAGYQVAMGDQLFIMGKE RTLHVWHLGTDSHQLIELPYDFKRCLTEGDTILVIAKNSAVYLFEPGQKLRQIDMSDV YKQAPVTWGDQGDFVPGALGSHRVGLWLGNTNTAIDFIIHPTRKNVFFVVTLTDGSMT VHEVNDGKLATSYTLGNQMLSRRALELSTQLRWEKINSRGGYNLLSIYPQPTFSPNGV ADLEELCHEIDCQCQRVPLGTSLVHTLVSVCFNIYTKCFHVLRHEPSSSYEPRTFHIW NDRLLCAHGVNCDTSCHVASFKGGAEARRPRCMSAIPFYSPDRASKSAETTLAKRRRR HQEEMLECGLSMINSPYCDIEYLLESKRGYSRLRPPPQNMPRLVGDDDFLVFFQDEIY SVWMFGDVAFRP PFICI_09607 MLQLSPDELRQKYFNSIAPSYARLTGNTTRHNFVAALEQSDLGI TSKSVVLDNASGPGTATSALIPWCERRSLKPKIVLTDFTPGMIQEFDEIRSQHLQSEL WQSTEAKVANALDLSASFREGHFTHVVDSFSVSTVGTKDQQQQSLREAYRVLSPGGLA VYLNWKRFPMSEMIDAAQADIKGEEWAREHRVPVNGAEFIQEGYLAQMMAGDGWDSSK IQTAQTSYLVQEGDDWDGLFEFLQVSPPSMIARRGFTAEEAAQWPEAIKRAMRKEKEV YGGVYTEAWIVLARK PFICI_09608 MARFLPLALLAVPTVFGLDCSEEFISSAVAFNENATVNYVYTVA EGASFGIPSLAFPDNATNLPAVCAVGINVKSSQNSSYNFGLFLPDTTWNQRFLATGNG GYGGGINWPDMGIFSHYGFATMSTDTGHNASAIDTGAWGLNQPESLIDWGYRAMHGSV ELAKHIVSEYYAPPTGIQYSYYASCSTGGRQGLREAQLYPQDFDGISVGAPAWWSPHL AAQTLRSGLLDYPTNSSKYVDPSLFPVIVAEMEKQCDPQDGVTDGIISDPFGCNFDYQ ALLCTAGNETGCLSEDQLENVYAFYNDWTTENGTLIFPGATLGTDASALMGSILPLGY GYYQNWVYNDTTWDYTQFSYADVQLADQIDPGNATADAFDMTPFMHRGGKVMMYHGGA DLLIPTGSSKVFYDKVSDTLVPKGVNLDDFYRFFLIPGMSHCSGSDSAPWYIAGGSQS LDNVTHSVPGYEDADHDIILALMNWVEHGTAPDKLIATKYVNDTASLGVQSQRPLCVY PKQAKYTGSGDVDAPENWECQNLD PFICI_09609 MSGVDAPEILAAYDAVRSDKDATNWLLISYASAMGDKLTLTGTG TGGLEELAGKLDDGQAQYAYVRVEYANDTESKRVKFALVIWIGENTKVMRKARVSIES GNVKRVLAHHSITVDARDKSDVTEKEIVPRLRKAGGADYNGGRG PFICI_09610 MDISNPRRILAVSRADSELHLSRFVKDLTGNAPEAAETLAGTTH DLDLKTAYYTATVPIWLDLVSEPSEWSGSFLSPEAKEVLDVLGGIVVIFPLPTKPDGD EARAARDLIEHVGKVVKEGLGGWQWEGVGLCLGFGELDNVDEWDECAAENGLEFVQVR AEMSEARNEYGEKTGIPRVREALEANDWAHVDGDLGSDFEDFEAALDGGDDEATDPAL TSFGDKGPDLDPESLDFGFDKADFEGLKRAIWSAGQESGEDFMNGEPAQRTVQTTGDS EGVTLDDNEVQKLEGMMRKLQAVRDMSAGLPEDQRKRMAAKAVGEVMKDL PFICI_09611 MDAIKMEPSGSVAPNAADHIHSPLDMLSTPAPTSASIALKASQS PSPTSSAASNSGSKPHSSSTSSQSRRPPRKSTLTQQQKNQKRQRATQDQLTTLEIEFN KNPTPTANVRERIAEEINMTERSVQIWFQNRRAKIKLLAKKSLETGEDIDSIPESMRA YLAMQAMESGKAMGGAFLGRTGLIPYGTSMMLGGDQGGQGKVLIHHLTCRSLTIGKWT RVGQNTMDLIIFYSPEKCTMTYYINNDQAGYKIEYHFSAIKNIYLDNPEGDPSKPAGI VIELNRPPNFFMDSSPNSNGFFQCGDFTEDQQATQCFIHHLGGTPKVLSGQLAKLVSL ESFMNRHNPSPFLDQTHHALSMSAPVSPTNRPSSQPNFAQPHVGMFQESQWGISPAHA SMRGPGHKRQRSRSVPAPVDFSLFQNPMPSFFIQHPGESQPQPHSPNVFAPVPQHPHQ LSPVGPGLRIDTQAGYGMDLRPYPLSAATSAPSEYSTNSNYFSQAPEHTPLPASSYNT PYSSTFLSPMVQPQSMIPQSVSPLPFTHGDPAIVEQSPPMGMMRSASADIYPMGESAV SEDGHSLNEMYSKHTINLPMHPHSPAYVDSNQADLDMNQLVQFDGMDHVSTPESMHHQ Q PFICI_09612 MDSHSAPDPSASSQNEQSHPRFKLTQIKTHSTAAVFTPGHKHKS SVATASIDEPRFDIQHYRSWARKQRNRARYNIWSRLDNAFNAWYQKWIIETLLRQYPL PPSNDGRHVPLEFGRVRTTPLTDERTGNKKPYVSNFIRSTRYTLWSFLPKQLYFQFSK LANFYFLIIAILQLIPGLSTVGSYTTMATLIPFVAISMAKEGWDDYRRYKLDKKENRS HVLVLDPERTVTDAKVAKLATTMESRADAEVAELDDLSSDENLWSHIQWQNLRVGDIV RLRRDESVPADIVLLHASGPSGIAYIETMALDGETNLKSKVACALLAKRYHDLGNLRR CTAEVVSEDPNLDLYNYEGRVTLNGETMPLTLNEVVYRGSVLRNTTHATGLVINTGEE CKIRMNANKEIHAKSPAMQRKINHIVLFLVAFVAFLSVGLTTANAIWKHHYLHNGWYI TSVSVKEILIGYIIMFNTLVPISLIVSLEVIKLGQLLLLQDVEMYDAETNTPMIANTT TILEDLGQVGYVFSDKTGTLTENKMRFRKLSVAGSAFLHDLDVRREEAEKQKRAATWK GKGKTRNMDSASTSHPSHTEATKTPLIEHETSGPRRSSSIAWASTGRPAHAQPDVNTE SLLHYIYQKPNTAFSRKARHFLLCIALCHTCLPEVRGDGQIEFQAASPDELALIQAAQ ELGYLLIDRPSQAVILQIKQGNDGPLSTERYEVLDVVEFSSKRKRMSMVLRMPDGRIC VICKGADSVVLPRLKLAHLAMQKISDISRKATLRKSVEASRALQRKSMQQQRSIDIVR AASAKQHHYRGVNAPNRASLVSGEVAHWLDERENEDLDDQGHGEAYTSPVVMSPVFSG ADGELEGLVDESIACSDSAIFDRCFQHIDDFASEGLRTLLYAYRHISQEDYASWRKTY QAATTSLVDRQKRIEEAGDLLEQDFELAGATAIEDKLQAGVPETIDKLRRANVKVWML TGDKRETAINIAHSARICKAFSEVYVLDATKGELQETMASTLVEVARGMIAHSVVVID GHTLSVVQEDVTLRAMFVDLAVRSDSVICCRASPSQKAHLVKSVRDAVPNSVTLAIGD GANDIAMIQASHVGIGISGREGLQAARTSDYSIAQFRFLQRLLFVHGRWNYLRTGKYV LATFWKEMFFFLAQAQFQRWNGYTGTSLYESTGLAVFNSLFTSLCVIIPGIFEKDLEA STLLAVPELYTYGQNNEAFKYTKYAGWTTMAILQSLIMYTIVHYLYGSHATDLFAIGQ LVFTVAVVFINTKLFILEMHSKTIIPLIGWLLMVGAWFLWNISMAVSGIAHKNQQIQF PVNNNFTKGFGAQALWWVTCILTLAALTVFELCVCALRRVYFPKDQDLWQEIEHLGAG KVLEEHAAATDIERGEHQGAAITSGLGGEALGKIDSGGTVEP PFICI_09613 MPIIHGLWLYGLSEQSTRIGLTWIGTTALFNGVGVIAYALKFPE AYCPRRFDLLGASHQIMHVMVVIAALSYAKAMIVAFDQTHRQDTIVYQQMSNLRRM PFICI_09614 MATVVPDQIAADKVMSKDDAEYAIDEKSDTFRPSAPLGKPIDEK KFWFQRTKKNSYDPHAIATLPSVFDVPEIAAQYKPRDDWENIGRFDPSARWTWGEEFK LIRKMDFRIMSWCMVMFMAMELDRANLAQALSDTFLEDLGFDTNVYNLGNTVFAVCFL SGEFPSQLLNKWLGPDRWIPTQIILFSIVCASQFSLNSKASFLACRAFLGFLQGGFIP EIVLYLSYWYKHHELTLRLSFFWTGMFIADILAAIIAYGLLHMRGVQGYSGWRWLFLI EGLITGTVGITSYLLIPPGPTQTASWFRGKHGWFTEREETIMVNRLIRDDPGKGTMHN RQPVTLSLIWMSLKDHDLWPLYIIGMCFNIPTLTPTQYLIPSLRALGFTTFESNLLTI PYLVVKIFLMLGLATLAEVTGQLAATASLLQFWSLPFLIYLRVVDTTKVSKWLTWGMT SLLLAAPLGHPVQVGWVSRNASTVRSRTVGAALYNMFLHAGVITSSNVYRADDAPLYR RGNSILIGIACLNIVLYGLAKLYYVRRNRLRDGKWQAMTPEEKLVYLSESKDLGNKKL DFRFAS PFICI_09615 MKLVVQFVQLALLSAAWANGAPDFEPAGQVPMLGPERRPEQPKS RPNIVFILTDDQDLHMGSLEYMPYVQKHLIAHGTFYKRHYCTTALCCPSRVTLWTGKA AHNTNVTNVSPPYGGYPKFLSQGLNDKYLPVWLQQAGYNTFYTGKLFNAHAVGNYDSP HAAGWTASDFLLDPFTYWYKNSTYQRNHDPPVSYEGRHSVEVLTEKALGLLDEAATGQ KPFFLGVAPVAPHANIWAPSFAEGKHTDIRDVVFSVPEPEAKYEGLFKDVKVPRTENF NPDEPSGASWIKTLPKQTDENVAYNDHYYRQRLRALQSVDALVDDIVKRLDAHGILDN TYIIYSTDNGYHIGQHRLQPAKQCSFEEDINIPMVIRGPGVPKDSVADIVTTHTDFAP TLLKIAGAPLRDDFDGLAIPLTEEALQEAGQSRHEHVNVEHWGFASNEGKLFDGWQRL YLNNTYKALRVISKDYDLHYQVWCNNDHELYDLKACQGLIPRIQ PFICI_09616 MSEQRDEQTPLLSSSSSIRSAQNISASSSSAEADSSDAESNIAV VLGEPDAENAAASIAANQQAKPALNTTSLVQVIAVLAIGLFTSNLDGSIVLATHPRIA SEFHALDQSSWIFISYLLSGVATQTLYAKLSDIYGRKWLLVFCYALFAAGLGLAKSMW QVILGRAISGSGGSGMASIGLVLITDLIPLREVATWHGYMNIISTTGRSLGGPVGGFL TDQVGWRWSFLGQAPMFIIAIVSCIIAIPNTRRTSTLEGQRGHRQASLFIRVDLAGTL LLGLSILVLMLPLELGGVKIPWQHPFIFVLLGIGVLLLGVFVANEAWLAPNPVFPIRM LRNREILACYLVIGLLAAAQTSLVFAVPLYFQVTQKVSNTVAGAHLFPAVFGNAIGGL LTGRIIKRTGRYKMPMILASAAGTISYTLLILRWLGDTNWWESLYIILGGLGAGMGNT AVFVAINAIVDPSHKAVVVSGLFLSVSVGMITGVAATSALMLEVMQKHLDKNLVNLGL EVAERLDIISKAAGNVEYIYKLRGQVAAAVTSAYVDGLRWGYSVSLGCSILALCAALT LRNKRLS PFICI_09617 MSCEAPMETTSFAEMQRSVQVIGEGQMSGGCREVSLVHFTPDDP DNPYNWSKARKVVIVFTGILTVINSTLNSSLPSNAISFIAPDFGISTTGGNPQLVLPI SIYLVGYVLGPLLFGPLSEVYGRRVPILLTYAGYTAFTLGTALSPNWAALIVFRLLAG ICASAPLTIVGGMFADIYDDPVTRGRAIAFFMATITTAPSVAPAISGSLSENYSWRWT FWAAVIIAAASWIPLVFLPETFGPVILKKRARKMRKNMSEKGQAPVQSYAPIELEVKD WRHVVGVVLARPLRMMVTEPIVIAVCFYLAIIYAIFYMFFQAYPIVFKGIYGMSASTS GLMFLPISAGTCAALLLFFLYDAFLQRSRLKGKPWTQREESRRLPLACIGGPLLAISL LWMGWSAREDVHWLVPCLAGAPFGLGNLLIFMAFLNYLADAYKVFAASALAASACTRS VFGAVLPLATTSMYDNLGVGWASTLLAFVSLAMSALPFIFIRYGEVIRSNSTFCQMLK EKEAKDHEVSESS PFICI_09618 MASSIKNDPALASEPAQTSQPRELNNNSNFSPTSIAGNDDENST AWRALKHSPYILACCFYANLGAFMYGFDNITLSLCLDMVPFVMKFGTLVDGEYVVPAY WQSLWNAIPQLMTGIGAWISGPIADRFGRRWTMFLAGVVSVVGVAIIYTSETNGQFLG GKMVNAIGLGMALASGQTYISEITPLKIRGIALASYTFCLGVGYLIAASISFTRVTIM DESAYKVLFAAEWCWPSALMAGAFLIPESSYFLIRKNRIEQANKSLSRLHGNKQSSIN FALRQIQDVVGHESEIGDSSFLECFQGTNWRRTRVVLYGNGLAQMTGAVFLNNAPYFM VLAGLSSTDVAMIIEIGIAMSIFSSILTFWAMTFMGRRALVLGGTAFAGVMFFIMGIC AAVPNQNGALRWAVAITLQLVWLSIGPANGSALAVAAEVSTMRLRAKTLAIGFFFNYF YSTVWNIVVPYMFNPGYGNLGGELGWVFFGTCLLSFVILFFEMPDTMDLTAAQIDERF ENRVKTRAFRDESAEDNHASLKSMEMGKAEQFETRAT PFICI_09619 MAANSPFVSGQAKWIWVPGYDDITNKGQFVNFRRSFEVKEKIDG EILLHVSADTRYRLYLNGQSISFGPCKSYLSRWNYETVNIAPFLRVGVNVLAARVLRF SIAHDGCLSMIRSPLPGLIVHCQLADLGLHTDESWKAKLDEATQLVPDSKWDYRLGPQ FLSLNENVEGPLLDLDWNKVQYDDSAWPAAILGTPQRKMSPILDPRRLFPREIPALPE IYARFSGVVNLDGPISKEAWTNLLLNDAALEIPANTSTWVEIESSTLTTAFFDLLATF NGQDDNLPTLQILCSECYEQPMEESTSRVKGDRTDFQKGQLYGMTDTYVPHRGQNHYS PFWFRTFRFIRLTIDTKSSPLTLNSFSYRSTHYPLEVGTKVETSSPFISKLWDISINT LRNCMHETYEDCPFYEQNQFAMDTRSQILFTYLISRDDRLARKAMKEFHASRREDGLI ETHFPCPGRGMNIPTFSLFWILMVYDHMVFFGDEQLVKSYVGAIDGILNYFDARINDQ GLVGQFDPDCWAFVDWADGWFTPGRGFTGLAVPKSYYSKGAATYHSLLYAYTLLKASE LQTFLGRKDTAKEYLSRHGSLLQAVKQHCFDHESGFFLDGPGSIDERSQHVQVLAVLS GAVKEVSAATLMRRTVLEREEHRLTKASLAMGFYVFRAVSEAGVYEESWETLMQPWKK MIDDKLTTWAESESMMRSDCHGWSAVPLWEIGTELAGVRQASKAYQLRVQGIADGKTF EIKPRKQLLADLKADIMIGPDETAHVEWATTS PFICI_09620 MADSQQPLRIGFVPEHFSTPIHFAKKHFGLSAALIPFPSGTGHM ITALRGGEIDVGIGLTEAWVAGLGKEDTPDDGGYRILGTYVETPLCWAISTGAERPDI SSVSSLKNSKIGISRLGSGSQVMGYVLADEQGWLTPSSSPYADFVILQTFDKLRNAVN DGTADFFMWEHFTSKRYYDSGEIRKVGQIYTPWSSWKIVASTSSTQLNNDARLKDLFE KLDQGTKYFLGHPDEAVKYISTELDYSEEDAREWLKTVKFADNVAGVDTKVIEGCISS LQKAGVLKEGKGLQAQQMLV PFICI_09621 MSLPRSVQTRLFTFSSFAPLRQTLVRPRRPHARFESTKASSAPK TTTTSSQPCADLTAKQAGRLDKVITRTQRWLPKRFHAPLQNFRSAPGSHIVGFLLIHE LTAIVPLFGLAAAFHYYDIVPAGYVFGPWAPYVQDGAFKVLRYFRRKNYFGMGEEDAR EGEQRFEEDLNREAEREGKGQSKGVLALWGRIRGQNQKPDESSVQDVAEQAKSKTRRA VELAREKVTLKNTEAGYKIGVQLVAAYAIVKVLLIPRIAFSLWVTPSFVRSVAWARRS LFKRA PFICI_09622 MSKQEEPSIFTQRREEKTGTLGHTDRLQSWDETRSSKSTSLSSG ETADASNAALEPPATHPEPANALLAGLAHASPAPKQVQAEPEAAWTSRDRKANQAPPG SGSKPRHHGDQGRRANGRPLTPYFFLVAGLLFFISLLLFFRQLNNNSTPSILRTTKET VSIPEPEIKMATEQTFIAIKPDGVQRGLVGPIISRFENRGYKLVALKLVSPSKEHLET HYADLKEKPFFPGLVQYMLSGPIAAMVWEGRDAVKTGRVLLGATNPLASAPGTIRGDF AIDVGRNVCHGSDSVENAKKEIALWFKEGEVINYQSHSASWIYEKA PFICI_09623 MRSSSQLSWRWTSYLCLLSILCALFTPAVMVKENDFKKCSQSGF CKRNRAYADHVEIQGAAWQAPYKISSDKASFKDGQFQATILKTVDDDGRTVTLPVTVS FLKSGAARVTIDEEKRQLKDIELRHDSSARKERYNEAEKWVIVGGLTLDKDATVAQQS ETGMTVKYGPDSSFEAEIKYAPFGIDFKRDGAVQVKLNDRGLLNMEHWRAKVEKAEPE KAEGEDGEKQENKAEPAEVPAYLDEGTWFEETFGGNTDTKPRGPESVALDITFPGYEH VFGIPEHTGPLSLKETRGGDGNYNEPYRLYNADVFEYILDSPMTLYGAIPLMQAQRKD STVGVFWLNGAETWIDIVKAKESKNPLALGLGSKTSTHTHWISESGLLDVFVFLGPTP QDISKTYGELTGTTAMPAEFAIGYHQCRWNYVSDDDVKEVDRKMDKFKIPYDVIWLDI EYTDEKKYFTWDPNMFADPVSMGKQLDDHGRKLVVIIDPHIKNTGGYHVIDELKSKGL AVKNNKGNDFEGWCWPGSSMWVDCFNPAAIKWWTSLFKYDAFKGTLENTYLWNDMNEP SVFNGPEVTMPKDNLHHGGWEHRDVHNINGMTFHNATFEALLSRKKGELHRPFILTRS FFAGSQRLGAMWTGDNQASWEHLGAAVPMILAQGISGFPFAGADVGGFFGNPELELQS RWYQAGAFYPFFRGHAHIDARRREPYMAAEPYRSIITAALRLRYSLMPSWYTAFFHAH RDGSPIIRPMYWTHPSEESGFAVDDQFFVGTTGLLVKPIVEKEKVTADIYIPDNAIYY DYFTYEKLSTHQGKTVSIDAPIEKIPIVMRGGHIFPRRDTPRRSTQLMKFDDYTLVVT LGKDLTAHGELYVDDGDSFDYQKGQYIHRVFDFHQWVLTSTAAPSDEGKNLREGDWLK KFHDVSFDKIIIIGAPSSWEGKTEIEVLSGGKKTKVPFHFNKAEGSRAAFATVGRIGA RVGADWEIDFA PFICI_09624 MAPETVNAAGGRLTFQEQLKLIELPSVAYEGSLVKRFMSQRAAY VPGSDLPVTNGSTSGGLQTFVHKAAYGGHVYSQAGLAASKTFAMTRGKTLGATASSSF GIHTIHGYFSEAGLSDRPFIYEVTVIAENRSFHNFLVKVRQPTRPSVGAEGDHFPLED SEQTLGPVCFSALVSFRPATASQVKVQSPSAQTRYAEILSLRPTWAWDPAPLVDIAGI IAALETSKLVGTFPIVDMRKVDMTAFNEGKPLHERRELLLYRLLAPLPDADPDAHILA HAFEADRNGLLMIGNHVGLGFSYGRAASLSYSFVVHVNPSDAVMKFGEDEWWIQEAIF PRVEAGRGIIMSKIWSPGGIHVATEYQDGIIQRQFRPGERQGKL PFICI_09625 MSTVVDAYWAAPAIARTVATLVFVCSLGVYTELFSIGPFWFHWR FLFKFPPAVYRLVTSFLITGPQIGVLLDTYFVYSYLSQLEKGNSKFSRKEDLIWYLMV VGSVIIFLDQAFVGFGRYLPALLIAICYTATQDQRGQMAHFYVVTIPAQLMPYALLLL NLLNGWIMVQICLCGLVAAHFHDFCTRLYPEFGGGPNLLPTPWFLSWIMSTPRVSNTS YGSSYVPPRDDQPRGGPLPDSWRSAGPGRRLG PFICI_09626 MAVLWALCLWFCVALPSVLGLQVTPGSGCAAVCLDNPESDALDS ASSSTNASDITCSDSDYTESSKGIKFKNCIDCLQTSRAVSNDESDTRWFIYNVQYSVD VCLFGYPNTTRTISSPCNINYACQPLKTALETGILDPSKDSEFEYCDADGGHFNGTAV DACTQCLQASDDQQYLANFMTALRAGCKQRPQPGALIGLSDSLFTKNLVNITAPPSND TATGDGDSTATLMTTGAIVGIAVGAALLFLGGTALFWVYHRKQKRLYDSNVVYKYDPR EGSASVTPPLKWPSASIDSKPGSVLSQYELRTQATLRTGNDHPDFDEEVPIQRANYHF DPNQSSRGPGSALPVHPAYVPRAMSRTGARELSPEPPFSLTSNPRDNYTSSIHLVASR EVEVSSIGPRTMVPASLAPSRLGTRAAVEPTYTGSYNTSRPPPPPGPPQSILSRGIPP RRGSNQPLLPGPPPPPPPPTIRAPKLTLPSVPRLRGAKKYQPPQIIVELATPVSGPGE SVPIGIEISHPLTEHGQRFA PFICI_09627 MRIGCLQFAPQVGDVNNNLSRADGILDRADPEDLDLLVLPELAF SGYNFKSLREIYPYLEPTGTGITSVWARNVALKYDCAVAVGYPETADVSHKWPTSPEY YNSLIMVNKDGDAWALYRKSHLYYTDETWALEGPEGFWKGYLPGIGKLAMGICIPYKF EAPWDEFEFAAHAIRVSADVVIVSMAWLTHENRSSFLSHPGEPDLDTLTYWVQRMEPL IRRENEEEVIVIFANRCGTEDDVLYAGTSAVLGIQDGEVTVYGLLGRGEEQLLIVDTS KPAMAKLQYRPEREKDIYAEATENHANSDINSPVQSEGDGSIDPPLSSTFQSSRDPNV DGVEGMDGLNHGADPYIFDLSDVVSTASTEFSADSQFGSPISPRHSWKLARPNRLSKA SVFGGADTYGEGHWQKWLDEHRDEALDASSDDAASLDLEPEPTVEFSALVEGLSSSTK AYEGVMLRPFSTKAGNIGHEHHSRISQRSW PFICI_09628 MRRSSTVCALGVLCRSAVAILVATDSPCGTKCGNVLSSTSTSDL VCKDTDYSTSTGVVWQLCLNCESTSSYYTQTGATNYTDLQSMLYNIRFATSSCVFGNS SVSLGSNPCTTSHACGPLQDALQYEGLASNVTAYGYCSYWSADQVDDCNSCLSAMEYG HQFMNYVNILDGACKMQPADGSTLAINGNIFSTDTVSVTTPTPTAAVSSSGPSGPLSL GGIVGVAIGGVAFILAVLGFCIVMNGKRKRRAFLRRRDEQMRQWPNPGGTGEMLFETP ISQRPLRSWDESPISTTNDAAYPRYFSPYSSQFNSPVSAVDGHNQQWPSAAAATASQN IGVAISPDGNQSHYSWSDKKGKDRLQESENYEMQEGIHSGGGMNEFYTPPAPPPQAPV LSHPGYGRHGPELPRSLTEEDFRSGRAM PFICI_09629 MAATENFVIKPEATTPAIDWSQTPLLLRNYDKLLVRTGHFTPID HGCSPMNRDLKSYISSGIINLDKPSNPSSHEVVAWVKRILRVEKTGHSGTLDPKVTGC LIVCIDRATRLVKSQQGAGKEYVAVIRLHDKLPGGQAQFARALETLTGALFQRPPLIS AVKRQLRIRTIHESKLIEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVGGHMQEL RRVRSGAMDETKDLVTLHDVLDAQYMLDNQRNEAYLRKIIQPLETLLVGYKRIVVKDS TVNAICYGAKLMLPGLLRYEANIDVHEEVVLITTKGEAIAIGIAQMSTVEMSTCDHGV VAKVKRCIMERDLYPRRWGLGPTAGEKKKLKASGKLDKFGRPNEATPATWTASYKDYG APAGETTETAPAADVTMSEAPAAAAQAPIPAADSEDKSTADDDKKKRKKHDGETAEEK AERKRLKAEKKAAKKAKRASMASAADSDDSD PFICI_09630 MRIVSSYILASGLLVSAALAAPFDFRRHLIKRAGSPEMEKDFPD PSIMQDSDGQWYAFATAGNGKQVQVAKASAASGPWTYLDQDALPHAGSWTTSKNTWAP DVRLLDDGTYVMYYSGEVKSDTRFHCIGVATAKTVLGPYKAADTPFDCDLSIGGSIDP SGFKDEDGKRYVVYKIDGNSIGHGGSCGNEVAPIVPTPILIQQVDEDGVTKIGTTTQI LDRSDADGPLVEAPAILRDSDGLYVLFYSSGCFTESTYNVNYATAPTVLGPYTKSSTP LIKTDDSFGLTAPGGATPTVVGSAIVFHANCNEGRCLFENKIGISDKKVVLDGVDGIG S PFICI_09631 MASSILIFILIFSIYPRRASSLQVTPNSPCSSLCIDSNDLDISD PNSSNTANGDITCYDKDFNATATGRKFQSCMTCLQDSTFSQGQETDQAWFLYNLRYTL DYCIFGFPNATNIASTPCSTSTACGELEGALTDDDLDATNLQAYGYCSADGGALTDSA VAQCKACVAASDGQDYMANFLVALEAACQQKPAAGTLVGLDGTVFSTTEISIKDPSAS GDDSDSQSALSTPTIVGIAIGVVAVLLAVAGFLFVRLRKRRNRRVRLGGPSTTSSAKR GKHRPASSLSFRCQTHLSPRSPTFFSGPSETAGQDEKRFASPIHTTNPNTFASETTSS KWSGWEPKPAAESGHGSRRTGPSLPLYNITTAAPTVPSGVYYSTSPKSKSFSPIDDMT TPASTTSTKSTSQLLPLRPYNPAEYGISAPQMGTVPETTYPSPISGSTASPLISRAWD QRNPVWDSPLPARSTSKSAIAKVNVLGGSKGRRMGSGTGSPIESREINTKFPAPPSPK RFGRE PFICI_09632 MRMINRLPTQLGRRMYSAPAGISIPAAKKKYIPSSGTYPLGFKV SGTVVGVKPSNTTKPDLAFITSDRPCAAAAVFTKNKFQAAPVTFSRALLQQKDNSDLQ GVLINSGCANAVTGKGGLEDAEAMATEADKALGADKATIVMSTGVIGQRLPIKKILDN VPVAYQKLGSTHDHWLTCATAICTTDTFPKLISKSFTLPSSPSIEYRIAGITKGAGMI HPNMATLLGVIATDAPIAPELLPGLLKGAVDKSFNSITIDGDTSTNDTVALLANGAAG GQEIASENSPDYQAFKQALSGFATELAQLIVRDGEGATKFVTIRVTESSSEEGARKIA STIARSPLVKTALYGKDANWGRILCATGYSLITEPGAGVNEVAEIIPEKTNVSFMPTD GSAELKLLVDGEPEAVDEERAAQILEHEDLEILVRLGTGKEQATYWTCDYSHEYITIN GDYRT PFICI_09633 MPAVQKDEIGESSTSAAKKALNASANGAATYELPWVEKYRPIFL DDVVGNTETIERLKIIAKDGNMPHVIISGMPGIGKTTSVLCLARQLLGDSYKEAVLEL NASDERGIDVVRNRIKGFAQKKVTLPAGRHKLVILDEADSMTSGAQQALRRTMEIYSN TTRFAFACNQSNKIIEPLQSRCAILRYARLTDGQVVKRLMQIIEAEKVQYSDDGLAAL VFSAEGDMRQAINNLQSTFAGFGFVSGDNVFKVVDSPHPIKVQAMLKACYEGKIDSAM DSLRELWDLGYSCHDIISTMFRVTKTIPTLSEHSKLEFIKEIGFTHMKILEGVQTLLQ LSGCVARLCKINMDPKRFETKLK PFICI_09634 MKPTDALNSDEANEAQRQAVRGAGYGAIKWGFASAVLGGIGYAM SPVYRGLTIQFKVYLQMSGMILGGMLEADGAVRQYEARVRMQKRLARDRAMWETFEKE FEEEGGPPPPPRPKHLREGDQK PFICI_09635 MKTSRDEIDVSIPGPYSGGVGPVIPPGSDVIFPDKQPRNNAATA ASAAGVRALSAQAVAFYFRAPVKAFFRTRVDYLAYARSVHLAHTEVLRQAVASDKTQS RMGAALRQTWLWMRGTTPGVITSVVQHHGWGIIPQQILPPLMANVSVGAVLYTSYLQI LGLLHEESGRASKRAYPPPDPKHTFAAGFLAGSIQSVVAAPLDAIQARFDHRDIMPAD AKGRPKSMWSFSAEKLREIGLRGIFAGWGLSFLKDGLGNAIFFSTFEYLKAQGYYGFV TWYYGGLSEDIVDLLALKRSLPRDKRARTNASGDVEGGEQPKVIRPHYAIEPAFLLLA GIGASFAQQLVLHPLTHVQVRHWDHLEELDDKAARLRASAVANPDKPRHRWRMLRAYY RAYRETWAQCTAEASAERLGTTRWLYRGFWWNTIRQVPSTSAGLIIFELVRRKYGLGS DEVRITRDGYDILLR PFICI_09636 MSPSPAKKQKLSANANEHLEQEPHEMSEIAGEADGDPYPRGTDH ESRGKHDTTTTHEDEEEEKQKDGGEWRDQPPYRTSNENEHFDKKHTAECNCGRIRYWL SRDAPIASKYCHCKDCQSLHGAPLQWAAIFKKEDLHFENGAKGLAFYHSEKKHTYHDL PCKVSCAYCHAPIMDEGRNMVLMFPAIIKFKNQEAKKSFEPT PFICI_09637 MAPRKQPVASARLAKSSTHKTTTHLEAPAKDDLDSQTGDVAQNL PVKRKRGRPSAASRLQHNAAASQKSVYDVPSDDDDVVTAASQVSVGTPRSRKVKHSID TTATVTAGTIAEDESRTPRKRNAAGSKAAVTPSQKEQPILHGETPRWRRNDRSARKKS ARALIESVVQGNASDEDDDDDDRGIAREIYESSDEEDDEEGDAEDAEMQLEEAIDDLA ATPSKQRRGRRKGSSTRARKKSPTPPRDLPPQELYFAQNRPGGSKTSGNSLASLQLLT HEEYFSLWNDYRDPHHEDVEFLQDLHADSFSQWAFELSQNFSICCYGFGSKRPLLHKF AKFFYDASADHINHKIVMVNGYVRNTSIREILGIVGSAVDPAYKPPAGNPSAMLTDLK TLLSSHKVCITIIINSIDAIPLRKPGMQAILAQLAAHSKVQLVCSADTPDFTLLWDSG LRSAFNFTFHDCTTFAPFAAEIDVVDDVHELLGRKARRVGGKEGVAYVLRSLPENAKS LFRLLVTEVLVAIDEEGSSAGENPGVEYRILYGKAVEEFICSSEVAFRTLLKEFHDHQ MITSRKDALGTELLSVPFSKEELEAILEDLMA PFICI_09638 MNLNLSGSLNVFKLLAKPSLCLPHATVSQFSDLPYPLRNAFQGQ GEKQLQIKAVVLDKDDCFAYPETNIVHPPYTERFRQLQEEFPGKSLVIVSNTAGATSH DRDGRLARELESATGVTVLSHRVKKPGCKDEIMAHFRKHPEVGVTHPSQVVVIGDRLM TDMMLANMMGSRGIWIRNGVAPLAEKSMFTKFEYRMAAFLHARGFKAPDPSSPFE PFICI_09639 MGGKLWSDQEERYFWRVTMPQSVKRINPPADQQSEAQQDVVEKS WADLATEMGTAMGDDARRQYTGTMLFEHYFQNIELERVSPNAGRYVRKFLKSAGLVDH ALLKTNQASRSPPRAFAPRNDREGYSGPVQARSCYSHLSKTSSIVIFTGVPRQYLDVS DTAKASSGPSSKHPTRDDQVLKEPQPKRYAP PFICI_09640 MTIPLEVPIFGPAFASAAVSAGASRLELNSKGSYPAGGLTPVIG DLSSLEGLGIPIRIMIRPRGPPARGACDFIYTESEVKAMEQSIRDFKASGLMEEGRGD GFVFGALAEIDREQARGQPDGHAHAGTVLNAGQCSRLAEAAKPFKFVFHRAFDELVRH EVWEEALEELVRLGFNGILTSGGPGDAVDNIPRLVQILEKAGNRMEIILGGGVRSSNI TGLREGIGSSLATPGYWAHSSCLTGKVTRVFDTKEAGDMVAGLD PFICI_09641 MKSIHLSFCGLLAVVSAANCTHKLRSIVYFDQYHTTALPSKDVT AGITHVVMAFANSSLFIGDTAGEYTPFMEVDQVRSMFDADAQIGIAIGGWGDTTGFGE GAKDEASRTEYASNVAAMLDSHGFDFVDVDWEYPGGNGADYKQIPNSDKVDEITTFPL LLGAIKAAIGNKALSIATPGLEKDMIAYTPEHAQQIWDAVDFVNVMTYDLITRRDNAT KHHSDVHGSLESIERYLSLGLTADKINLGLAFYAKYFQTQPGVNCTEVGPVGCPLVKA ENDDGSDAGTSGAITFETGNVSPTVPTNLTSSADGTCGVGTFSSCQDYADGTCCSSSG YCGSTSAHCGAGCQSAYGTCTGPSISDSFLDALEYGVTDEAAGGQWWWDEEAEIFWTW DTASLIQRKFQDIVAAKGLGGVMAWSLGEDSYDWSRLTAMVQGVKNLSR PFICI_09642 MVDLEHPSNESIAPSEDIPQLTINHDVAADLDSSNAFEGPEKLL EVWFAPSADALPAGAKPEGLKAVAAETWVPMLDEVHCKVLSVVNSEHIDAYLLSESSM FVFPHKLILKTCGTTTLLWGLKHLLRIAAREAGFPFHNVKSLEDEHVAATPYRVFYSR KNFLYPEKQPLPHRSWGQEIKFLDDMFEHGSAYMVGKMNGDHWYLYITSPQASLTPPR TPDEERTPTGATASSAQWKIPTGLASAFNGAAESNDETLEILMTDLDPENAKQFYLER ASAVACAKLPLQVEEARRNAVDSLGDLSGSTCTIQTTSTVESLSDETFDDTSVASESD QPGANTPLSEIDQLSLTTEGHALGTVVSDCCGLSDVYPTSKYPDARIDAYMFNPCGFS ANGVVPAPPEEGSEKSTHYFTVHVTPEPQCSYASFETNVPGGQNGRETSEVIEQVVGI FKPGHFSITLFEAKGASGDTSTGTSRGKRVESIKGYRRIDRIVHDFEDYELVFRYYER EGWVGGSGLRVGEEL PFICI_09643 MSLAQVKHIVLVLSGKGGVGKSSVTTQLALSLSLAGHSVGVLDV DLTGPSMPRMFNIEDAKVTQAPGGWLPVPVHESNPDAGVGELRCMSLGFLLRGRGDAV VWRGPKKTAMVRQFLSDVLWGDVDYLLIDTPPGTSDEHISLAETLLKDAKPGQVAGAV VVTTPQAVATADVRKELNFCSKTGIPVIGVVENMAGFVCPNCAECTNIFSKGGGQVMA QEFSVRFLDAVPIDPQFVMLIETGTTPTYPQGTLINGQDFSHGSGQHADGPATKDASL LAEKYRNCSLEPIFRKITRDMITAIG PFICI_09644 MDDPWGSPWASAPSDTVSKNDHPPSPSSPPKSLLSLPPKAFFGS SLNLPAQSPWADHGFGDWASPGPVDDTAPNLQWASWAEPSLAPRAESPGKQSPIAWPS STATSPGLKPMRSRSSTLFRQSSPDPWTNDASWRDRDEVAPFKAVSITSTTDDQEAPL PVDTQVVIHVEEPHAGITDEINSQDKLHVHDAREGGATLSLAVEPEGHESPSRPSSTF SAESSHGQEQQDSPITSVDEELNFRPRNLTRKSSSKVSDLVGLYDGLARAASDGSGSR PVSRKKSTGSTHAANCIENAGAGEGDNVFDHEPSTSEDSRTSISSGGSSTPRIQPQNR LSQRSHASSDKGHDPAADAHALALRELTEKFGVIKFDVDVKLLDRAFDSKLDPDYEEC VAPEGLTDRIITDSFGTVAERKAWYRVSRFGSMLKHDSGDEENYHRVTWQTSQLHDDA IKIVRRWMEEDSISGKPILGGGKRTSAFNWDSAAAPVALDKVFARRSLGPRPKGSSSV PMGHTPTSSIGSQISITEEPKFPSGLGGSYAPSSIGTPSTSASPIANFGWSTRPPSTF IMTTRSSVHSLKIEKPAQRSANSTTPGLLSPAFQQPQMINEHGSEVPMKSDDDDDDDT AEDDDWGEMVFSPTQEAFTTTENHILSQSTGDEFSQVSMTGTTDAHLVSEAQSAHAQP STSGSAASEVSASILYRHDPSRNAQWAPKQNTEPCTSIDLGRSETSSARVQPSPIVPS FSQCVAEPFSPSSSPPSPLSKGTDMDSSMNVPPPSCLNAPVSAATISPSPVESSVDAA DNVVIQQILQGLPDLYYMLR PFICI_09645 MFQIDMRTTSATAKARGEQDQASVCSPGDDASRITYISTKQFHL HGRRRKLPRVMLAVLCILFCSSAGGIALAVVLILDPVEVRGACLSRDFILYAALLSLL YIALHIRAALRDHVGTQKGPPQYMYGDYLHASALIVARLSIVAWICALVATAAMIANA SPLPLGGLASKSPILNLLICIGALYVESALNLTLDDVNMRNH PFICI_09646 MHNRGAFDNGTFCNGWFLDAIFANLPRERLCRRSGGRWERLETW IRTRGDGQGRGVVFFHHNVQRWHVSASGIPCCSHDQPG PFICI_09647 MCPTPESSSDERNKGNAYKAGGNVGSFKIIESTLREEGEGEEEE GKAEEEEEQFENEKCSLRLSAYLPACLPARRLESFFDFLFCFKQLLTLFFNIEGEQFA NAYFDTETKIKIAKALDAFGVDCIELTSPAASEQSRKDCEAICKLGLKAKILTHVRCD MRDVKLAIDTGVDGLDIVIGTSQHLREHSHGKDMAYIQKTAVEVIKYVKEKGLEARFS SEDSFRSDLGDILTLYAAVDKAGVDRVGVADTVGCASPRQVYDLVRTLRSVVSCDIET HFHDDTGCAVANAFCALEAGATHIDTSVLGIGERNGISPLGALMARMVVTSPDYVKSK YKLHMIKEIEDLVAEAVQINIPFNNPITGFCAFTHKAGIHAKAILNNPSTYEIINPAD FGLTRYVHFASRLTGWNAVKTRVGQLGLSMTDDQVKECTAKIKALADVRPIAIDDADS IIRSFHLSIDPSGGGANGDANGVNGTSNGTSNGTEVSA PFICI_09648 MAPKTSISPPSSAGGQQPAKSLVIRSQASLRLDLDIGVSRQGDC HGLEDTDCHSQSTALLHNNAHSEGVYPQETPDWNNLGVIHRNTLPPRSHYSLYNSEDD AITAQPSEARSFLLSGLWGFDLADSPFNGPTSFYEPGFNNTKWPLVKVPGMWQCQGYG KGPQYTNVNYPFPVDPPNVPYDDNECGRYVTTFAVPEHLKDGCQWRLRFEGVDSAFTV WLNGEKVGYSQGSRNPSEFDVTTYLDLDSEQNYLAVEVYQRCDGSYIEDQDQWWLSGI FRDVWLHSFPSTRIEDFHVRTLLDEDYEDAELAIDVIFNKPNVDLSAKLLDEDGKVIF SDVYTSENSSFKFSRYMKSPQKWTAETPYLYTLVLSVAGIHVAQKVGFRVAELIHGVF CVNGQPVKLRGVNRHEHHPESGRTVPYDLLKDDLLQMKFFGINALRTSHYINDPRLYE IANEIGLWVLDEADLECHGFGEVGGDPASFASDNPAWKEAYVDRARQMVMRDKNQPCI VLWSLGNESFYGRNHQAMYDEIKRVDPTRLIHYEGDQDAKTADIYSRMYTSVDDMVKY AKEKDWKKPFVMCEYAHAMGNGPGAVKEYIEAFYKYPRLMGGFVWEWANHGLKTKNEH GEDYMAYGGDFGDDPNDGNFVMDGLCFANHGPGPGLWEYGQAIQPIQVLGCKNWEVEI INRYDFLNLDDIDCFCGVIMDRQEISGRRVKLPKGIKPHTKATLIIPDLPRIFAGEAW LRLDFVLSKRTKWAYAGHTVATSQLPLSRPESLATLKTISDPAMPGMRRTDNAVHVLL ENGTIFGFNTINGDLFSLAQIGRPNHNLMTEPPSLDFYRALTDNDRPGHGKEWIDRRV HQTRKHFTKVATNEHNGVLKIVVEGRVAPPVLAWSVDTVTTYTVTANYCSVSIKAKPK GLLLPSTFARFGLTLGLKDVRIVEWFGRGPGESYIDKKEAQHVNTFGATVDSLFTNYE FPQDCGNRTDVRWVEFREEWGVGESGRLLRARFGDHEGASFSAMHYSTADLDEAKHPY ELKKVKRDDTMVRLDWYHHGLGTASCGPATLPQYQLKTDREFDVELLLD PFICI_09649 MATTDDHKAEVSLAHEEVPHGEVRQVQAASVALASAVAEQKPKL WSKNMRQLYAIMGIGYRKSLVVSTMNGFDSSLMGSINAMKPYQQTFGLSGEGSSAGII FIIYNLGQIASFPFCGLIADGYGRRVCIFVGCLIVLIGTAIQASAHEMGQFIGGRFVL GFGASIASAAGPAYTVELAHPAYRGTMAGMYNNFWWVGNILAGWTTYGTDLHYTTSWA WRVPTIVQAALPAVVMCLIMFFPESPRWLIHKDRTEEALAIFAKYHGDGDANSPLVQL QYREIVEEAAATHDPNPWWNFKELVNTRAARYRFAMVIGMSFFGQWSGNNVVSYFMPS MIKSAGILDTSKQLLINAINPIFSFIAAVYGATLLDKLGRRPMMLYGLMGSLVFYILL TAFTAETTNHPNLAYGTIVSIYCFGICFAWGFTPLQTLYAVECLENRTRAKGSGANFL FLNIAMVVNTYGIAVGMEAIGWKLYLVYIGWLVVEIVVIYFFFVETAGKTLEEMSEIF EAKNPRKESTKKTKVDLDASGRVLHVEKTISA PFICI_09650 MKHFWLTSVLTLVRTCTAASCWRDTVCDGHSGPAFPGTWDSYIY APSSRNVGPKYVLSADTTSSTAYNGTVSLYGNESQVVLDFGIEVGGITSLNYTVSGTG QLGLAWSEAKNYIGQQSDYSNGRAAPDGYLAVNLTESGAGSYEVPLVKLRGGFRYLTL FLLTEDDASIEISNVSLEISFQPTWSNLQAYQGYFYSSDETLNKIWYSGAYTIQTNSV PVDTGRRVPFVTSGWANDGILGNGSTIIVDGAKRDRAVWPGDMGIAVPSAFYSIGELD SVKNALQVMYDHQNADGSLPEAGPPLLQQGSDTYHMWTMIGTWTYVLYTNDTTFLTQN WDRYTAAMDNVYGKVLSSGLLNVTGVRDWARWQQGFNNSEANMLLYRTLVTGSELASL VDDSELSSTYASRAADLQTAINQYLWVSDAGAFKDNATETTLLPQDANSLAVLFNVTT AAQDQNISSQLTGNWNDIGAVSPELPGTISPFISSFEIQAHFLAGQAGRALDLIRRSW GWYLNNENGTQSTVVEGYLADGSFAYRYNRGYSDPSYTSHAHGWSAGPTSALTNFVVG LEVTGLAGSAWTLMPQLGDLDFAEGGFSTWLGKYRASWALVEGGYNVTVETPSGTSGL VLLPLLNTSSTEASVQFDGDVSTWSAGAVGKRIGYPKSVDGGSHTFVVQGE PFICI_09651 MRFSFSTILLPCWLLVAVGAAIEARAFLGLSCSEGPRVANFNDV PALPVDTINKGIVSGFNPHYDVNYTHFAVGNIGPNNNALFYRVDQVPPGPWKWWKRRV NSLIGVHGRLPYKTLAPTIVGSASETRLRLRNFSFGCGIRSGNGPPVHFDCAIIVYPL EATGPNQFHVCPYDSVPANAEPLVAGLQECTLPSDQSWTIGRGFTFQTVGRVPGADWF GQQSKLFNTTLPSTLLTAIDDVHYTEYCTGDRPVA PFICI_09652 MAPGVVESVESWSSPSHIPCSYDGPPRNIPYIDNIDFDKSLQPK DYNITGTDPESKILILDVQILDATGKLPYRGDVMIHGQYFAHVGVVPEIETLQRDPGV RVFNGNGRTLMPGLGDAHTHLTWDGGDLNRLGEMPVEEHTLLTARSARCYLDSGYTMC YGAASAKERLDIVIRDAINAGYIPGPRCLANGMEMARTNGDLVAGITAYADGPDQMRE VIRHHINLGVDNIKLSMSGESLTETRDAEDCYYTDEETAACVDEAHKSGKRLCAHARA RESVKMCIRHGVDVIYHASFIDEEGMDMLEARRHKHVVAPAINWLVNTLYEAGAFGYA TEKAEQVGYKKELEAAVRGLREMHRRGVVVLPGGDYGFAWTPHGTYARDLQHFRDLLG FTSHEAVIAATYGVAKLFMRSHEMGQIKAGNFADCILVDGDPLEKIEILQDHGKLDII IINGRVHKA PFICI_09653 MVAIQILSDLHLEAPKSYDIFEITPRAPCLALLGDVGCLSKDFD DYSKFLLVQLGQFKIVLLVLGNHEPYHSSWATVKDDMTKFQENVCRKKEGGENLGEFV LLDRKRFDIDVGEDKVTILGCTLFSNVDPASHDHVSFGVNDFYYTEEWDVEKHNEEHR RDVQWLKTQLEGLNGSGRKVAVFTHFSPTTDNRAVDPQHSNSTIKSAFSTDLRDNSLW NETICLWAFGHTHYNCDFEDDQGKRLYTNQRGYYFSQSAGFNASKVVEM PFICI_09654 MGNKSKFTFPIPGRKAKPPTDPQVSAVPLTKAQKILGTGGGINT ASTASLHPGSAKPQHWDSRSGISIAVSESTASFADTGLNVLDEEDEFDPGRYRSNGWD AESDIIPRQPVGGHGLRMQRSAATIGNDYRTEASSMKRRESIASTIYSHYDRSKVPLS ISQQTSNSAMAKGFASKANSLLDMDGSLAGPAIAKKKPSRLDLSKLKPRSRKDQNAQP AANVLGHGYVNRSPSFISQFSSSHNVSKKVGASPSATSTRTFDSPKGVQDSTTLSQLY DHYEQMTFREDDDLSGEDEFPDRPRFDSVAEEPTPPLTTSSTISLVTPLSNPAVRDTN HRLDHARKNSTGSRNTTTSNTPNISQSHQLLPGRDYASSISSRNTRNSKTSKTSKTSR TLESDLQTNSVLSLSDSDSDDGTFSDSAPKSSMSSHTNISHEDLAALSDARKGMPKRP PVPQERTSSRQQKHGNFATLNDYLAVPASAGKGHNARIPSSSTVRSSSTASTETTARY TASETGRLSRLSVSTAGTSDSHHSSISGKKTLKSQWSQHTGADAKAVTARPLASTAEA LDSLRELTAQATDKHRRSFSSDQSESPVSPSSGASYNGGKDSTRDEGADSHNGRYMAV TKQEEALLAALRHKRAMMREDILAELDGDRSSVGSRRRSSASSGGRDSQLQSLRSSKQ TSTRITSCAPQLPPYQPRNSSLVSSFKNLTERKDSVRRTDNNVRFADEPQSLSHDDPI EKRSSSAMSNATIKGNAAGRHERVLLFLDRPVYDIDSIDTAEPSPDLSEFMDYDQDSD GEIIPESRRSRRISKHTSAHGFPTPAQRRADRPRADSNLLGTRGSSLRRQLENLPESN LENDEEDDIDFDGFSDVMGPPPSEVSDRRERVTARPDSPVQQHLAKTQIKGHVRGKSS AVRLSAVGNADLYLPPEVGLWGDDG PFICI_09655 MGLLDFPPAKGSPTLLGMPMKQVSLITLTFENSALILLMHYSRM MKPNGDHRYFTSTAVFLTEVIKLAISLTFAIYEVSRSLAPSTPATVLFTHIYKAVFSG DGWKLAIPAALYTLQNTLQYVAIGNLDPIHFQILYQLKILTTALFTVTMLGRSLSPKR WFALLLLTFGVSIVSIPSTGSKDGSFLIHDMSDHFFPRSAHELGQMANGVSEAARELT KRTIDGLSDAGDALMKRSATYAGIEEDQSMTPIMNYSVGLSAVLVAAAVSGLTGVYFE KVLKDPAAVASVWIRNIQLSFYSLFPALFIGIIFKDGQEIATHGFFDGYNWVVWTLIL LQAFGGVLASLCINYADNIAKNFATSISIVVSLLFSVAFFNVQVSIMFLIGTVLVLTS TYLYTGPDRKRGRPPPINIATYEKTTIDPMNTPRPSGDRLTVPNPMESAKSLGLSTSR PSSPLRHHVRQPSPRDKNWDE PFICI_09656 MSGHTSGSGSSHNYDCYIQDIIKYASDFAPGPNISQSSSYASGA NETTTNDVPLGDPFTHVPEGDDHLDDFDRQLLGASSSYDSNQAAHKLPLASSSIQQPI VLNDASHHFRQYATRGDRAQLSQFTAGHANLNQLDHIPVALSTLESDGSIRMSGLSGR SQFVAPPLYSGQLPIPDMMDLKILTVQAKPHQISPKHLSSDTSSPSLGISSPSVRPSI KRTALETVNRPSTSQVPASITGGTSMDLQHASENLTSSRYDQRTVLLAKYRDVFPYAF NYVQSKCFPYVYGSDDNVVVSAPTSSGKTAILEMAICNLLNRPNGETFKIVYQAPTKS LCSERARDWRKKFSHLNLQCVELTGDTSPSEVRKVGGASIIVTTPEKWDSITRKWSDH HRLLDMVRLVLIDEVHIVKDIRGATLEAVVSRMKTSGANVRFIALSATIPNLNDIAVW LGRNHRRQEQPARQFGFGEELRPVKLQKYVHGYKSSGNDFAFDKVLDGKLISLLSQYG ERKPILVFCFTRKSCEQTAIELTRWWASLKDIDKPWPGPKNRVAVSNKDLEQIVTHGV AFHHGGLDAVDRKSVESSYLNGDLHVICCTSTLAVGVNLPCHTVVLKGTVGYVDGIRQ EYSDLEVMQMLGRAGRPQFDPHGTAIIITRLGNVPRYEKMISGQEALESKLHLNLIEH LNSEIGLGTIKNVHTAKKWLAGTFLFVRMKQAPKHYLSGMPELDIRSPSDSEDLIQAW CERDIEQLQVHRLITSAEPLSCTQYGAAMSRFMVSFETMKQLLEIPMAVDAKHLLICL SKTTTFEDLRLKSQDKGILPKLNSAVMYPVSGSPRETWHKVYLFVQFSLAAFDMPADE SGAIKKQILTEKNVIFERINRLVRCVIDCKVHDGDGLSVKAGLELTRALAANCWDTQS TQLLQIPGLGPVTMRRLTSHGIKTVSDLATRSFVDIERFVGRNPPYGKKLLQTLEAFP RLRMTSSVLTQNHGKFSESEDALMITVKVNLGYENQNVPRWNGRAPIFNFMAHAADGR LTYFTRANLNHVAKSGNIELRFPVAVRSVDETITCCFSCEEIVGTMVTEVISPNLSPL AFQVLSRRRSSPTSNNEPLEEIVDGMDEEGIADADMLDAFAASEADVNKGPGKQPRLA KQDDVKNMEFQDIDDLLEDIPVSEKLDGTAASPILMSNGKWRCQHRCAGGALTKNGKP CTHKCCHEGAVHRPKLLIEHKKSTKVKEDQAKSTSNTERNASMALNKTIQHKKSTKVK EDQAKSTSNTERDASKALNKTAKFRHSKYTAMLDVGPERSSLGPSNSKRSYFFINPNM KRPREEPSTIANESRLGIHSKKPRDGAEDDNIESLDLRGSSNDELEAQSARQSHQASP NQANKRLSVIHNPIQPLTIVPPRLIKTGKALATPKDVQVMTRESSDKSDVFGDESEKF SPPEILQSVEDDPQEFSSMRKGLFQDETLAPDIRELLEDRGRDLSFAANELQKTSRSV KPKALEDVILKPGKEAAKHSQNVDQNMRDKPGETFSNTNVPYDMMNIDDFTDKLPSLE DGMREDDDKEQELVASSSDKRATPLPGEPAWVGEYDQNLIDELRSSVEFIE PFICI_09657 MAAASVMIPISAINGTLPPSALVQHSPRHGRSRAASIKGKNRYN VLENRDGAIIKAVAFVMKRAIRQSELDAESGDDDEETDEDEETDRLVSSDDGWVMLPD LLQHSRITDLRVTVSDIQRIGANATKARFELRQQKQQAGEDAASSFQIRDTHKRRDSV QAPPIIVEGEPLSADAEDLPEYVVYETSYEAYPHIVASDGIQRAAGASYISFSPIVAG AAASDADVGIWVHLRTALEAEPALVWQRTASGAVVTANEVVPKSLWKRAVARRQDIGL LFEDGEVRKEVPEALRGKGSKGKAKKGKGSFKSRGGEEASDSASDE PFICI_09658 MEKIGDRLSSMPYVSALVARKKFLFGTFIVFLILTAVSLTEKED VTAWSNSSGTFSKIHGTNGTLHNATAPQSSATLIPIGQGYKRPGYESPLANGIPLRIM AIGASTTRGDESFDNNGFRRPIREHLTAIGNPVNFVGTQRIGSMLDNDIEAYPGARTG QMHRHALDVVPRTKPNLYLVNVGSNDCFQHWDIPNFYKRYYSLVDYLLTASPRATVVM GTLLPTTETERFNGSADVAIVNQQMQRLYKIFKSEGKPVVLADMTGPDGIQDTDLAWD GMHPTSAAYIRMGQIMIRSIIEADARGFLRPAEPVHGLIQDGDLEHQDEAYGKWANDQ KQIEYAKQKTEEEEIRRMTEELNEWRSSPELREAFADVVPKLRRRASRETSEELI PFICI_09659 MVGKRRVFVGNGQSKATGRDRPYENGSDATKQRHPRDLERGPSQ HGTSSSGRPRPRVFRFQDVARTAMDDRRREDLKNALFHNIDRYGLERYRRSEAELKDI KKKNVRSFYEQQNERLNDWLEVDAVVMAVADDVLESMDPDPDHDGDLERRGGLQNMEG NIAEFLPDDEKAKRASAAKKAKWAININVIANILLLAGKIVAVASTGSLSLVASLVDS ALDLLCTMIIWSTNKLVSWRLGALKKKFPVGRRRLEPIGILVFSIIMVISFMQILKES VEKLAPLKGEPEKLPYTAIGAMVATIVLKGIIGLGCYPIKTTQVQALWQDCKTDVFFN TLSLLFPFIGEKANVWWLDPAGAGLLSLFIIYDWGHTCFENVTRLSGEAASERTYQKL MYLAYRFSPVVLGFKNVTAYHCGDGVWVEYDILMDEKASLRTCHDVAETLQYCAEGLI EVDRAFVTSDYSSSGPAGHAADAERNN PFICI_09660 MAYYDEPVPLGWPGAPVPSPSHQRDPPFQLNVLQHPREGKAATA KDKDRKPLDPPPIVQLQLVADPTPNARYRGLLTPDFPWTMCIARLEDAEEDSTGGRTN RQNASSASSSLIGNIASSVHKAKIGDDDDKREVGVLVFPNLSVRSKGRYRLHFTAYIM ETSPDGSKEEWVAVAQTRSDIFSVLEGRAYPGLAESTSLTRTLADQGIKLRLHRESAA RRTKNHNHAFAESHDSRGNVGGKRQRISGDASTTMSGARSRQPTVPNQYHREPSLGQT QTASNTMGMGQPILTSQSTASFNYAPTSMAQAETLFTSHYPYHSMAGQSTTGQSMAGQ GIVPSQSSALEYLYGGPRFDSPEMVASQMTPASLPSLPQFGQNIPADLQFDPSRFKFN Q PFICI_09661 MTVDDNTIKAHIEGLVAKSLPRSPIYNFLLSDVRIHAATQGNVK ARLRLTKNHINSGGGIHGSVSATIVDWAGGMAIATWDLRDKTGVSVDIHVRYLSSAGE GDEIEIEGTAEKVGGSVAFTKVVISKLVDGEPSPIIASGTHTKYVKVRQS PFICI_09662 MSKPVFVLLHGAWHTPKCWDRLVSELDKAGYESVAPALPSSNAS PLPLDWSADLDLIRTTVLELVVDRDVVVVTHSFSGMTGGTALERLDKQSCAARGLKGG VVRVVHINAFLVSEGFQHSPRGTRDNMIPEMKTDFEAGTVTVLPEDIKEMFHQDLDDE ISREYGHDLRPHSLGTYWCATTYAAWRHIPTSYIFCTKDRPGTVAAIHYLISSAKSSG MHKIDNVVEVESGHSPFLSRPQWTAETLIAEANR PFICI_09663 MTSHMTVLYEISRVLERPLSLLHLASSFTQNGGDSLSSMQLQSA LRKQHIHLSVGSILAATNLLQLIDESTHNPEHIEDAGPIAAPLQRMEHKRLQALEQPK KPLCRPQHAEAGPGDEKAIERHPMTEMQLSLVQSTRQNPGRNIIAYFETHRPENIAAL RIAWEKVLQSEPIFNLVFEVDELHGYMHEAAQVPFVWEEVMVSTASSYEQELGKWLPT EEYIGSSFKVITLQPKGEQGRSTVIWRVHHAMVDGVSFALIHSKIRRILSGQPLVAGP SFLEFSQKLRLIQLKGHKSAVAFWARKQGTSPHAPTRLLLPDRPSDQGTPATLNGQIQ INSNVQRLGEYARKKGITLPSLYFAAWGLVVARYADSDSASFGAVLCGRNFPIEGAQS IVGPMMNMLPFHISLDTYITVDEYLRAVFNSLVEIMSFHWSTPNHGYSRNFSSAVNIQ CEKSTFEETSFSPIQEPFSQVVSDIPIQVEVARCGRIYLTYNTESFDHVQMGRMSTAL SRILDALITPGLSLKACLESPIGPLERAELGSLGNWESILSRRGSTQEDLVSLFERAA EANPQLVAVQDTLRTLTYAELHHQSSVVAGQLLAFVAPGDVVCVHADGSMNWIVAIYA VLKAGATYCPLDQHLPDADRAIKFGAANAKAYLTGQAAPTAKPTWCPVWLSVEQLLVE EWIPAFIRGLPTQKERLGSNAYICFTSGSTGKPKGVICRHEGLVAFQQDFRVRLCARP GWRVAQFMSPGFDGSIHEIFSALCYGATLVLKDALRPFDHLKVCNTAILTPSVAQALD PADFEGLQVVYLVGEAVPQSVCDKWAFQRQLFNMYGPTEATCGATIKPLTAQLPVTLG VPTSSTRIYILDGHKQLVPRGVIGEIYLAGIQVADKYVGQPDETSKKFSLDSINPEYS DEYMYRTGDRGFWNECGELLFLGRADRQVKLRGFRIDLDDLEVRMTKADGQCTTTAVI IKDDSLVAFIQPANLDIQKFKSHIRQHIPVYSLPHHIIAVNSFPTTPTGKLDYKALAS EANEEPMPDQISVDSEKKITTALRYVLGISENTDIDCEASFSELGGNSIHAMRLKHRL SRDFEQHVSVSMILESVSIRDLAKAVARLQPTGDNHAGLTLEDHHTSPIESEWWYKYQ QNIGTSSFNVSYACKLPQSLDRLRLVSAWNLVLRRHRILRCKYRLSGSRGLIREYFPH PPTTKEVDVMDIKTEVNTTFNVTRGDELIRVLVSSKHMLVVVSHIICDLTTLGILLRE VADVYHSNELSPVLKTYFQTVWSVPAAPHNLAFWSQYLDGACQPTPSILNDGKKRKTW NGSSHVFEIDKAAYQGMISFVSANKVTMHQLVIGAVALALQQESEDCDIVVGAPYLNR NSEEDLEVVGLFLEPLPIRVRYSSSDEENGVHSESFGSSTPSLKVKPDPFIRAVQQSS RAALSHAVPWDQLIKHLKLEPDFPNHHIFDTMVTFHEADQSLRFPIRDTQFLHTWADG AKFRLMVEFTSRDDGTVSVRLEYSTECLTREKAQLIGQLVLEALQGLVAGDGYLGTIR RLRALRNNGASDAMMER PFICI_09664 MTELLRRTTFEATNGHQLAIVTLPIIMASLATVTVVFRFRSRRI QKQKYMFDDWLALAALVLTWTFQGVNMAAVFAGGAGLPITTVMAVDPQALYTYLKIVV ANFSLWIFTVTVVQLSILSFYLRIFGVHKIFTWACYITIFLVVGMGIAGFCLAIFSCN PISVLWDPTVQGTCIDSTKSCSAVGIIHVLLDLAIVVMPMPLIWNLKIAFSSKVVLSC LLGLGLVATVISLIKIDCVFDLTGIPSSDVTDYLWLTILLQTLELPIGIICCCVPSLK PVVVELAPTLRSFTTRLLSLTRQSGGSSSRIADESWPRANSENESTPRFVPVDSSFNN VKGQNLGHTVDARGNSVELSEQPKFGENRGRGIAVSNTYNVRQE PFICI_09665 MSSPRKIYDVIIIGGGHAGLSAALTLYRAFHTCVVIDSGAPRDA LTKQTRLTLGWEGKDPEEMRETSRSELRASGLVHFNPRTASSARKLSVGTFEVVDDAG ERWTGRKVLLATGVQEIYPEIEGYAESYGTSIFHCMFCYGHEQKDSPMAGLLAVGTLT NPIHAMINARDALKYVDKVTIYTNEDSALADILSCDAAEGIHIDNRKVERLYKPESGR EIGVEFEGGEREILAFLVHKPELQAGNPVPDQLGCEYVPGLGIKVTPPFNSTSVEGVY AAGDCCSPLRNIPNAMSMGSFAGCGLARELPKNRDINGMIEATSAPK PFICI_09666 MGSVDSTKVVDALIIGGGVSGLAAALTLARQQHTAVVLDSQVYR NAPSGHMHNVLTWDREDPATFRAAAKKNIEDGYTTITFETTEIASIVNEPNAPQQRFT ATSTSGAVWVGRKVILATGVRDIYPDIDGYGDCFGKGIYHCFFCKGYEARGSEHAAIL ALPDLSSVPAALHAARTAARFTKSITIYTHGSDELQEGLIAAQGSNQIFKLDNRKIAR FEKGPGFADVLVHFEDGEVVSEAFIGHKPPTTASGKLHDQLGLEMTAAGDPVIKPMFC ETSVKGVFACGDSASPIKIIPQSLFSGSAAAAAVCAQIQAEDASQSCIF PFICI_09667 MSTTDVAPTLSPEATSVEQEDSVVEKLPEVTDKEHDRGLQFWLI ILSGCLVDFATALDATIITTALPKITADLQAEQQYVWLANTYVFASTVVMPFVGQTSN IFGRRMPMIISVVLFSIGNAIAGAATSAGMLIAARVVTGLGGGGIFVLNDLIVCDLVP VRERPKFLGIRIAIATISTIIGPVLGGALAQASWRWVFFINLPFSGIALAVMIPFLRL KYRREATWKKAVARIDILGNFIFAGAITGILFGLIMGGVQYPWSSWHIIVPLVIGFCG WALFHFHQASPICREPSMPPLLFSNRTSVVCLALTFISNTLLEWIVYFLPIYFQAIKG ATPLQSGVDVLPLSVVYVPLAVLTGGLMSKFGKYKPIHLVGFGFTSLACGLLSILDAA SPTVEWVFWQLFLAVGAGFTMISILPVIQASLPDSHVATATGTFAFVRNFGFVWGVTI PSVIFNAQIERNLHWVLDTDVQQRLSNGAAYGYAGTGAITQLPPDTQAQVHDVYKSAM SAIWQAAAVIAAIGTLLVFAEADIELRMDNDTEFGMEDGGKKIKEKSPEGVDTQETV PFICI_09668 MQESKINLRWATAPEYHPSPRSCWRRVTEAVILMLVVTFSYLQW HLRFIQALVYPGNSIFDRVPLIDGHNDFPIWIRAYYQNHIYQDNFTQDAPLYGQVDFP RLRQSRLGGQFWSVYVECPNNSSEYSDSAYREIIHDTLQQIDLVYRLIEEYPSDLVHA RTAADVYRQFGSGDGRISSLLGIEGLHQIGNSVSILRMYHALGVRYATLTHTCHNAYA DSEAPAAPLHGGLSARGWQLVREMNRLGMAVDLSHTSAATQRDAIAASAAPVMFSHSN AYARYHHSRNVPDDVLAALRRNGGIVMVTFYPAFLEADPAAASLDSVADHIQSIGEAI GYRHVGIGSDFDGMPAGPRGLEDVTRYPDLISALQDRGLASADIAGIMGLNVLRVLEA VECVSEQMRDVRPLEDDVKAFFGA PFICI_09669 MATNSDAARLLELAASISKSAQELHDALASNASPFPSLDEDAQP IVLTGGALAARDAILDAASEFYDRLLDPFTLLFRRGAHNNMVSLHAISHFGIAGLVPV GGQTTVKSISESIGLGEDIVRRILQHAISMRIFSQPEPSVIAHTKVLRFLVAQENRDW LRLQAEEMWPPAVKMLDALRKWPGSEEPNETAFSLANNTNGSIYDAIANDPDRAVRFG SAMKAASAQEDYVGHLLDHYDWASLGPAQVVDVGGSDGHTAYALARRFHKLQVVVQDM SPPPDEVVAAAGSSDDNNKDNGAAGRVRFMAHDFFQTQTVAAEVYHFRRVMHNWSDKY PFICI_09670 MLQTSQSRKGAAAANTPQQVKLRSACNKCCIAKVKCSGEKTGCA RCRNTGDKCVYMESRVGKVQGPRRKTRDTSRNEPRHHQQDRTGLSLAQPASVITVATG DELQEHDPVELIRWSGDWHLAQLETSSPAEFRDNLSSGAETRHNSTDVSMYTSPEGFG MPVVDPNLENFLLEFPVPRDSLEPTSTASSGDASASPSLGMRPRTEADSQCCLDCCHI IADLENYIMSDLRNFKIILGIIRNVLQRLAQLIEHQQSSVNHRCLLLLKTLMYQILEL MEAGRKSIIAEKTRERQRSLASGGTGLGLGDYSIDAEEQSTLRVQTVIKEVRRATELL SKMKSLSDGSGPLSDRTARENHYIDLEDRFRGLEALLRP PFICI_09671 MQGYGLSARGAANVDAVWPRISKAATEREHAEQPCIDLATSENW LIRKELIDFYKKAVQDGLSDRHLSYQNGLAGDTDLLEALVGFFNSYFRPCIPVTQEHL STAPGSTFCLDSLLYNTCEAGDGLLILTPCWSGFDWLVSVKSGVQPVFVTLNNLEGAL TLEVIPALEAAFSSSPHPVKALLFTNPNNPIGQCYPVEVIKDVIRFCNQRKIHLISDE IYALSSFQNPELKDPTPFVSILELDIKALGCDPSRVHMIWSTSKDLGSSGMRMGCCVT QKNRPLATGLALTSTTQMSCLTAIATASLLKSPELPRLLELNSQRLADAYQRTTAILK SHGLPYIPVNHGPFVFFRIDPNAQTSEEEAKIIQKCKQAGVTVSAGRSYHLPEAAKGW ARMNFAIAPGVLDEALGRFCSGLECRELNSS PFICI_09672 MDSAEETERAPLLAPPDRAEAGTSISTTPMPDSPPSPSDTTLPS PGEANAAVGGQHEEDTIARFLPIAFTASFAIAATSATTIYAYASITCADPARCQDEEQ DRYAGVVALATTVANFFGVLAVGFLRPWTESHPKLGLYFWILCRATGIAILAVGVLVR NINVAIAGRVFDGLATDNILHYALAAVYLRTKMPGRFSQLMGTSLGLYMVGMSLSPTI VTLLPSFIVSFVVAIALLGLSLLYLCFLVPPLARGPERGCPARPVQQETHPNSTRTSF LEPVFYFHREPIVALPGLAVLLYNSAQAYLFPVIMVHAALRYGFTSTENGYLISLAAA TSSVYLLVTLYIVPRVRSRFRIDRRGAAGEAAAPSSRKTYSENSRLGRGRYYFNADLS YALLSMSIQLAVLPLFPMVHSAGSIYGLVVLVALGLAAPSFIKSYAVLGATDKGSAVA GMAVTESAGGLLSPIILGAIQSMDGQGSVFFVASSLVGAAMLCLLATLCLGSM PFICI_09673 MAVVQSQMSFLEPWDGKEDAPYIRGKSDDLFPATNFKNQDFSVQ FHDARPTKDAFKLDIHGFGFFEDEPIGDEIIQSIRERNKLAVEKEYYPRVEALIKRTT GASKVIIFDHTYRKRDPALAAGENPNGREQPATLAHVDQSAIGAIGRVHRHAEEDAER LLQGRVQVINVWRPFEVVEDWPLACADYRSFKDSEIHPTSIFRERFDRQGQTVSINYS DQQRWYYLDHQESHEVTFIKIWDSKDGTAKLCPHGAFQHPHAPTNAKLRESVEVRCLV FYENESQ PFICI_09674 MPVIQTLPRPIADTWYLAYGSNLSTQKFIDDRGITPLSAVAVIV PGWTLTIDSAGFPYSEPAFASISPIHHTGNKKAVELIGTAYKLDPDMYQKVLKSEGGG IAYAEIEVWAINMSEEDPNGIATSSTFAALLRMGADAAKFPLAYQKFLAGITEYHPSE KPMAKLGASLFLAFWRPVMSLMERITKASLKNRSTGYAPIWVIVMVRIVMVSMWFYHD FVHAPIWGRGDGMGSALVL PFICI_09675 MDLGPHVPSQAFTKDVDLYAEVTAKSTRDTANEFLKLLSPLAPG TKIHDNGCGAGEVTSAIMAVNPPTDISIEATDVDPTYLERLTVAAGAKHWPVTASRMA AEDLTFADETFDLSVANFVIFMTREGGVPAVSQMRRTLRPGGSAIFTSWARLPQVGPA QAAHAATRGPDGPPLREIPPEWWRGEHLRGVAIKAGFAQGQTELHTVNVHLHYDSAER LARLIWSWLGPPLSGWLESDEENWDKAIEVILKSFEENDDFKLGPDGVEVQLTANVLI ARK PFICI_09676 MATSQDKDVYVMEENEGELQRLANQHEIITKYLGTLALAPVDFS RPNLRILDSGTADGLWLRELRDSNDTPHTYVGTDITEAWLPKDPPPEFRFHVQSITQP WPQEWTGTFDYVHQRLTLAGVGSSPLADCITSLARLVKPGGYLELVDADFGGESPNGP AARHFERLMAKFLDTIGIGYTYANGLPAHVETAGLVTVQSKTFVIKYGAACEDPALGA KGVSHLLSASVGMQAFLRGQPNSGIDQELPADFLDQLKRELEEIGASFKMIAVWARKP E PFICI_09677 MSFQPKQLTQYQAEHLSELQGDVSETAIEYILGLTPPFTEGNII HDNACGSGAVLSTILTKAHPANLHIEATDVNPQFVEGCAELAKKNNWPVTATVQSAQE ITFPDNHFDYSFTNFAFHCLGDHDAAARQVYRTLKPGGVAVASIWVYMPHVDALQHAH WRTRGKEGAMPVLLPLESFVQEDLKKALVAGGFKGEDIEFSEVTCYLKISDLKRFAQL SWSYLGPLPTGWSQNDEEKWDKATADIIEQLQSGDGITKNEKGETVLKMVACIGLAKK PFICI_09678 MGLVNGPEWRQTRLCIAEAFAQRSIAGAIGRVTEITREYMAELE ANGRLAQQMLNPGRDLRLLPFWIMADYLYGRLSPEQHSQLEALVPLRDSLFQRVIQGG ATRFSWSQYLPSTANRDLNDFKARWKQFNDVAYTACKEQGHAPPIVRMLEAYRDGSIT MDNMLQTMDEMLFGNLDVTAGGLTWNPLFMAAYPGVQAQLRQELRRELGDGRKAWEAY LLRSDTLLAASILEAARLKPIAAFSIPQAAPSDRVVGGYLVPGRTNYVIDTYALNVRN PYWGKDSAEYRPSRFLERKAADTRYHYWRFGFGPRQCLGKYLADIMIRVIVARLVGGY QLNLTPTSSWDKNPSVWIAHPDTEILCEKIME PFICI_09679 MGHKVIQGDLAYDGRVIIYILQADQTNYINYIKPLILAEELQLR HVLSVIDTRSTWYYQVHPERYVPAIKDWCPETKKELIVFESTACLQYIAECYDKDGYW AGRNSSEKAAVLSWTAYQTAGLGPTAKYWLYFARGYPNRQNPESLPKTVAKLHQNCVA QWDILERRLEIDGQQYVALPDRPTIADLSYFPFAMPWMFSFLDVDLEQYPKIKAWGEK MLARPAVQSVLAQAPKYGHDTDEAGAT PFICI_09680 MSPDTYPKSSVDAIPDIARILDNVNDCLTKCLEDLHSLDTRLHD ALHDGDVLPDRIVLREAAKAVDLLHQIQVMLDPKTLVLADHFLGYVRSKCLLAAVQQQ VPDVLHQSGPITLEQLAERTGSRSDRLAQVLRILCNEGIFRHDDDQDEGGGTYSNSAA SRLLCADHPSQWHNWVRLYGNQFYDMARGIPGAVQRAATRSAAQINYDTDDTMFTYFQ HRGWVPELHRTFGSGALAQMPGLVADYPWDEVAGGGSNLVMDIGGGGGGFLAGLLRNY PTMRGGIFDLPHVIEHARPFFRPGGQYADVADRVSDQDLVGGDFFKSVPECEVYTIKW CLHDWKDSQVIEILKTIHRSIIPSSRSRLVVLESILEDTHSSRLSQYGDLNMMMTIGG QERTVKEWTTLAGIAGWRIERIWDLRRAWVKAMDFRPISQDGA PFICI_09681 MAYYELITSLAAVFLLVFLISHRPEFPEYSTFKRFGAGFFGTKP VHVVVQNAYDKLGGVEPFVVRWWARDIVIMPRRYLKDLKSADRSHISFFTSISRAFYL HTTVGDLYTADSSHRMVDVVKKGLNRRLPHLTPVLVEEIDYSFHKILGESTGNFTGHQ FLSDDQELNPAAEWVEVKAAGLFAEVVHRAATRILVSKELSRNERFIKRSLSYTKSLF VTALIITNLPLGPLRGILAWPLSCVRQWLLRECKAISRQSVINRVEESHKPGRREDYE DAIQWTLDLFPQTGIEVSIERFQAELLQNLWAASGAPGGVLTEVIFQLLLHPEELEIV RDECIQNYRENVSVVRTVRDKPFQFSDGLILPVGSWFGFPIQAFHQEEQNGATDRSTF IGFRFVTEDVDHSIIKEKTDKMGPTMVDTNNLVFGYGNHACPGRFIAVKLIKLVITTL LIGYEIKWENKTHKRPTPINVEGQFAPNMEQSIFIRKRNETA PFICI_09682 MMEIITANTALKEPDSSIAPHIFLWACQVAALISPPFPGRRGVF ATLIISLAIYCNLHPHFTNDMGLAQPFSIAWSFYLSTLATLLRGSSSGPEDRFWRIGN PAKEARAYAAFGWSKIRWAFALLVNLRGIGWNHQVKNVPKPPRTGRSRFLVEQLVRFV VFLSVGDLLYELHRRMNFTSLDGTVSSIDSKYMTLRHPVLHWRLARAFVLGALPFCMI SMQSAQLSFVTVLLGLNKPQDWPSTFGSFEDTRTVRLFWGSFWHQSIRHTLTSFTDMF CDMSRIPRGTNLSSYTKLYLAFLISGTFHALGQLHLPRPSNITAAECTMGFIYFFMSQ AIAITLEDFVIWLGCSSGLMPESPRAKRCIKWLGRTWVFLFILWTLPFVGDIILKVRV GTGSLLPFPLWRPVVEAWIPIASPVVDSRNGKLA PFICI_09683 MHASRTFTSIALALFGAQAANAWLLEFWGTQSNCAKQGNSAADN SAGGLSGDSNSCMMAYYDLKAMKVTEWDDKCTVKVYAGDSLDCRGEVIYEKTKEEAKD DGELADSEDGAYMCLTGLAGHPGPYYASYEC PFICI_09684 MAPRSALLAIAALAAGAQAQSTGEADIYSVNQCPPDANNLEAYN LELSWATSSDGKGSEYTGCQSTSIDLPDWPTTDNGKYEVWVDTSSFGEGCSMLFYNLL DSNEESNNWPCRSLYRQVQKSGTPCGDLELTKQFGYA PFICI_09685 MGDVDTCEKDQTTCGRSYTYTTGTEIMHGTTHEDTTEVGWSEFV VFSNSFSNGWEESTTESESWSNTKNIAPEPGHSGYPTFQPLYICAKATTEGDCSDVSF NGDTVCMQKYLPNNIPDGHWLIVTTD PFICI_09686 MADFSQYGTPSEEWRKVSNLVPAPHPGLSFIERREHSNRTREQL SADEMKIWEPLVQRKDHTIPTRDQSTIEARSYRPANADTTGKPPVLLYLHGGGFFSGT IATEDATCSRLAVHNNAIVLNVCYRHTPEHTYPTAWDDTHDAFEWLHDHIVDLGGDYQ RVLVGGISSGAYLAASFVLEKHLGRRCVSRPAIAGQILMVPCLLNTSCHGPILKKFKD PAVCSLQQLCNAPTLPMSTFQMITKMLQIPDPKEEDLRLNPGYVSASRAEGLPPTVIV TAGMDILRDEGLLYAKTLTEASVPTDVHVVPGLPHAFAAIPGLSEGKQRWNKILDGAI VWALSKPTAKENFSIQAE PFICI_09687 MARRLVNAILGVAVFFFPKTVECLDPNITVLNGTYAGLHLPAFN QDLFLGMPYAQNTSGENRFRIPQTLTETWQGARSAKQYGHACPDENIEADGVYGMSEN CLSINVVRPAGINTTEKIPVMLWIHGGSYQVGTSALPNYNLTYIVQRSVAIGKPVLGV SINYRKGAWGMLYSIEIQGSGNANLSLRDMRKSLEWIQENIKFFGGDPSRVTIWGESA GSFAVGQLLLSYGGRSDGLFHRSIQESGSATTAWYNGSDWYQPLYNQIVNQVNCSDTP DTLSCLRAIDYDVLYPIMMSSQFAGPGFYPTVDGDIIPNYPSTLMEMGQIADVPHLYG TNSDEGTDNAPVGTMNTDEDIRNFLLYEIGFDFPEAVVDKIMELYPNDPAQGIPLNTG NETFAEEGLQYKRIAAILGDVFYHAPRRHDAQRYAAHAPTFTYRFNTRGFVNSTNATF TDYSGELQPAYKGVSHFTEVAFVFSNPQYVGPWPEYQALSRQMSAQWINFAYYGDPNG DSLPTWPKYNESSNGLNLVLQTESQGGAYAENDTYRLTGRDFLTTWARRRHV PFICI_09688 MLYDIIVVGGGLAGSVVSNRLLGFNESLKILVVEAGQNANNRTD IIWPNQTAFGGDLSWGWDTIPQVNLDNRTMGEAVGTALGGGTVVNAGNWVRGDRTDYD LWGETVGDSRWNYDGQLPFMQKAEAFQHPDRNPDQHGYDGPVFVQTVTSTNRSFPLRE PVFQAWNEIGVFELPDLDANAGNPLGVGDFSENKHNGRREIASVIYPLNGIDVLTETL VEKVLVQDVDGVLTSLGIQLSNGTQILGSKVILSAGAFRTPQILLLSGIGPASELESL EIDVNLDLPDVGRNLIQHSSTTSTWTIRNPDEGWIPGSGNPLFEEEQYGWGNPQDYMV STSVPRDGLAVAIEIDEGVAPDPETHPLLRTDRTFLEHVFQYFGYTGTSGATVSFMSI LFIPSARGSVTLASANISDFPLLDPNYFGTEVDRYVLREASRLQYAFAGGNTTVLGRD IFAGEIPPDGSPALSSVITDEELDTRLRATLRTAYHPHGTAAMGKVVDTNLKVIGVDN LYVVDTSVFPVAISAHLQVATYALAEQAAEILAGST PFICI_09689 MAAHLKSRKVGKYGPNIAAIGFGLMSLGGAHGQVDPSKDKLAVL DRAWELGATNWDTADVYGNNELMVGDWFRIHPERRSDIFLASKFGLIPRMENDGRLVF DVDSSPEYCRKACEQSLSRLGVDSIDLFYIHRVDGKTPIENTIKEMVNLKSEGKFKYF GISECSSDTLRRASDVHHITTIQVEYNPWALEVKGPSGTFLLQAARKLGTAVFCYSPL GRGILTGRYKSADDLEPTDFRRSLPRFQNENFSKNLEIVERFKAMADEKGCSTGQLVL AWILAQGKDMFPIPGTKNLKYLEENVGSLSINLSQEDNVALRQIIEEADIAGDRGPGP SAYADTPLL PFICI_09690 MPVSLEALTLTLSGFMSGYDFSGAWVFTPAVAEAPSPLIAKQWK HAWDIGRIVGKSVVTGSAASFAYLAYGEPVKHGNPRFQQLLSLAAIVIGAIVPFTIIS SYPFNEAINKRLGEIDGDIKVGKGSTDLKQLVVDWGRLDFYRTILAISGTFIGIFAVL L PFICI_09691 MSRRFWRHRDGGPAETAGSAVGERVGLLSRFRSRKSEKLLRPPS ADVSSAASSPRSSHLIPDGHSGDATRTPSRSIERSLWDRAYTELAKQCPELVEKYENL LVTESSSIGSIPDESLHAKIAARGAAPEVVVHFDRTRFYAIAEEGLAQMEDKRTKFRV GSLEVVPKEHITHAAELLLWAQDWIGGALKASPEASMAWAGICLVLPLLTNPTTADEA NRDGFGYVMARMRYYTALESLVEDLGRSSNTTDALTQAYESIVLLYQGILDFQFRSVL RFYRNRFKRYAGDMFLSDDWSKKREGIEKLEAIVNENLRQINELVARQHLGSLNQTST ASLETLQGLLSLSKQQLEVTEQHRALAQEQLSLQQDGVKRHQSDKEEKCHQLFRLTSS KKDATYEWYKDRVENHVEGTCQWLLTHDNFQGWMAQESGMLLVSADPGCGKSVLAKHL IDVTLQPATICYFFFKDQDQNTVRQALCALLHQLFSQKPFLIKHAMNEYSKNGQGLVN ITASLWNIFDSAVQDSQAGAIVVFLDALDECAESEFEDLVRHLKPRYLEDRSSSHKLK FLLTSRPYNQIVSEFRDLVDNIPRIHIPGEEHSDAISQEVNLVIENRAKSLGLSSDLT THLTGKLLEIPHRTYLWVHLVFDDLEKDGFKKTPKGVELAIAKLPKSVNEAYNQILEK SKDPSTAKAALAIILAAQRPLTLSEMNVAVNMQSNHRKFSDLDLEADADFKSTLRSLC GLFVSIHHGKVYFLHQTAREFLLADPLSTEAQSTLNWHGSINMRQAHTVLAGKSIHYL ALFNTSNYDPDIENRTFLDYSAGNWGVHFREATIADSNPLVSIALAIGDPDSVSYSLW FERYWSTKGPNYKAPSNFSNIFLSTFFGHVATLKMLIVTGKIEIDSRDQLYHRTPLSY AAERGHDAVVKLLLATGKVDINSKDRYGKTPLFRATQEGHKAIVELLLATEKVEVNSR DKNGITPLSWAARYGEEAVVKLLLATEKVKVNSRDNDGITPLSWAVRNRNEAVVKLLL AIEKVDVNSRDNDGITPLSWAARYGEEAVVKLLLASEKVVVDTPDKYGRTPEVRAAGS GYQDIVRLLRTASKQQCSPVRT PFICI_09692 MGANVPVPESLQPPPVPDALTGQYTDFRAELQEKGYAALKRAIP RERAEEYRQKAYDWLQSFSTKFDFNNRETWIEKNLPVMNHIIRAFGGYGLVHEKFTWD ARQEPGVLDGFASIWGTRELLASFDGLNISLPNHADLAARKGWEHVDQSPLKRGLQCV QGIILRINDLCI PFICI_09693 MVAEDHFLLGTFGTNTDGGQSLTSIPERWENSFENNLKLAKLLD DAGIDFMLPIARWIGFGPTNCHGNVLETMTWAAGLLAQTKRLNVIATIHTAAYNPVVA AKQIATIDQISHSRIGLNIVAGWNRPEYEALGLELPASHEERYAYAQEWYDIVGKLWT LPGAFDHDGTFWKLKNISALPKPMRQPLIINAAGSGEGRNFAVRNAHFLFTPTIELEK SKKEIADLKALAQQAGTEVRVLTMAHVVCRPTEQEAQDYVTYYGRENVDWQAVDAWID LQLAHAKSFPDGVLKSIRDRVAAFHGGYPLVGTPRQVADGFLALHEAGFSGCTLAFMD YIKEFPYFRDNVLPLLEEAGIRRKASGLV PFICI_09694 MSASFDNVFNGKYPAKSYAKRVVEYIRTGIPAATGIIYLEGQYE QFYEDVDELIFFRQRRAFTYLTGIADRAGCHLIYDIASDTMTVFVPQEDVEQILWSGK SPSSEQVLKQYDVDHVLPDTELGSALAEIGRQQRGTHAAVFAIAGRFRQKFHDFENRN FDILGEAIEECRIVKDDFEIALIKKANEISCAAHRAVLKQVKNFKNEAEIDSLFTAEC MRRGTKKQAYPSIVASGINAASMHYESNNQDLIIDNKAKDLVLVDAGCEWDCYGSDIT RTFPLSGRFSKNSRRIYDIVLQMQLSCIAALKEGVEWEQIHTLAHTVAIDGLLSIGIL RGNPKKIFAARTSTAFMPYGVSHFLGMDTHDVGGKPVKDETDLLFKYLRVRRSLPAGS VVTVEPGPHFSPHVIRPYLESPQHNEFINEAILDECWAVGGI PFICI_09695 MKGWTFTHGGYPQALQESDLPEDTSPLKPTQVRVKVKAASVNPI DAQLMGFPLLGSLPNFVLPANKGVGEDFSGVVEQAGAKSGFKPGDAVFGIVYFFPSGS LTETITVDTNSPGKSIVLHKPIDWSFEEAAAVPLVWLTAQTTIDAVGPWMKNKKLAVL GGSSSCGMYVSYIAKQRGWKVIASCSGAKADFARSMGADEIIDYTTTSVPEKIKEFGP DAIIDCVGGPDCVQLAKRYVTVVGDKTSTDRLGMGGRYTYMFNPQMFGRAVMGRIGFG SSYTCINLVYKDSYLKEALDLPKDKIIIDSTFDFSQVREAFERLNTGRVKGKVIVRVS P PFICI_09696 MQFKQLLFTAIGALMASTATAAPTNAAPAIRQTDTQVTHFVSFE FLANQTQSDIDDVITRFLSLKDNCKKPDGSTYILTVSGGTNNSPTDRNGGFTHAWTNT FSSLDDRNYYLFEDPYHLAFEADMVYHLQDAFAFDFLPSTYQTLEELEIEGLD PFICI_09697 MATPTVESTPPPPTTTQHVGTDNSVSDGTETAKNDDGPVYPHGI KLALIMGSSYLSMFLVALDRLIVTTAIPKITDDFKSVTNVGWYGSAYLLTNCAFQLFF GKLYSLYSVKVVFLVSILLFEIGSALCGAAPNSIAFIVGRAIAGSGSAGIFSGSISIL VYSVPLHRRPFYQGLFGAVFGLANVISPLLGGAFTDSAATWRWCFYINLPLGAFSILC IYFLFHVGEQKTMDLSTKQKLTKLDSPGVVTLLGGIISLLLALEWGGTQYAWNNGRII ALLVVAIILLICFAIIQVKWPESATVAPRVFTQRSILTASLSMFTTGAVMMTTFYYLP IWFQAIQGASAVQSGIRLLPLVIAQAIGSLAGGVAIQKLGYYTPVMLLSIVLMTVGSG LLTTLQPDTSEGKWIGYQIPFGAGLGITMQAPLLAAQTVLGKADVPIGTSLMFFTQLL GGSIFVSIGQNLFNNYFVKYLQGVPGIDSGTILKQGATSITNISEPAKDIVVSAYNDA LRKVFITGLVMSALTFLAAAGLEWKSVKKPAPKTDVEAKSAETVQNGTGTITSEKGND A PFICI_09698 MQSVLAGLGAVAGLGSRGFSTSIAQPCETAYANRFPSILCIQNY GAVLPEGFERPIASTPGHTDTYGSTNVPNDSTFELISGASFLIWDLEQSLDILGPNPS VEDFFEVGNIPHEGVVWEPDLNILLFSPLRPPPTVLKIDLNQDPPTLSNITTDPPLFG IGAAYHKGLIYYCGSATSTGDYVGGLYAYNATSNKVWPIANNYFGYNFGTCDDLAVAP NGDIWFTDNWYSYALEELHQNNTLQLEPAVYRYVPSTGLVQLVTDELEQPNGIAFSPD GKTVYMTDSGADTITNEDRTLRYVSRLRRTVYAADVLPSGTGIVNRRAIFLAQDRVPD GIKVARNGYVVVATGSGVDVLDPAGIPVLRIQTDFTVLNIVWAGQEHTDLWMVGYQKV ARVKWALEGNVLVR PFICI_09699 MGSLDIPKSSYPPFADTGSLKGFKQVAITPILGTEFKDVDITEW LRAPNSDDLLRDLALLIGQRGVVFFRNQHKVNDDLQKEFCRRVNELSGAPKENGFYRH SLLAMHGEDPEMGKVDPDRLKAMHGIDTKGLPRQTHIKEWHTDSSFEPAPPTYTVLRM TILPETGGDTLWASGYEIYDRLTEPYKKFFDSLTATHYNDGLYKYGKANPDKMFTGPR GAAQNTGLDFKSHHPLVRTHPLTGWKSVFGWGANCLGIDDVTDDESKQLTEKITRLIL DNQDIQVRFRWENTGDLAIWDNRCTFHAATSDHFGVGPRQGWRCMTMGEAPFLDPNSK SRNEATGGWPYALK PFICI_09700 MARGIVPTETTRLIGSESEDDDTCTRVPGEHAVYSPQSSITTIC ISIEANDNALGQLEWSSPTDAENPKNWTSSQKWTCAMIVSFYCLISPTAAAMVVAAMP SLARDLHITSQAVLQLTMSLFVLGWTSGPLVMGPLSEVFGRAPILHLGNLGFIIFNLL CGLVRDQRLFLLLRLVSGICGSGPTALGTGVLSDLWKSEERGFALAIYTIMPLLGPTA GPLLAGYIVQHHEWPYIFYTLSLVSAVVLVPGVLLLPETFGPVILRRRRAEKLRKLGL STTVLRVGNVKLHSTRELIQKGLARPFILLGTQPIVQVLALQFGFFFGLYQLSIATYH SLWRDLYDMPPLRASANYLSITLGLVIGCEIAGPLGDLIYRTLKKRNNNVGLPEYRVW LMIPSAVLVPGGLLWFGWSAVARAHWIMPNLGMALASIGLVMSFMCMQAYMMDAYPVY AASAQGALTVARALSAFAMPVMAPAMIEKWGYGWSSTILAGVAAVLGALAPALLHWKG AALRARSPYAAGDAILEA PFICI_09701 MTVNGSANGVVNGNGVAPANDYAVRTDHREPLKPTGKLAGFKTI DVTPVIGTEFPDVKLLDWLEAPDSDEFFRELAITVSRRGVVIFRAQDGITTDHQKIIA RKLGNLSGGPKGHGLHHHPINNSSQNPGVDDEISMVGSKQVKNYSGITPAISNNADGK RQSSRKEWHSDIQWERAPCDYSVLRMEVSPPTGGDTMFASGYEMYDRISTPYQKFLES LSITCYQPHYQLVADKLGIQMFEGPRGSPVNVGSDMLAVHPLVRTNPVTGWKSLFGVG IHITKINGLSPEESDNLRDVFLKLITENHDLQARCRWQNPNDIAIWDNRSVFHAATVD YTGERAGYGARSIGERPYFDPSSVSRREGMVAEAQA PFICI_09702 MGAAKYDNLSDMHSKKESDPALDEHAGTTAALCEWAVGLKVSDV PKPVLERVKHLILDGIACALVGGHVPWSEQCADAILDYEAPGYCSVIGYDEALSPLAA AILNGAFIQATELDDYHSAAPLHSAAVVVPALLAAAQFLATGNGRGKTTQTVSGLEFL LAAVVGFETGPRAGSALGGGELLVRGWHSGAIFGCPAAAVASARLMGLSADDTESAVG IACTQAGGLMSATYEGMIKRVQHAFAARNGLFGALLARNGYVGIKKVFERRYGGFLSM FSKGNNSEPAYDVRRVVQNLGQKWEIFNIRVKLHACVGGCHGQIEALAKLQEEYPERF ENDKLAHITSIKVGLSGPIFAHDGWEPHERPLATTGAQMNAAFIGAMQLVDRQVLLEQ FADKNLNRDDVWDLVYKTSCYHDAHFDQPHFACGARIAIEFDDGFKVETVLDQPRGYN PPITDKEIQGKYRKLATSAIDDEQRLQRIEQLILDLENIEDISELFQLLAPLTKKVL PFICI_09703 MTTSEEGTSSFDEVNPTPKIFDTPGLFTPVTPGVLVQFSISFQQ VHVEFTLPASDASQLNESRRTFLATLDQSQSNSDGKASISAAVLAFQFLEYLLTSRVS LGTLARVFDAVQSDILRDKEIHDFLNQLDDGISARKYILRTYMVLVAKLSIPLPSGPS AFISAATREKHRILVTFGGQSSANPNCVDDLADLYSIYQPYLEPLVTVLGDVLNSLSR HHDTKAFYLGREIDLAAWLADPATRPSKSFISGAAVSFPIIGLTGLLHYSVICQLLGK TPAEMAQTLAGTTGHSQGIILATAVAKAHSWESFLVEAKWAMEMLFWIGYESQLAAPQ SALSPAMINDNVQNGEGIPSHMLLVRGMGREKLDGMIAAGNKYLSKDERLHLSLINSS ADHVVAGPPKSLRGLVLRLRQIRAKDGLDQSRVPYSKRKPVISLVFLPVNAPFHTPYL REAADRVSARMSRSWPDPARISDLRIPVFDTESGLDMRMAYRPEADMTHLLIDAVSTK VVDWPKTLQVDNGPRRFSHIITLGAGRFAGMVHQNVDGRGVRVIDGTKLDTADLATTG DKAEMFAQEFSRSSTFIKSWKERFRPRLVQSADGDYRVETRLNKILKAHPIIVAGMTP TTVPWDFVASVTDAGYHIELAGGGYHNAAAMTSAIEKLAANIPVGRSITCNLIYVDPK AIGYQIPLIRQLISNGLPIRGLTIGAGVPSSEVAAQYIETLGIEHISFKPGSIGAIRD VISIAKAHPTFPIILQWTGGRGGGHHSCEDFHQPLLETYSEIRRCSNLYLVVGSGFGD GKGMLPYLTGSWSVPFGRPEMPCDGILLGSRMMVATDSNTSPSVKKVLVKASGVNDSE WEQSYEKTGAAGVLTVTSEMGQPIHKVATRGVRLWKEMDDTIFSLPKSERRAALLKRK SEIIKRLNEDYAKPWFGQDAAGHAADLEDMTYAEVLDRLVNLMYVAHQKRWVHPSYHE LVHEFVDRTLERLYPHSVDPSLLENAETLISELKRLCPEITEQILHPEDARAFVQACK LRGRKPVNFVVAFDDDFEHWFKKDSLWQSEDIEAVIDQDPERVCILQSPVSVRYSTRD DQSSKEILDEIQNDLVALMQAYKDSSNVKLQATLPVAVAHPISNSIIIDDMAGSTAFK PVSGQDLPGPDDWIECLEPYTCPSVLALLEQDTLFETSTNRSRPNPFRRIFSARHGYT LILDRDCDQMSLQHDDSDQTIVRVTAASPDKLQVQFVHGDHVPTGVASLTLQLKYDAR SMQLIDCTDNRNRRIQDFYAQLWLGQGEAQNGRLTDRFFGGSFELTQKLQQTLSSVVS HAMSDGSSIGRTNTLPLETGVIASWDVLMTPLLISDLDGDILRLVHQSIGIEYTSGAA PLQVGDSINSESSIRSVTIQPSGKSVVVEAKLLRESRHIGTVTSEFFIKGSFSDHHNT FRYEEEPVMELKVESKIDEAVLRDRSWLRLDDACDTLSGKTLIFKTQTRAQWLDHTKA ADLMIRGTVEHMLWNGSRVKVGSIYLDATRSLGNPVMDFLQRKGRVIDAKVMLKNPGW AEDSERTVIMPSHTQLYAETSGDCNPIHVSPVFADLAELPCPIMHGMYTAAVSRKVVE DLVVPGEPQRMRRFNASFVGMVRPGDHLIVGFSHVAMNNGRMVLKVTARQEETGEEVL RGEAEVDQPSTAYLFTGQGSQSPGMGMGLYETSPVAKAIYDEMDSHIRDTYGWSILQV IRENPKELTVHFRGRQGQKILQNYLDMRSEVTESDGTRRSIPIIPGLSRDSTSHTFSD ARGLLQSTLFAQPAIILLEKATFEHMRESGLIQEGALFAGHSLGEYGALSSLAGFVSF KDMLSICLYRGLIMQLAVPRNHQGYTGYSMMAANPARVGKHFDDAALRQIVRHIHRES GELLEIVNFNIEGEQYVCAGHVQNLHCLTEILNAAAVQKIKPASIQEFLGSSEPEGTT VGKIIAQSIAQSKQLPLDVELRRGKATIPLAGIEVPFHSSRLEPGVPTWRAFLRDRIV VEDIRPERLVGSFIPNVVGKPFSLDSSFVQEVAHITQSPILERIVC PFICI_09704 MHIPQPDDNSERPYDEVVTLIVDYVYDYEDISEAALARAKLALI DSLGVAIESLTKSKECAALVKPILPGAVSVVGGFRLPGTTYSLDLLQGAFDMGAMIRY LDHNDAFAGAEWGHPSDNLGAIIATADVLTREALAQGKSDSVITIKQVLISLIKAYEI QGVFQIKNAFNRVGLDHTILVKVGSTAVVSWMMGLSREQARSAVSHAWVDGHPLRIFR QAPNAGPRKGWAAGDACMRAVHLAALARAGQPGIRTSLTAPRWGFYEVLNKGQHFQLP KGFGTWVIENSVFKVLTAEGHGLTAVEATMAASKELQARGLDPIQDIKSIRVRTQEPA MIIINKKGPLNNPADRDHCLRYMMAVVLLKNGVEVETEDYQDDSPWATDARVEKLRSI ISMEEDVQFTRDYHNPDIRSVGSSMEFLLQDGTTIVTRQDFPLGHPARGDETIPLVRQ KAVRNLALGFSQDQVDGIMATLDQADFESLPASTFIDLFQK PFICI_09705 MSPAVISQTNGANGTNGAKAQLGDVLHIIDSRTGQYHAINIHQN AINASDLKVLKAPKDANHPEYQNDQGIRVYDPGYSNTLVSESKITYIDGLEGTIQYRG YSIDDIIGKKKFVDVSHLLIWGKWPSADEAQTYQQRLNDVPLINETVFNVIRSFPKDG SILGMMIAGLSALQSSDMSAVPAHAAKNLYLGQPKNVDDQIIRVMASLSMITAAAYCH HSDRTFTPPRKDFSYVGNFLLMTGHVEESTGVPNPRYVDAIERLWATVADHEMTCSTA ALLQTASALPDVISSLISALSASYGPLHGGAIEVAYKNIEEIGTVEDVPAKIERVKAG KERLYGYGHRVYRVTDPRFTYISDILDELSDEIEKDPLLRVAFALDRAAAQDEYFISR KLRPNADLFAAFAYKAIGFPANFILPISAVSRTQGFMAHWKEAMEGAPRIWRPGQKYT GNLNQTE PFICI_09706 MTMTAIKGGASLPEARHGRRTPEQHIAYNLLIELLTYQFAFPVR WIDTQKELLASNREVQRVIEIGPANVLANMAKKSAQRLVGEQDVVQSVDREFLNINNV DDARKIYYEYDESSSPSGKDVSLSDTRSPTSAPGPAVKVAAAPIQVTEAAPVAIVPVA VAPETIVDKDLSPTDIILTLVAQKIRKAFDEVPLGETIQALSAGKSTLQNELIGDLAA EFGDLPDGSESTAIDALGEKLASGFSGKLGKSSKRLVERFISSKMPGGFGQTELVTYL ASRWGLGPNSEIAVQCFCVTMEPPSRLSDVSQVHAFLDSAVGRYAKQAGISLPTPSSG GAAQALQNAVVKVDSADLEALKTSQNDILRKQLQVLAKHLGIELNPDATKSSDVTNDL RNKLDSFYAELDEEFLTGVQGIFDVQKERRYSSWWNWVREDAVQMLQKDGTTIPQEQL QALTNRWTSELEDMLRYCAKTGLAKEAAEELLKFKPHAQAAPPVFRYFETAKAPHTSV DGDGSIKYSETDRTHDLKAGTTYFDIVSSTRRGGPCSSYVHCLSRGNTSWQYDAELTK KYLEALFVGNTSGITYAGKTALVTGAGLGSIGIEVVRGLLSGGARVIVTTSRTAASAG SVMSQLYKDVGALGSELVLLPFNAASKNDAQDLVAHIYDSGKGLGADLDFVIPFAAIP EPGSEIDGIGPRSEVAHRAMLTNVLRLMGYIKQQKEKRKYTGRPTTLVLPLSPNHGDF GGDGLYSESKIGLETLFNRYHSERWSDYLSVVGAVIGWTRGTGLMSANNIVAEGIEKL GVMTFSAGEMAFNILALLHPSIVKQSDLGPVYADLSGGLMGFPNLKEEIMAIRGDITG RRRERQAIAAERQMEESVLQGPTVSSAKTQQKSGQPNKRSNITQGFPKLSTHQTMTSG LHSLVGMVDLSRTTVVVGFSELGPWGSSRTRWQMESQGTLTQDGLTEMAWMMGLVRHH DGLIDGKPYVGWLDAESGKPVQEAEFGPRYGEHIMSHSGIRALEPEGINGFDPSKKEL LHEVVLDHDLPSFDTSETIAQSFKLRHGEKVAIFPAASNSENWTVIVKSGATFLVPKA TSGHNSVAAQLPKGWNAATYGIPEDIIAQTDPMTLYTLCCVCEAMFSAGIEDPFELYK YIHVSELLNCIGTGAGSLQSMGDMYRRRYRDEPVQGDILQETFLNSMAAWTNMLLFGA TGPIKTPTGTCATSVESLDNACEGIRSRRVKVALVGGTDDLREEVSHEFSNMKATMMG DAELAKGFLPSQTSRPTASSRAGFVESGGCGVQIVMSAELALEMGLPIYAVVAYTQMA GDSIGRSVPAPGKGVLTAARELPLAIQSPLLDTKYRRSRLEHEIATIEHWRLSQLAGT SLTGGDQDSHGHTQMIESASRCCKLDAQWMWNGDIRQLDPSISPMRAALAVWGLTIDD IGVASFHGTSTKANDKNESAVINQQMTHLGRTLGNPLLVICQKYLTGHPKGAAGAWML NGCMQVLESGLVPGNRNADDVDSALRSFPHLLYPSQALERPGIKAFMLTSFGFGQKGG IVIGVTPRALYAALPAGTYGTYHERVEKRRRRADRAFQLAMMTNTVFKAKDQSAWTEA GESAEDFFLDPAARLR PFICI_09707 MAALPPSSPHREVEQQQQQPPPQADPDSDAPSVTRATGGRRKRA RSNNEDKDTPACDQCRLRKIRCDRRQPECSNCRKSGVECSSSSTLKRINHTKLLRDDF SFVLQRLDQVDQTLATLTEFTRQLAARPCSHVANVAQPHDLVPLPSPEPINVDQPDTP VSVGPLASKEPAFETVELDDGGERVYGYPAPLVLIKSLLRQTGSPLVESDEHGENHGS GRESYIAYALQDPRVRATLQKKLDEFPFQSRRPESTVTSDLNPITTPPRLMVNLFVDG YLKHINSRTPIFDDSELHRAIEAHYGDEQPQEGSAMALIINNIVLLEFGMELQAARAS HSNSRGMNDDILPSFLKNCDRAIANLDAFMEPSLVNVQALMTLTLAAREFYSLVIAQR VCHAACQAGRALGLYRSKARPRGEGYQESEDSDRIRRRLFQVLYTMDKQRVFVTGLPC DLHMFDSDHSPFDDPLGQLMTIWEEIYMNLYTSRASKASVETRARQMRQLHGSLNRFS QKHTRLLFSPPNTTSDVDLAKIELVYAFQVSQILVLRCERGDENSQEKLRDLSRASLK LILEVSKSPLDPSRLALLSNLIGSYPMIAFFELVSFRLAELFKKGEHDAAAKADVLLL RATCDHLDMPEHNKKFSHIFYHRMRLGLGWALDVLQVLGEALTSLPASRDSRNSTSSS ACNSKNPPSPVVPELLNGCPLRLPKVYQGQSGLSPSHSNETSFPTAGLAELAGFGFFT PPDTDPMDLSSRPLTAACEFPPPVSSSSMIPDQSELTSDFLTGNTEWNNFNMDFFQGV FGPGTRWE PFICI_09708 MKSFNTGPHPGTIAERFNSIPIKPVSARTHAGHIPGDKSVTSNE AIKALSSTRSLYIPNGHQRLSSGVLGHRDYTNFRSQYLRAHTPIRFLPRGIISFNYWI PDIAVIDDEFGKPVESRSLEACPCGRDSIDTAVFARVFVPQGDIRILPALPASSRAIN WPLEYYVTSLLRGGKGLSDPSAAATYLTALERAINWFDRSNGVTEAAEFQNNMALFYE GYHATSHTSLLQLLNSTDIEEFGELLPALRLPMRFAKMIEFLCDKYPDLFASAPTVAM SLINQGLEGLSKRDSVSFAEDIKVAITKKQCTTGIFSKVYALSAHNSTIGRLCRALQY SSLIVGFTHTEAVPLHHHDTIYPSGFTGFLTDTDLFEKLQHQDPMTVALFTLQHLRSV GLLAVRLGYKFPSRELVESRLDAHSLDAHSVSRIRVDRWRQVMMTEQQKKETRQQQKR TERQMRMDILLQTLLCNQIASLAQSAAFTDEGISTTSRQFLWIGTVAYVRAKLAVALI IIAASIPLALYFWLSIAYAAVDTFSNYASLALLLTGAILGVGPSVYRKDWAYHDFIRF RMPARNLSELGKRGAEYFFKRQSEGTPISGRYASPYPTESSEGTGLVADVPTQLSSMH DLGPPELGATNLGAYIIWWPRTREAGSDGTVIFARYTPGVVSQMIRGKLPDINEVSSV AHKAASNFQHDIYFG PFICI_09709 MPIPSSSNPQEPQALGPRNMRPAAAAAGAQTSEEAAGRGADQPT GAGVNTGAGTTREKTEAEKEADRLYEEAMEDEYAKREGGA PFICI_09710 MAPPKFMGLSGRPLSLAVSTVATTGFLLFGYDQGVMSGIISAKP FNDLFEATRDNSTMQGTVTAIYEIGCLFGAMFMLSCGDLLGRRKGIILGAIIMILGVI IQVTSEAGATPLAQFIVGRVVTGVGNGINTATIPTYQAECSKTTNRGLLICIEGGVIA FGTLIAYWIDYGASFGNADLSWRFPIAFQIVFGLIVMTMFFLPESPRWLLTHERYEDA EKVIAALRGYEQDSQETAWERDIILDSIRASGVAGAKSTPYSALFTNGKTQHFRRMML GASSQLMQQIGGCNAVIYYFPILFENSITPGDHNMALLMGGVNMIVYSIFATASWFLI ERVGRRKLFLYGTIGQCLSMVITFSCLISGTSNSAKGAAVGLFTYIASFGATWLPLPW LYPAEVNPIKTRAKANAVSTCTNWLFNFLIVMVTPIMITNIGWGTYLFFAAINACFLP VIYFFYPETAGRSLEEIDIIFAKGFVENISYVKAAKELPFLNQEEVEREAIKYGLIET AAHGGGLKTVGGGSVHNAPSDKDDESSSPGAGGVLEAGPDSTNEKAGH PFICI_09711 MGSVSRDRAVDPSDISGSVATASDERLRRTKSERVKGEKDRDQS RERTRDRDRERDREHRHRSSHKTKSRSSKMAADGESQTSTSHRRHRTEEEKQRHRERK EEREREREERKKTSSMSDLVPEMSRSSLQSSRVSLPYPSFSKAHSKEDVSAVRNVERE PPTPEPTDLGPKQSRRSKSPNRSGSSASSTRKASVKKNDRPPSPPETEMSEEKRRSRT STPRTRESDDRAADRPDSRTSGMSRSSSRRDDKSKLSTKSKTSSQATYIKSTGRPPPG VQVVDDDDSIVSDDKTAGARSAATSILPKRMDSKRSRRSDSLDDDSPDSVQDSSPKTP TVTAQFPPPPPMFSNEKQPSPLVFVADDRPADRPTPSATPMSDFGPPPPPPPPPPPLN IQEVPRIDYLLQNGGLMQPIPKNFLAAIPRPNGTRPSNPPLAGSDVLFAPFFDLLNKY QTVIHKQGSVAVATGHRSVARRLLDRLEDVFSRDLPEEGCNCVMCERTPDEHHGLGWG EVLERVGGRVEMPSWPPFDLANLSAAAAERLSDLPPRPSSPVKLDPDIAEEFRDHYLR QSKKVRSAVDKWMNTCAEAPAPPPSDVDDETLTFAILTSLETEERPYFNALLAGSREL KPDVRAPTPNVRKPRAEFVVQMGLSLQRLYRLSQPPRDAETAMYLVKNPFHHDVLVTI VDISPQEWEILTSGRFDGFLWSGADDDGITPTAEYPRGATPASGFFSPPASRPGTGMS RHAGGGASRNTTPFSGVYSRGATPASFISLNSTATQGQSRQAVSHDEELEIAVLNEVE REIYQGMEALEDAFEKLHHQAEMVRNALRERNAGLMMRMQQRRAGRIDVLPQSGDSMN GYERPYWAAGEDETSESDWGGDDLDSLAPDDSASNISSSRHRRPKRRTERRTPAPIEE DDEEN PFICI_09712 MANPPHGGILKDLLARDAPRHDELSAEAETLPAITLTERQLCDL ELILNGGFSPLEGFMNEADYNGVVKENRLADGALFSMPITLDLSQETIDEVSLKPGQR VTLRDLRDDRNLAILTVDDIYRPDKQLEAKEVFGGDEEHPAVAYLYKTAGEFYVGGKI EAINRLQHYDFVDLRYTPAEIRLHFDKLGWTKVVAFQTRNPMHRAHRELTVRAARSHH ANVLIHPVVGLTKPGDIDHFTRVRVYKALLPRYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSKGVDFYGPYDAQYAVEKYRDELGIEVVPFQMMT YLPDSDEYAPIDTIPKDVRTANISGTELRSRLRSGRDIPEWFSYPEVVKVLRESHPPR SQQGFTIFLTGYQNSGKDAIARALQVTLNQQGGRSVTLLLGETVRSELSSELGFSRED RSKNIGRIAFVAGELTRSGSAVIAAPIAPYEVDRASAKELVEKFGDFYLVHVATSLEY SEKTDKKGIYKRARDGEIKGFTGVDDPYETPAKPDLVVDAEKQTVRAIVHQIVLLLES RGLLDRL PFICI_09713 MSSGYNGPPLLDLTIDNITPNTNLINAQCKDARLKYLMSRLVTH LHDFARETRLSTEEWMAALNFLVACGQISSDVRHEFILLSDILGLSLLVDSINHPKPP ASTEGSVLGPFHTHDAPTMPNGGAMSTDPEGEPMLCVCTVKDTEGRPIRGVKIDIWET DSSGHYDVQHEGRDETGPSERCVMESDEQGVFWFKAIKPVSYPIPHDGPVGKLLDKLG RHCWRPAHLHFMFDKPGLDKLITALYLRGDPYETSDAVFGVKQSLIVDLDKVDKETAS KYDAEEGHWLLRHEFVLVTEKETQDLRDRNAVEALEKLGLRMKLVDHLPVPELD PFICI_09714 MSQIVGMAKRLQEAEATIVELKRALEQMSTEPRKPIVTTSDTLS GQISAADAPIASLPGAYVEVPRTTYALGRSATESRSSTTEELLSDISLDASGKVCYHG ATSAVHDPAAVSNRSPNFQSFNEQNSKIDVRTLLTSNAMESRTWEEFAIGNAALQNDM PRDLMSRLLRIHWVTIGPMFMWVYRPAFMRDMMTGGQYYSPLLLNVMCGHAARFNERK IAEMLIARARLLLGAEIHKPSSIPTIQALLQMSARDLAYGQVSQAWTYSGIAFRMAHD MGLRHSSGSIYSLGSLNAEDLEIRRRCFWSCYFWDKAMSLYLGRMPALTELPSIHYPE LLDDFQEHDLWIPDDAPTAGPDDSTPVFPPMKFHIVSSFVNACKLAVIISDIILCLYS GRHGEDVNVASRAIRERLDSWRALTPDHLKIDPNNLPEICPVPHIVSQNLLYYATTIL LHRPFNSSAVHHAACRQAADSAEKLILLQERTHGLPKLSFLSAYCIYTAASVLVQDAK AGEPVASRRINTFLRTLATPAKTCTLMQRSMDIITSSLNSEAATPLPVTKSEQTSDNN PLTRQCLPAFLYQDTHLDYAAGPNVGGMDLDASSLLDCYPEQHMESSSSTGNWYMPPG P PFICI_09715 MADQIPPNQAPVFVAAIPTPTLGTGGSGSVVFSTAIAATPARCL EIVLNPTTYPSWNKWVPRVVVTSASPTSAPSVLPESLAQLATSQQLLVPGTKFCFEVH MDPDSASFRKTDLEVTVLEAFEEQQRGGSDGEHGDSRKGWRVVWKTQGDPWYLRAERV QEFLEDGAGGCLYTNYETFHGPLTFAVKTFVGKQLMAGLTLWMNGLKAAAEATS PFICI_09716 MSQSSSLAAALALFVRFGLAVNNGLARTPQMGWDNWNSFGCDVS EELLQASAKLIVDYGLKDLGYQYVILDDCWSNGRDDNGTLVPDYTKFPNGMAAVADDI HDLGLKFGMYSSAGVYTCGQYAGSLGYEEIDAQTWASWGVDYLKYDNCYNSGQAGTQQ ISHDRYNVMAKALNDTGRPILYSLCNWGEDYPWNWGSTIANSWRISGDVTDYYDKPDD RCPCDGPDAWDCGLPGWHCSLLNIINKASFITSKGIKGAWNDLDMLEVGNGGMTDPEY LTQFSVWSAVKSPLILGNDLRIVQPQDLAIISNAAIIAVNQDPLGSSASRRWFYETDD IDEYGKGSYQVWSGALYSTTGGDYYDVLAVFVNGNNSPLTMNATLLELFADLGSTSAE AYAALSWEVNDLWGNRMSNEEAQAIIDAASGNYTGAAWNGTSTDRYNATAKSYAQGLA EADPLLLGTKTTTVAPSGTVVAEVDRHGVAVFRLRAIPTEAARKREL PFICI_09717 MAKPYSNSEMSELDRADSTTDSLRISTRNDFHYGHAPLSRMRQI ILVAILDASIVSTSLVTISVNLSDFVNSPWVALSYLLAYMGFAVLFAKLSDIFGRKEM LITAWTLFTGFSLGCGLAPNMPSLIVFRAFQGIGGSGLYSLAQTGLFEVGPSDKPSLL GALIGMTLAISFVLGPVLGGTITQFSSWRWIFFINIPFGILALVGLLFAWPRTNTRNF SGLWDSIRGLDVFGNVMIISASSLLVYALQQAGAFNYAWDSPAIIAALSISAISLVSF IGWEIYLGRKRHPFLQPVLPIRIMTQRPFLAALSCSFFAGFEYLAVIIILPERFQIVN GDSSLMAGIHLLPQLGACAFGSFLAGAVSKKRNNSAFTLIAASCLQLIGLSLLSTLSN VHTAIEAQYGYQTIFGLGIGLSFASVTILTSVRAHREDLAVAQGALAQARVLGGAIGI AICMIIVNTTLQNELGDMLGPRDMSALQHNARTAQFFPPDILEKIKSVYAEAFSVDIK MMMGLSVFGLATSLCAFERNPPPMPTQDSHKETAIGVQLEHSQVELNEFARSH PFICI_09718 MEPEDDGIEPIAIVGIGCRFPGTATSPAALWETLANYESAWSEF PKDRMNMDAHYHPDPKRQGSTNVRGAHFLQQNIAAFDAPYFGISPDEAKAMDPQQRIL LEVAIEALDNAGINRNDIRGTETGVFVGSFQIVLRDRDDQPQYSATGTANSILANRIS YFLDIHGPSQTVDTGCSASLAAIHDGCKSLLSRETDAVIAGGSGLILTPNTIFSMQAL GLLSPDGKCFAFDERANGYGRGEGVGVVVLKRLEDAIRDNSCIRAVIRGSRANHDGKT AGLTQPNPKAQLQNIQKLYKRAKLDPKETGFVECHGTGTQAGDVREIQGVHDSLCQGR AANEPLVVGSVKANVGHLEGAAGIAGLIKAILVVEKGIIPKQINYDRPNPAIDFANKN IKVPTSNIPFPRHRRGLRRAGVNSFGFGGSNYHIVIDDAAHYLSERGLRAKNNTTLTN ELASTATAGYFPSQDKPSHLFIFSAHQEDSLRSNLARLLEYVQKPECPTDTQYLQNLA YTFGLCRTPMDWRISFTAASMDEFKVQLATAIKAKLIPPPVGRKASKVAFVFGGQGSQ SHCMARELMGYDMYAQSIAAASKFMREKLGSKFNLVEELLLNEENSRIDTPAIAQPAT TALQVALVDLLIKYCGVVPESVVGHSSGEIAAAYACGYITRETAWELAYHRGVCAASL IQLADEKRARPGRMMVVGLSEADAGRYVGRLGRRRVTIACINSPKSVTLSGDADAILE AKEMLDEAGAMTRLLDVHVAYHSHHVWGCAEEYTQSIAHLRPGNFHRTSTTMFSSALN RPVQWNELTPEYWVNNLKSPVQFFGAAQAMLSGDDHPRPDVVLEISPQPRLWSHVTEI FKAEETFRRQPLARYSMTDRNQDPAITAIKTLGQLWTHHCPVNMHWVITPNVGSELPS LVVDLPNYSWNHSITYWHESASSKENRLASSGRRDLIGRRITEDKTFMPAWRGFLRLN ESPWIRQHRVQNTTIYPASGMIVMVLEAVKQIYEDDPLEGIEISDFKFVKPMLIPESA GELEYILQMTIHHGYKGSLIDGEQTKYNFSILSLLQDRDMQLHSHGTVSLYHWRGGPG PNLLPDRKDKKQLMPTLQEYHKTRKNCNEWVVPQHLYESLHVIGMEYGPLFQNIASLD RNDKECVFEIRIPDTRSSMPAKFELPHTLHPATLDSIFQTAFSLRSEAMVPSYIGSIY ISLSSNLPCAAGQELIGFAKAMSQDAQKASVSIVASDDRWKACPSESFGGALIVVQDM ELVALGPDSKSNSAAAGFIPNHQNLCSEIKWEPLHDHAASKCEIPIKHEENESIFVLV PEVMDANLARLAVELCQTLDCKSITLSQIDKNKYCPEFSISLLEAPRSQHFIWDWSKD EFTAFHTLITLTRGVLWLTQGTTSNPTNPKSSLFQALARSIRSEDPTKNLVTLDLDIG TNLNAEQTVSLITGLAKTSFYEPNRSESAETDYAEKNGELTVPRLVPLASLNSMMTES TAEHLEPTLESLMPHENRPLKLQVRGNGNLKTLYWIDDESATQQLDDNSVAIKVVSAG LTLLDVDSIVARSRLDNLGTDVFGIIKAVGAQVQNLAVGQTVVGIARGSLRSQVRCHQ SLVVRVGIDPLLVVLPTSLAVADYTLRSLAQLNDRMSILIHAGTSSFGLEAFKLASQI GARIFVIVAGRTQRAFLMTQIGPHNAMILEEKRDDLMACITSLTAGVGVNVIFDPTAS HADLNMQCVANCGHIICIARNAMTTGSLPLLDNKTFNTSFVNIASLIENAPQQLGESF RKQLTDGHHLHECHLHHSTDLSRAFKDMMSDEHTGHDFCMHTRTTGKILPVVARADQH LKYHLQSDVTYVIAGVGGLGRVIAEMLALNGAKYIALLSRSGVASKYLSDSVSYLKDR GVTVQVFKADICDEESLKHAVDSIKTTMPPVRGLFQCAAVLRDAPFEKMTYENWQAAI RPKTIGSWNLYKLFPQTMDFMIFLSSISGIIGNRCQSNYAAGNAFQDALAKHITAHGH MRSVSLDLGPILGAGMVAEDQHMLDMLKASGFLGIRLHYFLRIMERAIIGFAAVNDPL PSQIVVGAGTGGHIRQNRPTDPYWSRTALFSHLNKLGVPAAEEAAASATQEPTTATRL LLAKAKSSADARQVIQDGLCAKIAAIMAIGAEEVDTGKSPADYGVDSFMRPVIRTWLL QECGASISVLEIEGEKSVAGLAALAAERSSLLVF PFICI_09719 MRTSSALAATAAVCAAGLTTAQRVVPVGIKRSEHRLPVPPTLNK RNTYTQSLNNNITGAGYYAAVAVGTPPQNLSLVLDTGSSDIWLLSTDADLCEDTYDQR IYGYCLPTYEPDDSSTYKLVDRNGFDISYVDGTGAQGDYIKDDFYVGGASINQLQMGL ATESTIPVGIMGVGFAANEAVYEEDRYPNIMDVFISQDLIGSRAYSLYLNDYGSSTGS ILFGGIDTEKFIGELTSIDLVTEQGYDEIYSFTVPLNALSMSINGAQNDSIALSDPVP VILDSGTTLTYVPSTVVSDIYSAVGAKYASNYGISYIDCKYMTSDLEFGFQFGGSDGP TIVVPADEVVFDTVDTLEEAGYDTPRGLGFDSDDACLFGILPNDEYYLLGDTFLRSAY VLYDLDNYRIAIAQANLNSTTSNVIEITNSSTSLPDVTGVASQVQVTQTATGLPGVGG GGLPTVTVTGTASASTSGSAGARAVPAPDWMAAVIAAVTGLGVLAGGMIFAL PFICI_09720 MVFNIRRRADQGEITPYIVAYDAETTGIETLNTLKYWEQHHKLD PNLPLEDLNEIDGVLAEGNCEKGVEVEAALMEDNSPYPEVRATVRNFDVDVPANTIRA WVIGLLLCTFGSAINMLFSLRNPNVVITTWVIQLIAYPIGLGWDLIFPDHEFKVFGCR FNLRPGKFNFKEHVIIVCMSNAAYGGGTLYATDALLAQQIYYGQNFGWAFQLLFGITT LCTGYGLAGLARRFLVWPAAMIWPADLVNASLFYTLHDHSPSDPERTNGWSIGRYKYF LIVMTCSFVWYWFPGWIFQGLSYFTFICWAAPNNVVVNKIFGGLEGYGLLPITLDWTV LAGYVGSPLIPPFHALANTIAGVLVFFVCVSMGIHFSGFWYADYFPVQSSNAFDNTGA VYDVTRILNQDMMFDEEKYEAYSPLYLSTQFAICYGLAFAAVGAIIVHVALYHGREIK EQFKLARHQEDDVHMRLMKKYRDAEDWWYAALFVIMIALSFVVCCAWPTGFPWWAYIV CMIIPIIWTIPIGIIQAITNIQLGLNVLTEYVVGYMLPGRPVAMMMFKNYGYLAMSQA LYFAQDLKLGHYMKVPPRVMFFSQLIASIWSAIVQIAVMNWALGSISDVCSDDQPNSY TCPGGKVYFTASIIWGAIGPARMFSRGALYSSLQWFWLVGVIAPIITWFFARRNPKGI FRYVNMPLFFGGSGLIPPATNYIYLCWGVCGLVFNYFIKRRWTGWWMQYNYITSAALD CGLIVATIVIFFTLYLTEATPPLWYGNTAVYETLDQTGMAIKTFVAPGQKIGPSTWP PFICI_09721 METGALELQEGECYVGLTHDLLNVQNVMDRVRSPQAGAIVIFAG SGTTRDNFGGKPVKELQYSAYSALALRTMLSLCQNICTKHGLKGIAMVHRLGTVPIGE ESILIAVSSPHRQAAWRAGEEALEECKARVEVWKREEFEGEEGVWRANRDGTQGVKIP ASEPTIPDSEKP PFICI_09722 MYDERDHRAHDRDERDRFQRRSPGSRDNQYRSRHDSSRSPRRSR GEDSYRADRQGYTHSGYSDRNTGGWSDRDREQSKYDSGHGNGRYARQGSPSYGREARS PDRGRERSYRARYDQRDHGRYSPRDHSRSRSPDDGYYNDGGYRSGPGRPYHTVKLDDI PDYMSTQEIEDALHDAGAKGLTDLRVKADDRSNDRRCYAFAEFNSEDASVAFLEQHYP AVELTCADGARIRAPIAYSRERRQAPKVDDWQCTMCHFENFSRRATCKQCKAPRPSED AAEMQLDGNSDECPQQTASQFLVVRNLPPSISETVFANGIKKLYVDKEEEPKQPAGAP VKLKSTAPVGNTSGLGAKPGSLSRVFLIRDRYTDATCRYGFAEFAHIDDARAAMAKFN ASPQFTIGSKPVTVAYIHSGVFIPFLKPVITEQDTKFSFSASHNPSLRLSYWNPTVYA SESTVFNEDLYEEKPSQRSATEPNTAGKDSKKRKADKELAAPGGKRAVAMAPQLAKWA NKHAELYGGRPRESADKTDADDGKTPATGSNSLGGHITMPSTSATPTMTVSYADIDRM CCLLCRRKFVSEPSLRRHEQHSDLHKKNLEDESLIQKATEDLKAVGKEPISSYRDRAK ERRMAHNQPNKPKHPQARKHNHVPKETESKEATTSKPALSKGAGLLAKMGWNTGSGLG AEGDGRTNIIETMAYTPGVGLGAEGGKIGDAAEEAARATKNDYADFVAKAKDKARQRY ENMG PFICI_09723 MGGDFALFLLLAVIALRFYARFTAKPNNWFGALGLDDALVGLSW VVLLLTQIFIQVAAGYGNGKHYDALSRYDQVEAMKWNTMIDAVIIWAFSLPKLAIVAL LRRILNYGLHTSVLLWGLTFVGQALIFSMSVFLFIQCSPTEKNWDKSIEGICLPDSTM IGIEYFVSSYSAFLDLFLAIFPAPFIMRLNMPLRTRLAVSGALGLGVFASIIQGYKLS IMGASFKYTDEDPTYPLPFLNTFGITEACLLLIAGSLPALGPLIRRAKDHVERITSSG GWSVTEIGGYLSSRSKRSQLEKDSTAATHDERPLTRKGSTFHHTTNNNSPTPLTFHGY DIHAPTTRPHGRKASEGSTDDQRTLTLDDDIESRAGLDHDGGGSRSPSTGSGSGSATN MTRLSPPPMPLAPLHTASHHGDAEGQAPFSEKYDDMRAPGRANAVSDKLKGAWQGLRQ DGGNVVLACVWKHG PFICI_09724 MTVHVLSSGWNRYTFSDLLAEHARFVPSDSKWIKGLLAVPFVLY SQPTGVFETNSVSFTQMSEEAHRRYAEIMRDVEVMLDDHIEHQRDNRSLPSKLKLLVP TIGVFFTRLPLEAAFKYQDRKRFISSRRFVSPSFNDVRLVLNSAQIMAVTTYGTLQLA TFDGDVTLYDDGESLVPTSPVIPRMIDLMRKNVKIGIVTAAGYTTADRYYDRLHGLLD AIATSRELTPQQRQNIVIMGGEANYLFEFHAESEHLLRPVPRERWLTAEMAAWSDKSI EALLDVAEAALRDCIKTMALPATLMRKDRAVGIIPTDPSIRIPRESLEETVLVVQKIL ELSVGGRDFDNKVVPFCAFNGGRDVFVDIGDKSWGVNVCQKWFGGGDDAAPIRGENTL HVGDQFLSAGSNDFKARSVGTTAWIASPAETVELLDELADLMGKKIS PFICI_09725 MANQRSPATKPTKEDRGKREPDDDEDDDDDSDGDGPVSLMSLMD AAQRAQDREANKLFDFSDEDDEDDADDADDADAGGGQSNTAEEPTSAPQPTMTEDVAA SKRNSDMPALGEGQAEIVEKKKKKVTFADKAINDVTANDDSDASSSSLNNPDAKKRPK MVLHLSISNGERMPEKREEPINADDARDIPSEDPRWPPVIKDVLNLMALDDAQISRGI RRAYQHQHVGTTAAERMSVMPPGQNDRYDIHEKYFVFTHEYMHRHHRGSKRFRVRLHH LSGTQVMMMAKYEYSVGAPTYFTDEDILIKDIIAFPNIDLSENVESKDGVKLLRLSPE QIVEIDDSLYYMGPERRKSLSVADINEAHSAMVSLVRKGLPNDVRDNIIKNIRACNPQ DTVSATTAWKGWKKMWIGKEMVALTLIQYEIIRCFSFGHEPPIVKDAIKRFNLEFYRQ CQFFFSDLMPIDLTAYGGHGTAHNNELVTLPARATKLDKFMNAEKNGQSSLTSSPNNG GGNNKDGDDADDEGQTMVDNALPSSKTTTVKKQVVEGTLHGHNCDGYAAINDKIDNRF GRFEEMLKNQRSMPGNQLPDDEDQGREDRRRIAQLEAQLEVANKSHEAQLEAANKNHE AQLEAANKNHMAQLETVNKNLEDERKRAEIGERERNKLKTELANERQQSNRYYRIDKR YLKTASAGIAALVTWKYTPMIARAGARWSAPRLERLGQWLAETAVDGVDHQNVDA PFICI_09726 MADRPKDDGGQPSSVRGKNDNHRSKVLSRKGRNSTTRLMEMDLD YGLSSSSDDDDLPQKDGRPVTHLVLSAGERYTTKEEEVIGKDVYFTTDYDPRWSDNMR KVLNFLTQHPNHLLAEGIRRVYKYQHLTKDHKDKTRYMPIGEELPPVDQRERPLVFTH ANMKTHAIGSAFRRLRIHHLSGEQCLFMAQYEFLTMAPSLFVDEGIFIKDVIVTPDID QASGAELRNGVRIFHLSPGQKARVEASMYDATPDNAWNMNFFRSTMVSLIRSGLPAAV RENVELNLRACDPSKEVSETTAWKGWEQRWPDGETMVPLTLTEYEIMRCFLLGREHAK VTSAVENFGLQCYRQSLIMFIGWQPIKLNGDGHGETIANGEVVLPGQAKSSFLMGSTP QNALEISSDDENTAPFPKKGRSIIKVGPAASVADLEAKVKKAEENLAAKTQELKTAID ERNLLQQTIDGERRRSSQYFRIKKSHVKTLSAGVAALVAWKYTPKLMHMGARWSAPRL QQLGRYLANVTNEPAYQLGNATTEVVHQLANVTNEPDYQLGNATTEVIHQLGNVTNEP AYQLGNATTEVVHQLANVTNEPEYQLDNATAELFDDLGDA PFICI_09727 MCGNSVGLDLQQCTRCGEVRSASHFTAKDNPELKTKKCLRCRCT PDYCCGNLTNAKKFCCNSSPATIRLLQYFWQMMLLSMTMCGVLPSGTSLAAIGRRLSE IVFTSSSICSFGSSSVVHSLLVAIAVIVSYFTFIVLIFTDCLGYLRGPQRGRMRIHIA RKKSTRERRQLCTTTTCKRREIYGRLSWLFGTIASRLLAANRHWLTFSSSRSSVDIAP ASSSSLAPVIATSSPSLTPQRLVSSCQPEYHAWLGSLHDAYSDTPSGAHRSPASHVVQ IFVRADERTLAVLVNVDDSFASLVEKIAARLGQSLEFSYLAYHGKVLRSQFVLRDYNI QDGSTLEFLPRLLSGAPGKTKGKRTATDANFRDVPDDDDSCDEYVPSDQDVSDSDLEI ADDDEFQPLRESEVFDAVGDEEDDQLESQRGKEIESLFYRAEKLGLQPDPRKLRKNKQ RMRPPRSKPREDPIMKIPIDRLKQDLNDRLYNLLLVVDKKFSNFDDIVDDNPVQFRTQ IVNHLLGHHTQSTNADEMIHVFSAYTGRALRLDASPVSSSLESIYHYAVAPSGHIGYH VAANTVLVESFLNFTKRNMSIMTLPLAAEIMRTWDRPLHWDAKRVRLQWLVNAAANVA ILQEKYQCHSKHVQRHKQWVNTIRRNRDPALMLEAMRTGRSTSTDAELERFRSTLTNW DGVFSGHYWTRKGDDDLSISTVKETLLKIAASHGLSKKEFLACCTISDGNDWVFFPFT PLTANMAIAMGWDWKMLRRWSTHRFFRIKHCNYWAEAFGIAEIGLSPVKILYSCAHIT FERIATKKEQFCLDGRDLSDNLVLNELACEAIVDRFNIPIVPWQKHAFAASLCKKFDH GVEMRTGFGDIEVDDPIFDPTNWNSAAEKCTVTIDTMMINMGMVDFHPETWKTLPLVL RDVPWQHPLWDIDDSLGNQVWGLSETVCGAFPPHREYDTSILLPIEAWSQGGIAIDLR CRFSANDPCFAMHQAVFEGLQRQATSTTTVYKSIHKMIKI PFICI_09728 MPDQRTTKRLVILVDGAEWRQGEEAHHNPSSISRLHSLVTDGPT DGSSDEGVLQVKKYYSCIGKAPSLADKFKSKVPGDDTDDKIKLITRDICSVLESPEDE VYLFGSGHGSFVARAVAGVMHHMGLPKRESLNRFDDLFDCTCALQKAWAEDDSRNGPR LMSTLQAHTLGAPRIRFMGLLDSLKTTGTTTVYDTSYVSSIAHLRVALALNENRSSKA AQLLEIGKSMDMTAHSFVQAWFIGSVDDMCGGVLHDGLSLYPLQWMLIEAMRTGLYIS GSGNQGAKGQDPMALVFPQYAGSLPSLDGSEDILWSFKYVNGIQVSMFDLQTVHAIPT TSEGDSHSLRLDHECYSHTPNRKIFGSSGLKGWNSTGMFWSHLL PFICI_09729 MNAEAIRSRIVATLSPETNVRRAAELELKQAESHAGFCDVLLDI LANETEDAVRLSSVIYLKNRTNRAWAKSDHWPDEPVLAEDEKQRFRDRILPILAASHG PVRQQLLQMIQRILHFDFPGKWPSFLDVTLQLLHADTAPAVLAGLQCLLVTCRAYRFK GNQDPSKNEFEKIVEASFPRLLQVCNELVGQDSEEAGEMLHIALKAYKHATWLELPSF LRQRDVNIGWCTVFLHTISKAAPPAAMSDDLDERERHHWWKAKKWAYFNLNRLWIRHG NPTAVSTQSEELKAFAKDFTNTIAPEIMKHYLQEVEKWVAKTAWLSKPCLSYTLVFLD ECCRPKEMWAHLKPHLTNLVTHLVFPVLCLTSEDVEKFEDEPEEYLHRKLSFYEEVSS PDVAATNFLVTLTKVRRKQTFELLQFINSVVNEYEAAAADAKNHIAKEGALRMIGTLA PVLLGKKSPIADQVEYFLVRYVFPDFKSTQGYLRARACDTIEKFEQLNFKDQNNLLII YRHILDCMADTDLPVRVTAALALQPLIRHDTIRISMQQSIPTIMQQLLKLANECDIDA LANVMEDFVEVFAAELTPFAVALSEQLRDTYLRIVRELLDKNAAKGDDDEYGDYLDDK SITALGVLQTIGTLILTLESTPDVLLHIEAVLMPVIEITLENKLYDLYNEVFEIIDSC TFAAKSISPTMWQAFELIHRTFKSGAELYLEDMLPALDNFVQYGAPHLAQKPEYVNAL FGMVQDLFDDPKVGGVDRICACKLAEAIMLSLRGHIDQCVSGFISMAMAVLNGHEIKV KSYKIHLMEMIINAIYYNPVLALHVLESNGWTNKFFSLWFGNMDAFSRVHDKKLCIVA IVALLQLNPDQIPQSVQTGWPRLLQGVTILFRTLPSAMKNRDDALKDDYTYDAGSYDY DDEDEWAEEDTNWQLEEKPEEEPQEGRDESTAYLEFLNEEAAKFSRLENQYRDAEDSD DDLGEDSVLLESPLDKLEPYQLFRLALLKLQQEQPQLYGTLTAPLTADDQAVIQSAVH QAEVNEQAAIQLAAQAAQNPQGLPANGGVN PFICI_09730 MAARPPRASQPPPPASNRQNEYFVPRDGIDREVITADVCRYLGN DALVRPGVYESPQTGQVVQGYYITAYRNLTTAMIEDLKADSARWDQERRQQTSRGPVG GTHASRDSPGVFIQKSNSPVVQYRNSDTHQSRQYYGPTEAGGQYQDNSRDSYDSIPRY PGTGSAGYTGASGYQAPSYGGNQGGGYGQPGYTTSQQQQQQQQQQFSPGPMDVSYSGS APIAQAGFSQPTADRPYQQMGANMAARGSDTVMYGSDNAYPSGPRGVPVTTIAQQGRP TYVTAGAPGNVPGFPPTGTAGGYYQQAPAASSQFVPSDSRDPFYGRASPAGNPSYGTS HDSQYDTNPAPRTSAPPSSTQMATSGSSRHVSRDSVERSDRHRDSRHPHRRQ PFICI_09731 MPASSPAFRHSSPAYDGSRLRKSQFTHRQLALLASYSTTSPLRV IAHIDLDAFYAQCEMIRLGTPEDQPLAVQQWQGLIAINYPARKFGIGRHCTLTEAKKM CPQLIAQHVATWKEGDEKWAYHEDAAAHIGTHKVSLDPYRLQSRKILAVIKGFLPANK QKVEKASIDEVFVDLSAQVHSILLERFPELMNPPPYDDPSERLPLPPVTALDWQADAL VDLDDVEAEADDPDWDDVAILIGSEIVRDIRAQVRRELGYTCSAGIASNKMLSKLGSG HKKPNQQTVIRNRAVQHFLSDMKFTKIRNLGGKLGEQVVSTFDTESIKDLLAVPVEQL KSRLGDDTGIWLYNTIRGIDTSDVNSRTQIKSMLSAKSFRPSINNIDQATKWLRIFAA DIFSRLVEEGVLENKRRPKTINLHHRHGGQTRSRSSAIPQGKRLDERTLFDLAKHLMH QITLEGRVWPCANLSLSVGGFEDGVTGNMGIGAFLVKGEEAKELNTNSRESTMDAIER PEKRRRVEAPNIQSFFRKTSSPAEVGAQEDADASGGSHLLRPNLSHDLHARNEGMETP ETPSKEEPERRQMPITGYMCSRCNASLESEEHLQSHNDWHMATDLQEEEERGRSGFVR QQLAPRIQKQKKNSSASTSKKHSRRDNNKPEQGQSKLNFG PFICI_09732 MSAADSVRASSRWATRSIPIVLAAAVGYATYVTIYRVCVRYLIR EQRNVGPAIAILVFYFLTLLLMSAAYFRTIHMINTDVPLVPLGPLAVERRAQANEKSQ KAASSRDGDLESQPYYAATDSNPNSPGLEQFYTKTAFVCENDGRPKWCSECCNWKPDR AHHSSEINRCVIRMDHYCPWVGGMVAENSFKFFTQFCMYTACYCGVVLGAAGSTLKKK INEGAVLDPHLVAILAIGGFFGLFTFLMTTTSWRYIATNMTNVDMIGARKKVYQVAVR IPRGAERPEKYHTVTYPLPMVENSCDGAANGAASSPRQPQRDDLANRTFAILKTEPGE NPWDLGLWRNWQEIMGSNILDWFLPIRRSPCVNHDNDESFYRMDKMLKQLRERYGIDG ASADETDRMELREIQRNIT PFICI_09733 MAEPRKYFLEPTALIPNSPRPLLHYSGFLSREVEQSPNAAAVSC HKLFEQNGWTTQWIFRYGPTQRSHYHSSAHECMVVLTGHARIRFGVADTTDNLEENTH GAAREDGGVEVDAKAGDVFIIPAGVAHKTYNTQPEAEFALLTPGKGHAIESEDKCRAL SETELSGFTMMGAYPNGARDWDHPVGGEHIGRYEEVWRTSKPAKDPILADSHSGLLGL WT PFICI_09734 MKGDRALTSLRSASTMAAVGSPQMTTRRPLAPLPTSMLLRSLMI AGISSKKYLLLPSLKILSFLSSPNRGFLLNADKNPLIHAILKKTFYEQFCAGVDALET KRCVRGLKDLGFKGIILTYARETVFDHSTNSVDVQGVESKKLAGEAEPDFCSHIEDWR VGTAETVDLIDDGDFLAVKLTGAGPLVTKAFHEGRAPPKQFMDAIREICASCKSRSIR VIIDAESQHFQKGISAAALDLMREFNREGTAVVYNTYQAYLKQTSANIAKHLEAASQD RFTLGLKLVRGAYILSDNRSLIHDTKQDTDDAYNNIATGALKKQLGEFGAEGGKAFPS VNLFLASHNKESVLNAHRLHQERMKNGLPTIPVGFGQLHGMSDAVSFTLLAEKDENQT SPDVFKCSTWGSMGECLAYLLRRAVENRDAVMRTSDEYTALKSEVWRRLRSAFRVSS PFICI_09735 MASTFNLEGFPNPVEVTLPEKFTSQELLGFEAFNNWTSSLKHNL ALQQDPKHAFHKYPYRLTKIEVQSFDKFGPTKIGFVKLKATVISDNEDAPELPGIAFL RGGSVAVLMILRPTDSMDEKWVVMTEQPRIPACSLSFREIPAGMLEKGKSLRGNAAAE IEEETGFVLPEDQLIDLTKLALQQAETQETLQPAIYPSPGGSDEYIPIFLWEKELDRQ EIEDLRGRLTGLRTQGEMITLRVVRYEELWRTGARDAKTLAAWALYEGLNRAGVIQKE IKRRQGMFNTSNDIIP PFICI_09736 MLSRLVIQGPEDPGFGTPKSEMTDSDEVFNLALQWLKKCKCAEH APDKNWYPTRLLDIRPLKQDLQPDRKPDRKRDRKRDQASTMEAKPSLSKQDSSLDKTT ISLVAKKNWKGGKPGSLRNDRYVTLSHCWGPPQHHGPKLTSKNIADFEAGIEIRKLPK TFRDAIRFAARLPQVGFIWIDSLCIKQGEEEDWLTESALMNQVYSKSHLNISATAAGD SEGGLYFGRSPENLLEDEISLNIDGIPVPWDQVVDVDARDKSTQATQSRPTSPGNLRR CVILDTSFWTQRVDWAPVNRRAWVLQERLLCPRVLHFCRDQVAWECAEFDAAEARPLG IPNYQLTGEGLLQESQFKGLDPDRNGRALRAGRLRGLPDPVAHLQPQIHAFELWKRVV EVYSRTELTVARDKLIALSGIARMMPSRIGSKEKPATYVAGLWRPHLESQLLWKVEPV YNPHDETFEHYGRRPLDYRAPTFSWAAIDVEQGNGITYGEVTDKEIYISIEEASVKPK SKDDLFGMVEESCLTVWGRLRPITLTKKEKGRFCWTIKDDKDLVEEKHTNIYLDSVQD TQFDTDEFYCLPTAKGGYLGTGDSTYIFCLLLRVKKKEDAVFERIGFTKLSSWADKKT WNQIGQDVSRDVDFAHPDNGLPGGWQRFYLE PFICI_09737 MDSPKEKGEVIGKPLTESQTRGESIFVGNVIESDDQLKRHLGNR QIQLIAIGGSIGTATFVSISNGLVAGGPGSLLLAYTIYSCAMILVNNCMAEMAVFQPV TGAFIRMAGKWVDESLGFMVGWNFFLYEAFLIPFEISALNLVLSYWRDDIPVAAVVAA CIVCYFAINMFAVEWYGETEFWLTTGKISLLAIVFSFTFVTMVGGNPKHDAYGFRYWK DPGAFAEYITTGDLGRFEGFLGAMWSAAFTIVGPEYVAMVAGETKLPRTYLKTAFKTA YARFAFFFIGSALCVGIVVPYNDSTLLSILSGSSEGSGTAAASPYVIAMGNLGIGVLP HITNALLVTSIFSAGNAYTYCGSRSLYGLALDGQAPKFLRKCTKSGVPVYCLATIMVF PCLAFLNLSNSGAEVLSWFVNLITAAQIIDYIVICITYIFFYRACRAQGLDRKTLPYC GIFQPYSAWIGLVFLTAVVCTYGYSVFLPGKWAVKTFFTYYMMVLICPVFYFGWKLVH KTRVVRPEEADLVWIGPVIDAYEATHDEENLGFRTEIMQIFGLRKKKVSTEAESS PFICI_09738 MTTLCILGCGNLGTAILSNLLQSASEEGSTTPVKRFIACVRSDR SEKRLAGQFSASQHLVEISRNDNVKAVANSDVILLGVDPADVKDTLRQPGLSDVLKGK LLISIVAAWTTADIQREIAHGSAGSGPVGTPVEDVHVVRTLPNMAATVSQSITAIEIP KPEAKIPPQLLSLTDDIMSSIGKTVHLDASKMDAFTAVGGSTPAFFAVIVDTLIDAAV AVGLPRHEATNIIVQSMLGSATLLQSGMHPGELRDQGTSPEGCTMGGLMVLEERGVRG GLSRALREAVTVARLMGKEPHVNDTRRSSNP PFICI_09739 MRRTLQSRPFKALQSRNALQSTVAKRGKATVPFRLPAARNEPNP EYRKGSPERQKLEEALTKLRSQLPLKSEIFINGQVQAQSHSLGQPLPGEHATTFTSDP QTTKEQVSKAIESALKAKKSWEQTPFVDRAAIFLKAAELVAGKYRYELIAATMLGQGK NIWQGEVDAAAELADFFRLNCNYGAEILERQPDRGSDGMWSRLDYRPLEGFVYAISPF NFTAIGGNLISGPALMGNVVLWKPSASNVYASTLVYKILLEAGLPADVIQFVPGDAEE ITSTVLAHREFAGLNFVGSSDVFRSLYAKIGEGIGSKLYRDFPRVVGETSGKNFTLIH PSADIPSAVNHTVRGSFEYQGQKCSATSRLYLPESRAKEFLDGLQSKVKEITIGSPDK DLSAFMGPVIHSRSFEKIKSIIDASNNDPSLKLLAGGKYDDSTGFYVEPTVYVADSPT HRLFDEEIFGPVLTIYVYPDNQWTPMLDQVDKSGGGFALTGAIFANDRGALREAEDTL RYSAGNLYLNCKTTAALIGQQSFGGSRASGTNDKAGSSNVMLRFTSPRLIKEEFFGQS GFLYPSNE PFICI_09740 MAQESKIPTFQSPSSSNLQWCFLADAVAAVTAAASITPIITATD RSVVESVSQGRPLLRTLGKHLLCPLREPRRFFSTRAVFVVWTLYAVTYFTANTSETLV ERFLDKDKAPTGTIVSAAVFSVNTPLSVWKDVRFAQFFGRPSGGSIASKAVPSGTTAS ACSSTLPNMAAAAATACTKPVPPRGTPVSVSAAFLVRDIITVFGSIGLAPHISAAIPD SVAEGAHAKASVAQLIVPAMTQAVATPAHLLGLDLYNRQGKTGVVDRLDHARKKLFST TIMRAFRLIPAFGIGIVLNKDLRSTLRNHKGVKMPIKQ PFICI_09741 MVISKQDSIIIVGAGAFGLSTALHLSQAGYSNITVFEQDDEVPS AYSAANDLNKIMRAEYEDPWYTELTMEAAEAWKAPLFAPHFHRVGFLHCVSGKAPARA VDTLNRFRAAAERHPILAKHVVSLDSKADIQEASWQLNGSLPGWNGYLNKFDGYTHSG NALRSVHRATRDQGVRFFLGKVSGAVESIVYEQTPKGRKSKGIRTKDGKFHAASLVIV AAGALAAKLVPEAGQRVDAKCWSVAHVRLTDEETSALRGIPVVYARDLGFYFEPDPKT NLLKLCPMGGGYINTDKTTGVSLPPGAPGASTGFLPAEDEAKIRELLRQTIPALADRP LVKKSLCWFADTADSDFIIDYAPDSSSSVVLLSGDSGHGFKMFPIFGKWVAELLASSK GQTNARWKIKDPKPKAGEDWGSGVSWRIGNTTEYRDIKRSTEVAKL PFICI_09742 MRYSCARCRKQKIKCSGLQPCEGCRKRKVACNFDDKDDKVVVTR AYLLDLQRKVATRSPSSPEHPPRTGDNARSNIATPSISGHEDDTLLEEGRDADVTRNN DLDSASRSDGREDRSSDLTNPLADSPSTFMSTLNGKPFYLGTSSNWSFSGRLLQITHE YLHKTPLPAEAILFDGSAYGFGWRGDSITDNADLPAIPSPDYAIYLINAVKFHCGQLF HLFDDEEFHRNLQRFYSNPNQKEKKSDLWYIHFLLILAFGKMFILQKVTGTRPSGSEF FQRAMEILPPTYILCQQPIAATEILCCIALYLQCFDHRQAAYIYISQAMRTAMGYGMH TEMPVAQLGEEYVQRCRKIWWTIYLLDRQMTSLMGLPQCIQDADIQCQMPVYPEASQR TTTLEMHIKLAGVIAGISSIYGADGRLNRKFLVSTKALLESLASLAIELGQVVPLKLD NPGYGVPRMSASLHLLYHQCIVLATRPLIFCCLKMCLEGAVNSKEPTRHRLNSIVQMC MESAQQTIIILESLQAQGLLETFLPMDLDALYVSSVILAIAPVVDDQRLKDHASWTHR SLTLLDTIISAGNLIATWRRSEVQQLDQNMEQILAVGLHAPCSSASVAVADVQMPEAS HTENMAFDQSSLQSQALSMMESGSGEDLTADQIMAIANSIQDEDVEWMERAIAENSIW PFICI_09743 MASAQVGWIGLGSMGIGMSKNLQNKLSAIGAPPLVYTNRTLSRG EPLKALGAVPAETVTEVAQKSSIIFSCVSNDAVLKEVAQEIIASGDISGKVFADCSTV HPDTSVEVSKLISEAGGQFVSAPVFGASPVAEAGKLVFSVAGPAKAIDAISPYLKDVM GRSVINMGEDVAKSALLKIAGNICVVSFMEVISETQVFAEKTGLGTDVAGQMLGEIFG PVIDSYSKRLTTGAYAPAPGGKAGFDVALSIKDARHALNCAKSAGAQLEVSEVALKNM ETAQKLQPGKPLDSSSMYGTIRVASGLDFYTDLCKARDAAK PFICI_09744 MSTAQSDLDPIVEALKEFTTCDISDALIKLKYRNGGFLSGLTMW SPQRQDGDTKIVGPAYTVKYALLSDPAPKASGHYIDAVPRNSVIFVSTPPIPNAVYGG LMSTRAAHLGAAGTVVDGRFRDVAEHRALDYPVFARETGTAPPAELVKVVGVDVPVEL QAPGNAQPGMLIRPGDYLMGDLNGVVVLPRELATEALELMRKGVAADEKMAEAIKNGM SFVEASKKFRG PFICI_09745 MADILVSWSNRMREEMEMDEENEDSQVQAGQSEQTDQADQAGQA EAFDPFQDLSRDSSDDTDDEDNGELVEPLVPMPRWNKRKSIQEQRDEREELDVSRVTW PHLYGKDGDTLVVVDFPQFNAKACNGLPWYGRKEFRVESAILLDTRSAFFEKALSERQ QSRYRRRLKLNDLPSGIKFILDLTPSQEGDEAAALLADLSVPTGARDWWMSKERLGVP TSLVSGHDDVCAYHDSMPDNCIKTEGHIPASQPGSDDDFKFKVTLSLTDCAHCYEREI RDYCEIRHRANIIRLLLCLAGHDLVLNSAPRVYTIVGLAKYFDVTSLVSSHVRAWLEQ HNNSSFIDVHPEIAIDIAWKLQLSDMVRTPLRIIVVERVLEPDATKVNPVTVFGRPRT SLADDISEVVQHATIAARSRTMEAVRNLVSDGSANAYEWLQIPAWRHLVSIRDTMQSE LKEIRIDWDLMEAQKYVAQIDQILNAMNQYIANTVQAALAAMPYPTQVEAINSDRLAY LTQGTSAQQFNNIISTFSDEQFLLMQVFWSRFQNSLFKWEGFKTMYIPDPSHPVPRTL AGIYQDFFWALERPHTAKLFPSLFEFDPEGPKVSLHEIHNQLIIASAEMKQRWCPLPE ELETPLRRSQHLALGMTEDEFGFLPLWAGGLDDGTGAAFTDMAVPDTDMGPIEPGPGY RTGQTVASSTADSDATIIGGGVSLRVAASGSTTNTSFVEVDHEEVLSLSDDEDDEEDF DDYDDDDDSLIML PFICI_09746 MAGRIHRVTMFKLPVPEDQQKLIEAYKTLSKNQQKNGKPYILSL TAGIAEKDQRSQGFTVVSKTEFASMDDMKYYDDECEAHAALKSYAKGTLTIEGGPTGV LTVFFEPRATSTL PFICI_09747 MARLTPRLAIRYLLVTFIAMYGAKRWLSGQPLLSDSLPAYSGPY DVGTVDIEIPAVHPRKFSDAVHKETGEPAFELETVMFSIFYPAEKGVHSKRPKHNWIP ESGKLYAEGYARFAGISTWITNKLFEGGLWSLVGSTTIPAQVDVPIHGASEHGKRDVI REEEGQAVLVGSEQKEAQKEQFPVIVFSHGMASGRTSYTHYLGELASRGYVVAAVEHR DGSGPGTIVYKADGTQKTVFHAPADALDPVPETEELKRVQLALRQAEVEETVRVLRAI NNGDGQDVHRANARKEGDTLVYWRHRLNFDQVIMAGHSYGATLAMQALKGAPNDLRPF VGGIALDPGKSSGPLNANIDVPLLVVHSQSWSSKRSIFFGRPHFDTVKEIVEGIVDKQ HGNKKAGWFMTAKGTTHPTVTDAPLIEPLLLSWTTGATVDVKEGLMQYVQTSKDFLSY LVDGKLSGVLSQRVSHPRYNHETRKLPEDMSKIWQIHVSPVE PFICI_09748 MTKKNSNLEAAVLHIQTHPSANMSRVAREYGVARQTLRSRLDRA KKPAGPGQTGNQRLSPSEERDICEYIDRMFSLNLPVRMELVIYAANEILEQGCPSEHP PRVGQTWVSRFLRRHGYSTNSQKEVEPNRRDTAPVCPVKVNNVQQN PFICI_09749 MELRGIQETEVQSPADQAPHAFDGISAKSSKAKIAAANAVQFLA GMNGNGRLAGAFTNVHISARLGIGGTLVVGSISQILAYALVLWKPPFPLFACSFFFSG LGVAYQDAQSNAFVANLDNAYRWLGILHAIFGVGALVSPLAATAIASRTPHWHYYFII LLGLASANLVVIIWAFWPSLLKVQPSKSTDSPSKELWTALTQRPVWVLSGFFFLYVGA EITAGGYVVEFLISVRNGEPSSVGYVASGFWGGLALGRVVLADITNILGERRMIFVYI AIAIALQLLFWLVPNIIASAVVVSLLGFIIAPFFPVGISVLIKLLPKELHVAAVGLTA TIGQAGSAAFPFMTGAIASAKGVGVLQPIMIALLGGMCVLWFLMPRVSEHPE PFICI_09750 MLSYLALLFSIFSVFMRVYASFSYTSVFTERIATPIASPLIQPT PFGPEPSSAVSKLLPNITYTTYSYQPTATTTDDGTYGNGAYNALWRSVNLTFTSESLP FTTTVSPTPVPSSELYYPPSLGLGPLRGKLPNDFIWGFSGSAWQVEGALYSEGRGPAL PADGTGALPNVEGQDDGVAQTMNYFLYKQDILRLAAMGVPYYTFSISWSRILPFGVSG SPVNQQGLDHYSDLIDFCLENGITPVAELWHFDTPALVNFDDDEMMQHFLYYAQVVMT HYSDRIPIWVTFNEPNIGFEYLFSEYQTINRITMAHAQVYHWYKETLNGTAQVTIKFA NNMAYPLHGPENATDVAAATRYQDMVWKIMCNPIFLGQQIPESMLQTPGMNLTAFTEE QLAYINGTLDFLSIDPYSAQFATAPEGGIEACVANASHPNFPSCAQLTYVRPDGWLIG GPSQSYPYNAPEYVRQQMKYIWDNFHPSGILVAEFGLPRVRESEMTMDQQRYDIERTL YYRNFVQGLIDVRDYDGVNVIGAIAWSFVDNNEFGYKSTQFGLQGVNRTTYERFYKRS FFDFVDLLQSLTM PFICI_09751 MAERSDPQPSSSRAPSVKCKVADNCEANGMTREDTQLSQSKGDI LPLDDGILLAQGHTPVLKRTFDLFGTLGLGFSITNSWLSYASCFGQSMLYGGPQTTVF GLIVACFVQWLITLGLAEQASSFPSSGGQYHFTYILAPERRRNFAAFSVGMLSVAGWW VITASGISNNVQSIIGMIVFIDPDYEPQTWHSYLMYIALIFITLIPIFTIPQRYLNYW TQMCLTLSVLGFVVVTVVILAMCKEYRPAGDILLFDGVSGWSSGTAWMMSIGNAMYAF ASLDAVIHIAEEMNNPGKEIPRAMNLTMVIGLATAVPFIVAMMFVIQDIDAVRSARLP SLEAFYQATGSQSAALGLQGILTVLFYTCMPSQWITCGRLTWAFSRDHGLPFSEYWNY IDPIRGFPVRTTFLSVVFCIIYGVLYLASTSAFNSIITTAVLAVNISYVVPQAITLFH GRSTLPAARQFNLGKFGYICNAWSPLWVTVIGIFICFPSTLPVTAGNMNYVCAVLFVL TILIAGLWITVRRKFEGPHIDWEFLNGRLEAEEA PFICI_09752 MAKTQKDEQIIQIASTLQETPWCDEYENMISGMLYNPLHPKLLD GRHRARCLSHKFNTIDPNSGNYQEVEQIRADLLKQMLGRVGSGTFIEPPFMPDYGCNV IFGQNCFANFGLTILDTSLVIIGDRVQFGPNVSIYSAGHETSVLSRIKFVEFGHPIRI EDDCWIGGGVTILPNVTIGRGSTVGAGAIVTKSIPPYSVALGAPARVVKTLPSVEEEL ADPNNPFRNMPDRQ PFICI_09753 MPPATRYQWLPGPVGDMLRPAMATTATVPLSGYLIITTGHVGVD LKTGQLVTSSVEAEFNAVFDCLDAALCNAGAPRGLQDAHKLVAYYIRAEDEKTLVDIF KKRYPGHSPTWTSVVVKALANEGVHVEVQAEAAIYT PFICI_09754 MSSARLRAAEPIIKATIDEYTTGANRRIAGLYYTAVRGDGELLF EYASGTRGLHCPEPMSEDTVFWLASFTKLCTSIAAMQLVERGVLDLDNSQQLESIAPE LRDIKVLQGNKGGGLLVPKMGSITLRMLLTHTAGFGYAFEDEKLAEYGRPVGLDDFCG EPSDLFRRPLVNHPGEKFQYGTSMDWVGILIERVTGISLELYFRENIFQPLGIESITF HPSEEHVTNLAYMHRRNKDGSLAHADHIYSEPLRAATSPSRDNVFCAGGHGCFGKPSD FAKIIAMLLNQGRDATTGREILQKYTVKEMFRDQLVDKPRYSSESVPVAKPWLANPTP LFPVASDHTEGWGLSFSISHFPHSTGRAAGSASWEGLANLFWFADPVNNVGGIIASQI LPYGDASVIECSDRVEKMVYSSLGIS PFICI_09755 MPSSTSADNHSLGFKQLVERLLSVTPEIYHNFDIYRTEYSSANH EIGVDVLIPKHLATLTSVPLIVRIHGGFLVTGSNLFPAWFSPWILEFAAAKGAVIISP NYRLLPEANGIDVIEDLKAFWAWFRDGEPQRYLDSLGRTPLSLDTNHLLLVGESAGGY LALQSVLLHMSRPQAMILLYPMIDMHSDYYNTRFEKTIVGVPNISEDVIDAALQSFHA HKHYVTEADPPTRLDLAISIVQNGRLGEFLGSEEILYPLDLLRSGDKEIAVSKLPPLF ILHGEQDTAVPVHGTVEFVERVRDIAPDVKLHIALRPGDHGFDAEASTGEPWLQVGLD FVSRAWLGQPLSSL PFICI_09756 MARDTIVIVGAGIIGLDVALVLAQRGYGRYVTVVAEHHPGDTNA AYTSPWAGCNFSAISGTDANALRWDQLGYSHLTRLASEKSEESFVKRTESTELWDEDV PHDKIQAMSQYLEDFRILPGSELPEGVKFAVSFTTLTINAPEHIQYLYQRLQNEYSVR FVRQKLPNIRAAYTSRATEVVFNCVGNAARSLPGVADTKCYPTRGQVVLVRAPSVQKN IMRHGRDYETYVIPRPSSNGHVILGGFMQKGNGDKSTYRADTESILRRTTDLSAELAQ QAPEVVAAFTGLRPSREGGARIEREDIAINDNKRILVHNYGAGGTGFQAGYGMATEAV DTIQDFLQSLKTSEIGTRSRL PFICI_09757 MATMDKVLGAYAERQATLEASFDQNEFARGIAWVEGEYVPLHRA RIPLLDQGFMHSDLTYDVPSVWDGRFFRLDDHITRLEQSCKKLRLALPLPRDEVKGIL VEMVAKSGIRDAFVELIVTRGLKGVRGSKPEEIINNLYMFVQPYVWVMEPEIQSSGGG SAIVARTVRRCPPGAMDPTVKNLQWGDLVRGLFEAADRGATYPFLTDGDANLTEGSGF NIVLVKDGKLYTPDRGVLQGVTRKSVIDAAHALGLETHVQLVPIEMTYEADEIFMCTT AGGIMPITTLDGKPVNGGKIGPITKKIWDEYWAMHFNDKYSFAITY PFICI_09758 MRQFKFVGGPKRKRRRRDELSGELTEVLPTETAAAATTAAAQSS RRPAAGSSTKNQQQQQPSATISKDQGEPATTEASSGLDLTATTSDDVLSSVNEAASSS AEEGEVNKPLDRPVGGFFDPIFGALPSFEDPFDMVDYPTVPGPNEFNAIFGLEGIEHQ QFATGGVDLNKDFPGNVPRPEAEMHSELPPPSLSMLANDYTVDQLLLRYDQEFCVLPL THDFKANPFRCRMENVHGSQMLLHCILALCYKHIHRDTGTCFAEAQSHERAALQMLDR IERSGQTLTTTGTLDPLLILMTLKCATSALGPWVWYLKRVNNILSAAQSLDLRQNPRM QAQIEMFAWWDVTLALTSRQGYVLSQSTIQNLIDQKDMSKTSFYGVAGCPKFLFENMV RLGVYAREFELVASMTCVRVDLGPILAIEKDIIGWEPVKGAITSELVVPGEEAETLES AQVEEDLRHCAEAWKFGLLVYIERVFKWDRKEEISPMVQFLARKTLDHVRCCRRESMT QKQLLLPMFLAGCEVKDEWLRKEASDYCDWWGKKTRYDMFLTASALLQTVWDDEEAFK WWGPVIDQHGYLGSDGTMGRQYLFG PFICI_09759 MPDFTGLELTGKVAIVTGASRGIGAGIAVLLGKRGANVVVNHVS PGTRERAEAVAKEIEANGTKAIVCQANVSKLDEIPKLIEAALKISTSGKIEILIHNAA LGNEANLVNTTEELYTSHFDANVKGPIFLTKAAEPHLPKGGRIVFISSAGARLGVAGQ TVYAATKAADEALVRVWAKELGQSHGITVNCVNPGPVATDQWFQSDEQFLKDMQPLID ETPAAARVAEVDDIAPLVAFLCSDNARWTTGSVLSANGGLYN PFICI_09760 MATKTGDGYTWDRASGVLPGLETEAVISPRRSVSGNTIYDVIVI GAGYAGLAAARDLAHLQHKTLLIEARDRIGGRTYTAKQDGFLYEMGGTWVTHHMGYLF REMVRYGMDRDLIETGSPGMHNGFYTINVPEATPRRTTHEEAGAMMAKAWDVFVNVDG QFGRTICPLPHAQLDNAIVSRSEVERWDQLSCQDRFKQIKHKLTAEEQGILVSLLLHI SGGHMETSSLWDMIRSHALLMHNSDNFADVWLRYKLRDGQTALAKRLFDDAVDAGLQY AFSTPIKSIIRTSSGDGLTRVTAVDGEEFIARKIICTIPLNVLKDIHFDPPLSQGRQE AINIGHVNQMTKIHANISNTELERWNGMRFPGHLMYGYADGVLPSGEVHVVAFGADER DEFVPERQPEKAVAALNEIHPMDVNKLIFHNWASDQYSKGGPAWWRPQYMSKYQDELQ SRHENTFFASGDWAHGWRASIDGAVEQGILNAQVAHTELRKAKRLPIRGNL PFICI_09761 MSTSYDRYTWHQTSPEIWQRDVDEIELFYYSLAKRFEGSGRMFF AMTGHLSLSVELHGQTSDVARQRIKAALKRGWQALRYEHPTIASQVSHNVTTGHAVKT YHLCNSSEKLDLWLGETLVEIPGGQTGEEWANSDPPAPKLPTMFLLELTDNTSDFTTT RIDLVFRSPHEIVDGIGTLHMFNNFTKLVANAYKDGDAFKLPSLDGTETENLSPPFRV AARVPFELTDRQQKHLAEQNAHKAAAGKDEGDIRVIGLHFDEQGPKVPGVHQRVALDF SQSETKFILTACKKLGATVTHAFHAAIALSVRDLQERTAHAQKVRYVNYILRNERKSC DPPYNSPSHAVSVYHSVSGRALTVDMVVPAATSTTGPADTAQEFHSAVNKIKAFYNEV RDDADHYVLCLPIWAAGMSELPQSTSPPPIPAPNAKPTVSISSMGSVDNIIEQRHGDI AVHNPWVTGEELGTGLGLFLGTFEGKLCLSAAYNDAWHSKYEVLDFLQRCTYTVRAGL GAY PFICI_09762 MNPKAVGWESAYAQARDFVSQLTLLEKINLTTGVGWENGPCVGN TGSVPRLGFKGICNQDSPQGVRYADYVSAFTSGQLAAATWDRGLLYARGVAMAEEHKG KGATVQLGPVAGPLGRVPEGGRNWEGFAADPMLTGVAMAETIKGMQDTGIIASAKHFI GNEQERFRQVGEAAQYGYNISDTLSANINDRTLHEMYLWPFADAVRAGVGSILYSYTQ INNSYGYQNSKTLNNILKGELGFQGFVVSDWGGHQSGVSSALAGMDMSMPGDTAFDTS YTFFGTNLTVAILNGTMPSWRLDDMAMRIMAAYFKIGNTIEDQPDVNFNSWTTQTNGF KYAFGKEDWEQVNWHVDVRSNHSELIRTVAAAGTVLLKNDGALPLEKPKFIAVFGKNA GPSPISPNGCPDRSCDKGTLAIGWGSGTSEFPYLITPDSVIQARVVANGGLYESIFNN SDLDSIHALASRPDATYIIFGNADSGEGYLNVDGNEGDRNNPTFWQNADGVIHNISAT CNNTIVVIHSAGLPGEQSGNSLIDILYGNVNPSGRTPFTWAKRREDYRADVLYEPNNR GGAPQQEFVEGVFIDYRHFDQNNIEPAFEFGFGLSYTTFNYSDLKITRKNITAYQPTT GTTQAAPVLGANYSTNLEDYKFPDTWQWILAYCYPYVNDTSSLEAASMDPYYNSSLDF IPEHAQDGSPQALVGAGDEVESGGNKMLYDVFYEVQASITNTGPLAGYEVAQLYLSLG GDNPPKQLRGFDRLWVEPGETVIFNASLTRRDLSNWDTFTQNWVINDAPKTAFVGSSS RKLFLEAALE PFICI_09763 MASSYPPPIVYQLPKSPSKLSTKVQSLFTGTKLRSNDSAPQTHG SFAHFKNVSESTIDSNLTVRDTGTMSNDARAAASGGNESNGT PFICI_09764 MGDLRLPLEDAILELFQADPRPTFIVELPQDGAATTAVRFSNAA LEADPSLHDAILDVVLNHEGQFWAWIQAQPDVNGQHEPKKSTSYMDHYWTRTVHHSRY VIVSSNEQRRPNTPQRPKIRHNDRSHTLLKVPAAGTGNGSALESEGEEFTVPGLTPEQ EEPFVLTVNSSDWSSTPLGPMTEWPVQLHQTFNQILADSRPIVLYWGASLTTLYNEAF AKLCGAKHPGLLGKPLKDAWPDFSDKIHETMKKATTKRHASEEEEWRFFIEKSDGSQE ETYLKWSIVPIVTEKDKENVGFLQPVSDTTRMRLWDRRMHLLIDIGESVVTARDAKSY WRKTLEALEACEPSYDIPLALLYSVDGNTKADSTSPAKDEENSVCRLQGSLGVPKNHP LAPSNLALSTNDSSLSLAYREAIRTREPILISTKDGTLPEDLLHGVHWRGFEEPCHEA IVLPLQPTKDERVMGLLILGLNPRQPYALDYQQFISLLNQKLATTLASVVLLEEESRR NRNISEQAAYDRAKLKEKLAVQTKEANEWVAKFQAIAELIPVGMCFGSPEGEISFAND AWHNITGVTKSDSLTQQEFFSRVVEEDRPTVARAYSQMKDTGTVNLEFRIYRDDDAPL QQPIGSSPAFEKVGLDFGNESPRERYISAALKAEIDPDGTVIRIFACMTDVTLHKKAA DAAIRKASQAENLKKLAENASVGMYEMQSDGRLIWANSTFFEMCGLEKIDLTKQEVKP FESCVVEEDMPLLNQALERLTTKGKKASAEIRLNTLWTEEDFAGNKIVAPRCILASFR PVKTSGVIETFTGCLVDMTLQRQQFEVERQRKDEAIESKRQQENFIDMTSHEMRNPLS AIVQCADSVVASSSRGEQVLKEAKRESNKGPFVLDAEAHRELLHLLSTCIDNAETIDI CAQHQRHIVDDILTMSKMDSDLLAISSATVDPRVVATESLKMFEVEARRVDINLTMTI DKAYDDLNIEFLDFDPSRLRQVLINLLTNALKFTKSQATRNVSIKLSACKERPTDNIS SLQYIPHTTDASLIQVEPERTDADVIYLTFEVKDTGQGLTDEEKSSLFQRFVQASPKT HVKYGGSGLGLFISKRLTEMLGGQIGVASRPGHGSTFAFYIAAMVPGPEALQEARATA KTIVPAIPTPTSSVPPKHQLSESARPQTVAPSDNVVRGVLIVEDNLINQQITRRGLVD KGYRVEVANHGMEALEKLKLTNRMDGQFPLDVVMMDMEMPIMDGLSCTRNIRDLEREG KLRGPRIPIIAVSANARIEQIQEAKAAGCDDVLVKPYKIPELIKVMQRLARRMDRPEI SPTEKTSPVENPENPPAENTTTSSTGRTERSVTEKAETSVTEKEEPAA PFICI_09765 MDSYLNTPMGPRKPLSRDPNELLQQTTTMLFTKSEVPGSLRERL IGDFVGLFKQRDELDADVLLRKMFIILDYYYFQRSLSEHAVQLSIYNQGPTASDLAGL YIGGGIYMYRHSGSNGERITPSEMISTLIHEMTHAYFAVLTDRAKRRELVDKNGGHGL PWNALYHSIINDVCKWSPELATFGQLLDERGTPENINDANRLSYQLDQDEHTSDNKGK GK PFICI_09766 MPPPLCRLGAVALVLGSFLTATTHARESTYISGTSLDGVTTSLA VDRTPALYTGDFGDCLGGQSLLNVTKFDAAFYYDNSTILFHLDGTTSLKSEALMMYIS VEAYGQTRFTMTFDPCLVNIYSLCPMNATVPITAFALFQVGQQQMSGIPQIAYEIPDF EGYFRLQLLSNSSQTEIGCFQAVMRNGATFSHPKAISSILAVFTATALAASFATAAYG VSIPHMRTHYAHSFSVLVVFETFQSVFFSGALSLNWPSVLSAWWSNFAWAAGLIPTAG MLHSIDSFAGVNGNASQVGGAGSTIINNNGGGLAQQIYGRSPVADVLGSLRKRSHELV GRSVQLMKRQPFNASDPYDYNWNGDPVKPGMPIPGDWSGFAGTLSELRIPSPDAFMIS FVWLLIALVAVAFAVVLLKISLEALARLKWIKEDRLVYFRGHWKGYVTTSLLRVAFIS FFTMCVLAMFQFNHAGRIGPTVIAAIVFAAFTLGLGGIGAYALRSRLRFGKFAMEPDR IQFHRGHILGCVPWFVPIRMSQLREQEFSQRPLGSIPFVRWHFVDDQPDRTRVHQDQP YIRRFGWLFARYRLSRWWYFIFWMAYQFVRACFIGGATTNPIAQVFGLFIVDIISLVV IATISPYEGQRNTVIAVWLLGISKVVTTGLSVAFLPDFNLDRISATVVGVVIIVIQAL LVIALLIMIVLSGISSWMSLTRNREYFPSERLEGIRIRYFEHIEAKALDKRAPLEERT RSKRSSSESEVKVPPAEPNFSVANVRRISKIEDEYDDYFPDLEPHAGVHSTFSPRPIN RTSRTNSVSSRHSVSSLPRAARGHRASWSSRDFTPWTELERPGTALANRLSGGHTPDH STTNVTGVLKKQVSVASLRAAEIPIPESRPTTASEDTVKIAQTEDETKPEPNINGAEK SEAF PFICI_09767 MRALFVTADILSVVLLLGSISSVFAFADWHEVVFGSDVSDGHVV EEPHGAPMAARDVHEFADSNYPPYGRPPPSPVLSTTSSVSSNASLTLTISSSSTSGSI STESGSSSSSGTGSVMTTDSLVTDTTTGEQTSAETSSTSGTSVLLTSTSSDALTATSS DVSQTTGTQSTSNSQSTGSTVSSMTIASSVESTSTSSPIAESSTGVSSGTSSVSSSIV SSTSETESSTITSTSTSGTASTPSVSDGAEGGPIAQTIPPQTSIITSVSLGQTYVSTT IRPGTTIYASSVAAITASGTSLSSSSPSSTASGSTSYSNSLSPSISSSTKSPSSSLTE TTSLSGTSSYGYNSTASQTVPSSINSTGSPITASATDDSTAESTQSLSTDSTGSATTV PSESTTSSEASSSSTETYISSTSGSSTSASSQVSETSDSSITATSSSSETGSIPVTTS EQSSTSTDTSTSESIATTVTSSATALGTSSSVPFPVSNSTSLLGTSVTSLTSTSETRN LTVTPFLSTLRTTRTTSSSTTETTGNATILTSEPATGSLTVTTTPRHSPSVTNSTTAS PSNVTISQHVSVITVVSTITSGGIVATSIPTTINGTATEISTTTTVPAAITTETSITT STGNVTIVTSGTETSLSSQSTSNSETTVPASQSDLSSSVPFPASNASSTGAATGSGSI PASVTESTSLIITTSEPGSPAGQGMSTVITTLTTLSSTSVDATGQPTIITILSTVVLT SVGASSSDQSTTASSGSSFQESTTGPSISGSTTVPFPASNSTFSGGPTGTGLSSQATG EPTSSSSTGAGPNVTSSSVSSEYLSSITSSISGSLVTSTSSGISSSQAPTTDTAESSG SSTSTTVAPSSTTGSDVSTTSASSLSNSISGVTTNSVMGTSTPPFPAGNSTTTSYGPS ASGSSGVGTIIVTSTISGTANATSYTSVQASGSLTSTTSVTRSSSGTSTSSPTVTETP TSSTSQPSGSETSTITTESETSGILTTSTSPSLTTGPVSLSSSTETLETSSLPSSDLV PPTGPGPVSVTTPLPLPLTNSSSGLSTPLTSSTVPSIGTPPSFTGTESVTSSTSGIST IPATPPSNSIIVTVITMTLSGPGGAAISTLTTSETLYLGITSEQTPLSATSTVSVSAN TTMALSTWTELTTVSESTSRGTSSYGASTSASTEESQTTPTSGTSATISVTTTSSVSS TGSTTETSGTGEAASGTSASTTEGLSIISSTMSAFETLSEPSSSTSGASTATATSSTA TSSNLSETTSGHTPTPQPAIVSSFTVNGTMVITTVTQSIASSTSLETTISSNSSTGTT ETSIAAESTTTTNTLSSLTSPTSLTQSATTSTSSSISLNGTQTQTTVSTTTLSSSTIS YGNSSTSSTTCTDEYSSTTETSTCTESETTTWSTDTTCTDTTTDLTGSVTTMQVTTTT GTSYAGNSTLSTTSDSSSSASSITGTSSPTTFLTSTTESGNTTTSGSTTETSQSSSIL SSTTTPPVLPPTTPANLHRQHPAQPGGDGDNKPSAGFVRGRSSFFDYLFRSEHSEMRQ DE PFICI_09768 MSSSGIVGHVQAKAQEVAKEDYDKARALAADAARSGAFLYPLRG IVYFLSHRSLWKPFASRIIPTLSLSIAVISGMFFFTYLPQLAILVFVNGPLAVLTTVL LILNESSTIVSLISRNFFLQDALLDTFDGVLVARNATGIVSEGRELKSGRDPMERLGK ILKSPFQKYSPKALIRYIMYLPLNFIPVVGTIIFIVLQGRTRGRSVHDRYFQLKKWSA HRRADWFERHTGAYASFGTVATLLEMIPFASMFFAFTNTVGAALWAADIESKESQMDD STAPELREAARKAE PFICI_09769 MKFSLSVIQTLLVGLVASAPVELLERKTYGTTSNEYTTGGCKGV ILFFARGTSQDGNLGDMPGQQLATALSNAVGTNFAAQGINYSASLLGNLNSGGCPPTE AAEMTSLLNAAASACPSSKLVVAGYSQGAAMVHRAIEDATATTVSRIAAAVTFGDTQK AQDGGKIPNIATSKTKIYCNTGDLVCEGTLIITSAHTDYLSSVNPAVQFITSLL PFICI_09770 MSSWVSLWPSERAPVCAEHMRTTCHDCLQSYNCDSQGEYSPEVW YDSKEPRRTDIYFGKHALFIPQIDTHLGLTAGLNANSTNIGKFNVLEDIEFNSNNLIL PPPMAPDKEWCVECPKCNLMWMVGVEGLYSAASHPAHRVMRDKRTLVCWAGVQPLGEF DRQSEVEYRAAYYFGHLSKFNGSTKDDSPNKALLTTIIEMLRKVRFSVLPERCALIAE AAKSNSEVFLEKARIFRLVVMVSDPDFIDFIVAMRTCVWWKPQFQTWRTLDGEMMDCT YKLGSYDLLHEIEEEIEALSQIGILVKWYPVHESINIATNLNLTQLKGKEALRPQNSS VAMPSKPSSKLPSELQGKVKAAPSVAYPMVLPSVEKETDSSTEARKPQTPFIDMPISR KRKSDPVKNGTSSPPKKTKSESIDLDDLNDWNRFKHVPIETLRNFQKDMSELEEKANS IPIHFKQWDSTMKVPSSQPRKPENAEEGTGSSKKNIQFQNMDWSSFADEMLNASGLSP EITNRARAEFNIVSASTSGHATATKGSTSPKFANVSMGEDVGDDDVGGIDDVGGNDDD RPKSDCDNDHDDCPDSEFDYEDEVSDDSSSEDSSSTSESAAWSEHVQELREHSKCIEI GRSNSGRGSGDLIDHEVYERFWSDIDAYSPASESVLSKHQAETSDDGSREVLPTPTPT IDASQLMQRDLASQTFTVAAVLAKKQAAEEEDEIFREQDKFSKEKADTGDAGKEP PFICI_09771 MAQAKQLTVFVTLHIKPELIEDFKAAHRPVWNACAAEPQCLFFD VWEDPENVGHFRFVEVWNESKEWFEKEQLTKPYYASMWPKSQATWVVEPKVEYFERSG EASIYRNAFLDQSKLMD PFICI_09772 MSNSTTGEIGRRLLPAIIDEVARDDPNRAWASLPIDDYDLSQGF EDITYAAFANAINKLAHFIEKAFGRSDKFDTIIYLGIPDIRYYMLPYAACKTGHKVFF SSPHNPLETHLDLIERTQCTAIMLARGVYARDIVNHHPMPKTEIPELDDLLDLSDVAP LYPYTRTWEEAKTEPLVVCHSSGNTSDPRPVTFTHASMACFDNQTELPDVDGRGHWTW QCGPGVRYFMIASPFHPIAVAIAMAVGGFGGGILVPGFRHRATTEISDVCEIIKGSKA TTGFIPFFLADVIARLPDAEEIIKQFDSMAYGNGSNTGTVTHYGGEIWSRHTKFQNIW GGADCLTPPVLVADPEDYEYNYFDMEAGGIRFQERDLEYYDEDGSRLPLYELILTQTP QSQKFAGYWAGQGITEAPSGPPYPELPTAEVWTPHPDPNKSSYAWRFVSRLYDLEMGN EFSLAMETAIDNLEKVQKSIVLSKYDQQPVALVELARGLEVEAASDIWQQAIEPQNED VPEHSRIPQTHVIPVPFGSFIRGTEGHLNRKQTEKKYASDIDAVYGRGQKKMSIAERP RYESIIATTEIVQSVD PFICI_09773 MASFGETSKRKFGSWGEVPNKSIKRVRTENGGTDLSKLEATLSA ELGFAVLQFLPFRDAIALALTNKGMKSWVLPELYRIHAREAKKDDSLVPLALQWAVWY GKSSVFEASIQAMDEIGLDSNEVVTRTLRQGCELAEILSKGRQQCLPGKVRPVRWSTG FDMPGPFLQLLDIACFRGHFDLVKVLADKAGDISQDPATGFKSHVAYALNADIAKFLI NRGASMGTTEDNCAFLGLTRRAILLHNCQDRRRLDDTEQKETDVLATIEYLVNSGHKV SSQYTNICIHPFYCAVTTTSEPKLIRMLFKAVGTSTLTHSTTGVTRNLVTMAMRQRQW EAASLLIDAGGIPEGECVISMSSIWKNNPEDPFVKKLLRLVPVDATANGELLTVRAIK MHDFKLLEMLAKAGFACPDKAIHFGKWKLDRLWLFMAER PFICI_09774 MGNAFQFVEGFQPSRANKRLIRRHAMIGRNAGKSVHRASRLSLA TKQPPRQCSLPRRSFVTLHHGDAQKNEITGPVSILASLGDGFLSCSLPVEFTPSSLRV VSQFFNFVIEKMYPTRLGGAAQEYQYIYLRAMFPDEATAKCSIALMDATNAFFVRGSP HGSEGLQHYSRSLAVVSDRLRGREALADSTLMLIVLLVLQEQMRQEVEAARVHYEGLK KMIQLRGGLAQLEDNGPVALKICKMDICFSLYHGGPISFCQSCEASMQPRPHREATTI ILPHDLDPSLQNILLDAMDLASTLNRGKNCPEYQRLDLQMILVSVGCRLLKFQPLESA HDCSETDKIYHVGLLLYMMTLFFQYDLQRVWEFPLVMRRLQDVLAPYSRISLEKERNG LLLWTLLMGSIWAMADTRERWAVTMLREAINDMKITDFDGMLSSVNKLPWVHSIHDEP ARSLWNIIKGDS PFICI_09775 MTATTHSEFNDQTEALEVANAFADSVRGKTVLVLGVNRLGLGFT TAEAFASQTPAHLIIASRTPSKIQECIDALEKEYPDVNYRLLQIDLSKQKSVRTAAAE VLSWDDIPTIDIVVQNGGTMGIEERTLTEEGIELHLATNHVGNFLFANLIMPKLIKAA ERNPVPGATRVISVSSGSPQMSVMRWSDLTFETKNCDLPESEQPNYGFLKMAGCADPE NQSYIPWEGYNQSKVANLLFAIGLSARVYDKHGILSVAVHPGVIVTELGRRIPPEGLA AVVEMEKAGLINRKNQQAGSSTSLVAALDPKLGKPEPKDGKENYGAMLSNCQIWDGVK PLASSSSEAEKLWKWSEEVVGEQFAY PFICI_09776 MAPSLFSLLAPALLIAQQTLAAPSASTTQACKDIDAILPDRLSW PLELAYINETQEYWSTALRDLQPACVVHPTDVDEVASIVQILNKYPDVEFAAKSGGHD PNPGHGSIQDGILIALRYITGVTYDADTNLAYVKPGGTWNDVIGGLAPYNVTVVGGRL GIVGVGGYLLQGGISFLSAQYGLAADSIIGWEIVLPNGTIANIDASTQPDLAVALRGS GSQFGIVTKFTIEAHPISQVWGGLRAYTDDHRDELYAALHNFVPNNANDQKAAIIFTD ILAIDVVPLILVYYFYDGETPPTDGPLAEFLAIDALIDETGTKSYADLLETNGDPASF FVARFSFREFTIPYVPNYPGIYQEIHDYWTSAVTGALALTEQCSIDYQPVPSIIGQHS EERGGNAIGFSSSDPDRLFLEMECAWAFGINDATVYSITQDVTAWLETKIPEWNALAG LDADAYLPYFMNDATGDQNVTGSYKAYDDLKALQREVDVDGLFNARAGGFKY PFICI_09777 MDASTDPSRILEQARQHLEALKQAGLSREALLELLGEDDHSKQQ QQLQIHLQQPPPPQYQPPPPPPPQTTQGGIESQLSPSYGVPSHPFIPSHQVRSSISSV ASNSSGRESILSTATAATAHSSVSSVGGGFAKPTTPASASSEAKYWCTSCDKTFKRKF DWKRHEEEFHERWRKYPCPNCNQSFWGPNTFNQHHKSAHGCRTCPHSDGVVKYMRKRR AWGCGFCAAMHTKLEKHYEHVAAHFESGAQKQDWMHSNVIYGLLHQPAVLEAWRELVS RKQDKFNGHQPMFSWSIDNTGRQQGYVEGENQGQLQDFLEFFDGSSEQAARITQCAWG YCHVVLKPKSSGELTPPQESQNAPQQADPCSLSRQVTITSQRGGLRRVASTSLMPVSR QPTRRVSSADFREHVQPNMQFTSPMDQNIPYQQQQFMVPPQPIPSQQISPQPMQVEPM QMSQSMNSRPLSLDKELPPAPLLPSPLDTSPMDVDFNMYSDNQEQLLKPPSLQDWQSF SSAHSFSDTQSLSSTLFEEQAAIAVQQHQQHPYGAHPQHNMSLSWGELSHFSGQHPQG PFICI_09778 MKHSVIFSAFITAATAAPHYTFPKLSGTEDWTSARKTANWQNNG PVTDVTSEAIRCYQLAAGNEGAVTQNFKAGGTITWVVAPSIYHQGSLSAYMAKAPSGT DVANWDGSGAVWFKVYQDAVAVSGSQYTWPSEGKTQVSFTIPSCLADGQYLFRVEHVA LHSASTKGGAQFYLSCAQLSITGGSGSKTPSDLVSFPGAYSADDPGLLINIYSNGGKA YQPAGPSVFTC PFICI_09779 MVHDVQTLLRSQRLLQDISELRRKPYPNIDVHINDEDLTKFCLI LSPPRWDKMHLTVDRLQNFPLSPPRIKMDSRVIHPNVFGNYICASILNTSEGYTPAYT LKSIAIQLLSFFGSETIEQVGTGEEVDLNHYRTQRTPKNMAIRGAGDDNFCCSACKFG PDSPKELGKRYVPGAVYVQEPTPSKQGRRSRRSKVLNDSASTESGSSTPRPPQSSAQN ILAKYTGAVVLPVDTIPNEILLNILYQVEEFEDLVNLAYAWPRVSQVITEFDVMRQRE LQCFVQKKTYHDANLGVGIAANTKSIRSEFDLISYEAYNDLKVRESIHHVQFDWWLPL PISRPHWARVRGHARETLQYLKTGGCVKYERPTDADVIYQFMTDIVVKLNNVRAIYDD PKSTLTHASDKAIESYFHLFHLLVCLATEDPSIVRSANRLLASFRDGNRSKTAAPNLG HLIVALLISDVEVTPELRKAIVTEAIVRNVVWTLQQHPELAYREPDAVSAYRLDQTFA ASRTSYRLLMFSELFRRTARPDHGRPLAEIREELFDRHGGPPPGAVSHVAAEVRRLHG VSDFAQFMREMGFESIPTAANFTRFLRRTLDDSVAAGYSSEPLSQSQALVLRLGWWEP NVGIRPELRANFMKWVREDGTLHLPRVKAKGHNFFPSRQRQNGRGAGKGRR PFICI_09780 MEAFKSSPSLKTEPDNDEYSQGSTKTPNRRQSRPSLEKNMDERI STIRVAIIGGGLAGAVLARGLLRYSHIAVDLYEARPSCREERPSMDLSPLSQVILHAI DPSMDDCLGRAGAVYTTSEVRIATGSLAGQRLHVNDQFTNGNRTVGRQALLSEILSGI PPRMVHLNAKVTSISQSSPGPGLTLAFSDGSQKKYDVVIGADGVRGLTRNYIVGPDDP TQTPKPSGLWTLPIKVPTDKARQMIESEVLDPRNPRQITWVGDGTMMQHGLMSNGREV QVTTAAIHQGSTEESSSWVKLFTPSEYQQIFSSSQSLPCQGIVKLVQSIYTISIAAFC HMQYLPTRTYASRNACLIDGAAHGSSSSASLYCPSNTALEEALILSTLLGRTTSRAHV AAALRAYDEVCRPRAELVMRTSADIGMLLSGCGPGVGLDPGRLAEALEQAFGMIEGLD IKAYCIMAIEIMDRLSHAKFH PFICI_09781 MKFFSILLLVASVSAVAIEPRHHKGNTGGKGAAAAANTNANANA ANANANANAANNAAAAAATDAACITARHHKGNTGGAANAANANANAAAANCAN PFICI_09782 MGRPAAQIMAPRSIEPRSATRTSKALGAIILFYGALVTLRHVPW PFGVPSDELPAAEKSPYGSFPVAGEPFHFIPCTSASVPPPLEDENPLETWSLLFDPNP EHWSWGAAAAAETSETGHPEDPYAGRGIYLCGWLDVPMDYTNASDHRINRLAVTKYQV SGLARSGVVSKNPNAGKKSARTLVVEPGGPGGPGTGLVWRAAEDYGRRFTDGKLDVLG WDPRGVNISLPSFSCWPYDADRDRWSLRQNQYRETSPSPRAQLEFADALWDSIFHGCW ERLGDLGRFMGTSFVARDLEEIRKVLDEDELTGYLVSYGTGIGQTYANMFPDSVGRII LDGTEYVRDHRLVGGFGWTALDNGTDAWNDGFLGECVNAGPEYCALAKPKDNKPVTLN SLQDRMQTLLDKIIERPIPGYTKSSGPMLVTYSRLVEALYSAMYNAFSWPALAKMLFE LEAGNSTLAAQFLQNWEYDPTKPCPLAPAIPQSDELGMIVICADSYDAPMPDGLDWWL DLWEDMTNRSWIAGNSRFYDVFPCRHFSDYWPKPAEAYRGDLNHTLKNPVLLIAETYD PATPLRNGRRLLHEMGKNARLITHHGYGHSSRDTSNCTNSIAKSFILNGTVPEDSETQ CYANEKPYLYGVKSAEAGSLVTGSETEEPWDPVVDWREHQKMMQSFGRRM PFICI_09783 MSPSALPASKTYNIASIPADGIGPEVVDAAIAVLKTLSETLGSF DIDFTHYDWSSETYKKTGKYIPDGGLEQLKKHDAILFGAVGAPDVPDHISLWGLRLAI CQPFQQYANVRPTKVFRGTQSPLRNCKPGELDWVIIRENSEGEYAGQGGRSHRGQAWE TATEVSIFTRHAVERIIRFAFETAQKRPRKLLTVVTKSNAQRNGMVLWDEVAAEVAKD FPDVTQDKMLVDAMTCRMVLQPQSLDTIVATNLHADILSDLAAALAGSIGIAPTSNLD PTRQNPSMFEPIHGSAFDITGKGVANPVATFWTSAEMLEWLGEADAAKKLLDATERVC EKGVVTADLGGTAKTVDVTNAVIEEIKSSYGKSK PFICI_09784 MSETTSSPRFDGWSNPNDARKSPVQTQRPEKRKRTESEDHVTRE FGLMRNGGKKGLPRFIGSGSGIYFIRTVYDILSRNARPVDKNNVRGDLVPGEEDELVE PGPEQIETPATRSRAPFWRQDEIIEDTARGAPTVNFDHLVQWTKSYFENWHPAYPFLH GPEVLQTFERVASVGISNISEADATIVRSMVSISLADSRQLGVHQSAIPNNLVFLNSE HIASSIVFALGCPASLKSLQAVLCVQLFLVSMLRYNMASRLGGVIVRMSFHLGLHRCP VRYSNFNNHEAAMRKRIWWSLYGLERIVCQSLGHPLAIVDDDCDVCLPLNELHKDSPA GESAEARPLLFMTLIAKHARLRGLILELRNKSIAARHDTVDRALHVQSELAKWANEVQ EKSEGRLEFEDENNDSSNSTRISPFQGSLLMIKYHESIINLNRPLLASNVKSPASRAA LQSCISSARALIDAIISNDPRQKGASSQNILLYPSMTWSVWMGCFVLTYAALEGETTL SSAQKYARKALYILKQLSARGTAWPESCARGVEHLASALQRKQDEGYEVIQETPVSPT NSNGQQTREAAIRSDGSCNRSNPDSQGQIPSEKAGGTPNLPATPTASQDGRRSSQVTS LGGPESRLQDRAGIFTSQRPSQSSELHSFQSRVGLGTAQFTPTQTPMPVSDMLPAMDI YSQEWFDPLGPLDFSNFAQIGLTDSTLGFGFS PFICI_09785 MGDISESKAAETTGSMTIACGLCRAKKQKCDRKTPVCSQCAGAP DKCHYPEQNRRGLPAGYLNSLEKRLLDTERALFFALSEIHAGSVEQGRYSDAGRRFMK PSSQSKTELVQGWTQFPLETRDEARSWFLDKQHGASLVAAAPPPGAAPAASGVVPSLG MSSHARGSASLDTTSTENEPWPVGISVPAASAQTPDSSFDLGVTHHVSPQGPWGAFGI ETPGIQQNNLMMGQPVYQQHQSASPATSDTRSMPGQLPESSTRARHQGRASIFAKDNE NIYF PFICI_09786 MASYLKLFYIGNGTYIMSTTLIKISLLLQYLRVFNDQKLRYACY VLLTVTSLWGIAFTILAWMPCIPVNDFWSVLVPDSEKNCFGYGSLTRGPFVETFTGHA GFNMGLDALILLLPMYLYFNTNGMAVNRVGLAGLLAGGCLLWMFRVVCISIWRLATLI AHQAATSPTFDPSWYSPISVVLSIAEVNVANICASVPVFWPVLTSSLDEIFVTKEIRV VSETRGDEFELRSRSNSTGTFEFHSSAHSSVHGDRSETDNSQDPDFKIVRCDLFKSAS ETEKGAAGVRTLIRSESQSRGMNQLR PFICI_09787 MALSIVAQNVLVGALFPLLASALIDRQNIVPQFNIIRTTLIDNE TTPLQVGNGNFAFNVDNTGMQSFLPFNTLSSWAWHNDSLPTDGELLSEYHGVPMLTHG RNVSYDLPDPNLPAVSQWLIANPNRINLGRIGLKYKGNTLSASDITEPHQELNLWAGT ITSSFKLNGQDIQVVTQGDFESDAVTFNITSALIADGDLEVELDFPYPPIHSTAYKYE VFVGVYDFPLNHTTEIVEDADNGTVHIHHELQETSYYLNLRWPSNCSLALSRDEAQGS NTTKAHSYTLGLGAASSTTNDTIIFTAHFSPDIAVPALPSEIREQNTADWGDYWSNGG FVDVTASSNPNATELQRRIILSQYHVRVNSAPQGQSPQESGLMNNGWYGKFHMEMVVW HNAHWSTWGRQEHFDAIFPALYEALLPSSLARAQSMGWEGARWPKMTQTITGSSAPGG INGLLMWQQPHVMYLATLAYQASPTQSTLERWDKVLTATADYMTSYAWYNETSGYYDL GPPAYGVTENTPPTESLNLAYEIAYWRYGLDVARDWKEKLGQEVPETWTSVAENLAPP PQVDGLYTVYEGLDSSWWNNTSLTGDPRSLIMLQGILPDTPAVDPEVALRTADKVWEV WGDANIRGWGRPVLAINSARIGNPERAIYHLTAYDYWQFDDAGFAVRGGDGGTPPPFM PGNAGFLYAVAYMAAGWQGSEGDAPGFPKDGTWSVEYEGLLKAP PFICI_09788 MKVERVLSRLVLASSVATAHPTFRGRVIDRRDYLLSAYDYVVVG AGASGLTVANRLSEDDATTVLVIEAGQFDQGEDIVTIPGLAGGAVGTQYDWNTSYIPT EYVENRAISIPQGKVVGGSTKLNRMVFDRGSKSDYDRWEELGNSGWGWDSLLPYFKKN EFFTPPDEQIASTYNITYDVSAHGFDGYMNVSYAPFIWPTTKDFASAIEEVGISISPD QANGNAIGGFYIPHNQDPSTATRSSAREAYYDTVETRGNLHLITGQQVTRILSDVTGD TVSVTGVEFASSRNASKSSVTVQKEAILAAGAIFTPQILQVSGIGDSTYLSSINVSTV VDLPAVGQNFHDHILLTTVNTIVAPLTASNLTTNATYAAESRELYDTEHTGPYANPTG DFLVFLPLLNFTDQWATIQAEATAQDPAAYLLNDTAAEVIEGYKQQHQVLSEKLGSAD SGVLELIWADGAVVLGLEHPYSRGSIKAPSADIFDAPLADPNYLSNPLDVEILVEGIK FVRSLMGADALAPLQPFEVLPGANITSDADLESFIRQSAGTLYHPAGSCKLGAKEQGG VVDNQLKVYGIEGLRIVDASVMPLLPASHTMTTVYAVAEKVSDPNTSQNRRFLYLM PFICI_09789 MGDLSPRKLDIIILGGGIAGLTTALALTKFAPRDAVPNIHIIEI RPEPATIGGAVNLTPNALRMLDHLGAYEVIKKNGYGKDIDELEVYDIYSTKLAVSSFK GAHGKGIGSPPFKALRITRGDALKAVLEIVERQKNITLTCGKKTVDIQETADKVTIEV EDGTTYTADILMGCDGIHSVTRLKHVDPERKETYTGVCNAFGFAPVGKDFPVHFDCTA INFARRGMLLTSYHNPAMDSVYVGALMEVSDIGSRDGWKAVGSDAEKTRAELLSRFGD ANVPCINQLITKAEDFYLWPVYTLSKDGQWSTNRCMLLGDAAHAMPPQGESTGIVFED TVIFARCLTKWMEKGMPGGTPKEAFTAYETLRKPRIATAFDESQSVIRTVSDAGWFGH KLKTYIVPWYLWYTRSYREKHFVEDVTTSELNYC PFICI_09790 MSNQLIALRSDVRINIATTEAQSPTSQEPTLVFLHFWGGSSKTW LPVITLLSPTYPTLAIDFRGWGASTGPGRPDAYSISDLAEDVRQIIRQKITGDYVLVG HSMGAKVAQLIAGMHAPEFAQIKANLRGVVLVCPAPPTPLILPQDMRDQQIHAYDSAE SAAFVTRNVLTASKLEQAAVDALVRDMLQGNSHARAAWPAYAMGENVISDGWRLGAPC LVIAAENDRVEPVDRVRAEVLQRLEQAQFAIIKGSGHLAPVEAPHSIVEIVQKFLQ PFICI_09791 MTESYDADRPTVTLSQGVVKGIKDESSFPKAVEKFLGIPYALPP VGDRRFRPPVKVAPSSDIIDASKYGYIAPAKQFIVTGPKLESSEDCLTANVFRQAPQA DSTELLPVAIYVHGGAFNRGSASMHNTASMIGWSAAPFVAVSFNYRIGALGFLPSALS AKEGILNLGLKDQILMFEWVQENIKQFGGDPNNVTLFGLSAGAHSIGHHLLDYEEGKK PLFHRVVMESGAPTSRAVRNYDAPIHEEQFKAFLAECGCPSDLPESEIIPFLRTISTE KVAKAQEKVFYGYNPSLRWAFQPVIDGEIIRRRPIDAWRSGKWHKLPIMTGFNGNEGS LYVNRQMATSDEFTNFFQTLLPQLEPADVQTINELYPDPLTTADSPYKEERDGVGAQY KRIEAAYAHYAYVAPVRQTAHLASPSAPVYIYHWALETSIVGGASHGGNMRYEGMDPA VLKISPSQKDLAGTLHAYVTSFICTGDPSGLAGAYGERPTWPAYQNSGPKIMIFGQKN KELLGGSVGPPAEVNDDVWAKTESDFWWSKVEISQQ PFICI_09792 MTDLPTSAGQLAHLLPPSWKAQITTWLAEDTPSFDYGGFVVGED PRTATLWGKSAGIVAGVPFFNEIFAQCGCTVEWHLEEGAEVLPSKENNGKVKVATVRG PTRQLLLGERVGLNMLARCSGIATKTRKMVRLVREAGYTGILAGTRKTTPGFRLVEKY GMLVGGADAHRMDLSSMIMLKDNHVWSRGSITDAVKAARSVGGFALKIEVEVQNEAEA DEAIEAGADIVMLDNFTGDGVKVAARSLRERWQGKKSFLLEVSGGLKEDNVAPYVCND IDIISTSSIHQGTGYVDFSLKINQ PFICI_09793 MPTYSYTSSSSSFSTSINGQQSGRSFQETSKTTPEGTTIRTTTQ NLGERPVTHEQRYDSHGHELIGDHASGNASRRIEDVDQQEADRRYEERIEDEYAKREG GA PFICI_09794 MLSFKRAILFAAFFLTAFILLRQSHGSTASDEQIAAPSKKDSPS TSDHAAAALKQDDRHVPAQKALQDMSKMSLYDKLAYQYPYDVESKFPAYIWQTWKYTP AEGKFHFREQEASWTNLHPGFVHEVITDAVAAQLLRVLYAAVPEVLKAYHALPEPVLK ADFFRYLILFARGGIYSDIDTSALKSALEWIPDSVPKESVGLVVGIEADPDRPDWAEW YSRRIQFCQWTIQSKPGHPVLRDIIMRITKETLKRQEEGVFITKKKSIVEFTGPAVFT DTVFDYFNDDRFFDMSQSQGNITWANFTGMETPKKVGDVVVLPITSFSPGVQQMGAKD INDPMAFVHHRFEGTWKPENERHIGGS PFICI_09795 MVTESQEQFPKFELAFETTACVFGLRFLFVLSSLGTSRLPYTCY VSEYGDRPAVLFRSPTIYSLLPSVPTGQITAPKTTTLTYDALDTLSNTIASSLRSLGV KKGDRVAVSLGNVTENAALTYAIFKLGAILVPLNPTFNAQQLVAALDHLSAEILIIGA VTDLPYKPCRGRSNLPLLQSFLPNLKGKIESSEVPSLKRVVMVDNTSFHDQVDFPVQE FRALTPFSQLLDGSPRSLTPDSPLSADETINIQFTSGTTSLPKAAMLSHTNILNNGHF IAKRMGLVPEDFITCPAPLFHCFGSVLGIMATATTGAALCFPSPAFDPAASLHMVAEQ HATGLYGVATMFVAELELLSNPAFSAKLPEGAFSKLRKGIAAGSSVPESLMRRLFKTV GLQDLVICYGQTETSPVSLMTAPDDPLEKRTGTVGRAMPHTAVKVIDPLDRTRVLPLG ERGELASSGYLVMKGYYNDEARTAEDRIADPDDGGRVWMYSGDEARMDDQGYVEITGR IKDLIIRGGENIHPLEVENCIFQCPGVQEVSVVGVPDDKYGESVGAFVVPAQGCATIS DADPSSGGSGGNELREEDIRRWVKEKLSGHLVPKHIWWIDEYPKTASGKIQKYKLRDM AADLLKKEQ PFICI_09796 MVIDADARWVSSLNGPGLAVVLSSSILGGVSSIIVILRFYLRYK ERSFGWDDSLIAIGLVLFLVNVALACVGAYRGIGTNNTDLNAFLKVQSIGYFVVGMMV YFHALLFIKCSVCTTLYRIANPNKVYRIAIWSLFAIVIANYLTTFIGVLTLCHPLSGR PGAKTYREGSFVCSGLDAMIGLLYTSTACSIATDLACAVLPGIVLWRTEAKMKTKIWG TLLLSVSSLASITTVIRIPYIKCYYNPSDNLAAHVANIVLWSNVESAVGIIAGSTPSL RRFIWNKVKQSSGKKQPPLALVTLRTLPLPRRIPRSLAVITPITERCLSVATSHALGD SDWRRLKDESDHEESDGGIKAEYPFVVESPHSPSSPSLKSEV PFICI_09797 MIYLAKSLAALPLLVLSASASASYPPKPSDLTTPVQKRIAIQGP GSVSIGWNTFQELDQPCVQYGTSETNLTSLACSTSSVTYPTSRTYSNAVVLEDLTPAT TYYYQIVSTNSTVDHFFSPRTPGDTTPFALNAVIDLGVYGQDGFTIDMDMTKRDTIPY IPPALNHTTIGRLANTINDYELIVHPGDLAYADDWYLKGKNIFDGVNAFEAILENFYG QLEPIASRKPYMTSPGNHEANCQEIPYLGELCAEGQKNFTDFMNRFGRTLPTAFSSTS ADENAKVNANAAKLLANPPFWYSFEYGMVHVVMIDTETDFADAPDGIGGSAGLNAGPF GSSGQQLAFLEADLASVDRNVTPWIIVGGHRPWYTTSGGDACDACQDAFEPLLYKYGV DLAIFGHVHNSQRFLPVVNSTADANGLQDPKAPMYIVAGGSGNIEGLSSVGTTQDYNA FAYADDFSYAQVSFLDTQNLRVDFIRSSTGQVLDTSTLYKSHAEQFVVQS PFICI_09798 MARDEKEGTGLLGAEQDDYQERFRKSYEARASSDLESDLDATEF LQSDPLTATKGSYTAAYQTQPRSRWLRQPCGCCAGRSRKCIWSCLGVLALVFLALGSG GFFAYKKYTSPQDGLSPPWYPTPKGGTARNWADSYRKASEMVTKMTLPEKVNITTGTG WMMGPAVGNTGPAVNVGFPSLALQDGPLGIRFADNATAFPAGITVGATWNKDLMYKWG NVHAIEARKKGINAILGPCIGPLGRMPAGGRNWEGFGADPYLQGIAAYQSIKGIQDEG VMATIKHLVANEQEHFRQSWEWGLPNAISSNIDDRTMHELYLWPFQDSIKAGVASIMC SYNQINNSYACGNSKILNGIIKDEMGFQGFVMSDWLAQRGGVSSALAGLDMTMPGDGL FWADGKSLWGPELTKSILNGSLPVERLNDMVTRIVASWYQLGQDDTERFDPDGPNFSS WTNEEVGVEAPGSPTDQETTVVNEFVNVMGNHSALARQIAAEGIVLLKNEQILPIDRH GNTDMKLRKKHTGHVRIGIFGDDAGPNPNGPNGCKDRGCNEGTLAMGWGSGAVELPYL VTPIDVLGSGWDKEKVEVSSWLTNTPPFKEQPDILNNQDICIVFANADSGEGFVSWSN VKGDRPDLNLQKGGDDLIVSVANGCGGGTGETIVVLHTVGPVLMEQWIDLPGVKAVLL ANLPGQESGNALADVIFGDVNPSGRLPWTIGRSLDDYGDGAKIMYLPNGVVPQQDFTE GLYVDYRHFDKKDVKPRFEFGFGLSYTTFEFSIPDLVELKPKSAYPTPRPRDFAMPPE YDTSIPDENEALFPAGFRKLEKFVYPYLDTVDEMVAVTDKYPYPDGYDVQQPLSPAGG DEGGNPDLWETYVSITTNVTNTGANDGQVVTQLYLIYPAEASKTEGVDFPIKVLRGFE KTLIKQRETVSVTFNVTRRDLSYWDVKAQNWKMITEGKYGFVIGTSSKYLHRAAEW PFICI_09799 MPVNYHELQDEKVVELPTSYATCSIGYKPESHTLPLKFKAIADA GFEAIELSMPDILTYGKHLNGHEPHEKDYDSLVEIGKEIKTQAEEHHLKILMLQPFAN FEGWPRESKERQDAFDRARGWMRIMEAVGTDMLQIGSTDAPSKISSDFDELAADLAEL ADIFAEKGFRIAYENWCWATHAPGWKDVWNIVQKADRPNIGLCLDTFQSAGGEWGDPT TKSGKVEDLSASALEERWKESLNMLSLHVLPEKIYLLQISDAYKVEPPLEAKTNADGL RPRGQWSHDYRPLPYDGGYLPINDFLHAVLRTGFRGYLSMEVFDEEGQQRCADMGAYA KKAMDSLQKMVSQ PFICI_09800 MTKTQSNFSQLKDFLGYLATVKGDLSNITAPPFVLSPKSVTEIP ASWAERHQLFLQPAVEDDPARRALLILKNFVCSLKRQVYSAASEESDGGAKKPLNAFL GELFLGTFEDEASGSRTQLVSEQVSHHPPVTACFLYNRDHRISSSGYVAQETSFSPTS GVTVRQVGHAIIRDETHGESHLMTLPVMAIKGLLAGKPYPELQGTCYISSSSGYLATI EFEGKKALGFGTKNCVSAELRNIRDGGKLLYELEGQWNGKLTIKDSAQGLNLEEFDVD DMPHTELCVKPLEEQSPWESRRAWAGVLEGIRTGDMRAVNDAKSQIEEAQREIREVER EAGVEWHRVFFTNADSHQEFEVLAGPIPDDDAKSLRQERTAGVWKFIGIDAAEKILES GNFHSSLEPTGQPTRHT PFICI_09801 MAPFKEKEDGKVPTEDDKVQTDDEAKRQQEEEEEEESLFVSQDS PGAPETEEAAKETIQNGLKREHDEDETLTPAAKKSKTNVAATETDDKKKNVVEKMTED QAKARINQYSRVIRDVLLKDKESEKGSVKALQKYDGHWAIKDGNVRWYCDHRNSANVK CTTDVGPKFKDVHSHNHKIKSHGGEGAYKKNQDSGELPVGECLLHGIMNCDSNKGAGW DNFNAYQKHVESHFPGEKGRNRPTELQTKTELHRQAAKAKETGKPYSETWATWVPAEG SKRTGDPDFDFAPARRTLQLRYIFSRLNGIHEREQQMAQQMAQESQNLVQERQDLIRM LQNLHVSPPAMPQAPAMPQAPAMPQAPAMPQAPAMFQAPEMSSASAQFPALTIRAGQN NQPQDHDGNGQGEITMASGARNNTNETQDTVMTDVNENDSPANEAAQNDGDDEAAPVD TSVGKSKDPWDFDDPWGIYK PFICI_09802 MKTSTVLGTSVLALAARVPGASAQNSTTSAVPTTVTATATTAAC ALVSSAWAAQSAATATPTIEASVAYDCLNSVPLAKDAAIKFIDEIVPYLEWQSDTAFK KNPPEDYFYPGYDFWEALYEIRDALEADSYTNEYSWQADLYKNVFGPGHDGHFVIYTD LLTAAIEWGRRLALVSISEDGSSLPVIKVYSDVISSPDTASVVTLINGLDAVTYLSDW IFQASSNQDADSAYNSMFWSKAYNQSGVEGYFKSGGRVRYIYPEAETTLTFENGTEIT ISNIGRIKGNWAGVTDGPSFFTRFVSGAIGVTTSTATSSAATTTTTSTVIATTTTTTT ASATATAEPDGAALPGYPGDPVVVSSDSIVSGYFLDGEGFEDVGVLVMTSFSPEDPAE FQEKVQDFFAAAVDAGIKKLVLDVQTNGGGYIFQGYDTFRQLFPDIVQNGTGRWRHSD GFTAVADVFSAACEGYDPNTASEDLIFTCESVFNWRYDIDVNDENFKSFDDKFGPLTY NGDNYTDVMQWNFSNPLDTSNTTYGIGYDVTGYGSRTNFTRPFGGPENIVILLDGYCA STCTLFSQFLKWDAGVKSIAMGGRPVEGAIQGVGGVKGSQSYSYSSIYSQIDLARGQT NDTDILAEFDRYQEYPLQRGSAFSLNVKDEILPQNLDDGTPAQYIAEYADCRLYWTAD MHTNITNLWKAAAAAAFNGAPCAYGGFNTSDTDRTSSYQTRPSFTIPSIVHSVLPVVE SLLPIKLKAHEFILSQQHMVVID PFICI_09803 MASTSRPNSLGCADDCFYSFPPSRVASYEDNTTPKGSAKECDRT PRSTCSFDTALDKDASLESTSQQHERVSDTQRSSRVKWTLPFPHHEESSTQHHFCSKP VLDRLSRHRLPSGFDHPTDGSHVPDFASLPDEPLTIEQLVSSPAAPVTTKHWSSTSIG SSMRAAKSQNSRPVYFDPSTGSTRGVEDIVANIRTYLSTMRHDACDASPREQLDSMTF VAQDQDSRPKSTSENFLVSTNDIAGILDIVIVGLRRLHREHLPSGCLSVLLPWDHNKR PIVTKADSIFPTASCPAEPATTISSVKPTVTPAFSSHLQSMANKSIKATIITKRSVAE VS PFICI_09804 MSSWNFPKPLNKSYQGRTGCCEGIASAPNERNSPPSQQVQSRGR IRNWMKASDESNQQAAENSDNGALYRNGIDAHSGPHSLVYICGATSGRPMLENASTAG MSRGSWSVDEGETCATSTYPHHHRRCSETDAIDPLLHELRRYSFMPLKDQTPECLRRM EQHQEPLDRLEELQLRDQSNTSSKTTLEDILDRFIFTGTPSSRTHAGTGGYTASK PFICI_09805 MCIGLLATSHPKYALVILDSREEYILRPTSRPHFWTHRPSGNVV LSSRDLLRATRGTWMGMTRTGRVAVLTNFRERVDPAEQNSVYGVKSRGEIVNAWLGAP AGETLDHFVERTLEGGLLKGVGGFSLICGDLKAQPSSSNGTEANGEPAKTNGKGDDKT IGPFVVISNRSDAVSGVPHIADKRGQVWGLSNTTYEDPPTWPKVILGRQLTQEAIEKA VAEDADEDRLFDLLFGVLDTNRMPVRDPSLGHEEYVDQLKNSIFCPAITDEQRERDMA AAVARGKMKPAFHEIEDESRELAEAEDAPAMFETGAYGTQRQTVILVDWEGRVTYKER ALWDAHGNPIERGKGDVVVKYDIEGWS PFICI_09806 MVSLLTALLLGAAAAATPAYGLCVTRDETCSADAAVIRKEYGQL TDAEKLSFVAAVQCVMAKPSIMNDVVPATTNKFDDFAAVHVNNTLGIHINGVFLSWHR HFVYLMEKELHECGFNETLGIPYWNWALYTDLETSPMFDGSETSLGSNGAYDPDSGDV YSTPGGTILPHGTGGGPIVSGPFANHTVNFQKMPFELVFSGLPANWTVPDPHLMTRDL NNYGVQTYCNQSDIDYVLSSENITIFQSVINGDDNSPGIHGGGHYSIGATGYDFFGSP QDPAFYLHHSMIDKIWTDWQAVDPVNRRYVYFGTSTIFNGNATANVVNDTVIDYGRLG SATVGEVQDPQSGPYCYQYE PFICI_09807 MSSTVPDRGIETLGVIWSLTGASGIVLGLRIYCKFSRHRGLWWD DYMLILAWLCLVAPTAVTTREINLGFGRHVGDIAPENLGELGFLGQLTAFFSILGALW SKTSFAITMLRITNGWAKYAVWSFMISSNVFMLISAVFTWTWCTPVQKIWLMDLMPGT CWDHAVVVRYNTFSGAWSGVMDIFLALLPWTIIPKLQMRTREKLGVAIAMSMGVFAGI TGFVKCVKIQALARDGVDLVEWGAAETAVTVIATSIPILRALFSELRSTARSNSKGTG KDAMPGSQSRLSRMSKTPATVVSSRPRPMSQQELNEEDSDSDRSIWPGGKPYIMKTDE ITLTYDDRRRYEERGYEMGHIGRGTQSSNC PFICI_09808 MAKRIPPQSPFKVPKRDDIFPMMDALIAEYDNIIDTIVRTNTAE SATFETVLRPWLHVDNETQAPLGMIANLQYCSPSLETQDTVRDALKRYNQAVANWSRR EDYFVLLQAALEREQDDPHLGQEARFILEQKVKDYKQCGYGILSQSGIEDYLKGRLEV VDLCSEYKRNVAQESSGIWFSEKELDGVPSDELAKWKTDDSTPDQHKKFVPFANGGAQ TVLRYAHEPEVRRKMYIENDKKLLHNEPILLDIMRRRRSQANKLKLPNHAAYRAPNRA VRSAEWINRFLDDLKPQLIPIVEKEVAEIQAVRLDDRIRRGLLQDGDEKSFPSWEQSY YHTMMQKTLQVDEVLISEFFPLEHVMDAMLGLFTSFLGLRFDRVSDSELNPNVKWHES VEIFAVWDGPAQDEAAGFIGYLYMDLLYREHKYRGNQNVNLECGYTKADGTRKYPSTI LSCALPTPAPGTCKLLKHREVATIFHELGHAIHDLLSKTEFVMFHGSNRLPVDAGEMP SMFLENFVWLEDVLAKLSRHYTTLDAKYLEQWRKDNPGKPDPPRSIPAEFVQALSRSR YLNEAQFYCHQLTVSLFDMKLHSMASPEEAELLDARKLWYGYREELEAKSFEDVKGGG SDYTTFAHLVSGYDVGYYGYLVCEAFAQDIWHSQFAKNPWDRDTWNRYRRKFLEYGGA HPDKLKMLEDFLGRKPNVGALVESLVQGAPN PFICI_09809 MLAPLFAAFLAGAAASPVSQVVQERQSSIPVGTIITACTVPGTF ALTFDDGPFAYTAELLDLLSSNGVKATFFLNGQNWGSIYDYTAQVVRMDAEGHQVGSH TWAHADLATLDAAGITSQMTQLEDALYTILGKAPTYMRPPYFSTNDLALSTLGSLGYH VINANIDTLDYEHDDDTIGVAATNFENGLAAGGTISLMHDVHAQTVHVLVQEAINDIK AKGLTSVTVGTCLGDAAANWYKTGTGSGGGDTTPPATGGPSPDDTCGASNSGYVCQNS QCCSQWGWCGTTSEYCGTGCQSAYGLCS PFICI_09810 MSMASSTFAALTAVFTPPPFCSGRYAVFIGTPTPLGGAPSTNTP SSGWIDPSFSTCNPPQYTNTYPTFSPGVCPSGMTIAASSSNIDGARTIWTGACCESGF SQLDTQYLCTSSVTTPMGFLLDPNISTADVFTTLSNLNIEHDQLAVLWEETDLPVFPN EVAAQYASIMGVEVPTSSLDTDKATTDATQSPGAFITPQPTSTQAISQISEQSSEVST TSGKSPTVGSSAESHSTALDVTSFVAKSSASSSQMGTWLSCVLILFVWKINVF PFICI_09811 MAPVVKVALVQLNPKAVAPAENFAKAASFIKDAASQGAQLAVLP EYHLTSWVPDDPNFKQSCIEAMAYLSKYQELAKEQRICIVPGTIVEAVTEGGDESLLN VAYFISSDGSIAGRYQKKNLWHPERHHLVSSAHEPHTAFDTPLGRVGMLVCWDLAFPE GFRELISDGADLIVVPSFWTMKDVSDEAYELNPEGEGLFLNSTVVTRAFENTCAVVFV NAGGPAEKGEKTNYAGLSQVAAPHVGAITRMGRAEGMKLVDLDMNILKIGESNYKVRE DMRTEGWHYEYTRIRNNGKL PFICI_09812 MQLRQQESAGGADVTMTDSQTERPEFTKQSSSLPFGQLVALDSI SGPTYVTGQLLVQQVAYKLSDKIFSYSPETFDLDVAVKDWAEDEEKNIHGYATSVLPL QTRVGAGAFALGYIFSKDFDLSKRHIPQTLLAPSLSLRHLRSSLDQLALLYGVSSPFV AHIAAADYSADAGLVTEYGSALQVAEDLGLGLVSSTSAHEAQHISLFATLMAKILPTL HIYDGVRTARETLRVVDALSEKGIADVYNKVSQAADSVNKRLDDAGKVTELLKAFNGE LGTDYELFEYTGDKAAETVFVVFGSVESQLAKQVVDVLASKGIMVGAVTVRVYRPFVE EAFLSVLPETVRNIAVLGQVSNAAAVSDASVQSALYADVLTAVSFADKWATAPTVTDV KYPATEVFTPSSFASVFSKFITKNTSEVKLSLPQLEGAGQFVFLDVDNSASAHAPIII GGLLSRDSTSNVYATESYDNLVQGGVVRVDLRASKKSIDAPYSIDEADVVFINEEKLL KDVASSQTVKSDGKLIIRLPNFKAEDVEKRIPATVRKDIKERNIELLVLDPTLATEDE KVGRLLVELAFLKAASSDVKTDTLQKLVALEGNPPSLDESLEALEKSLSKFEVPETWA EIAEESTKAAAPKTTSFTAFEKEEAESEAAISDWKSAAKGLLFKEAYGTQIELRPEQT VVTHTIRVKENRRLTPATYDRNIFHIEFDLGDSGLTYKIGEALGIHADNDPELVQQFI ESYGIDGDQLVQIPAREPGSVETRTTFQALQQNIDIFGKPPKRFYESLAEFATDEVEK KKLLVLGAQEGADEFKQRSDVDTITYADILEEFTSAHLSFTDIVRLVGPLKRREYSIA SAQAVTPNAVALMIVVVDWVDPRGRQRYGQATRYLSRLPVGTAVTASVKPSVMKLPTR DDAPLIMAGLGTGLAPFRAFIQYRAMQKAQGKKIGDILLYLGSRHQREEYLYGEEWEA YLDSGVITLLGAAFSRDQPQKIYIQDRMRQSIAQIVKAYIVEEGSFYLCGPTWPVPDV TEVLEEAIATEAKMSGKKVDAKKEIERLKEEGRYVLEVY PFICI_09813 MANPTNPTPPLLAERFASHALETRFEDLTPDAVAQAKVFILDTL GVGIAGSSAFGADKVIPDEAGGATTTLWGRRQRVSAGVAALVNGYQVHCQEYDCVHEG AVLHPLATTLPAVVAYAEKKLGGITGKELITAMAVGVNISAGLGIASRSAMTFFRPAT AGGFGATAAVGRLMGLSHEALVQAMGLQYAQTSGTLQPHVEGSTSLPLQVGLNSRAAM QSCEWAASGLPGPRDVFEGPYGYLRLFESPEKWDLTETYKCLAGGRWLISELSHKPYP AGRATHGGVEGLQVLAKKDDGSTVKPDEIDSVTITGPPVTARLCARPDIPDPSPNYAR LCMSYIAAKVLINGMIDLAHYRGDELQDENTHHLAKRVSMVSDNQGGPNDLLPVSVEM RLTNGEVKSWTCDQMLASPARRLTREQHLAKFRRCWEFSAEPLSDTARDELIEMIDHL EDFSDVKLMTRLLSPGAADAL PFICI_09814 MLRRSHKKSRKGAKCEECRRRHIRCDQQKPSCVNCSQADRTCVY RSPAPRPDSNSPSSHRDQDEVVSGADSSSPATPGAQSVQSSNAEDGIAAYDSSNISHG SAMNSIVNITHLELFHDFGESSGPLFVGDDPELLNKYVKNYFSAGLTETYLVHQMLAF AAKHKSRTGPESQAKFYEDQATLLQTQALTLFSASLQKPKSSTSAIASMRFSSLLGTH LLCDTLAKRHVDLDSFMIHFDEYMKIHRGVRPIFDQYREYLLTSELRPILVWGWKIVQ AKDEGGPECEPLREMLSDGHLDSTTIAAYTHAIDMLQRIINDYKSRPTFPGPAPWTTV WLNGVKVEYTELLRARKPEAMAIFAYYGAMIHSHHRGLWLVGESGKHIIELATKSLGP EWAPRLRWPLEILEAARDS PFICI_09815 MLRHRLIPCLLMGAQVVTAQYTPQEVIVNSGRPTFFDQSTSIPG KIAIEEHVGNTLFSGTFTTPFVNWTNEVNFDKDIYIADVMSRITNIDSRVAAMDAANI SMSILLFGAPGIQGIFNKSFAIEAATYVNNELSSVYKNGNYSERFGFWCSNALQDPPT AAAELERCVKELGGVGSFVGGYTNNGTIDDIVYLDDPVNDVFLSKVVELDVPIYLHPR TPPPSQQRVYEDYNFLAGSAWGFSTETAAHVLRLMLSGIFDKYPTLRIILGHCGEGLP FYLPRISHRFRHFTPYWAAQESPQYYWENNFFVTTSGVRDEATLLDTLRWTGEDNVMF SVDYPFEDDTEIASWFDRLAMNSHTKKKMAYENAKRILKLGN PFICI_09816 MSTPIPNTSNAVAQEQRKRIRELLPKLFLGDYRPGPLNSLTDVP GVKVHTQQIFSPQGTNTGVTSITPREKWLTRACYSGIFTFNGAGELTGSHWIHETGLL HSPIILTNSLSIGAAHEGVYRYAVQQYGSDSLAGFLVPVVGETFDGYLNDITSFAVRP EHIIHGLQNVSSERVREGNVGGGTGMICHRFKGGTGSASRIVEGLDARGGKKEYTIGV LVQANYGKKQHLRIGGVPVGRILMAEAEETAKTDEEKRRRMQAEELDDKKNRKDGSIL VVLSTDAPLNPAQLQRIARRATVGLAKVGGYGNNLSGDIFIAFSTGTDIPVESIGGTG SAVDPLKPKPLPMEILDDTSINGLLEAGADATEEAVYNALCMADDFIGYQDRKVEALP LGRLEQIMEKYL PFICI_09817 MVAAVRVAPSAATRAVSLLRTVQYAHPPNCPCHSNPGHHHHQHA KPQVDRYARHQSQRRFASALDSSQQTEYAFEMAASSIRFGPGVTKEVGMDFANMGAKK VCVVTDSTVRRLTAMKQVEEALNAEGIDFEVFDKVRVEPKDSSIKEAIEFAKPYQPDA FLGVGGGSVIDTAKLMNLYTTYPEADFLDFVNAPLGRGLPIERKLKPLIAIPTTAGTG SETTGTAIFDLVAKRAKTGIAHRNLKPTLGICDPLNTRTMPAAVKASSGLDVLCHSLE SWTAIPFNARTPRPSNPILRPAYQGANPISDIFSLNALRATVKYLPRSVRDPEDYEAQ SEMLLASTLAGVGFGNAGVHLCHGMSYPISGQNPGYQHAGYEVNTPIIPHGVSVAVSA PAVFRFTGASNPERHLQAAEAFGVDISNVKREDAGAVLADALTQFLADLGDQPKGLKA LGFDDSHIDALVEGTIPQARVLMLAPGLQTELEKEREQLRALFEEAMTH PFICI_09818 MDASNSNGSSHRRKLTKKPPVNLHSYNVNAEGRFDAHGSALHSK RSSTSLRRAPSAPSQRQRATPTHSLSASNHSSPRHLPTTVKSTSSNQPSPILATGEFL PSTFSPNHQAASHNYPPSPVVGPGEVYAATGNYALGSNSGTTGVAKTRDHHRLSDPHQ RHRSLNSKTFDDLVGAPFDGTSILNHLEATKSQGYQNQFSPRRPAPPPLAHAQTSPVI SQSTSSTSISAESPAVMSLAEKAQQAAQGQAGGPKRYSDETKEPKAPGVLRKKSGFSG LMSTLVGSPKKPVISAPENPVHVTHVGYDSSTGQFTGLPKEWQRLIEQSGIPENARRE NPQMIADIVTFYKETTEKPHEDQVFEKFHDAKAPELRTPGGGMSPGVYAPNYAGMSPM ISPPASPRFPIVNNEGTFENPRAAPPVPGIGKGPGSMPIKDVNMLPSRPAPRPPTNLP ARTAPPPPSAYPAKDSGIGMAQGGDDLPPTTYVPPKENVSMLPEEHRSRSRSNSRVNG NAPYTPSSTQPSPVVQQAYQQQMMEHQQQQAMAQAQAAMKGQLSRSTSYKQPPNQQMA PSSQNQYGRPPEANGAGPRQPPQAGPGPQARPRHRARQSNAIDVTAALRRICSEGDPK EIYRGFTKIGQGASGGVYTGHERGSNRLVAIKQMNLEQQPKKDLIINEILVMKDSVHP NIVNFIDSYLCTGELWVIMEYMEGGSLTDVVTFNIMTEGQIASVCRETLKGLQHLHSK GVIHRDIKSDNILLSLDGNIKLTDFGFCAQINESHNKRTTMVGTPYWMAPEVVTRKEY GRKVDIWSLGIMAIEMIEGEPPYLTESPLRALWLIATNGTPQIKDEQNLSPVFRDFLY FALKVDPEKRASAHDLLRHEFMKTCVDLASLSPLVRAAREARQQEKARKGQ PFICI_09819 MATISESTSAAERLMQQHAENHHVTVEDAPDEDLPSRPAAPSTS LDDFPALGAGPNGSKGKSKEPAGPAIWMAKLNTGANTPNGTSRASTPASNTGVATPPP VAGPNTMSLPGRHSEVIVLDPQYILPRNQLKRPIPDLVKDINRKSRANLNISYQGSRL RVEATGPQDHAQQAIRELVNQIGTKQTVRVPIPRSARAHLIGKGGATIKAIQEKSGAR VQLPKTDEAHGAEDEDEDAIVEVIVEGNTVSAAVARDAIKKIAGDHSASLTSKLKSIP AEIYPFIAGPNNSRLGDLEKEHGAQIRIPPHQPWAHPVPKSQNGERLWFAPPREENFI QLAGERPSVTAARAAIERHAQELQNQLVINQEQIPFSRHQFIIGDNGVPAEDFFAETN CVIILPSDPSQDTVTIIGTEEDVARGVDKAQELSMFLNQTSIDVSRSHKHASGGAPSY TRDVARYLRQRKEIERLEKLHQVHISTPISEGLGLPWDLFGRDGKNILKAQKEVNSIV GGHPPSRFATVPVDPFFHAYLKSDIKPQVQNDYGVHVVVPEPADAQLPVLLVFEGDSG SEASYQIPQAAPNAEQLRAFQQGLEDARKHILELLGKQEDIKVETLEVPIKFHEKLRK FIKREQDATTRKAGEIPVRVSVKGTTITMRGTASAVNSLATKSREFVEQEKEDEKERG FILKFDFPQKFANHLIGKSGSHINELREKFDVDIQVQNGEVELKGPKAKAERAKAHIT ALSKTWADETTHTLKIEPKYHRELIGSGGSQINRLQDRYKVHINFPRTARAGKDDEAA TDAASDAGKPKRQQGADEVVIRGPKKGADEARDEIFSLYSYLKDNSHIATLTVQQKQL PSLIGAQGSAMDELRQQTGAKIDVPNERNESPDALVEIQIKGTKSQVAEAKKLIEEKK AVFEDTVTKSVEVDKKWHKILIGPGGSTLRDIITKAGGPDDRRLQNRYIQFPKQDADG NAIKVEGRKDVVDKIIASIESMVSERASQVSETVEVPIEKHRSLIGRGGDAKRKLESE FSVSIDIPRQGSGQTGVKITGKPDAVAKAKEHIQSSFKEEPSETVQVPRKYHNAISNN GQFFRRLRNDFKVTVDHAGQQPPAKSKSGSARNTAATPLITDDPEEVADAHSWNLVDN NASSEEGEIPWVLKGAAENVERAKEAINKALEQAKKPSTTGYLILADPSTYRYVIGQG GSKVNAIRKQSGCQIQVPKDQASDEAIEITGTKEGCEKAKDLILEAVREGVASRNRD PFICI_09820 MATTTAAFPLATEDPYTGGQDASAGSANSGGAVDDAAGASGGTS GAVELSHGALVAIIVVVVVVAIGGIASAVLFYLAKKREWKVRESIRRSAKKVVTALTP RRSEFPRSVKESGRTSRGRTRLDDVPPTPRLRPEDIEKGLAAKIRQSPDSRKSSRKWG HK PFICI_09821 MKAEKTGDVSVYTVAGAETARPLPDWLARRRKRSLKNDAEYQNR IELLQDFEFEEASQCIRVSDDGEWLMSTGTYKPQIHVHQLSHLSLSFARHTTSLNTTF QLLSSDYTKSIHLQSDRKIELHTQGQMHYETRIPRYGRDLIYDRHSAEALVPAVGLDA DGHGEVFRLNLEVGRFMKSYHIDLGADEGVEKGLQGSIGVGAVLVAAQAERTHNLAAF GTSIGTIEFWDPRSKNRVSIIGGQEGEITALNFSDSGLSLATGSSNGLIKLFDLRSPV PLLQKDQGLGFAVKELKHLTTASQEKKILSADKRVVKIWDEQDGKPWTSVEPLVDINS VAWCKDTGMLLSANEGKQQHAWFIPELGPAPKWASFLDNMVDEMAEEVRTETYENYKF LTRPELKSLSLDHLIGKTSLLRPYMHGYFVASKLYDQARLIANPYAWEEERSKRIKEK IDKERESRIRGKKKVKVNQKLADNLLKRQEKRAQIDLNAGMLGDDRFGKIFEDEEFAI DENTHEFRMLNPSTKVEASNGAAPEREYKMEGDSESDDASSSEDEEVAPARKPKASKD SMDMRVSTSNKNGGRSMKDTALGSRAQKTGRVTKNRAGDVVGERSVTFVPETRRKQKD EEVAEPQPKAKRFDARRSASTNTFRRM PFICI_09822 MDPAEYETNVLVINNISAVAYAFYEPESPPSNFYVSGAIEFEGA LRSDGHLVYVDAARHGLWCFRLQKKDDPPGSPSFAKTFDCQGLNFLLVEDGLFEPASL LKSRGYGASAINTPSSSSSSASNPLDAGLRGVQAAAFPGSLNDQEVKMSVQETRGTAT TTVRDAYQHFISAVLSALSSTLCNTSGALPLNSRTLLLPQRIQEESGMSIPILTSLRV YLTTTGSLVVMLHASSVKGLHSLSEYAYPPLQGITVLAAPLGVFATCQAVADSEYSSN NSAMPQSPDTQVSRLRPERESGHWRSICAKLLQARNLPSPISGTQKWLSLQRVRRKPI DQSYDGKRTPMITAAPTSLSWPSNLCFCKGLSRLSISDMPSSSPDGSYDPLANAKTWF NSTTEREELLARRKQEREAAAAQQSSTGSQPQPGAGLSPIALHRPSNAGVPPGAMYPT PPDGVQNAVGFTPSIDGTISSPGHQPITTAVVEIDTTVNMPPETFADAWENPEPKRER GGSSFESENLFGDLGPDMFGDNDITDADFSFFDEQPGGLDMTLDSVDLSGADRLLDMT QDFHTAPVTDVTPRPPVAPPPSAPPAPVFAKPELKHARSSLNEQPRRNTEPDVERQRS MTVKRQASPFTPDTVFKRIRASIDTRSMPQLKSNWSTPQPGSIFDKVEFSPSLSLVNR KYHGNGRFSFSLNRDQASKPYVFEAPPTTGYLRRHGKGRRALKDPPANVGELFARMTK GPGISSQHPSPSKLDDPASDADEMSVVSDQDDSSYDSDEPSSPGKTGSVRRRRLDDDE QSLATSFRELEYPDAASPHSSVDLPKFSKSDVDLPLARYFADAEPSSSQLMIPDNDFV IAAQLLTDQACTSTLGIATDPTVSLQSKLSRWRELLATTNLVMQELRSALPSWFVDLD EQLFKPFLEVQDVPLLGQPSRMQPRPPGAEQLKPSNPFQIPPPRFEMRRYDSKLSVLP SAVTFWESLGLGPSNGPKNIHSVCIYPDAEGLADDVDGFLDKMQGSYESLRLGSFSRM SASPDFPGGLFPHELEASGQDFNVTGSILSASLLNGLTKLSDVLSHLPVKETNVVVFF VYSTSIPASPAECCYAFHQLFERYKKLLLSSRTPVENDLVLQLVPMDFLASTNSMCSP SPHDYARLAVETYDRCTMFGGAMPAPAIVLEQTIPRMIDFKLSTTPSASLLHENTCLH IAYAQSVDERWVTAAWTDNRGSLQMTASYCLGRKGKTIATPFVDVGREIWESTHEMIS TRKVSWRIIITKCGMMDQSEIDLWSGLAQTESRATVTLTLITVDTDPSLQLLPPEVKV ANNATGVFYTTPVSTPQPSMVSPEQSGNPPTPSLRDATSAPTPGGPGDATAESDAEAT LTDVTDHTWGSILAHRLNNSTSLTELSPAIVSGYLLKKGGSRLEDPPTVMEVNIVHNE GNPRAYETLLREMLTYFRGLGTLARARGMTNRETDIRPWHIAAAENGSRALYNLM PFICI_09823 MASDSQGPTIMAVAIVFGVISAIVITLRLWARVFLVKHVGFDDA LISIAVLLSWAFMAACIAAVQHGMGMHIEEAMAHENNNLTPYSQIVWFSSIFYNACLG FIKISVLALYMRLGDRLLRRLAVVMIAVVGCQASGNVMACIFQCSPVAAAYDQTITDK KCVNINAFYLANAAVNIFTDLLTYTLPIKLIINLQMPRRQKIGLAVILCLGLFACVSS IIRITFIPQMLTALDATYVISGAMYWSVIEINIGILAASIPSFKPIASRYAPRLLGSS YNQSGKGGRNGSSYLKSSGLGRSKNGTMELRSVERGDRFGLQSGINRTEIGKVAGAGM GHSVLDDNSSEEALYIPPKGQIGVKTQIETTYAER PFICI_09824 MALLSVNNFALLGLAYITFKIVYQVVYYRFFHPLAKFPGPFWGS VTRLWIAYHNVKADEPEVVRALHQKYGPIIRITPTMLIVSDATKLPDIYNRNANKSKH YITGSFGETESMFNMQDHKVHAYFRKIAAGPYAFSNIKRMEPLLDSNIEKWLGKLDTL FASDGKSFDFSPWAVYMAYDIISEVGFGAPFGFIEQAKDVEGLIQGFHDGLVPFGLMA RLYPFTNWMKSTFLGKYMVASPEQESGIGTLMRFRDKLIKQRYEDIEAGKTDGRIDLL QVFIEARDDKGQPLDLDYIKAEILLVLLAGADTTGTAFQAFMKQVMSRPDVYEKMMAE IDGATRAKKLSAMPQYEEVLEHCPYYIACVREAMRLNPSAPNIFPRLAPKGGLNLFGK FVPEGAEVTCNPWIVHRDPNIYGADADEYRPERWLDAEKAKEFNKYSMTYGYGARVCL GRDIANMELYKAPLQFFRTFKPTIVKPGTYIYKGGISYFEDMEISIERRAQVV PFICI_09825 MTSLPETFDRPVHIAVIGGTGLYKIDGYTPIAQLNPETPWGKPS APILILEHNGVPIAFLARHGAHHQYAPHEVPTRANIAALRHIGVRCVIAFSAVGSLQE EIKPMDFVVPDQIIDRTKGIRPFTFFEGGLVGHVPFGDPFDSKIVDVVKKCAAAMQGD GVRLHDKGTVICMEGPQFSTRAESNMYRSWGGSVINMSALPEAKLAREAEMAYQMICM ATDYDCWHTSEDVDVSMVFHYMNTNGENAKRLVGAVLDELSKQENSDLVTAKHWEGQS KNAVSFMTKPEGRNPEALKRAEYLFPNFLN PFICI_09826 MALDLRGLTPAPVTPFNKDGSVDYAAIQRLGSWLGSIDGVKGLV VLGHAGEGTFLTADEQLEVIKAFVKSVDNKIPIIAGITQEGTEVAGFEAKRAKEAGAS AGLLYPSHGWLRFGYQPGAPQDRYKRVYEISGLPLILFQYPDNTKCTYSLQTMLDISA LPGVFAMKNGVRNMRRWDTEIPVIRQQRPDLQILTCHDEYLLHTAFDVDGMLVGYGNI APEPLIEMIKAGKAKDYAKARSIHDQLLPVTKSVYHRGSHMEGTVALKHALVARGILE HATVRSPLLPLPDGAEAEIHSAISSASLKKVA PFICI_09827 MSSEITYNLEQPDKAEAVHVEKGQIMAHGLSAEDAHFLETYPLK KQEKAIRKVDFRLIPMLLFLYLITYLDKTNIGNAKIEGLLTDLHMTGDQYNIALSIFF VPYILAEVPSNMILEKFKRPSMYIGILVLGWGIIMTLTGLVQNFGGLCAVRAFLGLFE AGFFPGAILLVSKWYMPDETQARIALLYSSAASGGAFSGLLAFGISKMNGYAGLAGWR WIFIIEGLLTVVMGVLCFVLLIDTPALSGRWLEPDEIRFLELRQAARQIQTPAVKGKG HFNKDALIAVICDWKMYLLILGSWSNAVPNYAMKFTMPTIVKSMGFTSANAQLLTIPP YACGAISAYVISVLADKCRWRMPFIVGPQVLLVVAFAILFSKAAEIANNIALCYFAVC LACNGMYPIFPGVNAWNIANTAGATKRAISIGYLVCAGNIGGVIGSYIYIESEAPRYP TGYGNSLAFGSAGILAMLVLEFLLWTSNKKNARMSEEAIREQYSAEQLEKMGDRSPLY RYRL PFICI_09828 MAAITPESDLADRQPRVVSRPSTAVSVPSKRGGPLSRDVIDNPR KAPKTSRACDACKLKKTRCTGTRPCDRCVQRNLHCIYEAAYSRGKAPEPLPRETPSVE PHTQDALESRMDLESHTGEVSGSITTRDSPEFEHAEIEGRYVDPTSALTFLHRAQRRF LHQANNTNPGGHGQLVARLDGGSDHDGTQTSPRRAADSISLPEDAQNLVEFYFENCVV TYRMFHRQSVEGWLNASINPVDPGISAVARIGNARTAILLTIMSIATLRLSKMTSGMG DAEWNADAPRRLGDHYFASGSSLTEREAEQPTLEMAQAWLVQVLYLLQTARMNKAWYR LGSAYQVVAALGLHRRSGRKRDEPARSSPAFINLQCGRRTFWVAYTIDAYLSIVLGRP RYFHDDDMSQTLPDALNDEDMGSSSLNELDEVAEYDCNMDAVIAHSRLAMIISDISRN VYTVKSTPKEFRLASAKKAGQELKSWYANLAPHLRSIRPSSLIPSLRRQAVALKLAYH HALMHAYRPFLSSNDAEVDAQGGIAEDCLTSSKIVLQTADAMAKDSAVFHAFWWSSYT VFCALTIVYVWEIQQRTGGYELTEENRKVFALAEKCHGLLAQSTSLDSPNRRYAVILE ELRQEAQIQHSGATPATVTTAPTPTAVNTSVDIGLSPHSPMPMMAPTTSSVCDMSQSF QQWQPMNWLELDASRTRLISKLQAFVPYPDLNASIDAYLQPDLM PFICI_09829 MIRSTSVKAKKKRDPDLSRDRFIDKTYVKDGSNIADYVKAPFEN SKNPLASYLSLRFAEKDGFLKELEKASPEDWDRVNHEIGRIQSDRQKFSDDFTKKRLN DELQQSYSNWKKTVFNAAELRQIGLDREKQVKKLPASEASTRELRTWDSKHLKQLKDV VDGENPSPDLGHASRSFSASRHLPPQPRAYDPYHGFRAGVMYFKKLADGSLEGFDSNN EKYKDTRFPNQKIALKDILDDPNKNPLSEERPDDEVRYFHFPSNNMEWIEKAIKQYYS RRNISNSSSKDCDRVLSREYWTGQMHGGGPVGHTSDFRRTAPVHSRHMRSKCSLIPRE ARPRFRTSQSTDHIPTSSGPQRAPQRAISVGVPLGEKNVAIFLPYLHWETSSRRAQMV KVINEAIGTNSKASRREFRLPQAVEEVMKMKRSKNGTSIKNKVPRVRRKQYLARYLFA VARMAEEIDHAADEKLLRHKVNNHSPLHVRRTLDQYYFLTLDNTSERDRDQVVYRGTR SLSLTTRVVMVDQLWMWILDDNTIITSFPRRWGRNKPDPSGVHKSLRIRLGQRTEVIK SIHHLAMIIMDQCSRVFFDRTRPLDQRPEVMDLFAEAIGTVTERTRIAYNHFWRFLWQ EQHYRGQLKVNVEGVVFKEAQDIAEELMIMKRVYCEQLKVAKDFMRHLTHTHGRHAAK LGDALLINKFISELKKTMAKDTEDEDDETLELATRIDEESVHEAHDLIETLQARLGEI ADLEEAALRACTQLEGFLSLKQQQASIIDARSALDMAKESYKQGRTIQAFTIVTIIFL PLSFIAAFFGMNNIEINNAPWMSLWQQIKWMFGGTAVVIFISISLAFGSWVRVLAVWL RGVATWIRAVLRLAFQVSRAKLFQDTEITILHGWWGNSVFNSKNIDSKREILLADIAL KRRQKIYNRAQRMMDIVEEEEEEEEERNLSQRLSEFNARRRLPERHGRHIADDPDPSY KGILQHMTRVRFARKEDGTADTNV PFICI_09830 MEDGLGYKYPSPLTGYENAPPLPEERLPDKSYVNPARDTPSKAY DEFIDPLDRSPRGGFDVHIYYNAKNEEQAKYARELWERIRREFPELSVYSFWDKAIGP HPVAMFEVNIYTPAQFGAFIPWLAIWRGPLSALIHPNTTEEGVSHRDKEKRNHSQRAI WMGERYPIDLSLFNMIPN PFICI_09831 MDTDVLQNIDLFPVTNTKAIDSLLDNSSNCLRQLSSISFWDNFD NPTGVDKTAQTPTTTTVDLAKTSPKKSPKPVKKSLKRAHTPDHKRSKLSQDSALDCCD YWLRFDSDDDSLERLGDFDNQGSTDRRFSFQQPTSRLGRVGNAGSFKLDFPSRTDDWL EDSALEHALSSEEDSLSMALDDDFAQRDVAQTQDVAPERLYSTPLSWDAPQPGVNTES YFNINPTFNDPERQRLLAIAMGSGLTQLPAESRNSAMEFTFDMQHPSPSATSDTTSNP PEPKRRASFAVTNSAKTSRSNSRSGLITPNEPNESAVKKEKPRNSDRAAHNDIERKYR TNLKDRIADLREAIPSLRSIPEDFDDDGSPVPVSRAPKVSKGTILTKATEYIHQLERR NRSMSHKNEELLRRLQAFEQLLGATPAPATWQPQGYGAAVFNPAARFSA PFICI_09832 MKLRRVSLPLRQLQQQNRRYASSQRASALDCRPSTLRPQARSCV WPLRNSSTRASRPYSTTVSASALLFGQPVHETHPHLLAPGEITPGITAQEYHDRRSKL LAALPAGSAVLLPSAQVQYRSGAVFHAFRQESNFLYLTGFSEPESLTLIVKTGPGEGD FIFYLWCRPKDPKQEQWNGPWSGLDAARDVWNADEVGDIGRLDKELAIALKGVQKIYT DAELTKYGVPTKLGNTLRAAASGVATAPLRPWINAERAIKSPAEIACMRRAGQGSGRA LTNAMRRHWTSEKDLGAFLDYEYHKNGLDGSAYIPVIAGGQKALLIHYVLNNGLLKDD EFVLVDAGGEYGTYITDITRTWPVSGKFTQAQRDLYEAVLTAQRFSISMCRVNANVTL DKLHSITETALRNELQKLGFELGGNAMNILFPHHVGHYIGLDVHDVPGYSRGITLQEG HCITIEPGVYVPDDDRWPKAFRGLGIRIEDSVAVGEENPLILTTEAVKEVVDIEALRD PFICI_09833 MPHARRVPLSMNPSAANSPIRASGLSKPKRSSASIQREEAYGQP PPAKKQILDSGIPRPLKSPQQQRVAKTQLSLQSRRHASTYESKLARERSGQHHHHAES ASTGKYTEKDLDEIRRWQSHHRQKFPKMVFYFDSIPEDTRAKLVKQITSLGAREERFF SSEITHVVTSRPIPPEQRGGRRADDVDAETHAEQQQPQTINPSLLDRTADQSVRRKLF TDALGDAKSKSQLSSRSGLAQMPETRPKRSTDVLSRAREMSKKIWSLDKLNRMLSMLL ETDLNVSAAIAYGSRSASVSASHTHSKATDERSLLQLLQNERVNGPSDRDPTVTTKEM HYFRGPYLYIYDFEEKQKPIMAREYQRVQEKSDGDWPQFRTAPLGRCPFIEDYDHHRE VVRPKKREVAKPAIESKLVLQPPEDSQRHAKPVTGKRSLSEMESAHNRGSSITANLFN PSKSLAGKKFDFGPNPFGSRAATDKLFGGEPLASGVQPSNITSAIRSQMISSTAATPG AIGVVSKEVHGLQRKVLQRNSSHEMTSRRTASAGASLKEDTESKRSTLSRTSSKKLDQ IDEQSAVDENEAGQSAKASVPAEKRVVKPKKKEPKPGYCENCQDKFADFDEHILSRKH RKFADNDDNWGELDDLLDQLAREPKHKSYPTWAPALPEFPVY PFICI_09834 MAGSDVQNDSSGSDDASGPEVAEDINDDDTSEESSSSSDDGEAH NFLDLEASESCDSEDSEDRDDDIDASPGVEHSFPQFSQLPPELRQRIWGFFDPHLRSP ARVFSFRLVQLPPYRIPGNESRYGIWEDPSLDQQTEPARTLLAINHESRCLARTFYPH NICVEDEQLFIPCHKTRDIVRIVGPPEELYNEDWNYFIDPLIRGFHQVAFQLPSGDEE TPGITPSEEAFMSDAIRRYLLTDFPELTSVFKCSDEIDCRTSDIQWCTLDSTHNFYVE TSERSPGLGEDMQYIFCWPKIINGTRSTDKPFSEEPEREYRGLLPPVDQLEEGKVLSR MIHFSHSPYSSGLSRFDKIRMATFSGSFENYESSDSESESEETNEYESSGIDDESIDD DMGSDDEENDLAALQSSPVDHESPFQGFSSPLGMDIPELLEAAEAGMALEAAIFSDIE SDPSDESDHAQPQNTNQRQRRVIADSSDEADESESPQNPSRKRPRARVIESDSDEDSQ SPKPVKRARTEGRASRVVLSESDDEDESDHDETTATSASKRRARGTVRQDDSQSEDES DDQSEEEDESEESGQSSDDDEEDEEEEEQAPQRMSLAERIGAFRAAVPAGDEAESSPE AEYDEFNDEGDEGYGGAGYGAFQDDEEDDGRSDREEMIMDMDEEASEGEEGEEDGW PFICI_09835 MKLRQVVVILSTGVALATPMSNEGLSQLSIFEALASHASAAEIA LLGDLAVTRRSSTCTKDNIVTRRPWGSISKQERKSYTDAVLCLQSLPARTPTSLVPGV RSRYDDFVATHINQTLNIHYTGNFLAWHRWFTWQYEQALRNECGYSGYQPYWNWGLYA EDPASSPVFDGSESSMGSNGAYIADKGPIALTLGDYPIIYLPAGSGGGCVTAGPFKDM VVNLGPVSLPLNNGSVITGSGFQYNPRCLKRDVSAAVNMAYANMTSIVNLILQNDNIW DFQMAMQGVPGSGSIGVHGGGHYTVGGDPADDVFVSPGEPVFYLHHGMIDLVWWVWQL LDYKDRKYAINGTNTFLDQPPSANATLDDIVDLYYAGGGPITIRELMIEVQQDCRKVL FGFGHSTDLDFKFTKFQFRDSE PFICI_09836 MASRSQPLKSILTSTRNATKSASTRACRRQLQARCLHTTTPRRT DGVFRGLTDGRLPTPWIEAWRMQKEGKNTASSEAKPQERDMTPKNMSDSYHRVVLPLG QDPWLSDTYINSSGHIRLGTVFMDLDALSGIIVYKHTGPGVTTVTAALDRITIAHPLT EICDLEYSGQVTYASGRSSVEITCKVARARPEGEPSRPEDVLLTCTFTMVALDPETKK PVNIPALEYTTPEEEQIYKAGEAKSLAKKNNRKISLLATEPDDPESALIHKIWLKQLQ YHDPNDELRQPANVVAMSKTQLSTAQIMQPQYRNRHQTMIFGGFHLKQTFELAFCCAA SFAHARPTFISADPCTFRNPVPVGSVLYLTATVAYTDPPLLEEDGSEPSQQDPEKPMT RVHVRVDSKVRDVEHGNARPTGQFNYTFAVPKDVKVMPRTYQEMMMYVDARRRVRLGD VQHLQEQTGSYKEQKQLAEDTNLTE PFICI_09837 MEYPDVPTRDSSPSPPPSPTLDRPASPELPLTMTASAILTSLPQ DASSALASAGDFGQQEKVVVRFKAVGSAPQLQREVRKISAAQKFEAVVSHLRKALRVA PTEGLFLYVNSAFAPALDEVVGNLHRCFKDSNDQLIVVYSMTPAFG PFICI_09838 MSPSTLRSCTISLYRGVRPVAPTSIVRPLLSSLVGPYHHQQRWS SHSPMGVPTGPQRKKVTVGTIRSLHKKNEPITVLTAHDFPSALAADISGMDIILVGDS LAMVSMGMEDTSEVTVEEILMHCRSVARASKASFIVGDLSMGSYEISPEQALATAIRY VKEGRVHGIKLEGGAEMAPTIKRITSAGIPVLAHIGLTPQRQNALGGFRVQGKTSAGA LKVLEDAKAIEEAGAFATVLEAVPAEVAALITKELSIPTIGIGAGNGCSGQVLVQNDM IGNFPPGRFLPKFVKQYGNVWGETIRAIESYKSEVKARQYPAVEHTYPISDGELESFQ AALKKEKA PFICI_09839 MSHLKNIAIVGATGSVGKFVVDELLKTGKHNVTAITRADSKAVM PEGVKVAKVNYDDQSSLVEAMKGQDVLIVTMSVMAPPDSQIKLNEAAAAAGVPYIIPN NWGGDHANEKLGDETLLGPANRAVLKNIQDLGKSAWISIVSNFWYEFSLGGSADRYGF DFDERSVVFFDEGKQPINTTTWPQSGLAVARLLSLPEEKLAEFKNKFVYISSFCISQK DMFESVLRVTGTTEKDWKITYEDSRERYQSAIKALQSGDRSGFGRAMYTRMFYPDGSG VYENIRGLQNELLGLPKEDLDEFTKIGISRKDEKY PFICI_09840 MLSQIRLLQSSNQMPRSNIVTELRLGNPASWQGQNDDLMAKSVA LCYSLRLSIHIYPDTDTRFFSTQSLRWDGNESISTVVSNAFPSNWNSSDIGVIEQKLT LEYLSSFYGFKIFFIDNLVDHLTIQRTADENWLLIYQHKIFLKNELRFGSLLPQSLVE EALDTLNLLLPYDDEKTAKFLRRQSMEDFHSLGPCNRSRKTDLSEYKYFQARIAHLCA VIKDEPPIGIQQLMPGWRGSNLREFLNFWIAVFVGVLTIFSIAFGITALVYAKWAYDV ALLQYQLSLAQACSEPDAVKTLPGFC PFICI_09841 MPVCSTTVDLPQDKRKVVRVKRRWRLRAKSLYVGIKNEIPPPPG RERFIQDEWNKVIKEELQLHLDNINREIRYTCQGKDTWKSILEPELLLTGYQIGKSIS LSPKIWFRCGSAWTEKIVQKETGALSWMAERGLGDRIETGLKSAILVSHEPSPVPRQV QTMLDDGMQPTSELPYGYTLHICTEKLRVMGTVNGLLCSALVRKDGEVRYHSICRIGG LISINDGAENKIYAVTTAHGLLDYFLNADNIQNTAQSARPQLKSTTRLTEIASSFIGR RFNRSATQVAASAMQTADTVMHGTLSQQELDSVEWEPVRSVSATNWLGGGWEVGKEFQ HPFFIPSPERLVPDADFALLELPSESYNTPQHTRTDDRAVHEIRKWLSDDQLDPGEVQ VVLRSDRQVSAEGLVTAQLLYERPHLFLRGAPFPTRKLRLEKPLASGTSGAWVVRNHE LCGMIVASYETEPFAHMITAEKLFSDIRKTLDIMPGQLTLDLPQTIDIPLDTLHVLPP RRRKGTKAAENAIFQDSRDDLEAYIAKHARTDKHETSADGMIDKLRKELNEALVVSAA HESGKFLPPQSLKQILTRSAVLISLWQIFEARTKAEQLLSYIFSEERNYIFSEERESQ SLKIYGILILVGKASEIEKFAEGRITDRELPLPPTVNRSREAGSKSSANDEKSEIDTA LAFLMNWDHSSIDLFNVYQWYFLSPCFAHDADGSILHYSLQDNVVLPWIEMTEGQTGG HGIIYRVKIPPSNHQFPCGDEPDVFAVKMLFTNDKDDFAHEGDILQSLSNKPRVVNLL ATFQYRNKFFFILQWAAGGSLRDMWRQYEDAPPLRVALPWFMDQAHALAQGLRSIHSP HKSFDIGILHGDLKPDNVLVFRRDVPEGEIGGLLKITDFGSAQRIMQQPFMQGPNITR GFSRTYRAPEIHMMDQMNGLSLKYDIWGLGCTYLEMICWLFLGREGVEDFATSRVNED RGIESGYQIKEDKYFVDGSDSPLHPDFAVKKCVTELISRLKDLPTCPSSLKAVLTLIQ EDMLVVDPSRRLSAKFISVELNFIMREAGLKDRYESTDEGFAPFSSKKPTAADQFRPF EFKRRDHFDSITESQRAAARVSAVKLRLPALKNERLADHERFTVLHKAVSNHLAQEPA LTEELITSQERVIQHSSLDVEKKNHLVPVDVKTIDEFVAEVANQKETQRFTLRRTVER RHLWNMQKAIREVAMQDGGKRYAAFKDDFSGMTGEELTNMRTDLYTRIAAVDSLLVEQ LRAREQKGVEGTAKVKGDMRSAPEMVVR PFICI_09842 MQEPKRVWPGTLTAKRRHNKNTIAGAVKQIMGSNNGYDKRDGCT SDSLFKKFGVPRTPWARQIAVSVEEIRLHLPFNKFAETIALSYGINSSTSPSPIYAGA HHSSPGSVQSSAHSDVLTTYQTLGISNKNIVLQPKTVVEEHRQDRLAARNIAFVGGKF EFEKLMRVFFVLSNKQKIHLQEAIEILEWIESAEFSVLKSLFTLPLYTVAAAWEQLAD ISLVLRRPDAFSKLLQAGLAIRNGEWLKARASRVLGIAIWLGLRQWQRNLLENGLSPA GIVAESHWLTFSNDDTPFIFFDLTFPRTPLQVAVRRSDADTLMTLIRYGICPNKYDTR LMATVLFEQDPGSNPAEQIRCLELLLEAGSPVDFELKNSLQTQGETSIERLGWYPKGP NWFSDNLWYHAKRKRHLQQAFDLVSQHSKRDRDSLTVPGLHDAASRGCSELLRYLNSR ANPSGTDRNVLLEIALSQAASRGELKPFEIFLQIGVDPNAREIYTETLRSSTKSRRYI YGLSDPTLEKPFWYFENKEYLAETYVPAYQASLKLHMILLKILFEAGADQNQANLVDA LLSNGKADWSDLFASKYPDGLIHHGIVDDAQELAPKRVNEIYDFLLREGFDIQLHGSK AMVNAIMRWGERELKVACLQLDWLHSKGVGWDADFEGCNLIHLAVRQRCDLNFVRFLV ARGVKVHSTPCHQNSTMLHDALYAVCSLDVIDFLLQNGCDVNDQSYGGRLILQPALFY DRRGGTAATCAATCKKLMEAGATIVPHCQAVPFHTKSPSRLLSELIELPDIGDDSIIN MLELGTTIPVCYDLKYPMKEEDFFGPLETAIAAGRLNLAEELLCHGERVHDASLLIST VQRSITRLCIESPENHTAKDFMTRFITSGPDINSLGVKGLNSLHIAAQEGSLNIAAIL LENGADPNTPIKRVEFCVYEPIIECVFRHHSKGEIDPDEGIAFDAQGRPKFPIYIHGP VREPRALDVAAFHGRLDMVQLLLNVGGISGQAEVTPYDGAIDSARHNKHNAIVCFLEK AAVHFLSTGELPSMSDNGQDHGLLNYDLQ PFICI_09843 MRTVFALVVALAASMASAGVIITPIKANQVVPKNDDDCFFGVTT PNGCGPLRN PFICI_09844 MAALLSQSVPINEALSGIFGSISLTAWICLLIPQLITNYKTKSA DGLSMGFLLIWLFGDIANLSGALVTGIAPTATTLAAYFCFSDLVLISQCLYYNTINAR RAARQRAQSTQTNASEDEPLLRRRSSAGIPGSQRRPSVRNDESGLGDSLTRIVTGEDE TPDSHRWLHNTLSLAAVYLLGTAGWFVSYKVGAWDGPDEPDSPAAATSIAGIVGMSLG YLSALCYLCARIPQIIKNYREKSCEGLALLFFLLSLTGNMTYGLSVFSYSQDPEYIVK AIPWLLGSLGTMVEDAIIFYQFRIYDPSRQAKHADAA PFICI_09845 MLISSATGLGHITVAYLASNFVKDETAAYFQDLLRNDTEHYLAG VATWADSIRYTRWGRFTKNFHFIDAKDSPPTYCGVDFERDCKEDGCVVSSIQNYTSQL LDGSLYAWRRNQAAKFVIHFVGDIHQPLHTENVAQGGNGIHVKWQNAELNLHHVWDSS IAEQMLGGNRRKPYVGAHAWATNLTSEIKDGKYTAESKLWANGLNLDDPIATSMIWAN ETNAYVCSHVFPEGPAAIAGQQLAGEYAKKAEPVIDVLVARAGYRLAAWLDLIADRIR ENSNAASVGEL PFICI_09846 MLAKSVLVGLLSLALAVEGSVIGSPFENVLTERASRTLQVRQNR NGNKNGGNQNQNQNQNQNQNNGNNNAASETCLSANAVQTGSAADGSQGASAGQANSAT DAANFINFCSGETLTNGLQQKGGSCNGIPMGKIPAQAKTISAVFVNPQNGDNIAANQD FSIQVQVANLAAGTFTNPDSTYYSAPQDLDGSGNVIGHTHVVVQDTGNSLNPTTPLDG TQFAFFKGINDAGNGNGLLAADVAGGLPAGNYRVCSMTSAANHQPVIMPIAQRGPQDD CVRFTVGGNNNNANNNNANNNANNNANQNQNQNQNQNQNQNQNQNQNQNQNQNQNQNQ NQGNATAGTGSTVSAALAGISAPAVTDSGNADRPFEVNGNTFVNKAAAVQRACDIQMN GCADAVNGGKASGVTVSDCQAQQQTCIASAS PFICI_09847 MENTHNYWPGGIPAHVRFNEEPIYDSLKEHIKAWQLFLEENAGQ CMPQSQDDQFAVTQRRRLVEQWAQMRQEDRDAYHRRAPIRNGASWCPPQLRDKGRKMG KSVAFTQLIAPWPLDARGRALWTKVRIMLYALDGENGSQFDEQNSTVGIVVPHPAVAA AAVTPADFLQWCYIEDADFDSIAMTVAGRVICHRWDNLMLFADREALETGFLLLCELD NNGQVRDQARVWPPAFKNEYIRMTVLCKPLDEIRTDDRIPGPGWVGPIDMEEPMLDLL ESKRERYFPQGCDIDLWMEAIERCAPGYLDMEEEGNGMAPDYDHALFRSHAELEDMPW EDEQ PFICI_09848 MSQMGNENAALVIRLLLEDCEEALSATVGKGKQVVGTETDQHVA LDLHLQEINLLQEFAADRRMARSVQQAIRSDGPALSISQEEERRAENDHSLSIAVSQG ATPSTLEADLNAQRTIDDDDDEFIEKLLYIYVDGIEEIAADDDPEEGHDTDGDSDVAD LPESSAWAASRDKRKARPTRNCVACGNHKHFADVARAPCGDEYCRECLSRLFRDAMID ESLFPPRCCRLPIPLERNRLFLSADLCRQFRKKAIEFSTPNRTYCHSSECAQFIPREN CSENTAVCDACGLRTCTTCKSAEHGGDCPNDGPLQQVIELAREQNWQRCQNCWGMVEL NTGCNHITCRCGFQFCYVCGAQWKTCHCDQWDERRLYERANEIDARDRGHDDIVPEGP VLPRQEADDNLPAPIEDDAQEHPAEPNAHEPAILDTSQAPSVVGEVMTTYENFVPQLN PSNNGNTARHRDRQQRLESLMQRLRYNHECSHDRWSNRPGPRRLQGYGLSPL PFICI_09849 MAPPDRTILITGGTSGLGYQAAVNLARRYPNAILILASRKDNNS SSATIKESTGNRNIRFLSLDLSDQRSIRVFVASLPKENLPPISILLLNAGLQFPDGIR YNNDGIEATFAVNHLGNALLFHLLVPLLADEARIVITGSATHDPAQKTGVPDANYTSA EELAHPKGDALKNQGIQRYATSKLCNMSWMYALHRRVSHLAKKWTVVGFDPGMMPGTG LAREGGPVFKFVWHSILPRMVPLIRRIVPFSVWTVQESGENLAWVASEQSTTGVLYDG RKEIDPSDATSDEEKQEDLWEWTVKTLAISEAEMKEFQLD PFICI_09850 MASTRPHIRDLGYGPGYYTPGPKNSILDVPDLQVGQKTVHDEEK GVHVGLTLIYPRGTENTRKQPSYANVHTFNGGGEMTGMHFIQDWGFTGSPIAFTDSMS CGSVYQALTNYSFDLSRRLGENAEQMYSHHGWPVVGETWGGGNTDIQDFKSTLNYDQV VEAIEDAARRQTVLEGSHGGGAGMLCLGHKGGTGTSSRLVPQGGDGKEDFVVGVLVQT NFGQKSMLQIGGVPIGKLLVRDDVEKGKAASEADRTERTGSEGSILVCVVTNAPLLPH QLKRVAARASTGIIACGGLGTGYNSSGDIIIALSTAKNCSPEVLMKHEWKRPSPETAG RAGGRPSAPAGQVSGAGFRRDVEVQTVETVVHSSIDALFVATAEATEEAILNSLCQAE DLKAYDGTLHKAIDTERVKELLDKYRVQ PFICI_09851 MATISLPNAFSESYAQFDQYPLNIIRRDAHGTPMLSRIHDRLQE RTPYLFIDDHNTNVAIADVLSDGRVDRRKLYSDVEIKKWLGDASYTGSSDISIATKKD PRCRFVFLLLDSVTSPLPVSAKSVARLMTYHQVSPDFFDFLDAYGAPLAINTELRFNA FRTEIYLADPEPGAILPELGRSGRHYQINYSLKSVNRKEWMKESQPGRSLWQIRQTAI HHQLDVGSGAQFWMFADPHGALRDRITDVFPDQPNHGQKLDSLSASFKTSLEIQLHLV RWSTEGWQLYIKHLEETVAQVISRLILSNPDQRTHLRTEELMHAQAYEDTINECIITL ESNADNMSSLDTFYTSLVKQEDFPNSERHSCEKEVQKFTSRLHQLVYDAKMQIRRTKL LAKVMADRKLMFVQLLQVQLQARSADRAARLSATMWRQAEETSHEAIAMRVITVITLL YLPPTFVSTLFSTDIVKYQGDNGDLNHDMFSFLALKRFLQVTMPLMVLTFTVAFGWVW YERIRGKERTARLEKEYPDVFGRARD PFICI_09852 MANFNRIELLLGLLLVSFSLLAANAHPTDLHLAHKRATTSPRER ISINKDWKFSRFTSNPDGLSYNETLKPWILPSANDFVIDGTQYQRPNGTSPGQDVEYT QASFDDSTWEALDLPHDWAIKGPFNAPGISGGMGRLPSNGIGWYRHNLTFTEEDVGKS IFIDIDGAMSYSAVWLNGELVGGWPYGYTSFQLDLTPYITVGEENLLAVRLDNPLDFS RWYPGAGLYRNVWLVKVDPVHVAKFGTHITTPVVTAEEATVDIVVNVENKGENAQGVI VQTDIRPSGSDEAVASFDPASVLINGGSKQSVNGSVTLTNPQLWGPPPTQQPNLYVAV TTITSSNGTVLDQYETTFGVRSVVYDPDEGIIVNGEKIPIRGTDNHHDLGSIGAAFNH RAAERQLELLQEMGGNALRMSHNPPAPELLDLADIKGFLVMDEAFDVWNEEKVTNDYH LLFADWHEPDLRTLIRRDVNHPSVIAWSIGNEIPEQRTDEGAATGQILYDIVHEEDST RPVTSALNNGQPGDGLADLLDVISLNYQGEGRGNSWTSTFPAFHETYPEKLLWTSESA STVSTRGTYFFPVIGNMSQVVSDAPGDGGNSTLLEVSAYDLYAPSWATSPDKVFKQQD TYPYVAGEFVWTGWDYIGEPTPYDDYDAARSSYFGIIDLAGFKKDRFYLYQSRWRPDV QFAHILPHWTWPDRVGEVTPVHVFSSADEAELFINGESAGKITKEELTYRFRWDNVTY TPGELHVVTYKNGSVWAEASKKTAESAAALNITADRTTITADGYDLSFVSVAVVDEAG TVVPEASNNITFSVTGPGEIVSTDNGKPTDLTPFPSLTRSAFSGLALAVVRSQSGQAG SITVSAVADGLAGAEITVEAS PFICI_09853 MAYSTVHDYNYNEAPPVVAHETLGDESRHQPPQQDHITYAETQS LTSWDGQKIYPTAEYHQVNPYWNNPIPTGPSHHRGWSGSTATVDIPLEDRSPPKSDTK SLKQKSPSSGGGSWTLEIVTILFACAAVGGIMGVLARFENRPLPDWPYYITLNALIAL LAAVALATMSVSLQNGISQLKWIRFKESRAPLADMETFDEASRGTWGALKLLATARGG FLGSFGAVIAIVSLALGPFAQQIITYQMRTVMDESPGSATIARAQNYTGALPGNTSST GYVPILPLKSAVYNGLFAENGRPNAALKFERQTGNCTWSAFDTIGVCHECVDLTPYMS RYCAASDDNNQDCGWKVPQGPAYLNSSADVFSMTPLIPSASGDMPHSTIMRLVFMGTE AKDGLAGELKPWAQQCALSVCLQTIDAAVANGVLSENVTARVVNRTVVDMTRDTSSQD FAAYVTGQDGEVYNVGMEALLSMRGWFSSLFAAGRAVRTTADANRTITDNSVVVNLTV GISSGVTFFDSDIVTAFYWNYYEYQDADGIDMLMSDMATSMTVAFRSFFGAVPVSGKA ISMESFVHVRWGFAVLPIVVVVATVLFLMAAIYRTRQSNTKALKSSALAMLFHGLDED IRSQFGSVRNLNDKKRQARVVKVQLNESDGKSLLRG PFICI_09854 MSNLNRLLSDPRAGFDKLTEEERIAREADISTDSGSEGASSTDS DDETGQPAQDTTKSKRKASQELVNASTKATKHSSTGKEPSTSNLKFPNGALRITRTEG RKKAKNCVGLEDLVDKQSLVSACIYSYFIADGDLLKYLPLSKTSNDVPIYIGRDVGYD EHINGGAAAKAGLQIKGKATKKQLDVMESDIHRRYQDMYGKNYHAFNAWAPGSAHTKI LLLVYRSFLRLVITSCNMMDSDTVHGDNHWYIHDIPRLTTRSQKMTATKFEQDLLSHL RSLRVPHTFIDSIEGQFDYSSVRVQLITSVPGTWSGAKAEQHGLLRLRNAVNTLGLGL PKKNSEGKLQIEVCAASIGKLNAKWLNGFHDCVLGKQDLTVAREDCQIPNLKIFYPTR GNFEDAHKSAQQGATNLGCHTKPWKSAHDDIKRLFHHYVSKDPGCLLHQKLIAVFNGR DRLKTLYFLYIGSANLSQSAWGALEDDKRGNDATSGTKLVKTTNLECGVLIPGRLIED LLEPGTESWIEGVIPYEQNARQYDLLRDEPFTSDMWVREENWRDDY PFICI_09855 MASNLGGSQTSLVPSRSSSKEGSIPPLTRSATLPDLSSSNNNSP RASRSHLAPEDAFFASSPPHQINAFESNSRYDSGPDSRRRLRSNKLGSRSRSRRRQSK RTWKKILWVKQSFPDNYTDATTFLDSLQRNPRLQPYDFWPLVADTTVIVQQVCSVTIF VVCFVGIFTERVSPVTIVGWSSFATFLGWLLWDWWIGQEGEDEVLQRQNSLRSRGARH QARQENSRSSTAAPTPSAASSVTNLGATERAQPQNTLGVMRQHGGGSAISLQSSTSLS SHQGHQNEPPMGPKHRPSAPLQSGRLSLRLSTIKSAVLIYFTILGLSPILKSLTRSTS PDSIWAMSFWLLTINIFFYDYSGGVGVKFPASLSTNAALMASTVLASRLPSTGQVFSL TLFSIEVFGLFPVFRRYARHRSWQYHVILSALLVIGAGGGVGLILSDKRDTGFPWKNG LLGMIVGVLIAVVAMGASSWWLIGLQKYKNAMNGPWDQARPIIISRRAWGDD PFICI_09856 MKVSTALCALSLGSWTLAAPIVIFKNEPPKYSGTQITRTSIDAD SADTWPIRTHKFTNTQDAAQDSLTGRPDNRPYSPTSDVAPSEALAAPRPLKTSYLLSI RPFMLHSSENASPDTAPSSQSPHSEFQDSSIVEAETGSVKKHHHFTTVELETLGNKPY YQTIPCPKQSGYFHLVKGYTDTTVVAVVFILIAVIALIELWNPICKITSRIWNREGMI RLDDNVDEKKQLEVRYSDFVLQPAPKTRSKSSLPSDEKAVHKS PFICI_09857 MSLQVDQSGRRGRSRSPGRRDDERDRSRSRAPEPYIMDAVRMPS PPAGFSYDDHHDAPSRRSTYGYDDPRGPYRVTSPTDESSYRRSRDRFSDESDNSRHSR HSDKERKYRIHPERQHHQDFDKDRLAKALGGAAAFLPAKYAEKVMDKALQSDSEKERK KQEKKAQLEEDLAYGSSPTMPGGYPRDNSPPNSDAKYAKMPEWGVNNNGGSYGYNVRH AEYNGPAPHSPHRPSYRDPSPEPRRTSSKKHESRSSKSNLTVEPSGRHRSRSRSPAPP VKGMSSLTVNTGFPTGLHLTLANAPGSPLLESYHGTYQSMSPMPSPLLLPSNGPLSPI EPLSPGGSDDERGGKKKRRARFSDPEDDAATLAQALKGERRAPDVGPLIQILPGLTHE QVMDLRSEYKRLVKTGSERRGVNIAKHVRARLKDEDPLLMKACYTVALGKWEGEAYWA NFWYQGDKTRRELLIESIMGRTNDEIRRIKDGFSDKKYRDSLTQCMKMELKEDKFKRA VLMVLDENRMEEFDEYGRLLPIDSRLVEDDVHDLRKAVKSEKGGESAMISIVIMRSDS HLRDVLKLYERTYGSNFARDALKKSGNLVGELLAHILNGVINKPVRDALLINHALTAS KKDHLRRELLISRLVRYHWDRHHMSAIKRAYRERYRIDLADAIKEGTSDDWGLFCQQL VVTRTPDEVKRFTKVEVINR PFICI_09858 MASKLPQVTIIGAGLSGLALGLSLQSKGIASILYDRATSASRYN YGITLYPSTYRPLLSLLDMDESSFRRTLAVNAHEGGLGSLSTSDGFRCHRGRLEALLG RSLSIQWDKKLKEINMVPASRDLNVVFEDGHQHKTTCLVGCDGPHSITRQSLAAGIEP EVLPYVVFNGRRRMSPEEYAARIREYMKHGVQLQTRVGNVFLEISVNDMSESRVELSY VYSRPARDNGRDALHHPGRATSGATDTPEAFYDELKSLHQSLALPFRDVFDADRVKKD RTLHWLMRCLTPEPKVAQQLSERGVLLIGDAVHATPILGGEGAQLAIQDGLDLAEYIS NHGVDNLGQFASSKYDVWRRSVEDSKKRIAELHVVDPSRV PFICI_09859 MEKVRQKKAIVVGAGAGGIATAARLAKAGFDVTVLEKNSFTGGR CSLIHHEGYRFDQGPSLLLLPKLFRETFYDLDTSLEAEGVELLRCPTNYNVWFADGES FELSTDLARMKHQIERWEGKGGFERYLAWLGEAHRHYELSVSDVLHKNFTTWWDLANP WFVRTGLKLHPLHSIWNRASRYFWTDRLRRVFTFATMYMGMSPFDAPATYSLLQYTEL AEGIWYPKGGFHAVLQALVNVSERFGVKYRLNTPVKQVLTLPDGKTATGVLLESGERI DADIVVVNADLVYAYSNLFPQTTGNAKHSESLRKRDGSCSSISFYWSLDRKVPELQTH NIFLADEYRESFDAIFDRQELPKEPSFYINVPTRMDQDAAPPGCDAVIALVPVGHLYQ SKGFVKSDDVGIPRDHDWAALVDRARHSVLSTISARTGAAPLSDFITHEIVNDPFTWE AKFNLDKGSILGLSHSFFNVLKFRPSTRARGFANAYFVGASTHPGTGVPIVLAGAKIT AEQILGDLGIAAPWSTHPAQLEDLGVPQTRSTSQLDRQTPPLISVEAIALTAFFSLWL ALLAYFWRPLL PFICI_09860 MAYDYAFVHLKYTIPLAGLLTFVLKPLLTRLDLYKTLALIIIAF TATLPWDSYLIHHGVWTYPPDAIVGLRLFAVPAEELFFFVIQTYITSMIYILFNKPIL HGQYLTNEHDVSSVVRTIRVAGQAFLAGCTAIGAYLVAKGGEGTYLGLILVWACPFAL LTWTFSGYFLIKLPLISVATPILLPTVYLWVVDELALGRGTWSIESGTKLGWCLFGSL ELEEAVFFFATNSLIVFGLVAFDRGMAVLNMFPDLFPRVPTTPSPVLLLKGVLADRSK YDMDRVRGLREAVVTLRRKSRSFYLASSVFPGRVRIDLVLLYSFCRVADDLVDDARTE AEALEWIEKLTRYLDLVYASKTPVSPADDPHVLKYIKNNFHESDQSALRLLPAKLLPS EPLYELLEGFKMDLTFAHTGGKGEPHLPENFPIKNEQDLELYAARVASTVGELCLWLA FHHGETRLPGDKEAILVLAAKTMGHALQYVNIARDIAVDAEMERVYLPTNWLKEEDVT PRDIIKAPRQAVVEKLRERLLDLAFKEYARSRKDMEMLPSDIRGPLIVAVESYMEIGR VLREKKAVQSTKNAKRATVPRSRRLWVAWKNLASQ PFICI_09861 MSKSNILIYFIRRDLRVSDNPLLHYLSSTPDHGFTHLLPVYVFA SHQIEVSGFITDGSKSPYPEAKSDLARFWRCGPHRAKITTSAVWDMKNSLEALGSGLF IRAGQFGDILRELKKGLEEKSHKVGAVWVTSDEGVEEKRDEKTLSSICADSGIDFKLW ADEKYFIDDRDVKLESAQDLPDVFTTYRKMMEPLRDIPRSTLPKPAKSSLPQLLPTED VPPQAAPFSIPSTYDELEKRILDPIKEIIPNAPPFPEGAISAHPFRGGETKALARLED IIKNGTVYNYKSSRNGLVGADFSTKLSAYLALGCLTARQVHAALVRYEEGNDDTYASA QGYGEGENDGSKSVRFELLWRDYMRLCTRKFSFRLFRRSGFRDDQNLKWKSPKPEDAT EAQPSSDEVATMLKRLWAGTTGMGLIDASQRELIHTGYTSNRARQNVASFLSKHLGID WRYGAEWYEMLLVDYDVSSNWSNWQYVSGVGNDPRGEARIFNPVKQAFDYDKEGEYVK MWVPELRELDRLENVFQACTTPKEEWDQCGLKGLEMAESPLKKIDFSVDGKPNKHSKR PFDRRRKDGRIRSNANMAQAQAADHADSENGSNGTANDQNQYQHYDLPQRPRGDYRGS RGDARGGRRGYRGGYRGRGYASSRGAYRGNGRGQFQAYGYGPMTNQWAVPPAQQPGS PFICI_09862 MFRAQYLARSVVAQPISQKLLPAGGSRCCANNNLKAAAAHLFAQ QRLVANSSRSFATTCSLREKQQPAEAAHSASSESTTTISSDPQPEEHQTTPKPKPKRR RRGYAYAAIFMLIGTSIGSLFRLSVSPPPLPEPGTKEDGYILESIYDQAKKLPLVQAL SSDPAWESWYAYSDWREGSRPLSITSGPMSGCRGLAYQRIFHNKATGEMVSVVYFGGA LSGFPGVVHGGSLATILDESMGRCAIYRFPARMGVTANLDLTYKKPTLTNAFYVVRTR PVLSEADEVIGKDGTKKSDRKLWVHGTVETPEGKVCVDAKSLFVVPKYIQPHGRTDGK W PFICI_09863 MDPHLTTRAAIAPRSNMSGGAIAGAVIGSIFGLSILALVLGFLY FRWKRGSRPVAELDEDDKPSDTERRLSLSGSGPPGSSHYNGQADPWASSIDGHQHTAS TNDIPYTDIAYHYSDGQQFVQEPDSISNPGDGQIIEQSDFVPHSATHDGGAADYYDTS VPMDSEPEQEPSAPSRQMTELYEEQIRKSRENRKNSKGSTWSRFTQGLMSKRKRSTRV SELAMEEGNVPTSSPTTSNPDVAIDSIERPKATSASQDRSIVQDGDIFDEPQEMSDSS RPDKHTNKKTKRRGPSGDDPGLVPHRLDSLPSSALRQKPFPADKVPIRQPTRFQSPDL PEPMEDDTDLVTTDRSSAVRNSHSPVLDGQGFSAVNPMDIMRPSNAAEKAVFTNAELI RIASVSASPPASPPYNAASPPITDVEHSMTNPSHEDADHADESEYDESEEEEDEDEVM DEAPQSLEAPQITIEDVFITDGPSDWSTPGGTTLTNASSGRTPGTDITSSPSPAPSIG ILHPDSSASPPESATSPKLVLTCEQCDRTFDQIHKLNHHKRYHDRRHCCPYPGCDKKF GTKTHLDRHVNDKHEKTKGYHCTESGCQYYIGGKLFPRKDNWKRHMVNKHGINPTIDP PLVG PFICI_09864 MLTVRSLLALGLLTAVEAFPGPHLNPRARTKATGGGRQKAQTAF QQAQQIPQGISTATDGSTILDMTAEVNGLPLRFKISGPADQFTTDSGVDGATQDANAT TGTLGLNVLLHGDGGQSFFDFPNQAVQANLAGVAVLAPDPNLFWGGGSGLDRTDGVAH AQAVNDLVQTVLPQVMAFNASQVSFTGVSGGSLLLSGFFVPAHMTNFQGNGVLLNCGG LAPQVDFVDADAVAASTRIHFQSTQQELAELQQSIPQSVAAYEQVASDAGLSADQIGT LQTVDNSPNGGHCEFDEKDFVSGVQLMADSFSDVMQGGTGQVNGVNVLNPVVGNENLQ FSG PFICI_09865 MSAVNRSLRTASRSLRLQPTSKLQRTAPLALSSVKLGAAPRSAA SVLSSTVSRASCFSTTASRHSAAPAMPTEGREYDPEIKDIASYVHNTPIDSELAFDTA RWVFLDTLGCGLEGLRFKECTKLLGPIVPGTVVPNGPKVPGTNFQLDPVNAAFNIGAM IRWLDYNDCWLAAEWGHPSDNLGAILAVADWITRTNKAGGNIAGGKQFTIRDVLEAMV KAHEIQGCLALLNSYNKVGLDHVVLVKVASTAVVSKMLGLNEKQTADAVTQAWVDGQS LRTYRHSPNTMSRKSWAAGDACQRAVNLALKVLKGEPGIPTVLSAPVWGFYDVLFKGN KFEFQRPYGSYVMENVLFKVSYPAEFHSQTAVEASQKIHAILKEQGKSAADIKAVTCR THEACIRIIDKQFKPMDNFADRDHCIQYMASVMLVFGRLEATDYTDGGEAATSELVES LRKKIKCVEDPQFTKDYHDPALRTISNALTVELNDGTVLDEVVVEAPLGHRLRREEAK PHILDKYKRHLGHHFSESKVKQLVELGLDGKKLESFPVDEYVDLYTVKDSKFI PFICI_09866 MSTVAQDKTAQDERKLEQGGVAAPAPASSGVHPALFIALWIAMS SSVILFNKWVLAAAHFALFLTTWHMAFATGMTQILARCSTVLDSRKKVPMDTQTYMRA IVPIGIMFSLSLILGNLAYLYLSVSFIQMLKATNAVATLVTTWVFGMAAPNMKVLLNV SVIVIGVAIASFGELKFEMFGFLVQIFGVIAEAIRLVMVQRLLSGAEFKMDPLVSVYY YAPACAVINGVITVFWEGSRLTMADIYSVGVITLIANAFIAFCLNVSVVLLIGKTSAV VLTMSGVLKDILLVIASMVIFGDPVTGQQYIGYGIALGGLTYYKLGAEKMNALVTDAR LYVNETRRNKPALVKVIVLCAALGVVTLITLGWWRNTPATSLPVPQE PFICI_09867 MSQSPPNPPAEDEPLYGGFSRFEVELEFVQSLANPFYLNHLASQ KLLSDPAFIEYLKYLQYWNQPPYLRYLTYPGPTLKHLELLQQERFRQEIISPELVQVL ASEGQKASIDWHREEKE PFICI_09868 MLLFCPHCSNALTVGISPETGRNRLECRTCPYQHLITTSMFSRR EYTRKEREDVFGGPGAWDNADKTPVQCPKEGCNGNEAAFFQVQIRSADEPMTSFFKVS RVPGFVYDGCKEMEG PFICI_09869 MADDQEHEGASVKEQLIEACRRNNVELLTEIIENCKSEDDISAL MNNTTTVMGNHLYHEAALQGNYEIIDLLLDQPNFECDPVNRAEGDTPLHSAIRWINSE PPAQREFGNALVEMMLEAGSNPRVKNKAKLTAYQLVDPTNKELRELISKHEYASLNQG DFVAAGEVKPSSSGADMFADVQAEESDDDAEFSGSDDEERAEFERRKAAKKAR PFICI_09870 MKSALILVGAALAVAQNFSGQPSCATSCLSVAITGAGCALDDAA CQCGPTQASIAASAAPCLLTACPVTDLSQAQSAGAAACAAYSASAGSGDSSSGTITTG PTATDSLSSTLAHSGDTIIGISTNSTGSATDTKTIVGTVQTPSVSNTASVSGTGSTPN LAPTAAPAKIVGGVLAGLLGVVAAL PFICI_09871 MSRDSRSRRTAASSGRKPLFAAALLLLSEAQVARAVVYPSFHEL FKFDYLHPRPEAAPIDGVSRRTVSKRENPIPLIVTNNCGDTIWPGIATQAGDGPESSG FELGPGETRDLTVGPTWNGRVWGRTNCTVSNETATCLTGDCFGLLECDYSGAAPATLA EFNLAGGQTGLQTFYDISLVDGYNLPLGVVYQPASNTSDIPPNFVNAACIATPGYLMS PNRTGYYYTNSSYPMPYEDTQTNAGITNWCPWDLQAFPPDKPGDGIYPYPDDQIERPT FDPCKSACAATNAASDCCTGDYNDPTKCKRNLYAKNAKAVCPDAYSFAYDDQTSTFII PSGGGWEVVFCPEGRSTNILETYGDQISALAAGGVVTEEMTQIAMNISYIESISGTPA VLASPVLLVALASALAILAIL PFICI_09872 MGTTCSTNIECDIEGSAVQFAADPDVVIAAIFTSAWLTFAIALF TYNLVDGVMEKDNPGIAAIDLQLRDYVLKKLSGTPVDEILSYIRVRVTRDKMQEVCLM FSDQQLVAGGAILTVGYMRHCEITQYHFYIAANLTLASFATYQSVLLIVRDVLKANIR RGWRLGWIAIVFGCVLAFNFVIYNDKFLVPGLWGLSMDCLWRQLPSGLTPRVIPYVVV GTVVDLMSAYSIVSCLYPEINDFYLVKTLGHFVYTVMCQPTYLYRRVEDHVDQRSKFR WLWKLVEWPTWLLFVMSFTLREIFASLYFDLARIFAYLFQTTYVVGWARDNAAINGRE GPEDTWGFGQILPLLLLALPLFSFVEIVCGAPRISNLAS PFICI_09873 MAEAVGLVTGLASLAISIAEGAARLRDLYKESKTISQEVESLLE DLKFLTFLVKRLEGLSTLDDHDVSLAISHCRKTVQNVASTLEEMTETLDAAEKKPRKT LSRMRWLSTNKKDLKKLQDLTVVAKLDILSMFSYPHHRRIPTSPPTPETHQRIGKEEE QEQPDRSHSEEVTPRPNTAVSNTLVAGPGRPRQRRTDCTRRACSCTCHIKGQITSRFW NFEYTPLSFILKGCSNPNCTASEYVSELHIALTQLGWHRAITFGLRLTSAAGTYSIRP SLQIKEEVVRYTSRGFELLWRLREGFSDWEEVVQEFREMYQEDKRIIRHVNPAGRGYI GELLWYTPHVSWGSALRVLKVFMAEFKMETGLDDINFLYRMARWIGEGPHLWLFEELL ALGFNSCNLPAPSAKMWPEPCDPNWFSEEVTPDPFFLELLAMMVSVDPDFGGTTLLQR EILCGSAHSVSELLARAVRNDEINFLGQTALHLAVFNIECAPALISAGYAVDATDRNG TTPLMYACAMGNIDMAKLLISEGASLKIIDKLRHWDFLGYTLARGHYKLASDLAFFIQ GIYPDNVKFIGGSLAKRMALSMCFRPSSIPKDFHFEDLFKLDARLDFTFPDGNENTDG NNIMHYWLGLSNAKTLIAHGFTGLDQKNSDGITPLMKCARPWFLDTMDTLIEHGADVN AQDLCGRIPMHYAVTNWPPSRPYSMDDTHVIGSRCIEKLVRAGARPHSHDLCRCACSP KGCTPAKVLGAYFCHPNAFFSFRRLEDTIEWLCLLEENGDAKVMNAQLIQFIRCAQFD EMELTHTCCDGGTGYWASQTLSSEDKEAVVWEEFEGIAQLESHISILEMKSCKELIDA WLHQLRRSHDHYLKRNNFHQWEEILRKATVHKGRPQIKERETYTIDSKKDCFEPPPTV IAEEHHDDGPIRQMVTLLWALEKHVVVRRNANEDPTKLERWYNRRLSWVTGILDCMNI SRDLITMKLRERGIHSREKDDGPFAETVIEHFLQSCKLFHLHVGNSNIE PFICI_09874 MSSVNSRFKNFGFGKRKSTASIPSTDIPQAASTPPPQATGQGPP QIPQQFARPGPAPSVASSSSQQSLPTMNHPGAGPRPPSYTANYPQGPAPSAVQRMSPQ APQGPARTPPSQMVGGPPPINTGAPLAGYPPQMQMPGGGPPPGIQGGPPGYAGATGYP PPAPPQNPGNAMQQFGRPAAEVEGHSRSKAQLIVGIDFGTTFSGVAFAFATNNEAKED IITEWPGAGSYTKQKIPTVLYYDQYQKVVGWGPDIADALAPTGYPKPGVQKVEWFKLQ LMLSGNTYIDPINLPPLPPGKSEIDVAADYLFKLRQAMRAALQKTLGEVFNREERNIR YYLTVPAIWNDAGKAATRAAAIQAGFLRDENDNRLTLVSEPEAAALFCSKTGLLNLKV HDAVLIVDCGGGTVDLIAYEVEDENPFTVAECTAGSGDSCGSTALNRNFSNILRTKIR KMKLPDGSRTAGRVYAKCIMDFENRIKADFRNNGQKWAVDVGIEAEFPEAGIEEGYMT FTNEEILQCFEPVVNRILELVRNQIIAIQAQNRALQNILVVGGFGASEYLFQQIKLHV PPQFQSKVVRPMDSVAAIVKGAVTAGITERVITHRVARRHYLMATLQPFKEGYHPEAY RVPSLDGKDRCKFTRQIFVQKGQKVKIGEPVKVSFFRQVAPGATLMYEDILYACDDDV CPEYTKDPRIKEVVTLTSDLSRKNLEKDFERMDTPQGTFYRVYFDIYLTLDGSEFSAE LVCQGEVMGRCRARFR PFICI_09875 MAVTRTHYVLFGTALLLLLLGLAHQHNQVRDSIHNAWNRPSSSE PVPDISEPSFSNTIPPPIPVPAKQQSSPEKKPIVEETTTSPPSKEVASTSNEKLPSFY EIALKYGTDKVTDHQYWFMYDKHFPAIRHQKLKMLEIGLGCDMSYGPGKSYYTWLEYF PNVELYYMEYDAECAAKWSSKTTGATIYAGDQADPVFLQKFIAETGGNFDIIIDDGGH TMHQQQTSLEALWSIVKPGGLYFIEDLQTSFWPSYGGDGTGGKDPKVPTMAKFIHELV DDKLTPDGTRHAMSMEMRSIECQREVCLFTKKEAGTL PFICI_09876 MDFYQINLAVFASTNAYLLYRQFQKGKKTAPDFTLVDPTSIEHA EKEELSGTARRFQINYFLPYALAVAADWLQGPHIYAIYKYEKNIPERTVAALYAAGFV SGGISASFAGGLADRFGRKRACLLYCGLYIATCLSMLSDDLLILFLGRLCGGVCTTLL FSVFEAWMISEYHARGLEGSGLDLSMVFGNMTTISCVVAIMSGIVGDVLVEFSGTRTW PFLAAAFCCVASAYLISIIWKENYGSQSAEQTSMADLKSGVLAIVRDAKILSLSITSC FFEGTMYLFIFFWSAALKSARTQSGSDEDLPFGLIFSSFMCAMMAGSAIFTLRSSAND SQSMSSMLMIVTLLVSCCLSFSAVVQNEHLLFWALCLLEGCVGAYFPSMASLKSELIE DGIRGRVYSILRFPLNVFVVVAHSLDEEGDAHRNHVFLVCSALLLVAFLAARRQFNGK SY PFICI_09877 MEKESAPADDPMNIAGLEKKGRQGDKVVVHALPNFIHLVSLTFL ALVLIAQLFLTDAKFQYSRLFSTHPFLTRLPFTSPAATIYEPICESPQPFPTAPPVSK VRTMALLEETKRITAQFDYSDDNVNKGVEEFLRQMNEGLEKDGTSLSQIPTYVTGVPN GTEKGLYLAVDLGGTNFRVCSIHLNGDTTFNLTYSKVAIPKELMLAKKSEDLFGFLAK QIELFLKEHHKDHFYASIRRRNTVSSPDGYKDEEIYRLGFTFSFPVQQFGINKGNLIR WTKGFDIPDTVGKDVCALLQDEIDKLHLPVKVAALVNDTVGTLMARSYTSPGKTSTLL GAIFGTGTNGAYVEKTSNIKKSVEGEFDKSTGNMVVNTEWGSFDNQLNVLPNTSYDIE LDQKSVNPGIQMFEKRVSGMFLGEIVRLVIVDMLKNPKISLFRDDNSSSNDWKSTTFI DGQSSLLTQWGLDSSLLSIAAADNTPELSTLRQELDKAPLNIYSASLEDAQAFKSVAY AVGRRAARLSAVAIGAIVLQSRQLEDGTDEAIDIGVDGSLVEHYPYFRDMIYEALRAI KGIGPEGAKRIRIGIAKDGSGVGAALIALVAAGMENKGVTGTVSHLVNDAKDKISNAV PTKGSSATVI PFICI_09878 MSLPSQNLNGGPPRSQPSIRSFFQPAAPKYGAPPSAERSPQQQH SPPPPQVSQHTSSPRSSAPPQAPQSAPLPPPAAPPLPLRTAENGSGEPATAVPMPAPT PTHHPNITLSPILPSHIPSLKHLTARLLPVRYPDSFYVPLSDPLSSGAFSRVLLWTDT PTPSNPSPKPTLIGGLVCRPQTTFQPRPNSAQDLIPDALYVQSLVLQEPYRGLGLAAR MLEEVCTLAARDERFACRTVCAHVWTENEEGWEWYKARGFAKVEPVIEGYYRQLQPSN AWAVRRDISLGSVSGRPGRTLDAVKANGIGTHAGLAKVSTPPVASFTSSTITTTPPPP SSGPPSGARSPNPSVTRPPPISGTSYQKKGPENEWNDLPEDMVLATRTPSNNLSVPGS GANSGASSRSSSTVGRKKRDRAYPAAAFGN PFICI_09879 MSSQPNTGKAGVIKGGSSTLGKLANSVLDDLLYNICHDLLLKVH RDEKIARASTAAIRVEKLAADTSDTSQPDVRPDLRVETDAAIYDDGKVLLKGNPLATT KDILCPRCHLPRLLYPTEGKGARKPDPSIIYCKKHPYIDKPGFDIYGQTWVPQGPGRG KKKKDMEKKIETTPQDTPGGTPGPDESGGKGGAASRPPNVLSFPSATCSKCKRCILVT RLNNHMGSCIGNSGRNASRAAAQKISNGANGHSGSQNDNTPPNSQKGTPGPGSRASSP KKRASEEVNSDDDSENDAAPKKKKMKPASVVNPPKKVILKTKGSATGPKKEKVKTNSL LSVEQKVDDDDADGSTVEVAPKKNISKQASPAKKLKLGVSKPLLTSPATKNGKITNRT SNGGGGGDDAGSESSGTLSSPPNV PFICI_09880 MATTDVLLSTSMGDITVELYTTHAPKTCKNFAELARRNYYDGCV VHRIISDFMIQTGDPTGTGRGGASIYGDKFDDEIHAGLRHTGAGVLSMANAGPNTNGS QFFITLAPTPWLDGKHTIFGRVKSGLGVVKRMGMVRTDKEDRPVEEVKILRARIVEEN NEEFS PFICI_09881 MTNSSADGVPGDGLDVTGNLTLFQSFSQIPADLWKHQDLLIIQT KVVCAALGCIYLGAHASLRRPPSASPPPKKSKSGKTKKDEDQDDQFVQGLVASDAIMF PIMAGTVLVGLYYLIKWLEDPAIISKIMGIYFSVMSLGSIAKMAADSLHFITGFIFPE VWKSTSGTLYHFDNSRKCLYTLSESGERIYDNSKQSPFPGVLSFVGLTEQRRNTFWEL RHLFYEHWTIRIGMHGIGKQKFQVKLNDVLGAFLAIGASILYQTTKSNFLSNVMGYAF SYVGVITLSPTTFTIGSSVLFGLFFYDIYMVFYTPYMVTVATKLDVPIKLVFQSNKQS SMLGLGDIVVPGIFIGLCLRFDHFLHYYRQQKLIPVELETEATSEDGQISTKKETQRI VHKPVYVNPQGQWGNTFWGNSSATPATKAAGFKKTYFTAAMVGYLLAMVMTLAMLLIF KHAQPALLYLVPGVVSAVWITGLVRGELREMWIYTEDGTLDKQDVVVEVDGNGNVIKE IEESKADQDEVKKKDSDAAKALVDKQGEDAADEKSKSSESTKKSRSRTVFVFSIEAPP SPAEVEASS PFICI_09882 MTKTSVQVIQWIVDTRNLWPEATKPAQLETVAPEYLAILTPEEK KGVLKYFFIRDAKMSLASQFLKHYVLSKTLGLPWSATTITRDPHGKPIYVAPDGSRPV EFNVSHQNGIVALAAVAHYDGPGAVDVGVDVVCVNEREARDHKMIETEGWARFVDMHA DVFGKSETVDLKAVGRLTGQSKDVLNAHLRKFYALWCLREAYVKMTGEALLAPWLHQL EFQNLRAPEAGHTDASGTLVQTADDPPSTIIREHEVLFKGAKVDDANICLRSLGRDYM TATAVRTPASKEIALGWDLGPFETLDLSIILKHATF PFICI_09883 MAASLLEQAKDKAIRLLNRPWHKRQVIWNDHEWDNLEHHSEAYD TQALMISCGTVTIDGPESSERQVLLIWNKNTSAWQLPKGRKNVHEDFSEAALRETTEE TGVAVQPLYMRFCTRLTLPERTEDETAVQLRRHRSGSRTDNGTINLLNRDIFCISQYP DPATGAMRHIYWYAARPLRTATPNPNLLGRDDSANITAQWFPAAEAVSRLKMSVERRA VALAVHYAEQMTEEEWKYSLEL PFICI_09884 MSTMPASHGHSEACCNIPPVQSKGYSAKGSYEEFGGYKTYVTGP KDAAKGVLIIFDIFGYFPQTLQGADILSSSDSKQKYQVFMPDWFKGQPCPIEIFPPDT DDKKKQLGAFFEKFPPPSVAKQVPEYIKAVQSKHPEIKDWAIMGFCWGGKVVSLTVSS PDNIFKAGVECHPAMVEPEDAKKIKIPLCMLASKDEPVEDVKKFEQNLSGPKHVESFS DQIHGWMAARSDLEDSRVKEEYTRGYKTVVEFLGKHL PFICI_09885 MPSYIPPHRRSQQGSKGSEPDAASSPLSPSNRPESSPRNFGDFG KGARGSTGSHFGTPQGRGRGGRSGHGTRPEPQWFDHADVITASDIFHHFQDDRRGATG GTTLHASKSRPNELVYVFLFDGANPRWDGDKIIFAKSNLGLLPEVTEHVVKHGPWDVS AEYRKSRSEEEALPEDSDSDDATEQQYMANTDDAPASKQFSGPLEGTNKNSSQPPAPN SPSIVAASTIYSDRPPELPSIPPIDYNPRPHEPIAVFQDVRGSAKFVFDGWYNISRVN IIAPRSAELVRLQSQKWERRDRFGNLKPMTKTRETSAWKSSLRHQWAVIKFEKLGPEV APPPPSIEKRKEPASSSVPEKSVTEMLQEMRMKDSPVEHVLSEHDDSKHGLIEV PFICI_09886 MAPLIHIIWPAQSANFISDELGYDGNWMDPMLSDVGVQEAQWLR ERTASMGPRLQGIFSSPCYRSIATAQIAFHGRGKHVLPGGASRDLKISLDGALIETLE YPPNRPAHPQAIINHFGEDLLHTRLIQDNRYQNERFFREYCSHPAACAARAAACRRHI RDWVQSRYRLTGDENGEIVVVGHPVTNAFLAMPTAGVVNWTLPPNFRPPPTASIQTYR FIRWQSWTGTDTAAPLVKVPHEELIAHDPSYTWNEDNGSGPRGPQPVPAQTGIGAISS NPEDYMDVDSPPNNSPGAIAAPPTTPTDPDAQLSRPSFRKPIKDPKYTMPPSVVAEIK ASLPQWPAKFIRTRPKFEPKAWPDPEKIVVVRNRFRGSPGKKAVWEAETDIEKRRDRG LGDMERRARDIQRYFHKYGYTDQFTPIVVAQAQALCPPKPVPPLSEEPDIFEPIFTPA PTWDVIDFEIYPSWFTDPKRVQAWLNLQWNARGRWDGWDANVGPKPDDSPKKAT PFICI_09887 MQPQTRLGLRLVQTRPSIVPTVFSLPRLIHTTPSAPATVSSIHG QGPPPEPPVPETDSTAQRLERRRKQASLLKAAQEIRSNAGKAKKQQQQQPLRKRFWKD VTVREVDGALEVHLDTRPLRHPTTKKIIRLPVSKPLLAGALAHEWDSLTSVQQASKQH LIPLTSLICRAVDIGEDDDLQGEIRQGIVKMVMRYLDTDSLLCWAPPPGKHDYVEGRE SLRDIQKRTSEEIIGFLTTRVWPGVELHPVLDGESLMPRSHDPVTRQIIEGWVAGLSS WELAGLERAVLAGKGLLGAARLVVEWSEGFVGAGIGDGGVGAVKFGADEAAKAASLEV DWQTGNWGEVEDTHDVEKEDIRRQLGGVVLLVSGVGKR PFICI_09888 MKLLMKIFLVVGVVTLMAKFVHATPVPGGLEPDMEKLTWMDMLL DPRDTDETRREPKGLLTGCLNDIFHPEGAPTGVVGALQVTPAADRAFIEAVKSPKNLG PVMLVVPNESNRWPNSVIIRNAPQPCVELVAHVSDLVQYKTIKERRQSVNNDIDGKKS IRVNFVNKLQMEFFRRLAGESKFIPSLNVMMQNE PFICI_09889 MEDKDSMGDEDSMDDEDSMDNEESDRRLLSDKLNIWRHDMPDSL YHSAARSRACSLMGQPHVLDLACKWLEGCQDSHQCFAQANNAWYPSRLLDVSVDGIIR LVTTAKEHVTGHYATLSHCWGPGKFLVLNPDNISQFSAGYPLEKIPRTFQEAVQVIRH LKIRYLWIDSYCIIQGDSDDAREDWNREAQKMCRVYTNSFLNIGSAYSKNPHDGLFQR RKVEEILNNVLLKWRPSAVKDESFYNICFDDGFIPFTRFGPLFEAFKHLSECALSKRA WVIQETVLSPRMLSFTGKQLIWQCSEAASCETAPAQSRKSILEVERWSSFWAAENDMA PPLSEEKCIDEMPKLMRRWFHISTKYSEAKLSYPNKDRFKALAGVGERFSQLTNRAYR YGYFPLYTKLEAFGLYYSVLSEAGATGGWVSSRSPGQEIGLGVPFSSGY PFICI_09890 MKESKGPSDLNGANLSYYLGIFRTPTAALLGGVAFAIGHHFFYQ SLDGQAPSHDTSDRLYGLMQNLSGQQTNIAVGTLLAFLVKALLGIAISRAQDQFSWKA IKGRPTELRLIDSLLSAQHSIVDVLNIRLWGRHPVAMIIAVVYWLLPFAAVITPATLS ISPMLMTNLTLFAAPTIGFGYCNFANSIAVDKHDDTGGSLDYDGPLAPVTRAVSQSLL ASAVLPLAAPFPNSTYHVDFQGPALKCSEIEHGSTLWMNQSMTIQNASTNPLRCYKYL AWPSDDTFPWSHSDGYWGFDPPTGLSGSITVVAVGDECSSAAAPNITLVQCQMWNATY SADYSYDEGVQDVVSTITSYESPFEPQPVAITSIVYPELNQPANYMLLWSYMAVMEAF QDYIVGYIWQDPSELDLSFQIDGKVLVTALATAVELNYLKSDIGGLTSSNTANITMAE GIEEMFRNATLSLMSQELLLMPDAPKTTTVTARTYQNIYTYSASILWLAYGIAVGLAA LSGLAGYTVAVRAGGSYSTRFSTILRVAYNVRISGGVDLSETSGKDPLPERLENSHVF IPPEGVSVLSMISDLGGVQTDAQRWRADGQGSCPYDEDHHE PFICI_09891 MLNQIDFSIANLALACGILSGLSILYYYVQFALHELRIRKIGGV RAPRLAYTPIGASLWFVRCARAQISHKLLDYFDSMFARAKRNSHGDIVEIQIRPRERY LITRDPEHIKTILTGKFAAFGKGDRFHNLWRPFLGDSIFTTDGTLWHDSRSLIRPMFI KDRVSDLAIFDRWARALIDLVPAHGAPVDIMDLFYRMTLDVTTDFLLGAAVESLANPR AEFAEAFNEVQSIQMLVTMLGPFHVLVPRGRYYAGIRTIDRFVMPFIEAALALPRDEL DKLGGSDKEFTFLHSIARYTRDPRVLRDQIVAVLLAGRDTTAATLSWAFYELSRRPDK FARLRSEVLDTVGRTRMPSYDDLKGMTYLKHVLSETLRLYPAVPYNLRTALEDTTISG PPGEPPISVVKGDVVIYSTLSMQRCAALYPSRDPTTGEPLPDPALFEPERWEKWTPKA WNYVPFNGGPRICVGQNFAMTEMAFVVVKMMQKFDRLEYCGDWYAQYHEAEVVGRPGL GVKVKLWNDQSLPVESEKK PFICI_09892 MSANTGDAHTQAGDGSHIFPQENDYHALFEDVIPEDKERFLATL NQEVDINAKQPPYGETVLHLAARKAYASIMTVLLSRGANLEVPDDDGWTPLISACRGG SQQAVELLLLEHSKRLAEDTKDVSQDAAPQDDSNINETAAALIPTLTSSYINTTSEDG STALTTACLCSTTEVVDLLLKKGADVNTQDDSGDTPLTLAARYGDTKMVDNVLSYNPD IRKFRNDGQSALHQAIQNDNEEDGESIVDRLLEAGLSSTHKDNEGSTPLHIASDYNYL NIVNKLLSQNELIVNAQNNEKETPLHLVCKKGHLDVVQRLIGCPGIELNFQSASSATP LFLAVENEHLEVVTKLLGETGIDINAPDHWESTPLHVACREGYLELAKKLINAKEIEL NAKDFFTRTPIFWAFARQNLKVVQTLLNQTGLDLGATDYAERTALHEASEIGSLEIVE MITNRTKEHINAQDDSGLTALHLACRNGHIGVARHLFSEGAKSRVSRDSTQSAWFFFL QYLFESYEDSESREGSISSEDSSMPDPREFEFILEHADKEERASATIWADNNGKRKEL AGAMGVPVSKLVDYELIGLAKSLDSSKIVYLLEEQPVSEPQPKSALQWAAYHGRYDVV WWILKSSIPEEKDMGKALEIAKSRRDQPKQTTEVDMEGSRDKNEEEKDFKLTMDMLTD PPVVESAHLESYRKPITSDAQPGVLEAHWATIVDFYERDGQIDLLRRSESVSNVIYSK AGPDNEDRGPEGIMNKARSDLEKISAEAAREKRHEKTDLRMRWIHLPANNMEWMEDLA KRIFTDKKVPASEWHSLNDFLQRSWHELPTDTAPARFMKPGCLKEPTQSLPRIIEEDQ RLGIERRPDIDKRPKASNPEGIKEPQGQQSSELQKQTKEFRGQQPSESKKKPKDGRKE DYATGGKAAGSSDPVHGGAVAQKTEYERVALYMPYITFGLCHRKPCTSSIGKEGEAPR KGIENAENDATVMVTHSKDMDQNKKNLAKYDQLIETYKEKTIHGTRSLDQFFYNSLPD MDVRDQNQVFTRSFFKVRNGDGVPQDQQIWPYLAVDQLWLWVIDEETIITSSTHRNDG FHDPVVERLFIQLRQARKRKNAQPPPSSVKDMSHFIVAFCVDFLHSLKWEDFSPMEQP GNNPPDKVRSSDHPLGKNKVSSMSVQFLYEDRVNLAATTEKDLLHRFTVKMNNFQRSK TVEQSHHEERTKIVNHHTELENATEASEVDDIYETNKWALISLAAGLLDEVKDILDEL TILKTLVTRQFNVWQELVGEGSRSRSARDPAYTLHGVQEMIEMTDRVQKSVSDILSLE QNRINTDQAQESARQGTILMAFTIVTVVFTPLSFLTSLFALNVTVFQHNSSGDIEYEP GWIFPILFFCSTAIIGLLMMYAFQGQKLSPFLHARMQMFRETWRQTIGEKRRKKASSQ GEHNV PFICI_09893 MVAMNDWTPEMPSFVPFKTKPHDSHPSIGHLTLLVFEAVMEVVC VALPGYVIARLGHFDAEKQKFLANLNVQIFTPCLIFTKLASQLNASKLADLAVIPVIF IIQTLVSYLVSLAVAKVCRLKKRPANFVTAMAVFGNSNSLPISLVISLSQTLKGLHWD RIPGDNDDEVAARGILYLMIFQQLGQLVRWTWGYNVLLAPKKAEDDYDSDSDDTRTLE EGNNVDEAPPGAGGVLIPGLDSGLVHDNAHEDGNRSSDESDVYEPSGRTPVANTSRAS PNESEDEGPDAALIKKRRKYKANGTPFTLPNNASDDEIMSFPRMHELDEPEPSSGIKG LFLRAKFGIKRNWLALKVKLTRASRRAFHGLPVPLQTALVKIGHGTSRFFKGLAEFMN PPLWAMLIAIVVASVPDLQRLFFQDGSFIKNSVTSAVSSSAGVAVPLILVVLGANLAR NTQSQEAKDPEEEQIGTRLLVASLVSRMLLPTIVMAPMLALIAKYVPVSILDDPIFVV VCFLLSGAPSALQLAQICQLNEVYELVMSKILFQSYVVWILPSTLVLVMLALETVEWA T PFICI_09894 MYFGRDDRLRWRGTWGQPVIRFLARFILCWPYETKLASRNPARH FPVTAEAVIFWGADRPFDPTAEAWHTCISCLLNRILDDLKAIANDRYDSLVELLKHVD DYIRFHDSKNGSNAFFSVTRSI PFICI_09895 MQNENAPSWLFTDPYAYQYSDLSNYAPGAERNGIVSERNAGTAE LDAGPHTAPQIRRPSYREPQMSRKGAMPARDAAQAPEVYVAPVSHERWSSLEPVMPND RYHHMRALDLCEKIPYVPETSRQHSKLEVVSPAGLNKSKSATSFDDILQSVEYEKSKL SFKTWEIERARGRRAKARFDAGH PFICI_09896 METPSYNKNETTGPSVEVYGGQSHSEASGSADQAAISTVIHQIE ARKVHWYTYLTTWDFWLVLIIGQVLALCITGTNTFTSCLSSWENWSIPALQTIFNYAL LSIVYVPITLVKLGPAKLGSIILRDGWKYFILSFLDVLGNYFTVLAYRYTNLLSAQLL NFWSIVCVVIISFLLLKVRYKIFQIIGILIACGGMGLLLASDHIQGSNGGPGVDLLKG DLFGLLGATLYGVSNVFEEWFVSKRPMYEVLSFLGVFGVIINGVVAAIFDRSSFEGAN WNSNVAGYLVGYTLVLFIFYSLAPIILRMGSAAFFDISLLTGNFWGTAIGIQVFGYTI YYLYPIAFVLIIIGLVAYFLAGSMLGDSKKPWLGQDQEDGVAGIGTAKLKALNLARKQ GLAAAEGDRV PFICI_09897 MLASQAVLGAFAASASLSSSSSSSIPGAASYTVPAAFPTSVFSS YYIQPGPTSEPQPALFDPILNKTFPLNVTDPLSIPSHDTDPVYFPQALANLSEASAEA VIAAASDEILTIVRANQTGLSSNCSRCVAALSVAQMAAKLAPTYLPDAMISLCQKTGF ASNSTCQSTYEAGSFGATWTQILSKADVAGLDGQYICAYLGSYCASPNVTAFELAFPK PKPANATKPQPSGQRVKVLHLSDLHLDARYEVGAEGNCTSSMCCRHSAPAANGSAAAI QVPAPLYGYYKCDSPYYLALAALQSIGPLTGTSKENPAAFALYTGDLVAHDSQYQRSR DYVEDIELSVWHMFKAYIGGPVYAALGNHDTNPDNLDVPHGIDDDGPLGNQLSWNYDH VSSLWEYYGWIDEATAASARTHYAAYSIRHPLGLRIITLNTDLYYRNNHFALLKAADP DFSGMFTFLIDELQRAEDSGERVWIVGHVLSGWDGTNPLPNGSEALRRIIERYSPHVV AGVFFGHTHEDQAFVYYQGANGSNRSAETAVASAWVGPSLTPLTNLNSGYRLYEVDTG SWDIYDAYTFYSDVSSFGSLDNGTEEGDQGSGPVFQLEYSSRAAYGPGAEWPDDAPLN ATFWHRVTEAMERNRTLVSVFNTFQGKSSIRSPNCTSEACAEAKICYMRSGSAALGKQ CPQGFGSVQSAYTGVNF PFICI_09898 MGLVQFEDGKVLYYPYTPSRSAGFAFVALFTIITVAHIGGVFYF RTRYMIPLVLGGICELFGYYGRAWGGENPNSPKPFMLQLMLILVSPVFIAATMYVTLG RLKEGILAQPRRRCSPTTLFILTDIIAFCTQIGGGLVQVTGSLKIMHIGDRVVLGGLA FQLVVMAIYLILVVRFYRQASQTAIVDAPWKRHVIALGVCVVMVWVRNLVKAIEFAQG FYGFVSQHEAMLYVFDAALMLGVMIIFLVLHPGVLLRQIDRSQASHKHYNMEPLREAS VPYQ PFICI_09899 MNLLLSAVAIAAATVASAQESYPVIGGDCKVFPGDAAWPSDAEW ASLNETVSGRLVKTVPLGSPCHDPNYNATLCEELKDEWLMEPIHFNSTSSVMAPFFAN QSCDPWQPQSRPCELGNYVRYAVDVAGAEDIQATIAFARENNIRFVIRNTGHDFNGRS TGAGALSVRTHGLKDIEFIDEWVGVEYTGPAVKVGAGVQGFEIVTAANSQGRVVVTGE CPTVGLAGGYTQGGGHSALSNSYGLSADNVLEWEVVTADGQFLIANETSNPDLFWALR GGGPGTYGVVTSLTVRTHPDAIVSGAALSFLSNSTTTETFWHAVQTFHELLPAMVDAG VMLVYTINTEAFGIPFLTAYNKTAAECREVLSPFLESLGKLGINPTVSFTESQSYLEH YNETFGPLPWGGLPIDQGLFGGRLVPRDIVSNITSLAFQELANLGVAVTGVSLDVSRF GSTETTSVVPAWRSSLIHAVLNLPWSFTAPWSDMVAQVSKMTEQAMPILEAATPGSGA YVNEADFQQPNFQEVFWGTNYERLLAIKAKYDPENFLYTRIAPGSEAWTVADDGRMCR SL PFICI_09900 MDELPDYPLFATTASQTIIDPFLAAIGQHARKFTSVNASLSLII EPISDHFCDSLLSERKGSNLNTVSKTNLQQYAARCSVCSFLSQAIDAYLTGTHRENAH ITQLGGYPHDTFFVSGLSSEDASICLSDSFYFFTPSDFSIIKDLPFRALCDVAPISED TSSDTSLYWAKRQIATCDLGHNCLSSTQGSPLPTRVLDVSLRDTEKSIRLLTTARQRE RYVCLSHCWGEQASIAKTTRQSFSAHTKNITLGELPKTFQDAIDITRRLGIDYLWIDS LCIIQDSEEDWENEASRMADIYEGAYLTIAATASKNGDEGCYRSNPPAIREMDFSYTT ETGLQTRIHCRQFYQHFDLNMAVPPKETLNEFPLLGRGWIFQERFLSPRVLHFCNFEL AFECRDGGRCQCKEERYGHGFKEDLMRLFAQGPSSETFQVRKGWWAVVRRYTRLRLTY DKDLLTALSGISRRVGASKPAGDYVAGIWKSLLPYGLLWYASPTPQDSFKRTDAPRAP SWSWASIIAPISWSLPHHLSGEKPLATINDINCEVSGHDVYGQAHGGVLVITGPTLTS NLRWQKGSLVSPGNHPDDYGFHFSDISNDITLQFSPDFPFFANEIGHCAVLCLWVVQS TILVLRPLEETGVFERIGLRQLSIFNDESWEIAHETLERSTLMTVKIV PFICI_09901 MVEQLFRDKVFRNSVTLRMRSVSVADTKIVNARFREFDHVSADL HEMRHLLHNAESGISPDRIIEDIVISQRGDAVLEFANKDSDEFPVFRFRVSSHMLAET SPLFAQIFGAPEMLPMMSDMNGHVAQNLPPTPTNYVCADGAEVKLFRMPQLELNMGKS LEILLHAAHLHNDQVPRDIEFDTFVAVAEVCMRYQCTAPLELTVEYLWLPQWIHKAAE DISDGLLLVSYAFGLRGLFSRVTKTAVLKIVDQSDLETRPFPQRVKEKIWMMRNAKID QVHHCCNSLLQEYLRCPPTGVDKDANLGLMPSSKPRCSKGSHACDALSLGWLMMAFNE SGVLSQIMYTSGHTQRPPLPKMSLEQLIDTLRCISSPPESHSGPCDFASTFKAALNDI SNSIRGLTLYDVSGQHGWALSKHKAANQEPTMKPVEQQPDDDAASRGIADIALKVLSQ LDTLEDVHSAALASKTFFRAFKDNEVTILRGLIAKSGRPRRWTLNGAESKEEARAELE LLRNGTRTVQVQQEAPEVTEIEEVHHSCEVGSETTGNFSQFTPDDDDSDSESLVEIIA AGQASFEGKMTREEAERILWPDTDTTEPSQVGERLTPFSQGSDLATLGDGIRESTEKF LAGDLVLDNPEHKSLVTEEGKNLSGEHYRRIGLARTNINVEGDHVF PFICI_09902 MSEQTTKDDAANQPGAELVVGSSKLFQENQLQCIPMPTPDPKDP FNLPSWRKWMAIISMCFFGSLGLGIENIIGHLLPLFYFEYNGVSWSVLLEIGSLLAIA GSSSMNVLEALATWESVTPLWRVALLATLPIIVNGISSFVLVPLSSAIGRRPVLLLAG IMAWVGGIWASQSQSLDAHIAARCFQAFGAGAVEAIIPLIIQDMTFIHQRNRAFATLN ASQGVLIIGLGMMSPLIAFYLDWRRLYLIFSGLTACAWIAVFLCVPETRRERSNDELA GKQVYLLEPGETRPRPDVESYGPRTWKSNFGIFNIPIRWSLCFQTFLESFKCLTFPAV IWATLLSAALQGAGNAVTQTSSSVLLTVGWRIEKLGMSGLALLIATPFTYFISGWLAD IVSNWIARRHDGRREPEVHLLSIILPVILAVLGLVMYGYVCSNILTILRADYALLVNI FFHTVGSTCINTTLQVFILESYPNFAGPILIVYSSFRLICGFGMTVKATEWAKTMGFL NMFGTYGGLIGALALGGLPVFFLGKSFRTRTAGVVEDEEGHTKMARQPGRPSGSNGSP ENHPAPLNSNPVPGHPRMEPRDEPAGCVIKRRTPLE PFICI_09903 MPAPEPPPPDGSTTDMVACAPPELAEADFWSQVFGLNSDEAMKN IDNLSAKAAHLGISVPRPSSARSATEKYTPCIDSNTTSETGHARTASGESKCSASTTL TSMSADESQSDPAPIALNRKRSRNLVFAQYDKLLAQANPRLDQPKHASLPLSATERHN SAPSLLSVSTRKSYLSIRNGILKIRRRRKTSPFPEALINSCSACREDFKPDQVLERLP CGHSYCTKCLGIMINQAVKDETKMPPRCCIQPIPGLLIKSLLNREEQTAFLKAVQQFS TPWENRIFCSNPNCGEFIPPRAKIDPKHPFQATCRTCQTKVCIMCKRDAHPIGHDCPS DTELEAVLKIGEASGWRRCYKCRNLVELTQGCSHMTCRCKAQFCYVCGGVWDGTVGCP NYCNGEEELERRRIEEAERLAAQEAEEALAKEAAEKEAAEILEADKRTKESPEFQATR REQIDEMERFRVYERKTKWLMWTRQSQEKLSLVERHSGMIEKMKERHVKTTVNLEDRQ VQAELELRMSLEQSERNVRIRLKHMEAYCDGLGQNPDAGMPKRTVTERDLRELGQQYN VEKNMRQLHQAKINVMRDRQSKALEELQQRQDDELEKLLEKNRAELEALESVFADQED ALAITFNIRRQTMDRRWELSMRILQKEMEENAGLRYSILDPLEWPQEKESSEDGLSPV EE PFICI_09904 MAADFSRQSDELPPLNRPPSLSMNTTTSPTTENGGGHLPNSNNG AGTTGAPSAAAPEVSKEVQDVLGSDIGVSTMLNRLKQSIVSAKEFAQFLKKRAILEDE HAKGMRKICKMTQDSIHHMDHRQGSFALAYEEMLHLHDRMADNGQQFAASLHQMHDDL QELATITDKHRKGWKQNGLAAEQRLADTETAMRKSKSKYDSLAEDYDRVKTGESRQGG KMFGLKGPKSAAQQEEDLLRKVQAADTDYMGKVQTYQSEKAQMISTTRPEAIKALQDL IRECDTATTLQMQKFASFNEKLLLSNGLVISPLRNQQASQSRSLKEVIQAVDNSKDLE DYITSFYSKLPPRQSEPKYERHPVLNPQTTMSMASMTQMHQQQNPPPQSQPPPPQPLP QPPISQPSVTSPIGQGSQGFGPQSSMGPPSMGAPQLSGPPPGHERSFSHGALLSHPSL SSQHQPQHSQQPPQSHRNSTQALPSSHQRFGNGGSISQAPPQLGALPFQSSQNRSPSP PTQTGPYQQPLSVRSVSPPSTVGGPVAGPPLGGRSKQVFGVTLNNLYERDGTAVAMVV HQCIQAVDLYGLALEGIYRLSGSATHIQKLKGMFDTDSSSHNLDFRNPENFFHDVNSV AGLLKQFFRDLPDPLLTSARYSDFIEAAKQEDDIVRRDSMHAIINELPDPNYATLRAL TLHLHRVMENSAVNRMNSQNLAIVFGPTLMGTATSGNIADSGWQVRVVDTILQNTYQI FDED PFICI_09905 MASTKKDMRRADLVVPYQEPAAKGDSADFSSTLSSTLPMAAIFT RNRYIGWASIVFSVQSWLGESEEATKTSTTPGYFTIGMSLMALATTYLPMFLPPPPNA RGTGTGPAAPVAA PFICI_09906 MTSRPPLAVQQRQPQRSISGSGLSQRPAHQRSLSQQYLPPSPIR KSDSFGDVSADVGAYGARRGGSKLKLELSNDGITHAGFSESPQNLDPLSANKAFTPSR MMPLGDASPLGDFSAPQSQCQTADNDSIPLPMPPRRARFVAPASNPGKPQAPPPAPVK KDAKPKPFVLEIPPDAPRYSNMGKGEPSDLGYADFNPWTGNGPEDRFSDQGIRLGNNY DKPPAQPQSEQASAKATIFPALKHKSGLHTLSTLFTGIMNQRRHSGQITSASTFKPPP RVTLTDTKRELWLKDLANPAISLRRLSRTIPHGIRGRVLLDQCLSKKVPTDRAVWLAK CVGANEIRAFKRKGVNGQFVMGGETKWIRDWTVHIEQFVESVCSAFGEDDWKIKVTYA IRLATHLYYEYLMERDHYMDWLVSSLENSNQARLPMWLLTIRIYWQDLLRSRKIGRRF VTAVLSHYAAIYNHPDRDILLPLTTQLQSLLELLLLASPDSFIHPSTWNRYRGAVLPL LQTGHEPQKSAAESIDRRVERLTSTNIKSRPAVRSTAVKLLDITLRKPYSPDLASHLW KLSEDKNGLIRILLEWCMSLYRPGIAKLFVTTNILRSWSTLDVDMTGAILDFMDQDPL EESSRRHMVYHLVSELVRSDHFSVPRYLQWLIARGGLIDPAETLPEAPCLTRLLVELP THSLKDTIRNLRATILRKGGFSASFEADDIASAIVCIRQSFGLPPERNDLMQAKKPIS MKKIAKRLTLSSRALQSEVGNWLCNGLVAGFAQNTQTGKESVGLSSFQFDSIRMLLEA TQDFAMLEGSLRLLLPSCCNADLLASFADTINLHCQVFSAIGSAKSLFDSLLERLKAI NEMQGIGARPLLAALARLAPRLPGLTDIAAHLQHDLLRMDRSNAVDASSPLSDNMAMQ LQDDETELNEQIEKLASFTNADKSTMERLFRTIINRLQNCWNQANEKRQRPYCTLLTR LRVFDTQHFDNLMRDWVQHIRKLSHRPSMPQIFPLLLSFGCLSVPTLFATANRNGMQT GNAVGFASIYVQEVLQLLVMKLIPNHTMTAEDCYRLRIIQEQTKADRVKEMMLLIRCA LAEYSASRGLQPPIPQPLDDENTRNQFLELLRSLVLVDPQAASQTLSPKSPDPKLCSL IENMTTNLLVPEGGGQKTFEQVLELANEFTLPFCQVKLSMNMATEDSSGDGGDRLQSQ LALLSKALDNAIDANNIMWTGMLSSMSPEITQHMRTRAEARFIDLMPSSKGAGAEDMD SKAVGMSENLLAVIDMIVRGTPGPKAAPISSTVADKIADQWEIISSSSADLAPLRVTT IKHWLPLLLSYLNLVVPGATDASKPANETRARVLLVLAGLVQELERIRELELSQRTYD IALLLADNLADDARVQCVRAVKDATSDRRIHYLFSYWPNPSDSLMLAHKEKPPPGMGI NERRAMALGIGMGMLPERLTPFIMRRWEILSEPTPNVGENDTSLSLHLFDARKI PFICI_09907 MSPTVVRLNVDGTSDGRGDIYLSAVIQKRFRKLYDDLRHKERFL TRQQFERFLIGDQGEAAPELKREAYTYEQFLEEWWFHYGLNANRPVDMAKKDLSKPIS NYFISSSHNTYLTGDQLKSKSSVEIYKKVLRRGCRCVEIDVWNGDSPSSSPDRNLKTP SRPEHARGLSGSSLHSAAATFVDVVEEKIEHAKQFINGGEKTPPRSRGSFRRRVATHP FGRESAATLDPSTLLEREEENEERARSRQTFRRHEPIVMHGYTLTSPVGFREVCRAVR ESAFQTSNLPIIISLEVHCDFEQQELMVQIMKEEWAGLLVEKPHDGCPKERQPRLEEL LNKILIKVKKASTPESGTGSILAPQTTWEDEAGSSDDERSVKKVKTLIGEPLSALAVY THSEHFKAFETHAAKIPSHIFSISETRILDLHTTKKKEMFQHNRNYFMRAFPKGMRYD SSNMDPSLFWRKGVQMVAMNWQSLDEGMMLNEGMFAGEHGWVLKPPGFRSEDASKTIS YDDVPHHNLDLRIRVLAGQYIPLPAEGASSGGNTRAFRPFVKCELHIEKQDERPEANG LTRVITRKQQTSSGKTDHPDFGNHQNMFEFIKVPNVVDQLSFVRFKVEDAASGLISSP CAAWACIRLDRLQTGYRFIHLMSPKGNETSGVLLVHIMKKLR PFICI_09908 MTEHNGIDILCDAAGSDLLLSSFLTPSSPAPEPQQRTKRIKVAD EDGASPTSPHTRMPALTNVPGAPNDLIERGDLLTRHETTHDRDDGGKGRPIIRRSDRA SEACVNCAASKAKCDDQKPCGRCKTKNLPCQTAAKRGSIYGISDSQSSETSVGSPGVR NTPAVVADAQAAMPLPMERRVQFPADQEIDVQTVMAPTPYSTDFVPHPLATDDMFFFN PTHNFYQDMDFSSWDMNFDSYTIPQVDITGPSPQSSTASTSKGPPRDPTRGYAAFKRS PWLWEPKSKDSLGNEQEGLAFNEKSIAHSPAYERILASKSSRWKMEPAIRDKLFALVL SQHKHPTKIPSFPSLELLNYLLQAHFIQDEYQTDSWIHSVSFDPSSAIPELLGALIAS GATFIADPAIWRFGLSLQEVVRMGISATFESNNSMTRDLQCLQTFTLELDIGLWSGFK RKIEMAESFLLPPLTMLRRAGTFSAGSDSPGPIPLASDSPQELEAKWRSFIERESYKR LLLHIFFRDAQASIMLQHGTLLSYTELCFSLPAARDLWRAPTAEAWREIYLRKKPLPS DVTLPRVADIMHSITMLDLFEEFVDVELCYGAVLYGFWGQIHAYREAVKFYDHQSATS TRRTSRVTHRLWLTSQHQELYRDVSEFAMLIGSSPKLATQLSVVAELFMMMLHVSPDE LQRFAGKQGEDEARQAASHLEDHWEGSRDARHAIWHAGQVIRHARTLPPASLRAFNAI AVYYASLTLWIYGLLSCSHSTATKNTAEMPAPPTYVLVDGNESRETRAFLQLDKGTPG ISMNGDVHSGVESLSNTGMVLNIARNVFRDNFPVRTEPLPPLVESLGNLLRDLGTGLA GLASRVQSRAVSEDRD PFICI_09909 MGNTASVEELGRGRRTSHKLSKPRTGNPETTTTNKLLSPVGQPN PRRRFSTSRTTSLPYGTSPAPDPYSTWLSPADMSAAEATSTSGDDQPEIKTRSRSRSI TRAANAIFRSRSSQTPHGSRRQQQGSVGRQTPSQSALPSRANSMIIGASESSYTQFNS SSWQASGSRTSAAYDLNSYEAKRLLNLVEEPSGENNSIVSDSQFQVRELAVRDSIALS LADAPPAGGSIARANSDISMYAPMRRRSLLVTPGLATRRPEPSRGSRNARARFSLPST PARRDSFESAGDMFSIPVPTIDPQSIPRASTPCDDDYGHIGAFKLGSLRITNGSPVAS PLSDLEPSQSGYFGDLAYRSPGVEYFERHLRVRNHTRTSSLESASHAMDIPRSIPNNA HLRSIQTAPQIFLQESSMPELPKSPHYLPHVDLSPPVIEAPDAHPTGLQTTSKHSALE DNLFQDEQEEILQPEVLDVRVDLNAKSLPPRPRLISEGRTPRAMMRSDSGVAATPISE HPHGAGALSKSDSGYSSNISLRSFSAKPPVPEKEESPAQPIDVPPQTPAKDSFPVELG MGAGNDDMNAQSATPKTSKPVAPPVPRKDLPVSDDSTPTMVLPISTKVAEFFGERAFV IEQKTLRDTARSGQDQPDSLRGSLDLPPLSPSGSVPSTTSSSNGSNGARKPGKLERLI SNARKPLAIHVTQPPEKDSATAASRHDREEQGGHGGGFSKSLKRLALKPVSSRATLGT IYSVGSAEAREEEPPLPSVPTVVSNALEVKGGRPNGIAGPRPLPRKPLPIRKNSIDRG QHLPTLTSTRDEPLSPSSLEVASATSMSPRSWEPQSPTFRNTDRGPIDNVPSSPVNET DSHPKARYAPPVSMKTRTQASIRIVPPSRSKSTPPVARQTSPAPPLPRKASRENMQYH PPNKPSLSRRSSRESVHSYPPAQLHYESLDYHMATSMSEEIGLHYLANVQARNTTYRQ PDWQVKTGHEIPSERSSLDQSRQNSLPSSSNYYNSGRQEFKPRLQNTKTPVRPSADAV RRLSSYGSHGRQQDRTAVHGVHHESYQTHVVSGAQPSHGDAPGAAIDPWAGRTMIPKS LDQQPRNPPHVPRGHSRTHSLGSHGSNAPPYRILHSYNSPAYRNAPIWG PFICI_09910 MVSFSTARAAVLVGAWAFATHVNAQGYYFQNQGDSCAGVGFQYL GCYNAPGGVDPFQYTPGVPTTRDGQGNPSLSYIQFDNNDFTNATTNGNYCSLFCRAHG YKYTGLYNQGCTCGSSLSDSIKSLTPAADSACAIECSGDPTENCGTATGISIYVDTSF QREDSLVPANLATGYQKLGCFYGSNGGPTIPGPNFYQTTVATPDLCFANCADLKLPYA RVIRDDANTFRCFCGADFGWGVRAYDDSTDQLCQSKCSDGTSGCTGQDCCGVGNNNAA PVYANPELMGCLAPVIPGFYTAAGSTVPAGAYTCSPTPAFVSARSKYTVSYETAPSLT KTVSFVATVTPAAGAAFVPYGCYAGGASILEAPTLAAPASLPSGRVAVDTCVEYCNAQ GASWAALRAPTNAESICICGSDIASSGLGSIERFSTCNKRCSGDQSHFCGPNASDAGG LVYVNTAVATYTNGPWYTGQYNTWTVTPTYGCTGGVTSSAGPTTSVSSTASSITSSPS ITSSDTASITSSSTASESISSTTSESASSTASESASSTASGTDSSTLSISESSTSSGS ASSTDTNSASSTGSNTESNSSSSTESNTASNTESNTATNTESNSSSSTDTNTASNTGS SSPTSTESNASITSSSTDSASTSSNTADASTNTISTSSQGSDISTDSQSATSSVTDTN TASQSTTAQGTESSSEGQPTTTPNTETGTGSQGTSTQSTDANTSSQSDVSGSSTATTS STASTTEISGRPTITVTSRVVRSFAAVFDTEQVPDKTGTYVNLTTFDPVTNVSQADRS FVVQYLGCYLFDGVTTPFTEDDSQNFIGDATASGTASGCAGVCAGANFTLSANNQGDC FCGNELKSANLELEPDRSSCSASCLESDIEKCGGGDKLTSPSGALLSVPGNSFFNIIE AVDAAKAVIVVLDENTTTTGTASDSATGSVTNTETTSSPAVTGPGSISTMVSATTSND SAGTQTSTSSTASSTNGVPGVQDMPGQTIIAGILNDGGAAQAQGSGTNGVNGGSGSVG AVFRVPTGGFLGGEDSPNPVSCSDAIPLNLTSGQLSSGGEFIAAESTDAYTPLAASMM SAAVTTTFSSVDGILHWFNPAFYRGEAGFCQVGSGQVYATFTPASQWPQGCVAISLAL YRVEQCQNGTLVDPSLATGTVTSGPTATNSNGGNGSGLATMTSPIPFSEGIFPIDASP TDEACVSTPLSWEIAPEPTFIEAA PFICI_09911 MFNLSNLVQKAQSAAQQLIDPMSGLNLTSSDRNPAKSTLFQHQF RLPSTQTPLYEIAAELTIPPSNVTQGEKDRERGWHYVGKLHLSEAYICFSTTPTSFVS GASTAASSAFTGQTHGGGPSGNGFTFPLCAIRRVERLNSQNFQFALAITTWNGITQEE AKEKDKSQLKEQRITIQLAGSRQACERFCDGLKKGLRAAVGHVGKLKKVVSENYSEYL LRPEGKKGDSPPDAGLGMLFRYPGDPKKLRDRAKMRLWAEYLRDNGRNATLIRQPTFH KLIRVGLPNRLRGEMWELTSGSMYLRLETPTLYTDTLAKFSGKESLAIDEIEKDLNRS LPEYPGFQTEDGIGRLRRVLTAYSWVNPDVGYCQAMNIVVAALLIYMSEAQAFFLLST LCDRLVPGYYSTTMYGTLLDQKVFESLVEKTMPVLWEHLVKSDVQLSVVSLPWFLSLY INSMPLVFAFRVLDVFFVEGPKVLFQVGLAILRINGEDLLDATDDGAFISVLKGYFSR LDESAHPKSENPKLRAINRFQELMVVAFKEFSGITHSSIQDLRLKNKDAVLNNIENFA KRTAIRNLGPDSKLLNPEELSALYDRFYGVLYERQQRDRIMQEEKIRRAKAHRAKLSV SGVFVDQQDTVVERGRVGLGPSTSLMDYDAFREFLAAMSKWAVSDTPKSPVRDQFPDK DTNAYFGSFRKSNNNLLSPWGGGPEPADHEFMQRLFKQWDVDSSSSLTLQNVVTGLAQ IKGKRDIMGTITYFFELYDDDGDGKVDREGILRISEALLFLSRRGLEGSLSASSSNPA LSTLVEANGPGSPPIGGTVNERFLGSVSAFIRRCFEYADPDHPINQDDIPKESTSHAE PSSDEGPTADPSAFAIGDDEDEDEDDDLLAFDGPNDSDGANKKKAVLEPNPPAIGKPS DGNELHRTVSKAQSEKANAALDPSNPLYMTLPTFRMVVLADELLEQFFESSFPSSIHV IDGLPTSAAPASALTTFSSFSRAAAPTLPIPPAGAGGQTRSLRSVLDNIVTDASRVAA EVRRRMEEAQRELEKNALPGQRDEDEEDEDDIQGIRSAVADPERRSVRSTDRDLLDGA DAEAGGSKGQEGTRQTLIDTQEAPAGQSRPATQEAIVEFEG PFICI_09912 MAAVATRPTPIPLGGTTDAGAVNMEHVNGGATGQQQHAGLEAIV KANNNASQPTVQHAQLKPEDFKVVRTLGTGTFARVCLVRPATASDDQENTQVFALKIL RKTEVIKLKQIDHVRHEREILADVAGHPFITRLIASFSDRDSLYMVLDYVPGGELFSY LRRHRRFPEEWARFYAAEIVLVLEYLHEQQGGVAYRDLKPENLLLDAQGHVKLVDFGF AKRLGYKNDKPVETYTLCGTPEYLAPEVIQNKGHTTAVDWWALGILIYEFLTGYPPFW HQNPIEIYKQIVEKPVVFPAEPPISHHAQHIIRSLCTVDRSRRLGNISGGSSAVKQHP FFENLDWQALFDRQIRPPIQPQVRFPGDAQCFDVYPEDDGKREPYTDELYEKYDKYFE GF PFICI_09913 MERLKIPLGTLLDAVPPIRDMQDKLRETVVAPLQDNIGDMVNKL ADLALTTGSNIAAVSSSSSTTRIPPFDPDNPSLDPHANSACVPEARALLVKLRAEMGR NMPLDKQDKTQDPQNPANRAGKLADFGPVLARVDWAAVAAVAEDVAWRAGLASKYRGP VHVHVGEPRFGTMSVGFPLIWQGSSSSSSGAGAGANSSKYGRKSSNRKDGDAKKWFIK IPINGTPNAWHSSDERALASEVGTMRWLRRELGVHTNLNIPRVYHWDGSAGETSRDGT RNRIRVPYMMMQYVDGISAHDAWFTRWGPTGWWRPEAEELRRGILSNVAAAMSELRRF EFESGGAPRYQFVRDARGRETEELLGVGPLRILDIEAMLDRWLCHLSPEEKLECKRTP IYRELGPWKGKDAVKNYYTSRIDCHNVRENSDFGSTMLLESYFKWWHESDTALGVGYD DESDEEDEEELAIQRWNKGEKKPFVLTHHDLNLHNIIIDQQGKLWFIGWSGVACVPRA IGNEALPLWLVRDWNPFVYRWRDMDWPWRYRHCPWRVPVTEDNSTEEATWRLSGWRQY YAECMNEAKKAWRDVEKDANERRESREQESRPRARRKEAEESEFDRAELSSPKGEEER RGRPRYRFGEEPPEKSKTPPPGHQGVRHVSFASDTKPAPGDRRPSSKSSPSSTNIKSC PRLNRTLLSALPLSLSAAANDPRSRPFILQELYHRAVPSVPRWDNFAVSRLRHLAGEC HENVPSPFEIESRELFYRTLLDCAGVARGRHRPWAARLGDYND PFICI_09914 MSDSASSEDFPSPPTVDIFYLTFNAGKDFISSTVFGNHVYNAFK ESNVGTTFLPELVAFSLQELAPIADAFRGGYWLNPYVACYEAALNHATAKYIADEGRR DHRNGNVSHVSPRPYTLVKSCNVGMTGIMLFAQDPTAVSNVQMAETGFGAGDMANKGA VGLRLDFEKDNKTTELTFVSTHLAAMEWNLERRNKNWASVVSTLVFGDPKKIVAADES RHRSDGVFSEDQPLLFHSDTEKQLHDISIYKPGSHLFVAGDLNYRISQTTPPENAVFP ELDPDSPHHYSRFLARDQLMLQQYQGNTLHGLSEAQIDFPPTYKLDIKDKKSGSRSRF SRDAEDDEVDWTFATHRWPGWCDRVLYMEVPLSKKNPKPEEYKIKVLKYDCLPPVRTS DHRAVYLRLRVPVLSTEELTPPSDSQYTAPGNIVDPRIQLPLAIEPEAWQHRMAVKKW EHFIGWSMAIGQSKQTILIASTLLLLGISSWYYRSRTAS PFICI_09915 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVLDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVREGFQYDYVFDWTVYKYQKNAQAIAQAAGQQAVGDDDKDARARGTAAATAGQP SAKPNAIPSSRRKVIERGAPGVDTPDTNRAVGGSDRM PFICI_09916 MAESKGLPGFTKLSELVYVRPGTKSDNAATKSTDPTTILIYAWG DALPKHLHKYILGYLLLYPSAQIILIMGPMLRLFYQTPEQRSQRMEVVLGALAGADER VLVHAMSNTGGMNYASTLHAYSSLNPEKALPHVLSIFDSTPGSPLLRSNIGPWSRAMA IGAASWFPGPLVIPQFISALFLLVSHGILWLRGIPSPAVFSCKIINDPEFESVKTPRI HLYGTGDDIIPWEAVEDHAASAKQIGYQVDLERFENSPHVGHMRTDPEKYWSAVKEAW LNAVEKQ PFICI_09917 MTENHEEIRSDPPYQNGELTQLKLITRQDGHRAPPASDWITDEE LWFMDWAFRDTVRELLSEGAKFELRRFEGTPNFPPLLLQKGYGIALCARRSKALRDVG PMRFNFGKDDVFVKKLCNLANDVRKASFRHGDLIWTIRITTFFAQRPPTNAPKWWRTG AELDREECEFSVAAEDVSKATPNGCGGKTSLTPLPHTRKCSSEDIHGYNDSRSTSGAS IASPTPSRLSHRPRESLSRLSPPSRRTSSALHTRLDSGPTGGRQSLDKPRPTERPRWR PAG PFICI_09918 MTARRQCLEAFDMLLDSRDELRFQISKKTGKYECMVHFDNGIYM HFISTREGVLTVDLRRRGMIDALRDMAKYDGIDGRTMAYGVAAYFGVEEGPGGGSSCR AERRHRER PFICI_09919 MTRLRTTSPAPDPTYIEALFCIREAMPVINLPQNGTRNLWEMKI PTHLGISLILQSKCFNCSCVNILTGPFAERLELLAVKIGADSFTHFDGVLAMFSSGPL RVGNSHRRPGFQHLENEYHQYEYIPGYMADPPTARCVQALECIQRAWGSIYLSKDPGS GRMISKLRCTCSNGEVWLFIKATRPGVVYADLDGPGLFPGALKEAAKQGMDGSVDICG LSAYFDEVPPDYETRFYPEEQSPAPSFSSFSSWSQVTDSDLSLPSPAMDYRQDHGYY PFICI_09920 MAVPETFKAAVMPEAGALNVVSDRSLAPLEKGEVAIKITATAIN PVDWKIRDYKVFLQQFPAVAGSDAAGEIAAVGPEVTGFAEGDRVFFQGIIGRYDSSTF QQYCKMPAALVAKTPKNISDEQAGGISLATVAVVVALYDKASGRGLTAPWEQGGSEAG KGKAIVVLGGSSSVGQYAIQMAKLSGFSKIITNSSAGHIEHLKSLGADIVLDRSKQST PEDFAAAVGDLPLDCVFDAISGKDTLLLGVKVIQATKTENTHVYAVQTNADDANKQGE SQEPKTSVKPVLGMGAKPELRYLSEPLMKSLGGEDGWIAKGLFKPNRPLVIPGGLPAL ETALKKNKEGVSGEKVVIKPFDA PFICI_09921 MVFKSKFLAASGLFLSLASLGCAHPGEGHQKRVQEASNAHMVAA LNARALEACNQRPEVKARKERAIARRQATFTELRQKRGLKDESFVHRRDDAAFQKWAA VDHNKTGIVDFGQDTPAEDLFASNTSCLLAPDNANGPYFVYQELIRQDVVEDEPGVPM HLEFQFIDVNTCEPADVLVDIWSCNSTGQYSGVSAEGQGGLASSYLRGVQPTDDEGVV NFDTLFPGHYEARASHQHIIVHVGSKILDNGTYTGGVVAHLSQLFFDQKLIDAVEQLE PYNTNQIAQTPNLEDAFAGYGATEEYDPFINYIVLGSDLSSGLFAWAELGLNTSSNWD LYAPYASIWKEGGGSDNPAFNFSIVGTPPPTHG PFICI_09922 MASQNSVPTGPSFAIKRRPVSIAHRSPAQPASSLPLSQLPSPQP STNLLDFQDISRGSQRQSTSSASDCPSTGVANSENASGLPTPPPSATSGISQSFRASP EFQSAPVFFAPLETSSLPTPPPSAKPEQSYFSLPPSSTAERTPDVPPRPTNPTSSTRQ TGPAPAGPRPAFTSHPSNSSNGANLDAGTPHPVYRSKTQRFRDGVNKYCNKETANRAY SNGIELLGKTSDRLGRIIDPLMPVLSVTNPDFASTYQQINQAGAQGSILPLVGALAQV TLNDNTEMDAGTAQPFLDILNQQQAALGTSSGEFDPSGYIAALMQNGASGVNADVINS YIQQQSNAAMASFQANVTNMQGGSSVQNPFLDLMAAAQSGDSTQLINQIILQQQQQQQ AAMANLAAWGSMPTNPVTGGPSGPASFAQEATPTNGPTAASQSPNNTAPGEQNDQTSS VPPAQSSTSPGSQDQRQEWTRQSIPKFGIGNISLPVNNNLRVSAHNVLAGELADLSGY FEMKLLYSSRQVVDEMASMQELRSDDDMTILEPCAMTDFGIPCGGVSVSCALAMNEPD DEGLIPAMYRVLVQSPYGHGISLSYFVAAENLHALETAAKTFMVSSISWVDRATLCDS IVEKLVGKWRWGEETPLIDLGDGFQREWKQLTFTADGRYQYQKGGGTTSSTQDAAEAG PESGPLNGRFEAFEYRDGPIHLVLTEDHTSGVEVQEILLSKGSMTVKGKIYCKIAAL PFICI_09923 MLDTFEILTTSGVVLWSRSYGTVNPSIINNFITDVFIEEKGAVA GAKDDLPTASNPPYKADQHTLKWTLSKEIGVIFVAVYRSLLHLSWIDKLVDNLKTIFV DLYGDQLKKPNTTLVHCHKFDEYFDQQMRQLESGPASKNGYIAAGALTSEDENTSGHV GDEPPLPPGIKHRTRAQDAAQPIASTIDSSPAVTPSNSRPSTPGTSHLVVGKGGPLGK MSRRAKKMQNNSAPASSGDEGPNRKAKKAPKKGRKWNADGFASEEDDVQLDYSATANG PASESEAEGRSSAIEGIDSSTWGTKTSKGQFVLKDLDDEVNEILASAQSKSETQSSGG LVGAGFSSISGLFRNVVGGKTLTKEDLKKPMDGMKDHLIKKNVAPEAAVRLRDSVEHE LLGLKTGSFESIDTRIAKAMEASLTKMLTPTSSLDLLREVDAVTRPSALSGTKARPYV ISVVGVNGVGKSTNLSKICFFLLENKYKVLVVAGDTFRSGAVEQLKVHVRNLKELTKR EGGQVELFEKGYGGDAATVARDAVRTAANEGFDVVLVDTAGRRHNDQRLMSNLEKFAK FANPDKILMVGEALVGNDSVAQAQNFNASFGQGRSLDGFIISKCDTVGDMVGTIVSIV HATNVPVLFVGVGQHYEDIRSFSVKWAVKKLLSNS PFICI_09924 MQRQNYGPSPPLHHPVPQHVSTVPQLRSPPPPTSSQPQTQGAYG NPYQQGQPGPQGATGANAFGQYGNFMNDPTAQIAASMGQNAFKQGQEYFEQNVNRWFS MSSLKHYFNVSNSYVVNKLFLVLFPWRHKPWSRKQAIGPSGQEGWYLPPRDDINSPDM YIPVMAVVTYILLSTLLAGLRGEFDPQLLGATAALAALVVVLEIAFLRLGCYFLSISE RSQLLDLVAYSGYKFVGVIVTVATAQIINGGNGTGGLIGWSVFIYTFMANSLFLMRSL RYVLLPENSSDPRMQTESRTKRNQRTQFLFGYSYLVQFFLMWILTRP PFICI_09925 MVFELPPIEVASIQSAVLAATSNLLAQVITSFRHETPLVIDWVP IFQFFVFNYLNTHPNVLWQEFLESTWPAYHAAPTAEAVASASKGDDKELEKEEQEGKL VEPKLNLRNTAMKMLLDQTVGAAFNTVVFSLFIHGVQQAMPRPLGAPLSTPDQSVNYL LALATGGVKTSPVNWDSIQAKIAAEFWPMIFAGLKLWPLVSFINFAFVKSITGRNLLG SLAGVGWNIYLSLVASQ PFICI_09926 MARRSQSSWALSFGLIAWIGLLFCAPLFFASSARADDVSEYGTV IGIDLGTTYSCVGVMQKGKVEILVNDQGNRITPSYVAFTEDERLVGDAAKQQAAANPT NTIYDIKRLIGRKYNEKDLQNDIKHFPFTVKNKDNKPVVEVKVNGADKTFTPEEISAV VLGKMKEVAESYLGKKVTHAVVTVPAYFNDAQRQATKDAGIIAGLNVLRIVNEPTAAA IAYGLDKTDAERHIIVYDLGGGTFDVSLLSIDQGVFEVLATAGDTHLGGEDFDQRIIN HFAKSYNKKNSVDITKDLKAMGKLKREAEKAKRTLSSQMSTRIEIEAFFEGNDFSETL TRAKFEELNMDLFKRTLKPVKQVLDDAKLKKDEIDDIVLVGGSTRIPKVQALLEEFFA GKKASKGINPDEAVAFGAAVQAGVLSNEEGTEDIVLMDVNPLTLGIETTGGVMTKLIP RNTPIPTRKSQIFSTAADNQPVVLIQVFEGERSMTKDNNLLGKFELTNIPPAPRGVPQ IEVSFELDANGILKVSAHDKGTGKTESITITNDKGRLTQEEIDRMVAEAEKYAEEDKA TRERIEARNGLENYAFNLKNQVNDEDGLGGKIDDEDKETILDAVKEATDWLEENAGTA NAEDFEEQKEKLSNVAYPITSKMYQGAGGPGAEDDDDFDHDEL PFICI_09927 MVFGFGRKKPVEGEEPVPTDADAVAPRIDQKPFWEAIWPVLACG AGLFSDGYINNVISSVGTVLTRQYGDLYSNSAAQNVAAIAFAGTVVGQLFFGFLADRW SRTGSLITSTIILIIFTALAAGSYWHGEPLGMFSMLTAWRFFVGIGIGGEYPAGSVSC AESSGELKSGSRNRWFILFTNTMIDWGFVIGAFVPYVVAAAAHNTHYGTIWRTSLGIG VVFPLILFILRLKLKEPEEFSRNSMRNVRTPYSLVFKYYGFRLFIVSLVWFIYDFSVY AFGIYSTPMLDNIYGGDGPLTTVFGWNTVINLFYIPGTMIGAPISDRLGPRYTLALGV GLQAIVGFIMAGCYSKLALPQYVGAFAVVYGIFLSLGELGPGNNIGLLAAKTCATGVR GQYYGVAAAVGKIGAFVGTYVFPYIIAAGGGDDNKQYQYPFYVSACLCILSACLVLFF LPHIDQDTITKEDAEFRAYLESQGWDTSQLGLKNPNALDSEHGEPVAVSKDEGVPEVK A PFICI_09928 MVADAVIYHPSVAHYLKFIATTMGRDKLMRTLQYFSRFYAWYLF RTNGTKAEIAPFEAIKKQFGLARKLLRVGKNVEHLKAAAVAADSKTIDPVLRYTTVGR QLGYAGYLTFDTATVLDALGVKKWEGAKNVQKEAYRFWAFGLLFSIAAQSYTLYRLRE REVKVDKKEGEGVVEAKRIGIERAAARLQLISDLCDFTTPASALSWIDFDDGVVGLAG TVSSLIGVYTMWKKTA PFICI_09929 MASKLNPWGVCRNLCIRSRPALQTTERRIAFPIAKRGLADDTTT KSNGTDASSIPPPTESAPIVRRTEVFADNWLNAEALSRLEQAAAGQELYDDEIGHKFG MPTPPGKNDKLQDRYPAVIHQISRILMRDGKLSVAERHVGLILNFLRTTPAPKVNPMR PLLPGSPPPEQLPLDPVLYLTLAIDSVAPLVRVRMMKGMAGGGQALEVPEPLTLRQRR RTAILWILDVVNKKKSRGSGKKQFASRVGEELVAVVEGRSSVWDKRQIVHKLSTSSRA NLNHPAMLAQRRK PFICI_09930 MSLNMLLLPPWLLAGFITVYTAIYWFSTRPHDAREPPVIPSGIP YVGHLLGMVLQGGRYIKELGLEHSKKPIFTLAVPNSRIYIVTDASLAAAVQRSSRALS FTPIIPEVTERVFGLDKATKAIASKNLDPGPGETGGFLSEIQEMTYAWLGPGDYLGQL TLEAVREMKIEVEHCKNMISLKVSQTDTVDLLGWVQRLVSISTAKYLYGPDNPIARNP GLVEAFWDFDHGLGLLLFNVLPAITAPRAWRGREKLVAALAEYLESGTYKTGSKIIQE RVRIALKHGWTLQTAAREELSFLFAGIVNATTSTFWIVLQIFANPDLLAGVRGELQNV MIKGKEAGPNTLAIDDLRNNCPLLVAVYRECLRLNSDNNSIRMVKQTTILADRWYLAK GSIVQIAGGVIHADPSIWGSNVYEFDPTRFLGHDGLESERRKERQFHPAAFRAFGGGK TLCPGRHFAMSEILSLVALIVLQFDIEAPKGGRIKVPKKNDTVLPVHILEPAELVKVL LRPRDGGTVRITLTRE PFICI_09931 MGAADRLQQISNQVAGSKSAKERLLIKSPDDIVVTACLRTAFTK GGKGGFKDTAAADLMAGALKGLIERSKIDPSLVEDVCVGEVLAPGGGATEMRAAALVA GFPETTAVRTLNRQCSSGLQACIDVANQIKSGMIEIGVGAGVESMSTQYGPAAVSEFS ELLESHPESANCKVPMGVLSEQMAADRNISRADQDAFAASSYYKATKAQEAGLFDEEI HPLTVQVEDPKSGETKTVTISKDDGVRAGVTKESLGKMKGAFAKGGSIHAGNASQISD GAAAVLMMKRSTAERLGQTILGKYVAASIVGVKPLLMGIGPWKAIPAVFEKAGITKDD VDIFEINEAFASQCLWCANELGLDMAKINPKGGAIAFGHPLGCTGARQVSTLLYELRR RGEKVGVTSMCVGTGMGMAAVWVAE PFICI_09932 MSLAVLSDEDIRSLLESLTREDAESLAHSLKCALHEYSTGTQSI EAGLIHQPDRTTIHSNTTNATTMFMPSFMTASSPDTDPSQPRIKPTGSLTLYSPLGTP IGFLHAQTLTAFRTALASSCLLQKRQHVRTLTVFGSGLQAYWHIRLALMLRGPTIRTI NVINRRFSENARHILQKFYAVPPEVKQREGWENAQFSVLTPGYGEFNRLLKDHVRSAD VIYCTTPSMDPLFEASILTSHEGRRRGRLIVAIGSFTPEMRELPRELLEQAVKTHGHG VHLHKHAVEGGVIIVDTLDGALRDAGEVIEARLEPNQLVELGELAMIHKARMVEEEES IASESTRGSTDMSSDLDALSLGTTGSSAMSTVYGSTNSKEEGSSNRSSSRPSSPSRSQ SGSFFHKRRNSSQASVDAAKQKRDDHLARWLTAGNVIYKSVGLGLMDLVVGMDIIKLA KDRGVGGHLENFS PFICI_09933 MQGRFFLEIKYKRLILDWGDDIVWLGCSDSRCPETTILGLQPGD VFVHRNIANIISPTDINTAAVIEYAVAFLKVKHVVLCGHTACGGAAAALDDGRLGGVL DYWLTPLKAVRNANAEELGRIRDDKERAIRIAEMNVEAGVKVLMANATIAEHIRDRGL QVHGTIFDIASGRIKDLGHGTVKPSKTAFGDFGAGEGEIIRGKHATLVFRNDGAAMEA R PFICI_09934 MPPKSGKKAAPAPFPSSKAGKKAPKNPLIEKRPRNFGIGQDIQP KRNLSRMVKWPEYVLLQRQRKILNLRLKVPPAISQFQHVLDRNTAAQAFKLLNKYRPE TKAEKKERLTKEATAIKEGKKKEDVSKKPYTVKYGLNHVVGLIENKKASLVLIPNDVD PIELVIFLPALCRKMGVPYAIIKGKARLGTVVHKKTAAVLALTEVRSEDKSELSKLVT AVKDGYLANSESARRTWGGGIMGAKAQKREEKKQKALDAAIKV PFICI_09935 MAPALCAICKTQRAMIKRPKNHQKLCKSCFIQIFEDEVHHTITS SRLFYPGEKVAIGASGGKDSTVLASVLKTLNERYDYGLDLVLLSIDEGIKGYRDDSLE TVKRNAVQYDMPLKIVGYDELYGWTMDQVVETIGKKGNCTYCGVFRRQALDRGSKMLG IKHVVTGHNADDVAETILMNLLRGDLSRLSRSTSIVTGSDASEVKRSKPLKYAYEKEI VLYAHHKKLDYFSTECLYSPEAFRGSARSLIKNLEKVRPSAILDVVRSGEDMARLVPG QAADACGCKGKTATAAKAAEEESVGGCGSAVGRGAGGEMAIMEKQLRENEKAEEADLE TEVTVPKAPAPAQRHDRSKQNITGPAGNSVSVPLRPKQKLGTCERCGYMSSQKLCQAC MLLDGLNKNRPEISI PFICI_09936 MPVPFEALIPYGIILAMFGATGAGMSKIKHMQNGGKRARRSIDQ WDRQMMDRDRRLTGYLRGQSDHALAPVGFELNNPWRVEKRMS PFICI_09937 MANAQSAQEELQQSVILSEGDAEFDEEDEDVPEAMDIDTASEPQ SDRNGQGNQSSQVVQVENASVPAEPTNDDYRPKVQHGDDRLDRNMLTEHDKHPLDHEN AAENPGQTQDADDRVQEKQNIDNGVGDGVEAVHDADQVSDKDAEGEVDELMPPVGDTD APSADEEDDEDEDEDGDDDEEDEAEGVGAVKIKPGETDEDESDSGDDASFASAGSDRE SADEWAAGAENEDDEDEEDSEAEVANLCIFCKKDEENDPGEEFEVFLVCKGCGEHSHQ QCARDAGALDHKPDEAGWKCPECKGGDSESESEAELTTAAANSNEEPQDGEVSPATSR RSTASKIARDLLPPQRALNKPDSHSVFNQLVLDEDPMDGSRVLRKRKTSSAADADEAM SLRKRRRNTSDGSNNDDSRDDTTTGAEEPTRPRSSRSLRLKASSPPLKCTISKNSRNT LLLRMQVAPPALRKILSKEPQPKKRAARSGTSRPARSTVRATAAVAQPPVASLMPSSY TQPFYSFYDKETDELKGKPYGGILTEAEADTSKTMPTKDDRRRFDEAKQKADEEWRVR ILAMQAEMESVSLKKSKKASSGPASQIECIEFGGWEIDTWYAAPYPEEYSRNRVLYIC EFCLKYMNSDYVAWRHKLKCPAKHPPGDEIYRQGSISFFEVDGRKNPVYCQNLCLLAK LFLGSKTLYYDVEPFLFYVLCEYDENGYHFVGYFSKEKRASSQNNVSCILTLPIHQRK GYGHLLIDFSYLLTRAEQKTGSPEKPLSDMGLVSYRNYWRLIMCKYLLEHTPEDRGAR TCLSIRKISDDTGMMPDDVISALEGLRALVRDPQTKLYAFRVDLAFCHEYVEKWESKK YVKLNDKVLTWTPYVMGRSNATNFELGPALNAIAPREDEEENKTVQMLAESGIAAGDF AAVAGNIPKALMPGETTVLESTEPIDVNVMESTEVVKTNGGDAGNDLLHAELRSHHSA EAAAQVETEVDWLAPYKDIPPTRFEVFPPVNPKRPGLGRLNSGIARPVLVRPPASAPR PRPKRPAGGSSRKSSSRSKSGGSRRKTGGTGRGPGRWPKGTKKSDYGNADSGPGLPPA WAGQKKPSDALDDPEQAGLGGTVEVKQPRGKSGKEVETTAAHFEVKINDVSEESGSGS DSDSDEEEEEEDAGEEKDVDVVMNDEEDEDEEDSSEDSDDDEDVPDVDNENDDDDDDE EEEEEEDEDEDEDAEGEDE PFICI_09938 MSKVMRSVKNVTKGYSSVQVKVREATSNDPWGPTGTQMSEIAQL TYNSSTEFYDIVDMLDKRLNDKGKNWRHVLKALKVLDYCLHEGSELVVTWARKNVYII KTLREFQYVDEDGRDVGQNVRVAAKELTALLLDEERLRTERSDRKTWKSRVTGIEEFA PEGTVPSPSQRRPRRRASDEDDAEYRLALEASKFQEEEDRRKRESRRGGEDNDDDLAK AIKLSREEDERRKRELEESNAQSLFDDDPFPTTSQQPQATGFNMGYQQGNNVDWFGNP TAAQSPMVVQPTGYNFNNGQNQQYTGFQNTGYQNGFGAQPTGFLDPYSQQQQQQQQQP QMFAPQPTGFNNPYAQQQQFQQQPPASPEPAPQSGSNNPFGRAQSASPSPMKPMPTGS NNPFASGFSKPQQPQRAPTMPSLNQLQAIPEPKSLQTFTPPPQPTFQPPQQTAQPQRE LTEHEARLNQLLAGGDGMDTFGNTGNLRIPAQHTAPGTFVNSAGSGLNKLSAEATGNN PFLRQQFTGMPQATYQPNPQQMPAATGPANMGMNGYGGQQQGNPFARTQQGGNQGQDL IQF PFICI_09939 MSGRKLMSLEAAMEEERQQIEALLSGQQPSRAPQPPVRTPSPYL NARSPVRSMLDVGDAPPSAGLRKSPPPVRSMLDVAPPANTPPFRSPLGTGSSLSKPQR SPVVSSAQTSPTLSSHRIPVPSGDVHHRSMSDASAKPAFTDFGPRSPPLARDRAVDPT TDYKFSDIYATNVGQALPTRRAPGAQMRSASIGEALRGADLSNLVLPGEPGRSGFSLR GNSKSKSPHNRFSLRSNSPHASLLGSTSRNRDDGMIILEDGQVIDKNSAYRRLSDANL AYGGSSLSSLPRKKSDPEGHGRIQKSNLSPYGELLTDDDSDDDVANSSDEEDHRGRKL TTRAEGRGDGDEATRAAKSLLAAAEDERKHIASQHQYRSLLDPEITVTNPNGDKKKSN KRAVQPSTSFDQDPPTRAPTPDPNADQDDQDVTAIKRAQDLQLSSTPIISNPEVYRSI RILYRGEYDKIYQHATEEHRRLRKYLVAMDLSDESTHALEWAIGTVLRDGDTLIAFYC MDEEASGTVEAGAQVPDDPKSMREQAAAINAMAKSVKPPIANSSSALGLNRASMSPRV RAAEPGSNTPSPAPSRGKSKLEEERERAVQDVTDRVSKLLRKTQLEVRVIIECIHCKN PKHLITEVIDVVKPTLVILGSRGRSALKGTLLGSFSNYLVTKSSAPVMVARKRLRKKS KYQPPPRQINNLSNPSARSLETARID PFICI_09940 MTAYHQKLGDPNHLDASLDDFRAPLTPPPTLPPSFVRYPSAHSG FVASSSQVISDGLPGDEELLRESMMDENASDLSSLSAGGYSPPAWRRLENGDRSAGFW RRNDNLLGLGVDRRYGGASPMIDYFDDRYRAHGIHGGHDDDDGADDDDDIVLEQAIRT RLPTGSLSPEKGRTPDPGLRDDDDTLVKLKSLAGSPEKAKREIEQWRRQSVLPSKSPE VAARENYIRFAVRADIQQRTEPIEAAITFVRSKVQMVTKSWANLVLAALVALLSITTV RSLFQPASQRPVPDLIKVAGIARAFEPLIYYSENGVSQVGDLQATGVAVWDLGESVRT SNMTSAPLIVRSLDELSDSLKTLAIELTKFFANVDGDIDGILIVMDWARRELTQLRGQ PSPPLATAWANIHNVLCDAGVLENPSTGTPTRLGAIATSVFGMSQPQRTRTTLQRTFA EFLSVLEEAINNELQHSLALFGLFEAIDRQFLNLARIVVRESSLQDDRHADDLSSLWT RILGPKASEVQKYERNRQLLQNVREKTVMNKGILVEHNGKLLTLKANLESLRRKLVSP LVRSVNSSTLSVEEQVKGLEDVGLYLEGVRTRQKGKLMEMLYGSSSRPSQQVGSGSGT RVGTIDEGRWASGY PFICI_09941 MSAAAAPNAVDQLAADLGNTSIEAKAPAAIDTNVAADAQGEDSA TPNTAQTPHPHASASLYVGELDPSVTEAMLFELFSSIGSVASIRVCRDAVTRRSLGYA YVNYNSTSDGERALEELNYTQIKGRPCRIMWSQRDPALRKTGAGNIFIKNLDAAIDNK ALHDTFAAFGNILSCKVAQDESGSSKGYGFVHYETDEAAAAAIKHVNGMLLNEKKVYV GPHIPKKDRQSKFEEMKANFTNVYVKNISPDATDDQFRELFEKYGDVTSSSLARDQEG KSRGFGFVNFTTHEAAYKAVDELNGKDFLGQDLYVGRAQKKHEREEELRKSYEAARQE KASKYQGVNLYIKNLGDEVDDEKLRVMFAEFGPITSAKVMRDSATESGDESETKDGKD KENENETKEEVKEEEQPSEEESDKKDKKGDKKLGKSKGFGFVCFSNPEDATKAVTEMN QRMFDGKPLYVALAQRKDVRKSQLEASIQARNQLRMQQAAAAAGMPQQFLQAPVFYGP GQTPVFPPGGRGGMPFPPQPGMGMPGVQGRPGQFPNAFPQGGRGGPGPQVMPGMYMPG QFPPGNAPYGQPGTPQFMAAMAQAQQTIGGNGRGGPGGRGPAQGMPNIGGPGMPGYPP NGRQGPGGRGGPRNGQVGGQFPQGGRGGPGPAQSQDLTPSSLLQSQLQAHAGNPQQQK QMLGEVIFPKISALQPELAGKITGMLLEMDNNELVNLIEDDAALRAKVDEAMAVYDEY VKSQGTEGTKEEPAKEEKTEEKA PFICI_09942 MFPSFTGNSKRPRNVNLSGQRSTNPWATSGWAAPPSGASQTIAN AQAEREKRQRDREQVAASKRIQRVWRGRKVRRQVQSQFRKDWDDLYLQDGDVKDRITR ALPLLSAFFDASNDGDQARLDGLVQDLSSVRDLMLEENQRQRLASTIVSALEQRPPSA SNTPLGFLVLMIKAHPNCIVNNSDQYYGLIARLCRQSDQSGPAADQNVLAEAIAAPLR RPDIQSYEAFAFFFLTSPNLAFLQANPAVVAQFLDAGVLSQSIITGLVKHHRASEKSR DGLLWLLAHFIALCRATPPSQGSSYLEALYRLLTELSADIRARSKAGNSANGSADNES SDSDEETTGPLTQYVVEQLESLVSEDGIAELLQRFTSTATSHEQASEEASLLAGYTLV LLRCFPRHGDDIKIRLFHGDIITPASANLGTVPSVKFLWQAVSRTSIFSAIAHKEAEQ RPRIVVSSLQSDPNHRDQEWRTLLLFLELYNFLLRVTDDEDFLPAETEVLANPSPVVM RVRASGLNLAELKNLVVFLKNLAFPLYYNLPDIMPSSTLSTAPTVSSLFGSSSTKNQQ HVNEKITTTFAGIQGMDVLTLRAAATVTMRALYERDSRRPFLPKDFWLMTSRFEMDGF LAAVVLEEQKKRDLEEEDDDDDEEMGDLDAATPGFLTASGHRLSRAAQIERQRQHKRA QREKVLAQVGPKLEILRNMPFTIPFEVRVQIFRQFVHLDKSKRRNGYVDADSWRASIL HGGLGQVNTGRESLGRHNATIKRGQLFSDAYSQFYNLGEALKEPIQITFVDKFDQPEA GIDGGGVTKEFLTSVTTEAFTPKDHLGMFVTNSQNLLYPNPTVFDELVESLRWYGIPE NSAEWNSRLQDLTQKFEFLGRVVGKCMYEGILIDLSFAPFFLLKWSSSGRDVRASLNE LRDLDPELYRGLIQLKNYPGDVGDFSLDFTINDRVTNPVTNKVRTITRPLRKGNENAP VTNKDRPLYISYVVTHRLVAQPYRQTKAFLRGLNSIIDPTWLQMFNQSELQRLVGGDS SEIDVEDLRSNTVYSGVYVIGDDGEEHPTVKMFWDVMHELEDSERREVLKYVTSTPRA PLLGFGQLSPAFSIRDNGSDENRLPSAATCINLLKLPLYRSRATLKEKLLYAVESGAG FDLS PFICI_09943 MSHLLWKYYWENDVDRFRRLLAPAGHSHSPFTTKSPAQGVGSPG AYGHSPRPLAKLRKASAHAGNALSKADVNGRDHAGLSILLRAASSTSPNAVNFVEALL AHPATDIYAQDPESGWNALHRALYNGNISIARLLLEKERRDLTESLGVNTTTKVGQLI KTKDHEGNSPFDVYNASIALRQLRMAEDDANSDDDSGSEDAGDGDERGTHTAKMNGVG EEVFTFGSNRNLSLGLGDEDDRQYPERVHLKRPDHLIQYFHDRFVESQKNDRAVEESR ALDSSEIPALTQNRPLMVQDVVMAKLHTAILTTDPISNLYICGIGRGGRLGLGDENTQ FTFQPVQAGLLDKRVTHVALGLNHTMAITSGGELWSWGSNSFSQLGYALPPPMKPDEE PISTTPRQVFGPLKKEVIVGVAASAIHSVAHTGSSLYCWGKNAGQLALMDADSRSLEV QSVPRKVAASLLSSHSSILMVSAIDRATTVLFEDRTVCVFTNYGYNMIKFPFYDGFTN STLQRSGRVSMPSRFNSGRREIHSITSGGETIAALTGSGDLFTMALNHKAEGHLGATS TTNPAKIKDAITTPQLTWNSLKDGAKSVAIGEDGTIMVSTQSGAVWRRVKRTKAKDAK NVGNVDNKRKDFKFQRVPYITGIVGVRSSMFGAYAALRKDCDVTREQLEVDDQTLWHD LAPLCALKGFRATNPRLRENKDTWQFQNPEVLMGRVDTLGYEVLTCTDLEEDLAIHLR NWSYKHDETGLTIRTSSAPELQIPVHSWVLAARSPVLRAALLKFRETGHCVMPDLLTI SESHGTTTIELCGIDLIALLNLVVYAYVDRVIPAWNFTRQSPPLAYRYRQIRIDLMGV AGKLGMAKLEHSVRIQSTPPKSMDQDFRSAIEDPTFLEDGDALLELDGDEIPVHTSML CQRCPWFAGLFKGRSGGMWLASRRAGRDASERTPIDLQHIHPESFHYVLQHMYADVGE DLFDNVVADSIDDFSELVMDVMSIANELMLDRLSQICQHVLGRFVTTRNISTLLNLMS PCSVTKFKDVGLEYICLQMETMLENHLLDNLDEDLIFELDQVVRDNQLARCPFAKSGR ADLLLHERYPALAEDIEEERQVRVKAMAYKVSLRDDDKKLSSSFKTKFGSFDDNVAIS PLLDKSRRQSRSARNEPFSPVLRPKNSKADLIFDMEEEESSIASPSPSPRPLDPSLRD ELEQLPSLESTQSHGKGKQVVKGQTPIDKSPASNVTPGTTPVNGASDLRRTSNGGNPW AKSALSTAKLDLKDIMAESKPAHSALSAGLAAQRSQPAKVTPQKMTQKEKKKFLQQQA EEQARQEALAASKPQVAWTQVGEKQSSPWLPTPSAPKTSIKDTLMPTTKSTLAPPVAK HLVAGESSNMAIPRRAASPDTRFSGQSRSGSTTPRGNLTKNNSDGPASAKSMAPQHSP SQASHQEPKPLVPHSKSYIQKPQKQMDSMIGLGLADIIGQQQREQQSVKEAAAKRNLE EIQQEQAFQEWWDAESRRIQDEEARRQNREKNRDEKKGGGNRRGRGGKPRSGPSKDQG NAAPPSTSAEGAGGGAGARGRGKTRRGAKAAAA PFICI_09944 MSDPKPLPFVYQFAAGAVAGVSEILVMYPLDVIKTRVQLQTGVG TGADSYNGMVDCFQKIVRHEGASRLYRGITAPILMEAPKRATKFAANDSWGKFYREAF GIAQMNQSLSILTGASAGATESFVVVPFELVKIRLQNKASASLYSGPLDVVRKTIKNE GVFALYNGLESTLWRHILWNAGYFGVIFQVRTLLPKAETKQGQITNDILAGSIGGTVG TILNTPMDVVKSRIQNSPKVAGTTPKYNWAWPAVMTVAKEEGFAALYKGFLPKVLRLG PGGGILLVVYTGVMDFFRKMREEKA PFICI_09945 MANPESITRLPALMISLELLREQVPNKMYTSLLIGTLCVLTLPW VSEASINSTFQNPILPGFHPDPSCIHVPEWDETFFCASSSFNAFPGIPIHASKDLQNW KLIGHVLNRQEQLPRLAETNRSTSGIWAPALRYHNGTFYLVTTLVDDDRAADDASRWD NIIFQANDPYDPLSWTNAVRFDFVGYDTSPFWDTDGKVYITGSHAYHVFPGIQRAEAN LETGQVGEWITIWNGTGGLAPEGPHIYLKDEWYYLLVAEGGTGLGHMVTMARSRSING PYEADPANPVLTAANTTSYFQTVGHADLFSDQSGNWQVWAVALSTRSGPSWINFPMGR ETVLTNVTWKEGEFPVFSPISGEMSGWQLPPENLNVNGDGPFISEGDMIDFVPGSELP AHFTYWRFPISISYQISPPGHANTLQLLPSSLNLTALNGNYAGPLGQTFVGRRQQDTL FTYSVDMEFSPTLLESEAGVSVFLTQNHHLDLGIAMLPANSSTGIFPGPINATTLPED QSEPILQLRFRGISYITVPDPVIVPIPDVWHSKKLRLEIKASNATHYTFSAGPAGARS QMQTLVEASNAAVSWGFTGVILGVFCTTNGHEGDAPAYVSKWTYTPQGQFRD PFICI_09946 MAHLDGMIITEDDREGPLTFGIEMEFLMPMLHQKDEDPDPHDPR PIFRAHNDDDVHFPEKIDAFLMDHLGSLAGDGITLRDEANDEFIEPHDNVVKYDAWRI IMDSSVKAGAEAKYKAYVWAGKEITSEVLRTTDPDAYYKIMVLCRAMRNIRVHLNDSC GLHVHIGRGDDGLSLKTMKKFSVLAWISDAILLDLQHPSRWDNSYCKKMSLYARLSDG HVTRGQGDLEARLDNAQREDALRHIPDSLEGLLLHKVKCIWATTSLEELAILMGNTSD IHARNPMRAAVRGTFGYRRFLPAGKTGGNTNTIEFRQMVGSLDPQHINNWVKLCVGLV DFARLSTADEFCSLVAEASKGRYNFAQMLRDLKLGQDVPFWSNKVLAYAKIDAEVYEG SKESLFLRRL PFICI_09947 MEAKNCKSAAKMQVQGQAGKTTQLLDPKTGHECVESFDSKDHFR RLETLESGPSADTEETWAHLKHLEDLEAGPKKRERNDSKDEEESFVQHAQVARNKTSL LDKNTASGRAHYEWAVRTGVWEDWVDEADWHESNAGLSSNKK PFICI_09948 MSGSNLPAPDEKRVSTEENSVVKWLNNVVEPFEQVDEEAPPIPT RNPLRLLIRREPTYDELEVSPGSDGMDTEAKLWHKHDSDPEPEKKQDDKNPRVEVADQ RRKI PFICI_09949 MALLEGPVLYVVGLVGAILSYRLLFYTPTLRRHGVPLKKPPNTL PIAGNGIVFLQARQKLFAWFTKCERQFGYETFQISVPTLPPGVVINDPKNLEFVYKHE GTFTKGTFFKRLSWDLFGGGIINSDGDLWRVQRKAGLAFLNVQNLQVLTDVALPKYLN QSLDLLNKHGNDGTSVDLQTVFHEITSQLMGKMAYNMEMHADDEFTMAFEHASGETTA RFQNPLWFVTEIFTGFKFRKSLAIVASYGEKIVQSAVRDRHSSKQGDGTKLDEISGSL IQSLLDSIDNEKIVADSALNYLSAGRDTVAQALTWTFMLLMENRFATAKVRKEMQELL SRENLSDTSFTFRELDPTIFTPNSLPYAMAVFYEALRLYPPIPFEIRQAEKDISLPDG TFLPKSSIVLWCTWAMNRSKISWGEDADSFRPDRWLVDGRFVSKSASEFPVFNGGART CLGKKMAEVMAVQIIAAIMLTFDFMPAYGTKRVSKTSLTLPMEGGLPCYVRARHYKPE M PFICI_09950 MSPLIPRLHLFEIDDQSWFHPYLRARVQDGLMHAWTFSLPFLWS SSPATLVASILQRTLGSLVSQYTFIDFCAGAGGPTPFIEKALNASLSRASSSSVLPPP STTDAASRVTPSYADMAGRDSNGSANAGQGEGSDSRAVDFVLTDLHPHPSSWAQIAAA SKHVTYVPFPVDASDAPEGLIQKYKARGRRVFRLFNLAFHHFDDPLARAILKNTVETS DGFGIFEMQDRSFGSLVTCFMYGFFIMLCAPFFYWWSPIRLFFVYAMPIVPFVLVFDG IVSSLRTRTPEEVEILLRTCGADTSEWEIKSGRERFLWPTGYLNWIVCTKKSS PFICI_09951 MSSPTLKQAAESARAKASNMTKSGSETVAAVKTDFLHTPLMRAA LPFVNGGLSGMLATTVIQPIDMVKVRLQLAGEGVATGPKPSALGIASDIIRQGKVLDL YTGLSAGLLRQGVYTTARLGFFDTFMGQLGQRAKDNGTTVGFAERAAAGLGAGGIAAF IGNPADLALIRMQSDGLKPLAERKNYKSVIDALTSITRSEGVTALWSGATPTVVRAMA LNLGQLAFFSEAKAQLKSRTQWSSRTQTLTASAIAGFFASFLSLPFDFVKTRLQKQQR GPDGKLPYKSMADCFRKVAKEEGLLRFYRGFGTYYVRIAPHAMVTLIVVDYLGWLTK PFICI_09952 MSSSQPSAAALKAAQLFNVDGLVAVITGGGTGIGLMMAQALAEN GAAAVYILGRRLQVLEAAAASIGIPSVKPIVCDVTSKDSLQAAADRVKKDFGYLNLLI CNSGIGGPQSAKPSKQPELTIEDFVASNWNVPFEDYNQTFAVNVTSVWYTTLAFLTLL DAGNKKGNVEQKSQVITTSSIASLNKEPTGGWAYGQSKAATTHLVKALSVALPKWDIR ANAILPGLYPSEMSAPILARGGLGKDMIPLARIGDESDMAGTVLYLASRAGAYCNGAV IVSDGGRLGLFPTTF PFICI_09953 MVKRKRDEPRLEVNLQKWEKDLVRGLKLAKGFERQRYAKRQREA DADKSARLEKEIAVLKSIDLHQVAHQHLCSSLLKIKGVAESPRLPETIKLLPKPTLTE DEKTALHNVTSALCNRKQVKDIIDEAVVGTCMALRVPMPEKKGKGKGKKNGNAREDQE DQADDVQKKPASKEEKPVKSSKQVSKDEDVEDSNESWEGFGSDAEANNVDADSDAEEK AFSRFDDMLGGSSDDDGGRSDDEDDEDSRARSIRGPSDYFSGSSAEEDSEADDLEDEE SEDEAEGSDSSSAISPPPKKVKSKATKPTPMTSGNSQFLPSLMGGYISGSDSEASDLD IAPPTKKNRRGQRARQAIWEKKFKKEANHVKKQAEMNSRDQGWDMKKGAVGEDSGPWK KGISNPFEKKSAPEGVHPDRQANFNAKSEQPERRAPPKPQQDRGFQGSRADRPAGNFR ERAPSNFQRDQPQRPAPKPKPKKDDDGPLHASWQAAKQAKEAAQNVKFEGKKITFD PFICI_09954 MSSNGDPPIRDEQDPSPNMDDESGSLENQDVPAASPPDGEDDGI APDSSSGVVNGPELPPATDAAAQSPGPRDQASDGDALGPDDSPKDNAEDSADEEDDDS NYELPLSQCGFISGKYEEEEEELSDTDTCILNPDDDFDVHIYSKPRLAEKFRLASNKR VATLNALIVNQANVHQDQIQLRDDAHSAAMEVKRRQLRKKKTQVRQLRDQIQRLRGNR VQETPWIELMRAYLQGRREWEEVFRACCRQSNMSLDPKNVHPNLHWADVPEAHDAIDI NNLLFPDEDGYQVHMEIDESEDIETTAEVNDAEIEGFPFNRLPVEVQQHIMEDLFVKP GIIHCLSRLDKRQPPVAGQFPYPCSGTSNLPHRFTYGNVPWSINNSLRPDHVLQPLLV CKRWLYLGIHAFYGLNTFAFSSLGEFGRFFKGIGNQRTQRVAHVELHWQGSVMAAHKT RINQRTLPLNYLPRMSRLQTVTIFIEEFKERRVRRAYEFPKKPKQPSNGNQEEVDDDD DEGFRAPGDEYDTSSRLHDFRRDRINNLAPPSSLLKRTAIQANWRGNRSLRTTHGMDY VYALRGLQWVRVYEHDISRQLIRDLTFLDDVNKVVTLPKDPRHQLETDLHSLANPRGL ETYIPGFEDKAMVARFYETDLLPSGHDSSDGGSDSSPSDVTSSPSDDASSMNMDDPIH LQSDAESQGHNALDLIDDMIFPDDVGYQNKSSLGSGTNSSRGSENVPLPPVGLDNDSD DLFILRGFIFPDEPGYRPGPGAGPSDDGDSDDGDSDDSGSDDASIFSDSVPLGSSLTT APSDFASRDSQMQVDQTEKDSDDSADNDDSLFVPADLDETVKVEAQEVIDLTIDSDEE DGELVSDTQSATSSRTKTTAYAPSMFRMPSVKQELNNGRDKQNAIDLTGSDDEEDDEA GSNSTRNPANIITKLATPPKNIIYDQDHRGNPRSEPVIRQNNEGPSNEKPTNNEERSN SEKSLNNKETPSNEETPRSGDASGNEKSSSSKETPNEETQSNEKPPNNKEVPSNEAPS TEAPSTDKPSNSEETPSNDKPSNSKINETISGPTSSTQSQSPKHGIEEDGNDDEGHNE KKRRVGSPTRRLQQRRKGRATGFIMSPSP PFICI_09955 MSPYNPKGAYGGADTWLLEGFAFDRCPMTSNRLYCAVLTQGTFL PPNIPMRPLAQLRFRTNIRIIFEAVGHFLEFWNGENFWTRIRATLGYDQKTTEQHLRD IVLAYLRGRRSYLRNRGLAVRPTTRLEKLADKVNEHIDHGEREYTGFRGFISTRNWEE YMYKWETRLQQVSYDLGILEKQFASGDCAPELRRYAPAQSLASRISAPPSEGQSPGNR HHDAKIRRSRSPSPSQRKTETTSLSSTTHSLPQKPRVQSPERRIESSQPEFSTRVDLP SKPSRPSWPGDKYSAGSGSQEFTTTSVNNQGTNKHSGGTSASGTTSNPPVQAKGQGQE SRKRSLSETVHEANVPRKRVATEPGPTARDAEQAGETTAVHEPARESDEKFKEFAGQQ PSDERDLLKGEPPAVSDPTKTTSSKIEESGVAATHEPFKSASSTAVDKSAKTLAQKGS SIHDSGDESTLAIRELIISLETRQSHLEEMEASMRAWQEQFTKNLTSESQTRIQKLET RVQSLTTQLEQSEQQRVANMNLLVQTLESNNKRTSSQVEIWEKRTDLLANELANDSRT TKAKLSDLEAGVSAIKASGQTEQIIEMHADIMSLNVRMNEIPDPRQFNELKTHLHSLE GRVKAQITAWQVQHKTANDLTQNLEERHAELRTDVTKRTEEFESALKKLQSQDTNQTP GPDSVRKLRTEFEEFRNKHSKKSTAALKKRVDDLSHELGLAQRSITEQTDLLYRTQQD VLNLAIEVEKLDRERNNIPANVSQESLPASDNQSKLQVFSKRLGSIEEKVGSESGQDT IQAQLNELRSAIGALEEHLRENISVDRVQKLEAAVEEMKETLPETSSTDMNSVDMQLC QVRQYMDHGLEMTKAIVKKRLDDFQAQINKIPSVARADQLATPISPQHNAPFSFRDDQ LVTLQRQIDEVREALRSKREDSISSRLSASSRPSSSVLSDLQSLIAQSHQPKAVLELA LGILKTTKKGIRQSILSMDAAGEVDDDQKMRVTDVSFELDRIAKLAIKSIEALTE PFICI_09956 MPKAKGRAKQFADWEEKPAQDYDPEAEPRIEDGESDSDLSVDEN AGTEHYVAVGRSKLRRKDAVSLGPKYSGTRVSRDALDQVSDGEEEESESDDFESAQED FDDPDEADLERDQLEHEGEDAEIDSDDALGESDEERFEGFTFRGSSKPRQSVKGKKAK RPTAADFMDEEAEESEDQEGESADDGESAEEDSEEEDDEDDGSDLEGFIDDGDDSDLV DEEASEDDDEQGESESDDADDSDAKEKKPAKKGKRGEIQSLMASGTQSVASAFATSIQ KDVAKGKAVQQQRKAFDATLNIRIRLQKALVAVNSLSTLDDTESQSEPYEAAEAAAMK LLNTIGDYRMGMQEKAGQKRKRDLEADTSSEDIWKSMLDLERPAVARRKAVLENWSKK VKATQAINSGISKGSKFVKVDEPLTMLLENQLQDPERLVKRTRIPRSCAPVQVSQKVN EDAEIYDDADFYQLLLKELVDQRTADTSGAGGQAATIRYAAVKEAKNKKHVDTKASKG RKMRFNVHEKMQNFMAPEDRRVWEQQAIDRFFGTLFGQKMVLSEDVEDEEMDEAIDAE EEGLRLFRN PFICI_09957 MKPSTAQFFRTLRALQHENPLGLPRTGSIPRMQRGLPERRKIKD VAKVIAVSSAKGGVGKSTVAANLSLAFARQGHRTGILDTDIFGPSIPTLFNLSGEPRL SSNNQLVPMSNYGVKTMSMGYLVGEDAPVVWRGLMVMKALQQLLHEVEWGGLDVLVLD LPPGTGDTQLSITQQIVLDGSVIVTTPHTLAVKDAVKGINMFKKVNVPILGLVQNMSI FNCPCCNHETAVFGTGDKVKTVCQEYGIDVLGDIPLHPNIGDHGEQGKPTVVSEPDSP RGQAFAAIAQDIGRRIGL PFICI_09958 MADVASIEEDIKQYREQLEVVDAGLRDDPNNAELLALKSELDDA LALLNETLAELKPAQSQPKHKAPSPPAEPPKWSRENHPAFKKAAPPPEDKDETAPINY KVNDNVMAKWVSGDKSFYPARITSITGSSTAPIYIVKFKSYDNTEQLRSKDIRPMAQK RKADGTPTGSSTPVATPSQPPPPPAAAAAPIPGVISSAASIDPELVAKNKEAALKPAL DEKPKAKKIRATRELEAGKSKWQEFNSKPKFGKTGAKAKKDSMFRTPEGVNGRVGFTG SGQAMRKDVARSRHIYQANEDLD PFICI_09959 MIAPASTLTAAFGLLALTAQAAPVLEERASCTFTDAASAIKGKT SCTSIILKDITVPAGTTLDMTGLKTGTTVTFQGTTSFGYKEWEGPLVSFSGSSITIQG ASGHVIDGNGAKWWDGKGSNGGKTKPKFFYAHSLKNSWIKGLSVKNTPVQAFSINSAT NLGIYDVHLDNSAGDAGGGHNTDAFDVGSSTGIYISGAVVKNQDDCLAINSGTNITFT GGSCSGGHGLSIGSVGGRSDNTVKTVRIENSSISNSDNGVRIKTVYGATGSVSDIVYS GITLSNIAKYGIVIEQDYENGSPTGTPTAGVPITDLTVSKVTGSVASSATDVYILCAK GACSDWTWSGNKITGGKTSSKCSNVPSSASC PFICI_09960 MLSKVLPVAALAGAAMAACPLSVEITGSTDHTLNVAVTNNGAEA VTVFKGNTVLSEHNTMDILVADEDGNDLPFEGLFVNYKRTDMAPSMFQTIQAGETVSA TVNTAKTYNLEGVAKAKAQAIQGFHYVTGSTAPKTIAETEFCENVTSDPVDFTVDQTT AAISLVTRDLKVEKSNSRIKGRSVSYSGCTSAQQSALVTSVSDAVSMSSAAKTAAGQS ADYYTTWFKSTSVLTKVQTIYQDVVGIQTTSPTISCKDTYGDCADGSALLYTIPSAKV IVPCPSNGFWGFDELSPDCTDFDYDRAGSILHEATHLYGTDDYAYGPTAAKKLSAAQA AANADTYEMYAGSVRLGGCSG PFICI_09961 MATDKITFLTNWQATPYHAPLYLAQKLGFFADEGIKVAILEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARNFPVTSIGSLLDEPFTGVVYLKSSGITTD FRTLKGKRIGYVGEFGKIQIDELTSHYGMSPNDYTAVRCGMNVSKAIIEGTIDAGIGL ENVQMVELAEWLASQGRARDEVQMLRIDELAELGCCCFCSILYIVNDTFLAENPEKVE KFMRAVKKATDLVLASPADAYKQYVDMKPSMGTDVNFKIFERSYAYFSKDLQNVSRDW EKVTKYGKRLGVLQPDFVPNYTNKYLTWPLEGPSQDPIADQKAMAELQKDVACCGGFR RLPLALSTA PFICI_09962 MRVLISGAGVAGPTLAWFLARTGAQVTIVEKSSSILPHGQNIDI KDSSLTIINKMGLMDEIRRFNTTEQGTRFIGPSGQPFAPFPVKEGHMCSPSSEFEILR ADLAKVLYNATKDHANIQYLFGTAVSKVISNNDKGVRVELCNGEVHDFGLLVAADGQW SKLRKQCFDADQVKVVPQGMYAVYYTIPRLPSDDNWWNVYVAPQSRIITLRPDPYGTT RAMFTLVPRTKAQAEAWKEAARGGRRAQQELVKLEFAGAGWQAERLLDNMGQADDFYF HVIEQIQMTKWSNSRIVCLGDAAYAPTPLTGMGTSLAIIGAYLLAGELSKLGKGEHPD KALQAYEGIFRPYVEESQKIMPIFPGIAHPEGPLGRRLLNGLVWTISKVVTIPWLANR SVNKDIKGDNFPWPEYEAFDESSTRRTASALPLHTQ PFICI_09963 MASAVTSFPAGKQPYACIRCAARKVKCDRNSPCAACTRHQVECV FNLAHPPRNRHKRGQQQALKDRIKQYEALLLEKGIDPERLPDTSSDHGAPSTFTRLDS DFDPQESKDTSHYKYANK PFICI_09964 MNDSILWKSVADELRESPDSLHECSIDSEDSEDDFGFVLGIQPR PDPKSRHPPPEGIQELWKIFIENIDPLTKVLHVPSLWPAVNKAANNIEVIPRAFEALM FAIYSAAVMSLGDDECKSRLNAPRKTLLSRYIAATKRALARAKFMGSTNLVVLQALAL HLLSVRDSYEPRAIWSLTGVAIRIAQGMGLERDGVHLGLAPFETEMRRRIWWQIKTHD FRTGELCGIAKFQDLHTGSDTPKWPTNVDDDQLYPGMPSPATSSKVMTDSVLIALKGE LLNFAAARIADFRRQGKAPGAWELHMRDNDTTEVDRLLEEIEETLETKYIRYCDPSRP LHLFTMLMARSSMNIIRLLSHHPRRWANFEQAPMSEQLWVWNVCINLLEQHTMLLTNP QLNAFAWHAPYFQQWHAVIHVLGTLCNGSLAVDYGKAWALISKIYQGTPEMASDMRKP IHVAVGNLCLKAYRKHKAVLEKNKSAVPPPTPGFIVKLNEQRDSIKAKQSQAKTKKAE VSRTLNQASTGDKDVPSGPGITIQGNPPSSIPAHSITHGTDETLLGTQEESFWLTQGL DSSILNNINDMMDFDFDFSPNTDLSLEEPSSHTIDWDQWDTWLAQSNILRPLTPDQGS PFICI_09965 MQVLIPLEPSDRLYHRRDRTGWLYAREEPLTQAIVDLLAALACW TCTAKTHVKDGGKLEIVLETNQKIDDVYGFETSLVMIQNKKHLSMAQPDNHLVDCKDR ASRSDENLFRGGIVMAGGQNVAIKAPLTPAMSAQYSVILDPAQQSWIYLIEDAKRLHR PLDRQV PFICI_09966 MLFRQLAVAATGSLALGVLASPLAAGHAELATHKRQVPDTHVLH ERQLAHWGRQWAKRDKVPARALLPMRIGLVQRNLEAGAKRLREISNPKSADYGKHMSA DEVIDMFAPSDAVVEAVREWLIDAGFEGDDISLSANKQWIQFDAYAEQVEELLATDYF TYEHLASGSQMVAVEEYHVPLELRDHIDYITPGVKLRADPGKLKKMKRRYEQEQLKSR NVKPMYTNFEVLRDAETDASALPPLNNTVCDVYVTTACIRDQYSIPNNTLAAAGNELG IFESLDDHYSREDLDTFFSTLYPYIPNGTYPEDRLIDGAIGAVEDVPGYTQEDAGVES DLDFEAAWPLIWPQKTVLFQTDDEFIEVNQTTADTPYFGFYNTFFDAIDGSYCTYSAY GETGDCTDPECLDPIYPDDNPGGYTGQLQCGVYQPTNVISISYGGGEADLPAYYLKRQ CDEVMKLGLQGVTVLESSGDYGVASFPGDFGLENGCAGPEGTIFYPSADATCPYVLAV GSTQFNHSTTTTYFETATSRFPSGGGFSNYFDAPDWQTDAISAYFDEVTLDFTGYTEA GTNFSDVGDGVYKIGGRGYPDVSAIGDYYVVFTEGVWARVGGTSLSSPVFAAVLTLVN EHRLAANKSTVGFIQPTLYAHPEVFNDVTEGSNPGCDSTGFPAAAGWDPVTGLGTPNY PKLVDLFLSL PFICI_09967 MSSSSVPAMVVLDDYLDIATPHFSHIPSSQLSVTTFKHALPPFS HPSTSDADREAVIAQLRPYTIISSMRERTSFPGDLLRSLPNLKLLLATGTQFETFDLS TASSQGITVAAAPGRGRTDGRTSHIPPRPKLDIKQGGSHPATQHTWAMLLALARNVAA DDAAMKGTSKAWQTGLATGLTGATLGVVGLGRLGAAVARIATLAFGMRVMCWSENLTQ EKADRMARDVGLPAQGGCAVDSQGSTFKAVTKAELFETADVVSLHYVLSERSRGIVGQ PELEKMKSSALIVNTSRGPLIDQDALYNVLSKGEIRGAALDVFDLEPLPADSPWRSTE WGTNGRGRLLITPHMGYVEEGIMHTWYEETVENVERWLRGEQVLYKLN PFICI_09968 MNPVSTPPSAQVLHHIILHGQTNSRSSEASTLGGVRTWFNPAGM YYEDNKWTTERAIQIEFYTILAVIIFSLILGCITSCKIMHCFSPSHWVRFRAAEIDAQ MQEAYKAAKDREIAKAQEEKQTGIRSGKYR PFICI_09969 METNFDITPEKRASFLSFFKRQLFRQTAVLTRDEVNLAGQTVIV TGSNTGIGFECSCQLMDLGVSRLILAVRSTSKGEAARNILLSKRVADEQIVEVWQLDL SSYDSITKFAQRLKPLKCLDIFVHNAGLNKRSFQLNNETGHEECMQTNYLSLALLTIL VLPIMQEKRSLKGPGRILLVSSETAAWAPFKERESAPLLAAFDKADNFDGTQRYWNSK LLGQLFLSELAKRIPSSVAVVNAPNPGLCYGSSLTSEWNGSVLGYIYNAAVRAIGRST SVGAQALTDAAVRHGTESHGHYIEDGKLQPMAPLVYTEEGSRIAKRLWNETMESLAFA QVAEIVQHLSH PFICI_09970 MASIRLAAAMKFIEHFATLDTNILQSILATDYIHQYAPSSIPQQ EPFDKQGLIAFVTSLKTIMNGYPMVVKLAMESESSNAVTIWAAGEAIFRDAAKDDGIA NQEWNYKAEYIFMIFLDNEGDKIIKTIELVDSKATVDKLLGLSQRAYKNISNQSSQ PFICI_09971 MVKTRYFSPSAWLYCALLLPHNLAWLEKINEEKTESFQILQTCK LLAKHFQNLQILQWNYGEYGMLLAQKAIADVLIDNYLRTFETIHRILHIPSFMRDYEL LWSETEVTPVKFIIQVQLCLALGSLVYDDDFTLRPQALHWTREAGAWLESAAKRRLMF STIQTMCLYQLAREGLQGTYGDRIWIVSGSLVRAAMSIGLHRDPTKLPPTSATHAEMR RRLWTTVVELALSSCLDPGAAPLISLDDFDCKLPSNLDDAQLNLDSNHSPKPRDKDQY TDSSLQIALGRTIGTRIAMARFCTKLNPDGYDKTLGLNNDYNTACRALSESLQSLKPA PSEFQRQYCELVMSQYSFALHVQYMMICSRNPSYLLSRKVCVDTALNLARSSLPLASG HDQVLATMQAMTMNQSPQGSEFVRLCICGSGPYRSALFQALMIIASELITMIEERKGS LHWPTGLLGGDMRAHELLSLLRVGAEWTRLRMRVGQEINKDHLFVTLAISNIEALMKE EAVMEAMDVSGKIACLEVEQILKELLSAYNDEQIPEVRFSSEEDDLLGFDEMWLIQNG DFDTNLVDL PFICI_09972 MESDNDLRNHPDAFLANHQLQNVWPGCSDQTNDWPALNCLLVLL RHLAKFVPENYRSNDLARRNEELNPILKLAWQKVTNPPKASELQLRSKIAEIFGKSQG HTPRFQELLESDLMMDTLFSDPNFGLYHPKTWTCSPNAGSRQWTVDHILSALDVAKKS IIRWNGQEPLADRIMGLFPIFDHSDDARSHGERVIRHMCAKPNFLFVHYTNDGTEWHN FSSLERLSFDVSEWSVNPVDHRFRLGRTNVQYALVGVVYMHPTASMDSVRLYRLDGSH VQHPESAVMANITHDEWELEGPGTFVLIYKKISVPAHGGEEITPARETNHEMNGLTNT SDVNLRIPTSGFNAASSPAAASMSSIERGASLAEESTPRPLQRQSQGQSLLKRESVES LDIVGGFRPKKPKIEPGLLLPLGPSTPQNAQFSPKDPSSSNNRPLHAVAQSDSDLEMP IFDALGRPMSSRAPTTGRYFLPAEHLNSHLEMPIFDALGRPMSNRTPTTSHPTRQELD PERGRGQRSRSGRGAGRGSRNSSVGRRGRFF PFICI_09973 MDPSRPQPRPNPAYTADQAVPSAQNKHLVQFADNLASHVIPGIV TALRKNQASGVRAIHIVSPYSTGKSTQMVPEIWTRIISMWPNSRGTYVQATEYQAYSL HRHLQTTNVTFGNVLGKADLYTTASGPPANTLTLTIYKSLEGILADKIQQRTSEPPLG PLTIFIDLEKVPSIRVQVTLGLLVTWLSQVAPRAAQQGHNITLVTLAGHEASDVYKTL HSFLGTEVAPLVLSVPDPQLPRQVVSIRDKRDDQAPGILQILVDDFKDFLQSPEDLHL PPPCIVVFVSEVEANTLLGQPLSEMIGDEQQPPIPIPIHHLTAKSSEGDVQKITLAQH PQIIRVDPSFQSVLSVNATHVISLGRKIIRIYEEHSSQFVSSAVRLSKNELSWEKAWA AASNLSSVKLLTADGDAMPLTRPENPLEGDLMYLAYSLVYHWGSTEWKSIPIPCLPYT NPVHLNEMSRRIEIMSVVKQDPSGAWQVPPSLRYFNDLLYTPLNEPRSVQLACLISHI YEMRQTLSTKAVRLLIRLAAIISCGIAKLMNIDEEQSSKSGLKSLGFRNSLIFQLDIA AASSGIGQTMVCYGSLWAALGLWQKQVVETKGFEILGNHGKDGTIKVANTAVTLHLAT CKKVLERAKQLEGVVEYALLSPDQEISETTADALGLEQVNNILLQSYMHQQVLFRPLR SGSNELDSIDLISSRKVTVMLPTARIIDGESIGAQQETNNGQRAPFSAIYTSIHDGDD GNLQPEDLTFVPYKSHKHLRSILPCTISLALRSSSITR PFICI_09974 MDASTEEVLEALTWHPDGAATKVLFIEAPPASGKSTKLVPKIWQ QLSSRSPNITGIYAVQTSTEAKLLHTYYQKSQVMKTSISVKKTRDRHDIHLWDQNHVR NGSDEMFLCGHGTLSTMLQQQKSTQPPILNPCLAIFMDLEPVSTALGECLLGQVIQTL RDLRFANRATPISLVLLGSHIRPGQTPPEKPQPSVALFMTHDDARIAVIDPVVMILAE PSTVYYIDKTSRKSDVYKMANSQEPKLVCIDPSGRYTLPPIKNLGIALSSGSREAYPF HHPTSQYPYARVGLSRLELERQASILQGQEESNLQLARDREWLDSVDPCPAQDAAWIQ ELIILSYRFWSDGASMLMPLPVLDEIPRGSLREARRRLVAMRAVEGDIATGFHPTEIG MAMANELMLVDKWSPDTLLRIMIRLVVICYYKPRKLFSLSIKEALQWDKGENTSAILS KVQADCAGVGAQEYYNGSIWLALGLYENLVVQDKISLNQHNRYMPVADDCIDLDMRVV HRITKMIAHLETRLLPEPVDKTLPTSFATPADRLEAYEILAWSYLHQTVFMPKPINHP NVRAFDITSRQPADITRHFFIPVDRAKRNYMLLENPSVPGYAAFYLVSVTPPKTPNGL VALVTMDLNLIPPAVLDRIENETGEKLAALTRTLYTIPQKGVD PFICI_09975 MPAKKRRSTSAAASDDSSAPASAPASAPASAPASAPASAPANAP PRGPVIHKPLDTARNDDAAATPENLLAVLNEARQMVKQKYPEMTKSDIDRLVRGPPID DNWTLEDEQKSRDDWDNDPMKTHLLEAKHTKLGASWRYSVRFYGTFVEDIIGPRFNLV YDSSSHATVVVVDGISVKHPYWSAPFCEALLPLLSHDMWQNQPGAAAVCLQYVVKCRT NDQRAMRWPRENYTTDKFFDIFADVTECLNTGQASVKDIHIQVRHKLGEIQSPWSRLF EEIEALCFREQVAPKTTPPVSQNDIGLYSHAAKAEKTPSPALLSDIPLDADPDQEMAD SEIPESRPGSPAQMKTRQADGPRTTVGLAMPDPAQADGPHPTPGLAMPEPGLASQLPT LQQDEPMGNTAPTSQQDEPMGNTVPEQSSRPSIPHVSSHELSREAPRSSNTMSSHSEA LPLLITSSNIAIPSQEMEHDAPEGDNIDAQEEPTASSIPPHLAYVDATHPLDIPDEAR LSDVPLPISWENAAERVQDPFDPYAILSSPGDSSESDNDATMETPPNER PFICI_09976 MQEKEDALREKTAAFTARDDSLRGKTDAQKRHDEVFDRVMDAEG EATELTSSLDESERKVQDLASQLENCNIANQTLRDELDESTRVNDLAQADLEAMDQEY AAQDMAHDSMLEHIGELETKVIDLQRQTEQRCFGYQRYFADMARVQGLQIEFWTVFMG AIESHPFQIPIRQLPDPYFWAVVEPWSDLNQQSGNNPAKSRAGLMDLLTQLYYHITNK DPEAEMWHLLRLISEECVKNDAPGLFVGVIPLIFEAAKKRYLEAQEAQGINLPNQVDP IKKSLIEQMFLIGLLQIGRFMQRRWPSVAPDRINKIQEYLENQDLWEPLCKHLLSDNV SHFTDEADRDTIWYKDLNVCLVGSEHFIFVIGTTTRTVRLVDKQQAKFAINTARLEAP EEHGNMDFPMSPANFMWWTKFASFQA PFICI_09977 MANPTAFQTGALPPLRTNWDQVSEWLKAGDNLLEDLHKRLDEAP DALGSGNMPDFDTDNDSDKVADLALKIENMGKKLRELQKESNEHVENIDAPRQSLRQV MDKVPNFISQNVGLNSAATQDLLTHFLEAFKTLDPIRELSPSHKTALFNAEVQLLQEK LSMLKTQTTARPDDLEECQHTSQESQRSLIKLREELKVKQDKIRSLEQDLEQSNSTVD DTKARCDKKIQLLKDSNKKNEEDMQTWFNEKTAEVEKWSLAIQDYEKEKKDNVQKIKD LETEVAMKQQTLDRLSQKTSQAGHVHGVDVEVLQSEKATLEDELYSLRLQNKASEQEA EMLGSQIQTLEQEKMVAIQAHQNVNRLLQKEVEDRKSWTDEIGLLKLQLEDEKTAHSE ARDGEIQALDAFNQGLEARQELENAKKLEEVQKVHAQRDLDVLRSEIESLRKSHGQLL EEKNKSQEDLVNMTRQRDEIVTERDGFEKDLENMTRQYEEANEQSKRYSNLYRNVSKE KEHLEG PFICI_09978 MTLFSGVLSFSGGWVPTVSLFLVTICFVVLRRRYSMLDDIPGPF FAAFTRLWHVRHIIIGDQNLQLVKLHKELGHFVRVSYNEVSVSHPDAIKKILLAPLPK APWYEVMQFPDWRWVNPMSVLDPKKKNELSKNFAPAYSNSNLLQSEAIMDEVIARLCE WIDKHVKSEEPMDLDWFFTYTSFDIMGEIAFSKQFGFLASGTDVGNTIHNIATLNVYG AIAGFFPRLHYLLANPAVTWTKLMPYGLLYDMTFSTIDERRKNPDARYDLIAHWFKVH EEHPERLSMRNIEAHTFQAVGAGSDTVSTALQSFVYHIIRNPEGNHWQRIRDEIRAAQ QQGRCKDPVISFADAQKLPYLQASIKEAMRVFSPTPMALARTVPADGLTIGDKTFAPG TLVSVNSWVIHFSTEIWGKDAAEFRPDRWLEPNGAALEKQFMPWGQGYASCPGQNIAR LELSKIAATIVRDYDIRQVDPKQQWHWKARFAMLPHDWPVYITKAT PFICI_09979 MSRQNITFHLAKRPTASVVPGQTFEQRSEPAPTAEDLKDGQVLV EVLYLSLDPYMRGMLNSTRSYIAPVAIGQKMVGGAISRVLASKSAKAAPGDVIYALTG WTEVAIVPEQMVEAIKLPEGIKLTDMLTVAFNGPAMAAYIGLQNKANVEPGNTVVVSA ASGATGHIVGQIAKIQSARVVGITGSDEKCSWLCDELGFDVALNYNSPDFVAKLEEAT PDMIDVYWDNVGGWILEVALARAAKFARYVICGGAAQYNLPEPERLGIKNIIEIGKQR VRMEGFVVMDHMKDIPEARATLLQWITEGKLKTKETIVKGGITVADAAFEKLFTGDKI GKLYVEVKAP PFICI_09980 MEAQPQTRRNPSSRLDHVDSDEHKAQTFACPFYKNNATRSANAI CRNVQMLRNIARVKQHIARCHLQPLHCARCWITFPNLGGLLAHQRASTLCVPSQQACT IEGVNEAQRRLLSKRSRNVSREDQWKDVYRILFPEVKECDIPSPYFEDPATQQSARLR ENEACLPQEPPSVLSENLRRSGVHFVEDKLNDFSCDLDFSSFHSDHSPFHSDLSPFGL DILSIFEPSSTQAVPPTVACDARFPSNDPAPIPSDDASYDRELKSVDSCLSPSSTRRL SLTSEENVHGTKANTAEKLGLLGSKEDKNDDIPYTDSGYASARGPVYPSMYQENAENP NHANCIHKDSTSDMDANDANTSYSAVTAIFPELADNCVVDVCNNINSRLDGLVDRPTL VSLSKRLPELIKAFAIKLGSDMPDQINLRVMHFVHKHHQYIVSQLRNEFHCDVNEPTS LQKQMDTMPLDEIMNMWDKNDNQRPVAVDPSEYLQGVEDEDVEDQKEDISALSEYSQR IVNSAAYEWLISSLVKEATLHCDDAQPQTTMDQIRQEILTQLPTGRISSKRLPTQHTV SFRIPKSFVPFLPEDAVLPSSFHSQTAIADRIVATSLSDDSIQLLKVSEYMDQTWPLG GGTLLSVLQSVINGAGQARASSTLEGNGVIEIILNGSYLETFVTGTSYSIAEYGEQLA WLTAALQPAISNLGTYVRPFMRHVDSYTTPTEKRHSWVIELVKEQIDDTDSTACSVQE WQNEPKTPVIVQGFPILSRPGNCPGIEVPWQLPISLAPSLICMGKANRFLLTATGKVL HLFAQTQGVTIWHILSSPREDCTCHQRFLKTDDKRPLSSPDLVRIWQGRHIITACSTA ELYNKGQEPGLDPKKESAEVQRRKDSLSGATRPSPCTSSTADCSDSLDVDMLSIPDVS EDSVIGSQDSDDATSSIMAVVATRLISEYQSYTKWSPANECASNTKQCSPGQQQSCKT QGSTQSTRGEGTTQANSIESTRKRPMGQNDRNSDDDDSYPPPKRQKSKHHDSGKSLQI FACPFWKQNPGKHHNCFGKSLDDICRIKQHLARSHTPKFYCGKCLEVFPDTTNQLTHL RYAECSFQDNRFEFITSEKQSELSRKRRSGLNKSQQWFVVWDILFPGLCRPSSPYLDL GISPDFNHFLEFYESRGTTVAIEILRDRGILASGGGENDEEVQRTLNHVIHAILREWR FDWDSLSQNTTSEGSSSAEAPRQQQHNGRRRVRMPVLTDPSSNADSGIELGSQPSSGP SREDANLPPYVPIQPRPPLGSRLNMDSTETANLGVQAAGIPSIDASPLQVFVEPSSLN VAQFDHLHQNRGNRSEPEPLDFDIGEEWPGVFGDDFDTNSFFASFDNGHLGSS PFICI_09981 MWPFGTAYPEVGAHQIPYDEYDYIVVGGGTAGCAVASRLSEDPN VSVLLLEKGGVGDGWLSRVPLISGSLAKFLQVTHRWSESISNCNGRRTDLWTGEALGG SSRINQMLYTRGVPGVYNQWSQMGHPDWSWERVEPFFKRIENCVSHPKAQHLGHDGPI HIIQHLPQFELHNHIDKSAAAVGLPIETDANNPAGPAAGYYYLDYTVDGNGFRHSAYK AYLPKEVAIARKNRLTICTRVIATRLDLDSENSTVNGVYIKSTLSRTDTERLVKARRE VIITSGATCSPQLLMLSGIGPADHLRDLGISVKKDLPGVGANLSDHHGIPIMLKVPAA DSFHRMESDYLWAIWQVVRFAWNKSGWLKAGTTSSTIFLKTGNLDPTEATLRPSPDGQ EFDAAAPENTPDLEVMIIPAGTVVGKYPGVPLVTLYTCLVQPETIGRIELTSLDATAS PKIHYNMLESAVDIEAARRGVRFSLNLAEQFMQSSGYKHSVELFLAPNAETNRNWREL GDEEIDEYVRSSIQSVVHLGCTCRMAREEDGGVVDDELKVYGFKNLRVADASIFPRIP AAHTMAPTYMVAERCSQFIKDAWKTT PFICI_09982 MKSLACAVLLPLVAAQFVPAPTDLITKEGYAGINVRYKEVPTGI CELDPNVKSYSGYADVDENQHIFWQVDCQLVNGMALTATGGFSRPEMGIPPSQAPLTV WINGGPGSSSMIGLFQELGPCGVDINGQPYNNPYSWSNVSNMLFVDEPTTVGLSYSIP IPGYEDDYGNIVQLPDTTCPDYAEQYGTCGTYSKPDLTLVPNTTLGAAPNMWKTLQGF MGAFPEYSRNGFSFTTESYGGHYGPVFNEYFLEQNAKNISGAHKIELENVLIGNGWFD PLIQYQAYYNFSVYPGNTYDYDPYTDTQKAEWYNNLYGAGNCYDQTVQCKETGRNEVC SAADNFCYYNVEAPYDVYSGRDEYDMRELTPDPFPYSFYVDYLNTPEVQAAIGAYQNF SESSNTVYTAFSNTGDDDRESNTIEDVRKLLAAGIQVILYYGDADYNCNWLGGQAVAK EIDAPGFTDAGFVNITTSDGVVHGQVKQSDLFSFVRIYESGHEVPFYQPLASLELFER ALARKDIATGEEDVTCNGSYKTVGTATSDYREGNSTIVFEVLPSNATYNTELNGPDPV PTWSATSAAKRRDLSGSEQSQTRKRSLRKLGRPVRSKGGKRHL PFICI_09983 MPGIGPNELYNNDFSIPAVPMPMMTGETGPIVNHVSLPVAMVIG GFFALACYNSIEIFIFILSTFKRKRGLYYWSMVVADLGIPIHATSVLLRFFSLAPNLP MCVIIDFGWFMMVTGQAVVLYARLHLVVGDPRKIRWVLVMIITMFLAVQIPTAVLFIT ANSSSPGRDLAESIFNVYEKVQITAFTLQEAIISGLYVYEASEGLKLMDVIKGPRVRA VNRELMALFILVVTLDVSLMITEYTNNFQIQTTYKPVVYSIKLKVEALVLNNLLSLVQ SGTCSCWTSVERQYSLSQQRYNSFTAATSTEPAFNVPQTFRTNSSVRSTAEATNWMDT WGGIYDGRPQFSKKRSKGQ PFICI_09984 MRTVCLLGRLCARRGATQARPSIPRLANPGLARWQSTFQHGGRS QWQSPPPSKFVLYAAAQGVALGTAAFIKLAEKDETTELTSEERMLQVSRQEIRKSKDE TSGFFTRCRNNVTYYVDVYFWEPICTGIRFLHLVVIFVPVIITVPAIWFGSRQPDRDN ERTGTIWWYTFLVQAMEWAGPAFIKLGQWAASRTDIFPTEMCDIMSKLHSNAPAHSIH ATRKTLEAAFDGRPFDDIFEEFDEKPLGVGAIAQVYRAKLKPSLSAPADTDVLDSNGN LRQNVRKQVDPLLKSTPKRVPSSYVAIKVLHPRVERTVRRDLRIMNFFASALNLIPTI EWLSLPDEVDQFGEMMKLQLDLRIEAANLVKFRKNFKDRTTAWFPFPYLEFTTRNVLV EEFAQGIPLADFMENGGGIFQKDIAQEGLDAFLRMLLLDNFVHADLHPGNIMVRFYEA KQPNIPGLRHPGQDPHKPHPEEQDDVTEQILERLRPYRKQKDTSAWLAELKKIDAEGY HPQLIFIDTGLVTELNATNRTNFIDLFRAVAEFDGYKAGHLMCERCRQPDAVLDKEVF ALKMQHLVLNVKSNTLALGNVKIGDILQEVLGMVRSHHVRLEGDFVNVVISILLLEGI GRALDPNVDLLSSSLPILRELGARGGAQALRGGDLHMLAIWVGLEARRFMQASIEDVE RCVKYDLLAPNV PFICI_09985 MGWFWADSPASQASAKPVAPHPFPVDGQSPPPQCPMHKKTAEAM APPAACPVKHDAMPTPAACPVKHDKPAAPLASACPVPHAASKPAEEPSTLSKLNPLNW MFSDLSQEKAPQQTHALPTEREESTIPRGDGAGTWEYPSPQQMYNALLRKGYTDTDVT AVESMVSVHNFLNEGAWAEIMEWEKRFAGGLKKGWQLCSAGEHNFDKMARKYGYEKEI DDLAPSLVRFQGRPQEMTPKAAMIQVMGWLYPAMFGTEPPFDRHDWFVSREINGEKKE IRYIIDYYSGAPEETGEPVFYLDVRPAMTPTQACERMLRWGTDTWWKASGGDVREQEK LDARRRARS PFICI_09986 MAAIRHTDIHGILAEVQTLLQKNQYVDNHGLQNLSDTLTAALEL EPRVVHFSFAEHPEVFDLRWHSPGNLRGGRSV PFICI_09987 MSHLKSRLLPNAVEMEYDYAFDVNSTDIPVRIRQQIPPEFVSTE ASDRGMTRLMKGELGWRTQVSPNGQWSVCQFKRGHGVVVFMVPTSYLRIGAKVSTNLL DQYMDTTTTPYTVVIHGDTAGRSCFRQIIPETPHQPEMYRYSSEFLFPTIWEDKNHRF AQLALAPNFNFTINQQTIDGGNIQAGKLCNLVIEVTVDKVRHPRPYIIVPDIGVYEDF GQGSCMSISIESYDEDTKKWYCTRIFHRHPLSAVEAGNYTPLPWLQVKRILQLLEGTR YATTTPHFKDQSFSVESMQHLNYNQLAQTVGFTTIPHPEFHYTPLPRLMTMEDNAALM AQKYPFLAIGSGAAPSFKDQSIKCPDNSDQSKNLTMCDFCWWLTQISASKLECVGDSG ECESCTQLLRRPCTWTDRPMLLKWQDLDDPHHLWFGQMEGHVTREEIFQPLGTLAMIK SLAARVNDSDARDKSTVV PFICI_09988 MFVVFKNYQKVYIDLLEAYRKAESNDLSLTLHSNSKIYVSMSLT PEEHENQNSPTMIRLLTLLPGSRQDSIECFLNRAYLSKSEMPEFDALSYVWGRDAPGN SITINGSSFVVSASVYQALTHLRHARSSRTLWIDAICINQCDIDERAAQVLIMSKIYC KAQNVLVWLGPRVPLGLPHVLEHIKALSQNSRPRSQREPSSIHYGTVKAIIKLLEHPW WSRVWVVQEIVVARQAFIICGRQKIEWNRFCRLVHSVASYHSFHQHSRGAHFKEFIAL EAYCRNRAGAFDRHGLENEVLLARGTASDVLSPVFDFRMRDATDVRDKIFALQGVIDA PILQKPDYTKQAYELTLGFSKQHIRNSKGLSILNLADCMQQSSFHLSGINHRRSFLAQ VLKDIEERTTTKETIVSEPAVPSWCPAFMNPTAIAEGVHRRPLWTGLPGNDCTGPFSA TDNMSVSEYTMTNFFNDENASKLPITALLELRDTVSAIGPVYSPSVFHLRHDMRQVLQ RRLPHARSSLTGLWDSTKVLDEWRKLWHKVYVSRTSLESTSSHQTPFDENGIFNLTLH GGKQGFDIMDQKHSALRDAVCTGRQFFTTERGLCGLGPQGLRVGDETHVILGCQVPVV LRRYLDHQDHDTLKVRTEDQKERELIYVGQAYINELMVYNGDLSEDIRTGKVTLEERF LI PFICI_09989 MALFKEIFTLFLFLSHALGLVHDSRQVSVACAQSCQELAETFGD RLHYYGNDSFIIWDVKQLEVEYACRVEPGSTEEVAAVLKTVVDNSCNFAVKGGGHSRF PNDSNSVGGVTIDLDLLNSIELSEDQTTVTVGGGANHLQIYQALDPYGLAHIGGRVGS VGLGGYTLGGGTSILSAKYGWALDNVYEYEVVLANSTIVTASETQNPDLYFALRGGGS NFGIVTHFTLAVYQQGPIFTGSRTYNKDYNQTLLQEFYNVFDEEDVDGEVGLEYTYAY NQTSDDYQFSVVQRYSANVSQTNVFSAMDRIPALTSSDYNGNISGSLPSNYPLGVTRN VFATITHFPSLELTNRALAIYQAGVQPVKNVTSLNARWITYSIPAAAIQAMKLRGGNA LGIDVEGHLLINLLTMGWTEAADDERMYAFADYFITEFQQAAVELGASHPYLYMNYAN KGQDIFGGYGQDIKDRLTFIQKDVDPNGVFRSTGLWTGYIKLL PFICI_09990 MALLNTSLTMRGVWYNSPYNVSVVDLPMPSIVNETDVVVKITTS AICGSDLHFYHGYSADVPFALGHEAVGYISEIGSAVSSLSLGDYVIIPDNADAGHWNP MPHPVSFGVGSSDYGGLQAEYVRVPFADDSLIPIPINSTSDRSLESDYVMLADIFSTA WNGLTYSGFQPGDSVAVFGAGPVGLLVAYSAKLRGASRIYSIDQVQQRLDIAKSIGAH PINFLETDPVAEILSLEPNGVRRSIDAVGFEAVNTSGEMDPSSMASQMVNVTGMYGGL GIIGVYFGGLENANADAQYTASGLWGKGLSVGSGIALPLEINGPLLELVASGTASPSF IVSAEIGIEDAPEYYQRFSNHTESKVLIRFDR PFICI_09991 MPAHHSIIEMLKRLYVTRARQVLLFQETKSSIAAPTVRSFRALV HETYQPQQISNGPSPSPNLSAPQEELGEIVREEFEEIPGDEREQEWQENAELLQAPIE QDNDLCPRPSPSTFDRDCQVLVLATDGCSIPINHRHLPLYANIVNPMDGWGCTCGGDA YVVVAALLRAWPNFAMRVWGGPLDIHRERILFERDEAVLAWSEPAQDTVRRLLDTAGH INRGLSDAVQEALRREAQKMMGVNEVTVNTWAREDQEFIELVEEELKRRAGGTGIMGI FNPFTSMR PFICI_09992 MPPRVDTDQPDSPPIWLGCFDVIDVNANEPDNRGRIPLHHVASS AWIESYSPMIPTRSDGLRKCAGELISRTTYIDHRDHNGVTPLHLAAMMSEWLVKELVH AGADPRNPTNDGLTPLHLAVRAREVKIVALLVNMLKEKYPGKFLRYLDARDGCGRTPL MHACISGRPESVSLLLDAGASVYSIYHVDMCVFWSCTEFEYEQSYWLNWWKPSVHDLK LIAAYNLAPDGWDWVAEGGVRLMDRNRPWVSIGNKLLPEFERIRRSSFVDPCPQIGDT TTYDEYKSTTINSVQDTAHLQEIIWMLVTRHRELGTDLSILSSTINNCINMCLEEQHY YTAKCFAYVIKGAAGMLGPLSVGDEFALRRDELGAIQHFGLNPNLQELPDEHVLVHLL VVQDYRLFHKLLLGSYPGLGTPDQPTPAKVCLRFTRCEFHCKLEISVTIKDSEQTTSQ TLNFKPRIKKCQKVRPHKQELWLWDEMPAQYRQVSERPDIIEWQYDTSEIFGCPLPVP FAKIPNLHPALHAVSGDRIWLLVRRALRYLLARKDDGRTPENEEEAGALQAALDLTRP YPILQDQEMFVQEAQDMLKWSSEPIDEAEFEAIMDAIDEQNDIYDMSYLS PFICI_09993 MSGVLGTQAYIRYFDNPVSYRQGGITASMPAGSLVGSLLSSFIA DKYSRKVALQVSCVLWIIGAIIQCAAQNVGMLCAGRVIAGLCVGIASSIVPVYQSEIA PKEIRGRIVSLQQWAITWGILIQYFIQYGAAQVGGGPNDPNQPESAFRIPWGIQMIPA FILLIGLFFFPYSPRWLASQDRWEEAIKVLASLHGGGDVNHPKVLAEYQEIEDALRFE REEALSSFKALTQPRIFKRVLLGMSIQMWSQLCGMNIMMYYIVYIMKGADIGDELLTS AIQYIINVVMTLPAILYLDKIGRRPALIFGAFFMMIWLFISGSLQAVYGKPNDFTDPN LNQITWVVQGNRSASSAIVACSYLFVATFATTWGPTSWTYPAEIFPSKVRAKAVSLST AANWFWNMILAFGVPPLLWNINWKMYMIFATFNGLSFIHMTLLAPETRGFTLEEMDDV FDSGRPAWKSYKKESRLDKLQKEIEDGKLKIDAPFGHKQTPTTVENVAATEEKTV PFICI_09994 MGSRWPPPRDDGYRQGNRSEAYRSSYSSTPQFPPYQGGGDSRNG GGDRYNFRSDRRHPDSYRDDARNSARGSDRDFRPPQGDFTFRTDRPSGVQESYESFRG SSQRNGNDSYRPGPSRRNHGNGPGGYNRGGPRGSSMRNGRGGFIPRRRERAADRLFLH KKHDDDAEDLLGDTSLRATYLNPDDLSESDDAAMDISGESEVEDENEPAAKRVRLDND DSVPKWSNPDPYTALPPPDETQRKKKDVVQMIRKARVEAEEKKQSGDTEAAEFISFDF SDEEDDVASVKESEQDIRVLNAPTGPRSSLPMTLPSGLPPRPPENLVPPTQPAAAKST PARPAPIVDLSASTNLGSRKRTVDDKIKERPHQPLQKGKKMKADATVESVWKPTKDED ACPWAVIDHSSEPNMGVRLHKELVDFFEYVRPRDFEEAVRQDLVHNLSKHAKRWQRSA NVKPFGSFMSGLYLPTADMDLVICSDNYMNGGAPVLSAKSALFKLRAYLTKNDLAHGN DVEVISGAKVPLVKYIDEATGLRVDISFENLTGIQAIDTFMEWKRQYPAMPILVAIIK HFLCMRGLNEPVNGGIGGFSVICMVVNLLNHWPEVQSGTLIPEHHLGEALMRFFRLYG KEFDYESTAISMNPPRFVPKHSVSKLVYRNMDRLSIIDPNNSANDISGGSSNFPLISR CFRTAYDELQKRMYALAKGSEAVHGHDTILAPILGGNYKTFRVQRAYLKSIYDSKHLG AQAGQRRAP PFICI_09995 MNSGHQLAGAMWALTALSLFLVALRLYTRIRIVKFIGVEDYLFA TTGLLILCFAIFIQVAVRNGLGQSFWVLSLAESSNAIFWTYVANTFAITGNAMAKLSM GLFLLRVVQVRWQKIMLWVVVFLITATSLALAVMLWNQTDPVRASWDPLRTPGKWNIQ IQPMSVGLGVWSSICDFFFAIFPWLFLWSLQMPQKEKIMLASGMSLGVIAGACGIVRT IVLARLNVMDYTINFVPYFAWAGAEIAVSMICIGIPTLRPLYLKARGMASAYGRHGQS QTSELPRFVMYEHKVPRSPGTPRIPSSPLHSRSDSGLSKPASTYTKASSRNSANELSP IEEDGNRVTWVENEVRVQRENPNWPLTN PFICI_09996 MSKFNTLAFSTAIFASLALAAPTIPFVTPNAVVPLTKAPADAPI LSERQSACFVIGSTTLPAEVADVVTSIESAVTCDTSKTTISGVPDVTSGDATFSDIDF SQSSQTPLEFALSKFATSTPLADTDLQTFQDQLNTYLATEAGIRSVGGSLAIKVPKFF LQYQVSRIQTAQGNPPAAAGSQVDHLRDKVLKNAAGEDQSLLDQVTALATQLS PFICI_09997 MTSATTTLAKCSHTQASHRNATNPAETTETQQASTTYTFKLPYT AYRGAFIGDHYRLQQLRLQTEFLDIFSVVCLCGRVFEAQAFSLSCPASRGKLLESRRR RMKRIYRSDNFVDVFEQAGRRFLVTKVDRTEKEWSDVCRQVMRGGDDFALDRPARLLG WGGARCSVEDERTEYVDGNVCAPHESEPIRHHVSYVEPTSYAGAVMKGLRVGK PFICI_09998 MQLMRPFDGTVTAYGIRDLGIDMDSKHQQTQDLSLAPSLMSKQP LPAWNTEFVDWTAHSIYHLTEEALIEPVYPSPEDQALLSPYSALHYRTSLADHGNSIY KDFSFSQPYPGMQVGQAIVSYDPGIQQHQQQSKQARREQGMVSNPAYMSPNPFSVDCT QSPSQYSLTVPVAGPMHQREASPNSPPPLSQPGCSPSTSGPLTPTCPPSRRLSTPSEL QAFTDAEPLHAEHLPAEPLPIAHTPTKNTARFSCPKIPCPTIKRDLKSLQRHVMEKHG KPAPGEIKAGWFRCACGSTACHYRKANHTRHLNNCKPHKWSFKEFVCQCGRKHSNKAD HTAHYMKCNVGKNPVGRPRGAGPNEFPASDA PFICI_09999 MPPRAKKTESSAPAKAAATTKASTKSAATKAAKVTKKTTTEIPS RSRKAAPAKKVEEEETKDDAAEVPEVNGDAKEEVEETEETEEEVAKPAQKKTAASSKA ATATASKASVKAKTTKRKDRDDEDQKPAKKSRKAVLPGPGNPINKLPEVILDIFVFGE GTSGELGLGNQKHENKKPVDVKRPRLNHNLDALKVGVVAISCGGMHVVALTHDNKILT WGVNDDRALGRDTTWDGGVRDADDSDSDDDDEGFKEMNPNEATPGRVNFDDVVAAGTR FVQVVATDSASFVLTEDGRVFGWGTFRGSDGIIGFTNTVRVQQFPVQVEGLKNITRLA AGANHVLALDSDGKVFTWGSGGQFQLGRKAITRGNKNTGLNPEPCGRFTRKHHAVAIS AGSYHSFYIDNDGEVHAWGLNNYSQTGHNDDAGRDDAMVLNPKIVKSLRGKKIVSIEG GMHHSVAATAEGELLTWGRVDGHQVGHHASVYNEDNTVYDEHEKPRILIEPTVAGRDF KTTFVAAGTDNNFAITDKGTVYSWGFSANYQTGQGTTQDIEEPTLIDNTAIRDRNIVW AGAGGQYSIVAASHPTQPPGVVVNGHIAQNGVNGH PFICI_10000 MATEITKPTSTCCGKASGGECVCAKQATCSCGKQPALSCNCSKA PAENTVTGARCSCRARPAGECNCDNSAKENSAVKGESCACGARPVDACTCEKSSGDAG HNDNEIDFTTKK PFICI_10001 MFYRASHKPGAKFVYGIFGVQYPGNEPTSSQLDLIKRFDELIDG KAHLDRIVYPTKNKDFGTRIWLSYWRPETYAAWWASDPVKQFWASLPEDAGIWREILT VDVGRTQNAVTAELKNGVNGLDGMEGFTEKIGYWGCLRDRLAQSSPEEKFPSSLTQMP QRVPETDSIRRGRTTITRLPDNIMFLVEGQDHSAMTPEEKTYWFEEFDELVTGWMHHL GDNAADNGLLDVRMGYVPEFGRFRDLGPVNLDHNRKIELFFWMDMSKFERVGRVHRGH VKLRKKFMEAYCPVGKMGNGVGKITLWEETSIMKGNEIEAEYVGCREGTGFMAYDATD AVDSMTVV PFICI_10002 MAKLSDFKVLSFDVYGTLIDWEAGIVNNLQPLLQANNASFSKEK LLHVMHECERTQQAATPDLKYHELLAAIHPQIAEKLGLSAPPAEQSQAFGASVGSWPA FPDTVEALKKLGTHYKLVIISNVDRESFAGTNSGPLEGVKFDLVLTAQDIGSYKPDLR NFDYMIKEVQEKLGVSKHQILQTAQSQFHDHHPAKAKGLKSCWITRPGAIMGNRDQSV YDWKFDTLGQMAAALESELAHE PFICI_10003 MDLTGLPQAAPSRPENKLRYADVAVTPTAKEFAGIYRGKQYHEP DFTNTLDRAWDAGVEKVMLTGMSVTDVSSNLAIAKSRPPNSCFVTMGVHPYNAGEPGP AGSEYYDKLGQAIRDALAEPVPLIKAYGELGLDYDRLVHADKETQIATFKAQLDMVIK EKWDLPLFLHCRAAFDDFVDIISPYLEKLPRRGLVHSFVGTRAQMEKLVDLGFDVSVN GFSFQDRESLDMVAAIPLEKLQIETDAPWGEIKATSDIAKRYLVNAAPAPLSKKKDKW DPKYMVKERNESNSMEKVALIVAGLKGISVEQVANTAWQNSVDMFNL PFICI_10004 MMYTGLLLLLAGAAAIIVFGLYRAMPIILAATTTTATRQTEAIE QQRDFKIHHLNKCVANPSGTDTGIDIIAIHGLDTTSKETWTWKDPRDLENREMWVNWL ADEHMLPAEVPGTRIFACDWPAKLFEAKDSVPFKIEELAASLRQCILELNPRGDRQIL FIASCLGGVILMQALAEAKDQYATIREATRGIIFLATPFRGTSFQDVALWAQPGLQAW ASIRDQQLTELLEWVKSSEFGLVYLVGEFTRICVNPNNEGLKVITFYEKRHSRLFAKV PFSSWLLPSGKKVLVDMDSATLDCVPDRIALDRPHVMMNKFRGPKDTDPHYGTVVRNI QDLLAKIQTGTTLEQADNWIRKEHYTPEKLNIMRLSGDLLDKGQSYINLVIVEQIDLS TSAQNRSKEENSAPRSSPFSLLARQKVELPEENAQLRLADIFNQRKRSDGTEILPRKI LIRGRAGVGKTTLCKKLVHDFMENKMWNSLFDRVLWIPLRNLKQQASPGYDLTTLLRH EFFRFSEEDSKRFAKELCTALVRERTLFVLDGWDEVAEQGKGDDIFSELLSQSNIIVT SRPSATLPHGINIDLELETIGFSPAQVDEYIDKVHGKKVDEIKAFLRTHELVQSLVRI PIQLDAFCYCWDDIGSECNQKPNTMTSLYQAINSSLWKKDIIRLEKMFRGKYITEKLL SDNFQTFIELHVKDELRFLEHLAFSGLVAGKVEFGFGDWQNARRHSELQFAPNDSLPR LSFIRTSNQSAKTEHQSYHFIHLTFQEYFAARFFVRHWERKEDREMKYTVFGQKSVET ISPVGFLRQHKYATGYDIMWRFVAGLLDEQDRSFELLSEIERESSDLLGPSHQRLIMH CLSEVALTGSQPHRNDFEQRLSDWLLFEYSLTKRAGLARENEFPDNSLQIAFQQGSIE VKSAILKSIERRTWSNEISDTIGCFIGQNDDKLRHETIKAMQYQSALSMKTVDVLVGL LKDTDHDTSQILRVLLNQSNLSDAHSELLAQEVYGHLDSWSEATCDFFSNIFHKSPGC ASFAKAFVRCLNSTTPQKSTGGYRAIAGLRNLPEAVIEFIGEQLKDSEADVSALKALQ RQRALPQAMDASIKKLKDPEYIVRGEALRVLKKENQSALPQATVEVIVECMNDDVWYV RETALDVLADQSVLSKAIVDAIAKKLADKNAYVRRAAVRALGAQSPLPKSIHQTISGL RTDEDNNVRRAVQDVLKQPVLPRVLIDAIIQRMEDTAESSHRAIESILEDQTNLPKMA FDIITQRIRVAGTEIRQATIKALLSQPVLPKAVIKDMNEQLNGTDPDIRKTILKALMP RSKLPEETVVATTEQLEDPEFAIREAALRALRHQPVLPYPTVVAITEQLEDPELAIWE AALQALENQPALPHPTIEAIIKRGGAAGDYTQWEIRRILERQPLFFEVATNMFATRVG ADEGTRQHLESTTHSFDQILRFLCKILLEQSFEESLSYYTHKDIVWVDNGSGQLKFDF SHNPNMVHQIRSAIIASRPEGYPSHDPVWKKSHVVE PFICI_10005 MAAVKTLATLTFVTLVNGHGYLWEPPSRVRLNYEAGVDTCPECT ILEPVASWPDLNSAPVSRSGVCGYNARVSVDYNPPGANWGSEVVETYAAGDTVEVVWC IDANGDHGGMFTYRLCQDQDIVDKFLDPSYTPTDDDKQAAEDCFESGVLACTDVDGQT CDYSADCAEGEACWDNTWFTCDQFGNGGCIGVDAAALNSCSTVTAGGYTVTKKIKLPD DFTSDHTLLSWKWNSFQTGQIYLGCADIAITGGTGGSDPDPQPSTTATATATATATAT TSTAPAPTSEGCAVVFNEAVTVDSGITVKVAGSIAELGSWDTSAAVALTSSGSSWSVT VPIAAGTSFEYKYIQVDASGNVSWESDPNRQYTVDASCAAAVPVDDTWQ PFICI_10006 MSLPPTPVACDDVKQRTDQQHKSGWFQFMKSVATFKGDLASLSA PPFLLSPDSICQFSQYFNDHPALLVAPAQEQNAEKRALLVMQWFLSGLRRQMATRNED GTRKKLKPLNPFLGEIFLGRWVDDSGTTELISEQVSHHPPQTAYRIWNEEHGVSVEGH IGPRSYFSHTINIERKGFGTFRIDRYNEDHVFTMPKVHVEGIMTFQIAPELGGTSYIH SSSGYTTKIEYCSKGWLRGASHSFKATLFRDGAEKSPLYTAAGSWDGTYTIANSKGKV IETVDLGSLKRTSLQVAPVEQQHPLETGRAWQHVIDAIHNSDIFAIGHEKGKIENAQR ALRKEEAREGRSWQQRYFTPIADDASVEKLALRGKNEPCHDYRVFWKFDQSKHDRIKE NQF PFICI_10007 MSSVSKMQLERDAAAKENGDLRQRMDEIHTLSELQDPTSSSSSK TGTSGDATKENRKMRKDIEQLQKRLEEAQTALIAHGTTVVPDERNECLSGEAGKGFTE VIEDAMAWVFDFVWTDDIADDATPISDRARDPAYEASVRAFKELCDQYPEYRIHSRFH EKEETALQGLLIRMVHSRIFDSALGGVNTVTEDKIKAVLTSLEENSKPKMTEYECRMW YANALHGMKMHPKYVKAAEDRVAAVAKEVLLPLTVFIDPTRLDDASRSLQQTVIAPAL DLQGRISCTAPTGMNTDYTEFIDGEENEGKPGYVRQWDTTAPSFFDHAAGLQLRNVDN KPVDISKAMVETIKTKLVKHCVLAPGLTIWTPQGYKGASAPSTAVTLVKERVEVSWGT VGTRRASSFLDELYAMAKA PFICI_10008 MFNTISKVTAARKAAAANAMATNATPEPTLVIAIDFGTTSTGVA WADTSRHDRRFTITEWPSLGDKIFTYHKVPTKVRLLPDGSHQWGLLITNDAHPDEVLE WFKLGLDPDHIPGDNQSLKAKLQSFNIDDLATRYLDSLGETILPMIEERLGRAVFAAL PVHFVLTVPAIWTDRAKQRTLQIFEATKSFGDDEAQRSLEGRSKRTVTLLSEPEAAAM FALDDLVQSGLKVGQTIVVVDAGGGTVDLVSYRVNALTPHFEVSEAAAGSGGMCGSAY LDQRFGQLLRKRVGGLDEFNEEIFDKAMDIFSQRIKRQFTMASLPNGNFSVPVEGLPD NRDAGIRRGRMTIRATDMHVIFEEVVLKVIQLINEQIAATGGTVDKILLVGGFGTSVY LRERIQQAMDTRVGSKVDIVSPNSAQLAVVNGALLKGMELTDPVQLTRVKVKDRVARK HYGMETSVRFNPVAHHSIAEHKYYDGMDGHDSVAVMDWFIRKGERITEAVPWRKNFLQ VSRVSGGRPESIKLEVYSDETSQIAPLRKNGSVKSLCLVEANLSAIPDADLPIRRGID GHDYYDIDCDIEAAYGSAMTSYTLIYRGVIIYFVRRSRNYRYRSTRFIAQCKDKQDRS HSQYQAGVRTHSHFLDWLESGEPLTTPPRECNSCLEDKPLTDFPIRGVTSNCKHALTT CIECIRTSIQTQLGSKQWQDVSCPECPKRVGIDDIALYTDADTTARYAEYFLNRTLGE SKQFVWCPLPGCSSGQTHHAGAKQPIVLCESCQRQWCFNHQDAWHVDYSCEDYDTFLA DRTFQTRAQQQIQLEDAEDEAARQLQRAIEDAGQLFNQVLLNEEEAAQERARLEQARR EQELREAQERARREEERRRVEEAERERARNRQAESTASERFANKTTKPCPSCGVRIFK DGGCDHMHCSRCDTDYFWESGIIWEDEDEDEHW PFICI_10009 MHLSTICSALFIGAAAAVPSSSLKLPVRPLRLRDDNRTENAGPT LDYQDMNPFNVTSGVVNNGTKLSHKICWSQGVLTDDMFVNWTHFKANGANLGGWLEKE KTHDPIWWASFGGAASEASDEWTLCGELGDECGPALEARYADFLNTSTIDQLASVGVN TLRIPTTYQAWVNVPGSQLYHGNQISYLREITQYAIETYSMHIIIGLHSLPGGVNNLD IGEGLMRDGWFYNETNLDYSLQAIDKILEFAQQSGALNAFTIAPINEASDDLSSFGTA AGLSDNATSWILKYMDGVFERVEAVDKRIPVMLQDCFKGASFWAPLFDTSKNLVFDSH VYYFAAAGTYSAWVNPAVCGQAEYIAEETTFPVFIGEWALQVMYNNTLDGRKSIFDTQ RYAWQKYVSGGSFWTAVSYATTEVDGEGTQRDYWSYIDLINAGVITSATNSSYC PFICI_10010 MHTMPEKRSGDFRLAPFEDDLQLRQVPKILETKNSPPQHSAAKP ANANHRLCHDCSQFDFQAAFARDPKFLEDRGVSIAISSISIATGGIPIANVGQRFRAP PQDADCLLCAMLYRSRLTYERCQYTSCSHIDRGDELRAYILVETIDLVNHGLERQGIQ SLVPLIVPTACAGGLGDFKRHISTQECPILQQDVPGSLFLACQPATKFDPGAVLSWME YCDCNHTTLCLAPKSEACKIKLLNCDTLTMEEATVESRYVALSYVWGQSNPVGDYVVR DDNGIRVLLPKSPKVISDAISATKSLGYGYLWIDKLCIDQENAIEKHQQIQQMDVIYE HAQLTIIAAAGEDETHGLPRVTSEHAPWPEKVSIGNDLSIVWTATDPHHAIRQSVWST RGWTFQEAVLSRRRLVFLEDQVYFECNAMSCYESTSNPLDRLHAVSKVHMDNCYRGGV FDRKHADVYGFFGVDSMHLPKLYRWYISLIEQYTARKLRYDSDSYTAFSGVVRRFAKR KQQAISAIWGLPYPTGGTDNEKFSYFARSLIWAHAADCWEPGNATRRRPGFPSWSWAG WCGEVRFRSYDATHKFELESRTCRLELENPSTGEIRSLSSFGEDIHHEHSPYRILKLT TLVVPLSSLTYNPKGRLNMKVWRLGGNEAIPNLSEGAISDISFSENMKAARIDQWRCA MLAFGYEGLHLLILRKNDSTNNWVRTGLIRVRVHDYDLFMESACKGSEVMRIE PFICI_10011 MKLFTHFLGTTAIMAVVVFLFPLLTSSTRPMESLGRGVVAVRSS NTEVLVSWRLLGLDPDDIAFNIYRSTDGTAATKLNTEVLAAGTNYVDSSADLAKANRY FVRPVFDGTEHAASGSFTLTADHAEEPVVRIPIHSGGPIKFVWVGDLNGDGEYDFVVD RQTSPQTLEGYSSSGRRLWVVNMGPNSENQDNISPGSSAIDVGHWDGVTVYDFDSDGK AEVAVRISNGVVFGDGTTFENSNDDFQFIAILDGMTGALRASAQIPTDFIDDGPMGAR FGVGYLDGETPHLVSYMKNRQEDKTFNLMETAWTFDGSSVKLVWKWLRDSEADTPDGH NTRIIDVDGDGVDEVLELGFCLEGNGSLRYALGPSGIVHGDRWHVAKMDPDRKGLQGY GVQQDNPSFLQEYYYDARQGRMLWQHFGTEISDVGRGMAGDIDPNYRGMEVWSFSGVY NAKSNQLTESNTDYSPWPHLGLWWDGDELMELYNTGAIEKWDWENPGPSTSLPRLERI WAYGAINTNGANPGFIGDILGDWREEVIVTNEDYDELIIFTTDIPSDIRLYTLAHNPA YRNDMTVKGYPQSHHVDYFLGYGMDPPPRPDIRYV PFICI_10012 MAPISQDPPNGVNAGNGNGTQPKRPVRIAGCSGGVYDRKRAMHD MAKNEDIDVITGDWMSECNMTLRGSDKRDRLAKQKMSSGSTMVAKGYEPYFLEEIDPA IPWLAKKGVKVAVNAGASDVHGLAEAVKELIQKHGVDLKIGVVDGDDVTDAALELYRQ GKYVQIAMSQTYRLIYATFAGEPFLNLPANKPIKDWGFEPICAQCYLGGTGIAACFEG GADIVLCGRVADASVTVGAAMWWHGWTRDNLKELAGALMIGHIIECSTYATGGYYSGF KDLGVNDTDMGYPIAAIDEKGEAVISMEKGKDGLVTIATIASQLLYEIQGPLYYNSDV TASIEDIVLKQVAKNQVHVSGVKGLPPPATTKVYLTGLDIDEKLAMIERQTKARMGDE VNKFSCLKFMLAGAVPQDPSSQEEATVDCRIFAQTADPDILSGSSFVDSDRGSFARYC IENLLQSYPGGTMAVDMRTAIGRPFFEYWVTLMPQTFVHETAHLPNGTVIDIPAPTNV KTYEREQPSYDTANPADLGCFGPTTRAPLGHVVLGRSGDKSSNCNLGLFVRHDDEWDW FRTMLSASKLRELLGKDDVGKQIDRCEFPNIRAVHFLLKDHLDRGFNSTSSFDSLGKN LCEYIRCKHVDIPDKFLQRGRI PFICI_10013 MKIYRSDSISIPLDQNLTELLHSSAYAESLPESHLIAKDNLTNR SITIGQLRFRAGRIASGLYAAFKPPDQARWAIILPNSVDFLEIFHSILWTGGVVCPVN HALKAAEIGHALAVSRPEFVVAYGEIASVVRGAIEVGREELIGSGIDWSKPNLLTIVQ KSPGLRHVPDDFWTDKPLPIPHWNDTSKRLASIHLSSGTTGKPKGVELTHYNFVSNCY QLYHHDPKQFHPGAKTVAFTPWAHIAMTTMPLFLGPWTGMLHHAMPSYNLEQFAALVG SNQATSFQGVPSVVLILANSDVTSRYDFSHAKIINVGGAPLKKELLERLYQRAPWRLI QVYGMTEAAGYVAYQKLGEDVPEGAVGRLLPNIEACLKKEGSFEDTHEGGPGELWLRG PNIARGYAFNDEATEKGFPMPGWYNTGDVCTIDAAGRISVVGRTKELIKYKGFQVSPA ELESYLNSHPNVVEGGVGAIWDESQLTELPTAWVILKEGFNGDVKVLQALREVQTAVD GQVSGYKKLRGGVWQIKALPKNPTGKILRKEMVQMRSGLCSLDKPIRSKL PFICI_10014 MNPSHPTKEKQHCPIRIGNASGAIGDGIDQVYKLAKSGKVDAIT ADYLAEFNIAWKAIELSQYPDLGYESNFLEQLAWENGDAARIVAEKRIKIVHDGGALN PKGLAIKVDEYFKNLGILDVKVAAVTGDDVTERLRDNQLGTIKHLDKAGTLLDARKED ILAANAYTGHSGIVRALEEGADIVICGRCCDASPVTGLASWWHGWGHTEYDKLASSLM AGHLIECGAYVTGGNFCGAQEIEQLHHVGYPIAEISEDGAVIITKPPNTNGAVTVDTC KAQLLYEIQGPIYLNPDVVADIEQAHLEQVGKDRVRLSGIKGSAPPPTTKLAVCLLGG WQAEISGYCAGLDTDFKFKLIKDQVQRQIDPDDFTTFSIEKYGAPSSNPLSQAESTIQ IRLFAQSPKKEAMMQFRRAIFYNGMQGYCGLHLSMDWRTMEPKPYVKYFPALIPQSEI PLQVEMMGTEKRAIDVEARQRFDCAPTAPTQRNYEVKSPIDINASWPQATLRPLGDLV FARSGDKGGNANVGFWVRDERAWPWLQSFLSSDRLIDLLGDDWNNKYDIERCEFPFLQ AVHFVVKDILQDGVSSSSILDGFGKSFGEFLRARHVALPNELVEMEDDLRIQSRKHHF EPRL PFICI_10015 MAQDRPVKNASGRYDNVDFSKAAGFRYPPIKCSYNRRDVLLFAN AIGAQKDELHFLYELHPKFAAFPTFPINLAFKQTDQDVFDFIARTVSADVPGVPPFDA QRSVDGERGIKILRPLPVSSEGLDLEIRNQVVGAYDKGGAMILETEGELVDVKTGLVY VKLTSTAFGIGQGGYGGPRGPSKPNAQIPNRSPDTIHNFKTTPETALLYRLCGDYNPM HADDEFGQRAGFKGSILQGLGTWNIAAHGLLKELGSSDPTRLMAYSARFKSVVYPGDE LETRMWVVGTDNGCDDILFETIVKGDGRVALSNGQAKLKQSGSKL PFICI_10016 MASPVTTNPVPEWIQSPYPALQHSLVSFPRKHVLLVTINRPEFR NCLPVEATIELSFLWRWYDSEPELRCAVFTGAGDKAFCAGMDLKQRLDIKNTNSVAHE YPQGGGFEIVLNSDVIFASPNATFRLPEVLRGVVALAGALPRCMVLFGNHRTMDLCLT GRVLTVKEAVEWGLVKEIVPQEKLLERTLDYASEIAALCPDSVIISRLGAREAWETGI SRATMRGQEIYAEAMLKSPNATEGLAAYREKRDPVWRPSHL PFICI_10017 MHPTETQPPPTPTFLKLSYPAPRVLLIRMDRPKDLNAMSTTAQW EMDSVWKWLDLEPKLSVAVITGTGRAFSAGADLKEWNNSMSDDANPKNRMGNAPAFKP LSRRLGKKPVIAAVNGLAMGGGCEFIVNCDLVVAAEDAYFGLPEVKRGLAPIGGALPR LIRTIGLQRASEFALTGRKASAQELFAWGLVNKVVPKEQVVDEAVRYAKDIAANSPDA IICTRAGLRQGWETASVERAVEITLEREFAELQRGENILEGLKAFSEKREPAWKGSRL PFICI_10018 MESRKEEARAAQTEEIEKIQEGHNNEDDASSISPEALGNDLPKG YFYSLQFLGAMTGFCLSAISAYIFLLFPTNILTYINADIGPSPYISWVNIARTLALSF TYTILGRLSDLFGRRWFFIGGNIVALLGIIICAVARNVNTLIIGSAVYGLGETVQLSF NVAVGELVPNKYRPMVLSFIFLTNAPFASFGPIMARKFVEIPSLGWRWCYYINIIAVG LAIVLLYLFYNPPTFELLHERKGKREILKRLDYLGIFLWTAGLTLFLMGVSLGGNIYP WASAPVISTIVIGIVLLIILFIWETVANLEYPAIPVKFFRNRGFMSLVCCATVGSMTY YSAVLLWPQQVQALFTKDIIEAGWLSCTVGSATALGQICAGAIVKWGGNVRYWIIFST FAMVGFVAALAAVTPDTRNMAVALVILGPFFVGFIELASLALAPLFCKPADIGLASGL LASIRSAGGSIAVAVYSTILSNRIKSTIPEIVGPAAVAAGLSESEVGALATAIVGGKL SSFSGLTSSVSAAVSATLPTAYAQAFKTVYLASLGFGGIAIVGCLFSKDAQKHLTDRV DRRMQNGEVD PFICI_10019 MSQPTADQGVHFSLSTGRDQDVSQANVELHRKRARDRKSQQAMR DRQRGLIQSLTERVDFLTRTLDGTLKDVGLLESKVITLEAENAQLRAQNAAWQLGLLG RAEPTETVALKSLEPWTIPPRNSPPCCIADNIVQNFILSKRAEMASVRPNEIHGSTRL KPNPASLLSKQHGTVDEVSNVVADLVRSYPEIESLPKQVAVFYIMGLLSKVSARKSAS SSSCDSRLTYNKWLIYLDKESWDLMPEWLRPTECQLSTVHAAWVDRIPWPKARNYLVQ HSDITLDDFASTYSSSFQIKWDFDPSHVLITIDSNKDEPKQVLFNPVFESEIRQLKNW TVEETFRQRFPEIATLIDKDTILV PFICI_10020 MAIHDTTGKVNAPAFDRFLSITPQLSNTLRVDSHLNMTFELDEP TEYRQLWLTMNVKNDGRFLQKALEAQRDFIASWKADQDPDFVNFVVIQSMPKVLFESS VNRGGNVTGMEREAGDAILYQMQHMVRNAEQEAAARKRLIPMRDALKQYSIDIGVDIE WQYLAYADGSQDPLSTYGPENIELMRNVATKYDPQGVFQSRVPGGFKLFKSA PFICI_10021 MATTVCDILEAAGLTVLRPNTAAYTARNQSYWSVSARINPTCIV QPRSTEQVAQAITILKTQTTCKWAVRGGGHASYPGASNISDGVTLDLGLMNQTEYDAD GQIAKIGAGGRWHEVYTALEPFGVTVPGGRASQVGVAGFLLGGGNSFFSSMVGLGCDS VINFEVVLASGQVVNANREVNADLHKALKGGSGNFGVVTRFDVQAFPIGDLWGGQVVY PLTTTEQHIAAYVDWVDNIRSYP PFICI_10022 MDTQRDAMVTRGLTQLHTGLGILLGAGRISTATHEQILALINNN DDNPNASNAGKETPISLVSNGLDNSFDNLKITNKPPRGPSASISSASPLFPRENAWRT QPSLTPAPKEVHPEPRRSNVVCPWFWTPGYTCREKEKGMCAWLHEDCSDGVKDPLICA FWADGERCTKSAEDCRFAHYWAAHREIAPQPGPKYNPKYKKSKKFSTSSNNDAFSKYS EAGAGTLLD PFICI_10023 MAEPSSTNNKSSKKRLASGSAAKSAPTDDDWIMIVQDEILHDEP ENAPAYEVLLDTLVDNGYILMDEYKTVNTAKASGDDLREQPVVNYIMGTIQIGLRPND AKQIVMESHSLILQVLAERSAKERGKRCDGKLKESDASSVD PFICI_10024 MVKLEESKISFIGGGNMAAAIIGGLLAKGTKKDNICVSEPWEVN RDKMAALGVRTTTSNVEAAQDADVVILAVKPQVAKGVCQELGGSWASRSSLPVVVSIA AGITLVSLVDWFKGADGRTPHAVRVMPNTPALYGEGASGLFASSDVTEDEKTLVTGLL SSFSKATEWVDKEELIDVVTGLSGSGPAYFFAMVEHLIASATSLGLSEEQATRLAIQT CFGAGKMLVESSDSPAQLRKNVTSPNGTTQAALESFEASGFKEIVDKAVKAATSRGEE LGKILGQ PFICI_10025 MRLINTSTLILSEFFGSDIPQYAIFSHTWERNSEVTYQQWIAPH TDALIKKSGYQKIIGACRIARSHEIDWMWVDTNCIDKTSSAELSEAINSMFTWYHAAV ECYVHMADVSLQQNSDVGKQPAFRESKWFTRGWTLQELLAPREVIFYSRDWVKLGSRD GDLLLQAISEVTGIKREALSSIKEMSDSSVAAKMSWLSRRRTTREEDMAYCMLGIFDL NMPLLYGEGRKAFTRLQEEIIKTSSDQSIFAWTWNDDVPSDWTSILAPSPSTFSQAAN IFAYSKRNSTSYSITNVGLSIELPLAHSFGNSWILLNIWNGAGVFESLCLPVRRRHKN FSGLYCRCDVP PFICI_10026 MSSQPAIDTKVTPQVTPPSPDHEKAEVSQLEQVSTQEHPPGKGH YVEEDGLRDGVIHQDTQMTTKRKMALVAQAFLWTGSQIPVYLFGGIPPYIYGDLGGTD RWVWFVLANLLSLAGICPFVGSMSDLLGRRYVALLGASLIVVGMIICSTAQDINAFIA GMVFAGVGAGINELTALAATSELAPTSKRGIYVGALIFTIVPFCPSVLWGQLIAYYST WRYVGLFCALWAFIGLVLTAVFYFPPPRVNSDGLSRREVLKRIDYVGGFTSISGMILF MAGMQWGGYQYPWTSAHALVPLFLGAALLVAFGVWEAKFAPYPMFPRRLNQAPSILIW TLVITFISGANFFSILMFWPVQAFNVYGHDPVQVGIRGLPVAFGIMFGAVIVLVLLSV FRGQNKTLMVVSSVLMTTGCGAIAVADVDNMAQLWGILVLAGLGIGGIVVPASIITTI ICPDDLIATVAALTLSIRVIGGSIGYCVYFNVFVSKFQPASIKYIGDVLQQANITSTE TLTEVIGLTTESLIDRIADIPGIAGNQTLYEAVVKAGQIAYAESYKYVYYSSIAFGFV SIVAALFLGDISKFMDDHVAVVM PFICI_10027 MSEHFRCIIVGGGPMGLITAHALTAAGMDWVLLEREDDIVVQDT PCVVMYPDTLRVMDQLGLVDRLFRIKTTVDRTQTLTHDGASYNTTYPHDWSMENHGRG NWYFHQPQLVSVLYEALSESDRARVKTCKEVTDIEAESETIIVHCSDGTVEEGSLVIG ADGGHSIVRDMMRINALAEDPKAAVNATLPFAASYRVLWGTIPMAENMKSNEAWECHG KGYSSQMFFGRGRGWFFVYEKLKKPTRGLRNYTEKDMYSCAARLANLPMTNKLRLRDV YAVSHGCGMADLGEGLVRRFAWGRTVLIGEAGNKQTSHLGLGLNSGVQDIVALTNTLS KLVQHHGERHELVETEAIHLALRKYDAIRRGDALHGSRTSARAARLHSWNGRALRLYD RYFLPAGGSSKKNYDGTIGAIVSHGMLLDSLPETDPQHGRMPWLHL PFICI_10028 MNLPWLIYILLSPSFCLLVTTSEAAADGVPSFEAPPLLYRPKFR YWLPDASVSPQSIVDDINHIASVGAGGLEFLPFYNYGLGPALTDWSIYGFGTEAFKNV FSSALNASVGHQLAFDFAFGANQGAGVPSTVETLGLAKELVYGNTTVQSGEDYNGSVP EPNVEYNKLTGFMNTPEPWGSNELFAVVAGKIVTEVLLAEYFYLSVLDEDSLVDLTNL TTQGHLSWRAPDGNDTWVIFAIYERFTNQRSCVGASNATTMLANGSWVVDHWSAAGAK KTTDFWDQQILSDEVIVTLLEDAGEYAWEDSMEIQAALPWTPGLSSRFESLHGYGVIK YLPILFHATNAWDGYLPPYNITYTLGTYVSDGGPYVQDYKTALSQGYLEYLQHYDKWT DTRGLELSNQPAYNMPVDMTESIPFVQVPELESLGFKESVNMYRQFTGAAHLAGRNVI STEIGAVLGGAYKQRVPELKSLLDGSFAAGVNVMVLHGYAYSGDYVGTTWPGYTPFQY EYSEMWNPRQPSWQHLDDLMLYSARNSMIMRSGVPKVDLAFYYFENPYRFGVGVYPEG DMNALGYTFEYLGPQNLVSDQARVTNSVLAAEGPAYKALILYNQTQITANTSAALVEF AQEGLPIYIVGSIPNTTVGSTGQEEVSANMANLIKYEVVHLLSTEDFSPSTLRADGIP ARTSLNDIYNASGLYTFWTSETESKSDYVYLYNAGDDATFNVSFAVTSDVEAVILDAW SGKQSTMAVYETSSTGITTKVSLKANQTTIIALQPPQGPRAAVHAVNYSSNIDAVHFT DDGLLEALVSDSSEAWMTLSNGSQVILPRDSRSDEMSIILGPWDLTVESHGPSLDNDT LEGNTTIIKIGTLPELTPWTNIDGLEQVSGVGTYSTSFQWFRDSQAAICIDFGPVLNT LRAWINGNQISPVDPTNPTADISNFVVNGENTIQVKVTSTLFNAVRANVDRVFSIGYG PSTPAYYTEQDWQEFGLIGPVELRTFRKIILA PFICI_10029 MEPPPPYELQSSGHVLASSATIQEDGSIAIAFSSKSPQDIEQLL PALPVSQAETEPIPQAAATCPALNVVIHVVGSRGDVQPFIAYGVALQRQGHRVRLATH NVFEEFYMVKNPGLIPSIESLRGGDVGRKRAMVKEMLHGFWQSCIDTDPVSGAPFVAD AIVANPPSFAHVHCAQALGIPVHIMFTMPWTATRAFPHPLANMQQSDLEPAAANWLSY GVVGLMTWQGLGDVINAWRKHELRLEEIPASMGPSLVEYLRIPHTYCWSPALVAKPTD WGSEIDVCGFFLRDEPKYIPPAGLDAFLASGPAPLYVGFGSIVLDDPERLSNVILDAA RKCEVRLIISRGWSKLGGNTPNSKNVFYIEDCPHEWLFKRVSAVLHHGGAGTTACGLV NARPTIIVPFFGDQPFWADVVANAGAGPRPIPQTELTIDRLVQAIEFATSVAAKDAAE KLATKMRQEKGVDTAVASFHKALPLANIRCEIIPGQVARWVLAKCEKSIRISDAAVAI LIRQKKFKIDEFKPLRSREYDTDVRRWDPLSGGAASTLGTMTDFTMALGGVFIDPFKA YKRVKRQGNDGSAAALKEVGLGFGSMGGALTKGTLVNTPLALAEGLRNVPRLYGEEVK DHGKVKDWQSGGKVAAKNFGSGFYHGITGFVTQPYKGAKEEGTPGFLKGAGKGTVGLI TKPGAAMFGLMAYPAQGIYKTIKAANSSEQSVLRSKCAMVDKVAAENMQDIMIDEVLK TFDALSR PFICI_10030 MASQNNSTDAQAAAAAAAGAFDFKLYRYTPSLPAAIVFVVVFAI LSSLHVARIQRHRSYYFTAFTVGGFFELIGYAGRIWSHFDTLSIGGFVIQAILILVAP ALFAASIYMILGRLIRTLRAEELSLIPIAWVTRVFVISDVISFSLQAGGGGIQAAGTR ELFHIGEKIIIAGLFVQIVVFGFFMVTAVIFQYRFARSAIFASAPAPVNWKRHLNVLY AVSALILVRSIFRVMEYLQGNDGYLISHEVFLYVFDALLMTAVMVIFLVWYIDDIEVR PGSNELELCSEE PFICI_10031 MTLIHLFKCDEAKPACGNCIKHSVDCDFLSLDSPRSKLSPGPSQ ALDMSSLELLHNFTTRTYVTLSESLILRDFYRFSAVQRGLQCEYIMRTLLAISALHLA HHNVERRDHYQSLGMAQHQIATRDAMALITDPSPETAESLFLFSTLTIYFALACPRKD DGPLFIEESGFPEWMFLLQGTRAFMSIAGDQSNRLMAPLLNHGADRWFARQAGPDSSL DVANRHLTGMRSMIELRQSDAKLRNIYVRAIDELQKSFSILDRIGCEPCDLTDAFVWI FEVAEDLLPLLRVPTQEAVAIFAFFAVLLKRMEKHWYLHGWADHLIAKSYHLLDEEHR LWIQWPLQETGWIP PFICI_10032 MAKSKNGLLRIATIQGEYILVTDRQKVAEYLKAPDSILNAQDGS NDQQQIPFTMGYGIGHRTYHTAVVRGPITQSINDWTPLMNEECGLALDDLIHCSTEYE PIALYDTIAMTVARIANRIYVGTEFCRNEEFLTNAADYAQAVVLTAELIRPFPDWLKS ILIRFMPVMRHRKKGEDFLRSFIQERLDGKRNRLGQKPTDLVQRLIDAAPPIEKTVPQ LAERVMALNVASIHTTTMTFTSAMYSLAVEPEKYAEVLRNEVIENLENGQITAATLAK LPKMDSFLRESGRFNTTGLMAMQRNARKEFKFSDGTVIPVGAKIGAVSRILQRDPEVF ENPDVFDGFRFYQTEPSETKPSTMVNTGTNFHLFGHGRHPWQVQCRFLAVHEMKIMFA QLLLRYELKLAPGTKPEPFFIATMCIPDTKLKVLFRKRSR PFICI_10033 MAAYTRRLAAYTPAQNKPVTEIAIFKLNPEFALDHAAAAVEFES QVVQQTAPGKTYAKGIRRISWGFSRNDPETFVWMLDWDKIQDHWEFWQTPGFQPVSNT ISKMFKPGRPLVRHYDFGGQGMIEAPWVRLFVFDEKSGGTTPETARAKVLKTDASTTM TARQAYAVDLDETTWYCLLLGYDSEIAAAKEQVHTELSGEDHVIELKYSSQP PFICI_10034 MSSSGDDSDAFFALYRYTPSLEAAALTGMLFSILSLAHMAKLWS TKSFYFVPFTIGGIFEAVGYFGRIWSHFDKNAIGGYVMQSLLILVAPALFAASVYMIL GRTMRALHAEHHSIIPIQWLTKLFVIGDVVSFFAQGGGGGIQAAGTLELYELGEKIIL VGLFVQIVMFGIFIVCAIVFHRRVVLRPTTEAREGGIQWKSYMWALYCVSCLIMVRSA FRVVEYAQGNAGFLVRREYFLYLFDAALMLVTMGTFLVQYVDDLNPASRKFSTIVMED LGQ PFICI_10035 MPFLKSLFPSLALLALAQARSVRPRQLAITSNSSDFISSTTEIT TINATDGSPAVVILDYGWSVEGIPSFEVISKEGDTSTFEITYGETSAALNSYMSDGPL PLAATMDTYRVNRYNVSQVGQVSNLLIQGAFRYQKLNLSSPGALSLKNIGVWPTIDTT PLTNVPGSFESSDETINDIWTAGARTIQMTEIPANSVPEFWTVTSEGALVDSLAPQVL GSATAAQLTIYNLQFSVKPLVGGFGFLVLADTLNSGIYFSCDVSRREITVHAGTTSED TLLQAIDLPKNTTIALGSWSTVAVTVAMTEIAVAINGVRVVQISQTTSFYGSFGLGAS FGHQALFKDLSADTTDGQEIYSHSLTDTSFLADFFMGTNPASTIVDGSRRDRIAYSGD LDIAGGSALVSTHGLDFILGSLDLLGSYQASPGFFIPTAKIQQEPLDQQLNVSVTGLI GYSFNFVTAVAATYMHTGDVEFAEKWATKVQQMLDWADSQVLENGLFNVSESSFAGDW NYYDPSQAGVSAKFNVLYAYALQESLTLIADGGIDASVYEKRLDALRDAIDSQLWSDH LQAYYFSDAYTDGFGQDSNAIAILAQVNRNSSHSSETILSTLSKDLARPAGSMAFSSG MIASGFQPYMSPYASAYHLRAALASNNSDAALDLLHGLWAPMADTTNANYTGTFWETL DEEGRPGLGLTTSFCHGWSAGPTAELTKYVLGAMPTRPGWSEFSIAPVTLGLKSARGR VPLVGGRQVHVEWKFSCDGLLTMEVEAPAGTMGTVTLPMPLLTSTDTSVFTLNGQVMN ATSFEVVGGASFKLQQHHEV PFICI_10036 MGTAGTSSNGTSLVVPEIRDDESFGELARKLSLCIIDAVQSPLS WDDLRSSKHIKTIQPFIDHLSTSVHHPALVAALLALKGHFVAIESDDDCGINSSRGLA CELIAWQFVLTLSERDTLDYLLFELRSDGSFAPHDEDEEAAYAAAHHIENGSVRSTTR GLPALPHERTALLPGRTTGNSSAAQSRASSATYTSQRQECSDNEPSAFSRPFVDLNTL EIAAVSNAKKFLSQRIVQDLIDAIWRGDVVFWDALTARSIKKPRIYRRSEAQGRTLIR HLIKGPEGTDPWTRLRVPRYMKAFEMMFFLMLLGLFLIVSLERVQGYVTPWEIVFYAF MAGFTLQEWNQFVENGAHFFSTDIWNLWDIGIICIGMTFFVLRMIGTVIGHSPVRDDI AFAVLALLSLFLTPRLFSLMSLNKYYGTLVPCLKEMGKQFVRFLGFVLIIHFGFVTTF FLLARGHFPIDKLFITTLKVFFGAGVAGFDVAPQISPYLGLPVMVLFVCLTNQLLITS MMAHISNSLRQVLDSSREEYLYVYSVYVLEASNSDKLTYFFMPLNLAPVLTQPFLFFL RDETAEQIRIVLLKLTHAPIMVAICVLERWEEWQHGRRAHQFDSLRFWTVNGVEERPV QALNKHTSGGSRVPKFGRQPPKGDGWQVPKDLVLKSRRPARDAKILANGISQPPPASA PSSGNGKVIEAPKPQPPVLPHAQPEQQTQLWNQVNANEMEMLRMLKELTTQVQELKAE LAAQKQDVAPKKEGSKKRK PFICI_10037 MAPRKLNVLVYTGTGTTVESVKHAIYTLRRLLAPNYYAVIPINE TALLKEPWPSTCALLVFPGGADLGYCRVLNGAGNAIIAQYVRRGGAYLGFCAGGYYGS KKCEFEVGNRGMEVVGPRELAFFPGICRGGAFKGFQYHSEKGARVARLRVDRDAFKEA GALPDEVLSYYNGGGVFVPPSNGTDEYQVLASYADEIAVDGGEKKAALVYCKVGMGSA LLTGPHPEFAPANLSPQNDVPGYDDLIATLKAADKDRATFLKACLVKLGLEVNQETTG VPSLSRMHLSAFNSSDVDDLLHGLEEAITREDGEEYIKGEHDTFHLEKPDTKWNMEAL KRTLSHDNQPANGEGIIDYSTITKRVLIHDETWPDTKETPYFNHHVFYSGLKSYREID REARTWGDQFMYGEVVTSTNTLLEKNYKLLSQLPTGFTLAATTQIAGRGRGNNVWVAP AGSLIMSTVINHPAHLAASRPIVFIQYLAAVAIVEAVKSYDKGYDKLPVKLKWPNDIY ALDPRHAGDDAPQYVKIGGILSNCAYSAGSYQIVLGIGINTTNGRPTTSLDALLPSHL PPFRIERLIARILTRLEVLYGEFVRTGFSTEMERKYYTHWLHGRQIVTLEAEGGVRAR IVGITRDWGMLMAEELNSDDKPTGKMWALQSDENSFDFFKGLVRRKV PFICI_10038 MASTASIPLRLAGRQIRLASRPSRTFVSAAKTKTAPTSTASRPA AIPSKTSSRPATSATTSRASPAAAKPAAAAASAAPTSPPAGAPGSHTPVPQVSGEPLP DVPSSARSDPAGAPIDWSSSFHGLGTATFGPEVATVLQAPLDADDIEVKPDGILYLPE IKYRRVLNAAFGPGGWGLAPRGDLQIQDRLVYRDYALIAHGRFIGQARGEMQYFNEDG ISTAAEGCKSNALMRCCKDLGIASELWDPRFIRKFMKSHAQQVWVEHVTTKKKKQIWL RKDDEVRYPFKKTN PFICI_10039 MFDIFPKILSSIASFLFPLFASYKALKTSDPAQLTPWLMYWSVL SCALLVESWFEFILVWVPFYAYIRLLFLLYLVLPQTQGARHLYETYLHPYLEDNETQI EDFIASAHDRLKAAGMAYLKQAIEMLKTKVLGMPPTREEEQGADVRAKEAPQTYTQSL LARFSVPTAKWGNVGGSATGAGQDFYNMLAGAVSAATGVSALGSAGAGAYGGAKRDAD MPTSGNLIPDNIRGAGEKMNFIANQREKLSFLMTALEKEANQLEKSEQERQAAGAEQR LPSMSLDGGEGHASGTSRPPSGQSMWSALSKSRSEVDFEKIEAESGAEEDLEEEVRQR RPPTDRSASGKGPWSFLGWGSTTGADTPTPSHPQTPSNEFAK PFICI_10040 MARQSLLGKTSRVIVVIFVLMVLAIDVSINSYAYWLMKNIIQPS LPIVDSTDASRQYRRSSRILLFFLANALLAGCALLWIIYLLLCKLLKVNTSHMTRQDE DSGSRQCCKNMGLATAVALHITLAAFSLHYILGWEQDTSTRDTGERDLRGTYRCLAAI IEFDLVVSGIQLIVLGLLLVFMLCGQLIKHRVRGAAHSRTRAHNGDQEFEL PFICI_10041 MADPISLVGTAVGVASFALQLYFGISTYIERIRCRKEDLESVER RLQSFRVSITAIEAVEQRLHNGVPTITSPTPLAECIEGCKDELVALKSFWEKFNGQGI RTSDLRDRIKEKAREISYPFRREDLSRLEDRLGQATQILNTSLQAIHVDLELSEAQSI DLIRDDTRSIATRIDHVSNTLEMVGQGIGGASGRLDGMSTTLALLSQNYQSTRDASRR IEQGVGALQTHYSALQEVVKMNSEEQMAELRELIHMLRALHSNMLGQQNVSETLVNPY HTVCDGSKFENSEVSQSSGVSMRMQLMPRSKPSSQDRQLRQSDWERVPFCTCAHTHPK WFIWNISKSLWFQGDFQRFRHHQACPMAKVQHLRHQNSSRLRVEMVGIIQSWRTSFAL ACGFGNGIEIAPSIACVRVVDDKSPLALANHIILHARVCGSIAQTKVYEILFQKLKQL YREGRASLMDVQKNGLSIVDCLVLALSMCVSEDGIMTQVGTVGLMPTSWDVLLMVLWR LENGRTNENADGIVACRRLMKSFSDVGCDIEESSVIHKQQGSGWGLFYEVTAFRYTSN LTEYISSPVQAAIQERDYEKLQEALCKNPAHLKRRLMPLNLGVLAISITWPRGLKLMV NTLKENDMVEDQLFEIAQALSFAAHCYRERLLSEAATKRNIDKPCEHIGCTEVLQPLL DLGIPCDYHTKVDYSMLFWECEYGQKMLLMKLKAQRDELSRLARQHLDPDILSSFRIT EHTVLDAEAGHICQQLEAHHVRIPGLLCVPLSWHSVYKELRCEAAPVTLLQAIWDAGF HDLGADDIVSHALCSNPSCMEWFLDHGINLEHTLETSEGSFSVAHLSAAAIAMSLRYT HKAKRNNSGLFEYVSRLRKTDMVDKCGCHCSPAGCSPFKILLHTVLALSEFSWPSEYL SRAETLGILMNTHMGQRGISEGVHVQVIRLFTFEKLGLSHSCCSRVKNDRRRYRSSYG LSSPREEEDAREMMEEDGDLLRQLDELMVEFELEYTRSCESLQEFLEGYWTRRMDGVL NALSKSTMSAQQRSAAEDVGVIWETGKISAKRSTRGPKEDSSLLRLGQFEYWAKTIDG L PFICI_10042 MKRRDSHRAGCKGIEATRPLLGYPKQTLHGLSGWNLHRGHDSDD LYRGNSEAGRGGENDSQRNNSGYSYSSGKDDDTAYHDDASHGDGAGHSLQSNGGGHTG HDDLGDGRNPDYERGRRIGQDRSGVSHGNSDTDFSRAQDTQQHSIDGYMAQYGSFISA SDAIGSTKSTQATSSTGIQTNTDNPTFDSSTATKTTTPNETVSSISTQTNTDNPTFES STATKTTTPNETVSSISIQTNTDNPTFESSTATKTTTPNETVSSISTQTNTDDPTSES STAIKTTASTETVSSISTQTNTDDPTSESSTTTQTNTPSLDLTICSCIATTITETTTE TGPTSTNTVTSTSTETASTSTDTTTTTVSSTTTVTPTTTSTAEATDTTSTSVILTAIM TTTTTTTTTAAFTTTSLQSGAYTAPAPVRLLNHPRSQHGMFSSKMGAAWLWGRVRLCD RL PFICI_10043 MATETSSPHPQSDLSTRLYNGFSIYAIQGIISPIRWFRGWNEWM WPTGVAPNFIKTYECRPYLPTRIFFPKDYDQTSPENLPLLFSIHGGGFCIGGPEDDDR LNRRFADEYKFLVVELNYRKAPWYPFPTAVYDLEALMLAVIADESLPIDKSRVAITGY SAGGNLTLSVAQLPSIREQVKPSALLPVYAATDMEVPTSERLKRRYYKPELRPSARGL PTDFLATMSPIFKWSYVNPGQDLKDPLLSPIHAARETLPPNIYVVAAELDQLGHENWR LACKLAGRSEPTPDNTVGQKLPAKQEGTLILDDERFAWQQDGPDGSIRWLLIPDQIHG FDHMPPRWFKHLPSWEDAQTKTEQYQKLLAEWLHQVVWKNSGARSE PFICI_10044 MAYRASTSDFVRGGDRWDRDRFTYERDRYGDERERFEERDRTVV RGPGGRSRETDFDERYERRTSRPYDDDHVRDRRYYDDDYRSRRSSPPPEVERKVFVER EREYRSPSPPRRPATLLRRQSSLDTFDRRPLPKFYERDEYGPPARRGDHRPEYRPEPY QPIPLPRSRALPPPRVYAERDLDEIRIAEPERYGDEEFHSYPERVREKEVTRTRRRRD RSGSTSSHTTRRSHKSRHTHHSSHRSSSRSSSTSRSSSSSGTTVTVKSEYPKKGKTRI PGRLVSKRALIDLGYPFEEEGNTVIVQKALGQENIDDLLKLSEDYKKSELEVLAARSE AGNLVEERRTEIIAVPPPPPPASVPVYVPPTPAPAPAPVYVPAPAPPPPPPAEVFEET TRVVREASPVRSYRSYSTSASSRTPIVYEAAPREYSDEVAVGPLAIVGDRRRERDIKA EIRALEAERELIRREKHHHHHHHHHSHSPGRELVRAERLSTGELVLYEETVEKVEEPR RGVRIEKDKKGPPPGIMKAMLATLT PFICI_10045 MHISSSILFSLSAMASMVSAHGSHSIAQELAERKEFLKSSGLKS ADLSHCANKLKSRGLDSTNAARRAARVQEIRNRRGLKKRDLDSVLAASHNETSLGYTP NTDAATLFGQDSACIMMPQVTQGPYCKYPQHVGGELIRSDITEDQAGIDLYLDLQVID VNTCEPHPDLWLEIWHCNSTGVYSGIIADGNGDTNDTANINTTWLRGITQTDADGVAE FSTIFPGHYTGRATHIHTFIHQNATLFPNGTLGNNHIQTSHVGQGFFDQTLIAAVEAN APYSTNTQTLTTNAEDSILATEAADIDPFFEYTLLGDSIADGLFAWMSYGINSTYIEN IVPAAFHYADGGALNPDSAQSVWFPAPTPINS PFICI_10046 MSSKPATIAVVGTGPAGVSALKNLLDEGFDVTAFERRPNVGGVW TLSEDPDHVSTIHCTKYQTSKFSCPIADYPVPDDFPLHVTAPIWGKYWQSYVRDTGLE AYIEFNKTATSVRRNQLDTKWLLSFKDEEYPREFDKIVWATGCDTVPKMPKIQGQARF EGRILHSIAYNNAEEFQDQNVIVLGLGNTAADIASDLTSQTAKTYLSHRRGAKILPRI NNEGKPLDFSSSWSATRLAFWLSEYVPKTYAKLTDWALSKMVAAAWGPQDPAWGFEPS PGCEDYSTPVISDDIIPLCRAKKIEPVAAVKAIIGPKMVQLENGQLLDDVDAIVLGTG YENDFGVLNDALTWTIIDPKFPLPNLYQNVFPPEYPDSIACLCYPMVPESGGTMRDLT AMAVAQIWAGKSSLPDTQTMWQWIYEYQPWLTHGRQKFTSHYKGKLKPHLWLKWVHEC AGTGLYENLGWSSAAWSFWWKDRKFYNMCANGVYTPHLLRLFDTGKRKAWAGARAAII KVNEDRDALLEAVKRNVGGEMGIGKDGSVASSTTSVNVKWSPAVTRL PFICI_10047 MRAKISVLTSRSGGRYRKPAYRHLDRDAEVSRATTFRPQFKDET PESHFPLQTVVRLDQPRFINRYDSREILIVVDGSCWNNGHSVFPDLPAAGGCSFVFKG GRGGAIATYPFLQDGSEPGGTVGFPLEIEGPDGVFYDPTSNAAKLRAVIAALEFRPWH LEGWRKIVIATDLAYVANGATEWLPRWVARGWRKGRGRGGLANRSLWEKLHGVISNLK SHGTEVSFWLITRNNPASHSGVVREAKSAAREAASQVGFNYEWTRLAGINV PFICI_10048 MVANTRSRSPGAVIAQRQSTLTADELDIASGSVFEVVNNGFIAL RRQTQTLEERRTLLAQYGQATRQLRKALASSSSSDSIFFPVLLFALYEMIVNLDPNDK TWQTHLDGLLSLVSRSPVSSSTLHLHRAVKLIESDSDINNNLSAVAAVGDPEVACRLL DVTKLRLRKILPDTTSLFDGFPERPRKIDVQKIRVSIKKIYLDLELFPTMISKRKNVP TVEMLHDIGADVLKDISAIRLNEYRTLQIMTASFLLWSGDFLHPNDGYHNTKEFLNLR SAIEDAAKGIRSTIEAHISTLFAERGQHSQNTMEFFVRAAMLLFPLYCASRASCLDET QRKWFTDSLCLLGSCALIPKAFALVRWI PFICI_10049 MALQPIELWGHWGAPNPWKVVLILEELKLPYIIKTLEFTEVKSE EYVKLNPNGRLPTIVDPNTGITLWESGAIIGYLIDQYDKAGHISFHTAPEKYLTRQWL AFQISGQGPYYGQAIWFARFHPEKISSAIDRYVNEVVRVIGVLDDALNRGGTGWLVGD KCTYADLAFVTWAHLGYGLLKQLNKLDQIEKYEKYAAWIKSLESRETVKKVLDSIAKG RAEHGLP PFICI_10050 MEHYIEVLSDRSSVLIAIIIIPTTIFIVSHLLSSGAAQFPLLGG ELGNSEKRRKAFFAGAAALYDQGYAAFRDKPFRLTTVDGERIVVPTSALDELRRLPDE TISNKEALNKTVENRYTGLHADNKLLNHIIRADLTRNLSRLNPRLGEEVARTVDEVLG PCEDWTRVTIHQKFLRIVAIVSGQIFLGPELCRKEEYLYASINYTVDVFAAIRKLKAW HHLLRPVAQYFIPEIKTLNEHRIKAREFLLPIIKERKAAAAAGQELPDDMLQWMINKA DEFQFSDQELADTQLTLSLAAIHTTSATATDILSELVVRPEVVEELRGEIKEVLQRHD GVIHTQTLFEMKLLDSVMREAQRVSPFNQARFSRYVSKPVTLSNGIHLPAGYTIESPH GPVMRDTAIYPDADKFDAHRFADLRAARVPDPVNYKSREQYQFVSVTKENMSFGFGAH ACPGRFFAANEIKLILSRLLLQYDLRLPEGTQIPPRLIAGAQSQANPKLQIELRKVQT A PFICI_10051 MDSKEVSKEDVSRKGSEVKDVHSAAERGAVATDKYGHPLTPLDS DEESRLRKKIDWAILPVVAIMYLFCFIDRANLGNAKIVGLQKDLDMTGAYDYNILASL FYVSYIIFEIPSNLACKWIGPGWFLPFLTLGFGAMSLAFAYVQNLQQACAVRFLLGMF ESGVMPGMSYYLSRWYRRSEFTFRVAVFIVMSPTAGAFGGLLASAITSMSNIGALDSW RMIFFVEGLVTIAISVMAFVFLTDRPESARWLTKEEKDLAIARVKSERIAQTALLDQF SHRKVWLGIWNPVVLATGWIFLLEQITVQGLAFFLPTIISNIFPASRGYSIVQQQLLT APPYVFGAIFTLLIPYLGWRQDKRQKFFIISAPPVMLGFIIYLATNNSTARYVATFLI MSTAFGLGALTNAQAAIQTSSDTSRSVALAVNMLFGNVGGLISTWSYIDRDKPDYKIG NSLNLAASSLILIFSTLTYFWMHRDNRERRGRDVDAELSDLTDEQIRDLEWKHPAWRW KP PFICI_10052 MDPNLDPSLNDGLTSNLDPSLAAEDLQDQSLQDLQVPPDLPPYE PRPLAGSRRAGIRYKAYSQEDFERAMRAVREEGMSLRKASMTYNVPKTTLAEKLGDNC PNLPPLQATRKNRIATRYTWTEADMEQAVAAVASGTHASEAARQFGVPYSNLNSRTRG RPPRELKADLARLTLKQENLLVDWAHAQCELGFPPTKDQIYELASKVLDKSNPGQKLG KQWVGHWLRRHPHITALEWAPKTKWEKKPAKPFDPSAYTNSTTTFPDQSFVPDTSTLD GDHVGMVMDNDAHHDGEDLVSAEAGLGEVASLIIQT PFICI_10053 MSRLLKQFQAAAALAWFLLAPLVTALKIAGSFNVLEYNPLLVAT QDYYKGDAQVVNGGVADLFGSSNIDLAGNAETQALRQYANHKNLRIIYTVVEVAYRLV ASNKAGVTSLSDLKGKKIGTIPSTSAAYFVQRYLSTAGGLADSDYTVVSGSNCNSAPC GAGTLPYMLAHGSVAAVGMWEPTLELAIEVLGSDAVVFQNKTVYQEVYNLYTTAEKLQ NASTRKDIVAYLRALDQTAQLFTNQSASVIPRVAKTMNMNEAVVKAVWPVHDWSGGLA SNLLDVLVPEDQWVAKVDRRSPISKDVLATMIDASVLAEARSNKSSTA PFICI_10054 MFKSCQGDGSGEFFYSPGMCPGNMQINVMESDGDHFTEFCCQSG FSWDPRGCASLMTTGSTAVPILPVTQSFTTTITVTGFVAVHSPVVVLWASSDLSLFPA DVASRRSALFPTSNTTSNTNINSIDLTNSTDSTSSKTGTGLSQPARIGIGLGVALGSI TIFIVVSAWLFKVRRRRKRLLMQPRNNAGELDGTQPLWKRFFGREWRSELDQDGPTAE LAADPEPAELATSQVPAELPGSYRYREPATGAAENKPGEGKVKEKQGDERKVDERANE KKDHERSAY PFICI_10055 MAYRLITQVVVIGSRTIGRAFAEAYKQAQASSQYQRAQAKLDPN AAAARASLSSGMTLDEACKILNVKPPQGGKANLDEVMERFKKLFDANNPEKGGSFYLQ SKVLRARERYEAEIKPAMEKAAQEAEVQEGWKPKVYKDR PFICI_10056 MSQYYGGPPQNQYGGGAAAQNLQFYPSSYSPGIQSHGTPSQSSY GYGGGSTSYGGFGGASAPGVSGRMGEQGGLRTGWIAAFSTEGYENEPPLLEELGINFD HIQRKTFAVLNPFARIDQHLMDDSDLGGPILFFIMFGFFLLFSGKVHFGYIYGLALMG CILLHYILSLMTPDGPSSPSPSHAAPQYSGGGYPGDPSGADGKGHLSSTLTLARSASV LGYCLLPLVLTSLVGIVFPMDTVIGYVLTSAAIVWCTTSASGIFCAVGRMRGMRGLVA YPLALFYVGFGIMSIFSSRGSGRLGKAAGVP PFICI_10057 MKFSLATVLALSLTAEAHTIFQKVSVNGADQGSLVGLRAPNNNN PVYDVTSNDIVCQQAGTTSSTIINANPGDKIGAWFQHVIGGAQFSGDADNPIAKSHKG PVTAWLAKVDNAASASKTGQKWFKIWEDTFDTSSKTWGVDRLISNNGWAYFTLPTCVA AGDYLLRVEILALHSAKTSKAAQFYMSCAQLHIGGSGTTTPSSTVSFPGAYKQDDPSI LINIYGSTGQPDNGGKAYSAPGNVPVMKC PFICI_10058 METTNAFGELGLSIIGIASQYPPHSLKPDQVQHLAERFYPDSPA MQKVLSINRYTGIDTRASIGTSDHPLVNAKEAPSIAELHRTFMTDGVPLAVAASRKAI SEAGIQLSDITHVVATTCTDSANPGFDHFVLKELGVTHQVEKVLLHGVGCSGGLATLR TAANLALGHAARRKPARILCVALEVSTTMVRSELDSVNQTQETRIGVTLFSDCGSAVI LSNGLGQRTADPVYELLGWEHKVIPDTDHDLGFDVDPVGWKVVLSPRVPKLAAQVLQP TFSELMRDVPDMGPKYREAADFDWAMHPGGATILTGAEKAMSITPEHMRASYMTYMNH GNSSSATIFSVMDKLRSKGMDEMAPGGRAKDFVVGCAFGPGITVEMCMLKRNLGITRP HDIQTPPDTDSEASASDVDATSEWASEDPKESVPDTPEPEMIEPVASHSRDEDFINEA LSRVELD PFICI_10059 MALSDAVDALIGGHGLTMSLVLGGVFIVVFWRLSTPRLDPQEPP ILKARIPVIGHFLGMLLNSHGYWKMLYDENPMPACTLPMLGGKMYIINEPSLISAAFR ARSLSFDPHLIKTIKYTTPISAKAMDILNSDGFWPRWVKLFYSSMTGTDLFKMNIVVL GDIFRQINYLPLNMEVEDTSIWLRGMLTTSTITALLGKDNPLCKDRSLIAKLWEWEGC LHHFLLGPAPWLTSSSAYQARADIHKALTEYFASAAPLAPDVSELTIAALSLQDEYDY SAKDKAAMMMAILQGALANTIPTAYWFTMHLFSRPALVAKLRKELKPLAVPGPQLANG KQEMLLDIRGLESRAPLLMAAYRETQRTIGVGCLHRWVKSDTLLTSATDPGKTYLLKK DTPLLMSMLVNHQHEAHWGANVSEYQPERFLRSDSRDDEREFNAGAPVPRGAYTPFGG GKHLCPGKDFANAENWGTMIAFLLGFDFTTPEGETLEVPQRTMPLPANTLGRPTAGSD LRSSVRRRKGWENVVWKVGEPTISKDS PFICI_10060 MQIGQAIGTACATFAITNIDDAFVLVTFFAESATSKALTPLRIT IGQFVGFTVIVVISMIGFGVSLVLPSEPIGFLGLLPIILGVWKLLELLLPSAEDETDN ARVAGMKGVLTVASVTLMNGGDNIGVYIPLFSQVRGAEIAVYIIVYYILLGLWLLAAF LVMRQKHVLRIVEKYVHYVIPFLYMGLGAYIVAKSECYPWSIEQIDAQHTSHPGCAIM AVTTVVLLLTAIGTIFWYRWGHKATPSDTRTDNDAPAQTNDEGQEMTVIQSNSNAEDA TNPQHVVHDGSVNAGQNQSSG PFICI_10061 MADVKPNTDYSEPEYAKSQERAKVPYYRPNIEHRLVSETQELLE KYSHIPPEDQSAHIHKLRDEAWDIRAYPCIGLGSWLTPQLRRLPIYDEILDRVKSHGA TLVDVGTFVGHDLRRLAYDGAPSDKLYGVDIVSYADVSYDLFRDRETFKGHFIQADIL SDESAELNALKGNVDIIVVSQLLHQWTWDNQVKAAKALVDYTKPGSLIVGNQIGNSKA FELTLKTPPISIWRHNVESFTDMFNKEVGPATGTTWEVQAWLRSFPDMGWDLSDAAWM EPDVCLVEFAARRLS PFICI_10062 MKWLLASSLVFIAPAHAGLRFQCSTLTVQRLDPVVQPGSNPSAH VHHIVGGNAFNATMEGDVSSRATCTTCEMAEDFSNYWTANLYFKHPTNGSYHRVDPIP VNPGNLDGYSGTTGGLTVYYTQHDLSRDMISQTPIKSFQPGFRMTVGSPSKSGTPQVG LRYQCLTASGGRGAEMNDFPKSPCAGGIFVTNHFPACWDGKNLDSPDHQSHMYNTITS DGFVNAGPCPSTHPVRMPQVTYETVWDTTKFNSMWPSGGANPFVWSFEGTTGINGAGT HADYMFGWKGDALQKAMNKSECFYDGCGSLQKQQMSKAGQCTVKDMVGEETGGWLAKL PGM PFICI_10063 MAAPNEKYFSSSGKGNKPYISHGLPFHEACKHHAENTFGALRVY VVVSSSISKTQAFSDLQKTLGSRLTGVRHGMAPHTPWKDVFEVTRDIVDKKSDLVITL GGGSLTDGVKLARLFAANGITHFEDIQRIREKCKIWAPHPSEDEVKPATIPCINVPTT LSGGEYTKIGGATDTEGDGHKTILQHESMLADIVILDPALTVSTPERFWLSTGVRGVD HCCEGLYENLPDASPETSADLMAALEKLLVNLLRTKASWEDPEARLQEMLVVRECPRA INNGLGASHGIGHQLGPLGVGHGETSCVMLSHVLKYNWQHGDAHVRRNLQRIIDVFWK DPSIVDVLEARGLKKESADPGDLVGAFVSALGLPTTLGHFGIGEDKFDSLAEVALHDW CTEENPIKLDKEQVLSILRLAA PFICI_10064 MAANLTVHVTNISAETDDKEIKDFFSFCGKITDIKVTPTEKTKE ATVVFEKETAAKTAQLLNNTKLGPNQISVTHEGSADDHAPTTNLDRDSDEITQEEKPR SRIFAEYLAHGYVVGDAALQRAIELDSKHGVSTRFVSTLQNLDTKYHATDRAKTADQS YGITQRANTLWGGLNSYFEKATNTPTGKKLVGFYTEGSRQVQDIHAEARRLADLKKDE HGGSAYKASGLERVFGKDKSAGAGPEGTSEFKPYPTGVDNHTTCGCKGDQGVCGCEKD KCVCDSCPKFKERQTTCGCSGKPSECSCEKSKCACGNGGSCS PFICI_10065 MPEGRQSPPPERQTSAQKDQPASGQGLEQINTDNKKGEQQDQLK NLESNPKGALDDALASKFTKTEK PFICI_10066 MPDVNSIPASPSSIRRPSANASAQPHVAAPVPPASTSPTLNILP SNQQSYHQGVPGSLPSPSLTTAASIPPPAAPGQDNAGVGAGPGPIRHPRPLTAAELHQ QLEAEQELLVNRLTRDLQTLRAAHNSSVASNASSASAATSTDQAQSSFVDTHLLSGPG FPLPTTSADRRHQRTSSSTSARSFSHLASAGSTPAPIPISHAPSGNPGSVLEAARNPR SSMSMSRQNSTASHRSASRSRNRSPRPHGGPGSYSQPHGFPYTEHPSGSGYFPPRSHP TSNNQSTAATPGSELSPGLMPATLRYEETAFYRQELDTAKRENETLKRRIKELEKMVR ERRESDASRTSGGGGGGGGGGARIRSESTSTTASVSVSEAVGAGGGGTNIAGGRRDPP GRGGMSMERAFSTLSMAGSVGVGVPDEELQVGESASNTGIKSQEQK PFICI_10067 MLDIKVAATLFARVKVPPANSDNPGSVNGFIFLGAAVVNAVFIV SGLVYLIYTLGSVIPVLTIVESKHEYETLPMRERQGPGAATEPSHDADARPVTDSICN VHRLLWSVGRFASLFQASSSFCLLITAQATLAAILKAVPLVPDLASDVVITILTLQLQ MAWVHSAMIRQNKKASPIQLPGFRMAFHTTAIPALFACFAASMAESVAILAVVLLHGE MAKIPLFPQYPTGLTVETFAPEVEAWKIGVYIVIRYAFLAVFYIPAQAALVRVQASLL PVEKETIVKVDRTFGVEGVHETGYLTMTQAYKSMKNGSIWLRLYKMYAKVFLVGAGVE GFLYAILLLEFFAFLYLR PFICI_10068 MDIAAAAIITAIEFVLVRKWEDSIATNLSSEHVLSLFLTQYLLL KYYRMVLYPKYFSPLRHFPGPSGGHLFFGQTINILRAETPVTLFNEWMHKWPDAPFIR YLGVGNQEFLLPNSPSAYKEVLQTHCYSFRKPDWFIRMTKEVLGVGLASLEGETHKKN RRMLNIPFAGPNVRKLEPLFKDKARDIGTVFDSAIICGDDGGRTGVIDCTETFSKATL DIMGASVLGKDLCSLNTVRYRGKSTEDSTATEEYSFAQAYHTFFAPGPVGKLLTYFNG FFPLRWLPLEANREFKFAMRWLNETLTQLRRDRFREIEAAKAKGTYESSESRDLTTFM VEESLPGGSIAGLSEEIFLLQFMVAGHDTSANFLSWSLFVMAQHRDIQDSLRNEITSL FRDFSEPAYGQIDELTYLDNFVKETMRVYCPAATIHRQSLRDVIIDGHHIPKGTCFDI APSMPMFNPLIWGPNSHAIDPNRWNNLTSEQSNPFAFAAFSNGPRICIGKAFALMEIK TILIELVRNYRFLSVEKKHTVENPNLTLRPAGMEIRLERVKQ PFICI_10069 MAIPQGRTIKTLKGQFVPNKELSDSQDEIMALQGVPYALRQIQK IAKIKLGFSMDRYYPEKAPVDNQELYQMSMTSEISGLGIMSTAPLRALGVKTKNTETH VLDGVEFTKDEPVVGSLTIRMRLCTVADLARERAELGDFLAADWLARTDLGPDDHVVR WSVRTNGKSGKDAWRSEQVWGILDGRYTGKIQMTNGLGDSASATLVYDYLG PFICI_10070 MIDDSKDEKHPQGDDGVAVGRVDSHDAPLADTARVVDPVAERRL CRKFDVRLLPVLAIMYLFNALDKGNLGNAQTAGLTDDLGMPKEQYNLIVSIFFVPYVL FAPPVAMVGKRFGPARVLPILMFVFGSMTLCTAATYNFGGIFALRFFLGVAEGGFFPL VIYYQTTFYRRGELARRLAIFYAASNVAHAFSGLLSFGVFQIKSHLESWRYLFIIEGT ASVLFSVFAFWYLPRDAASAKFLNEEEKALAYHRMAVDSSSIVSEEFNLKDALKIFSY PTTYGFLLIEICLGVPLQSVSLFMPQIIQRLGYDTVKTNLYTVAPNVGGAAMLLILAF SSDFLRLRFPFIMLGFIFTFTGFIIYAAITDVEAQLHLAYYACFMMVWGTSAPSVLLS TWYNNNIAHEGRRVLLTSVGVPLANLMGLVSSNIFLSREAPKYQTALITTATFGAVGA FVAGCLGTYMIFDNHRRNRKAGVKTFARDIPTYKLRDGPSVPEFRWFL PFICI_10071 MAAGQNQPPYPLHESTKGRINEEYAAFYNKHIIDKQQVHLQPVE ASRASGILIPGAGPLQPVASTVDYSISRKESQGPDVKVRCFTPEGDKPAAGWPVCIYF HGGGWVLGTIDTENVIASNLCARAKCVVVAVDYRLAPEDPFPAAVDDCWEAVLWALGD GKKILGINETKLATGGSSAGANLAAIMCQRAADRGGPKFLLQLLSVPVMDNTADVGNN ESWAENQFSPALPAEKMLWYRRHYLPKQEDWAHPEASPLFWKGDWSKLPPACFVLGEL DVLRTEGEQFAKKLEEAGVAADVNIMKGQPHPFIAMDAVLEAGSRAITLFCDALRKSM YA PFICI_10072 MLLYLLLIVLQVSLGQGAPLPSHHTRQDYRLGTREREKYLQLSA VAILPTATKRYREGYLTVAQKTTYSIDREGYLTVPVRTTSISGGIAQIPASPSAIKPD TTGTLVSTLETGGRPSLQPFPTSRIPIPTSLIPSSGSNIATKPTATSTMAQASAFQNI FGAPIAVNAPAAIFTVKSAHPVPRLGVSGPGPHQTNKFYGNFNLGNQNAPAYVHPYSV AWAKGQGASASWGLSISHIEASQRVYGDQSKVSGVNAVKYYLNPVGIQSLCLSAAELD KTTALTLDNMDTQSVNVNLLDKSDGNVLIKFPLVQGMAFVTAIYSGSTPVINSGVFFK QVTKSIKGPKTGVTKYTMYLEDGKTWHLYAYSSRGESLDLTVVNNGYARADKPFNGII QVAKDPGGAEQTLDAACGAYPTRVTLAGSVSGSKGSYTFNYAKEGIANTKLLMYALPH HLDCFDSATYGGKTTIQLQTTTKGIATAIVGDSWTMVEPNMPVAMDFAPWDPKTGPRK TLKAQYIDTIAPIALKEISQNVDQQSNQNSMYFSGKALAKFAHLCYTVHDLLGNKNLA QTGLNNLKSAFTRFALNRQQFPLYYETAWGGIVSSATYQTGNNGADFGNTYYNDHHFH YGYFILAAAIIGYLDPSWLTKPNIDYVNTLVRDIANPNSQDKYFPVSRNFDWYHGHSW AHGLYETLDGKDQESSSEDAMHAYAIKMWGKVTGNVNMEARGNLMLSILTRSLDNYYL YTSDNKVQPANFIGNRVAGILFENKIDHTTYFGPNLEYIQGIHMLPLLPSSKLTRSAN FVKQEWQTYFSNGRADQVEGGWRGILYGNLATVDQNTAWNFFTQKTFDPAWLDGGVSQ TWYQAYTAALMS PFICI_10073 MTSALTPEYEEYPQYCYHLSPTITKWCPLEASHIAKLRWHPGFE GQDDTFFHLNHPIRWVRVVGLVVAIDSFYARRVYTVDDSTGVCIECTISISPPAPADG EKADKDAEQAAEQAALDPYADIDVGMVVDVKGNLSLFRDEKQIKIQKMQQVKSTNQEV EFWNKIKSFRSDILGKPWVLEKKVLRRLEKENRTDARGREKESQRKKMKAESSRQESK EHRQHRSHASATTIQKKSYKPSKLSSVTAAEDGQYDALGL PFICI_10074 MVAAEGDQQPSHSTTPVTSPPHASASAPGSLPTLPGPCQSLHGF EDIFLVVRTGASEALEKLPVHLETTLRCLPNESYGIWSDLEETIQGHHVGNALDEVSP KIIEQHADFDYYRRLQEKGRAAFSVEELASWASAPNSANGRNTPGWKLDRWKFLPLAK KAYNQRPDAKWFIFTECDGHINGARCYSGYHVMIQ PFICI_10075 MKSAVARYTAKIDVYEDYTSHTWAGDGILGKALKDVGVGFTQAW PTFHGESPFDMDYNDSVTGPDPSLWCYNAMTWHHVPPSEIRELAEFEDRWNVEHSALL RHSDVFRHLVMPKLRSHLDDWDNLSSDKESSDTLQGCRSACEKQPNCFQFSFRNHTQT CKTSSVVKLGRQQKQRDGDAIEEHITSGWIIDRVEAFAAEMDTYCHGNGWVIT PFICI_10076 MSDSESEDLPQGGNIDPYELLGIDREATAEQIKSAYRKQALRTH PDKISGSDAEKEAAKEKFQEVALAYAVLSDPTRRKRYDATGDTSETLSSSDFSWAEFY AEQFRESISEEAIKKFAAQYKNSDEEKDDVLAAYEQFKGNMDKVYEVVMLSNVLEDDE RFRAIIDEAIASKDVKAFKAYTNESKKSKDARVSSARAEATEAEEYAKELGVHDKLFN KKKGKKEKKDPEAGLAALIQSRQKSRAGFFDSLEAKYGGGPSGMPSEEEFQAASRKLG KRKSPADDGPKPSKSGRSKRSKA PFICI_10077 MTDSTTKPQIEAGNHEAYLRLALSLATQSPPKPTNYRVGAVLLD SNTNEILSTGYTLELPGNTHAEQCCFEKLAAKHCLDSSRLSEILPDTAALYTTMEPCS FRLSGNLPCVDRILALGSKIKTVYVGVKEPEKFVNNNSGKAKLEQAGITFVHVQGLEN DILEVATAGHGKSENES PFICI_10078 MRSNIASALLLGLLANQIEARPFGGNGTSPKGFVTTKGTKFQLD GEDFYFAGSNAYYFPFNNNESDVQLGLQAAKDAGLSVFRTWGFNDKNRTYDPNGLPQY GSEGAGATDVVFQWFENGTSTIDVSAFDKVVEAATKVGIKLIVTFTNNWADYGGMDVY TLNLGGQYHDDFFTLPKIKDAYKRYIETLITRYRDSPTIFAWELVNEPRCGADGTRNI PTSGNCTTETLSTWIDEMSTYVKSLDPHHLVTWGGEGEFSLPEGSDDWAYAGADGGNF DHEISLPNIDFGVFHSYPDWWSKTVEWTNQWIKDHAASGIAANKPVVHEEYGWLTPEK RLEYLNKTAGANETRVAVLGGWQSIMEEYEMPDMYWQFGFSNYSYGRNNDDGFTIFLD DAEAQPLVYEHAARVNAA PFICI_10079 MGDINALNTSTLPIVYRDSTDPAEFHEAAWGRVFNERRDLTRRP KAVVQATTSAHIKEAVERAIQENARVSVRSGGHSWAGWSVRDEAILIDLGNLRELHYD EATQIVSCSTSYTGRVLNKFLNERGRMFAGGHCPDVGLGGFLLQGGMGWNCKNWGWAC EQIAAIDVVTADGRELHCDEQENADLFWAARGGGPGFPAVITKFHLRTRPMLKMFDST YLYPISEYKKVLQWVIDVCPTADESLEIVSVALYPPGSDEIHIVAGFTAFKKDKAEAE AALRPIHESRPSGAIVVDAFCQPTTLEEHYTRQGAANPDGHRYCSENAYIENDADVPA VLESAFTTLPSKKAFALYFAMNPTSRRPLPDMALSMHSDHYFALYTIWEDAKDDERCT NWVHSNMRSIERKAVGSYLGDSDFQHRRTKFWSDENGKKLMEIRKKWDPLGRICGYLD VGDKSGVDGLENIFEWKTDA PFICI_10080 MHSVKDSLIVSILTQYWLPLSVVLTLAWLVTNRFQRGLYKYPGP FLASITDLWRFWDVYKQRPEVTHQQLHAKYGDVVRIGPNTLSFADPKALKTIYGLNKG FIKSDFYIVQQSVVKGHRLASLFSTTDNDFHSSFRRSVNAAFSMSALVQYEPFVDNTT KLFLDQTEKLFANNIDGCDFTRWLQFYAFDVIGEITYSKRHGFIEKNEDIDGIITYLT KLFLYVAPIGQIPFLDLLFLKNPLYLKLSQWGLVDSTFPVARFARARMAERLGAELNA DGSKKQPLLPVSDAKIEVKSPDLLSKFLAAREARPDFMSDALVQTMAVSMAFAGSETT AISLAAVFYYLLRQPAALDRLRAEIDDFGRAGGFSDSESGLVTWHEAQKLTYLDACIK EAFRLHPAAGLPLERIVPKQGAEIAGHFVKGGTIVGCSAWIIHRRPEIFGQDVDVYRP ERWLVDESLTGAAREEDEKRVKEMNGMMFHFGMGSRTCLGKNISLLEIYKLVPSLLRR FELRFKDPNEEWQLVNAWFVKQNNFQAMFEPRVIVKPAN PFICI_10081 MTTLTVLSDEEAKSPLISLNPTHTVADIDPLIYGGFTEHMGRCI YGGIYDPDNKNGLITEQGYRKDVIDSILELKVPVIRYPGGNFVATYRWQDGIGPRDQR PKRPELAWLGVESNQFGTDEFIEWCRIVKTEPYICLNMGTGTLEDALAWLEYCNGDRD THYANLRRKNGHEEPYKVKYWALGNEIWGPWQVEQATKEDYAKKAIQWAKALKLLDPS IQLILCGRDGVSDWDRYVLQQCSRYIDMHSIHFYHGDAKHYPNISGPYAAERAIQITS SLIDLARCELDLTEFPDFSRITTAPKTAHRPKICFDEWNVWDPIRAPGDKGAEELYNL SDALAVAIWLNIFIRNARDLGMATIAQSVNVISPLMTSPTGITRQTTYWPLLLFSRYM HGKTVAVHVRAGVYTGETNPKWIQSTVDIPKLDVSAALDGEWLNVAVVNVDEEHSYET ELFGVAPASGDIQVFKVGGEKFNLKDVNKEGQETVSIVESTLKGENVKKFVFERHSFT LLRWKV PFICI_10082 MSDDVVNKHGSTQDVWAEHVDDDAGHAANQEEHELSAIGAIRKQ PKVFAWCLYALFTCLLVSFENQASGMVLSIPEFRKDFGHAYEGSYVLDTKWQSAFSGA PLASTIVGTFGASPLADKFGRKPVLIGSILVSFAAIALEFISTTNEMFFGGKFLNGFT TGIILTVALAYVGEIVPLALRGFLTCLSALMFTIGPLTAAIIVNFTGAFESRWAYRSV FCAQFGFAGICALFMFFMPESPMWLTSVDKTEKAGRSLRRLGFSDFEVTKKISQMKIT LEQSKKETEGASYLECFKKSNLRRTIIAIAPLSIQALGGVYFIAAYGTYYIQLAGYST EDSFKLQIGQHGMSMAGNIISWFLVDKVGRRPLTVWGTVIVTVILMICAGLAVQGSDG AIKGSVAMILIYNFFYNITIGATAYTLLTEVATSKLRAKTISLGVALQYIIYTLWAFV IPYVFNPDQANLGAKTAFIYGGLGVLCIIYLYFYQVETAGRSYEELDELFQKGISVKD FKSYVTEAQTRGQEAKESKAEM PFICI_10083 MPADAEAEAPPLSRWRYKTRSLEKPKYKTEKECMASDDPRDLTA FVVDYIRQYGKANSQDILALSDASLPLIL PFICI_10084 MSALTPLRSALRTPAAARISSPLVSSSVRRFQTHRPARMAYKDS QDRESLKPTTHEYTESGSDDQAAANTDAAFNRHKTSPEAEKDTAGQAPTEGANNPLQN SPADESFAKAGQHPGEDKTHGGKKKSSGHGSPPKGKKV PFICI_10085 MASNPYEVEHNIKAPTNPPHRRRPDMSSFTSHLHTISRDAGSTT TSTHHAGPTPVDAAALFSMVQEQMATLANSAPSDTNRDFLVSLIDMLRSDVDNPPDEI KGVTQEYLDTLERVPKKSLKDDSCPICAEKYMDDPYPLVVELPCHGSHRFDLECVGPW LQSKGTCPLCRKDLTEKKKAPVIVEDDEEDDMDGLYG PFICI_10086 MASQPPSNEDVRIEVITDPSDFEAAYDVTANAFGHQTKDGIWMA MNPDWDQPAGKAKNVAQLRDRWQATKAGGNTTFIKATLPDPAVEGSRVIAGLAIWLHA SAIPGQGEVPGKIDFTSVYPDNERERRYVTQLLTSLHKNRYEVLRQIAQPESKQKSIM VLDLCVTDPAFQRRGVAKKLVQWGLDEAKRRGDLDAIIEASTMGRLAYEKVGFHQVGE ITYDVDEEFRDRRMPPNAFMRLRLPN PFICI_10087 METPVMTSPIAEKVLHSIAVSSVKYFDYETIQLTPKALDNHPDI TASYDFASAQTRLNGNISTPKCKFMPGDAEWPTESQWQNFNISLGGALVQGVPSAAVC YPEWPQYDEAKCAEVTELWSDPEANDPTGLNFPLFEGVTCVPPAFARPNATCIMGGKP AYVVNVTNVAQIQLAINFARNQNLRLNIKNTGHDFIGKSTGAGALSIWTHFLRDIKYL GDSFVDASGRNGSAFKVGAGVTVGELYKAANDTGVQVTGGIARTVGVAGGYIAGGGNG PLISKYGMAADQVVSLEVVLPSGQFVSVDQENFPDLFFALRGGGGSTWGVVTSIVIRA YPKTRITKSTYSFGSGVPLDTFWAGAEALFAQFPKWPKAGIYSYWSFQCSNSTGCVFS MAPQLAPDLSAKEVLALSQPLFDRLDELDIPLDNLTTVEFDDYLSAFDDSWPESTNTA GSWAFHTGSRLFPASNWEDAETVAAQMAIFRHTAESSGSFFGYNVQPASNPLVNQTNA VDPAWRGTCLFLMSAAFWSQNTTAPEIAAANQELVQRLQPWREIAPNGGAYLNEADAN EPNWQKTFWGDNYDYLYGLKQKYDPTGVLYAHTAVGSEDWYITDQLDYYPTQNGRLCR VN PFICI_10088 MSSSETLPLPSLLVFGPQTELPSDEVISNLRQELLTNPHLQGLK DAVVALPEFWQRLIDFDSDLHTVPGHRYLSQLRNWLQDGSSFADRASDVPNHFALPIT VLLQIVQYTTYLDQLGNDAQSQILESIKHGGIQGFCTGFLSATAVAFSANQVAFGDAA AVALRLAVAIGAYVDQDGQYSTKSTEYSTLAVRWREEKSEGQSEVEKLLLYFQDAYIS SINDETSVTVTIKASDVQKFISSSQKNGLRTKAVHVHGRFHTSKHIPALDKLVHFVSL HSELQFPDAKHLIVPLRDTSQGKAITEGNLARFAIENTLVKVADWHTTLKSAVQQLPK SHRTAGLVGYPNIIPSSLSKDEGLRTMTLGSPAWTNPKPNHNIPVNGQIDGINSIEAV DESHDLSQYPPHSIAIVGMAGRFPGADSVDELWDLIMEGKTTVQPAPTQRFGLPTTGD HANTKWWGNFLNDSDAFDHKFFKKSSREAVSWDPQQRILLEVIYQALESAGYFGVSAK PEPHDYGCYIGAVMNNYYDNLSCHPGTAYATVGTSRCYLSGCMSHYFGWTGPSLTIDT ACSSSLVAINTACRAIWSGECSRAVAGGTNVITSPFDYLNLSAAGFLSPSGQCKPFDA GADGYCRGEAVSVVVLKPLADAIQDNNNILGVIVGSAANQNHNFSHITAPYSGSQVEL YQNVMKLGGVEPESVSYVEAHGTGTGVGDPIEVRSIRDAFGGPQRDSILHFGSIKGNI GHAEASAGVAGLVKVLLMMQHKKITAQASHQSVNPKIPAFDKSQMKISRGIIPWEAPY LLACVNSYGAAGSNSAVMVREKPTRISKQPALLHASKFPLFISAGSANSVSQYSRKLL GWLKSRRSAGHSDSLAEFAFNLADRGNHQLPHILSTVVNSKEDLIAKLEQASAGSGIS RPLVPKPVVLVFAGQESDFIGLSKHVYTSSKVFRGHLDAVNDLLVSSMSKTFYPSIFD SWPIDDLVVLHSALFAVQYASAKTWIDNGLKIDAVVGHSFGQLTALCISGVLSLADAL KLVSGRASLMQKYWGPEPGSMLYLEADRLTVEGLLDTLRSQDDGLYAEIACYNGPKSH VVVGSSRAIEVLQRNVTGATHLQVRTKKLNVTNGFHSQFTEPLLSHIGAVAAELEWKR PQIHLEMTLEHESKEEPHHQMVAQHTRNPVFYQQAVQRLANRLGDSTWIEAGRGSSTI QLVKQSTANSDRHEFHSPQLTTDKALDALAEVTAKLWKSGHMVQHWPFNRAEKVAYEH LSLPPIQFEKTRHWLGFTGRRQFEEPAVENVANIPETHELLKFLEFRDSSKTEAVFKI DPQADRFQQMLGGHVMAGQTLAPASLYFEIAARAALFLQNDTEAKTYVPSTEDLLMKS PIGKNIAKKIMLSLKRINDNRHPEWTFTITTQDMETRSAEPFEHASGKVYLKNRDDKQ AARERQRIETLTGHRRYKEVINHPDAEKMRGHHIYRAFSTVVSYGESFRGIKEVACVG SEAAGKVRITPSAEDPIDQRLCDTPMTDSFMQFAGFLVNYFNNPSTEDVFVCMQIEHI EIAGGFDPNAGEWLVYATMGEGGETADATADAYIFDAKTNKLVMTALGFRFSKMSQSL LARMLKSVNKSALQQPQEVQQKPSSRSEIEYVSEQKPKKVSQDSPSVVTGKRTELLQI LSNVTDFALDDLKDDATLEELGVDSLMATEVLNDIRSILGLTIDLSTFLFLPNIRGLI DHVNDKLGLVAGGEGTLHEASSSEDSSFSDSASIENGDGYRTPSTPIDEFLKPEEQRP TITSAAAAFEESRLAYDRLATITQATDFWEKAYPHQARLVLAYVVEAYADLGCDMHKL REGDVVPQVDAALAKHKQLVRQLYRVLEDGKLITSHNDGTFMRTGVQADSSSAESIYH EIIDLYPQHASVNKLVRAVGSELAPCLRGDKEGLQVVFGSRDNKKTLEEMYEFSPLMR TPTLVLGEFLEKALTRATGRGKFRILEIGAGTGGTTRYIVNHLQSLGIEFEYTFTDLG ASLVNAAAKKFKGVPEVSFDVLDIEKPPKQEYEGAFHCIIATNCIHATRNLDVSLGNI RKMLRDDGALTLIEITQNMFWLDIVVGLLEGWWLFDDGREHALVDEKHWERRMKGAGF EQVSWSDGLSPESKTVRVIAAFPTGNTVPDRPVKAAVETVVYKKIDDLEIQADIYYPT EMEIPDKGLPVALMIHGGSHMLFSRKDVRPAQTRLLMRKGFLPVSLDYRLCPEVPLQE GPMTDVCDALDWARNKLPNIQLPRRGLKIDGDRVVVVGWSSGGQLAMSLAWTAPARGL RPPESLLIFYAPTDYEDEWWQHPIQPNGAAYQGQEYDVLDGVRDKPLTNYDMVGAWEE PISDPRSWHDARCRIVLHINWKAQTLPVILNGLPSKQKANAECSADKQQDWGALPQPS LDVIRAASPRAHIRQGDYKVPTFFVHGTSDDLIPWQQSRGTFQTLAEKGVATDLVLLD DGPHICDLSSDPRSDGWKAVLKGYDFLISHSE PFICI_10089 MPLQVQPLELPDFDILISHASSDPPGDDLVAPPNPVAWPVSTQA EAQTRARHCFALQRRRFLRDPTTNFVKVVDVDNNGAAAAGDIIAVARWHFYPQGYDYS TEAHWEMAPTAPLMAYLRGHKAKRQGETANDNHPQDRPEGSEGLEGYNDDDNVYPPPN FNLALHNHILSSRDSFRPTWVPDRHPCWVLMHLVTRPSQRRRGAAGLLIRWGLERARE MGVDAYLEAGVQGRPVYLKFGFEQVGPDRRVDLRDHVGEAAGVPSEFVLANMKWSPKG QAGKSSDGDE PFICI_10090 MASKKSAEGDALPEATATRSGLQSDTDISPATKQDIEYPSGVKV YLIITSLCLAIFLVALDQTIIAPALGAITAQYGSVKDIGWYGASYLLTSTALQPLYGT IYRLFDIKLAFLGAVALFELGSLVSAVAPSSVVFIIGRAIAGLGAAGIFSGSIVIMSY TLPLRRRPLMFGFFGGMWGIASVAGPLLGGVFTDHVTWRWCFYVNLPIGGAAMVVVFL FLGIPHATGDDHTGSFLSRILQLDLLGAGILIPAIVMLLLALQWGGAEYPWNDSRIIG LFVGAGVMILLFAWVEHWQQDKGLLPPRFFKNRDVFCSMLFSFFFGACFFAMIYYLSL YFQAVQGDSAVQAGIKILPMLISTVLSSMVSGALITLFGYYNPLILVETAMLAAGAGL ISTFWLDTPFSKWFGYQVLLGLGTGVCFQAGVIVVQNVLPQALVPQGTACVQFFQSLG GALFIAVAQTVFQNGLIEGVTRDAPQLDPLIFINSGASQIRQLLISMGQADAIDAVLG AYTMGLRNTYYISVAMAAAAFVAGLGLRWKRIEKATSTPPSEEDVEMGDVNKNTKVDG DGEKDMAK PFICI_10091 MADRGRGQGRGGRGGGRGRGDFQGGRGGGDAGAQRGGGSFRGDR GGFRGDRGGGGFRGDRGGGFRGDRGGGGYRGGDRGGFRGGDRGRGGGGFRPRGEKFAG EDLAFNTNAVPAPDARITKIEDSLIQSNQLISSMSQLSLSGSSTTTEFIPLRPSFGTK GKEVVLWANYFNMQLKDQVLYKYNIKVNKIPGEGLKANVKIPETKGRKLQRVIELALN TLGNAIPVVSEYKSQVVSLKPLALPNDGVVQVLYTDEGRDDTFTVSFHGPNEVPLSEL ISFLSTMQAMSSSFPLFEEVIDTINVAVGHSSRAHPDTASLGSSRHFPLYMSDQTYDL GLPEQNKIVRGYFQSVRPATGRVLVNVNTSHGVFRFHGPMKTYLRGFDLSSDFGLRAL NKAILGRRVKVQILAEKNQPAGKDPKAPQKGKGSAPPKPPGVARIKETACHGVAIPRG GAGEKNTKVTRFGARPHEVSFRIKAPAPPGFTDGQLVTVAQYFQTKYGYQVDQTLPVI STGNKADPMHIPAELVKLVESQPLRRKLTADETRDMIEFACRSPVANATSIVTTGRTA LGLSNNPILTSFGISLSEGLLTVRGRELPPPQVVYASLRNPKQTQKPRVAEGSWNMRD VKVVKPGKPIQTWNWVYIVTDGRPFPHADLKAAVAGMVDFWRSMGIAIPGRPDNMDGV RIDIPRGALPVQVIDAVFSKFPTNAEFVFVVLPDKGTEVYNAVKTCADTRYGFHTVSV VRNNILKERGRDQYYANVGLKVNLKAGGTNHRLDGGVTLVKEGKTMVVGYDVTHPTNM SGNTENVPSMVGMVSSIDAELAQWPGTAWAQAGRVEMLDSNLEAKFEERIRLWQRHNP GKSLDNIVIYRDGVSEGQFVQVLQKELPMIRAACRKLCKPEPKLAVIVSVKRHQTRFY PTDPNNMTNSRNIKNGTVVDRGVTLARIWDFFLTAHTALQGTARPAHYTVLLDEVFRH TFKGEAANNLEKITHEMCYLFGRATKAVSICPPAYYADILCTRQRVHMNELFEGSDNA STISSGAAAQITSRSVHRKLHDSMYYI PFICI_10092 MAATTARPRVIYSDNGPESPYPLRMEGTVISGFGRGSKELGIPT ANLPVDSEQTPWIAESTSGVYFGYASLALPATHPDRSAASSSSDFQIYPMVMSIGYNP FYKNTVRSAEVHVLHKFAQDFYDVPMRLLILGFIREEKDYNGLEALIEDINIDCDVAR QSLSRQAWTPKEGVVGGGTGTLDGTWLVRAS PFICI_10093 MPDNVEANGAEVSDAMSENEHEYDESDRPIKEDEDESMAEQHTS PEPGVGGSGEVKKKYDPKDPHRPRRKKARRACYACQRAHLTCAGDERPCQRCIKRGLA DACQDGVRKKAKYLHDAPPEALRPVLGPTYNPNMVSKSANGRHPSNATSASEASAFYP QTTSSQTYPLFTGGQPQVSMPDNLFAQPSPVSPSFQQQTAQRSQQMGNMQMPTPSSSE LSYPNALFDPSNPAIFNFDLEGLQFGSHYGAMEFGILGQMSSGAAETPPRDASLSQQG NSEVNYNGGQMYPNGLNSYNQLYDGMIENYMNSDQNNGANNLYSQGNLQHGLPHAYAI AAAGPTPSSLASPGTDHNSSPQPTNLTFDGSPNTGNFTPVNSQSLAGPPKSRTKTPAN ANAKLFGPQSILTKRQRDPSAIYENVKEPYQYLTGFHNLIALVKRRFSSAKTTRIAMS LASIRPSFISCTRNLNRQDLIFMEKCLQRTLFEYEDFMGHCSAPTVVCRRTGEVAAVN KEFVALTGWTKDVLLGKQPNLNVNSGDTSGSASGVNTGKGGLTTPQLKAMEPVKTGDK PQPVFIAELMDDDSVIQFYEDFAQLAFGDSRGHVTRKCRLLKYRTKEVMESLNNEDSP PQKDPRHSILSSRVTRIDGEHGISKIEKDGKMDCSYTWTIKRDVFDIPMLIVINFLPC YYRNQDQLAI PFICI_10094 MAPRDSSTSRHRESGTHRKHDRGHKSSRDRSSRRPATDSEAASS GPPSSSGQRLSLNALSQLNQYNSTHPTVEPPRAERPRKTRERRPRQDDYIIVEPEEDS PRAERRRRRDYTDEEREARRAARRERRRREALTDDEYETLALRRERNRSRGGAAHDSE REHEAPRKSRTRREVATDSERDPDSRRKEQRLLDVGPNDEDELRWERYRNRDKRKKRI VSGAVVEEGRASSKMRMRGGAASKHSSYDSVAAEKEELYQSTKPPANKKKKRWIIGGI VLIILIVVIVVAVVVSKKNSNSGSSTSSLDDMSESDIPAAARGTYLDPFTWYDTTDFN VTYTNETVGDLPIMGLYSSWDDSAAANDKVPALGDSWGDYASTPARGVNLGGWLSLEP FITPSLFNYDSKLEIIDEYTLCQHLGAKTAASTIEKHYATFVTESTFSEIADAGLDHV RIPYSYWAVITYDDDPYVFRTSWRYLLRGIEWARKYGLRVNLDLHALPGSQNGWNHSG RQGEIRWLNGTDGDTNRQRSLDVHDRLSKFFAQDRYKNIVAFYGLANEPRMVNLDADA VVSWTTDAYNLVRGNGITANVVFGDGFMGLENWQGKLTDMDGLVLDVHQYVIFNNDQI VYTHEKKVTYACDGWTQQTQISLDTTTGYGPTMFAEWSQADTDCAEYVTNVGWGNRWE GTYDSGNTSTEALTPRCPTTNSSCSCTMANADVGSFSDEYKDFLLLFAQAQMTSFEYG WGWWYWTWDTESAPLWSYKQGLAAGILPAKAYERDFSCDSDVPDFASAGLSEFY PFICI_10095 MKSSRSIRLISRRAASYVSRPTSRLTTTTERRQYSTHPPNARLN LPIDYSATPLLSHSSQTALATPDLPSEGKNSTTKRMNLFQAINDALSTALTEDENVII FGEDVAFGGVFRCTMKLAELHGGERVFNTPLTEQGIMGFAIGAAAEGLRPVAEIQFAD YVYPAFDQLVNEAAKYRYRDGSCGRSVGGLTVRMPCGGVGHGALYHSQSPESLFTHIP GLRVVMPRSPLQAKGLLLAAIRSNDPVIFMEPKILYRAAVEQVPTAAYTLPLGKADVI KEGKDVTIVSYGQPLYTCNAALEKAEADLGISVELIDLRTIYPWDKETIFKSVQKTGR VLVVHEAMVNAGVGAEVAAAIQEDPETFVRLEAPVQRVAGWSIHTPLMYEKFNVPDVA RIYDSIKNTLEY PFICI_10096 MSNLSTVESFVDGAPPGELADVIADIKSLTVSDPGLVSKLGPAF EKYNEEQFATVKLPGSSQQVIISSHSSLGDGRYYDVESSSSFSFDHATQKASGAQSHV LEGSQTDLVKSILKSISTYVSEHYPNAAYGAYPIENDSKVAIIIVSNKYSPNNFWNGR WRSLYIFDPSSGTLEGSIKVDVHYYEDGNVRLLTNKSSTASISSGTGAGIAKEISANE KKYQEELNRGFTSLSEGAFKSLRRQLPVTRQKIEWDKVASYRVGQDIGGGSSRR PFICI_10097 MADKEYTYQDVAEHNTKKDLFMVIHDKIYDCTKFVDEHPGGEEV MLDVGGQDATEAFEDVGHSDEARETLEQLLVGTLKRQPGDPSPTASKPSLSPQANTSS AGLGTVAYAVVFIGGLAAFGAYQYLQSQQEQQA PFICI_10098 MASKPVMGAKRALAPPSPSPSNASNASHGGTPSKKQKREDRARD GATPTPTPAAMAASIVSFGADDRTQKLYAVEFLKAKGTPKTLQEILDHLTLQHAQENF HKEFAKGLREHGQVTFLPDPAARVKDLKEKGIPAWRTGRYEFRAKIPGVKSKTTLLDY LQRKTDASKLEVKDLKDGWPDCDKAIDELEAAHKILVVRTRKDGRATHLWLDQPSLFH AVDPEFRVMWKKVKLPDVDDMVRRLTAIGQKPASDDPKLNKVATTEKKQKRRVNRTSK KQTNEHMKHILQDYSHLVRK PFICI_10099 MTSIQDLLSPVESKTSQGSSPPADRKQHSTSNETSHFTIEFILD TICPHCYIGLRNLNTAIDLYKKQHANATFEVTCSPIILNPVAGRSVDIKGNYYQHTRH FAPSTIERWTRQGAEIGINFDWLGGWTGNSRDSHKLLRLALGPWPSAIDQSSSSTATQ PSGHPYSSSSTSATDTKPSTSEETTQGRPGARGPQTQMELVSTIYREYFENNRDVSNR SWLLSLGTSLLPDVPPGEIQACLESEAWDAAIDRLSDQNRQRFNAVPVFVIQGRFVAG GWQSPEKFLEVFERIRVAEPNAPGMTLSPPGGGWWESGVFRGAGQQQQQGPSDVRSAG GCNVR PFICI_10100 MDEKRMQHSATPEHHMHPQHQQHPGLPHPQLIAAIDRSGSPHGS ESSHYSAPRGGGALESLNGMGNARPYGSPTSMNTPLPMSDPHLPQGMMIPGMPPMGHV GQPMPVYGHTPPPKTENPPPVRAYPCSTCGKGFARRSDLARHERIHSGHRPHVCDYPN CGKQFIQRSALTVHQRVHTGEKPHMCERCGKPFSDSSSLARHRRIHSGKRPYKCPYAD CQKTFTRRTTLTRHQNHHTGTVEEAAAATAAALAAQASKTAASRQSRSDGDPASKHGS PLNTPSPAHRQMSGSPVPDLSAAALRQNEYSYMSNNGGSLPAHLRGGMHQIPTSAPTY GADMRPTSHPTTFAAPPTTLEPSIESHQSAPGSAAGSPHMGSVGWASPTHMASPTHSP SGNGYVYPDPDQTAFATGGLGQMGQMYYGNAAQIRRPQSTEPGINGFDTKPRAGDMWS TPAQ PFICI_10101 MPSGEVPKSQFDSIPDAIAAFRNGEFVVVLDDPSRENEGDLIIA AQNITTEQMAFMVRYSSGLICAPIPASLTESLELPQMVQHSQDPKGTAYTLSIDSADP SITTGISAYDRALTCRTLARPDVKPEHFRRPGHVFPLRSKQGGVRERPGHTEAGVDFC RLAGLPEAAVISELVEDGQEIEGQAVRSEPGMMRTEGCVRFARKWGLKVCTIEDLVAH LEKTEGKLGLNGSS PFICI_10102 MPPRSSAASQLLRRLRAADAAIKTCPRAAEHTHTRTFRRIMPPS SAGTRLFSSNSSSNNDASSDPTFTTVSQDEVSHFNALASSWWDPQGPSRILHLMNPLR HDFIRSCRSSVFDQPPPATTGLTYLDIGCGGGIFAESAARLSTTKSVTAIDPSPEVLS IAKGHARRDPGLKGKLNYVNTPIEALPVPEDKYDVVSVFEVLEHVSNPAAFLDKVTPF VKPGGWLVMSTIARTWMSWFTTNFMAEDVLRIVPKGTHDWQKYVNEDELRGHFMGTRE GWGEPRCMGVIYVPGLGWKQVQGSEKVGNYFFAVQRLP PFICI_10103 MAPVVVYEKGPSVPTEQTFGDEGNEITTTANGNVLDNGLQRGLK NRHLQMISLGGVVGASIWFGTGSAIAYSGPLGALICFSIIGLDVFFVMQSLGEMSTLF PIQGAFVELAGRFVDPALAFSLGWNYWYLWVTNIAGDYNNISLVLKQWTDTPPSWGWI LIFWAFFQGTSLLGVVVWGEMEFYLAAWKLTCVLVVGFLVSILINTGAIGGEYIGFKY WRDPGPIANGINGFGQTFVLAAVYYCGTEMLAFTAAESRNPQRDLPRAIRQTFLRILI VFIGLVFFAGIIVPSTSSDLLNASSKSAKSPWTIALVNAGWSGAGNLLNVIMITAQFS SVNSGIYIASRTLVALARNGRAPRFFAATLANGTPLRAIVFSNALGLLSILNVATGPG QVFTYLVDISGAATFIAWAFVGITHLRMRAAWVKQGHSLDEMPFRAFWYPWGTWFVVI INLFLVFISGYSVFVGGFAAVDFVFSYLVLAIFTVLYVVWKVIKRTKIVGLMEMDLVT GRREGLGQRSGVAAAEGEEILEEKTKIRPWYVKLKRFIFS PFICI_10104 MESIATRSSETARDVELNEHEQVPQSADREFESKPWKYVGYKGY VDFLSSDDDLFILRRFNALNTRIALVKQDKISELEQRLAEIDEQHRQRDAPDVNNGTI RDDLEERRLLLNDISDEIYRYNKIVLQQSRLREYPAASTSDIKNIEKWHFNRKGKEDY GAIDADEQKYLNHKNDLICVTHKNKTPLRKMIDKSRRLRTLGVWRSKDEKSIPHDESQ DVSYYSDQRIDGFASAFIVFVGLTMLVAPIWVLDSLESMRLRLMVITVFIVVFLLVMS FAMVAKPFEALGATAA PFICI_10105 MDHQSNPTATRQVGATKRHCGPTELKASRKPPADTSSDGENVPL SEPPIRQVPVNVTRRTGPAVTVLPPPSNRQQNSGRPERRVTRRDQKRRVDGGEDSDND SDRDESKRTHEADSGSGIPKKSSHEEQDDAESLIAATLARERLEREELERMNHAHALR NQKHAIRIRDEAFSDIIEHRQYCRQEAAEIKSRLIQFREQYYDCPQLRRAVYRQQELL DVCLQQGLESARLSRSRKLSNISALPHRLFLEALVVDVLEENIAEQYDQFESIRDLPH DVLSSALTSLWQERVVPIIYCELADATDQFITVGDNNILNPTCQENVRKSIAAVVQST RRKLIKYCRFGSIETMIDVIVNRFWDKVISRMWEAAMSTSRDKWLDEGLGMITFCGKK KIGGSLTKPYIAWLTPGIDAQLFDDLLNRWHERELSEWQTPEPDLRQEFCVNLTEPQT VSLVTAKGSSIRKLEQLTETDLDSFGWIRSRNGHKLWRCEPTTDGCDILKVNVDGEVL GMFCMPRHSDKWVVVEDREKTLSKRPLEEEEEYALGLID PFICI_10106 MAPRVALGANALAATILGLTIGYGIKFGQTVHVDGSAGAIAQTR CLFIGECKTVDVDHCFWSSQVMILALALGGILVGDFTLWAFSLHSKYMDEYAPECLKK GLFARIFGPKPQRQRVEEEAGDTNPNSGMRSHESSSLFTTVLGALCCVSALALFAPHK TNFTKGGAITSYSTEDYLSLKSVVSSEGVRKGLNDLLVDSASVTKDAAVAWLGSISRE KYVRERHQGQTEVRRTYRIGDTTYQGIRTNGIGVNMASFQEYFLTGLDKDRILRDHGY NTSWINAPDYRFGSLEAMVYGTEMTVVCEDVTNKFDVQAGLGTVKHTTSKPGSKPNAT PLAVAYDVSNSQLGIYWHIFHELGQDFKVTPYRKVFSPEQYIVITDSSKKLFQDVSVL ECSYKGDDFVTKINMRPDGIEIRGRDTNRNKLKTSHLNLVNQAISPVLEDATGPLQHA LKEARVAWAGRRGRTQYDNFVMLSMVQDVLTDLASGYWSLMRQQIETSMGLPSALLDS KRQKQYEKLGLYNGHLHGSYTRLGGSLWGLILPSLLLVLPLFSLWRMLLALFIDKIID FRARRESGSTYWQPLLQSDEEVVDYYDVPPPMPPPKDDEEEPPPPYASENGEMSAQEG VGL PFICI_10107 MASSKRQSVLPRGQAGPKAPVNFSSSITISDTAILAGNHTINVS SESVIHPRARFDSTKGRVTVGRRCIIHERTQIGVAEPNQPSASFGVLMDDYVTIEVSA IIESGETTIGEGTVVGIGARIGRGAKIGKHCTITAKSIIQPGETVPDNTVVYSNGSRR MDRRDIAELKFRAQTRQIEILRRLIPSNPAKFKE PFICI_10108 MLSRTTAPSAVSAKALSRVALPSCSRLSTPTTSAPTQTRTFATV QDGTPKRTYGGLRDQDRIFQNLYGRYPPDLKHAKKMGDWHKTKEILLKGHDWIISEVK ASGLRGRGGAGFPSGLKWSFMNFKDWDKDTKPRYLVVNADEGEPGTCKDREIMRKDPH KLLEGCLVAGRAMNATAAYIYIRGEFIHEAAVLQHAINEAYADGLIGKNACNSGYDFD IYLHRGAGAYVCGEETSLIESLEGKPGKPRLKPPFPAAVGVFGCPSTVANVETIAVAP TICRRGGDWFAGFGRERNQGTKLFCISGHVNNPATVEEEMSIPLRELIEKHCGGVRGG WDNLLAIIPGGSSTPILPKSICDDQLMDFDALKDSQSGLGTAAVIVMDKSADVVRAIS RLSHFYRHESCGQCTPCREGSKWTEQIMQRFEKGQGREREIDMLQELTKQVEGHTICA LGEAFAWPIQGLIRHFRPELEARMQKFAAENGGSALAGGWKADTRAQGKLVAPGQ PFICI_10109 MSSILRSSLRQSSQAVKQFGSVSTNRTYATAGKPPMSQGGSNRN IIIALVGVSLPTLYLWQTRNSHYQTRSIDHPPPAALNSDPAARARAAREALEERGGKV KYEHPEDREPERFKPAFGRQHERKRVDGPPDGRNHHDLHEKQRHL PFICI_10110 MVSNVLHVQNNGQNEDQYSPSISNTDEDDGAYGIFCSLMDLTDS WMEATKSSNERDELYHTAEAIGVAKAPSDIVKAALTECWAYYYYSQAQLDYLRTASKF KVLSIRTLVVCAHANEDFYEIASAELDKVKNLDAELALQLQRGLLSMGTRITVNILRM ATTALGDAMDKNQNPKSSS PFICI_10111 MSQRTEAAQSNRVVPKPVPQSQTQDPRKYQIEQLKKRFAAQISN LQNGVTNVSFHLKPSDPDFPFELDYLDCEVQVPRHYPQEAPLLRVKNKDIPRGFSINI EAGWDKLVGERQSATLLNLTNALDKNLEAFLSEQKSETVTLMTFKDTRHLDSSPATSG ENSVREATPQPVKAPVPARPYVLDESFTREQMIEAKARRAQETRQLEARMGRMSLFHK SADGIVYTLPLDPRRRSELPTELRPVNSVQLIIPLLYPLQPLRILLNDVESQDAEALE ELFFARASQQKQMTLMSHLNYLAQNIHVLAKTAHSALARTTLESQNAGVEQHAADLDI RTPVDKDGKTHIQVIPRPPEWTLIDEHGNSEDSSDDWDSEDDSDGGGVLVERMNTSVY EAAHQVESGTSISFPLVELHGIELLQVIILGLNVKCERCRTINEVTGLKPNMEKASSC KKCATAFTVKFRPEMVHQNSVRAGFIDVAGCTVADMLPSTFVPTCGRCSTPGLGLVSV RGEVTTNVCRECHGKFTFKIPEVKFLAISHGAALPPSTGPKRRQEKLGLHAGEPLPDR GTCPHYKRSYRWFRFSCCAKVHPCDKCHDAAEDHINEWANRMICGWCSREQNYAVEAC LFCGRSVIGRKGRGFWEGGRGTRDKTRMSRKDKRKYQRVGGSEAKKKD PFICI_10112 MYLSSWPSGSTSLAQLLLFLVPIAASLSSSLEFNNTTFDFVIVG GGLSGLVVANRLSETSNHTVLVVENGYLDKNYKTEVPYATTVPNPADMWNISSAPETW LNNETFTIYVGSVVGGGSIINGMACTRGSSGDYDAWERLGNPGWGWDGLFPYFAKSTN YTPPLPEIAEQYDVTWNSSVWGDDGPVQVGHASTFFPDTKVVRASWIASGARNLTDGA DGDSMGLSWLPTDMDTRTGTRSSARAAYYEPYATRPNLHLLTGHRVTEIIFEDDGLVA HGVVIQSREDNSTMQVFADKEVVLAAGAIFTPHLLQLSGLGSKDVLEAANIIIKKDMP SVGSNFQDHPVVYMSYNISNQSFPDPQSLDKNTTFNETAWEQYTESRTGPYTSGLIGY NGNSALYFTLPGVVAGHEELVGNITSQRALDYLPDIYAKDAALLKGFEAQRSIVGHLL GHNQSSCCELIPSIVGSATASLQKPLSRGTITLDPADPGALPIVRFNTLMNPVDATMI VSMVRKEREHWSLPGLARYSPVEYLPGVQYQTDEEILAGSIAAGIVRPSFAHPSGSCP MMPEELGGCVGPDLRVYGTRKLSIVDASIIPIIPGTHLQMTMYAVAEKAADIIKERN PFICI_10113 MLGSNHFLPLSLVGIVAASCAVDASVINSTTSTDDFELACSSFA SKISIDNATDSFTEYIPAGGNMSYNGVPAVCQSTQTASVDVCRVYLNVSTSDRSGIRF EAWFPRDYNGRFLSTGNNGLSGCLFQGVKHGDVDFGTKFGFATVGANSGHDGDGAEDF YHNSDVLADFSWRSVHTGVMVGKQLTELFYEQGYNKSYYMGCSTGGRQGYKAAQANPE DFDGILAGSAAMNNINLISWGLHMYYLTGNSSADSFLTEAQWTAVHEEIMKQCDGLDG ANDGTIDDVDLCHPIIQPLICNTTSSTNSTCLTGKQAATVEAVLSDFYGPDGKLYYPR LNPGAEADAFGIYLSGSPFSTAESYYKYVVKEDPDWGPTTWTPEDAETALSQNPSNLN TFDADLSAYRARGGKLFTYHGTADSIISSDNSKLYYRNVANNMSASPSDLDDFYRFFS IGSMGHCGGGDAAIYIGQSGDTYLDSEPENNMLLQLVDWVENGIAPDYVRGAASTDGT DATFYRKHCKYPLRNQYFGPGNYTDETAWQCVQ PFICI_10114 MINMLSVIFMFALLGVVRAQLSGSVGPTTSYKAKAKVKICDVTD YSDDVKSDIGPALADAWSDCADGGLVYIPPGTYTMVTCVLLKDGQSVAVQLDGIIQRS DDADCSHMIAFRSIDDFEFFSGTSKGAIQGFGYKYIQNGEYGPRFFRFQDMSNFSVHG FAAIDSAAYYFVFDTVSNGEIYNIIARGLSNVGETDAFDIWGSNVWIHDIEVTNGDEC VTVKSPSHNLLIESIYCNLSGGTAIGSLLTGTDISDIHYNRLYLNRADGCYIKTNNGD GTVKNIVWDTVIVHGGPYPLTINEGWGTDRGSTGVAIRNLTFRNWRGQQAVNSRPVIR LECDPDVPCYDITVEDVALWTWEGDDVFWSCENAYGEGACLHSTTATKNLATYTTVVT TGKPYYATSYMPSDLTAGYPTTASLTIPPVPTTFYPGATPSSKLLSLTAAGGI PFICI_10115 MTMIPSLFNSTIPSCACSAASFSYPDLFGAEFLSLETKFVANYS TSIHTGLYTNHGAVTVSNVNFCNITVTYTHPGQNDSVHVQVWLPSDTWNGRLQHIGGA GWQAGLHTAGLMGMMASVGEGYATVGTDAGLGSDVYPTNWALLSEGNVNLYLLQNLAT VSLNDASVIAKSLATSFYKTAPKYSYFSGCSQGGRQGLQLAQRYPDAYDGIAASAPAI NWNQFVMQDLWPLFLMDQMGVYPPACELDAITDAALNACDGLDGIVDGVINDPDSCNF DPASLIGTTINCTTLGSDLVISTEAVDLVQKIWDGAKRSDNSTIWYGPSKGASLTGSI TDIAIVPTTCASNGTCTRGSFEIAEDWAKLFVLKNSSASTINISHEEFDRIAHLSAQM YESIIGTRDPDLSEFRARGGKLVGYHGTTDTIIPTRGSTHYYDAVTAVDADVHDFYRL FLAPGVNHCFGGNGAYPAGTFDAMREWVENGIAPETLAATSLDSTPTFERALCPYPKK QVYDGVGNATAGEGFTCM PFICI_10116 MDVEQRHVKCDERRPTCGNCSATDRQCSFLSLYPARLPSSRATA ALPLTTPPASSCSAASPAALSHEAPTPAINVVDTDAGQQIPGQVPRPQPCFDVRHLVL LHHLESYGTKSSNLMSWAGDDAAKFLFDAVFKTAVAEQFLMHALLAFSALHLSTQQSD DASKADYLQQAAELQTCALALFNATKHNVREENCMALFIFSSLTGLHTLFDAVASCTD SNRILDKTIHYLKLHRGVSAVTGQSWHILRHSGISRIMDAIEAGDQLYRQQLGDTDNE CSKLSKLVHASTDKLGAGSFKACQEAVDVLHWVFGVRRTVPEPFPTHIILAWPIRISA EFIELLEQRQPIPLIILAHWAVLLYFDRDFWVFGDAGRQIVRPILGYLGSYWDEWLDL PRTILDQD PFICI_10117 MSTICNPDWRNATYSFYRYEPSVAAAVIFCLLFLASSLLHFWQM YRTKSWFLGALVGGCFTEFIGYAARTASARQEPGCWKMMPYIIQSVFILLSPALFSAS IYVILGRIVKLTDGDAHVLIKNRYITKTFVTGDLICLFMQCAAGGLMGGSRALPALYK IGNGVVIASLILQLIWFAFFVVVAFAFHRRMVMVPTAAAQRPDVRWQSYLYTLYIVSF FVMVRSLFRAIEFIEGSTGYLQKSEALFYVFDSLLMFLAVIYLHWKHPSEMGALLRGE EPCTNGLKLISIKSMSKGQEYSSC PFICI_10118 MSDATTASDSPEDDTSEQLTTTAAAASRSDLTDLYQRRGAKATK ALGKARKERKYDKNVLSGRDLERLTIRPNDGESEERIVAKATQEQRDRFGQYKHYSFV KEWTPLSDKGRLDDEWFITDPEVLPEDDPGYLCEMCRHLNFEAMFTQRELPGNSVPSM PTRINLHGLWKIMQGEGNTCAFCGLLRRKIIEGGKVDPNEEDGIKDGQFFINVIDEGP KYCLRLEIEVEVEGMIVDRFVIQRIEQDSQQPLAGRIVQQDQADMGRLRQWLHICEET HHSTENSLESHMVSLRVIDTDEFRVREVDAPFRYACLSYVWGDGSQVQYTTTTRDGLE ALNGLREVSLPPTIQDAIKVVKEAGLRYLWVDALCILQDDPEDKGKIIPKMGPIYSSA TLTIIASAHANPHEGLPGIGTADRSVAQDVAKFQGMTLAVGLHDPRQPILDIEGSAWN SRAWTFQEQALSARSVHFTRSQMVFKCIHCAVMLEETVPTPDPAFQHSAIENQAKSDI MSLLWSHSSLGRFPNKGFTTRDAGSSIMFSEDIDMQAYYKMPVKEQRKIAPVFDIIVD SPRGFMGSLTDTDDSTPWDLYRNAVDDYTKRKLSFESDAVNAFAGVEHLVRRGINTKF WSGLPSFAFEQALLWHAREPLERRVRDNRVIFPSWSWAGWRGSVSYHGRGWKNSILWD SVSVIRWFVRETPAWFMERFKEEERTEEEIRDYQEKLDNARLLLRELNIYDLRFVNGK DKDGWVVKHDEVYNRHIYCHDAYPGVRFTYPVALPGQDIADLPDMNDVLLFHAHVVPI VPCDMEKTASKMKLEDQFMQLGINDESRSANYRPAWQRIVYHQGYRAGFLILNDEDLP AVDDGYEYHLAAITRGSLPHVPPPPGGWDSYWNIEPRIIQDFIFDEEWNRGPSTVIVP REEAEPYSRPRNEDGDPHWDHGRFNGVGVFDVYEVLLLMTRNGISRRIGAGKINYCAF GAACPEDMFVKLF PFICI_10119 MTLSCFDPLTTDAVDLQLMLESNKITSVQIIEQCLQQIERHEAV LNALISVAPRETLLHIAAALDDERRAGHIRSPLHGIPIVLKDCFITASELGMTTTAGS VVFAGATASKNGVIVQKLIDAGLIIIAKGNMTEFAGMKTIKMMPGWSSYGGQTISPYT GAIKPNERLLGHSAPGGSSTGSAVAVAAGYSPLAMAGETIGSIVTPTVRTALFALKPT HGVQDAAGMYRMAEFFDTPGPMGKCAADVISLSEILLGRSLQSARDRNLKDLSIGFVD PNKWKMAEAMCEQFEGTEAQMIRVYEAAVARIEQNGGAVKYPIEIAELSALEVDGKDA IMPIAYSDFKNLGIPAFIEGFDDCPVSSLQDIVDFNLRNKSTAMPEPYPEQDQLIQAL NTTEGGEDLARLKKKFRELGKSIINEVLDREKVHVIVTPGDSPLCIHAAVAGYPHVTV PLGVLDYNGRPFGLCVIGKEHEEELLLHFMAAYESISPPRAVPLRIETSRAI PFICI_10120 MHHSALASALLAGTVAAWLPHDRDLQAFNQTARFEHLGKRFEPS LQEGINKIRGVNFGGWLICERWMMTNEWDNVMGCSGTESEFDCMQKNYPGDKRDAGNQ RFETHWKTWINADSVQSAHDVGLNTIRIPIGYWSYTDIVDKDSEPFADGDKMLPYLDA VVQRAADLGMYVIMDLHGAPGGQQEDVFTGQNNAPAGFYNDYDFERAEEWVSWMTKRI HSNPAYSTVGMIEVLNEPVSMHDKDNRYPAPGQVPGLVQKYYPGALKAVRDVEALLNV PEDKKLHVQFMSSKWDSGNPRDNSDVASDKSTAYDDHNYIGFALGDNNGDQSALMRSA CTDDRVVDGQDFAITGEWSMTSDVDPDDADFFKKFFTAQQQLYEKPGMSGWVYWTWKT ELNDPRWTYSDATARKLVPTDAAGLEKNVYQDFPTAYDGIIAAAPALYWAGLAVGCSW YFFYMDLTEQLPRGCELNYLTSQAIGVCDGLDDGPTGRETLAFWYAYYVQRDPYSNIT TLTHSQYVDSFRTLLKVFAASMEAAEPGLFDFANAGGKMITFHGLADPSITPGSTLHY YQRVNATVGNVTDFYRYYRVPGLGHCWGGNGGQPVALFDQLRLWVENGTAPIVSPVEI AWTSNSTRQEIICPYPQRAIYQQNCSVQETESYGCWFVNKDC PFICI_10121 MAASESSTPETPVEDVKLRDIATKQPPTLDPNHHNASGGATWAS HGKSGLSFLGSGTLLDKDGAPQLEYVTETNLPTMLEKQNSPENSIIIVRGLLDTQKPS WPSAESEYSEWLAEQEGREASNILFFNYDASTILSGRHTRTAIRSVALELLHKLQAER LKNTTSSPIMFVAHDIGGTIVKDALTVAALDPKSFGDVFDFTRVLLFYHCPHRSIDHL DMEERLCQFLYSGNNRHELPMLARSTFHLAKAVVEVNNTFLESKQIFVSYVLSVYHSK SSSYNSFDDFAGTMGVPFEFRIERLPSQDLGGAKIKKALFGIQLELGVNESRIKNMRA LISAASPLQPLKTALDTTNTSSWITENQTYQSWRQQKNPSMLYLHGDTDIRVVSEHIF YSLDHLRHENNGHNVLYFSFDRFDARRDTVQNLLATFLAQITGHFQRFAFHLDTEWLE SREIERNWNYKEVLWAFDNYLGDESIQDITFVVNYLDECDPVSRKIFLDFFFDICRYQ NYQWKCLVTSRIPGALSSEISSIDGGIIDLKHIETPIDEIQQVSANLEDELRRSLLAN NPELHSYKSHIDLEFYSISNLKPGTRRLIIRHMSAAEDWSTRKTIHDTFGNVESLTLR LVLETILSNTSDHKLVGEVLWWILCSARPPTIGELEDALGFFAQPNAQDFTAQSKLLL VNEVLESLAGVVSCENNEIQIEQLEIRNLLTTEVNEGAAFGFSSDNGKKAHEFISRTL LKYLRSDVAGERLMALNQDSKHRGTRVAVSCDRTNLCSYSVKFLLYHLGKAIEDPGCE IRQDLDLFVKSANMQPWLISFWAMEHPVTRSQEPYTTIYPILAGAGLLEQADSLVDIE KDTGAGMIEACLHGHFDATLELLSRNPHSTKSLKALLTVAGSTENESGWLRIIEYIKI NHSDFPWQSQEALVRRAAEIGLSSVLRALIIAKCPVEGKLPLAKFYRTPLMRAARHNQ ADIVTILLEHGANPNFQEHAGWTALHFAALRGSSDVIELLYRGKADLESKNHDFQTPL HLACLWGHFRATKILLSLGANPNTSTSNDPDRSGWTPLTGAIDNSNTDCARLLIESNA DLGMTSIAGSALAIAIRTHQYRRLDILRLLIEHKADVNEVASVDNPPLIQASWLERPE NLDVVDLLLRNGADVNRRSLQGARPIEIAVTESDTALVRRFLEEKDVELEPVLGSTWT PLSLARGNAEIIQLLLEAGANPNAAPENEELPIMSAVRDNSVEAARLLIDHGAVIDPP EIFDDKWEPLEWAATFGKADMIRTLCDSGADKDRQWRNGTTLVHKATVEAGLGALLEF RPNVNIQDDWGDTPLHEINSSTSLENVKLLVRAGADINVANKNNITPLAKAIYVGHKA AVQFFLSKKPKVNMASPDWGGPLHVACYYGKVEIAEDLIKAGADVDLAVPRMAGTPLM SVFTGPGEQSNQHDDKLKTCLIDLLLESGADIAATANMFGSIVGAAAFGGSVAMLNLV LSRGGQAGVVDVMGRLPLHYAALRGDLDRVDLLLDAGEDPTSVDCIGRNALFWAIQGG SLEVIDRILEKVDKKCLDQQDKDGWTPLCWAARGCGATEKIRQVDEETQYKVLEMLLV DHARLRVVTTLPDRQWTPLDIAKYHGRPENVLDLLIPKIPDALDALDNQSEVLDLPVS RVLNSWRTWCDYCFHHISGIQYACSLCKDFHLCYKCYNSRGILHDKDHEFTANGPEYT TKTPSEHSSASSEIVSESISASSASEPNDTISIHDDNDEDDDNDNDDDEE PFICI_10122 MASQEIDEEASDYENGFELGLFETGDEGSSFRTRNDPSSPYQRT NITERRGAIDIRCVAKDVIHGYMKDGGDYATLLIYDFQFDPRKRGGRISSVDMEFLYF STGDKQPEVIDIAPKGRMMLARTTQSDSITHGVDTSAGADVLGAKFDATWKWEKTINR ESTDATRIIGSINLKNRTYGASNAASWTILENESVKTGVPAHLTTAILLRRHSEEDDF QCTFKIKSTVDLASKIRRLFGSTPPDDPILYDPTLTPTNRLRHYDLDNLGDINLQDIG LVEFENS PFICI_10123 MSVSRVDEVSVLLGAQNRTDQSPDDRREEDDSSSGSESDSEYGA EDEGDEEDPRVLQETKVQEIFEKIKASNDQEIVVDGLDLYKKQDRDKFMEMNKAYLDK EITQKKRNLLHLFADEMSEQKFATKVKRLKKLIMDLTRLSANLLAKKDAHGNTPVHSA LHWGNARLVRYMCEAHKDADSILRIPVAKSGKESGNCLHLAIKNGDQFKDERTGLLDL LIKNSKKETLCAADEEGFTPLHLAVAAPKCDDAQLATVKALVDQCDEALDIMCKYGGK DLVSPYRYHLLTQEVLNKQRTESEKTSTSKEGSEIKGGGPSQSTQKDVKKSDAPAPKS KPQPTLQETRNHVPSRSIQADQMAAPTSNIPLARQPTLELGTKVQGVKNGVTPLTPDT DKKKTPKRRRQFAKAEPTTASISAIGQYLKEYCLRTRRHDKAVELLYGLRQDKQIYFD LFDLNGASHNWTRTGFVEGLRHLVFEDVLQYVALPQLNVEEEIPMPNRKTGQRPPKPD GNGRVDLKTLFTWLKDIKKVKAIFKVIVDDLNEPAHRDEAIESCFEGIKGIETWDWRK FDISPEVIQKVAPHVKVVHLYWSGNNATLRAWSEERGIRRLEKLEVVHLHGKQGLESK SRMKRSIKEFKSDVETNTQIRVEDKEIQSEAAKNAGSDTDAVKNVHERHKWVTTMEEF AEFLQNAEANADPRLELLRPVKVALIDDGVDINDPTIRTKVFDGRSFCHRDEEENLNQ PYYVSGGGHGTAMASYICKICPNVQLYVLRLEEKASIEPGRRNITADSAEKAVTAAIG EGVDIISMSWTINRTSDNHGSIEKLEKAIAKAAQKNILMFCAATDQGPVKDTSYPAAT ALTKNIFKIGAAEASGQAMTWLGDPNLVDFIFPGHQVIKERSDDPSVRIYTALAGSSV STALASGLAAVMLYCVQLSAMASSQGRSTPDLSRYMSLKNHQSMKKAFLQIGTTPESK NKFITVWERFENPVRGADERPSRPKIDFVVDLANALTWNASSR PFICI_10124 MPSRTEGLVLTVDPHVLHKVDTENPQNFFSIWALFSRCADSVEQ GRRLENLSWRLWNREIQCCEAGQTKDQVDSHATSVPKDIPSYQIATEMPQLSGSVDSA IEDDEEAVEFSSELEPVDILRPAIVRQDSCASSQSRGRERHITSDHLERMVVSIIQER EPNLNPLPEMSSPSLEPESEASGSAFSSATQDAMESPTTPATEPMGHSMEQGQYTDSS ELASSANTHNTQVIRGFSPSQIPIPSLRIMSQEFSKASDVDAIPEPLSSPAHKPVQLR KKPAKFALGGSSGDESSPSLETRQPMIQQPKKKSMFSIGESSASNDETPSLKSAMHSA RPNSLLSAQKKQTSFSNQVTTRTIQTPSDQSDSYMDESAIDDDDEDSDWEDSIEESGK SSMDDPVTFKRVPSKPNLTSQRSLISLMFAGQEQQRPGLGNHASQSTSAIPQQRSRTY HNAPQMVASPNDSDDHGLEMKRGLRRTPLRPINEIPRSSAQPILAAGHSTHQAALSPR TTRRNMLATELTESLRRHLLWERQHKSSTANAVLKRRHTSHDVANLKQYPEKSYMGKD QGQTANDEPNASSWNQYLERADLNGYHAQGW PFICI_10125 MKLSVCFPLLWPCLVLGMGIRQVSNDRPVLEAINGKKARSPQLT PDPEITLTYDNNGETYATASLVMNQPAVVLGDVEGISSVHCDGAGIHITFDSPGTFNY GRSWAEHSSVILITNHHGNCDANGEPGFYVTSNIEQNEVTRVLTASVDKKKLVDVASS IQASFSGHQLDQQANMSFSNPSIWDISYMNAAGQVFSDNPSLIGLTEQGYFYTSLSYS GYLDYELGSATGPRSLYLDVALTSNHGLPLVLNATMPYKGRASVQVGYLEAGAWGIPG ILDVRPALTYEAGAEVDAPAAMVAQTNLTTVLSDGRARIDLVTPDQTASSGMWDGNYG SGVTIEPSGPVRVAPFLSLTAAFAVNVTGLGEHFYQAIRGSSRSAYDFQLVGGGAGDV CSRSSYGYTIEAYNLDGWHRTLLDRQDPYTNGCL PFICI_10126 MFSQKKPYSAVTVAIERLTSEQFEEDDFSGIPDLVEVIKLQASG PAEAARAIRKKLKYGNVHRQLRALTLLDGLIQNAGPRFQRAFVDEPLLERLRVCGTSD LSDTEVRKKCSELFRSWAAEYKSTPGLERIAALYKELPRRKQVVTQDKSKVLKETENP FHDSEDEAEAAPKSQSPPPAASSSQQVPVTYPQPTQTVQSFSHTKSSSRSGSSFFGSS KDKDKKKDKHKKKSRPFNLEAEKASMKVAVAEASIASTNLMNSLQSINREKERISENA AAVQRFEACKKLRRKIVRYIYHVEDEQWLGGLLHANDELILALMTFEQLDRSIDADSD SEDELAEQAHLYRMATEKGKQAMSPTESANPGSPVPEMDNLSINPTLPPRPAAPPRPS AASKSAFTPAPQAAVDAEESEESEDENDPFADRNAL PFICI_10127 MPFKRSRGAFKITSPLPLDTEQPNAGQKEASNPDASEFLSSEAR AKRPWPFGDPQGSVKQPMHSRPQPRSDHNCNTSHRAVSNNQSEDTLVLSKHVPHLTTM DCLPSQPTLSSPVHNSDLMQSTIVTGDNMWTLAHTSDPSHQGKHTNDLDFGSTCWPEY ASSHTLSTHTLDHGFSASAPRPDDEYGCNEILEKDLLHMVDMLDSQNHGQMVPSSVLE AWDHDSRSAEDYDPNLQHSPQPASEAKCSQQAAETSTPLQETEDLLDEDVDWDAVYSM TKDMVKDPSLVISGKKCPSKLPVDHTLRSVKPLGDLQVENSASIPFARSLFPQRVGNE SVIPTASGKTFLRTFFRIDQLIRAAGENAVFEFYARVMYSARETMAQRQHFQFADILK DQHPYPSGTLTGWRTGSSLDRQSYVFISSREIKLCRCIGTMRRDQKTSCGWAIEIMRI HEVSWEEIHIAESTICGTSGQAENGKPNGAENL PFICI_10128 MNDADLGDNIDDYTQDVDGQRSKGEAERQSVIAADPAVHLDPSR WWFASSAFPMIAGTLGPVASAFSICALVKQWRMHIIPGSDISRAEFVADPPWLIGVNA AQLALAIVANVFLLLNMTRRVRFSIAQPITIVGWYISAICLLALACTAAGPLIEQPEI EYVWSQAFYYGIFAAVLYFVVATLMSITVWGAQVGHYEKDFCLTTSQRTLMLQTIMFL MYLLCGALVFSHIENWQYLDAVYWADVTLFTVGYGDYSPMTTLGRGLLIPYALIGVIS LGLVIGSIRSLILDRGKRRLDARMLENKRRQYLRHLRRRGKDGILHPIEDNPGDSNLE LDVSQSPLTELERREKEFHLMRKIQKRASTKRRWMALAVSASTWFVLWTVGAKIFQEA EAPYQGWSYFDAFYFAFTGLTTIGYGDLSPISPCGKAFFVFWSLLALPTMTVLISNAG DTVVKWIRDGTLKLGNLTILPGEHGFKKEAKLALGRLSFGTLYADIDIEEEPPGFFGA ARDRDEDEDTDMEDGSVAPRPHRRDRSSSNEKSGDVEAQNSSKPAQGPTKCDTNDTTN TGKPTRSIKLSDPLARPDRSNRGLSSDRKAKSRDRSRPGSAHSSRRSADFGLPRRTDT TERKDLPLELPKSRAEYHLVLIDEISRVTRHLQHTPPRKYSFKEWAWYLRLVGEDESS AGTHRKPARKPSTTDAVNLSRVAMHKATRTTTNNSSSAGDPKGEPSGNTDSTDPADDS LIKWSWVGHRSPLMDSKEEAEWILDRLERKLKAELAAVVDEQLGEEGTRDAKLQEAQH DEENSPRHEPVDLSG PFICI_10129 MSSGLHNIGKVSSSDPLLIYLPPYWDALTETAPQVPSFLRRYPT AVINYRWYGFHPFEEVEPPKAEVQNSGDPEAPTLHNWPFPVHDTLNAYSWIVEHLAPS DSTRRDVYVYGSYLGASLATSLALTESHPHQRMAVRGCVAFNGIYNWTMFLPDHQINK KSGARAANVLEEILGQPTDPSFQDLKQHISSLFGEPSHLFDPFVSACLFFQTAGLLVP QDFDVSADPVATMLGSYAPAASEEAQEAIKSLLLVMADKPPRKSALAFPPRKSTLKLP DTLLLHTALPPLPAAFQRRRRKPVATNHFKTQADELAALMRRSLEKIELRDRSKWDHD LDEYLEVDRRVQLFNVGKDERPFEIPNYGEAVARDWLEDRMSR PFICI_10130 MASQFPTKKVGVLGATGSVGQRFILLLQNHPHFVLHAVGASSRS AGKKYKDAVRWKQASPMGKVADLVVKECKASEFLECDIVFSGLDSDVAGEVEMEFMKA NLAVFSNAKNYRRDPLVPLVVPTVNLPHLDLIPHQRKHHSLEKGFLVCNSNCAVIGLV IPFAALQAQFGEVDTVSVVTMQAVSGAGYPGVSSMDIIDNVVPFISGEEDKLETEARK ILGGIRDDKTAFVEQEGLRVSAACNRVPVLDGHTACVSLRFKSRPPPKVEDVKAALRN YVSEAQKLGCPSAPEPSIMVFDDADRPQPRLDRDLGRGYTVSVGRVREDESRIFDIKF VALSHNTVIGAAGSSILNAEAAVLKGFV PFICI_10131 MSRQQFVIELSEEVAPLSREEWPASPPPPPPPPPPPPPAAVAAV AAAAAAATTTTTTTTTTTTTTPPRPSKTSTTDTYIIDMPRRLWDGRAAVLEAVLLAWV ALPHVRIRGRAKAWTNGLQKLEEMRLDAEDDRPVWSSPYLARHQAWQLMY PFICI_10132 MKLSLVSLFTVASMASLAFALPQACPGCKSPVTADTIVERTPEP VAEPVVALPEIEVRSKQACPGC PFICI_10133 MWVSWHTTLTTAVLISARCRPVIAAYDLDITSSDSIKSVSKQMA ADLIAFYTGDEPGQTPGLLPQPYYWWEAGAFLGSLVDYWYYTGDTTYNQLTTDGLLFQ VGPYDDYMPPNQTLTEGNDDQGFWGMAVMSAAEYKFPDPPEDKPQWLALAQAVFNTQA ARWDTQYCEGGLRWQIFQWNNGYNYKNSISQGCFFNLAARLALYTGNSSYADWAVKTW DWMVQVEFLDQDYRVFDGAHIETNCTQIIPYQFSYNAGSFLLGAAAMYAFADEKGLSS DRDMWHERVDGLLNGTDVFFFSDENGSPPVMIEIACEGVELCNLDEQSFKAYLSRWMA ATTKWAPWTYDRIKSLLASSAEAAAKQCTGGDNGRMCGLKWANNSGAWDGTTGVGPQM AAMEVVLANMIQEAESPVTNATGGTSAGDPSSGGSDIGRTDPLGSIVFAPVTAGDKAG AVLCTIIIGSGVLTAIVFMLTDEKKSTTENWDNLKSSLAKGASAGVLVKADKRTATDE KGKSIARSDSDTSGGIAPIMTAACRPRPEQYPARPTRPPRYTQRPRPPIVVPETPGSL SHREPLSPDIARRKRQSLKKKRRPII PFICI_10134 MRENINKVSQRGERLDALQDKTDNLAVSAQGFRRGANRVRKQMW WKDMKMRMCLIVGIIILILVIVIPAVVATRK PFICI_10135 MLSRVAVRAAVRAGARRVTPRAARLVPAANGARVQLAPYTSGRG NDSRFIRSAVIKVLNSIGTKREVQQYLSHFSSVSSQKFAVIKVGGAILTEHLDEFCSS LAFLYHVGLFPIIVHGAGPQLNRLLEEAGVEPQFEEGIRITDGKTLGVARKLFLQENL KLVQKLEELGVRARPITSSVFTADYLDKEKWKFVGKITDVNSEPIESAISNGYLPILT SMAETPEGQVLNVNADVAAGELARAVEPLKVVYLSEKGGLFDGEGQKISAINLDEEFD SIMSQKWCKFGTRLKIKEIKDLLDGLPRTSSVAIIHPADLQKELFTDSGAGTLIRRGA KLLSATSVDEFKDLDQLKEVLVRDRDVTDSRATVDRYLDFLKERPFKAFFDDGMKTLA VVLKPDTNKSYSTLATLTITKSGWLTNVADNIFAAVKKEYPSLVWTVKADDENLTWFF EKADGSLLRGNDVLFWYGIEEGQDLTDLMKDFTLHGRSMLGESNLEARLHRAAQIASQ NLKSHAASGSIANQARGFSTSARRAVMSASSGFGRSRGYATTTNPNPPYGKKHASNDV PSRVALIGARGYTGQSLIALLNKHPNFILKHVSSRELAGQKLQGYDRKEVTYENLSVE EVGQMEERGDIDVWVMALPNGVCKPYVDAIDQARAKIGQREDNSVVIDLSADYRFDNS WTYGLPELIKRSDIAQATRISNPGCYATGAQLGIAPLVEFLGGSPSVFGVSGYSGAGT KPSPKNDVELLEHNLIPYSLTDHIHEKEISSQLGTPVAFTPHVARWFQGIHHTINIPL KQTMTSREIRQIYQDRYAGEKLVKVIGEAPMVKGISQKHHVEIGGFAVHSSGKRVVIC ATIDNLLKGAATQCLQNMNLARGYAEFEGIPLPE PFICI_10136 MSRHQAYRNYDYENDLDEFDGGEDDYEGEEEEGLSAEDKEQMEA CTAEIRSILGPQASKVTTAQIQEALWHYYYDVDKSVTYLITKFIDPPAPKAAKSAPVK TKDPDVMAPRRSNRSSFADFFHDMPWLNTPQDRQASLIEPLRPRGGLLGGSGAQPKMS KLQLLAAERKRKAEEQKAKSAGGPKGEVSQTTQNLSKLSLGSKTRGAPASASTATVSP PAEAAITTATPTIPLKRKTSDLVQLDGPPAKASSPRSEDVQMEEATPVEPAEPSAFAQ TLLGSVGSASPAHAPPRTYALPYMAFISSSSVLDAFSGPSPDDVVLAAQAKASNKKLA NTTKKKGGDDKVDQTADGIKAIKLDDAPLPKSKNLNVLSEYEKSKSKKSASFVVVGHV DAGKSTLMGRLLLDLNVVDQRTIERYRKEAEQMGKSSFALAWVLDQRTEERSRGVTID IATNRFETETTSFTILDAPGHRDFIPNMIAGASQADFAILVVDASTGSFESGLKGQTR EHSLLIRSMGVSRVIVAVNKLDTVGWSQERFDEITHQVSGFMSTTGFQLKNISFVPVS GLNGDNLVNKSTDPASGWYTGDTLIQELEKSEPLTRALDKPLRLTISEVFRTAQSPLT ISGRIDAGSLQAGDALLVQPSGEKAYVKSLELDSEPVDWAVAGQNVVIHLTNIDPIHV RVGDIVCDPKSPVNSIDTFTIKALAFDILMPMQVDVHRGRMWAPGQIVEMPAILDKVK GTVLKKKPKIVKPASVARVVVKMSNKVPLEAGQRVVLRSNGETIAAGLLE PFICI_10137 MAAYGGASSMINNYNDTRGPIDRDARQMGTRSLPARPGSTTIRP GGRFAPPIQNPEEPEPWDQISDEHRSEIQDCFHLFDMNKDDQLDFSEFRYALQSLGLG QVSRPELITRFQERARPRADWTPLPTIPNQPPPSQTPVVADLRLSKEGFQAIAAEYLA QRDPREELLRTFAMFDKGGKGIITIDDLRIVVKELGEDVPENEMQSMIEQFDIEDKGG VNREEFLGIFLAG PFICI_10138 MSFGGGFGGFGSNTNTSTGFGGFGSNNTTNAAPTGGFGSTNNTF GAASNNTSGGLFGGGSNTTGGFGSTSGGFGSNTTGGFGSTANKPAFGSTTSTGGGLFG SSNTGTGTTGTGFGGFGSTNNTSTTNTFGSGSTGGGLFGGTQNKPAFGASTTGTTGGG LFGGGGTTTSFGSGNAFNAPASTALGAPVGDAPGTATTAFSPTLEKESAASLAQNSFQ SILFQEPYKKWSAEELRLADYAQNRRFGSGSGPSFGGGFGGFGSNTNTATTTTNTFGS GSNTGGGLFGSNTGSTGFGANTNTASTGGFGANTSGGLFGAKPAAATGGGLFGSTGAS ATPASGGLFGSSGTTGFGTNTNTAPANNAFGTNTSTTGGGLFGNANQQQNKPAGFSFG NNAAPATGGGAFGNTQQQSTGFGATNTGGGGLFGNNQQSTANAGGGLFGNNTNTQQPS AGGFGASGGFGTQNTQSTGGGLFGGQTQQKPAGGLFGNTPTNTGGGLFGNTGNTGSTG FGTNNQQSGGMFGNKPAGTATGGIFGNSTNAQNTNAGGGLFGGIGNNAQQQPQPSGGL FGGLNNSTQNKPAGGLFGAPNQSAGGGLFGGQNNAQQGGGLFGNSTNQQQQNSLGNSL FGNSMNNQQTPQTLTASVNDPSAYGTGSLFGNLAAPTNDPGPLATPLSAKKQTKRPSI LPMYKLNPASASRLTTPQKRGFGFSYSTYGTPNSPSSAASTPGSMSQSLLGGGSLGRS FSKSISSSNLRRSYNVEDSLLAPGAFSASVGPRYGGGNNVKKLVINRELRSDLFSTPT RTPENATSGSRKLNKRVSFEESHETPKAIEAAASNGSPTAEELGYIRPQTNGTNGTKT PEVEPAKSRDLAIVHEEEVTSPSTTAASAGGFEKELGDYWMEPSKEEILAMNRVQRQK VSNFRVGRTGSGWVMFKVPVDLTQIDIDNLYDNIVVLDIRSCTVYPNAAKKPQVGKGL NVPSIINLENSWPRATKSRSKAPTSMKKHIERLKRIENTHFENYNEETGEWTFSVDHF TRYGLDYDEDEDTEADRSTEAPAYPRHESASPSPSANPDFDQDELYDIRPDNRPLPGA FDATERHRSKRGVDEMAEISASRPSFLGSRSVGSTSRALVPVAQEGLDEEYAMSEDQD ASSDLGQHHAAEQDDDSFAASQMEFVPETPAGIMRARMRAIKGSATPAKITVTAGDDW MDMLQKTVSPQKRDRARLRENQEAELYRVQDNVQRQPSPKKRAVSDGRGFATSIDLMK SIFEQAKTPVEKPVPAVHAGTVKWPYKRMTKTLDENDLDQKDQIWHDTLRPTWGPNGT LVFAANPQETPFGRSGRITEKNGLMTVTKNNFNFESQDIRIARFSNEMSAKAIRAHAD LSQIYLDNGVPTVQGPRVSLKDFCTDTGAKNTAAEHEKLVWELASTLFDNIKIPSEYS DQPDAGERLRREALSRFWETMVEVESNKAISMAGSSEEKALAALAGHRIADACKHLLD GKNFRLATLISLIGTGDEIKRDMQEQIKDWQSSKALSEFSEPIRALYEMLSGNVCICE GTKGGVSEDRVESFVISEKFGLNWKQAFGLRLWYACSSEDAIADAVEKYKEDFQQDKE QRPLTWFVEAGIRSIWNDPREGDREDLLWGLLELYSNPDADLESVLRPENSQLSPLDY RLTWQLGQALTATGKVSFGSDATEKTDAATVSFASQLTNEGSWLEAAFVILHLTDADT RSKALQEHLCRHAGLIGDEQSESFKRLTEKLKMPPRWIWHAKALHMRSVKKDAAGEVQ CLLRAESFAEAHQVFIKEVAPYAVIERDYDALADLLRQFDGRQSQVPDWNLGGEVYKA FLQLVGFQRRHEQAPLALISGLLEGLPAMHGNTPEAGIMEYAALTDMAEVVAKVVSDL AKNGKMDHQRILKLPLTEDVLLRHSRDLAWSHYHAVVAGQ PFICI_10139 MGLATNWDSAVVTKDEQGRPFIVVRDQGKKKRQYGNEAVKSHIL AARTVANLVKTSLGPRGLDKILISPDGDITVTNDGATILQQMEISNHVAKLLVELSKS QDDEIGDGTTGVVVLAGALLEQAAELIDKGIHPIRIADGYDQACDIAVQELDRIADVI EFSPTNTENLLKVAHTSLGSKIVSKAHDQFANMAVDAVLSVADLERKDVDFELIKVDG KVGGALEDSILVKGVIVDKDFSHPQMPSEVRDAKIAILTCAFEPPKPKTKHKLEISSV EEYRKLQSYEHDKFVEMIQQIKDAGANLAICQWGFDDEANHLLLQNDLPAVRWVGGPE IELIAIATNGRIVPRFEDLKSDKLGSAGIVREMTFGTTREKMLVIEECANTRAVTAFI RGSNKMIIDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSLAVEDAATKTPGLEQ YAMRAFAEALDAVPMALAENSGMNPIATLAEVKSQQVKAGEARGKLGVDCMNKGSNDM KQAFVIDPLIGKKQQLMLATQLCRMVLKVNNVIVSGSGEEDY PFICI_10140 MRLQHLIAVAGISGLAAGAVVPSGLGIRSDPEFVYEQAYRIRIA KNGEFPDFTDRDYDRILPGLPDSSSQLSTWWFGYQSAGTLFTNIAVAQLWPSAVYYEQ VYHSEFSSTWKAYTDHEKFFRLSFKAQGLTEFDPDYPEEHNVFVNRDGDLALGAPAPT HPPEVWGPAPGTYLVCRREFQHREVLAIRFAYSVLNETENIPHGCVPVKLESRCAQLE PLVFNKTWNHDEIITTPCVKDAFSWGKKAVRGPSPVALDYNKPAAMARELHRGSKEN PFICI_10141 MMKQSSVPMVHTRYVPVQEHGSFEPQQSFEPNRRPILGWIWEIL SLLACFGCLAAVVVILVMMKDQPLSKWTFIMSINATVALFITASKAAAMFSVGACLAQ SKWFHLAKSPKKLRDLDLFEEASRGPMGALGLLYLTGFKIGFAVAIGALATLLALGVD TFGQLVVDVSGTRPVEVNDGKASFGYTHMYDSGVLTQATNGGLTVETSTIDTSMQGAI YKALYNVSTQPVFNCPSQCVWPDSYISLGFAADCADVTAETQATRVEIDENGPIWYNM TTPGNISIHVGYSQTSFLTLAQVEALDLLKAVEKGMPYTEPTSISSEFVRVALVNATI DNVNSADVGIYPDGWNVYECSIRVAAYNYSDISTSGNDFIGVPIAIPLTSGTILGTEL TFNKSGLPSLTVQGRDLKAINNLFTSDRFSGTTYSGESYPDDDTGMSAVLRKADLSKL FDGLATSMTDQLRSGFNLTAEGLTVQTETYVRINWQWMSLPFVVLAAALVLLLSTIVR SFDRRPLWKSSVTAFLYHDVTFNGGPAAVLQSKVQSVKELEKLTKRTKGAVSSY PFICI_10142 MSAMIRPARLLSNGSVITMTWQVGDDGRAWGSDVRRDVFIVVDE QALESLLTDDPDTAWATVFDAQYSSHDGRPEYPGWMRCRAWALVELWKDLDAVDEGMS ELCPPRHHSGQILLYGGGPGDTLLEIESPLRDGMMHYPRGTCRGVMEGTQRGAIGATM PGSNGEHPETVSR PFICI_10143 MLLTSIIAALVAGCAMAWPASQVNQPRQSSTFTNPIVYEDLPDL DVFRVGDVYYYSTSTFAYSPGAPLYKSYDLVNWAPVTHSVPTLDFGDKYNLPSATNRA YVKGIWASSVRYRASTDTFYWVGCIEFSKTYIYTSSGSNAGANGGEADSWNWQQAAVI DTCYYDCGLFIDDDDQMYVVYGNTQISVAKLSSDGLSQVSTQQVLSSGSTTIEGSHMY KINGYYWIVPTQPATAEWMYRSTSIYGPYEQRVLVSSIPAPLANAGSPHQGGFVETQD GEWYYISFMDSYPAGRMPVMAPLSWDSNGWPYVVTNSSGGWAVEYDAPVVTSKTVPSL TGTDTFSFLGDEWEWNHNPDTSKWSIANGGGLVLQTATITDDLFTARNTLTHRIIGPV SEAVFEFDISAMSDGDRAGAAMFRDQSAYIGVHKSGSTATLVYVNGITLADRTWTTSS TGTVAATGPTVAQSTVYLKIRANVTPAFGLAPVRPATFSYSLDGQTWTQLGGDFLLVN TWTYFTGYRYAAFNFATKALGGAVTLKSFSMENV PFICI_10144 MATTMEPMAIIGFSFRLPQDAQDEARFWEVMQNRENLAGEWPET RLNVGSLHDDDSPKRPSTLAARGGHFYKEDIAGFDAPFFSITANEAMAMDPQQRWALE LAYLSFENAGLTMPKLKGSRMAIYASTISDDYSKILSKDPDDLPRMSATGLAPSTLPA RISWYFDLQGPSFHLDTACSGSMVSLDLAFQSFRNGETDCALIMGSSMFLTPETSMML GQMNFLSPDSRCYSFDHRANGYARGEGLIGLVVKPLSAAIRDGNTIRALVRSTGTNQD GRTPTLTQPSTEAQERLIRSVYKKANLDFTKTRYFEAHGTGTPTGDPIEMESIGKVFK SSRSKTEPLYVGTVKANIGHLEGGSGLAGILKSVMILERGIIPPNALFEKINPRIDPD ALHIAVPTESIDWPTNDLRRISVNSFGIGGTNGHAVLDDACHYLHDHQLQGHHNCFRD RETPFRNGVDAHIGSTQATQNGGTQSHDHVNGHVNGHDTTLNSVSASEPPKLLVWTAF DEATIRQVTERYSEYLKGYIGSDKNLLSNLAHTLSERRDHLRWRSFAVADSGSNVSDS DAFRVAKPTRALSGSYGINFLFTGQGAQYAGMGMGLMKYAVFKKTLQDFDDALARLGC PWSLFGSIDKLQDGQSIDQPEYSQPLCTALQIALVELLKTFGITPTAVVGHSSGEIAA AFTAGALSFDSACQVAYHRGRLACKLRTATSDAPGAMLSANISPDQVSEYLDKADQET VHVACVNSPLNITLSGSALTIDKLKQSLDREGIFAQKVNTGIAYHSPAMQAIAEEYAE VLESIRPPVGESSCTGVPMASSVTGHIIPANLLSTPRYWVDNLVSQVKFSDAIQTASE LLGAGRFGASNGGFLEIGPHAALRRPVNDTLRQSSSHVSDAENIIGYHSALYRSKPAV QSLMEMAGQLFSVGYPVIVSAVNQELESKPSMLTNCPSYPFNHSRKYWSESRLSKDFR LRGDSTWSVLGRRATDWNPLDPRWRNVLTLDSAPWLGDHVVNNNIVVPGTAMLVMSLQ AVHQLATPERPLAGFLIKSARFSSPIMLANADSKTETMVQVHPVRKSYEKEVRSFDVK IYAYSNERWTECFEARVMLQYATDLSNLDGDSEQMIDHERVKEQCQETAAACTRKITP RAFYTFLNEYIGLKYGKTFQLLEDIRWDGKNSAVASVGTSTTEPLMAGDYPQPTVLDA AIHLCLAQLSRGLTLTGATLVPHEISNAWISATGWKCSSVQLLSEAAYRSDSTSAEMA VKILDSEGTPLCSVGQLKLAAVSKSGNSQSQEKVHLYKIASDAQLSMLSPEKLQALCL APTAPEPQYLGYAKALGPALLFFANKAMSQLGPQDLVNAPPHMEKYLSSLKPLLAENK HEIMLTESDYFNVLEQYAGKTESWRLLPAVAQNLVPLIKGEVDVLELFFDDGMVEDYY ASIFKELLDDRLRRFLRLVSHEKPALRILEVGAGTGAMTRGILDTLHQFEIEEGSMRF AEYVYTDISPGFFDHAKTKFQQFEDRISFQKFDLEKSAQSQGLVAGSFDLIIAGSVIH AVSELNTTLQHLRGLLNDNGRLVLLEIVSPQNPCVAVPWGLLPGWWLAKEKWRSTNPL ITEVQWDHVLKEAGFTGTDLVLRDHEDPKHGICSIMISKADAQHSLSNGSTLQGTTQS SSTHLVVLFDEQSSLQAELAGKLVHSHGGRLLPFSKLGEAKIDSRDVVISLLEIDRPL LSIIQEEEFSGLKSVLTQAKDIMWATAVNPESPAYALYSCAKGFLRSLRSEAIEKHIV TLSIETSDPAANSAHYLEYIQESLRVSFEGERARDTEYIVRDGVFYTERLAQEIELEN RTQSSGQLQPRIEPWSGGSPVKLDIPVPGLLDTLRFVEDTEYVNDLSPEDVEIEAKAW PLSFRDIFIALGRLEGETPGFECAGVVTRVGTNVTDFRPGDRVALASPGCIKTYPRAH MDYVQHIPDDASFEDAASGVNPAMTAYHALINQARLEEGEKILIHSAAGSTGQMAIWI AKSVGAEIFVTVGSDEKKQLLIDRFGIAADHIFYSRNTTFAGGIMRATDNRGVDVVLN SLSGDGLIASWDCVAPYGRFIEIGKADISENTPLPMSGFKKNVSFCAIDLHHMALTNR ALVKKLFAKVTDLFSRNIMQKPYPRHVYTIWDIEEALRYMQSGKHIGRIIITADCEKS GTKLVRNSTSWTFDANASYVIAGGFGGLGRSMGLWLATRGAKNLVILSRSGTSSATSQ SLVEELKELGSNVYAPRCDVSSSTDLANVIEECGRNMPPIKGVLNAAMVLQDAIVENM THAQFRTAVQTKVQSSWNLHNLLPPNLDFFIMLSSLSGIYGSAAQSNYAAGCTYQDAL AKYRIARGQKAVSFDVGWMRTIGIIAEKEDYRRTREVTRDMVPIEEKDFLALLEVYCD PSRTLQSLDKAQLLIGARTPAEYKERGFASSRRYAMPLFMGFEQSFGSTSGAASGGQR DMALMDDFSVLFQKATSAEEKSAIVVKGLAGMLARALMIPADDISQTRSLSDYGVDSL MAVELRNWISNKFDTNIGVFDIMGGKPIHAIGDLVVSRSIMDISG PFICI_10145 MTAQEETPNDSNAPPQTVGGAKVPISDGSVLSSEPCRDSMPSDE TGQPAKEYLTGIRFWAVSIVIAVVLFLVNMEATVVATSLVAITDELGGFDIESWILSS YLLGYVGSIVLAAKLSDAFGRKTITLICIAIFTIFSGGCAAAASTTQIVILRAFQGLG GGGCFALATILLIELVPPHKYGQYVAYTGIAIAIGSVSGPLIGGAISAHTTWRWIFLI NVPVGVVIFAGAYLGIPNGFPFHHENPTSSEKQKVAGHALEKLDIPGASLLLLASITL TAAFEQAGSRFAWNSPLIIVLLVLSPILWILLVLWERRVSLAGKSREPVLPWEFLTDR VIVGMLLGFFLLGAPITVTVFQLPQRFQLVNGLSGFDAGVRILPFAGGITVGSSIGAK LASQFRLPAVYVVLFGSSLQIIGLALMTTLPSSLSVPATVYGYQVIAGFGCGVSYAVL YLMIPFTTGRRDRAVGMGTGNQFRMMGSAVALAIATTIFRTYTAPAFAKVAIDPSNES DLAVRIMALTDSAREELRESLAAGYIRQSLVTVVFAAVQIPAALLMWKKDQVLVV PFICI_10146 MVDFSPEELEYILNQPAQESPDGVYQFDNPPNSNAKGVIPASIC IAIGTLAALLRLYAKIAYLGIFINFILLSENPGLWVHTWDIRIKDLEPYIKLTYALVT CYSCCMILMKAAVLLEWNHIFVPTGGRNWFFWTSYTVMFMNAGLYIAGIVATWKTCTP MEKEYRPWVDGTCIDRKKMDETIIVFNLVFDILILLLPQKVIWGLQTRNKNKVGIALV FSVGLIACACAAGRVHATFTLNYDDDATYYSPIAELWAIAEGTCVLLIFCIPNAPRVF KTDDHLITKIAHSVRSWSRLPWASRSGSQSSLPRSVRKPSQEGSQGDGQGAADSIPLT DYDGSHTNVNGHFNPAEQTTFGALPSHPESHTAANPYHPWDQNAPRQT PFICI_10147 MSRQVDIFLQLLSQNCRDSKPANMTDMCQRLGFDVIGHLALGCD LKMQTEEKNRVMIRLLTVAKMRVNAFMHFPALQSFDFILKALPSKDAVEFKRIVTEII KNRVAEDDRGQHDLYALMADHIKPGGLYDGILWSEAIFFMTAGGTPPAATMSAVFFYL SRYPQCYEKLAREIRTTFASGRDIQGSAKLSACKYLRACIDEALRMAPPSLATLWREQ AADDPQRDAGPVIIDGVVIPPGTQVGVNLYALHHNEDYFPNPFTFNPDRWLDDTDEKS DTHRAFAPFIIGPRSCAGKAMAYLEISLVIAKTIWYFEFEKAPGPLGDVGQGKNETGA GISQPKEYELFDMFNANHNGPYLVFKRRTDCPDELEN PFICI_10148 MTRDTAGSARGCTSDGLEAEILAALWKEVPFPRLPSDAPPELKD FVIAIENPKRLYTIQRAIRRHDLQLLIEKFVVQLRHGCGLDDCRTASCFSCRRRLAGK APVRRYNPTSARTLAVHLASQDNPEQYLCPNLDSRSCNDATRSLIFAPKLHSTGPKVG DGRKAKRANGRGRSLSPDSRSRIRRHLPPGLEATTHQDARHPNESPAPTSRIRNQQDS NGSRYGDTEAGNALSATNNPDLTISINERPVRKDYRSFAANVFGSVAFKMLEWLAPNN LQTISENSAAALEDRWPNASTEPSTADDSDDELFVPSASSNDPNDSNTSVERDPDGEN VHESETIVAHAKLDQDSPSVATRPRATSLSGNSNSSARPVHPRTHSHPRLRPSPVKHR PLAAEDIPEAAIDYALGGSESPLSPRSPGRKHPQSSAKASSVAAQPRSNDRLNDVAHG AQTPSLSEIDAYNDLRGPLLYSKASQKATSNNGDVVPSANGYSTSRESSADTEDLDAR PDADFLPQSISRLNKDITNLFCDVLEQDSSVEEHMLVGSVGSRPVLKRRSPTHKSYPR LLKLEWKLFIEQSVYNVLSDPNAVLESFTTPGGLMESQTLFYHMLRLTRATPSLVFDS LWQASESLFAPPSALRSAEPQLSGLAKTVTSLSNKEAGFLISICLHALVAAAPLVSNA TCLFDMSRIRSRGLTLSEYGFVVRHGYSLCLQYEDAFTNDMALRLARRVLAAIPTRRY FDELVKFDMDPEDAMHSTDVLDIVLSHLEPGREMHPSGDFSLEEREVHEKRMPILLLD WARTIMLNEWQGRPDVPGDGPFGGALALIAAIHQKRKSLLLGDAIFRIEYFSDRLDSI EMPVTWLEYDSTRRAAHLLDYPYIFPNSSLVSYFRAINFSRMSRSYEESSSLQTRISS IIAMDSLVTDGHQKGVLQDMLKVASSKFLILDIGRKTILRDAFDQLWRRQERELLRPL KVHLGEDAGEEGFDSGGVQQEFFRLALAEALNPDYGGFTVDDRTRMTWFQPGSLQPEW KFELIGLLVSIAVFNGLTLPITFPKALYMKILGEPVTELHHISDGWPDLANGLTSLLE WDEADGTVDDIFARTYEFSVNMLEQPVSREMDSSKSITWPQFSGASVATLASENPEDA PLVTADNREAYVSDYIRYLTDVSVAPQYEAFARGFRTCLLPKSLQLLTPQLLQSIVEG VQEIDINELRRAARYEGWDATHRTIRDFWSIVKRYDENKKRKLLEFVTASDRVPVGGM RNIKFVIQKNGAAEGKHGRLPSAYTCYGILLLPEYQDKETLRERLGFALENTQGFGLA PFICI_10149 MASIAGNRNAAAASGASAKVDSTTHQYTCNTCQVAFRLADTQKG HMKSDWHRYNLKRRVASLPPISSEVFTEKVLQARAATTAEADRAGFQQVCDVCQRTYF SENSYRNHIGSRQHKAKEAAMQRKADGSVAGDDAASTIVSSTFSLGEPLPKEEVDSDA EDEFSEVVEGLKKTNLHERPSPVKRPTNPPPSAEGQHSREHPVSENSSQPVSSSATPV PTLTLKSCLFCNYDSPTVALSVVHMERIHGMFIPEKQYLVDLEGLLKSLQERIQELNE CLYCGKMKNNAFAVQTHMRDKGHCKIPFTTEEEQLEIGEFYDFRSTYSDDEEESDDEE ENDKSVGGAKLGAHRATKAVDEAGDEVMEEDGWETDSSASSCDSDELTSIPVDPHLHQ YERLDKHPHHSSRDPRHHHQMDGWHSHAHKHRAVFYDDTQLHLPSGKAVGHRSLNKYY RQNLHAHPSPEERAERLAIEASADPMDVDGADNQVANRRERGRNGALVTRANGGLGMT NVTDEKKSEVRRAELRARKREHNAQRRQEWGVNKQNNNQKYYNYQIL PFICI_10150 MSNAAFWLITALLLYKYILYPLCLTSLSKLPSAHWSCSISPIWI LWARFQSRENKTLFEAHERCGPIVRVGPHEVSIADMELVKDVYQGGFDKHEWYSVFNN YGVPCAFSAQESKHHSVRKRMVSHVYSKSFLHSSIALASQCDEVINSRLLPLLDSSNG HGIDVHSLFPGTVMDLISAYCFGLCNGSNFIQRKGYREHWLALYKVRKTNGFFMQELP RLSRALQLLGLNLTPHWATAANKELEAWCKSLSDAAIAMVQNPDLSSYEQTANDPVVV RSILSGIEKVESQISPEMAATTVYSPLLRRRELSVASEVFDHVLAGQETTGVTLTYLT WHLSRSPTLQSELRAELLGLTPNMHRRGGDGVGGKTASMPDPKQLDALPILQAVILET VRRYAPAGGPEPRVTPSPSCRVGLYEIPGSVRISASVYNLHRDERCFPSAETWDHRRW LRESEQDDSKEEEEEAREQRHRQFWGFSSGGRMCLGSNFAMYEMKLLIAAIYSNFASR IVNDDGIEPTDGYTAHPQSGQLWLGFDRITQL PFICI_10151 MPLNLSSLSLEAHARLENLPLDILLIIFSYLDTAKSVASLGVTC KKLHNVVRADGWRTFVRSHFKTLSLPQEIVADDDAWMHCARDLTCHSRSWDRRAFSVA SFVAPTKRQQPHRHAAGRGGHGHGHGSHAHPGARTQTIPPHIVVAAHSTFQGQRELET VAWGAGEDVVLRSRVLGRASPISESWLTMEGARTGFSSGKDDVTAISILGDAGADQSL LVGRASGHLHLISAREADFGRSIASFCPQATEDEAASIQQRDLQDFAINEKKDSVAVV TKDNALLYSLNDFPIASTNGDDTSNVVVAPREALDMRNMEGSRQFRLLRTVKFMGNGD LVVGMTGSPEPLRYITRTPTGLDMLNAPKLRPSPRCSESYIYNDRDLQNVRGLLPISP SAIAGGFGNVILSSYDDGTIRLQDLRSSSAIDTIYQDHFEVMTPVGPLLSHGLERFIA GSARTSSLKIFDFRWTKGYNYTEALPCSERPLEPLPKPPTMIDCPSHMATRRCNHRLG LSCNRHALARTDFYRPNCNLYLPVINQYASPIYSLAKPSDLSSNIYAGLAGQLVRVGL RGNIDFMISENTLERVNFKRDHAGYSYHESSISIIETGDGLALNDISKSQRVPEIRKQ SRAPTRPISKAGKYRLDLLLV PFICI_10152 MMGGWWSSSANTALDEQIDRATGSSLEDIALNLEISDVIRSKTV QPKEAMRSLKRRIGNKNPNTQLSALNLTDTCVKNGGAHFLVEIASREFMDNLVSLLHA VGPAAVNLDVRNKILELIQSWAAATENRYELKYIDETYKTLQREGFQFPPRVNVASSM IDSSAPPEWTDSDVCMRCRTAFTFTNRKHHCRNCGNCFDQQCSTKTLPLPHLGIMQPV RVDDGCYAKLTDKGGKGGFGPPERTPTTSSFPHKNRSTSAMQPRDARVDEAFDEDLKK ALAMSLEEVQSHSGGHGYTPNTTATAPKTNGASNASKSADEEDEDLKAAIAASLADME EQKKQHAAALKEHTSQSHTASSSTPFALPKNDYELSPVEAENINLFATLVDRLQTQPP GTILREPQIQELYDSIGTLRPKLARTYGETMSKHDTLLDLHSKLSTVVRYYDRMLEDR LSKTYSQHTVSGYNYPAPRQSTGQYPSMHASVPSASGPAENFYGVEQPVHYATPQQYP PSAQTPQPQFSQYDRRTSVHYPQQQQAQRSDSWQNQTPSAPAHYPGPGYAPSAPSEPH TQNQPPRAPESVSTPTADPSTAFYYNNNPQHSQGRQTPSVAGDPATPSPYPNLQQPGG YAQQSVPPTPASQLSQPTQPTQQQPAQAPQQYQQQPYWQQPQHTGQQPQTYPSGNPGY QAGFNQESFPSAPQHAPQQPIVEESLIDL PFICI_10153 MATPTNGHHGPGRKEPYPHVDDLTSVTVDLDPHTPLRKVLETGD GCMRQALTFSDFGRKDLALREYIKAFTIAVENIPKHKDYPSVKNDRSDLGRLYVALKT NLTKHSARFDRIKAEIREDNKKSGVQPRSNSDALLMNLPSAPTTLPGRHKASNSVNGI GSFGSKPPTPSPASETLGHRRNGSMASPHKNRPPIQPKPQALHGNAIKPATNGVGQDL ASRFAKLRVNQDALAGTQVGQGKPTGPRDMPSRPQVNSAVPTMPKMPDAIYSPARGTV TSEVADLPSSTPRGMFSRTNSIASVAPSSARNSIDIGVRPSTTEPFSSTNTPMNGPTA TPASPRIRIPAGPTIGPEELREYMQKGANVIRMLLIDVRSREEFDDGHIMSQNTICIE PSVLSRGHISADDIEESMGIAPDEEMRAFENRAKFDLVVLYDEDSVILPGRPVEDDQA TALFTLYQGLDQFNYGRELRNKPKLLRGGVEAWADMFGRHSLQESKTSVAVRDGKTRT NLSRFRPEYRRRGRARAQTKALRPEEIKEFEKKIKEDEAAAKSPQDFVRSTADFLRRY PSASEIQQSMTAPVIDTQTPFETDLPPAPPARPAPSVPRTSYSGLTSKTAADEVTPGK AALTDSPARDRPTGLINPHMNCFANSAIQAILASPGFATELARKEWANQWKPAVPTPQ LMSRILGNLIEWLAGRQFESMQPTTFMKYCQSIHQGYRLPGSNRLFKFGDGTQHDSSE FLFDFVFSQLDNETNRSRDTTFVNYSQPGEDLKKANKEKARFLSPTDLAIESWRLYTS FRDTIMTSYWGVLHVDRNICQTCHDSVDSFGYSNYLDLGISGSDHDRYLTLRELLDEQ YNTTELRRADGGIGFECGSEKGEQHMCKEAFTKLARLPPLLAIRFKRWDHSGAKVLRK IKFEVENLDLSKYTLDHSENSNAVKFDGFGKEHLYDLYAVITHGGASSNGGHYIAHVR DNADKEGVRWFVCNDKDVYKRVVGSRTNTPLERDWYDCANKFTPFVIFYKRKDIPWSY AKAMPGLK PFICI_10154 MSLPTQKHGVTPLHLVQQPPYSIQSPGELAKDGETAPYRNIKAK NGLIEKPAPNVSTIFELVKHSTGKYADLHAIGSRQLVKIHKEVKKVEKIVDGQTTKVD KEWQYFELSEYSYLTYTEYERRVLQVGAGLRKLGLEPQDKVHIFASTSANWLCLSHAC SSQSVTIATAYDTLGPDGVQHSLVQTKAKAMYTDPQLLKTASAALKSAKDVEVLIYND ESLMPMSGSELSSFKEAHPQLQVISFSELRALGEANPTAPVAPKPSDLYCIMYTSGST GPPKGVPITHEAIIASIAGLHSNVEEAISSDDVVLAYLPLAHIFELCLENLAIFVGGT LGYGSHRTLTDTSMRNCAGDLRELKPTAMVGVPQVWETVRKGVEAKVNSAAAITKAMF WGAYNLKSTLVSYGLPGATLLDNVVFGKVREMTGGRLRFIFNGASGISQGTLHFMSMV LAPMIAGYGLTETCASGALGSPLQWTPHAIGPVPSAVELKLVSLPELNYSTASTPPQG EILLRGKPVLKGYYENPEETKKAITPDGWFKTGDIGEIDSNGHIKVIDRVKNLVKMQG GEYIALERVEAIYRGSQFVQNLMVHGDSSHPRAIAIVSPNEKHLTELAESLGVDEKSM YHDKQVKDAVLKDLIDAAKRGGLSGLEMISNVIIVDDEWTPVNNLVTATHKVNRRKLQ QHYEKEIEAAFRG PFICI_10155 MASISGLSMAELNAAALEHFVYQPVDSSMISYLAQAAYDVIQCD PHMMPPPPPSSQHMPPTPPRTPSPRAIRVEDNAMPTLEEFITQLVVSSNVQVPTLMST LVYLTRLKSHLQPMAKGLRCTSHRIFLAALILAAKYLNDSSPKNKHWANYSHVNADGC SFGFTRNEVNLMEKQLLFLLNWELRITEEDLYRELDVFLAPIRAEIDAKYARRLQRKR EEALRQQREAEAWAAAAQFPTPPSSRGSSRSRQPALPEQETVRMVHDAYTPPGLAYSS GASSSSSYASSISSQQHSRSSTPVSVPEDPYVYGDGDLYESPVEVVLDMPQPATLRSY RKGDHLLPYEISVEELREMQDGGSKAKRARTGRGMWGRMFGGAVSVR PFICI_10156 MPANSQSTSVNSTSGPPAWLFSNNSNSTSTTAAASATNSSSNMA KTKKVSKKEAPSAASAGAPPAQLMDLVEDFLSGSGFADAHREFKKHRADKGWKSEADK KKKKDQKKGHHSLVSVFQTWETFSNKDATPAVAKKEILEVTKVSSSSESDSSSDSDSD SDSDSDSGKDVDMADAPAANDDSDSSSSSSSSSSSSDSSDSDSDSDDEAAKAPAKPAT TNRLKRKAKSDSESSSSSSESDSDSSSDSEDEKPKVKKVKTASSDSSSSSSDSSDSSD SSDSDSSDDEAAKVKTEAAQDSSDSSDSSDSDSSSDSDSDSESEAEVAAKVPLPESDS DSSSSSDSDSDAEDVKKEVKKESAANGTGSDTSATLGKASPEFAPLPPDPTTFKHNNR GKNGNKRPQNEPFSRIKKDVYVHNELSSNAYIQDGYGQKAHEDLIVTRGKGFTKEKNK KKRGAYRGGPLDINRSQSFKFDD PFICI_10157 MDSRDSSIDEARPLNPNGDRVDAKTASISPPRFEYSEHGRTRQL AFPLIAVLRHLVVIFTFANILIQLISEPRMGVEVFLVVWDFFILFGSLVALIVETYNG KQNNRLPSLGITLGDKTYYLMGGPPQHSYMFIPEQHSMPADRSRTFARVASFVVDLNL VVFLLTFSVISVTNGRYWAKYEMSDSIESVAILHFIVVAFMFILLLVRIISARTGSTV SLKLSLEEDEKSGLQLPDDDVPRGV PFICI_10158 MSSHTFEQFIRFTTDSVGLERIFRLLQSIAQILSSYPVLLGLFI SLLEFSVTAPPSYAEIHVVLLILRQRLGLARRFFRVFRFLDSFHAAQKLYTSIAAAEP TSGTSSWSSKTKKKRPFWVHLEAYLDVFGRTFNGMYLLLESSTLVDALQIDGLRAWTP AWERTVTVEAQRFWLFSLVCSVLSGLLKALKVLAYTPVPPVGDVTQSEKVSGADGAQR KEDKEQQQQTSGPAAVDFDPDEEFDVRKEQERLKGLVKQTRKRRVLWRREVRAKLRGL GRAIAANALDIVLPGVIVGWIDADPGTVGVVMFITTVLTGLDVWDRCGREVGQHE PFICI_10159 MAPIVHLVRHAQGYHNLNHENEKLPDPDLTELGLQQCRNLRDAF EHHDKITHLVASPLRRTLYTCLYSFEPVVKRGKVVIALPDAQELSLNPCDHGSDVAKI KAEFGDKVDFSQCWEGWNDKSPSSRYYPDPTKLDARAKDARRYLRDLAKQHGDDAQIV LVTHGGFVHFLTGDWEGIPKGGATGWANTECRSYEFKDPSGEDPEAKLQETNSSWRRR RGSAVGLTETEHRQLRQVVQDMVEEENRVDLEAREKAANGKAN PFICI_10160 MLQLLNYLPRKLIGSVPTALDALTNLKAKLKAAFSKKEKPAKTA DKPAEQKPAEQKPTETKPADTTTAAAAAAPAAEAAAAPAAEAPKADATPAATEAPKAE APKEETPAAPAPAAEPAAPATPAAPAAATETKAAEPAAPAAPTAPAAEPAAEPAAPAA APAAAAAPAETEAPKAEEKAEEKAAAPTPAPAA PFICI_10161 MSSSNNKTGSALGNSRGESPSAKNGFIDSRSNYQITKQGGWKSM YHMMFHYNLDPTKDEDWQEAKRIVEGVRQGDMDQARAEASGRERGVENDGDGGGDDDD DVDEYCDDDDDDDDEEEEEDYDDEDYDDGGGDDGDCGSDDYEDY PFICI_10162 MEPSPNPTFNAKSPFVTLEVLDPSTIHAFVSPTKEINDGPDVSR FLVSKAYRDIGIFVLQLNRAMCPRKSKGSDGKEKVQTFEIGSKLETQPAKSVQSIQQL LFKLESFIDEAPPDKGPRRFGNVSFRKWYKILDERASSLLEECLPQSILKFGSSPGQN GVTAEEELKAYLLGAFGSPQRLDYGTGHELSFLAFLIGLWKLGAFKDGQQDGEIERNI VLGAFEPYLRVVRKLILTYTLEPAGSHGVWGLDDHSFQPYIYGSAQLTRPIGDNEEMP MEGSRFGAPRLDAVSKADKVQDYRETNMYFSAVGFIYDVKTGPFGEHSSVLHSLSQVK LGWGKINSGMLKMFNGEVLSKFPVVQHLPFGSLLSWERDPDAKEPQQSVHMVNQPIAS AALKSNNSGTSAGTAAPWAKPGNMPPPMQAPWASGVPTTRPPPTQATSVNPSIRTPFP ASQPLPRPTAPAAGSTDAQITVTKAPWAKD PFICI_10163 MPRSTIDARRAIESLDEDDASSGSDNEEGIPARSPRKAPLSVTP TLSIRSRGGKRRSAAELLQSAESHKRRRTSSFAGAVELLRGPGSLQSRNAGSHNSSES PRIAQARVGDDQSSRDGHNVQHNHEDDAVDPAMVDLVNSLGSSQEVVAVADSQDLGGA HNRKDSNRDVSDIDLGHNDQEELASISNEMELGDTDLSHEPARKKPSTQDQRNSPTQI PNGVVTRAAAADPVDTINGLQVSDSAEAISHQSARKSRPILSPEIHEIGVIVPASPNG AQAQNASEVQSRDNIDRMVTDLQEPEVSSRKPKVLDQDRLSRSSKHNTPQNGSIQDKD QDSVPSGQGQKRDTASSLGTNLPVLQLVSKDRRIDENAVVRSNTDEKRPKPKQSKSGK AHEINAASSPKRNVFSRAEPAKETRTKPSPVVPVNDELDIADDEELFVTPEDPNIDAG DDSISDLDEEEEFDPNRHASQLRHDVRRFRDGQVEDIDDSAFFDAPSSDSVVTIQLPS DSFSQARNLMQRLGWSAISNNWEKLLTEKQIPETRPAQHMTSYLIKLGRVLSEAPSAA DLPAQNDFLKEHSEMMKRYFSKIDRDVDNIRTHWLSFKRGASELNNDVDAQQGIAKDL VRLVIPLLIAILGRGWALGQKIKGSFFTDFTISILARLLGWTEKLYRPLMRELRHRPL RPESKSENLAREALGPILATLRETLNDAPNRLRQEEQKLLHTQNDRQYMLQMQERIKR RRQAQAEAEKKSLREHNRKAALALRGNLPNPRRPRLAANPDNDGVGGGGYSQPSNAIV KSDARKSRRLPAKSVELEQWRKEEEVSLIKHLKDAFDHNPPRLPKLSSMVVMIGHSGN EIKRRATLLLPLMFLTASPEKSELQIHAMTDDLISNWR PFICI_10164 MSESESFEVVNREESILSGEETTGTGTTTSPHETPKKSAPNGSK PPSVASPKAKVGVSSTTGARRPTTTGTTAAKTTTSATTKPTSGLKQPPTRPPAGTVKK PATAGTTGTTTTHRSRPSISESEKSTSSISGPARRQSVVPGSGTSTTARTTRPATSTT ASTTAAARKPVSATGTAASPRASSTTARPAASSTTAASRTTSSRLSTSSTTARPAAAR PATATTSRAPGHASRLSTSSTSTAAAAAESAKAVEELKAQLAEKESEIESLKSQVTSS QDKVTELEQKIQAEVGGVEGAEDALQKGQEELLESLKATHASEKEALGAEISEALDKL KLAEDELEAHKAKLSDISTSREGEESSLAEIQAKLDTLQAEKDSSDKDNEAALSKATE EHAAKLAELKQSLEDQHQAAIEALKASHSAELDTTKSSQDDQEKSLLDLKALNEELQT KVEALTTATESEGAATTSRITALETELAEVKAAAEQDKAALAASQAEAEELKAKLEEA TQLLETTKAELDSVRTEFTSLKEDMSKLDAASESHKQLTDEFEKFKVDHKAAVERHEN QLKTISQDYEEEIEKLQGEAHFKRKFHELETQHNELESKHKDLTSTYESAIAIHEEAL QAAKDAHASAIEGSTADHEKALNDLQSTHASLLEQARSGSSADKEAHVKELEELAAKH AVELDEARNGASANEDAHKQALEDLKASHALELEQTLSKSLEELKALHALELEQAQSG ASAERALLQKTLEELQASHAQELEQAQSKASEHLKAEHARELEEAQNSASTDKEALQK TFDELKASHALELEEALSKALDELKTQHASELSEAQRGASAGKEALQKELDELKAQHA SELSEAHSGASASKEALQKELDELKAQHASELSEAHSGASAGKEALQKELDELKDSHT TAVDALKVKEEEHQKALDALQASFAMDLETAKSGASSDKDVLVAELSDLKASHAKAIK ILKEQSQDELTKALDGLKASHAEHINNLTQDSESRIQELKASHETELTGKSSDEAAYA EQLAVLQEALEKAQQDIVTANQEHSAALEEFKKANDEAHSAEVEKLIHMQAEALDAAR REASGSQAEAAKALEAKHVQAIEDITREHETKLAASAEDLAKHQSTSSQYEASLVSAN EALAKAQAEAQDLQTRLSQATAANAELVTLQEESDSLRQQLAEAKMNNVELEAEIDAV KNQRQDTSVADALKKELEEIKKQYLADIEATRAEKEQLLEDKKHAALDYEAVIKKHCA DLKVAREGATETAEEVADLKNQLQDRQANLQKLESEIASIKRDNEVAAKEFEAHKDET TAKARKHEADYTDMYESMTAMVMEEHKKREVLEKNLKDAEEKLQHAEDKIQHAEKIQT RFDELHAQLKVKDAEIAEAKQAVAAAAPSKRGGLASSRFANASGDDDATENSTEPPRV EGEDDDPYSVAVASVRTNP PFICI_10165 MSRRPPNPAAERAAQNQVTLKSLLKLEANKLCADCKKNKHPRWA SWNLGIFVCIRCSGIHRSMGTHISRVKSVDLDSWTDEQLQSVLNWGNARANKYWESKL APGHVPSEAKIENFIRTKYELKRWVMDGPRPDPATLDVDGDDDIPLSIVKEKQNIERK ESIRKASVGQSAAPQRAPQADLIGGDDLPPRASTAGPSAAQQVPPKAAPAPPKTTAAK DSLFGLDFLSDASAAPPRPASTTGTSGSSGQSRPDLKQSILSLYASAPRPQPTHQHQS SGSLSGFGGMQSPVQSPTFGHQSKPSGSGAGLNDAFAGLSFGNVTSPVQKSAPKDAFA GLTNFGSAKSTPQATSSFSNLSGGSFFDAKPAKPAAQQNNPSDSFGGWGAPAAAPAKP AQPASSSFGDLFDLSSPAPAAQPVASPPPQPLAPAPADSSVFNLSQPKPAQPAPAPAA SLNTSAFGNSDVWGNAWGEPAAPAAPAQKSPEVSKPSAFGGSDFGWGNSGSSLANTPI IPGQSGFNTQSSFSLAPAQPKVAADEEFGGWTSSTATNPSTTTTSKPGSGFGNDDLFS NVWQ PFICI_10166 MSEAYERERQNNARLEELSAKVSSLRGVTVDIYDNARSQDIIDN TTDTFSSMSTQLKGSAGRLGRMAASGNKVAIFKLSAIIIGAFMVLYYTYKLLFRA PFICI_10167 MGLNNPLPSSLASECKKCGKILTSFIDPRQALSPDKVIPPEILR NAKGLAILTVIKAGFLGSARFGSGLVVARLDNGSWSAPTAIMTGGAGFGGQIGFEITD FVFILNDRNAVKTFAQAGSITLGGNVSIAAGPIGRNAEAAGAASLKSVAGIFSYSKTK GLFAGVSLEGSAIIERKDANEKLYGQRLTAMQLLSGSIQPPGEAAPLMHILNDPRFLG GNVGGNAGASMSQDDIYNTEPGYGGGQQQYGGAPPANNFAPPQRASTWDQSGGSAAGR APPGRPAAPKPNVPSKQGLMKNQAVASYTFESDTPGDLTFKKGEVITILKRTENETDW WTGMIGTRHGIFPSNYVKMQ PFICI_10168 MALSDTVLPKGLLPLALLVIGAPVLFLLYYLVQFVYNIYFHPLS KYPGPRIPAGTSWWAAASYIRGTTPNDLLQLHNRYGPVVRTSPNELSYIQPAQWKEIY GHKASGQPEFTKDKKYHSGLVGPPVLLNADREYHGYIRKLLAHGFSERALRDQEAVMQ EYVATLFRKLHEACQDGRPVEISAWYNFMTFDFIGFLSFGESFDCLTSSRIHKWIEIF FSLAKLMAFNQAISRLPRLIQLPAKLWAIPPSVKSDVATLNQLNTEKTSHRIKYESTV PDFMDKLIDAYKDGKMSFEQLTGNASILIGAGSETTATALSGLTYLLLKNPRVYTKLT REIRNAFASSDEITFVGVNQCKYLLACIEEVLRVYPPSPQPHQRIVPAGGALVNGEFL PAGTAVSIPIYAACMSPANWAEPESFLPERWLGGEDPRFANDKRDAFQPFSYGPRNCI GRNLAYVEMKIIVARLLWHFDLQSATDEDWLDQKVYMVWEKSPLWIKLVPVKSGRIVL IIQESTQSLIHKRKMDQFYLENVSQYQTLLKGLKPPSAPGTVTAETSNSKMIVSARIR PMLDEDFASRFPCAIFSRSMQNNVLDLHDLYNHPSGRPQLRSSSYQMDKVFGSDTKTE TIFNSLVANLISFAWQGGTGSLFAYGQTGSGKTFTISRLQALVIDTLLSKNVDGTKQI YMTMVELAGNSAFDLLSARKSVMILEDAAGETQIFGAREQRIEDKSQVTALLRSATKL RRAASTSKNDGSSRSHSICRVRIEDPSSDSSSRPAGFLYLVDLAGSEVARDIASHSAD RMKETREINKSLSTLKDCIRGRAEWDAAAAAAAAAAAGGNRSSRPNKKRPHIPFRHCA LTKVLKHIFDPNDNRDTRTVVIACVNPNLADAKSSKNTLRYAEMLRVFVPVDEESKDA KAAGERVLTSRDPDPAAESIPFTERIRPGMVIQWKHSDSTSGDGVGSNPSRNFAVVLC PSDVVDRNNGGSNECPRDNAETSMPGLDDNETAQNGREEKWQCALLMPGFEPRSYELC LWQQVSIDISMMVNEVILRYDQATRYYYMGELNGQTCS PFICI_10169 MRLNIASFLVMYAAAVHAVQGKAQKTFAVLRFNNAPGSFSSAGR MDPIVFPGSDSSHTHGIMGGSNFDLTVTGDQLLHSNCTNAKIKNDKSNYWVSTLWFRK GGKFKKVPLYYMNVYYFFEATDDEIKAFPPGFKMVSGNASTRLPPATGSIQLDPSKGT IQPVQWTCPTKNAVDHYPAGSDGSHAGIQDPSNRQSGAGFPVVNCDADNSPLRQDIHF PSCYDPSVGTEDYANNMVFPTPISPGSDKVNCPKGYIHVPHLFYEVYYDTAQFDSQWI RDGHHQPFVLSNGDNTGFSSHGDFISGWDEQTLQAIIDTCDVGDRSNGPDGNDMEDCP NIPGGLNKDDKCPIKAQYPDPGDEWVDALPGNNPISGWMPDQ PFICI_10170 MCRRVLYHHMHCDVRQPMTVPNGIIGAEAGVYENPLRTFHHRCE IDVRVHEPVHSVLLDLPAVKCEYHSCCLVVEEVLYCSEGDQVLNDGEDFEPEMCDCYA LEHRHEQIHQRCFGDACQGRMCLHNLTNGANDAKDQWPGLEEVIWYETWDPVYTRPVE LRAKWEDHLFRRMAKLTTMNNDLLIHAAVLHDISRDVSLGNSPHIQAAAKNFLRCNKR VQKQQRRIERRFRWAQGRN PFICI_10171 MSVTSTSTQRSTPSRSGTVSSDRSTSSKASSVAGPDSTPSAAAK RQAEAVRGTWADQPFSLLKSPSVAHDARHPAVFVANDMTHQHNAALRGLNAIYLQAPH LQDLQDITDLLFLVQCWGLWVQYYDNLRRNSIFPQFEEALQKPGFLLARIQEETDFIP SLDRLLHYVQETHAQVETYDAGIFQGLINDVGVPFRSHLADTISILLEIPWLCDQMNS PEAKFKAGRISQIYRKIDKEASGAMDMHIVPPMLMRMRDTTSAGGRNWPGVSLIALHT IDKTLSRTHAGAWRFLPCDVWGKPRELPFLGQDVGKSKKVTIKIVRVESEVPSRSPTQ GHEQEQAMI PFICI_10172 MSKRARDVGNPLDSSPEGTKKRREKGRLAQRAFRQKQIDTIRIL KDENQKFRDAIAAISAAAAGNQTALGHAIEDAQILAGTGRQGSKVVGRVESNPSSNEA ADSSIEAAPKSPVCEEDVIGPVDDWSHQNNFEAANLLPSPSNDLALKITGAPEEIAPY LGPAAYSVAGQIHWIAIAYSYASAKALREAASSLHLNKDSSRAFGKTLQYVTIDDVLC VLRGRLMYRKQGFMAGDENQGHDPWMAKVVMMTIVQNCAPSDSNLLFTAFDVADCLRC ELGDRFAQLEAALAGTATDSWAAVTREFVRELAFQAICYGAGPRWRIEHVVEAAQRWA VGTSLLRQ PFICI_10173 MEVGGYAFVAGGGKACCYAFAREGATGVVVADIDIDAAEETASE IRALATHPEFLAEAVQLDLGAEESIQSAISYTTAIFGRVDYSIHCNGMPNRTCDLIAQ ASFVDLKRLLELDIHRAVV PFICI_10174 MGGSANEDTDGQKAAYMMPQGLSAVILALSIFFGITSLGVVSLR LWIRGRANILGWDDYLMATGLALFLVAAGMASYDTFQGLGSPTDLVDDDMEIIGLKYV WIWQLFYMVSLVFIKNSICITMLRIAIQRAHRWALYVTIALSTAVGLVGFIGMLSVCR PITAQWEGGGQCAPRSTVVILNYTISAGAIVTDWACAIIPACILWNAQMKRNVKLSVG IVLALGSLASLSTIIRIPYLRYYNELGDFLYKVGNIVLWSVLEGGIGLIAGSLPMLRQ FFKGWLGSTVRSTAVGPSQIPTTNRGMQRKHSVYSQTGKPPPYSVRGSRVRDDRDWAR LDDSGTDLHHLHNVPEHNDSQYELSELGRRDAVGIIRDLEKNMEK PFICI_10175 MASYYYGDQYTPSPTPSPMHNPRFSGIPPQRPQTAAHSHHRNVS SSVYASPRYNSKGEYGTQADAGPSPRVSSRKHSFSKPKHSHHQTPKRERRSSYSYYRT SYGDSDEDEIVEVDGVTYVLPAQSRGRRYHEYYNNAAAGYGTDYHYYKQGYQGQYYDV HGHVYYDEPIQRSATRVSHSRRASGTVPLQRPQTVKPERARQSQTHPPKPRAATRQDA EKHGIPLGYSLKHWDPTEEPIMLLGSVFDSNSLGKWIYDWTVCCHSAGSPLANVAGDL WLSLIQLSGKIKRAEEAIKKVRRTANKELLDDFIVSGERLQDKLRAILKRCEAPMLEA GDRDGGSLGKGSGSQFVETLFTVGREFEKVERFMTQTRLWNLRFDANCTEILKYPTQ PFICI_10176 MSAAIVYEGQMEGQGGTLFKDLKFWVAQRVPMRQTWLQNIENNG GTITKLEKKADYLIADHARKDSPAGSYSWKWIEDSVKSGQLMDQAQYAIKPPGNETRP VGTVNQKTTRRAFTKADDELLSRFVTRKEKQGVAVSGNVIYKQFEAKYPHHTFQSWRD RWVKKLQFLDRPNVSENEPSLSPPPETAPVASSAPARPTRGPGPPNANSTDPSRRKRR VRFTPDEDKLLLQYVDEMKNAGHAVKGKTIYEGLAVDFPQHTAQSWRDRYLRYLAPPD EQESVEEEPTEEEAAPPSPPVTRSRRNAQKVDQADRPPPKQDSRVSSTQRKTRNHTTD VVESDARKEDMPLRIRPSIEEPSNVTQTPNDNSPTLEASNQHGQDEASASDSEQQAVP PDSPMQTQQEILETSLKTRTGFHECLAAFKEESSEVNYWPTIQGRAIDLWDLWQSVQA QKVEFVERDWERVAEDLEFDWVALPTVTDEIRQCYESHLLEFEKMVENFFATSENGED DTQQEVTDSQEVAQPMLLPVNTQSDSHFNSSPPKQPSRKRAREAETAIASGLAYPESP RKRTKLRRDSEIPSTPDDKNGTVHLRQAARGKASPQLGAQPVLPRSSHSLMSKLYWKQ IEREVQEQIKESIALSSARKAMVEPETQDFQFGTQEMDDFGEEFSEEDGQERETQRVT PSEQLRSELDAENRRRTLNRVSPLPDDAAESSFLDNYEPDFFGEMDTPEPSFAHRPNP VLSRVAMQPPNKRRSLPASFTRDNRPSPYGRMSMPGPARMSSGAPTPFRQWISSTPQP QQAQRPEWTTYTPQPQPPRRSEWMSTPQPQAQSARRAQSKAEELADVVEYWVALGYSP DIARRSLEATTWESSLAGRIMQFLKDGNAMPTNWEGVWTPRDDENLILIDSPGQPRDD REIRKRAKAADRLVTKHGEQRMELRRKWLYTRSTL PFICI_10177 MIHMPNAAVALDQRLTGGRATAWLGRFAHTFMYGRHPTVLIFFF LLLSVGEVMYLPGVWPRMSTLSRIIGAVSIILPYWFLYLAAFKDPGVITPTTHSKYMS KYPYDYALFHPGQVCRTCNIIKPARSKHCSVCNRCVAKMDHHCVFINTCVGYENQGHF VLLLLTTAWLLTFGAVLGTRMICEDIQARHPGWRLWKPSRFSWHDYLILLTFGIQDHV GRGGVTLLTLMTSPLVWGLLGYHIYLIYCGTTTNESMKWQDWQAEMDDGFAFKRAMPT DRVKDPRWEPTWTRWPVETMQVLVRTEDGSPPDPQGAPGVGDWERVWHLRDVENLYDL GFWDNLLDVLVPGYSFRDELVTDEERGRRSKKPKETRASAIVDAAT PFICI_10178 MTTLRQVTALLALPATLRPLLFAAPTTTTPSSASQGRRCFHATC RAYARYLRRPLSVGAFTIPHSPALPLSEIPIKELLQSPKSDKSLADELNKLFTQNPAT FYHGTSDFYELKKNTRIPEICILGRSNVGKSTFVNALANRRENELAHTSARAGRTKAM NAFGFGPAPTMKELADTDTVTKRTEDLPKHSFFVLDMPGYGHKSLQDWGKHINLYLTK RQAIKGAILLIDGEVGPKSGDLMALELLQAAGLKTAIVLTKADKAKHEEILKETCKKM WLTMRDVHSKDPNSKWEWDQDFFVTALGATKKEIGIDSVAIARLAVARLAGLVTEKER PEIAPAKGYSGAVVSFEDLFAQSTPPQVSSVKDSPKTETQTPAPAMSSSESALAALEQ ASGEQHKARQSVGRMLPRRAAISRLRRTISRSPPLTRTGTETGRQFSTLSWASCPATS QKLCRPSITTRQPLFRSHVHVRNLKTLPGHERLNPEELEAAIKEFVEGLKAAQTPMDR AQSYQLSSRLDRPKHKLWDPTFLPPRETNEERQSRIQKMKEKKLQHLQIYRERLATEK FRASQQKERQMRRRHQDEQKKNLQKQRYEMIRNGDKQTNDEEEQLEDGRRLIAEAQDK AKALRGKKLKKAVKNAEKQRRKEEKNKKKQRNEDEDEADEDDSFESKFRSVR PFICI_10179 MATAARTRSIYRALLRELPPRPAQPRSMLHTKLRETFTSNTPTE KSLAQADQLVQYLQAQRQYVTLVERYNPGMNMDEEERVRLTARRVGMDLPIEYQNDGE KA PFICI_10180 MSVLNKIFASGEMAASQYAAAAILLIFGWQFTTIFYNLYLHPLR KFPGPVTSRASGIPWAIRHATGVQAFHTQRLHDKYGPVVRIGPNHLSFTDPRAWKDIY GHRVGAEYAMQEMPKAKTFYNVIKNIPSSIVNTDRDEHSSLRRALSHGFSDSSMREQE PLIQRYIDLLIKRIHENCDGGRTTLNLEAWYNWTTFDVVGDLVFGQSFGCLENVDYHP WVAFIFKSVRFGSVAVALTYVGLSSLVQAMFKINAFLAISKINDMINGFLQKRLDTKG ERNDLFEGIVKRKEELNISFEKLSSNAFILTLAGSETTATTLSGATYLLLTHPTILQK LIDEVRGSFNSADEININSVNKLSYMLAVLNESLRLYPPVTSSLARMVPGKGADIAGQ FVPAGTYVEIQHWAMNHSKENWSHPWTFDPERFRGESKEASEDKFEALQPFNVGPRNC IGRNLAYAEMRLILARIIFDFDLKLAEDSKDWIERQKAFPLWDRIPLNVYLTPLDSR PFICI_10181 MSSLQVEDNDDISSRRVPNNYSAYRPITLTPGNEQIRLMQILPP ESEDEDEEIVCALFDVPPIWQKGQIVYIALSYCWGDLGDQKTISVIHQYQDAPEETQK ARDLEGSKEDSGPTMISVERSTKQQFNVTRSLFSALKSIRKATPRVKEQFPLLDFQPI WIDALCINQGLIEERNHQVGMMNKIYSSAFFVWIWLGEDEAVMRGLNMIHAMMKLTRK KWGDEFDPIDPKEEHMRHFLRTPYQLDGELLGPESCFKILDSLFDNPYFKRVWVLQEA TAIPARTFVFVTVAHVPWAWIIVADRFRQLWRKIYPTGQNGSLPQIWANLIQQKLIQP RTPSLVSKHLLYDLFINTYEEFNATDLRDKLFALIGLSAEGNGSQGTIPDLIQPDYSK SLSQVFIDFTRFCIMHGRGLEVLSLLCGGPRRLFPFNPMLNDPSLPKPKACLDVRLHP SWALWPTTGGSWTVSSLAKAQQHSGHKYDLASRLDTRQFDLLKHPTYELPSAIVNRIL RWAGVRIGVVKSIFNLPIRCFADSEPPERSIITKKWATEPMEKEMAKHEKHISISLTS PHVQGDRMKGGLIPLWCYLERPMVNVIEVEDQVAPLRPQEWLGRKGGRYEGKREHMFR DFLETLLLSPIYHGPTELVDNEQQNDQAQSSESEDGTLMPGPWDDPELSISFAMEWAQ FDPQMEYLPPRIGKHLLDHLFKSGIPREKQFPFLFLGHGKCFFITDDEHMGMCSPDVE PGDIVVALPGSGVPFVLRPMEDQADIEGEDWTFKGDHEGFRKTLFRFMGECYLHGRMT SDFFRHESGNLPPMETFNIC PFICI_10182 MKAAQSFRALAALSSITGSLAVTNSSAPSNGNGTVTAADSHAPI LGDGTVSLGMATDAFNKAKAMVDQMTNAEKVKVITGQGVTSANISWPGLVSKDGVAGI NQNFFVSGFAEPNAATMTWNKTLYQLHSKALGEEFYGSGYNLIMGPVASPLGRDPLGG RSPEGFSPDPYLSGIMFGAATAGINSAGVIGVGRHYILNEQETNRMNGGYSANANDKT MSEVYVWPFADAVYNGLMGVMCGMNKVNDSFSCESDKGLNGYLKTNAGFPGLVMPDVN SQHTAFGSANGGLDRGSAGLWNAVTILKGLQDGDLTQDRLDDMAVRNIIGYYFVGLDD GLQPEAGSSMIDRGVRANHSQVIRQVGDEALILLKNDKSTGGGLPLNKPATVALFGAH AGPCMAGPNQGFSVGGTPSDIYQGHLATACGSGDASFSYLITPFEVLNPRIADDGGMI WWVLNNTYTPSDFSGFPGGGGPGGGGPGGPGGGNTNNSIVARQGPGGPGGGFGGGTGA TPSIDAYSQNADVCLVFINSWSGEGADRQEMSNVDQDNLVKSVAENCNNTIVVGNFAG PRVLDEWIELENVRAVLYSGLLGQESGRAIADVLFGDVNPSGRLTHTIAKNASDYPTP TCETKQCNYTEGIYIDYRYFQQKDIEVRFPFGFGLSYTTFEYGEVSATVTNATALASK YPTGPITLGGPEGSFDEVISVSTTIANTGDVDGAEVAQLYISFPAEAAQPPRILRGFE KVNIPAGQSADVTISVRRRDISHWDNIAQNWAIASGDYTLSIGASVEDIKGNTTITIT AS PFICI_10183 MHSFQIDKSSIRTTEPPNRALDDWHHPRSRSVLISLRAYPKIAL YSFALSLSALLVGYDVVILGSVVALPIFQRDFGEQYGHSSIIPSMWLALWNASSFIGQ MFGAILGGWVQDKKGRRTSLIAGSLVSAAAVAICYTSYLPEDVDARRGMFLGGKVVMG VALGMLMATTQTIISEIAPPDLRASALALLPTNILLGQFLGSAAVFALSNDGTRRSYL AALATQWLFFLLVFIVAVVAPESPAYFVSNNQYSKALRSLARLHTSRVDLPAMLDQVR HFVIHEEQFFRDKKYFDSFGRRHRRQTMIVLFAGIIPQLFGLGLLSQSGYFMQTIGMQ ATLSLAVLSLGIILGLVANIIGLWTVSKVGRRKLILISLTISAFLWFGMGVAGFWSGT ATMWYSAGTFMAVVVVNGLGSWPASYAVAGETSSLLLRGKTQGLNWFANSLFSGIVSV ALPLLYNPDAGALGARTGFILFSLCVVGVFVSWLIIPEMKGRDHAEIERMFELQVPTR AFKRWSESEDTQELRQI PFICI_10184 MTSARESTSRLRQTLNPLRTSSLTGFQGQLATPHSAISVSSPYA YSAVQTPASSIQPYNPQQWAPSPAVERTHQFPSQAPHEPPQSSPLPPPPYSPPRSQQP AGEAFETPPPNSSAARIQPTAVHRPSPEPPRPQNFPPPPNASGRGPSRERRFGLPSLT RRRDRDAAEASSPPSAHPVTPISRPQPLSIQTVQLPERIEPMQSSAPPNARRAASASA IETPTSARSRSSSQSRWAPGLPLPPPPPGPPPSNSRSQSLNRTIDPNPILSPPTRRPP PTGVATLGPVPPTPADWVDDDRQQANRAAQAGLSLDTSSASSAASQPPESATPGSSPS GGNLTRTGAVRGEKSLRERRNESRTRHTRGESSSSNIPPLTDILVPQGAANLSQKFSV NKGTPRSGGRSAHDTTWSGDLNSDSRNSTPRVESAGLQHPETPTPPFSPRPQKTYTGL ENAQAIAPKALPTPPPQSRSASSASVTRPDMHSSISSLNIGPAPSTPMTKQSVISQTA DQFCKGTIERFRNFAEKESAAASDADRVKLFADFIVNESRLRRERYNSAIGAMGSEIF DLTRDLFRPMKMRRDSDSSQASEWTPQVSATLPRSSTGSLFGKEPASQPNSAPVSAGV PISPVGPPPNVNWSSGYKPALSPILSMSVSDAFDEADSRGRPSSRWWEADSAGEASNR MERSKRESKYMGVPKEAREALQWRDPPNSAEAFASSSKGYGPDEYPAEKVGWHEPELS GTPQNFRRSLLSLPASTPNTPSPSHVDVSRLVTLPPPYPRHHPAVNNNHPELTEIRTL VRTLSDMKELEETRERFYKDSKRMRTEAADSVQGSRQTLRMNLRREVSSGSMSYADAA AIEADAAEVEKSQSKELEKKDFDRFQTGVVMPLNEMLTGRIGQATSLFDELRSRLFVD THTSDPNLPQEEGDEQPELLEKLTLLKWIFEAREMLHRAIFDLLSERNDRYKEMALIP YRLSGNDEKLRNAEGFFAEDAEKRRIAFAKEVIQRTVEFRTVVEENVERGVDMQLNAF WDIAPLLKSLLEKVPTDLKDFRIQIPAHEYEENPDYHPHPMQYLYSLVMHTEKSTYQF IESQTNLLCLLHEVKEAVMGAKAKLSEAEGRDGQVIEEEKNSEQVYLSDDLKEKVRVV QSQWTEGLGEGIRLVKERLGEWLLSTGGWDESLEELSIAS PFICI_10185 MHVLGISAGTLNGNSEVLLKAALQAAEQALPGLTTSMARIRYMR SPRNGKPLPQSIVPHITTYRPDAPGTDDVDDRPALYDAIMKADAIIVSTPVYSHLPPG HIKCFIDDTLGPGADIALALATDEEGQGLGRKPRSSIDPRLYKARVSAFMVVAGSPED MPEQWTLGLVGLHQSMYSIQATTVDQACFAGYGPPGSVCSDEKNTIARAQLLGQRVVS QLGQAVGAAQFLGESQEGSCPYCHLLTISFLGGGDNIMCTTCGARGRLLVLPGGSFKP EWEKDSGVSHLTLKGKRKHVDDLIVNSQKGMPDHDAQRKYTYWKELDVTLVGDARHQK STL PFICI_10186 MSYTNERTRLLQDRRDEAEEVQFANDDEEDPRQWPLRWKYVQIL MIFFIGLVLPMASSMFAPAIDDIAQSFEVDKQLVLGGQTAFVCFLGIGPLFFAPMSET FGRRKVYLLNILLFCLTQIPTALAPEIKTWLVSRAFSGLFASVAIANGGGTISDLFNA SERATVSGVYFLAPLLSPTLGPFFASLILARLDWRWIFWILFIISAGLFVSCFFLLFE TQSMAILEQRKSSLEKKNPGKRYVLKGGSEQSLLGKIAKNSTIATKILFRQPIVMVIS IYQALIFCTMYSLYSNYSTIWSGDPYNFDTIQVGLAYLAPAVGFTMTALIVVPFMDPV YKKMQDRFNGGDGKPEYRLPLANIGAICLPVSLFWFGWAIEKGLHWTIALAAMLFFGA SQISIFNAFQNYYIDAFESKAASALAAGAVLRSMMGGIIPLFTPKMFEKLGYGLGFSV FGIVSAMLMPAPLLFTRYGSTLREKFAVED PFICI_10187 MALHNPNNWHWVSKNAGDWAQTWLSENLTKVSAEEGGVNAKITK VVSMDGDVDVSQRKGKVITIFDVKLVLEYSGTAPDIEDVSGTITVPEVAHDTEEDEFV FDIDIHSESKEKQPVKDLVRSKLVPKLRKEFLKLTPALIAEHGKDIQHAPGSNPSSGF STPKIHAPSGAAGQSSAAAGTQKNAGSVVNTTTVSDQEEFRTTAEQLYLTFTDPQRLA AFTRASPKKFEGAKQGGKWELFDGNVSGEYVELQEPKKIVQTWRLNQWPAGHYSKQVI EFDQNDVDGVTVMRVNWTGVPIGQEDVTKRNWLEYYVRSIKTTFGFGTIL PFICI_10188 MLVTMTWRWILLATISILVDRCVSSHVQNGIVSSVISQDQTGPR AWVTVAANGEATTIVPSLTTTDGTTSTISPPPPELTQTAVWTLTISGATTTTTGINPV ATVSGLGQEGSFLLCENYQGEHAPMCQPEDGSSLDPGPVYYVTWNAMYFASDNASVQV EGTFADGEGFASEAIPATLGFYTWNTTGISQKLASDKSFLEVSLSLITLDTNGSNTAS RQQGPTVMFSSPRSHGSSNRRIDVLTVVLPSILGVTILVIMCAICVIRRKRASLPGWL TRLFTAPPPPRYNHSPPRVITFDTKPPRIDSAIPRYELQLTNRDSWSATSLGREPHPG RNVFREEMMRQNRQRG PFICI_10189 MVNFKNIAASLAVSSIIGSAIAHPGEVQSAKQIKREIEKYTKAQ HKAVRSMAKVENTPQALALKARAAERRAATVAALREKRGITTKSMFGKRSQTDLNRYL AESHDLSALGYTLDTPLDVIFGSNATSGLAPEVTIGPYFVSGEYIRTDVTDGSEGVPV HLDLQFIDINTYTPVPEALVDIWHCDAVGVYSGVSNGGQGGLNTTFLRGVQQTDSDGV VQFDTIFPGHYQGRAHHIHLLVSEDPEILPNQTYIVGKTDHIGQIFFSQELITEVEET SPYNTNNQGLVLTASDGIAAGQATADHDPLVDYVLIGDSLEDGLLAFITIGVDTTADY SDNYTPAAHYQEGGGVDTGNGGGGPGGPGGPGSVQSLF PFICI_10190 MGDASPETVANGKCQGADCENDAGALQCPTCQKLGMKNGYFCSQ DCFKKNWSQHKTLHKPAPSKTQNGTTSVTGFYNPFPGHTFTGSVRPVYPLSPRRAVPK SIKHPDWAVTGIPKGENKLSRTKIDILDAQGQEAMRKVCRLAREVLDIVAAEVKPGVT TDYLDEVCHKACIERDSYPSPLNYNNFPKSLCTSPNEVVCHGIPDQRVLLDGDILNLD ISLYHGGYHADVNETYYVGDRAKADPESVRVVEITRQCLDEAIKLIKPGTPFRDFGKV IEKHAKANNCSVVANWGGHGINTEFHPPPWIPHYAKNKAVGVCKPGMTFTIEPILTVG KPREVYWPDDWTNATVDGKRTAQFEHTLLVTETGVEILTAATKDSPGGPVPMPTTATA S PFICI_10191 MAKFLKSFVAIGLVTSVLGHAVLQTPQPRVSGDKQVELCGAAVT TALKKDLAGPIEDALAKDDGTYNCNAYQCRGYQYEDNADNVYNYTVGEFISFHVDLVA GHHPGWANLSAVDLATNTIIGDPLITWADWPISGTNDDINFNTTIPESLAEPCSEAGA CILQWYWWSDSNSQTYESCLDFYVTA PFICI_10192 MYSILRLLLVLALWAQLITSNPIDLGELGIPIANRADSSLVGYL GAFFLGADPYVYFYLSNGNNAVSFKALNRGQPVLKPTKGTGGVRDPAIVQGGGAEAGK KWYIVGTDLNIGKTTWDAAQRTGSRGIFVWESTDLVNWTGERLVVVEDATAGMVWAPE AIWDASKNQYLVHWASKFYSTSDASHTGSPSNIVIRYAYTSDFKTFTSPKTYIDKSTF NVIDLDILPLDNTGSNYLRFLKDETAKTVFVEYSTSGLFGSWTRAGGSSGIITSAVEG PAAYRDNQVDGKVHVLLDFYGSDGYRPYESTNPMGNSWTASSRSAFPSNLRHGSVLPI NQSLFDSVNSKWGG PFICI_10193 MAWAANPFFTARQQASPDPEASAPITESVRKGFLSPSEKITGHQ IFYLLVPHGFIAAIISGVINLAIAVGMYGTTKQSINLFQFPNTLVGDATVTIILQCII TWLAELALVNQDLKHSRVQSIGSFSEPKNRLIRWFMFLDRTDDAKYEGGCLAHWMVFL FSQVLRALLIAVATFALFIGPTIGFMTLVGTRNGGDWTYVGTVHPSLHTWVPMMFKGI LGASVGLLTTPLFAMFWLVRCGWALIRNEKHYGEC PFICI_10194 MENLSNVRALSTLIAWLAIATIILLNLVQKFTRSAKLESSTIPL LNRPNWYDIGGIRARLAFVLNARELLLRGAESGRPFRLLTDMGELIVLPAEYGKEIRN DTRLSFGEVIEQNFHAQLPGFEGFRQGTADARLTRDIANKQLTHSLASVTQALSEEAE TALQALFPDSKEWSDVLLREKVLQLVARLSSRVFLGDEGARSQSWLQITTEYTKTAYI AAYILRLWPPVLRPLVHWVLPPCRKLRGQVAQARRIIVKIIEQRRKIGEISKAAREPI AQYNDTIAWFEQDSLEKDSQYDPVVAQLIFAQAAIETTADLLTQVILDIAKNPDLFEQ LRSEVNREIDKGGWTKSSLHNMKLLDSVLKESQRLKPLAMTSMHRLVLEDVVLSDGLV LPKGGVIAVSGDRMWSTAVYEDPDCFQGDRFYKTRMEGGTLGNQAYFVSTGIDHLAFG YGKHACAGRFFVAHEVKIAMSHMLLNYDWRIASHSSSDANPVQFGLTMMANSKAQISI RSRAHKGG PFICI_10195 MLEIKLCKCDKTEPPLVRSRIPIIGHLLGLGRFGVSYYKQLRHD FTSWSETTKLPILTIGLASQKLYIVNSPTLISQINRRQKVIDGGPPFLEIVFGKLFAF SSDDMMALSKDSPGNGTLRGDTQALEHSLLARGMGSLDEIFDGIITAAAYQLPNLPDD GQRTIELGAWLQEALPMSTAIGLFGPDNPFSHDPSLLQSFWEFEGGLKALTMGLFPSL TASGPMRARRRLVEAFKSYVGTNKNYTATGQMPCQLVHGLGEVAKRHDRDDAYLSRYL FASFSAFTINTVPVTFWCIGHIAIDQALLSEIRNELNAALASSSWEHSVETGTGPRDI WISISALREHCPVLVSTLNEVLRYVSSSTGTMMVHEDTWINDQYWLKKGALVQMAAYA IHSDTKNWGQNAPEFVPRRFLETADEPAHPSAFRTFGGGNTLCPGRHLACDEILAFTA MFLYSFDIATAPETPKLPQRNDTNMLSVLKPKGPFKLVISKRSKALRVAARRTV PFICI_10196 MQYTAVSEVSTLVSTAFSTKWNMSQLLPYCVAIVALFFLKLSLS SQHRSTNPAEPGQIQPRPWYIGHMTGMISRHTHYFNDLSKTHGVDIAILPMPLGKIYA IWDIHLIQSALRSSAMSFDAIMMQHARGLLGLNERCLEVARTGMLRDLMRLTTSLLSG RSLATISVDFLNHAAPVLNELGARPTYCISDFYDWVQTIATLATTDTLYGELNPFRKD EGLLVDVWLFEAGLRRLAMNIFPAVTARRPSHARDRLVRAITPLFDETISDQSLLPTL TLKRVEVIRSHGITDPEQVARIELALLHGATVNTVPTLFWTLTHVLACPELVRDIRRE ARTYIQPGAEIVVPFSVLSDSSPLLMSVFRETTRLVNSAMSTRFAMKDALIADEHGRE YLIRSGSTVMMPATAHLSTDVWGSDAAEFKSTRFYGWDSRDKPEIKKRRAAYMPFGGG KHLCPGRNLAQYEILGMVLAMVMAFDVEDAHSPNKPVQIPQLDPARMGQGVGKPTFSK PGNRLAAKLSVRTGWENARWRFTV PFICI_10197 MTAAIALLWNLRRIGTRWQAKNVPCASDVVVSRASLVGRRLAST IIALTILDIMTSAPPPDPAMVGLENQSLSGMGCMSPACLAFRVIGTVSFWLSTALINL VMANTVTLLSVLAGWTLPTVCPPLYGDLLESYSIRNFWGITGHADLATHYMSPKEQHQ LASRYLRLWAAFAISGLVHHSSDLAMGVASSDAGALKFFLLQPIGIMLEDGIQALARH GSIPKFIRLMVGYFWVLLFLSWSTPTWFYPQQRLGIRSEDLLPIHVCTYWKIILPL PFICI_10198 MASEVAVSADESHFLLEGREGLPRTVFSAKTVRLQKRSTRWHIT GRSWFRSLVASTPVLLAPLASFIFYITLAEFDGSLSDFATAVVQQGFGAVLYAYQPRF TLKATAAHCCWIVLQAVLALTLPGPKKYGQPTSTGHVLPYCVNGLSAWLVTHLVLAYA CWYGILDPAFVPRNWGALVCAMNLAGFSISLLAFAKAHLIPTIAEARRFSGCSAYDFY MGIELNPRLGDNFDLKLFTNSRIGMGAWTVIDLSNMAFQYQEYGSIGSSMILVTILHM IYVLDFFVHEHWYLGTIDIAHEHYGFYLAWGCFAFLPTTYTLQTQYLSQQRPAPLTAY EAAMFALGLAGYALFRSVNHQKDLVRRSLGNCLIWGKPAECIKAPYHTSDGNRGENLL LVSGWWGWSRHANYVGDLLLSFSMCALAGSGKLLVWFYVIYMAVLLAHRCVRDEARGS SKYGAAWEEYCRRVAWRLIPGVW PFICI_10199 MTSLRPKYEFGGPLGAAAIVLGLPVLLFVFHLTCNDVSGCPIPS LLSPTTFTWEDFTAQTPWPRDGFQSLFSWEATGWLLAYYLVSMTLHRVLPAQEVYGSK LRDSGLPLKYRFNAFSATVIQLSLCAIGTYFRGADFIVWTYVTDNYLQLLTSSTILAF GISMFVYVLSFQVKPGNSNLRELARGGRTGNFVYDFYIGRELNPRLTLPFFGEIDLKT WLEMRPGLTGWIILDLAFVAKQYRDYGYLSDSIILTSTLQAYYVLESHYLEANILSMM DIIADGLGFMLTFGDIVWVPFLYSTQCRYLATYPLHLGYVNLTAFGAIFTIGAYIFRA SNDQKNLFRSNPNHPSVSGITYLQTKRGTRLLTGGWWGISRHMNYLGDWLHTLPFCLP TLMAGYLILPAGTPTDTVSIEMLDGKQVVQGDAKGWGMVYTYFYAIYFAVLLVHRENR DDVACAEKYGKDWDRYKEIVRWRIVPGLY PFICI_10200 MATSPFSWSGTGLSPEEQLAQVPSAFPPDGVTPNFIDPATTGPA ARVIIGLSLGLMYILLCLRLYTRIVATSAFGADDLLCLMSAATNTAYCGVVLSALGSP LGPHQWDVPLIKQTEHFLNKAFVFVCLYALAAMFLKSAFLVLYLRLFGPSRAARILIW VSLVCINLFYLLIIIIDSAKCGSALRNGVIPTIDPENFSLLEYLGRFQQIQTSSGCAY PQIVLSAAMSLVSVITDFYVLAIPIGLILTIKLPTQRKIGVSAIFLTGLIACVFSIAS TVYRFQLLHSDDFNWIITIALSLTMSNDQARNRSAAEINIGICCCCMPVCYVVVHRLA TDFRSSWASLVRLASSGRRGQSTKEVSGQFGRSVSNDSPYQQPLPTVPRGTITGLRSF VQNVYRSRPEPMSELQSYNDLISVDENYHGRPT PFICI_10201 MNTVDQAAEQYQRELGEEPAGVPENDFWGPTEDSCHDIIPFGEG HDIFIHTMEPPALQRQIVASDARGAHGQIPNSTASFLTDQREDLIPNPYESPRFNWPH HTTEDVAMWKGFVPDSSSAQLNAPDGSGHCASATERVLDAFERNHAGLRHNHDNTVSA TTDFPSAPEPMLDIEALPNDFFNIPGLMPGSDELSDLSHDSSLGAMVNKRDHTFPKSQ WRSLKRDKCKGTEGSKCSMCIKHLENLRMYSLRSNSPSINHIDIGPIKLGTVAFYEIN DMHYLLVKIREEIDSLYSPSQNETLEASFKGDLICHISDGGVNPHSITDPAVPIPILE LSTTSAARRKTRGQSVAPALNARQLDRFIDTKAPPGIFSKLETSDVGFTIPTQPSSPA RADVLQTKILNCALRSAYYFGILFNWTSNIILYDPREMNKVARGSCGNGLQLAKNLVL ETLYSIVHRIDCLVHQLFEWVGDSFKQHRNSPSDPATISCALWILYSSVNKFQKLDWN SIHVNELRKFLDGLRDRSKAALVSVQRYNWMVSYKCCGQRSDYLTEFVDLVEKAKTPS FVVSFAYEYQYENPFFLSLHGVGTGQLARLSYVDVLKKRGEEEDPIDEFYRLSSRSPA YKPGSKQDGARYPRFTQEQGRFDQASHEGTHSSLTRRDHRFRCEDKSKARSVTREDID KFANLSTTHTKANDLAILSDDWESNCTRKRQASSSVQSITSTGSGEEGLKSKDVIVAS RQKRIRMSRETPRPTPFLGSYKRLGDMLQSVFGKITGN PFICI_10202 MKSVLASLGLVGLAVAESRTSAPSGCVTVSSSGGDYTTIQDAVD SFSTSSSEAQCIFINAGTYSEQVLVSARSAQMTIYGYTSDTSSYSGNKVTITSSLSQA DGLSNDETATLRVKADNFKLYNVNVNNGYGSGSQAVALSAYAASGYYGVQLTGFQDTL LAQTGNQIYANSLIQGGTDFIFGQNAPAWFENVDLRVLTASSGYVTANGRSSSSGTSY YVFNNCDIAAASGNTVSSGAYYLGRPWGAYARVTFQNTAMSSVINSAGWAIWNTDDER TSNVEFDEYNNSGTGSEGTRASFATKLSSAVSISTVLGSSYSSAGYYDSSYM PFICI_10203 MSSKTLKIAVIPGDGIGVEVMPYGVQCLKAAAQVFGLSLEFEHF DFASCDYYEKHGDMLPPDWKEKLSKFDAIYFGAVGMPSKVPDHVSLWGSLLKFRREFD QYINLRPCRLMPGVASPLAGRKPGDIDFWVVRENTEGEYSSIGGKIFEGTERETVIQE TVMTRVGVDRVLRYAFDLAQSRPRRKLTSATKSNGISITMPYWDERVAEMAKSYGDVS VEKYHIDILTAHFVQRPQIFDVVVGSNLFGDIMSDLGPACTGTIGIAPSGNLNPEGKF PSLFEPVHGSAPDIYGKGIANPVGMIWAGQMMLEHFGYKEAAAAMLKSIENVLARSDQ AIITGDMGGKGTTKSLGDAIEAEILSFSKN PFICI_10204 MFDGFKSFKLTTQSAPQVDIYGLRSGDSSSEKPALLLLHGFPQT HHIWHRVAPKLSEKFTLVVPDIRGYGESSKPEGVEQYAKSLMARDCISVMDQLGFKSK FYVVAHDRGARVAHKLLVDFPDRVHKAILLDICPTLAMYEATNFDFAKAYFHWFFLIQ KAPLPETMILSDPQQIAEMFMGGRQAGGLEIFEKEPFDAYVKGLADPAGVHAMCNDYR AAATLDLEESRKDLDEGKLIQVPLRVLWGKSGVIEKCFKAVEEWKKVSAENVHVDGYA VESGHYIPEHVPTDLLQSIEEFFP PFICI_10205 MDDLWVGDSVSYLFHVTFVVFVVRFTLDCLVHGLNRGRTQTVSG TAPRGQSAGVDVARCRRFEVEQRVTAAAIESKSGPIKGYTEALEHRLEVTENALLRIL SVVDPTILHTAFASNDGQGPVRDALVTTTAVKETPHIRSSEDKSALMAHWEEFALENS DDILAWSNAVHDARGHTSPDDIDGTDEDTASVPIAQADGYPEYLPQVAAGDDTGLMSA NRYPNTQQTTTSSIPGSSAPALGPNFVTYSGHIQQRQQEPDDGQHSANNDEQINAVSQ DFRQQYLW PFICI_10206 MPTVESSSIPPIEIGSFDAFNPQKSEFIGSSSGVFFVNTVFHTF ARHRRAAPQGGIEAPEPAGTPSVHEYVGTAEPEQPTATPRPPINDLSGQAHSNAYGID LPGLGEPPCEKVAKNLMKHYFQYWHPFYPFLHGPTFFDSLESIYHSESSNPEDHSQAY HDKLCRAVTYQCIFNIAASAHPHKLPEASQIRSPSVLTDIVGTLSARHDVASFQALIA VELYLISIMSLRPASTVHGLLVRLVYHSGLHRCPFRYIQLTREMCEMRKRILWSVYTM DRYLSQSLGYPLGLQDSDIDVCIPGAEELHKPVTLRLGSGNPAHSSVEDTRSHLPRSR STPRSTEDNQLVPQTLQQMPSTISTGSIGHGGNDPPASQTPAFRVQGHFVTYSQLVAE ALELFHKSLHTRSATLEKITDLTCRIQSWWNGLPVEFQDDDILDGKENGSHGPFVAFF TISYHHLLILINRPFLSLKQDTMDFRSSLQRAIGASRGVVSKLRLISQDPFLTSCPAI LSATWMAGLVLAFATMLDMYPLSKADIEINKCLVALRSMSPRWTNARSCQHALKALLS RLHTPSITSQTTTTEQAENGDDRQSLNTDAAAAGETEEPRATKRKRIEDASKSATSTR VPAENLNQPLSEDIPAWPTWTPVLQYNGPDFGFDALHLGFQQGDGEGLGEFDFSNAPL LTDMTVSIVSSLDKIENAH PFICI_10207 MQQQKPVIIVGGGLAGLVAAFELSQRNVPTLIVDQENEKSLGGQ AFWSLGGIFMVDSSHQRRMGIKDSRELAMRDWFGTARFDRETEDYWPRKWAEAFVNFA TDEMEDYVKARGMGFLLNVGWAERGNGLADGHGNSVPRFHLTWGTGPEVVRVFREPVL EAAKKGIVDFKYRHRVDELIVDSTGRAVGVKGRILEPDESERGVKTSRTEVGDFHFEG SAVVISSGGIGGNIEAVKAAWPVERLGAPPKKFVIGVPAHVDGRMIGITEKAGAHVIN RDRMWHYTEGLSNWDPIWPLHGIRVLPAPSSLWLDATGKRLPPFLFPGTDTLATLQYI GKTGYDYTWFILDQTIIAREFALSGSEQNPDLTNKSIWQFITGRIFGSKGTVPVQNFQ KHGEDFVVRDNLKDLVEGMNALAQKSDGPVLDYEQIKEVVDARDSQMDNSYSKDAQAM LIHNARNYWPDARGRVAPPHRILDPKHGPLIAVRMNILTRKSLGGLETNLKSNVMKST GEPFPGLFAAGEAAGFGGGGVHGYSSLEGTFLGGCIFSGRAAGRAIAEELLGGEISKP PFICI_10208 MAPPSAEVDLHSESSPAILLKKTSNSSRLAGPLTYSGSLDSYEH FDVTSVIGREFPGLQLIDILGDDNKIRDLAILVSQRGVVFFRDQQIGIDDQKILGQKL GELTGKPLTSGLHRHALSNSKRGIAVDENGKLDDEVSVISSEQNRKFYNDRYTAKSKK LGSLGWHADITFERIPSDYAILKIVQTPEDAGGDTLWASGYEAYDRLSPAFQRLAESI TATHYQPAFNEVKEKFGEDLIDEFRGAPENTGLDFQAEHPVIRTNPVTGWKSLFGAGH QVHAGWYNGVTERESEILKDYFNQIIFENHDLQVRFRWNKNDLAIWDNRSVFHTATNG KRRGNRVVSLGEKPYFDPNSVSRREALEKNGS PFICI_10209 MAQKPVIISGAGLASLLLAQSLKRSKIPFQIFERDASLNFRGQG YRLRLSNEGLDAIESVLDAAAWKRFWDHCGQTGGSGFSGFNAITGELTESATGGESLG SRDGKIVGIARGDMRRLFMEGVEDQVHFNKHVQSYELVEDGVRAVFNDGSKSVVGSLL VGGEGIKSTVAKQVSGGRLKVYDTGARGIHGQAPTAAFKDLGEGVFRITDSSRSEGTV SIITNVRPNDMDKSHVEFGWTMAGPPGSIKAPNDDYAIVGKTAADLAKNLTRDWDARF KPLFDQMNESEAAFWKITCSTPTGVPEWPNEPRVTVIGDAAHSMTPAGGIGANTAVQD SALLGRLLREAGGYAEGVTAAYEKGMRVYASAAVAKSYGLATTHFKVHIDENSATV PFICI_10210 MAFLKTVLLAALGATTVSAHSYVLDIDIDEKSYVGFKPINGSIN DPVIVGWKTSAWDQGWVGKDSYSTSDIICHKNASNAQGHAPVAAGDKVHIQWNGWPQG HKGPVVDYLAPCGAEGCQSVNKTSLEFFKINQGGLVNSTAMQPFGEWATDQLIANNNS WLVEIPDTVKPGFYVLRTEIIALHNSTTGAQHYPQCLNLEVTGNGTEVPAGILGEQLY NTMQPGLDQRLNITAGITSYLVPGPTIIPDAVSISLTNPIPTGTGSVVTATPSIPPNS STSLAGVAATTTRHRNGGALLSRPTPGPHVDARRWYHQGLGF PFICI_10211 MASSWSWDPATVRQSFGSSSSPFLPPNQQIAPPAPQTGKENIQP ALPAPQGPKPNTSVAVPSGEKPSTEGTKTAAATKAASRKRKSDAVTEPEPVPEIDDDD PRLDYPDESAQKVRAKVRRFIDSGAMKVGEFQKAIDVGSVQYYRYMNQNGTDAGSGSD MYYNAWKFFKKRELQGVKNTAPKKAKTTATAKASGKTVPDSLDVGGVTLDGEDKGPVP VYDTCDEVRKKIRAQLRKDDVTQAAFLREIGKSFNPERKIQSKQLNDFLAKKGPLDGN TSAVFYGSYVFFEKLRVKQNKPKSATRLEMEKIYGKEGGVNITEPQNRGLWLLASESA YTDKYGQTHIVKD PFICI_10212 MAKIGIWRWLRIRLTVYLIRFILGFRMRSVAKRDMIRFGEMKPR RVRIPSRDPGRFIVGDLYYPTGESGTTTSAKRPILVNWHGSGFVLPCHGSDRVFCTRF ARETGFVVLDADYRKAPENPFPAAVHDVEDTLKWIASKPDEFDATRVAVSGFSAGGNL ALVAASTLRQDLVKFGVHVLAVAALYPPTDLSIDAASKKVPNPVKPIPAGTAAMFDDC YTPESTLRMDPRVSPSLAEPSLFPLSTTIITCEGDTLAPEAKALASRIEEAGKAVVHK TLPGMPHGFDKDCTDGSPQSEQREVAYSLVIRTLQAALKK PFICI_10213 MLILTRLLLLTNIFSFLVAALGPLIPFVDCDDKFVVSAASILST YSPALAYCSRVIPLPISFITSTETVTLDATVTTTITVGEAAQTVTVTSAITTESEGQQ AVITASGHPGHGHGGRHGHGGHGTHRGSSTHGGRLPVYGGHQGYQVNPHYGPGATHTP VPDTEAAAELAYLQASSTELLTSVCSCIEDPVRSTVTTTDLVAPTFTTTVSIDPIETA TITELQTVAGAATVTSTIISTALCTADATTNLVLNGGFDDGDAPDWDIAPWHIGASDR GFGTSFFSSDNIIAVSQPNFAGFPADNSGTSMHLYQTLTGIPTGAIYTVTFWYRPSYL GPVSRVPGIDGACHLDVSWGDSQIASLPFNTANGNQGVWLQYTFDNIVQLDSTADLTF YYHCDAQWLGDGYATSFLDSIAIFPSDEVLCL PFICI_10214 MADHAEKPSRKNILILGGSYAGMSVAHYALRHIVPKLPEPDEYQ VVLVSIGAEVMCRPACPRAMISDEFFAQEKLFVNIPKQFEQYPKGSFRFVQGAATKLN HEKRTVSVKLAGEEGPTKTISFHSLIIATGAAASSPLIGSNGDQDRLRSSWREFRRAL PTARHIVVAGGGPTGVETAGELGEYLNGRAWFFNSKLADPDVKITLLTSSSKLLPVLR PSIALKAEKLLAQVGVTVVKDAPVVKVSSSAAATSGTGENSSSGEETASFSAGKVTVA LKDGTSMEADLYIPAFGTTPNTAFVDGSLLAADGRVQTNAATLRVDKAGPRVYAVGDA STYARAAVHHILGAVPVLGANMRRDLLAAVGRSDNSIDREFKEEKREMQMVPIGRSKG VGAVFGWRMPSFMVWLIKGRDYFLWTTPALWNGTHWAKES PFICI_10215 MGSVEDTTTFEIPKECLAGVVVNEGPDFHVEVKNVPVPEIGPDD VLIKLNATGLCMSDVHFMLNDWALPAMSTFNTQCAGHEGAGVIVKVGENVKRLKVGQR AGYKPIQDVCHSCWECQNGRETYCLNGVLTGLHIDGSYKQYVKSPERYTSLIPDGVSD HVAGPVMCSASTVYTALKESGLRPGQWACFPGGGGGVGIQGVQLAVAMGLRVIVVDTG AEREALAKEMGAEVFVDFKEFENPPERVMEITAGGAHGVFVTAIQSYPISVDYLGLRC SGVVMCIGLPPAGKFHVDVDPTRLIFRNQSIKGTCVSSLADIAETLEFAERGKLRLKP TVVGLSKFNESVQKLKNGQVAGRIVVDFNLP PFICI_10216 MAAVAACPVVGTTNDTLPPSHPEIDLSKPGQICPVVGAKTDHHL NLHKHPQVPLPAGHPEASQADAQKCPVLNKAVNEPESQAMDDKICPVVGTATTVLPPD HPSTANAAEGDVCPVTKATVGHHKNKVTTHPSVDAAEADAVCPVTGAKGPRH PFICI_10217 MESSTRMEKTRTQDVEGQSDTSKAPVSHEIEKLAADHRQYLMDR HGSLALEPVPSMDPADPYNWPRRTKIVTLILVAFHAMMGPFTAAAIQSAYVNIAEDMN IPVQQATYLTSLVIAFLGGAPLFWKPLSNYYGRRPIFLIALICSMAGNIGCGFSPSYG TMALCRAITAFFISPAAAIGSAVVSEMFFKHERATFMGAWTIMVTLGIPIAPFLFGFV ALRVDYRWIYFILAITNFVQFVLHFFFGPETLYRRDFAVKPSSPKFKHKYFAFGRINP DPLSWRDFLHPFIYFTRPAVWIPAMSYAMIFLWAIIMCSIEIPSLFPEQFGFNTQQVG LQFLAFILGSIIGEQIGGRLSDYWMNYRRKKTGASVPPEWRLWLSYPGQAFAIIGVIV FLIQTSAASSVWNITPLVGVTIAAVGNQLVTTVYITYSVDCYKEDAAGVGVFITFVRQ IWGFIGPFWFPQLIEKAGLRGTAGVATAMMVVFSVLPTLFLQFKGRQLHRVKE PFICI_10218 MADNLALSLYGLSASELRELILALCMEKKVKDAARCHIDVMRGA SVSTTPTAKIASSHFIPYTLPAAAAETDPFGPLHLATPPTSQRHHLPSLLTSPLDPGK VTKTPSKTSAKNKKKLQEQQQNLSPIKVKRTTLYHTCANCNKRFRQQDNGVHACCYHP GQLVSSSTLDFADDWTRTSPRTKKYSCCGRGEKAVGCQFERHVAEASD PFICI_10219 MRPLLPRPGPPAAAAAAAAAPALAIYDSSIPPVSKTLTACNECR QKKTKCDGVRPTCSRCRRSRATCVYASDSIVAQNHLKRKHKELQELTDLHKELVNILR TRKQHDATAVLQRLRAGESVESLVRFIKHGDLLLEVRLPTATQMRYNFPMLPPTSLPP CLEDVNNPYVQSMLYYKTFSERRRPNIPSSASPRNVPAYEKQYEWPYHAAELVEPELT HVRAAQWTSVTDSDDLIRALLKSYLYYDYPSVAVFQKEPFLQNLVAGKKDYCSSLLVN AILACACHSHRVPGSSTDFWNPRELRYQFMAEARRLWEREQSRNHIASVQAAAIISYV LNCDGVDKVGFQYLQRAIRMADDMGIFKQYNMVADSTRQAVYLTTAWALYGLHAMQTF LTRQAPIFFEPPPNFLVDVPSQDSHPGELLVLYPQASAAIPVYHSLVFSALCRLMIIM HDVVIRSFGSGRFSGPITLNEALQYRERLLAWEQSLPPALQSSQIACPVHIKLHMQYW ILIIFLFDRFNQEIYTGSQTCRPDETLKARTIATAGWNCLESLVCIYYARHGFEACDP LIMSCLHFVGFAALKDLSTTTGPMRTSRLATVFLCAKGLREQAYHYYMAEVVFALLRD SLSPADAQHLRQFAYIENEERRKRFMAEHVFSHYVLRSTDSHDETQKGRLDELMKAYQ ILDLEDHAENRDHRDSGRDAMEGIDYSDREYSNSTSRSPS PFICI_10220 MRAYALIGLLPVVLGCSNPDSNACASYISAHVTVCSKYLNTAVT ATTGLDSWASNCSNKPSQISKECSCYTTGGGSGATSTSTAGGTTTTKATSTTAGSGST PTGLTTKLPASSGAVSTSVAITVSGSFDGGMKKYDRYPVVCEEQTETGEADAIFVLKS GATLSNVIWQDVCEDAITLKQDSGTSYINGGGAFAAADKIVQFNGFGTVNIKNFYAED YGKVSRSCGNCDNNGGARHIVIDNVVAVDGGVLCGINGNYGDSCTISNSCQDDGKSCD NYKGNNNGDEPTKVSSGNDGTYCIVKSLSSSC PFICI_10221 MSTIQQNLEQHNAEYASQFTKGHLALPPAKKYLVLTCMDARIDP AAAFGIDLGDAHVIRNAGASARDALRSIIISEQLLGTREIILIKHTGCGMLTFQNEDA HGIVKKNLGDAAAKKIEGLDFLPFPDLEQAVRDDVEFLKSVETVPKDVTVSGWVYEVE SGKVRQVV PFICI_10222 MALEGGRFFIQDKLVTPGPHHASFKALWETKWREPASHCTLGLY PFMFGALQDFEPVVKDIIQKDLKEPYNWDEYASCFFPKAEELVTRAIAAENSGDRAKA SELYLRASAVYRIARFPVVRSPKQQHAWNAGKEAARKGLELHEFPMVEVDIPHTHAQQ GDRDVLPGFYHLPKHASSSNKVPLIIIFTGLDGYRTELAVWKEGFRQVGCATLVVEIP GTGDNPAAPSDPTSPDRLWTSMFDWIEKQEGIDQKKIVNWGFSTGGYYSIRLAHTHAH KVKGAVAHGGGCHFMFDPFWLDHADYLEYPFDLSHSLCHKYGYGTDFERFKKEASGKF SLLEDGTLQKDCTRLLLVNGVGDEIFPVDDYYLCLLHGMAKEARFVPGKKHMGEPESF NVILRWISDLLELNIDIKRFMSTIPSRPKY PFICI_10223 MNYHMCGRVLFARRRPCNFALFFIAVFLLWAFGIISIARREPPP LPVKIPDLEPEHPVHTEDVYNKTLGFEKVFVLGLPARTDRRDAIVLSSVLSDFEIEFI NGVGGAAVPDKVLPLGSNGRQRPTNLEVGSWRGHINAIREIVHRNLTSALIMEDDADW DVRLREQIFNFAKSTQVLLQPMHATNVYFDTSLNSGSTNSPYRKEAPLNRLPWTRAPS QTPYGDNWDVLWLGHCGMQLPTREMKIPRALIGHYPDYTVPQKQYLKFLSQPSDLEEE FLDHTRVVHRVQDGSCSTAYALSRRGARSLLNDAGLKHFDAPLDIMLRMFCEGTGGRR RHVCLTSSPALFEQHRPAGTKSKQSDIADHRDEIEEHAHTDNIRVSMRMNAEAFMAMQ PLMEQYPDERKPLDEKEYENYKHKHMEIYPDLHPETNQYDK PFICI_10224 MSKLADQVVLVTGSSRGLGLATARAFCAEGAKVVLNCASSLSRP ATEQVAKELGAVAIVADVTDSEQVASLFRQAESYYGQPISVVVNNALASFKFNGDARK KLDTLEWEDFDAQIRVAIQGALNTTKAAMSGFEKLGRGRIINIGSNLVQNPVVPYQDY CAAKGALVAFTRSMAAELGPKNVTVNMVAGGLLAMTDASSATPPFVFDQIVAVTPLRR VTTPEDVTGAILFFASPWANAVTGQQVIVDGGLVMS PFICI_10225 MSTELPGERALGDFHWNGVPLRNVPASWDDCKSLLDHTVWIVDN LRRCPFNAPRVILENSTKIERLFRPQMKRNEPQDKWEKAHVDLNDENKATALAYYLFF DSDQLILDRGVNCCGQCRNENNFGPGDTCFSGLKHVLQGACFNCVYNSTSDLCTFKRA ADTGRFLGFTQNLLKQTSTEALLDMYDMTLEELEARRRRGEEES PFICI_10226 MSGMVAGEGEEGQIPRVLGHVEERAGRRVSRDAGSADNMPKETH QLPSPRPSPSTDPFPELEMTVSPLVMPLDAAGKADTKTLPQIKEGEAEIIETVLNTKE NISSSESTSNQNSDETSTSNSSSQQDLAQASLGNANNGIDSTKASSPAKRDTTGTVRK LSASQIQALASSPESLPVAIVPPQSPAGAQYKPNGMEYPLSAGLVESASRLTANEQVQ NGVGLGIQPAEQDAARAQNGNDKTYSEPLRSRNFSEVPVLNRTTPETSRPRPGPRTLS QPPITRRPTLGAATATSPNSSRRFSFNPAARPPPLNLSNNSNTTPAPRRTTPASRRPD KTPSEEIGNGEALQPAAHIQPATHVQSATHIQPAIPLPPMSLHTHLQLELAGVRPSPL YIYRHQTSDIPYESSAVKFERLLNALLVPPYLESTLMFGTLACLDAFLYTFTLLPLRF CMAIWVLMKWWGYMVWKEVKWLTGFVWQGIWRLWKRGRRGRSTTRPSASRAQSSQATA SENERSQSRARDTAYASDATTASLDAGRLNGNAGFHPPKHAMPRHKPSGFRHRRTKSM PSDLTPYHKADLLQGLVIVCSSLALMTIDASRMYHFIRAQSAMKLYVIFNLLEVGDRL LAAIGQDILECLFSSETLSRNSFGRSKVLLPLGMFVLSLIYNVAHAVVLFYQVITLNV AVNSYSNALLTLLMSNQFVEIKGSVFKKIEKENLFQLTCSDVVERFQLWIILIIIGLR NIVEVGGLSVPGAGSEIDPSMSGPGPIHTASNLPASFTLLPSWMFSGEVLSPFLIVIG SEMLVDWAKHAYINKFNNVKPTIYRRMLDIFCKDYYTNAFGAPALTRRIGLPLLPLSC LFIRACVQIYHMFLATHLPPPMPTATMDLSLESSVPSSAAMLAALDRLDNLLRNALGR AVYGDPFTQQEGHSSWSFSSDDAVALITMLTFFLLAWLILLLVKLILGMVLLKYARER YAAVQAQEQAIANGDHKRESFFQPGKRVGGWGQVEVGDERRKLIYADDPDGLRRMRDR EKKGEQAGKKMEIGDDGLEKVTRYEMIAKRIW PFICI_10227 MKLSTILSAGLLAVYASATPIVTTGTSYNIPDGVSIQEMVARGE DNLVRRQANDTGDSSFTANDFLDAGCKDVVLVYARGSTQDGNIGDQPGPQLASSLQTS LGADRVAVQGVDYPAGLAQNLIPGGTNPDDAADMADLIANISSTCPDSQIVLSGYSQG AAMVHRATEQIDDETVLGQIAAAVTFGDTQKDQDDDQVPNVDTAKTLILCNDGDLVCD GTLIVTKAHLDYTGKVQQASDFVTGLIQ PFICI_10228 MSSFSLDYDDERKAQVKEYGQLLKAYFDNDGKKRFQFQKAMGAG ASGLAWLIKFNPAGGFPNEKKIILKTNIENALTEKDYVEGSSYKAKLDKGMEKEVNML KKLRFARHIARILEIGDDPLQTPFPLRPHRNEISFYMECLPNGQLYDFLERVIDSDDI SHLPNRVLWRMFLCLIRACIGMQWPPEDPGDGSAVKERVRASAHEAKITHGDMHNGNI MIDDFTLDEDEHDLFPALKLIDFGMATEDPSDLNVEDENVWDIGLIMMTAITLEHGWI HTYRFNPTVKTKLTPGGPEYDTVATGLLPDEDDITKADPVPWLDNDLRALVCACLAKS DHHRPKVVTLATAASKAVETYDAMHYMNQGSRDFMLEGDSAIKAIVREFLHAPPEY PFICI_10229 MATASKDPPTKFGVVLFPGFQSLDVFGPVDILNFLSKGRDELRF SMLAETLDPVSTALEPGGFGQSVMPTHTFRDAPEDIEVVLVPGGMGTRRDDVIAPSVE YVKRVFPKVRYFLTVCTGSALAAKAGVLDGKRATSNKLAFEWVKSVGPNVDWVPKARW VTDGNVWTSSGVSAGIDMMFAFVADRYGEDVAEDISIRSEYRRNPDSTDDPFAKSAS PFICI_10230 MYSYSAVAPREDEESLLPGSPTLQQPHQRSAGRRFCSMPSMGEL IARTLLFMRPSFMMRGTAAVEGSEKVVATTKKHGTEYLDGVRGLASFIVLILHWSHIP YPAVNAGWGYQGNTSFWLLPFVRIIHSGAAMVSVFFVISGFVLSHRYIQRMHRREYNE LFASLTSITWRRAIRLFLPAFVSSLMAFVFACVGIIVVPHKVNGEPFEHSFSAYLDFL DAESNPWDWTAEFFGFYNPQLWSIAVEFRGSMIVFLMVLALARTRTGIRIAIETFLII HSFGHKRWDVALFITGMTLAELQVIFRKPTSGTRMRVVNGILITALIMGLFLAGYPRD GNIKTIGYMWSKNVWPYSAYRRRFWIAIGSILIVGPMAFLPSVQAIFLTRPIRYLGRI SFALYLTHGLGNRTVGKWLLNGCWDYIGKEGFWPYTISFIVSTSLYFPFIFWISDMFW RAVDIPSTDLAKRLEKWCSSPAEPER PFICI_10231 MQSPGRLPRLKIPMSPIEHSSFPSRTLTSRPVRKALWGLVALSV LWLLLSRTWGNVASVSYETYNKLPSLRKGNAGSSAGYSQQWVGGGAALPATEEGVDNG EIPVIPEAPETAPEAAAGVLVAPPEKESKSTSGGRTLITPVPDVIRISFEEAVRDVEL DGWEDDWFSSATFDAEKEGPLAEPKIDFVYNWVNGSEESFNKIRHSFELQSPLNDPSG KWIAQHSINRYRDWDELRYSMRSVNKYAKTFLNKIQILVNSVNAKASYNKDGHGMIPQ RPTWLKDDASTNDFVEVLGQEQFFSEQSRHCLPTFNSLSIEAQLHETQSTTDRLVALS DDMFLGMQHAASDFYSPLFGSVMGFKTDSYNVNAKKLGSGSGPTFGEKPFAHYTSYLL NRRFGERPRRVQAHFGHSVSRSVMKETMASFPEPAKNGACERFRGESRFQIYPWYANF HYTIERFREALLWSYIMSRSDTNSDGYLDWSERENILKAIEPGWRQLSVKQDGRPAPQ SADRERMYYHLPNILQKAGLQPPKVNVHILWTSLDGPETIRAIKCADFSVDECFADSF GSPASDTVTPNPDFTTTNIFSRLSKQRPECGDCMMKFLLASTARGLEPLLPPKSSKKH HREIIIKALKKYQHTIIDIDAMKFVMIKDAEQAQMELLERTIKQGEIFGQWCLNDDVM TESPEQVTRVKNVMKTIFETLWPKKGQWERDDL PFICI_10232 MANAYYVAYTVLVAIPIVVLLPLFISSLWTVPQGKDTARRGFRW MKIALGLMLIGTILITASAAEINRLIDWDSYITDPDDYYRREEIINRVGDWSYLFLQV ALQFTFLATLEVALGILYCWDAGRRARTVIQWAAYAFVLVESLFTLVIMGYWESYYTA LFKYIRNDRSGDGYPDGASLFRLYNVQATYSILLGVAATALLGLAVVVVVRARKYASH RQTAVLVLVASLFFWISSLWNMVTAILVYLEEKHIDRRANLIVDPILSEWFVAVTLAL LFIAGRRRLGGLWSKGEHVSV PFICI_10233 MASIGPISAAACTVSSQMIFAISIAAIQLAILVLSLTITPRPHQ ATIITNAVTFVAALVVCVLSFLEHGRSVKPSTLLTVYLVTSIVCDSINLASVYEGHAE TRTIALLTASSGLKVILLVLETLNKRSYLREPYSSLPLEQTVADLNRIFLFWMNQQIW KGHKKLLSVADLPNLDDGIQSQGLRARAEKAWAKTAKPEHGSESALPLLKLLARCLGP TMLVIAIPRLFSTVFRFAQPVLINRTIQYVAQPATESEGAQDVTGTQIIFAAIIIYSG TIISNNIYQQGAGRIEVKTRGVLVGLIHAKCLEMRDGVYDDAAAVTHMGNDVDNVDYT ARIFQEVFGNAIEVIIGMYMLSNQLGWWSLTPLVIVGLSSQVSKFIGSSIGGKVMAWM GAKQKLVAITTSMIDYIKNIKMMGMATTVMTKIHEGRKGEVAKGTLFRWTLAYFNCLA YSVTLFSPVFTLVFYAVDAKLRGHGPLDPTRAFTAVAIISLVASPANQLLAAYPQLGS MLGTLRRIQDYLVEPSREDKRVLVESQNGQTTANGAGSDNTTKPDVAISFDNVSLKPA ATAKICLEDININILHGSLNVICGAVGTGKTTLARAILGDVPPEKGTITVSSKRIGYC AQKPWLINASIKNIVCGPIPDSEIDEEWYNTVIYACGLVEDIENFKGDKATVGSRGVT LSGGQRQRVAIARAVYARPSIIILDDVLSALDAKTEAHVAEQLLGKKGVFQKLGTTVV LITHASQHLPLADHIIVLAESKVAEQGSWTQLRSSTGYVSQLEVKEASSSSAQDAAAE KPTTVPGTTPPSGTDLMDLTRRTGDISVYWYYLKSAGLTTVGLFLGFNIAFGVTSAAS PYILRAWSESDGQDTWFYIGMYSLSAILGMCFIAGVIVSNFIYLNANAGVVLHYKLLK TIMRAPLSYFSSTETGTIVNRFTQDIGYVDSNLPFALLVVVLQLVRLLSQLILMFIMQ AVTLVCGPPLVLVLYVIQKFYLHTSRQLRFLDLESRAVVYANFLETLEGISVIRSFGW QDQAIAQNIEKLDISQTPHYVMVMIQVWLILVLDFVVAAIAIVVISSAVALRSSTTGG QIGVSLNIIMMLNLAVVRLMEQWTQLETSMGAISRIKTLEETLAPEDQESEDFEPPEE WPEKGAIEFRDVTAAYNPEAIALKGISVSISPGQKVGICGRTGSGKSTLLLSLVRLIE LESGTIFIDGLDITTIARETVRSRLIAIPQETFVLNDSIRLNIDPSGHATDDEIVAVL DKVQLWNVIKSRGEEDGSNGNAESADAADAADAAKKKEETDPLDAPLKSSPFSHGQFQ LFGLARALLLKSRSTILVLDEATSNVDAKTDELMQRIIREEFSHHTILSIAHRLDTIR DADVIIVLNKGKIVEVGAPDDLLSKEAKVGKGSEENGAVEEAEKAWFKELWDGTH PFICI_10234 MVPGPVFIALLVLAGSHVAAAFGWRGQPKVSSQSHDSLQTRFHY DTTRQPNAVRNPMTVYEIALRELQQLESEPACHRTAARLLVDNCQVLDGKDEATILTD SGRQIRDFVDAYAASLAICDLERGSFIIPTECENFREPTLRQLSLGDSAHLHVSSKEI DRCLSGLGASDSGWNTWISYRHKALRFCEAARAENEKAEHIRIFQRVAKIMNGMAEGV EQQVEKRMADLEHKFRATDGRLEQLSPKLDRISAGLHDIDSIMTVDLASALQRSADTV DAGMNSAANLQRALEILLETVLSTIAEAASAHEKSISIISERTNSEFSVFLTAMNTAV ASASSLQNQIDLAHRQSAELEYRQAHLEEGMVRLIDVTEILTGKYDQHTHLLQGAQNM TQEILDTLEVTASSASTIGDTFARQSSVASWWPYVWCPAASLVMGSYGLAPSAARNLA LVALGEIMGFVVSSAQSLSLVQFSTFKQDFFSAMVPATFFMSTHTTPTYDNGTA PFICI_10235 MLGPSRNRLATAALQSTSSSLSASSVTLPSFLVPAFHNAATPAA VAPQQQQQRRQFSQTTARPSKLGRTPISIPPGVELVIGEPRIQKDPTTYLRIPKRTAT VTGPLGSLNLDIPPYLEVTQDPVDRKVVLAIQDREQKQQMEMWGTTWAYLQRHIIGVS EGHTAILRLVGVGYRASIEERPNKAEYPGQKFVCVKLGFTHPVEEPVPKGMKASTPQP TRILLEGINREEVMSFAARIRRWRRPEPYKGKGIFVNDETIKLKQKKIK PFICI_10236 MSYAKELEVAQLAVQRATILTKRVFHEKAKGTVDKNDKSPVTIG DFGAQALIIAALKASFPDDEIVAEEEAAGLKENSELRKTVWDLVKSTKLDDAGAEKIL GGEVKTDEDMLTFIDYGNSKGGASGRIWAIDPIDGTKGFLRGGQYAVCLALMVDGEVT VGVLGCPNLPVDDSAPLTADIGKDATDEGRGVIFSAVLGQGATSRPLSTAGLEKERSI SMRPITDIAAATFCESVEAGHSSHGDQADIAAKLGITNPSVRMDSQAKYASIARGAGD IYLRLPTSKTYQEKIWDHAAGDLIVREAGGAVTDVHGKRLDFKVGRTLANNKGVVAAP AATHAQVLKAVQEVLKV PFICI_10237 MNATKRKFNSLIQNIGTRSTPQPDGFSSDRPTTAEGDSLSLTRI PSSSSAISVPQASTANMTSTTTATAAPLSAISADFLSKRRRMGTPGLTAAGENISSKM TITNITMRKWTPAGKEVKVSDSTRLESPKYCPGDREQLIRRLGTFQELTQWTPKPDKI NEIEWAKRGWVCRSKETVQCTLCHKECVVKLNKKEADGRETPVLGGLELEQALVNRFA ELTIDAHQDDYSLLRLQITSAQAALPALRQRYDELCSRPAFLPYFFNLRLPESLSLET VKSQLPPKFFTEPPPASTTNSKEINDVALALALAGWGGLTNPKIGPVPNSASCSTCLR RLGLWMFKSKEVDQETNQILVPAPMDHLDPVREHRFFCPWRNPEAQRNPGSKASEPSK AAWEVLVLTVRNAAYLRGDTPKKSRSSGHWKSKSTAEPSTPGSRPRSHAPTASEGAID SSDLLLSSDVGGDEEDDEKAREAKDKERWARLRRVKSLFNTKDKLRRSLSRPGTAKST ATNGEGAKE PFICI_10238 MGTLEASLSITGMTCASCSNTITSTLQKSGWISKATVDLLNNSA TVEFTGENDKALEIVRAIEDLGYEAQINQVKAQGVSPIVDNSRTVDVHIDGFHCELCP SRVVAGLLPLQEHGIIEVTTQPTKDSPIMSIKYAPIPSRLNIRQILAAIQAIDPLFHP SIHHPISAEERSKRIHARQQKQISMRLLGTFIIAIPTFIIGIAYMSLVSKQNSARQYL MSPMADGISRAQIALLILATPVYFCAADLFHRRALKEIWMLWRPSSKTPILQRLYRFG SMNMLMSLGTTIAYISSLAQIFAAAVHPPNGMVDDSNFYFDSVVFLTLFLLAGRLIEA YSKARTGNAVEMLGKLRPTTAILITNTDNGTATSTIAAELLDNGDTIQVTNGASPPCD GEVSQGESQFDESSLTGESRLIKKTLGDAVFAGTVNKGHPIQVRVTGVAGRSMLDKIV EVVREGQTKRAPMEKIADVLTAYFVPAVTLIAIVTFMVWLILGVSGKLPESWLNDDSE SWVVFALQFAIAVFVVACPCGLGLAAPTAIFVGGGTAAKHGILAKGGGEAFEKASHVR CIVFDKTGTLTEGGEPKVTNHKICREDSNAHLLEAISTLEQHSSHPVAKALQSFCKPD QSQKSMLVRDVEEIPGKGLRATFDDLELIIGNAKLMEDFDVDTSSAVELDTWKGKGYS IALAATKVNGQSSYKLAAYFAISDPIRAEAIPVIAALQAHGIDVWMLSGDNTVTARAV AERIGIPEHNVIAEVLPTDKADRVAEIQAGMMARNRSNDSRPLIAMVGDGINDAPALA VADVGVAVGSGTDVAISSADFVLVNSDLRSIVTLLALSRTVFRRIKFNFAWALVYNLL AVPFAAGCFYPLGVKLDPVWASLAMAMSSISVVLSSLALRLQVPLLGFRTKEIPLEAV PFICI_10239 MYAAYWTAIAASAFSFALALPDTIRDVTDYGYWNVNVTSSSAAS GYRYGDVYAEYSGAQGNISHFSWLYDPSVRATTTTTDNPLFNSSIVDGQGDHTINIEQ TVEIQASNVTLVGSGALTMKCGLGGAGRGCAGSLTIVASPDQS PFICI_10240 MLLSALGALFAASALAGPLDHMAYRSDFTTFASETCPATSQQSC HNTTVQTNLCCFNAPGGALLQTQFWDTDPVTGPDDSWTIHGLWPDNCDGTYQSNCDSS REYSSITNILTKFGETELLAYMEEYWQSNSGTPETFWEHEWDKHGTCISTLDPDCYTS YQTGQEVVDFASKVVELFKSLPTYTWLSAAGITPSSSKTYTLAAIQAALTKNHGASVY LGCSDSELSEVWYFFNVKGSVQSGTWIPVATLNSADCPTTGIKYLPKNGGGDGGGDGG GGDGGGDGTAFSGSGYLNVVSGGSTKGCLISAGTWYVSGTCATITATASGSGFTLKSS KGSCGIVSGVFTCGSGVTATVFTASGSNLMASGSTAFSADSTPSGTTQGKVYSGSSHS VSLTIEWGSA PFICI_10241 MDQSKLVELLQASTIPDTVKVKAATAELKKNFYPHPESLLGLLH VVVSHDDATVRQLAAVQSLRLVPKHWKKIAADQKSGVRNEVVQAAVREQHPKVRHGIS RVIAGIAVIDFENKEWPELLPNVLQLTTSDDVAHREIGSYIIYSLLEADPTTFVEHLA QMFELFSKTIRDPQSRSVRINTMLSISSLLLLIQADEDEESVATVQEFVPAMVDVLKD TINSGDDEGTQQAFEVFQSFLGYESALISKYFKDLIQFMLELSANTNADDEVRNQALA FLTQCAHYRRMKLQAIPNLATELTRQSMQILAELEEDDDEDDMTPPRAALALIDQLST DLPPRQVVVPILEDLKKYASSETVGARRAAMIALGTCAEGAPDFVATQVESLMPIILQ LLNDANRAVRHDALVCLMRMGEDLAEFMKAHHETIMTALVKNLEAASENENDDKNVEI ISSVCGSIDTMAEGLGGEVMQKYAEGLISRVGRFLNHSDVKVKAAAAGAIGAIALSIE DAFKPYLKETMEAMSPFVVAEDSEDDLSLRSSVVDAMGRIAVGVGAQDFQPYVMPLLM SSEKALNLGNARLRETTFILWSQLSKVYEGDLGDSLQGIFKGLFDCIELEEEDLDFDD EEVAGLVDGQLVTDGKKLRVKADDADDEEDEMDDDDDDDWDDIMGISQAAMEKEVAVE VLGDVISHAKDKSVPYLEKAIELISPLVEHNYEGCRKAAISTLWRTYACVWQIMEEQT GQKWSPGLPLSFETPSHLAKLGEVVATATLQLWLEESDRDVVTEINRNVAATLKLCGP AILSQNGMTEQTITVLGTLITRSHPCQQDLGDEEENQVAEGASEWDWLVVDTALDVVI GVAAAFGTQFAEVWKIFEKPIVKLVSSQEAIERSTAVGVIAECTAYMGSAVTPYTKTL LAPMLHRLSDEDKETKSNAAYAVGQLCYHSTDSATYLPAYPQIMSKLEPLLHISESRL QDNATGCMSRLILAHPDKVPLEQVLPALVELLPLKEDYEENKPVYECIAKLYELQNPT IQGLTQRLVPVFQQVLGPPEEQLEPETRQGLQELVRKLGIA PFICI_10242 MSEYWKSTPKYWCKHCSVFVRDTKLERSNHDATAKHQSALKRFL RDLHRGHDKEEKEKDRAKREIERLNGVVGSSSSSNAAGPSSSSGPAPVAPKQSSQATA RGTETQRQKQWEQLAEMGIDIPTELRGDMAMASDWQVTNTRIIDDTPKTDADGNVKVE AVATGVRKRVKREGEEEEEEAMQTLFKKPRKWGRDTKQAAKDDADLDALLSGTLAPIK KDKIEESNSEPTVKKEAKEEEADVGIPLKREPSNEGQGISSVIKPDPESVESAAVKQE ATSEGNDDVPAVVFKKRKPKAVRQK PFICI_10243 MKSAAVAFALVGAAFAQGVTDKIAPEGGIPDGCSGSFDGNFEIT VAQVTYAKRDLDVQKRAECGANGVLVLSLSDDSIYDSQDRTGYIASNFQFQFDGPPQA GAIYTSGFSVCEDNLLALGSNKTFYRCLSGDFYNLYNEDWAEQCEAVSIIAIPCGSDE SASQIGDGQVVGTTVVQTTIVTALTDGQPQVITTTVAVPVTSYYPTSAPVSQISDGQI QVPPPQTATSVATASISTPIVTASTPVETGSATGSASLPTGTASGSASASASASKTTA VTSATAGSSTAATSAATSAPASSGSSRTTTASLGGLVIAIFAMFL PFICI_10244 MPPEPERRHPDSMGEFNLDQDFTMAALPYELFDIDHYQTYPPPG DEDTYSFDTIPTLTNHTSPVTSMDDLEESFRQPTASHPWEENFPGAHHCVHYPEADLN QSFLDPKQISSPFNFTSAGPTFLSASTEGAVGTVEPPSTARRPSTGVLAKQATPLYCH SCQISFPRRTEFK PFICI_10245 MKFLAAFAALAGLVAAVPTPTEDQALDVRAIEKRATITDAADVG YATQNGGTTGGKGGTTTTVSTLAQFTAAAGSSSAYVIVVSGAISGAAKVKVTSNKSII GKSGSSLTGIGLTINGQSNVIVRNMKISKVLADYGDAITIQASKNVWVDHVDVSSDLN NGKDYYDGLIDVTHASDWVTISNSYIHDHYKASLVGHSDSNGDEDTGHLTVTYANNYW KNVNSRTPSFRFGTGHIFNNYYLSLGDSGINTRMGAQLLVESSVFESSATKAIFSDDS DEIGYAVVSDVSLGGSTNTASTGTLKSVPYSYSKLGSGSVKASVTASAGQTLSF PFICI_10246 MTDLIFLLFVSLVSATTYRLTVFAPGTVVDGADIDAAGSGFYLG LSEPATYCPVGDACPAPRGTLVYQGMSAMAVEVPGGQDIYIAPHGQVQFTQAHSAYMP PGSLTGGWFNKTVVSECGPRTHVLDFLATNGTDLGGVYLCPNVEDYMKGTGASYRLYT NTPQFNETNCVQAVGLVEHEIDAAFGAWQYT PFICI_10247 MGASAPEEALVLGRYDKQVSTKLDGLDAQNPLFYEKKETLDAKH AQRRRQQQQQQQQLDQQPEQSKPTSEELEPEYFRDRAEIKRRGQQLAFDHALTENADD AERKAKNLLDIVRHNDDVAFYSQAEPLTGYRGQKHRRWAGDHFLTNWDILNETVLFKV TTRMPKGAHLHIHFNSTLLPHVLLDIAQGMEQMYISSDKPLDSVDNFNTCEIQFLMKS EDVVAADRTKLRNIGPRELSSRSSSDTHNLFSSNFVQLTDERNQRLSWMKYRTFREQW DQRRKKGSVLPETLSRGLGVSGAGHSQSDKGAGAMTWKDWLISKLVFDDQEAHNSLQT AEGAWEKFNGRTRMMKGLFNYETAFVKYTWSCLEEFERDRIQYAEIRPNFMMTNQIYT DDGSKQLDNRETMKKIVEIFQKFKQQRPSTTVCGLKVIYCTPRSFSADKVEASLNECL SFKTGEFRDYIAGFDLVGEEAQGKPLKAFIPEFLEFKRKCREQKVNIPFLFHCGETLE LGGDTDDNLLDALLLDSKRIGHGFALARKPYLISEFKKRDICLELCPISNQVLGLTPR MNGHAMYELLANDVHCTLSSDNGTLFKSTLSHDFYEVMVGSKAMTLHGWRQLIEWSIK HASLDSAERSKLEEVWTKLWAQFVEWIIDSFDHVEPIVAPPAKPSTSRKNGESDEALQ ERLKAEKSQYDLDHSNFLKQIEAWKAKAAKL PFICI_10248 MSDENGPVPAREFWFSSDEGPLGWRLDVVTLLAVIGESSIADHA QAITASMLCLLPRIIPAPQALLKGTRPARMPETAAKITGVYSGVTLDSVGFFANIIHP LDEFAPFGFKVLKITHNDENEAGDIEMPKAGSRGIGRFFGRRTNTSKSDFAMRRLPRG PSSESNGDFGAATTTSYDRADVESQAPPNPGIIRRQTVKQRMTGFIANPTLANNAKRP AVPAALWSPVHILSIFSMCLTFAIIGFAAHYRDGTAILAVALISVASSITGAASWWRP LLMRRSHTNKVPKGDVVIRTREGAFLLIKCTENVARELYAGTEECEYRVGGQWYKVLM GFGTFLLMVSVILLGNCKWNSQLFIGGSYISLNGMYWVMGLLPKKYFWDLSRYHIQDI TPKDALNAHDITDKDDPREGFKSFTRTLWWCIRETKHTAWVERSGAAPSTPQWRAWLD EAETAAESGNRTWPSIGRKDDLMRMTEDQLKEEREQQRRHGDSREQHRPDPAEQIAPA SQVQPSEQRRDPGAF PFICI_10249 MDNYQKLEKIGEGTYGVVYKARDLANHGKIVALKKIRLEAEDEG VPSTAIREISLLKEMRDPNIVRLLNIVHADGHKLYLVFEFLDLDLKKYMEALPVADGG RGKALPEGSGESLGRLGLGDAMVKKFMWQLCSGIRYCHSHRVLHRDLKPQNLLINREG NLKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSVGTIFAEMC TRKPLFPGDSEIDQIFKTFRLLGTPTEEVWPGVTSYPDFKSTFPKWNRDYSQTLCSNL DEDGLALLERCLEYDPAGRISAKQACNHPYFEGSRHAYPNGY PFICI_10250 MVANLHDLDAHEQPSDELRATWKSYYRSDHSVFVNHPDIDDIHI PSKAAEFRQCGAIKAEDLVTAFEHIEGSDWDSSQVKRDAPVYFHPLLPGLLIVPSLVP PSTQKALLSRMAHRDLSNPAHKTNLHLHYDLPYIEGDEASDASFFSLSPDSPIAFTPK DPNVHKPLSIKQVLEKKLSWVTLGGQYDWTNRVYPDGKPPEFPSDIANCLQTLFPQTL AQAAIVNFYKTGDTMMMHRDVSEEANKGLVSLSIGCDALFMIAPNDYAERTSKSVDDD KSEKPYLLLRLKSGDAIYMSEESRYAWHGVPKVLKGTCPEFLAEWPAEDGKYEDWKGW MQNKRINLNVRQVKD PFICI_10251 MVQLNKQLALAAAFGLACAAETKYMVVFGDSYSSTGFWITSGYP SASNPMGNGGSTTSGGLNWAGMVTEEYNTTLLLTYDFAVYGATVDTSLVRGSTPDVQD QVGYFDQYLADKPDYAPWTSDDLLAAVWIGINDVGNPWWDGTASPIDSIMDEYFDLLQ DLADKGVTNFALLTVPPFNNAPSFLYSSESSLANLVSNITAYNDALEARLETFKTANS AITAQVIATEASFEAVLSDPTAYGATDATCSNTDGTTCVWYDGYHAGQAIHRLVAQAF VEALTGTFF PFICI_10252 MASRYSQLNSSPRAPRRSLFIAISAISLFILAVFAASNFNGPVR SKSFKIPENNLHESLLAKTRATGTGDKYLLGVGKADITGPAVEINFAGYADTAQTGTG IRQRIYSRAFIIGDVNNPDDRFVYIILDTQSGDTAIRYGVLEGVAALGSEYSVYGQSN IALTGTHSHSGPGAWFNYLLPQITSLGYDEQSYQAIVDGTVLSIQRAHESLEEGYLDF GTVDIDDGNLSRSLYAYMANPETERAQYSDTVDKTMTVLKFQRASDSKNIGILTWFPV HGTSMLGNNTHVSGDNKGVAAVMFEKNIASDDSAADDFVAGFSQANVGDTTPNVLGAW CDDGSGQQCSYENSTCADGKSQSCHGRGPLFQNVDLGVTSCYDMGRRQYAGAQSVYDS FSSSGTPVVGTSVKSFHFYQNMSYYQFPLDNGTVVQSCPAALGYSFAAGTSDWPGAFD FTQGDSGSPSANPIWAIVSGLLKEPSPAQKACQGQKPVLLDVGELDTPYAWSPNIVDV QLLRVGQFVIIVSPSEATTMAGRRWRNAVKEAAADIITDTEPVVVLGGPANTYAHYVA TTEEYNIQRYEGASTLYGPYELNAYINLTVSNIQYLAPSATSSPPPGPSPPDNRDNSL SFITGVVFDAAPIGSSFGDVTGQPAASYARGAVVNATFVGANPRNNLRLEGTYAAVER LDNGDTWTQVRDDSDWSLVYTWTRTNTILAYSDVTISWETETDADAGTYRIKYYGDWK NLIGGGITAFEGASDTFTLT PFICI_10253 MSYKRSRRDFEADLQAQQSPYVLFGTPLPPSDPSVRDDGSYVPV WKQEVTDDRGRKRLHGAFTGGWSAGYFNTVGSKEGWTPSTFVSSRTKRWKDDDKKGAQ RPEDFMDEEDLAEKAENEKLQTADGFAGLGSTQRDGANQGGLAGLFRVQGDTMGVKLL RRMGWKEGQGIGPKVRRKARLDIGGKHQDNTDTHLFAPENVQMIAIVKKLDRKGLGYA GESKLTPVSTNTKAKDQGSSDEEEEYGTLIRPPSFKKKKPKSVRGGIGIGILNDNGSD DEDPYEVGPRISYNRVTGGDKKKKKASNTTSANPSLRSAPVFISKKTVLSKAGKNLKR CHDGRFPLEGFVFGMASEDLTSAINSQGKYPPPQIPPGWKTSKLKGGTSSNSAYTSTA DAAKASQLDPKSRAALLGESQLPGKSVFDFLNPEARSRIAVATGKENLPQALGEIPAG YALTPEEKQRELLAQVPKLEKETAIAAITRGASGTAPYADDEAKRTRYRTYLEYSAGF SKASLPSKLASQSSQDWLRELREFHSCAMIFRPMTGMMASRFTTSSSSKFIGGNSGDS DELVSKPAPKPTDPAEEAAKMGMYGTMTRSTTDFYPTRLLCKRFNVPAPVHVQPDAEP DSASKNTASAWNMPAPSNGRGSVAMTLDDLLQQAQANSLDAPLALKVTEDTAELVKPA STATKVEIDSSVNEAVEGNRAQDDVLKAIFGDSSDDED PFICI_10254 MSTPATSDYHHSHHQGSHSHHTTSATHGQPSRSHSTRSRPNTSA SAAGTPHRSVSHSAHHGHSRQSSTSSRPVQDILPQRDYEASNLASKRSSSRDRHGPST SRGATAAAAAADPKGLHRRSSNRADPTIVANANNPGPEAVPPVMQAAADARATGAKTR TTRTLIPTQSGKWILGKTIGAGSMGKVKLAKKEDGTEQVACKIIPRGSTEENHHSRAD KERADQSKEIRTAREAAIVTLLDHPNVCGLRDVVRTNHHWYMLFEYVNGGQMLDYIIS HGKLKDKQARKFSRQIASALDYCHRNSIVHRDLKIENILIAKTGDIKIIDFGLSNLFA PRGHLKTFCGSLYFAAPELLQARAYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPALH AKIKKGLVDYPSWLSTECRHLLSRMLVTDPKARATMHEVLNHPWMTKGYGGPPENHLP AREPLTLPLDQEVINAMTGFNFGPPEVIKAQLTRLIESDDYKRAVALWQKEKDIPAPM RDVEKKRGFGFDFYKRRNSANSRDNLTAPSSEALALGSDPLNAFSPLISIYYLVREKQ GRDSVDIQPKHHAPKNAADTPMPEIAPPQEAHTNTAAYEMPGEKPTGGRSRPRARTHG EDDAPEEVKKAQVSPPAPKAPEGQLEVPHKKESAAAGLLRRFSTRRRKEPERVEKDRS HPPVVHVHSPEHTVAPRKSFSIRRSRRDRDETDGARLRSGSSQPQHRDLLSLPLAAGD SSSSKKGLGRSTSVNSADYRRRQYNSASRVAKDPPPTSGSDHSGTEKSGGEQQKESLN TRAASMRAKSLGHARRESIQARRLKREGARAADVPEETDAELGEASGASAERLDDSEL AKPVFLKGLFSVSTTSTKSVPQIRADVKRVLKQLGVDYTEIRGGFSCRHRPSIDLHKV TDGPGSPVATPGHRRRFSFGLRNEKDRDELREMDRVPGTPRTPGKGHQTDRSASNSEL SENGSVRDAGGSSRRVPGETTTQVQSDLGGSMTLDFEIFIVKVPLLSLHGLQFKRMEG NTWQFKNMADQILKELRL PFICI_10255 MASYFDGNNTYVPVTEGEPFTDPSWDFNPYNDSPSFTYDSTPLF SEDWKQIQQPQQQQQPESNELSIKEQPSQASITPPSTSDFLPPDVNGLPYPLQTQPAV PAMAMNTLTESQRRKLQSIAMPAHLQYQSPKSEPSPESASKSASMSPSDGGSKSNCRK RKSSVEDDDEDDDDLDGPHPVKKTAHNMIEKRYRTNLNDKIAALRDSVPSLRIMSKSS RGEDTTADREELHGLTPAHKLNKATVLSKATEYIRHLEKRNVRLADENSAMQQRIAAF EKLFLSGAMNGAISPIQQAPTPGQYPRDAVRMNRSPPMPTTSGPHAEPQGMMTVPEDM KRILAAQMAVGQPYPVPQQPFRRPDPAMVRQQQIHQQQQQMQAGAWQNTAPYFGKLMV GSLAGLMILEAVRENEKSDDRSTARGLSSLPFNLLGSLISSLNIDFMGYHLDAGEILT SLKYVLVLCCLWWAIIPSLFRKSPPKPKTSTQGPSSLGAAPSPASPIHVRRQAWLTAI QNVWIPRHNFFLEAAALGLKTMKLSLRNLIGVSGYQMLTGLSEEQEAARVKAWSIALD AQLAGGDVEINKSRLTLTLVASGTLPDTPLRLMLKALHIRILLWEVGLTGVQLGIFNT IASKMARSKWNEARDLHRLSKQLRRGGNEQYEDDLPDHLTILLEQDADEVFNQSVVQR AHNLAWNRPTDLDAIGVTDGMDAVVEDTAIRSPMDAVAAWWSTLTLQSALTNSLLVRD DEDGEITQNVEDGIMLALRVAPVGSIAQNRALVARAVLFNEKRGANIAAVIQAIGPYT ASQDCCGGHDDEETAPDTPNIDIPDSISSQAEVYMSLQCAKAIAHLQRYAPPEEPTSV YPIIENEIDVTNELSLLGYTAAFTLMNRLAGHEIAAETCSRALEKLSGNLRIWIGKDS WLDSEVKQEMVERCLAVTRGMVGMDIDTDTGYGSMSDSEVDHDAGFSSDSEQFSVSSA SDS PFICI_10256 MSPYHFDLFGGAAGWDARCWTSSDDRVRGGKSQSYLECTSGQHT QVSAAIFRGNLDIKTLGGAGFASQRTTDDQGPWDLSRGDGILLKLLGGDGKKYTLTLK DQELPKRPDGREQSTVSWEYDFTHEKDHKAFVPWSAFKPTYRGKPKSDAEPLDLKSVR RVSIMMRSFFGEQQGPFRLEIESISVAEDPALSKDDDARQSIGQYSEKSGHVTPKKTW RNYLSCGLL PFICI_10257 MFAMSQHQYTYAAAQAPPPRQYPAHGTSSAFSSSANPDEDWTKI SDLAERRRIQNRIAQRNYRKKLKRRLEDLERRANTSDGSPPASGPEKQTTSKNVKKSP VKTQKGTPAPQKPVQAPQFTPPMTHEDELMFPSPYDDRERSHTPPFIQYSSYPPPDEM LLPPYGAAQPYQTMTTAEAYPSYMATTMPSTLPSITHFNDAIKRESYPEESLSPYMNY GFLPGIDMSGQNPYDSSNPHTPPLSHSFDHSANCSDSGYEYPTTPLSMPDSPNMIQQ PFICI_10258 MATATVTVSSILTTTITAVSSSASATSTNAATPQGGILEHVLPN TYSASNPITLFIIQAGIIIIFCRLLHFPLSYLGQPRVIAEVIGGVLLGPSVLARIPGF KDAIFPTASMPVLNNVANLGLILFLFLIALELDIRMFTANWRVALSVGLAGMVLPFGL GVALAYGIYHQFPGANALNFGVFALFVGTALAITAFPVLCRILSELRLLSSSVGVTVL AAGVGNDVVGWILLALCVALVNNSSGLTALYVLLVAVGYVLFLVFAVRPAFHWVLRRT GSIQNGPTQGVVALTLLLVLTSAWFTSIIGVHAIFGGFLVGLICPHDGGFAIKLTEKI EDLITVLFLPLYFALSGLNTNLGLLNDGITWGYVIAIIAVAFAGKIGGGTLAARGMGL VWRESFTIGVLMSCKGLVELIVLNIGLEAKILTQKTFTMFVVMALVTTFATTPIVKGL YPPWYQKKIEAWKRGEIDWDGKPIGSETPTDEAACKLESTKINRLLVYLRLDSLPSLF TFVSLLGEDREDAAATPDAMQGVQRKRPLEVHALRIIELTERTSSVMKVTDTDEFSRR DPVVNAFKTFSQLHDVAVSSSVVVAPEDAYAQTLVSSASDQSSDFVLIPWSEVGSNTE DQAIPFHVSSEDRFSGRSHLDFIQNTLSKAVCNTGIFIGNGFSGIAPVEKTRPTLPRT KSGVSLRSLRREAAHQPVADKSHHVFFPFIGGEDDRAALKFVLQLAKSPLVSVTIAHL NWTTDSEEITTAETSAETKEAIRTEVTAQDASLISTVQSSLPEHIASRVRFTETFVSA SSALTKAIDLAKEKTGQNPRNAGDIIIAGRRHASLTATIGEGEMSKTIGVLGEKFVLS GLRASVLVIQAGVESKEGLSYRTIE PFICI_10259 MVQNRLIANGLTKYNRLLRINIVMVVINISLDIVFIGLMSLPNA LVYLNFQSFAYMAKLHIEMNMAELIGKVVRASNNDSGDRTHSTDRQYRYGKKSGTGGG GGGPSSAGKTQRTFMDTISRGAGHHHRTHVELGSQEYVSDAEIERIRGGAGGVGDADG DGHELQGIQRTIVTQVVHSKAHDDDDVASESTSERHLNDICSV PFICI_10260 MPGRQSHGRSLMGGGGGGGSGAGPKRRQQKQKSKAKSRAQDAFS IANKELGGDRHKLTPRNRELDADLSTGKRRRGHADDDEDDEDEDGESDEDDGPPRKRG RAGQDQNGDDVEYGSDSSGNEWRIGVGDDDDDSDIDSDEAFGESDDEKFQGYSFGGSQ KKGKKSKKQDDDDDEEDEDDDLESLGSDAIDLADALDMSMSDDDDQGDAEEDEDSDED DSGSEESEESDESEDDVSESGVKDWVNKFSGVAEDEDDENTGPQKSKIDLQDLGLLGG LDPSLKKSLKLMSKEEKSSKPQKLEVPLARRQQARLDRAAAYEQANKTLDRWNETVKQ NRRADHLVFPLAQTETGLVRHDNTEIAPLDSKTPGNELEQTIMGLVEASGFAPKSEEE PKEYVDENGEPITRKQYWALKRKERELKSREEARAKRIKKIKSKAYHRVHRKEREKNE IKEHEALAAAGLVDSEDEREQRDRQRAAERMGSRHKDSKWAKMARKGGLAVWDDSVRE GMNDMARRDEELRRRVEGRGDGSDDDSDYSGDSEDADDRKRLLKELQRIDEDDEPSGP KSKLMNMPFMKKAENARKKENDDLIKQIRRELLSDDDVSEPEPEADDIGRRQFGAPGA KESGVKEPVASKPRKEKSKRDTTDIPMVDAAESTVNNAKALPVSTSWQEVEDETAGAW SSAVPSKPARKARKDKAHDSSANVLDLDSSAAVVKAAEPALRSKSAKKHATALADDSS DDEDHHLVQFRDQDLLDKAFGGLDVVAEFEAEKHAQEEEDDEKVIDNTLPGWGSWVGD GVSAREKKRHQGRFLTKQEGIKKDKRKDAKLKNVIMNEKIIKKNNKYMASQLPHTFEN SAQYERSLRLPVGPEWVTKKTHQDAVKPKVIIKQGIIAPMAKPLH PFICI_10261 MWPTTRLMFQAREKFTRKFRKLPLTTKDINKGFYKGNRTGSMGR HTRFGGYIIEWDKVRTYVVPEGMDSFKLSPFVTNKVQRTRGQYEGYARGPSDPQLYLE RWKDENGLD PFICI_10262 MGEPLQRLINEFSSLVDEALILSLCSDFDLNIASEFQQARQALI VVSADVPLEEASGFNASGLGDRVIDINGNPQAEPSEAGTALSGSDTRSPDGVTTPSER SLPQTILSTGSSHTSFQESQGPTRFSLYDGLTFEEKEIKLREVFTELKPIDITLALRK FDGDADRAVDVLLTTSHLEQSGQRPKGIDGFYVDDEDAVLVKKKKKGKKKKPAVRGTN SPDPSAQSNLGEPATAEVHERNIRYLADRLPFSESEVEYVYCEKRQSMGAALVQILDN YIAIDGEALAKARNSASDDQGKKYPWVPVMYLTPTFCLATSRQNAEDLVQILAEYYEK PAYLRYNISYNISGPKLELVTTDSSSSKTWVAVGRKTPVSPGLYQSGVSSPASGLSLS SLDLQDKRSHSFQAASAAYRKGGLLRGAAAVYADRGRELTQDMHYARSSEAAAYVDQR SRPDHIDLHGVRVHDGVNIALGRVRQWWDSLGEERVRKAKAGFTVVTGLGRHSAGGVS QLRVNVFKALVADGWKVQVLTGEMLVTGRK PFICI_10263 MASASTNGLPPNFILTPQQQALLFRALTSNQPNNGSPQTNGLSL SPASLAKSPEQPGANGAQDSPFLDYDYSFGPDSSGFDFDIGLDQSSTMIGDLPGKTPG SDKTGGSSDKGTSPENDIHDKRSHPDDDEDEDKEEGNAKRRESEGKVPKKPGRKPLTN EPSSKRKAQNRAAQRAFRERKEQHLKDLETKVQELEKASEATHNENSQLRAKVEKMTT ELNEYKKRLSLVNNSTRPAATNNRGQVFGSNLVNNLNDVNFQFEFPKFGVLPGPTQKA GSLNGRSPSFPSPSNSFSTQPTPSSDRADDKATPNSTKSSENFESDREALAKFASIIN GTPNLDGFYNNASRTSLDSTNFSIAGTNSGSPSASSNSNAGGPSSSCGTSPEPFTQSP VGFKPVDTLTTIGEEQPSHLAGAPAPGDHFDFGNFEVNNFDWLAQQNGGQFDPQLFGG YREPQDNILATATFDDTFFNEAFDADFTTPFNVAPSPKVAPKKNICTEIDERKEEEDT IITSVNGKLLTCNNIWERLQNCPKVQAGDIDLDGLCSDLQKKAKCGGTGAVVDEKDFR AVMSKHLGPCPDESK PFICI_10264 MDLAYDQIAADALPKDNEQGSNADKGEQKQQPTLNEEVQEAYKA ISTSAWGTKLGGFFGNVVKQGESVYREASQELTSLGADAARAGTSLINRTRSLSLANT PQAAGSSTEKDKDAASTPTVSKDMTSDEAIKESETVISRLKAEAAKRLKDIQKAEDAA DEALLKFGANIRDFLKDAVKIAPPTAGSEAQAGSTVLFESKDASGKRVIHTSRYDAQL HVIHTTADSFTKDPASAEFTAWTKDFDLDGKTEDISADLAKYPELRATMEKLVPSTVP YADFWKRYYFLRHSVEAAEERRRELLKAAEAEEEVGWDEDSEDEAAPSTKPQRPASVE SSTTIHPSKPADQATLKPTEPRKSHDEKSQADSDTSYDVVGAASGVPSQAPSSPKEAR KVDDSDEEDWE PFICI_10265 MASQTEPSPELKQENFEQSVALTLHLWPALTLAVQNNWSDNSGE DVRDWFAGALVELFPSFVDIANFSAKLAQNKGLKRPESLEEPYLEDVETRLLQIMADE FNVDVDDDSSFEIAEQIVQLRTQCTKGQFDEVDKLREKWSSGKGKKVVMKQAPDQDQD TDWEDEDDDEDDDDGDVDMDDAPALVAAPKEKPQPEVDEDGFTTVTRKKR PFICI_10266 MNTATVGMAVTPTVMSTFLSHYFNRKPLRERPTAHLSYDEGLHL IRSFLNFASHHTVEDLQAFTGQWVPHPQWVKVDDATIPLDKIEEAANAIEAQLGPEGI KLVGGTKWWQWRLPKAPLEAEWIEMKSDYHDRQKNGDPGKRVMLYVHGGAYFFGSVDE HRYQMQRHARKLKARVFAPKYRLAPQFPFPCGLQDCLAAYLYLLTIQHPTTIILAGDS AGGGMVMSMLVTLRDRGLPLPAGAILISPWVDLTHSFPSVAGEAPLDYIPQNGFHHKP SKAWPPLNAEEHALLREQIAKNTKPGHTKLMKPATVDMKRRSQAPSSRNGTANSENTE AAGRSAEAEVYNQSETLSIELDGQVVQLKDQIQMYTTNELLSHPMVSPIMQPTLGGLP PLLIMVGGGEILRDEQIYLAHKCANPTKYTLPESLMDDRAKEQLAKFKPTDVQLQVWD DLCHVAPTLSFTRPAKFMYRAIAQFGAWALARAQHTEIDILDDDEISVISSSGSNSDG PSRGPSRKPSQQPVHQSTLRTPAIGRAGDELPPFKDHMIRQQISRHGTVTPLLSEEEL VACNMSPSDIGVIKEGPVRKWLITRTQWDTRFSSARAKIHKQRLKEMLAGYEYFDGET PPPSALAGRRRPGHETVDHKKRKSMGLALWSLWGSKHDEMTMNREQKADKAPAMKTAT EAEGTGARSQADIAKQEKKTEQTRPETSASRSPSRRRMVKDQHQTSEQDGVVTEDTTP LASLMAMRTAGHDQNTQDAPGDGLLTPDYQRPDTGATGKRPMVEGIAVPFTLNKEAET ASMITLTSYVDGGPSRVASPTPTEQPLSGAAAIISADSSEPAEQASLGEAAGVQTPRP SSPKAPASEDMVEEEPVTAGTPRSLSPEGTPKALTPVVEKTNGLEAVPPIMVGGVLTH SERPPLETFVTAQEELPRVQ PFICI_10267 MSRPGVEIHWHAGEHEIHNFLRIPQRDNPTYPGLGPHYYKRIID SPLVAFGTLDQQGRPWTTIWGGEAGFCRPIAQGFIGTNTEADARFDPVFQELFAATDD ESLDRKIVDERVIKPEGGKPMAALTIDLETRDRVKLSGRFVAGAVTSRTDAGLGAFQM ALQVEESLGNCPKYLNKKHITPHSPRPVLLSEGSGLPLPLEAVDLLAQADLFFISSKH GDENMDVNHRGGPPGFMRLFRNSANEVTLVYPEYSGNRLYQTLGNLQKDPVAGIVVPD FQTGNVLYLTGRTTTLFGDKAAAYLPRTKLAVKIEIDEARFVQDGLPFRGDVIDYSPY NPPVRRLLTEQDPVGAGDAGSDAMATATLKSRDLITPTIARFVFKLHMNSAAPSNGTS GIKEEGKQQWWLPGQHVTLDFGPELDRGWSHMNDGDPQSLNDDFVRTFTVSAPHSGND KDPEMQITARKHGPATGLLWRWNLRVPLELPVLGFGGGEGFRLPIEVIQNAGANDDAA ATQSIFVAGGVGITPLMAQAKAVLQSGVGDLRVIWSLRAEDLPLAIRVFEEIEGLGPA TTLFVTGTATTEETRGWADTLKGAGAVVVQRRLSAEDLLGVGEKGRRTYYCCTGTALM TNLSSWLKGEKMVYESFNY PFICI_10268 MSDSQSQSSAGMLLDEYRFPVHRLQMHDVEPDRTPLVLVACGSF SPTTFLHLRMFSLAYDYVKANTNFQVVGAYLSPVSDAYKKVGLAPAHHRIRMAELAVQ RRTRTADCLMVDPWEAIHAEYMPTAKVLDHFEQELNGHLGGVEDTNGVKHPVRIALLA GADLIQTMSTPGVWSQEDLAHILGKYGAFVIERAGTDFQEAVGSLKEWEHNIYYIPQT VANDVSSTRVRLLSKRRMNIDYLVPTEVVNYISQHNLYQEDDGTATGKLKDKA PFICI_10269 MDSYMDSPRDEDYGADLYLDNFMSSSQISNLDLSSRPPSRGRTL PTPPSSRPSSGKLYQPAPRYVAPPASESRWQHTHDLNRNTREHIRQDSPDSIDSWESD ADFVDRRQVPRHTHQSQQDLAERINNLTRTINANAAFCVEVSGKMVKLARENKHLLDG TISTLDTYVLKPGIGMLKTYYPNLQDGLHDGFEVLKEYEAQLRRTVQNCTNGRDMARA QGVSGTGMMASGGSSGKREMNRLKDRLVEQDALLRDSNQYMLRLMQQRDEFKKQLDRQ GHDNNNNNREGVDLIGLNADDPAETDPSQLDIKSHQTREEYEEDDEEKRNMTMLQNQL RELHVLVSQLQHDKASNHERRLSSANNGKPREDLEADNEDSGWTLL PFICI_10270 MARLTTVIAAAAALLTATATYAEPLTPNHEAGRCAIRGHCGKKS FFGKELPCLDNGLATDQSDIDDDFAHDLEALCGAKWKDSKVCCNREQLDALKSSMSTP NQIIGSCPACKDNFYNLFCTFTCSPDQSLFINVTRTQEKNGKQQVLELDQLISKDYGT GFYDSCKDVKFGPSNSKAIDFIGGGAKNYSQLLKFLGDEKAIGSPFQINFPTSYSEPK MSPRDMKPKKCNDEDPAYRCSCVDCPAVCPELPRVERGGSCKVGVLPCLTFASIFTYS VLLFSLVLVIAGHVAWRRHARKQSERLQLLQATESDDDDEGDLVRNGAMYDRPQNYYK INTWCDLAFSKLGHVCARFPAITIFTSLIVVIVLSVGWVKFDVERQPEKLWVSPTSAA AQEKAFFDENFGPFYRAEKAFLVNDTGGPVLSSDVIEWWMSLEKEVKQLKSPSFGARL QDVCFKPTGPACVVQSVAAYWDNLYYDKDSWRQDLQECTRSPVNCRPDFGQPIEPNMV LGGYAGLDDVVDAPAMTATWVVSNYPEGSSEIARAKDWEVALKERLLQAQDEAANKFG LRLSFSTEISLEEELNKSTNSDATIIAISYLVMFIYASLALGSTSMTVRELIRNPAVC LVQSKFTLGLVGILIVLMSITSSIGLFSWAGIKATLIIAEVIPFIVLAVGVDNIFLIV HEFERVNISHPDAMVEERIAKALGRMGPSILFSAITETVSFALGAFVGMPAVRNFAIY AAGAVFINALLQMTLFISILSLNQIRTEDHRADCFPCVQVRAARVQLNGNNSSGPRPY DVPEESWLQQFIRKHYAPALLGKKTKVLVMVVFTGLLAAGIALIPNVKLGLDQRVALP DDSYLIPYFNDLYAYLDTGPPVYFVTKDLNATQRTHQREICSRFTSCDSLSLTNILEQ ERKRPAVSYISSPTASWVDDFFLWLNPDYDTCCIEGRETCFANRNPPWNITMSGMPEG DEFVYYLKKFLASPTDDDCPLGGQASYSQAVAIDDERKTIRASNFRTMHKPLRSQDDF IDAYAAARRIANDFQANTGVEVFPYSVFYVFFDQYAGIVNLSATLLGSAIAVIFAVAT LLLGSLLTALAVTVTVAMTVVDIIGAMAVFDVSLNAVSLVNLIICVGIAVEFCAHIAR AFMFPSGSVMERAKNRFRGRDARAWTALVNVGGSVFSGITVTKLLGVCVLAFTRSKIF EIYYFRVWLALVVFAATHALIFLPVLLSLVGGEGYVDPESEGGLVEDLANRRYRSLLP DDMTDSEDED PFICI_10271 MSGLCSNRPEGFGPISQLADPLPTQCFFDTILVPTPTWLYMVAL PILFFLTPKHYNRSSPMSRKWWSSLLSKSTWRSSWGRILLFAAYYFVVAVLVLMQTVE VIGLSRIDLGVGLVPFAYVGFAGAAAMQATDGVFRRVRGYWAAAVALWVAGGAITALK ITGVLGLGLEGSLARQDTTYATVHQFTDLIILGSFYVLAVVAEIAVMVVRRKNRRSEL DDDVVELRSEFDWK PFICI_10272 MARKIDMFRNIPMTDTRQQVRLLRIINDHDDPGPRELECELFVC TREYAPEYHVISHDGGHDTSLATTTVIINHRPVKITKCCEIVLRKTLKHSLYVGQEVN YWMDELCINRQDESETRHQVARLAHVYRDAGRVLQVRAHLDPKVPSLSQLLDIEKLLD TLALRSWICASDRLEDLVSELLESVRDRPLTYHEGQMAQLPQAIEAIRKRKEAYYTRV WGPQGASSHQRLSEHCGLNRVPVARPDIRPRSSHPPRAHERSSLRASGLRGPASHACN SSGHDTRAWSELPPVVSACDTQSAHVRNDSPPRPQLRVQEHLDTTVGGLHSVRRQDDG LGSRSSSSESRDDDIYFDHPKSRWKIALELMQFPQPEINNADSWYARCGLQLAARVAR TLQITADADAARNACKARSIDPTPSREAAPPSRLLKDRQWAGIRITEGVHRLCQATES DHGSTTDPKWPPQIPAVDIRDMNTLYLDEAKHLPFAHLPSKAEYGDWVVWSTGGRELE ALQDVILLLRPRPSEGSSPKRFYDIIGQGRKVPRHNGYFGVSFLRAHFQLNFHPEDAL ALAVQLISEYNSTHHMHITNLLRQLTTRVCGYERSSYAERESDDLLCSVA PFICI_10273 MISKIAVISGLLALGDAQAVGTQTTETHPKMTWKNCAAGGSCTT VNGEVTVDANWRWLHDINGYTNCYTGNEWNSTICKDAASCATNCALDGADYSGTYGAS TSGDALTLKFVTKGQYSTNIGSRMYLMNGADKYQMFKLLGNEFTFDVDLSKLGCGLNG ALYFVSMDEDGGKSKYSTNKAGAKYGTGYCDAQCPRDLKFIDGKANSASWTPSTNDDN AGVGAMGSCCAEMDIWEANKISTAYTPHPCKNNAQHSCSGDACGGTYSSTRYAGDCDP DGCDFNSYRQGVKDFYGPGMTVDTGSKFSVVTQFIKGSSGDLESIKRYYVQNGKLIPN SESTISGTSGNEINTAYCSAQKTAFGDTDDFSGKGGLKQMGAALDKGMVLVMSVWDDH YANMLWLDSSYPLDKDASTPGIARGSCDTTSGVPADVESKQASDQVIYSNIRFGPINS TFTAS PFICI_10274 MSLSAPTPPLLLTKRLTSFLHSNLSPQIHTALLSTLSGKLLAHA STNPVSTLRTQSTVAASIWGIYSSGEAVSDALPQGSQESRSEAKSAAVTIQLSAGVLV VRKLKCGLLFVCIGPSQSGAGAQAEPHSSQLAGSSQHQQSLAVPSSQQLQTAGTAESH SSPPLGSPSEVASVVSAAPTTNSLATAGSVRSSAVVQMRRHAEELARWLDDKLGTLGI PDDGSGEAR PFICI_10275 MVHKPDSHEPLPEIDFEPFEQFAALNRSLKYEFGAEKFPGLWKV SRKSDRFEFLARDVSHRLQDAEGNPTPLSHILHPNEWNIMTSILRLLNHENLINLVDW IQIETAPNANLTLPPRDFFIWDYCNAGTLENMLFDTRHTAKSAIQIDQEARERQERIE LGQDPDPKPEPQPFLPEAFCWHVLCSLLSALAWLHDGIREDWDVKENDWVTKYANIDW MTVLHRNITPRTIWFCHPQTPHESFGLCKLGNFKHNYISGVYNGILDDTQDPSLDQIA MAPQMGVPHTLAELRNNYERDPMHPPGPDQTYTITSEYRAVADVISSMMIHPIETHIA NRHFDRVRQMTQAEWTTTIGAAPYTDVLKNFVFSLYARREYFDLADRNTPNDRKHMSW KLYKEGQDLYQKFRTVKDEGKATITTTHVQIEQWKREKRAEDEEIAEQEYTKGLVNYL QTTNRFAKPKPDPTPLDNLLADIKQTCKEVDSSNIRHTQFLWGYHL PFICI_10276 MGMCGGRSKAVEVRPEQKWDYINLNDFKSNSGFAVAAYIYLYIS ILISLAVYGVDSFTAVSLLAFGKWTSSIDPNTIIDFDIQKWIFSICIIASFVNLGFEH IRAWRVMRRGSVAESYLDNLAVKMESTRMGSGQGWRRFLVFAELTKSKKGAEYVALFT YFSFQSWIRVIFCSGPRQFVNALTLYAVFESELIPTDGGSADRTIMNFFEKIGALANE SYQQAAVLSGMMFTLVVWVFSALSLLLAALFYVFFLWHYIPKQDGGLSGYCARKINKR LMKVVSVKVNKAIKKEEQQRAKAELKAAKKAGLDKPPLRQQATLPTIPNLENLDSKKD DYMPGMPTLSRNDTMATLPVYTSRPASPGSIEMNALEKRPMPSRAGTSNTMASQSSYS SRAPLMANSAGMGYQPAPSPVPTLPPLDMNNYPPARPGTAQSNRNYGPGPGLQRVQTG GSSNFGAPYAESPSVYHPQPMPAMPPPIRSLTSNMDNYGRPGPRDQFGPRPGPPGRAT FDDGMYGRASPAPSMFSNGPGPRPGPQGRPPMPMFDEGMRASPAPSAFNGPGPRPGPP GRPTLPMLDDGMGGRASPAPSMFSNAPGPRSGPQGVPTLPMFDDDGMGTPRPGPARAP TLPNVEMEGMGAPRPGPTGIPTLPNLDGDFGGRSSPAPSAFSNAPGPRTTGPSGVPTL PMFDEDFGGRASPAPSTFSNAPGPLARPTLPNLSDSVNGRSSPAPSNFSAFRPGPSGR TLSGDSTGGRASPAPSILSNGPGRAVNDGRTGRASPAPSQFSARGPAANRADFNGPPM SPVRSATGPIYSPNRPQFPLQRNMTAPVPPSPREDDYMTRPGTSASTRTMPFQASRSG PGYSYDEDVESQRGSQPWR PFICI_10277 MPMMNTWEHSALEYHFNGHEQGSPETATLTSVAVAPKDSIHDAI IYPGLYAPSGYDMMSILLRVMSRANPVVQLGPVDASCALILCDLQQPDCPVVYANDAF TYLTGYTEREIVGRNCRFMQAPGGKVSRGSSRQHVDKDLVKRMRRSVEGNSELAVEVV NFKKNGQPFINLLAMIPICWDSAEPRFSVGFQAEKTW PFICI_10278 MFLPLDDELLPLDEQSVDALVADSDPLDFCGSVISGPSGTTTPA PPPGLGFPHPHPVIPIQFGETTSVVSTPVIQSPALARPQPVIPRPITPLTPTIKSKAT TKKAARTEAVAQGSDAKKSIKDLAVESGLSRDIASQQSKSLKEEDFPALDSVKVASPQ KVTPALPAKPTPAKADKGKKKAEPETPSRTVASRVAATPTPVKTDKRPIPGVLDIAAA TKVATPFKESKENESSLSKNSGSATQTAKSVVSSALPTPTTASVSSPLARAAPKTLRL IQTPKTEQPPNLPSAAVASIRSAAIAASGRPSTPASEGISESTSVISASVSASRTSSP PPSKVGSAALRVTTKSQQRKQRNKASKEAAAAIAETKAPEQEVEVAPIEGRKKKQKKE KKPKTSTLNSPVISRPETPQPDSSKDASAKTDAVMTKARSNDEKASRKEKASVSSPEK VSPPARATETREFEAKESKEPLQSPTPVDTSIRLNESTKTTVLTPDFVSSAADSRPYE ADYDGAVGEIPTLSEILQSLIEEGELPEPDEMNLFKSTTNYRAEVERSFAAALPPTVR SVVTKEDEAELNAWRPVRKEINGHRVLLTPNGDFVLNLTEEEERRYISLQHRIAQTSG DAMAFTAPKHATPGSGFSLIKGRAVPNGVPSFFPMGAASYPPDPVGKMHREEAIGCIN QHVLPSLNLGSYKTNSAFPNNTNSVNLQSLAPWISGATNFMDKDGKRAKMFGGDNWDD MDDFPHHEAEGVPNGPSPAIGSTPLMSVEEAESVLAQSKKQHEAMDKKFKSQMLKLRR TLGLH PFICI_10279 MTPQDSFIDDEEDSCPLCIEELDIQDKNFYPCPCGYQVCQFCYN NIKHNLNGLCPACRRPYDDSSVKFEAVTQEQIAEFKANLQKTQKKRAAEQRQKEAQKR EITDRTSRKNLVGVRVVQKNLVYVTGLTPTVPEDELLKTLRKQEFFGQYGNIQKISIS NRKAPDGQPQSLGIYVTFEKKEDAARCIAAVNGSHNGDRILRAQLGTTKYCSAWLRNE QCGNRQCMFLHELGDEEDSYTRQDLSSLNSIHTQRPLSNAPGSSRSASRQQGGGSQPP PLVAQPMIRTSSKEGSELGDGPILPASANWARTTQQRSRRGSHATSGAASSPAISSSL PVTSESAQEAVESTPPPEESRSTPAPSNRKAEKQPASRVLKEVANVKPPVKDPYSLAD FDDLMKRFVALPDFTASEEHDDSFPPLFDSYGGYKRRAMREEEEEKENRVADPEEQPD KLSEGEPESGSHALGGEPEDRDQESFDQRRNPAPIQRSGTDALFGPSLASTYAHGLGN AGSVGSRSMTPQQFGRPQGSFTEQVPPGLTAPQSALFQGPGHARQTSRYSFANENASG ATKVNLAGNPRLMAQQTSMMPTSFQTQPGGQFFASSMSGPPPGLKSTGTPPGIGMFGQ GQGFGSGFSAPAKDNASELLRTMFSRGTGSSHAQDTGKREYFSSFQNQYPSSSTSSTP APASGPNVLASLYASQPGAFQEFGPKQKKKGKKHRHANTSSSGGGALVDLADPSILQA RMQPHQQQSSSAGVGQGLFGGQSQGGYNPSMMYGAGAGAGYGRW PFICI_10280 MATKLGRSSRALASALRPARPSVPVNARCFSASACNNVAMPADV KNMRQAPRDHPKKLEAPLVNPADKYQTKADDLHRYGSWVMGCLPKYIQQFSVWKDELV LYIAPSAVIPVFTFLKYNTAAEFTQCSTVTAADFPTRDQRFEVVYNLLSVRHNARIRV KTYADEATPVPSITSLYDGANWFEREVYDLFGVFFVGHPDLRRIMTDYGFEGHPLRKD FPLTGYTEIRYDEEKKRVVTEPLELTQAFRNFEGGSSAWEPVGPGTDRKPETFKLPTA KPEEKKEEPKK PFICI_10281 MKTRLLPRASFRQAATGAGRRTPVSYAIASTHVPSRPASSGISQ RPGASHVSFPGAVKSAFTSKLQFALASESPSLPTYRVVDQDGRVVDSDFSADLADHEV VALYRNMLLISIMDVIMFDAQRQGRLSFYMVSAGEEAISIGSASVLEKGDVVFCQYRE QGVFRQRGFELSDFMNQLFANKKDPGKGRNMPVHYGSKELNIHTISSPLATQIPHASG AAYAMKMQRINDPSIPPRVVVVYFGEGAASEGDFHAALNIAATRSCPVIFVCRNNGYA ISTPTLEQYRGDGIASRGIGYGIDTIRVDGNDIWAVREATKKARAMALQGEGRPILIE AMSYRVSHHSTSDDSFAYRARVEVEDWKRRDNPISRLRKYMEARGIWDEAKEKDARET IRRDVLKAFSEAEKEKKPPLRTMFEDIYEELTPDLKAQMQQLAEHLDRYPEEYDLSDF DGGRSGLK PFICI_10282 MSGSSLLRILACAAAVAFGLASADDFKHEYEAYNDAKFGIYPDN TYKGTNAKSPLLQINSWEKESMSKSGSHVFLRHNGRQDNWGNQQASPLILDAEDLTAV YMNRSFPVVFNVRVQENFGKKYLTFYGDKLVAQGLGDGYCHIYDTSYREVYKVGAIGL KTKADLHECELTGHGTVILSNYQPDTYDTPAGVKSNPVSIRESIFQEIDLETNKVLFT WKGSRHVDIYNSFEGHNTPWDYFHINTIQKAPDGNYLVSGRHMHSIYMVNGKTGEVMW TLGGRKNEFVELPPEEGVEYSNPALKFAWQHHTRFYPGTENGVADGVFEVTFFDNHRN DHSEAGCTSDCSRGLHLRLDTKSNPKTVQLVREYQHPAGLIAQSQGSMQILDNGNVFI GWGRMPAFTEHTPNGTTVWDVQFSPWISKTTAGHALDNYRAFKQDWKATPYWPPNLAV KTKKSDHIAYLSWNGATEVKSWVVYANDATDQLTGDDNVIARFSRGGFETELPLTEFN VSYLRAEALDRENRVLASTSIVDAKTGKVVSSDTAVVNVIEETSSDEGDDGDDDDESS TQASAWTSLDYASEQDWQTAMVIFVIFGMGLSAACLSYALVLKWRCGRKEQQYTSL PFICI_10283 MSEEKVGRDSYSLSHENDDLELHELADVADTEALLEKTDEESQP PQQKDGPVTWLSLPRKDQLAILFLCRFVDFLQVASLQAYVFYQLRSLDGTKSDSEISA QVGILQGSSTGAQVLTAILWGKAADATWCGRKTVLVVGLLGTAVSCLGCGFASSFYWA VFWRALGGAINGLVGIIRTMIAEITVQRKYQSRAFLILPMSFNVAGILGPLLGGYLAD PSRNLPSLFGEGCVFGSRMVEKYPYALPGIINAILLSVTAAIVFLGLEETLKSKKNFF DWGLYITARAKQIILRRPIDQGFSRLRTTDTFEEQPFVPKEVADIKAQTPEKPAKVLP FNRIWTRNVILTLVTGAFYDFHLGAFTNLWTLFLSTPRVASVVQQLPFSFTGGLGMPS SMVGNATSILGILGMLLQICLYPPVHARLGTLRSFQWFLILFPIAYFFAPYLAVLPSS SEAPEPASGAFIWAGIIFVLFFQVVARTMTLPASIILLNNCSPHPSVLGTIHGLGQST SAGFRTVGLLVSGWWYGAGLDMNVVGASWWGVTGMSTLGCVAAMFIYEGSGHEIMLD PFICI_10284 MSSYADTYTLKPITDIFTSDTDFDRRECKRTVPMKVLILGLGRT GTASMRAAMKQLGYVDTYHMMNASIENPPDALLWMDALRAKYDGVGKPFTREDWDKLL GNCQAVCDWPAVAFAKELIEAYPEATVVLTCRDVDSWHASTMRTVWWRVTEPELKWLS YVSWAARMYQPMLQKFFDSFFEGDFPNRGKDVFLRHYDEVRALVPADRLLNYKVAEGW EPLCEFLGDAIPKGVPFPKINDNSDFVDRSRRRNRMQMYNVALQFVKNAVIVGLVLYI ICTLLGLSL PFICI_10285 MDFPFHVKEHLIPGQHVREYARATANSQEDTVYLAIKQYTPKDN PSPQPGDITVIGAHANGFPKELYEPLWVELHGAAKSHGFRIRSIWIADVANQGYSGEA NELTLGNDPSWADHGRDLLHMTNVFKKEMPRPLIGIGHSFGANCLTHLSLLHPRLFTT LVLFDATITKFGFKGAGPGVSPAKGSAFRRDTWPSAEVAAEGFRRSPFYKSWDPRVLD AWIKHGLRPTPTALFPDAAPGTVTLRTTKHQELFTFFRPLYPYARPDGTLDLSKVPDF DPKAHEGFDGRVPFPFYRAEGPAILARLPEVRPSVVFVAGGASDLSTPDKRRFRLETT GTGVGGSGGAKAGRVAEVLMEGMGHLFPMEVPGQCAEHAAVWIEREIERWRAEQREYE DWSKSRGLVEKSTMDAEWLGKLGTPPERRSKGNGGGAKL PFICI_10286 MSPRRTRSSARHAASQADTPASPSSGAASTNTSPAAPPSASQAP ASLRQTPIKPSASRKRKARNDDTHSPPPPKPPPELEQPASSTRRPKRQKVQEPAAAET PSQPTATRTSTRTRKGKAAVAMSSPDDPTLPALQDKGPSTSASASSSRKSSRNKRVTR QAQETESTSMSNRRSKKASSNNQTSSDQDVTMTGTDDNERDSAPPPPPPPPPAHDPDD SDGRDEDDEDEDDDDDDESHHHYDDDPFGGFGGPPGSGLSSTLRALTGMMSGIASRLR EIMSNLRMKEDPSMQMIALQDLSEILLVSNEDNLAGHFSPDSVVKELVALMQPNEITG EENPEMMLLACRCLANLMEALPASAANVVYGGAVPVLCAKLLEIQYIDLAEQSLSTLE KISVDYPTTIVREGGLTACLSYLDFFATGTQRTAVTTAANCCRNIPEDSFPVIKDVMP ILLNVLKSSDQKVVEQASLCVTRIIESFKFAASKLEVLVSVDLLRSILRLLLPGTTNL IGANIHTQFLRVLAITARASPRLSAELFKLNVVETLYQILTGVSPPDETEDVASKLDS VVIMQALIHRPREQIIETLNVICELLPGLRHSELSGTALTLGLDLAEPITPSSLGSQR KKSSSEKRLDLLEDCKDQVRRFALILFPTLTDAFSSTVNLSVRQKVLTAQIKMLSNLD KDILIDALKSVPYASFLAAILSQQDHPSLVISAIQITELLLTRLDDIYRYQIYREGVI SEIVKLAEHQDMEQKAAETPQSSSDLGLESANSDNDRVEVHSDSEDDHDAEDGENDED NEDDEENEDDDEEENGENDHNDDISGSPVSSDGSTMSIDGPPRLFLADLPSMQSRIAD LAKKFLETHETEKHGKTMKKKANKILSDLQALASDIEAYYLHRSAKNLATQEGIALFE RLAAYFDADVLENATSAELMASGLVRVLEEVFSNPDEALANSARAAFLEVFMGRSVKS KPKTNSADSPATPFSIMTHKLQDLLSRSEHFEVLTVHQNSFDGNRSSAASMLAKQIRL KLVADENSEIPREYRGIMVSIHAIATFKSLDDYLRPRISLHERPRRGGPRDFARALAA MAGLPADRLADRSGYLSSPVPPPPVPPQPSSSRPARHSKAKGSTQAEAPALSDPSSLG REKNTLRRSTRQNPALADDDQAPPPPPGQDNDLQDALECADEKPLSGDDDDDDGGDDI ADSSALDAMIGDLEDDMDDEPRPDPSAVSLEVATSGKITAMKDDGTRVPTPAQSGGLG SVPGRSATQTPSAPAQEPSHPAQTPSRPFSYAAAVQSVPSDWHIEFSLDDKVIPNETT IYRAVHSASTNADENASRSIWSAVHSIKFRRVPGPPPTEPIGFSLASDAPASPNGTPA SLTNHPTTGSILRLLKILHDLNANLDDIIVENKDALKLNREPLSQFVNTKLTAKLNRQ LEEPLIVASSCLPGWTEDLARLYPFVFPFETRHLFLQSTSFGYARSMNRWQNAQQEDT NRRDRRDERPFLGRLQRQKVRISRAKILESALKVMELYGESRSILEVEYFEEVGTGLG PTLEFYSTVSKEFSKKKIKLWREMDSNDSEEFISGPHGLFPRPLATDNAANIDRVLFL FKMLGKFVARSMIDSRIIDLNFNPIFFRIGDAGHGVKPSLGSVKIVDRGLANSLKLIK KFVSAKQEIDQDPSRTAAQKVADTEQIVVDGTTIDDLALDFTLPGYPDIELAPQGTHT PVTIYNVESYLDKVIDMTLGSGVKRQIDAFQTGFSQVFPYSALSAFTPDELVSLYGRV EEDWSLETLMDSIKADHGFNMDSRSVKNLLQTMSEMTPAQRRDFLQFTTGSPKLPIGG FKSLTPMFTVVCKPSEPPYTPDDYLPSVMTCVNYLKLPDYTTIEKMKKQLFTATREGQ GAFHLS PFICI_10287 MDIRDLLVRHEKLVHLNEGSNKDSSRPRKPSTAGPVQQISPESQ VDTEIVGLSRTQPPHYSTESMNSVAVHSLAPDPRIMPARAAACNLDLLSDAATQMASA NEVGSIPPMMADMGQQSGGLSRIKSYEDGMAYDRSREQEANAMTMGFPGQALPPVFDD YNLFLDDFASTSHFLPQAFEVDQNLGIWPGFQRGALSKPASQFPSRFPSVQPDQRDGP DGVGRGHDDSGRLLPLRVSAMDHTVIKNRLDEFSSVLPNDFVFPSRHTLTRFLEGYVG GFHDHLPILHLPTLTPAEVSPELLLAILAVGAQHRFESHRSNALWYAAKAVAMEQIRR RHSHEVHGLLPTASAYSPHSTRPSPSHGFRHSFSSMQQDRPATHETHREPYSPNTPQA RLETIQALLLLCTVGLWGAKAILHEALSLQSLLAMLVRDEGLLPESTQTADWESWIRI ESTNRAKLVTYCFFNLCSIAYNMPPLLLTSELNIYLPHSSKLWRAETAWQWQEARHAY PSMDISFQDAFSRLFSRPPQGPPAYMSSLGNYGLIHAILQHIFFLKQTCFTSAPYEAQ RGLRPDDVEDVMQALRIWQMSFEQHQARVSDNGQPLVSESFPGGPVAYDSTALLRLAS IRLFTDLNPSRTLETRNAAQIAVSFGEAPYLIRSARLNKAILQAIHALSMLVKLGVNY VARRKSAEWSMQHSLCNLECAILLSKWLMTLASIGPTDPLPSPDERNLLEMLRRMLDE TEFAVPIDPSLSGGQGHTSSMDMTINDSVRMRQLAAAVIRVWAETFKGSHIFEIVKVI GSGLEGYADLIEKPRDRTPLGRMAPNPALG PFICI_10288 MRFNTLLVALSSLILGIHAYWLADISHQGVAPFAGSGYTVFRNV KDYGAKGDGVTDDTAAINAAITAGGNRCGQGCASTTETPAIVYFPAGTYLISSSIIPY YFTQLIGDPTSRPVLKATSGFSGFGLIDGNPYWSSDLNWVSVNVFYRQVRNFVLDTTN IAPGTAATGMHWPTSQATSLQNIVFNMPTTSGVVHVGLFIESGSGGFMSDLTFNGGAT GASMGNQQYTMRNLVFNNCGTAIIQLWDWGWTYINLQINNCGKGIDISAGGSSDQDVG SITVLDSTFVNTPVGIITAYSSSSQPATAGSIVLENIVLQNVPVAVQGPSGTLLSGGS STISAWSSGHRYTPNGPQSAVGAITPNSRPSVLLGSNGNYYQRSKPQYESLAASSFVS VRSAGAKGDASADDTAAIQSAINSAASAGKVVFIDYGLYRLTSPISIPPGSKIVGEGY PVLMATGSYWSDINSPKPVLQVGSTSGQSGQVEMSDFVVGTQGSVPGAVLIEWNLASP SGSPSGMWDVHTRIGGFAGSNLQVAQCLKNPGSSTVVPGCIAAYMSMHVTASASGLYM ENTWLWVADHDIEDASNTQVTIYVGRGLLIESKAGPVWLVGTAVEHHVLYNYQFVGAS NVFGSEFQTETPYFMPTPNALVPFSVNTALHDPDFSASCSGVSGNCAATWGLRVVDTS NLLVYGAGHYSFFSSYSTTCSTIAAGETCQSRIVSLEGNISNVNIYNLNTIGSLSMIN RDGTSLASWSDNVNTFAANIAVFKSG PFICI_10289 MSSSSSSKLRDRLHEDGFVVIRQILSADELRTLRAAAARATELA RTGQWPHIRTVGKQFPPWPSTPGPEGIWGVQGLLNPDLAGSEIFAQSYFGDAVLGVAK ELMDDCGDDDLVMELFNMLVRPERDFALRWHRDDVPATATADEEMARLREPEWHTQWN LALYDDDSLVAVPGSHARARTDAERAADPFAADMPGQAIVHLDAGDVVFYNNNILHRG VYDSTKERMSLHGSVGHAGGSQLRARNVLQHGVGKWVDRCDFSSLGEKERARAEGMRA RLVKLGTESGDVGYSHQD PFICI_10290 MAQWGLSSYLVVVRSLQALATLISAILNGFLLVYIETKKLGPSD VMLVLEIMTCVTLVYTAIVLLVQHTGRRSLKNRDSFTFAFVVGDILFTGLTIGLLSII SRSGVPANCGGLTRSDYKSTDAADNPSQGYDTVRFGSGDEKGELDHFCGLEKGYFFIT IALIFSYMATVTMGVLRIAGAAFRRKMDERLATANELVRLESKISRTRTSLIRQSSAP SSPSQEGLGSPRSFGSNRPPRRDIMIAQQQQQQQQHQHQQQQSPTQLQHHQQEHIQHA QAVRTSIPVSPLTITTTSTPIDPAREGLLIDHHHHHRHQTSVDSIEGAAEAAMITDGY RGPAEQNHHHQHHQHNALAHSSMISSAPPPYVPGESSRFMTGHTADESNEMRLSDYVK GQTRAQDMKDGGAGL PFICI_10291 MGGFDVYCVVCGSAMYREVVQDEGYDQAITNTEDTAWVGNTVVI SENPDARAASKVFISGQANVQDCGYFDFVSGEDPDPNFPDGPTCWQVYERDGENPYAV PIHTSCLELFKKVLQPKDLDKEILYEILKCLGPEPILPIDYGAVTEGQDQYWVTMEGM EEFVIDPEIVPRLRQYYSEFPAADKSGLARPGLPSRQTSSHDPFKRVPPEVMLFIFQH LDWHSINQFRASSRQAAQLELSNRYWSSRLYQDMPWLYDIPLEMWKGKFQFPDDLDWT KIYRDLYLSSDTESKAKIHGLVNRRRIWGICQQIADFYNERDLGLKNGTITEVMQEVQ STPLKQLVYPDPMIITKRQTFIISWYADMEHSTPALSIHWTQKGELAKLDTIEPESEG PSPLRDDICIPEGDWITGFIVYSVDAEREHRKDAVRYFTCGLEILFAKRDSIKLRKTA NSNQRLLLVSPNHFLVGFAAHMSEDGLIAKLALLEQPKAKMPFRSEYRVVEHHARLED NAAKHLWSGDLPSPTLGFKGLWRGYWSASKMNDENLPLEALVFGDCESELCDITSIGA DVHFGRFEVHYGNRAPRAIGPRGYAMQYIDIDGHGGERVIHVYWSVSHIPTGCRLVTN RGRQLIVGQPSDDERAFRSHEQGDGNNRTLAGIVGYWSNRLLPQANLSAVGVLVCHDV HVQSHQRPHRDTNNFFWTPEQPPNGIIEAGPIWGQREVYDQWQRRHKKYPSSKTVVSW LDCQQPVDEVRVTLCHSTRTRQLPLAAITLVQDNVATEPWPRTIGAERFSQPSDTTGT KGHHWCWCALGSRQDTELETRPHHVHEIWPVGGQKLKSMRVWLNENEGITGFQLVAMD DTESPAWGHCEETPSAEIGFVAGSGPGAAETPAVGVKFFLDNNERQVTRDDIIVTAVQ ALVKT PFICI_10292 MDDDEQLDTGLFQEPADYAPPKPPTLAKHVMKSGQEVPIHLVGY NLREGHYLWNGARYISYWFEDNVDAIRGKTVFELGAGGGLPGIVAAVLGARKVVCTDY PDEELMVMIRKNAGESAGQIPEPRSRFVAEGYEWGCDPAPVLAHLETAEERAAGFDVL ILADLLFKHPQHENMIKTIEMTLSRRRESKALVFFTSYRPWLQHADLAFFDRARDHGF VVDKIFEQRLEKPMFENDPGDLEVQKLCTGWEIKWPEDKCTA PFICI_10293 MAASEQRYRDYSANDDDYDRARPVKKRRFEGDDESHESANQSRH YGRYERHEGRGHHRSASPDMTQRDREGDDAAKDEHRQRHRKRHRHHHHHHHRSSRPAP PAELPYGARPISKSDLDLFRPLLARYIDVQKNKDMGDMDEREIRGRWKSFAAKWNEGT LAQSWYDPELFEETRQSGFWDVSSKPKATGRSTVGTEDASSAGEEDNGDGTARNKRSG NADSEGDDDEADDYGPVLPGSSQYRSSDRHGPGIPSLQDLEVRREMDEEDGLDSVSRL RMERKADRAEQKARLEELVPRAEAGTRERKLEKKKEVNEKMKGFRERSPGGATEVNDG ELMGGGDSVEELKRMRASAERKKTEKEIRREEIRRAKDEERKEKLREYREKEDKAMVI LKELAKQRFG PFICI_10294 MSSSKKVVDSFPDVEAKLSKAHKQSAFDKERADREAKRKREAAE TAAVYESFVKSFDDEDDGDDEISAIARGGSGTPFSRSQGPGRGGFAPVAGPGKRHFGA GLKSGPGSLGPAPTNYAKSGPGSLGPSPSSYGRKRGFEDGFRRDDDGRGGRMGRYEED RQYDAPAPKSVAKAFDTSDDEAEGRASDRADERAIAKPTLRLANLPPGTSPAVIKSMI PSNLNVESVKVLPPSVPNSNERKSMAAIVILSPDTPATDIDAAVSLLQGKYLGFGFNL SLHRHLSSALAASTATSSITSTTASHPFGAKPVALPNNQNNQQTHRGFAPPTSYGPPG APLNRSGLLHVPIQAPKDIKQLQLIHKVIEAVLEHGPEFEALLMSRPDVQREEKWAWL WDARSQGGVWYRWRLWEVITGVRSRGGKPGKFVPLFEGSHAWKTPEKPLAFEYATNIE EFISESEYNSSDEEDYEDEGHKPNDGGPGGETETTYLNPLDKAKLVHLLARLPATLGR IRKGDIARITTFAITHSSRGADEIVDLIVSNVQKPFGFTSANPEHNQNPQQESDGAAK TGESGPSTPAPDDKDKPANGEQLDTSAASLVALYVVSDILSSSATSGVRHSWRYRQLF ETALRERKVFEYLGQTAERLGWGRLRADKWKRSVGLILSLWEGWCVFPAEGQELFVKS FENPPSLAAKATSETQQAADEDDAGKRNGGRWKTVEAGGAEATKGFEPVSIESGAGYD PNAENMDVDDEIDGDAMDEDYGDVLMDSDIDGKPMSEDEGEMDGEPMEEDKPDPPTSK DPPTSKDPSAVAVSSPLQQGNEEPPAKEPSETADKTARPPRRRMRAVDMFADDDSEGD G PFICI_10295 MAESSSSFTPPSSILIVGSGVFGLSTAYALTKRTAWSKTQITVL DRAYGDQQQQRFPSHDASSMDSSRIVRADYADQAYTKLAAAAQDEWRKPGPNSWGGEG RYAETGFVICADQGPEVLADGVTKTGLGYAKSAYINAVELEGRHQIVELDSPEKIALA SGTGAPFGDWGYLNKRSGWANAEKSMAWLYDRVVETGRVKFVGATVDRLEVHGKRVTG AKLGDGTTLRAELVVVAAGAWSPSLVDLRGHAVATGQCLAYTPISDREQERLANVPVL MNMTQSTFMITPSNNMVKIGRHAYGYINPRKITSALVVPAKAGESNFPEITVSQPYTH VDDSKIWVPAEGERDLRTGLRRMVPWPDLMDRPWTHSRICWYTDTATGDFLITYHPHW DGLFVATGGSGHGFKFLPVLGDKIADTIERNYPLDFKDKWSWKQPEDIEKQIITEDGS RGGKVGLILMNELQKNGSRL PFICI_10296 MEGIQTHPATAAQAMAFTAPGSLSFPGGAHELTPPASDPAKRPT NGQPAGAVNGAGVTPATPAATPAATQGGSGLTPTLQNIVATVNLDCRLDLKTIALHAR NAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARIIQKLGF NAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGLIYRMIKPKVVLLI FVSGKIVLTGAKVREEIYQAFEMMYPVLQDFRKV PFICI_10297 MKNFGTALLLLAASANFVVSLPAVREEGVAPRMVYEPHSAARAV PYHGAELLPRQGKGKGKGGKGNGNGGAANAAANAANANGNANANNNNNNNNAANANAN AANANANNNNNNAANANANAANANANANANAANANAATAATGNGKKGKKAAAAAAAAA SSSAAAASAASASAASAAAATASVDTATASVATASVDTAVAASSAAAATDAADASTRR RLMARAFNQPLYPRKKNDNADNADDNVDDNVDDNVENVDDNADDNADDNNNNNNNNND DNADDATATDTAVASATDAAATDAAATDATATATDAAATDATATATDAAATATDAAAN NGTANAGDAKASKKAAKAAAKASKAAAKAAQATAAATASNSTGDATADSKAAKKAAKA AAKAAQDAAAANGTATDTGNAKSGKKNKNNDNNAAASNATDAAAGADNANNADAAASD ATGTDALSSLIGQLTGGATADAGAANSGASTDALTSLLGGAGGGASGLTDILSGLGIN LKERREKEE PFICI_10298 MSTCAPLQRCMRRGAVPTRSGLSGRLYKSARASIAAPQEWSRHR APAATTVSSLRRGLSARPFSTTRTLRFADVDESFDPKSVDRESDEVDVCIVGGGPAGL SAAIRLKQLANEAGNEDFRVLLLEKAGEIGAHILSGAVIQPSGIEELFPDWLDEENPN RFEHATPAGNDKMRFLTKGSAIPLPTPPQMNNHGNYILSLNQFTSWLGERAEEVGVEV YPGFAASEVLYKPDGSVQGVATNDLGLGRDGKPKDGFERGMEFHARVTMFAEGCHGSL TKQVIKKFDLRRDSQNQTYALGIKEVWEIEPEKFNKGEISHSMGYPLPADTYGGGWMY HFGDNLVSIGLVVALDYSNPWLSPYGEFQKMKQHPMYKSVLEGGKCISYGARALVEGG FQSIPKVAFPGGALIGDSAGFVNVPKVKGTHNAMKSGMLAAEAAWGALKDGDAGSVFL YDYEESLRKSSIWKELKEVRNMRPSFHSPLGLYGGVMYSGLEAFVLKGRVPWTLKHKT TDHDSTKPADQCKKIEYPKPDGKISFDILTSVSRTGTNHEEDQPVHLQVKDWDAHTDS TYPDFKGVENRFCPAGVYEYVEDESKPHGVRFQINAQNCIHCKTCDIKAPKQDINWSV PQGGEGPKYYMT PFICI_10299 MIKSDDNDASRMNTTLEHQNRCGLCNKTFRQKSSLIRHAKKCTL EPKTSVRQKACKACTTAKARCDLARPACSRCAGRGAACIYVRPPPTPGPSPGSCSDVP LPTVAPSSAVSSSASSAHGLSPYAAAPQQQQQQQQAMSSSSTTVAAAAAASLGYDFAS LDTSHHGALTPGFFSSSEDGLEMSSFLDAGMGLDLLHTTPSAVPHPSPSGDGLDEWSS QSVGRPGPHGGDAVLKHSMRTIFRVLRSWPRMLAKEFQLPPIIHPLQFKEGTPRPLAN CIALCKIWSGQCDASVGPGIGGAVREELEVIFNKHRTYDQPTLLAALQSVVIYLLLLI FPTPSQTSQSLIPPSLLNQIQALGHHVAATGLILHEETARAVPVWTVWAHVEAKRRSM CALHLAHWAYSVYHGPRGARYDGGRELARMPGPGAKFLWNAADERAWATLYGRWLAQW DEGRDFMFADFVGIDPEVVMNRRAEIWLEDADELGFLMLSLVNATERNMSRVMNEV PFICI_10300 MAAPRQISLITGNANKLADVKEILEPRGFVVRNQSLDIPELQGT IEEITIAKCRRAAELVGGPVLVDDTALCFEAMNGMPGPYIKYFLEALGPEKLHLMLAG FEDKRAQAVATLGYSQGPGHEPVLFQGRVDGRIVAARGTLRYGWHACFEFEKTGQTFA EMEDAEKHKISHLGKALDKLAQYLEQGAQS PFICI_10301 MGGIQIMPNFTDIEWDEDDQQTSSTTSEEQQPEYRLTADGVYQL LCMGHWLPITVESIPDKSKANVSQKALVLVQVGWFGLQCIVRKAYGLPLSLLEVHTVV HVVTAATMYGFWWMKPLDIGEPEVLDDTTRGALEFNTHIWLLSPSMRSRLDSVFINNE GGPISEDKRNAPGIKILNWSASPTLPNGSNNSHNFNMTFEAAKVMTKLDRHHLNYIVD YITQHHTEWIGSQHQNQSIKTIIKELRGDNFNSAPLNLGSHNNLMATEEDSNGKLQRR KKESRVVDYLNRLIILLSTFGGNEGRPFQNYNLLLTVLLPAIYGGVHLAVWRAAYPTV VEHIMWRTACIVIMTGLPVLLVLCAVFYLVMVIPSILERRQPDERRHGLDIYFPMAIV VVCIAAAAMLLLISARCFVIVESFISLRSAPIGVYWTPAWIQMLPHV PFICI_10302 MALSFGQRLLVWAFKIVNAVVPWHRLPTWLSVVNLLALRYELRD QNLHDTYEDENEQGTASAPAMPETKYLSVRNSDGQFNDLERPKMGGRCMRFGRNVPRQ FTAPPSEEMLLTPSPRVISEKLLARENGVFKPATIVNLLAAAWIQFQVHDWAQHFRSE KTWNVPLPDGDKWSDGDGMKIHKTLVDEPQSKQDLETPAYKNENTHWWDGSQIYGSSE QATRELRSKAQDGKLEVDLKGGATFLPRGADGIPKTGFNENWWLGLELLHTLFALEHN SICSQLQVTHPTWTSDQLFDTARLINCALMAKIHTVEWTPAILQHPTLKIAMNANWWG LAGEKLWKIAGRISKTSEAISGIPGSGPEQYAAPYSLTEEFVSVYRLHPLIPDDIAFF KVRSGAHDTTKTIKSVAFEEARSPFEKNDLSFADVFYSFGINYPGAITHHNTPEFLRD LYLPDGRHLDMGTVDILRDRERGVPRYNEFRKLFHMPQVKTFLELTGGDKKLADQVSE VYGGKVELVDLLVGSLCEPLPKGFGFSDTAFRVFILMASRRLKSDRFIAGQWNTETYT QEGLNWVQNNTMVDVLTRHFPELRPALNGIDNAFTPWAKIGSSKLYGGKETNDVPFS PFICI_10303 MNNMQVYLGVWTNWSRGAVMGATLTTTREYGNLLIAFTAFFIPF VATRFWRICCFFLHRIHSTPEPKGAIHHQRQVLLRNAASMESALVTLFSLVKSWHARK HAKLSTLLPLFGFTIFIVAAFTVAGGFSSRISSGISDEVLIDGNNCGIVSMSVGSGLD SFEAFVQYVSRAYTDAANYVQQCYSEESSGVLDCDRFVVKQLKTETIQTNRSCPFRGD ICRSNNTNIRLDTGYIDSHDHLGLNTPPNERIAYRYVLECAPLKTEGYVSHVVLVNVT WAQYHYGTKNYGGTDNRTSLNYTHQVQDLSYQYPKDYIGQITGDLLLSSVYSTVENGK VSSERSAFLPIPALVRTDGDVSIIFLSGNGVYFLDPLDDDWYRATVQERSMTSSANSG SRPLYRPVDAASPLGCVEQWQWCNSNYKDGGGCGPLTSALDALNGASHLFKVPDVWQG AERPFSNTSTGSHFIWTALIGWFGDEALDWAVKELKSAALASRSSSFSGVQYGLPNNQ WHLDVQGWWNTILAKTQGNMINAAIGHIDPILRNSSYVPTNQYEKNLCYNQKVRSTGY TSFSLFGLYFTYITGGLIVLVSLILEPIFDCLHSRSKYKQYKHLEWTTNETLQLHRLA HEGAGSQQWSGCTESAPTTAPEASLASLNIEDLAHPVLARTTKVEQEVVQVTIGSLDI DLGAKFQLDMSDGKTAVYVKEGSEESADDDLTDNFGQYSSTDTIGYYDSQDSLHPILP TAHSVLSDHAANYGTRVSPNLPWISPTGYREERFRQ PFICI_10304 MARSNMVPSNIREFYNGLLRNRTCTNKLATGFYSTIPGTNSFSY CGDHLADYNVVYIQGTNAQLADMDVDCDGAIQSGSAENDGRCPSSSPYRSSQTAFRDT VQSYGKGIQDLDPNIHSFIVFGNDFNRGDVGGPGNGGPGGPGSGGPPGHGGGGGPPGH GGGGGPPGHGGGGGPPGHGGGGGPPGHGGPPGGGHGGPGGGSGGGHGGGGHGGGGWGG GRGGHGVQEVAAISFDPKNYGIQPLSVMAVVCDNKLFYGVWGDTAAADPPLVGAASIS LATACFGRGMSNEEGHDAKDVLYIAFPGADAVPGRDGAAWRANTWTNFHESIAALGDR LIQRIPGSGNWTRPPSYSMTTAG PFICI_10305 MAVMGLVASMLPVFVGARHVKNMPLAPQIAARQAAASRPASYDA YYFDQKIDHFPTSDRYLPHTNDTFKQTYYFDNSYYKPGGPVFLYIGGETSGRSRWSNM QTGIIQILMEAFGGLGVIIENRYYGTSYPYNTSTTDELAYLSNEQTVADFAYFAQNAK FAGIDDDLTAPNTPWILYGGSLAGAETAFSIKLHGDILYGGIASSAPVYLTVGYPDWY DPIQKFAPQDCVTRISRIVDNFDALVDAGNRPAVDAFKSLFGLESLTDDRDFATAIAN PIGNPGFYLANTWQELNWNSTYGSNDFFDFCGNVTDVNAPEEITQVDYTFANYTNGQA WDGLGGYADYIKKYLLPNCFNGDYNSNDCWGTQNASWWADVSNSGTRSYVYTSCTEQG AYIDAPKTGSTLLSRVIDTSYEQQWCTWAFPDGEYNKIPASPALDILNSLGGFNLQAD RLAFIDGDQDVWKDLCFHSDFAPQPRPESTDLHPHYLITGAGHHWDSYGILNVEAEPQ FIREAHKWEIRTVKKWLDGFADWRAAFV PFICI_10306 MPQHLSPGKQAQWPPRSPHEVLAGTPGGRERLRRLAARTSPSPS PLKKSRSMSALNGRMGGHDDEDDEDEEDEDDEETLQLQLQAIEAKLKLKALQKKQRKG PGGSSDTESGSALSRPVSTVPDVLAASRAQSRAAMLAEGPKIRPQSQTEVQVPASPVR KSQAPVEQKSPSRVLLGIDKGLRAKDVSLKRASSLRKPNEPRDGQTGSYLRRAHTPGS SSQGASSSFEQDRPKTFSERLAAARTEEVTRRDRQERIQKVRSKAFEVGQAEVERYKE KASELPDLPPQEETFAREDVLSGQGIRRRRDGVAQDSDTNSSAEFNSKAKKVAPSEVP DDEAVSFEPYSGTHLSKRILPHNLLTRTFSSRKLLLIKDLLAQVKAPDFQLPEIEQDI VVLGIVASKSEPKTHKAPPGGELREVKSRFAEEEHRAKYMVLTLVDLKWEIELFLFNT AFQRYWKLTPGTLVAILNPNIMPPPKGREATNKFSLVINSDGDAVLEIGNARDLGFCK SVKKDGDLCNSWVNKKRTEFCEFHMNMAMDKHRLARQDVNAYGGGIGANNRKQLREKF SRGRKDEEERKRGQYDRYTNSHFFVSQASSATLLDKDQMLPGQVADRAERSEALRRKL ASQEKEREIMKQLGSTGRGAGREYMNLSRAKSVSGTLASAAEVNKDTLNDELNKPMDA KSLGIGKAPGTKMHLSPIKRKRENSATSMLGRSTSAGASLGWGGNLKDKLSRMKDGER LDGKTVKPETTTNLASKVDSPAAEPPMRKKTRFVTEKGIREAGRDSLGTELGKGQPMV SLDSDDELEILM PFICI_10307 MARTRAKGAATSTTSKSSTKSQTSSTESKHTLAPESTNPPKIFI LPKKATSEARVVSLLNPRYKKPTRYLVCPETGIYEFTRIAAPKSTPRSWLIEASGTRE ENDAAPKSDNQQDAEEFGAYVTKGADLYIATPIDPLFLVLPALESEKKRLITSDDHFD TIPKESSPHFSEILRWGNVRQTLESRMAAVCDMINVDVESMYRLNEEKLLKELLGKAT RMSKGLPASMEEKFVAKALEAPVLSVKRETANGAPAEPENASSAPESGASTPKVESTE SQSSASSTGMNLTGASEASTAATSVAGEESKAAAEEAFELKPAITPSPEVISLQRMRV AFSFILSGYIAQSQASSLQALLSEKKSLADFTALDDYLAQVAKLKQEASVSRSMADYS RKRMLDDDEQAAREEKKRKKEEEEKRQKAGTSRGVKNLSKVNTSGMKKMSDFFKKK PFICI_10308 MSDFDSSELDFSPVKPTAHHERPKTPKTPKTPTGPPPRTDPLAT SSDPATREEALERELHGVRNINELLEGVIGTLERAKGNMGTVSQTVNTASTLLNTWTR ILSQTEHNQRLILNPNWQGASEDLREIENEERLKQAALERRALEEERRKAEAERKRAE EERRREAAAAVGPGARGTARTRSTRGVRGTRASTARAPTTRAAAARASATTTRGSGVT GVRGTRGGVSAYGTRRLEATEESSTSFASARSASGIGRGLGSTRSRARGTR PFICI_10309 MAEVLSNTPSPPPRVRTPQTPKFGAFGDNWEPYSPRKSTRIANR TPSPQSAAVASRSNATLKKTTISTPGTSPQKKRAPAMDSVTRAPRATHLAPSSARSAP TSAPQSKSTNNLSVATMLPTPSKTPRKQPDDNLEAGVRAVARNLFASESSSSNSVASP RKRKTKTYTGLSLESFRAEEVEEDITIFTDSRDRLPEVDGSAENPFYGNTEDPQPTST RRSTRVKKVSIPGVGRVPVEEAVQRGDGIVYVFRGKTFWKPREDGIPHNEDENELDGS TVNVDAQASSPLIDRLDRLGSPPRRITRSSIKPRLLFPAQEKKKASHDTDEEEAATDI EDHANPNPTIIEPEEEQSQTPQEPQEKIVPDTPAAPRFAPASPPASARATRVSKRLLA EETPAKRTGRRSPFDSWRRSKTGTASHGQKREAESSPPREATSKRLRG PFICI_10310 MFSSLKSSFTSNISSNYSISTSVTSTAGPWKIYDATSKKTKKSY SVFVFDRKSLDSHGNSLGRSSASAFKRATEEVVERLKKEVSCLARLRHPSVLELVEPV EETRGGGLQFVTEAVTASLSALLAEKDDQERAGGINGRPSRYVTESPDGTRRRRELEI DELEIQKGLLQVSKALEFLHENAGLAHGNLTPDAILVNAKSDWKISGLAFCSPPEGST KPTSVQAISLSEALNLDPRLPKYVQLNLDYTSPDFVLDNNLTTSADMFSLGLLCVALY NSPHQSPIECHSSVSTYKRAFSSSSTVPSASNNFLSKRPLPRELANYVLPRLITRRPA QRMTAKEFQDSEYFDNILVSTIRFLDTFPAKTPGEKQSFMKGLNKVLPSFPKSVMERK LLPALIEELKDRDLLSLILQNIFKIVELLPSGKRPFSDLVRPKLKEIFVTNVKKEQAQ EKDPARDAGLMMVLENTDIIAANCSGKEFKDDILPILMTAVESPTPALVDVAMRGLPA ILPILDFSTIKNELFPVIATVFSRTNSLAIKVRGLQAFVILCGGSAHPENESDGLDGF SGERKKKTSSSSALDKYTMQEKIVPLIKAIKTKEPAVMVAALNVLRVIGDVADAEFVA MDILPILWNMSLGPLLDLKQFQTFMELIKSLSKRVEDEQTKKLQELSAGSTSTKPLES EDFMAFGGITGTQFDSSTNGASADDFERLVKGRVTVGQDSSNPMDSSGWESGPSRATS PPAKSTTPAFSWSTPSPTATAAAAPTSGFAPPKPQSSFRTVTPDLANIQPLSPSSTQF SQPLQPSSTMSPASAAPANPWASTTPAATAASNPWASSSTMTANAMSPPSMSMAGMGL NQQRPALQSSLSSFSLAPPPSAGTPSSSSSFSLPKPPGASPMGGQTPSFGQSASFGGM SSNTMAGMNSMNNSMGGGGMMNSMNSMNSMNNMMAPIKPMGTGGSMGGMSMNSMNSMN KMGGMGMGMNMGGLQQPAQQQQQQKPPGATLDKYESLL PFICI_10311 MLRQVLPRAGRAFRAPAARQLLPLASAQASRCYSIQPKAASTSK PVGIDPTKLSITETSSPRTPSDPKTLVFGREFTDHMLTIEWTQQDGWLEPKIAPYQNL SLDPATCVFHYAFECFEGMKAYKDKSGNVRLFRPDKNMERFNKSSARIALPTFEPTAL IELIAKFAKLEERFIPNERGYSLYLRPTMIGTQKTLGVGPPGSALLYVIASPVGPYYP TGFKAVSLEATDYAVRAWPGGVGDKKLGANYAPCILPQLEAASRGFQQNLWLFGEEEY VTEVGTMNMFVAIKNKETGQKELLTAPLDGTILEGVTRDSILALAREKLAPEGWNIIE RKYTMKELAEASAEGRMIEAFGAGTAAIVSPVRKISWKGQLVDCGLSDLEESGEIALR MKGWMEAIQYGDEPDHQWSHPC PFICI_10312 MPAVRPQAAVRATRALASTSSQQSARSFSATSAQKASHGPQYDP PTGWLFGVKPGEKYQNEGWEKPFIYGFCGSIVLAAIAYGFKPDTSIQTWALEEARRRL EAEGILEDPEKK PFICI_10313 MQCIRGLRVLALSLLWGTTALAQTETQKVLTSAGKRDPLDAGFE KLALELLDEWHVPGLSIAVVDGDETWAAGYGNATFPSTPATPSTLYYAGSTTKAFTAA IISLLIDSGNYSGLGWRTPLSSLIRDDFVLMPEYEWAQEHLTLEDAMSHRTGFPRHDK SLGTRYGPDGHPATVADLTRSLRHLPMVTEPRTDYRYCNLMWIVVSHVVEVLTGSWLG NLMRDLIWRPLGMNSTYLSLEDALASPEHLAMGYYWDYQSGGGGGYSEVPFAGLREGS GAGGIVSNVLDYTRWLRCLLDEAGPLSKAGHAAVKTPHITGAGGAFGWDAAPSYALGW VAATYRGHRVFRHSGGMLAYGADVWFLPQLRFAVVTMGNTAITSNTAGQLLGWKLIDD KLGVPEGERYDRHSHAKESLNGLLHQFDKAVDHLYPDRADPPVSRALPLEEYTGTYFH PGYLNMTIELGLEKDQLRSVRNDFVWQMTFDYVHVSGEYWIIFIDMKETPNQLNAQVA KAEFRLGPDGKVSALIAEFMEEGSEGIVTFEKVA PFICI_10314 MMPVPVKRGRGRPKGSGTRKTAATATAAATTTTTTKKPKVQIRI EQVAIDDDQQVSHLSLREALHNQEPQLTELEQRLHDIDDSWETESLFEDIIEDLSDDT AFKDDPEACTPEEAMRYRQLLRSQGPAEFMKLTVFNDSITAKRLLTAFGVRPPPFLEG ANDDQYYSLLTLAITRELNKRAKLMSYNTVDDAVSLIQDAKNIIVLTGAGISTSLGIP DFRSAQTGLYTQLANLGLPINDPQEVFDIEVFRQDPTIFYTVAKDILPTTKKFTPTHA FIAMLQAKGKLLTNYSQNIDNIEANAGILPEKLLQCHGSFAKATCQKCGYKVPGEAIF ADIKASRIPRCDRCITSLRASGTSKRKRGKKRSSSGRRSRYNSDDTDEDDDFDIPEAG IMKPDITFFRENLPDEFHDRLRRDMTRVDLVIVIGTSLKVSPVSEVVPSLPPHVPAIY ISRTPVGHIDFDIDLLGDCDVVVAELARRAGWNLDHEMIPPTQKVHTAIQDEQIHRHL FTTTHGDKCALDTATPTKPVLTIRDGQSGALRHKG PFICI_10315 MATDKSPGRPRAPTITIDTSADSTDFTDRPRQSLGSPTDLTASP TTLYPDMPQPSGASQSSSRPDFRPSHSFESQRDSRPQSPHNVSSPVAARGKAPSQGFL AVPTHPRSRQNSVDSSDYTSSQGETAVGTASTWQGEKAVSSAGELTHEQITSDADALK PDAGKEADFHVDNNPFAFSPGQLSKMFNPKSLAAFWALGGLKGIEKGLRSNRDSGLSI DEKHLDGAVSFDQATGKSTSKMATEGTENPPTSVARRNTSGHDADQTFVDRKRIYSDN RIPEKKGKTFLQLVWITYNDKVLILLSIAAVVSLAIGLYETFGGHGEGVEWVEGVAII VAITIVVLVGSLNDWQKERQFAKLNKKKQDRLVKVVRSGKTVEISVFDILSGDVVHLE PGDLIPVDGILIKGYDVKCDESQATGESDIIRKQSGDEVFDAIENGDKLKKADPFIQS GARVMEGMGTYMATSTGIYSSYGKTLMALDEDPEITPLQSKLNVIAEYIAKLGGGAGL LLFIVLLIIFLVKLPHNNETPIEKGMEFLNIFIVVVTIIVVAVPEGLPLAVTLALAFA TTRMIKDNNLVRHLKACEVMGNATTICSDKTGTLTQNKMQVVAGTIGTAHRFGSTAQR ESEESATAGSTNAGDVADDLTAAEFTKVLAADVKQLLLKSISLNSTAFEGEVDGVKTF IGSKTETAMLIFAQEHLGMGPVSEERSNEKILQLVPFDSGRKCMGIFIQLPNGRVRLY VKGASEIVLSKCTEIIRDPSTDLTSSPLTAENDATIKTLINSYASRSLRTIGIIYRDF EQWPPRNVKRAEGNRNEVSFDDALRNMTFIGMVGIQDPLREGVPDAVRDCQKAGVIVR MVTGDNKLTAQAIAKECGILVGDGIVMEGPEFRNLSRLQQNEIIPRLQVLARSSPEDK RILVRRLKDMGETVAVTGDGTNDAPALKLADVGFSMGIAGTEVAKEASAIILMDDNFN SIVKALKWGRAVNDAVKRFLQFQLTVNITAVILTFVTAITNNQESSVLTAVQLLWVNL IMDTLAALALATDPPQDSVLDRKPERRGASIISITMWKMILGQAIYQLAITFLLYYGA GAIFGFDDAVETQQRDTLVFNTFVWMQIFNQWNNRRLDNKFNIFEGLFSNWFFICINV AMCGAQVLIIFVGGRPFSISETDPPQTGLQWALAIVLGFISIPIGIVIRLIPDVLLTK LIPASLKRRSSRVPGFTVTDEERFEHYPEPLADVRDELTFLKRFKGGRLNNLKFALHN PKEVLMQIRSPSHSRSNSMRETLPPRTPIREDSTGESPAPTPNSRARSRSMRSGRSRS NSALGATTVMAGIIAGSVGAGWSPVQDRSNVEFPPRGATGPSPLAYTEEPEPIEEDAK ADVPTLKVPEPPARPSKS PFICI_10316 MAGDADDFDDIYDGIFLPLPVVDPSQVRQRVAQSMIRRAKFRNS LIGRFRTHLRSNAFKQKVKTEEIRSDVSAYFTTRHRMRYLKTMRSTSSVGGGGASLFA QLNEDGTERRRLVIKYSVDEGRGQNEAEWLENFARVDHIVNLVRLGEPTKNNSGGGSI PKVPGAFPDPGDKEIEETQTWSTNIYLPMMVLEYMAGGDLNDVRQRCREQDVLPPDRF LWALALCLMRACIGMAHHNTMVRGEKEEIPKSTFEHMNIAHGSMDLSQVLVGPLISDD DEHGLIPIFKLCGFGYTTTLDKDLGLDAVAENMRGIGRIIETLASPQSNDLEEDTGLL SLQSWDYSNYYGESVITHVHDDFTDSDIISPTLQWLVAQQMAEDLDNVPNLSHCLKEC VDTVDRYARMTYKQTAKNRTEVIKDFVRDVVLNAPVFQPMVKEPQSTGIAERLPGIME STMMMMQRIRLEDNAFRGPAPP PFICI_10317 MHILVTNDDGPPSTGSSPYVHSLVRALQKAGHTVSVCLPASQRS WIGKAHMIGQTVKPTYYRPPPVFDPRTSASDLQQGTIHARPSKDRSIEEWILADGTPA SCAQLGLYHFFTHKEPIDLVVSGPNYGRNTTAVFGLSSGTLGAALEAAVCRRKAIALS YAFFRDQNDPHLPEIVEQGSVHSVRVIEKLYAQWPEDKSVDLYTVNVPLIDGVGKNKV LFTDMLANYWAEGRACFQEVEGSVGDEEEEEERIREAEGQPKDVTAAQTTKENEGAEY PHRHYKWAPQKMIADVNQSILDAEPGNDGWAVMQGYTSVTPMKANFWHAATELHGKEL TLGSAEPETTSTQETTLSHRPKDQFHALIDYEDPYVQPLILSAFQKVIPTSSYTLLTA PEAKETEQSEIAISKLLPSADSRVLQITSYESIDWDFASMHESTCLVNSYMLRKALIR KHYLSSTIENWTAKRPESILKTHVKRGDAFELDYAEFLDDALVEAFDLRASLERNEEQ TEDGTEKSKEAREWWILKPGMSDRGQGIRLFSTMDELQGIFEEWEEDMPDSDEEEEAD DATAHPSGNGHDDDEEEGDGIMTSHLRHFVAQPYIHPPLLLPDSGNRKFHIRTYVLCT GSLDVYVYKDMLALFAGKPYVAPWEQGGEDLEAHLTNTCLQRSVSDGTVRRFWDLELP STSAAAAGGPSKEGIFEQICGVTGEVFEAAARGMMMHFQPLPNAFELYGLDFLVDAAG TAWLLEVNAFPDFKQTGDELKELVAGLWQGVMSVAVGPFFGLDAPAQDGRLVHVRNVD LGRRWGS PFICI_10318 MPAATEAPTVALSFANNFWGKDDAGVGPLLDRMLAAKQTCDELR SFYSARASIEEDYARKLMSLCRKSLGSHEMGTLKTSLDTVRGEVDAMAKQHSSIAQQM KTELEEPLAAFAGGMKERRKIVQNTVEKLLKTKVQQTQAVNKTRDRFEQECLKIKGYK AQGHMVMGQEERKNKAKLEKTQISLATSNTEYENAVKALEDTTARWNREWKAAADKFQ DLEEERLDFTKSSLWTFANIASTVCVSDDASCEKIRLSLENLEVEKDIINFITEKGTG QEIPDPPKYINYCRGDVDDHESLASVNDDNYSVAQFPRSINPAYRTVSPQPSTFESHH DPNSALARDLGHFDQNPPQAEDNTTPQQQRQRHQQQQQSYDAADFASVPHDPYPMDGM TMLCRTDNGIPSGMNSATSSNLSSNRPSSRDETSDYSNPASFTSQEPPSGMTSPVKQD PQSGPESTSDKRVLKKKSGFFQNHSPFRRKSTKDVQASNRNTWGPSSQGASATGSASR RSAYQPSEQGMLPDRSTGSPEPIDANASLALNIGNNVFPVANTDRRNKPADQPPQEEQ DPIALALAELREVGGNTKQSSVRVSADHWHGIATPVPAQGDARPFSKSVPAPRRGSDI AAGLRGTPPPSYDQQVQRLGVPAPACTSKAMQATSRKYEQQTRDMFNPNRPGSAANRP GSSYARSQSRPGTRGSDLPRATSPAPPRTASPRTGSQNDMRQNNRSASPNPYGGSVGS QHARSQSSVGATPRGSEQGYYGYNSPHDMARSASPAPSRLNFERPGSSQGGQMAVQLA QPGDDAAYGSQRGRHGRPGTSQGGQMAFYDGRQMSTSRQRSKSLADPSRMYTRDGKPI LHLARALYVYQAAIPEELGFSKGDTLAVLRHQDDGWWEAEIHAGNGVGRSGLVPSNYL QPV PFICI_10319 MDLDADDHYSPWLTEESCGHDVANLRPQGTNEKHGTGMWPNTAT ATSPITRLRAHNRPAFIPFTTRNHHQPPIFSPSKAPEIVSPAVAPTGAGAGNMEYVKR PIRMATTPTAQRVYISTFLFAVTSAVLLLVAATAYPIFYYTYVPKKVVSIPIHLQYNA GLNPFGVASLKKDLMLETAYDVTVSLTLPRSPSNVDRGNFMVALFATKSSLENPAQSF TIPEDPYTHVSSSNVVFSSRRPALMPYTDPLVSLAGRVLFLAWHVLAPASDRVELQIP MGELVQFRDQLPLSLLLDVQAGQTFQAYSASVELVARLTGVRWLMYNHRIVGFIVGTT FFWLAEMLWLGFAWLTLAYCLGWGEQGKQGKAITSIENDGEAEGYDYRAITKQESEEE KYLTAATSRDVKGKGLAMKDEDEDDYDRKPMKEESVERDLPTKQSWYGDDDEGEGTGS SYGKNKTVARKRLSGERY PFICI_10320 MPCFKGIAVSIHANGAPLSEHGMQKQSRMSRISTYIPVPSPQLN PDTSQHEPARFAISITLLTPGHAIPYTSPKPSPENPNPKPLFAGPLPSSNADPSRHAN TVTPYIPMTNSENETIAAYIYFDGRAKEEVATLLRPGEETWVNSRWVQVPSSEGGGLA EREFLFREVGLERWLNGLDLQGHDAAEKVEKRRQKFERRHRRQKSAVSAGMDMEKSNR SRDTLAYGSSEQPALDDGMIDSGSDSLSDDDDEPPEATGQIKVAMFRVIASGEIKKGE YSPQFDAHDDDDEAGGAAQAGSNGIDADVEHTTSFAKPKTLDPKTISTQTVTGIDGPD KPYAVFTFFYRGQRQLSKMGILPSAKDNKTTPGAKRRSTQLDFSNLGPLKKEGTVGFS AFRDQETERRKSRKKSNGAMDEDSEDDDDDSNPLSKMEQEYDAKVDKTKLGPEDAEIQ AELKAGIDRIRLKRQHSAEPPSLKSPSAGPTNETTPTDTTSNMAPAAGVLNLLSESMT AESALGSPMKKQRASVDALGQDRSAGFPSALGDVLGRATSEQQANNVAQSSGAVFGPK DEDDEEL PFICI_10321 MKTIIGMSWGIEARRYRQALLASNANTAVSQNYREAPLDPAQRA RAYRGDSGSHSRELFTQVGLVEGLDTCRPNVQNPVPLCIFISDGADQHETWTLVRELT VRMMCGDTEAEFTVTCGEEELATVAYNRENLILSRCIGQVGLALELITTMVEYMMYSV FYVEFPELPVGAVAGFLTCDETTRPSGASHWMPRFLLDRLIASISVQDGFVDIRRMGM SSYVRTVRLEDVKLLCPSCWVTSVESAHQGSKEQATVQPRRFVKTPSIATPDEDAAVG GPPKLWIDRVCIDQNDDATKNSEISLMGSYYAGAHTTLICPATEIVDVPSLQPSRHLL AIPDRLRAYQGLLRWKQDPWHKRVWTYQEGAMSKKPRVWVADQGVELNAGWLNFMSWA ADCERPVKCDLGLPPYCQKLGEEGIYYPQQSFRESGFLRGEGDAQELWAYRNSFARSW TTCELHDWAPKVDNIKKSLSRLIEITQLRKCTETRDKILGILALALSSEHFRTNMVRD LQDAYREAIRSGIPGAEVLMCGWTASKPRSWIPENNFAERWVRFKVPAPGNSLNVDQP VVDQKGRLILKAYKYDFFKNVRRPITLVPLRPPRQPEFITFEYRTLSGDFCLISLEGY VSHRGRAYVLAGAEGKSDRDLHDHILVFVSEAGGGSHVLEGACRIVSAVRTTSEDPES KEIRLGGITSLALSADSWG PFICI_10322 MASLDVSGTGNELNGAHDLGHSRKQIMLNAFDMFTPTHMNFGQW KNPADKGSTKRDLAYWIELAQLLERGGFLSLFIADTYGGYDTYEGNLDNCVSRAVQWP VLDPIVPVSAMAAVTKNLAFGVTGSTAGELPFLLARRYSTLDHLTKGRIAWNIVTSWK KSAFKAIGFDSPVEHDERYLQADEYLKVMYKLWEGSWSNDAIKKDAENDTYTDASKVR SIKHHGKYFKLETKFIVDPSPQRTPFLFQAGTSPAGIAFASQHAEGIFLGGFTPSATA SKVTGIRAAAAAKGRDPRSIKFFSMMIPVLGATDEEAQAKLAELKSYASTTGGLVFMS GLTGIDLSRVPLDQELKTSDSTDANRIHSQLAGMTEDHETKWTPRNVAERASLGGLAP LMVGTPAAVADEMERWMREADIDGFTIAQMTAPGTLVDMVELLVPELRRRGVYPEVAA GGEEGLSMRERVLGRGQRWLRDDHIGSTFKYDAYQESPLQEEAI PFICI_10323 MDAPGRAEHERENGKVHVNATNISVVSSPIESEPVLDIIFVHGL QGHPFHTWAAKPQAPEPTKKTSRVRRLFRTSASSSATTDHDAQNGFFWPRDALPTYCP HARILTWGYDSNVTGVGNTVNRNSLYEHGQDLIFTLKRNLVSKRPIIFVTHSLGGIII KDALSFSNGSNDPETKSVVQNTAAIVFLGTPHRGSQWAALGETARRIVAAVGMDTNHK ILDSLGLQTSELNRVQTSFSNVWNTYGFRVKTFQEGLGFKPTSVFGMNKKIVDNISSA LGDAREESETLQASHTEMCRFSDGNDQNAVKVLDELRIIYNWVLGIWKPQHIPNAPLG DLAHDTPPTQEPHPVSDEQIPLRRDKDYLLAASFDSFKFPGIVPNIAISTYKSSSLAT IEKLWSSHLSPENNSEVPRRLLWIKGSLGSGKSTVMREAFLQRSARGHYTAAFFCDAS AKEDLLRSASGMFRSLLYQLLPLCFSGDLRHDNLVNILETKFDRAKVARVEIEWELKE LEQLLKTIIGQNLDRDIVLFIDALDQYSEEDRIFLIDCLEDLSSKDYQFNSKVQIWVS SRDQVLTVPEDCLSIQIESVNWEDINEYVDEKLKLYPKRNLDEFDKFKMQLKTKSSGN FLWVVLVADIIRRRIHRAQYNIKSLETQLGELPEQFYELYHTMLKEARGDHSIRLKFF QWAVLSEDLSLEHWQHILPLLDQPIPHSFQGCRNSKFWEEHCTNVGDHISHLSLGLFR ASLPLDNNALSMNFEYEKSIDGAAGSMDSRSGNSRTVRPVHESVKTFFTQHNGFRALT EAGQPCSLSDGYTTILHTCLDFISLKDFDGLVKFRQLAYSTDQASSSSTNSLYEGYHG SPRSQSIASFSSAGSRSHSWDSAWSDTSSADEAVSLEANPQSQSLILRDNLLPTSNGE GEVTSLDEMINFRLEHLSSLELCDPSDSDVASSNTSIISENPEQSTTAQQVGTVFLTY VTSNFLGFCQALDLRGYTPEIIIDRLQNERFWNRWLCLNETDPSTLKLFQWTEIEQLH TWMAYLSTTTKASTYVIPARNCESLRNPGEWKSERISLRYCLDLGHRFIPHIAEDSGG QLNGSRPDRNHLTVNDPLARVQEQLIFELDKNPLTEKEFMPFQKLRHILTPNVIQEVL GSLRTIPVTSDDVAIIYRSFLRIFSILILVCEAAEIRIFLDKNIDDDCLPLTFETDYD TPHRDKTIISLKTGNRFPLTTGNLHWSRSNENSFLRLQYTFLVPFLARQTDGFYHYKI PSAEVELPIVKALPWRVSLGSQIYKVRFSSYSTDFSTSQNESEEWFLLYRAYARSWSR PAYDWKSFLSKVYTSQYLKRDKRYNSNILEVLCSVEQPIQGSDRPAYTIISPCPEGDL WSLFDCESRDNGYDLEWLGTQFCNMAKALAAVHIVSKLSNPLLPRLKYLRQDHLQHDN FLWFRRHGQSPGYLILSNLTDGSDISPLAPECQKQRWLPRRARKGNLGKAAHVWSLGA IWLDMITWYLKGRGGVLEFRERREKESNGFYHRRAEPIEDKQMMVSPAILSLIQDLKS VKGCPLYISSVLDIIEYGMLVVDRRQRVSAQELVDILDLSTSIYEL PFICI_10324 MSLSSRISRSAKKLVPERVLNWVAAHQLRQAQQNWDNAMAQAAR AAQAAQTEAASVAYMTRRCQIVQTFFESRYNLKFERSVGDGNHGATGVFRATKARPGL RRVSLTLGPRPAGVGSRAASASGGSGGSGGGGGGGSIRTASGGSSHSGSSRGSEHFDK YLIKFSLGSAGKDGSDRHLRNEARWLRIFNGSEHFVRTCNISYLMAQGAAEQEEADPN EDARAEAATPAEDHNPGHDRVLTAEEHESFRGMIPVADHSNGTDTRRWIPCLVLEYLP LGDLDSLRQRFIDASIRHGTRPPVRLLWGLALCMVRMCIGMAYVQNVRPGTRETIPPT GRPGTLAHNSIKGPNMLLDVLHPTDGEHEITPLLKLIDFGRTAYKPPDASLPYSDGPG AYRNLFYIGSVLATLACPEIPLQRGCSWIRHDQLWEWWGPGQGRSRLLMATHANKIFC SDRQIAYDFRLFVGQLMSAVAEGYHTRVRPTLQQALARCENGCNQGPHDEAPETPEQI REYVNYVVLGAMPPQQPPPPEQSQPQVGQ PFICI_10325 MSTAATMQQPPVIPPRPSRSQEKDTGASVPTIPPRPKQRFNRSI SPNPERFAQSPLNEPPFAPKSPGRRTSGNTLGVDPIERPGSVTIPSIGQEGQEYAVVT DELNSSSEGQGAASPEQTRTIGEDVKLHAPKPKLTQEAAIQRVAAVTRTDSEKAASFG IGRPSSEDPYPRTLKKKASTTSQLSHDSHMDDEHGIPEIGIRVPLLGNAGDIQAPTPG PGASGTPDSSRPRHHSRKSSGRGFGTLPPDSYGLHGHGVEHTDRLDKAYYEKHPEAKK FDLKNHHLHDRVKDYSMSSEDLNKLVRDSASRGAGSGTSDYVGTPSEQVGFYATEEYT SRMSSPRPPSAASKRQSAHFDSPLKVASTPGISVSGTDGQQEEVQDDEEEEDDNVIHV DDPAQRKGFAKYGNAQNVVGDATDDYDAPILASDEVAKGPSPYYDTPAVEPPAERRGS TYEMERPKSRPTSRPSSVYSPPLPEIRSTPLNDVEEYEPIPFRENDNEKKAAAAAKLK EHRQRFPSRDIWEDAPDSVHGTAEVSTPEPTGAFERSEESAFDIVPREGETAAQAFAR RQEELAEAEDRSPDSFLRNNNKSRPKSWAEAQPHLAKEMNHPSRPSMGQRFPSRDVWE DTPDSLRLETTVSTPQSDKDPQSATGEGDESPVEKHEKPSIPARPAKKYSGDDKPTIP DRPKPQIPARPAKAVGESKDAEAAPRQKPVVPARPLGGKIAALQAGFMSDLNKRLQLG PSAPKKEEPKEEEVAEEKEKAPLADARKGRARGPQRRAPTKSSSPSGSAPPVANGRPT LSFSSTRTLWCIDEEGTMSVEQEDEPTVEESAPIEEPRHAQEPTTETLPESSKSAETS ELSEPSEPTTSTTTETKTLATNTAGDSILEETIDKKPEGDQVASVEDVKDEVVDA PFICI_10326 MMATRLGILSMILCFALGISNIFTTIVVMYIFCAFALASSFIIL FIEVPLLLRICPTSSKFDAAIRQVSTNYMRAAVYGVMSLLQWLSAIPARTSLIAAAVF LMFTALCYLLAAVKHQAFVGSKTLGGQGVAQMIV PFICI_10327 MAANFEEVAKQFVTFYYSQFDSDRKQLASLYREQSMMTFESTAT QGAQAIVEKLAGLPFQQVKHQVSTLDAQPSVNGGVLVLVTGQLLVDEEQRPMSYAQAF QLMPEGGSFFVFNDVFKLVYG PFICI_10328 MATSSETSSGLDAKKGASQENSSSSRLFRRKSPQKRTPSSASLD RLFETGHESNASNPRINSNWDDAETLDTYGVFELRDGFFDAVFYPPEQVDLDDLMWHA KLTLPYAFRKQDPLSITNFLPRQCHEVKSVLRKVTQTRAGIKLLKSFLGFFIAYILCL VPSIRSWLGQHSYIMPISAILNHPGRALGAQVEGTILTIIGTAAGLGWGAFGLWLSSV TSSARVGFGGILATFLCIFIFVIACLRSYYIRTYQMIICAGIAVCYTCLADVSGDGVT WSKLLHYGVPWALGQAIALLPCVLVAPDAGARPLAVALHNAFSVMVDGSSKSRADQLT RRRLAQQFVNLSQAYRDLVLDFSITLFDPKDVLQLRNLMQGVIRALLSLRSNIRVFDA WNEATKKQGPDQTRAEADEFIVKMEQETSRETSAHEEEILKFVAENLVEPTEHLFAGM RATLKSCDAVLMDMCGHRQYLGPPSDVSDDVAGALVKLRRRLITFVTIQDSVLASEKF PQTYADYPEVIKFFAFCRPVHQAATAVEALAVKVNQMQQQKPERAKFYPSDYPFWKSL HRTNAQVRHDRGGLTAGSYFRSFNEIEELIGLIASKEYEPLSRREDIGANKLEKMRST MTATDIEDESPSKPARVGKSIWKVMHRAQGFETRFGLKTTAVTSLLAVPAWLSQSNGW WDYYEAWWAVIMAWLIMAPRVGGNVQDLFTRALCAVLGSVWGGLAYFAGNGNPYVAAV FAAIFMLPMMYRFTQSTHPRSGLVGCIAFTVVSLTEYHHHGDQSTAVIAASRGGAIAV GVIASIVVNWVIWPFVARHDLRKAVSMMIFYCSIMYRNIVSKYVYYEEGHEPTKADIE ASEILEGRLREGFIRMRQLLGLTRHEIRLRSPYNPLPYSALIDACEQFFEYVVALRQS SLFYKPQFVRDDAEAAARLLSYRRDAIASILTNLYVLSGALRADRKVPKYLPNAAAAR KELLEQSKELEAELAFNKRNSKTEKTEAEKWAQIHSYTYNESLTGCVEQLKELEKFTK VIVGEQGFDTGLNSDGWVIP PFICI_10329 MGIPYSRQIHSAFDQVTPLVAAGFEVLRTTKNIAIFLACLQVFV ALVLSLQLCALLGLIITVSPDLEPERAQLVTPIVRWLAAWVLEYGGVILWILKFSIVA GTATMGVLVWIGGLAGTRVPGEGSGDGAGEGDDKEGVVGDG PFICI_10330 MPEIAEVARVTHYLRQHLVGKKIKKVTAPDDTNVFGKVGTSGPA FEKALAGRKVVGAGSQGKYFWLQLDKPPHPVMHLGMTGWVHIRGQQTAYTRYAERTKG EAEVWPPRFWKFQLETEGKGEDDKTEVAFTDARRFGRIRLVDCPGADIRSHSPLVENG PDPVVDRDVFTEEYLRTKMRSRHVPVKALLLDQAMISGIGNWVGDEIMYQAKLHPEQY SDDFSDEDIAKLYKAATYVCDTAVGVLGDSDRFPADWLFQHRWGKGKKDHPTALPTGE KIVFITVGGRTSCVVPSVQRKTGKATVSDTKEEVQALKEQEGTQEEDGGGKVESKFFQ SKGKSRATKSKAQPPAKTTSNGTVGKRKAIKDEEVDVPIENTKKARKAPSSKEEDDVK PDVQKRASKKGKSNDEKAALPVVDAGGRRRSGRLSGKMA PFICI_10331 MVKNIVVLSGNSHPHLTEHVCSLLGIAPGDRILSKFSGGESRCE IKDSVRGKDVFILQTGGGAVNDHIMELCIMISACKTGSARRVTAVMPLFPYSRQPDLP YRKAGAPLSKHAASDAPKDNKYTFESVPTTPGPGTHRSAGFGHGSDLNKFLSKVSISA SHEPRGPRSHSNSVTSQPGSYTKIDYDSNVSDAALVSLEAKPGYKRWVAQAGTLIAEL LTCAGADHVIALDLHDAQYQGFFDIAMDNLYARPLLTRYIQRSIPDYKDAIIVSPDAG GAKRATAIADSLGLDFALIHKERRPTKITDRQNATMMLVGSVAGRVCILVDDLADTSN TITRAAKLVRREGATAVYALLSHGVFSGDALQRINASALDKVVVTNSVPQEDHKALCP KLEVLDIAPMFAEAIRRVHHGESLSSLFHFG PFICI_10332 MPSATTNQSSATTRWQDNLQDKCHEYGWVMPEFQIVSDRRGGRT AWSCIVKVCGTPVKAKFWYDGRNLNNAKEDAAEAALLWLTSSGY PFICI_10333 MPFDTELTRRLGIRIPVVQGGMQHVGYAELASAVSNAGGLGILT ALTQPTPEDLRKEIRKTRTMTKYPFGVNITLLPTLNPPDYGAYTQVVIDEGIKVVETA GNSPGPVISRLKAAGIIVLHKCTTIRHAQSAIKLGVDFLSIDGFECAGHVGESDITNF ILLNRARQSLKVPFIASGGLADGQGLAAALCLGAEGINMGTRFMCTVEAPIHHNIKEA IVKASETDTALVMRRWTNTTRLFKNKVTEAALKVERESKSDKFDEMAPLVSGKRGREV FINGDPDYGVWTAGQVIGLIHDIPTCQELVTRIEKEAEETLTSKLKLTTSKAKL PFICI_10334 MPLRGPVPVLHQTRENSEKVQVGPTTIYIFEDGTHTDNRIGCML LELPAGASGPPMHWHRFHDECFFVTKGRVTFVTPDANVVVGVGELMTVPPRAIHTFKN ASDSEPAEFFMTATPGYYMDYFRTMSKITTSGQKLTPDQTQHIMELFGTFPPDVESEP PFICI_10335 MEAIKHGEDDVIVGEQDMGSVLAPEQCLTKTRSLSDGGGAQLSP SPFIIDTRRTSKDDSSLRDVASSTAAQSTTPAPVTPRRPDFPLRGLSLHLPSRDTATT PGQGQPSSYVKPSPLSPKLDQSHIYASPTNILPRRSRGLDFSRAATSLHHSTLAEQSS PDTSPTIGGGRAMNIPGRSIGEYGIEQSSNSLWSIMGNQERMNVSSSIGSANHHHMAL GSDTSSSSDDDDPMDEEMEDAFMGTPHAAKTGQVSGIPWMPGNSPAANSLNSFRARPR KQPKKKFRGLLGLGFNPAAPPGLSKSPPNNVVSEMKDSPGSHARRESISWAANQLHIS AADDEKAMDAIDIPRDGRGGVVRRAVTRRGNLLPKTKGFARIRAALAEEGAPVDSEFR REAEVIRQVRESDMDLEPRVQSGPPPLDIASAKSSPNLNDETIDDMLDDDLIADSGLS SSFKQQALKNSKGKQFWDDFSETSSVAGSRVTPPPPAFLPRGSSSGISDMNMDSPLTR HNSLFGPGPALAMIGNESDSQRSETPQASGSATGSQGPKLPPTAEEITRRINNKRRRD DDFDPVGFKRRAVSPGMSVHNSPVMQSPLQRDMAPWGSRPGSNGGDKASGTPSDNGST GGTTGGGATNNGRPISGAKGRVGFQGMVDTHDGLMRMSIE PFICI_10336 MTPKVMIFAGAPANDAIDWTSEELLNEFLEPLACFAGISKATGT EANQGAEETVLDIATWRSIPLQQQRLETGFTQMHEFDGYYQASQDFFRTADTQGTDAA SQSLRDEFYEHSLRVYDDITSSQLPTATLNSTAEETSFISTQDESFRSSIEESTFIDQ GDRTLGLGTARLSNLGNLPNASYLNSIEPQTMTVNLIAGVISVAEPRTVRTKWGRSKS LVELIVGDESKSGFSITFWLSDDATSASEATLRSTRRQDIILLRNVALSHFKNKVHGH SLRRNLTKVDLLYRRKLDEDDVGGFYSAKELAHRTDGRQQLLKTQKVREWVLQFVGGG SPRLGKRKEKGQAVRSWELPPPDTQ PFICI_10337 MSQDTGLWSVRRPRETLGGINLNTNSAIPQPPSAMKRSNSASGF GGSHVRSVSGSRQSLAMGSRPPQPIFSRASLGGSNLAEMGMSSVKRASIAPSSGSLKT YAPAGLPGRSSEGDPRRSSIYRSRPSTNGPMNHQSFFQQAPQAAGVPRDPRPLRDRSY QARIGQELLDYLAKHNFEMEMKHNLSQNIIKSPTQKDFNYMFQWLYHRIDPAYRFQKN IDQEVPPILKQLRYPFERSITKSQIAAVGGQNWSTFLGLLHWMMQLAQMLEGYTLHNY DDACMEAGIDVSGDHIIFDFLSSAYRDWLDMGDDAGDEDAERALAPHIQAMAEAFERS NSKYQSELDMLEAENARLQQEIEDLEKSTPDPRVLDDNFKIMEEDKMKFEEYNALAQQ RSEKYESRVAVLEEEYHKLMEELKEADDERRSLQKEVDSQGISMSDIDRMTSERERLQ RGIESAAQRLEDVKKKVAEKETEASRRLDELERLVDKYNTIAYQNQLIPTTAENAKGE QYELAVTVNESDFTGSVMKGGSYGASSTGTERLLADPVTGYQPAHILNLDLRGTVKNN FAQLRKEVSERRGLAMDAMMKDHELLDGIKETIEDKQGEVEALRHRVRAAEEEYEKTK EVTTTQKLASDAQIEKMEKELAKMRTQLTESLQFLEQREMNTNIEYEQLTLKANALRE ELHTEIERMLNDVIKFKVHVQKNLEDYESFVADELEKELVPEEMSVDEEEEED PFICI_10338 MTTVDPYSSSTPDPTMGSKTPFEFPREYHFPPFFTRQVNTTTHR SQLEKWSSLVQAYGKHHRLFKLSLSSPQAQDLFHNQTLDRRLSLADARELVEFMRQEG RAEWIGNPKDADVAYLYWRTPDEWARELEEWADATGQKNAVLTLWELTEGEATVGTDI RGLDQEILQKALQVLVKRGKAQIFGHGDEQGVKFF PFICI_10339 MAHPGAADADRLFSSCVFSFVESRDLPENLIQELSSQVSKHGGE VVDARRDKSLYLEKLTHIIANTSDFPQHTDATAMMIPVVRSTWISSSLGRNKLVQVRP FSPDPRMLFSDIVLTVADDVPPSDKESIIGCSMALGAQDSENITKLVTHVCALGMNND KCRIVQSKNLKCKIVLPHWFDDCFRLGKRIDEGPYMLPDPEVFAAKPDDEVAVPASQH LEGATSARPQWMPFPTDSECVRPKLTVFEDKAVMLSWDLAISPRLKEIVQGLIESGGG EITDNVGNCDYFICQYREGEQYVKASKSGKDVGNLSWLYHLITHNTWTSPMRRLLHYP IPRDGIPGFKDLRITVSNYGGEARIYLENLITAAGATYTKTMKADNTHLITARNNSEK CEAARDWNINMTNHLWIEESYAKCEMQSLTVEKYTHFPPRTNLGEVIGQTPLDESRLR AMFYPGEPEKPSPTTSRKRKILDMAKENAYSDGPLEGVAIGRQARKEFDVMKDSEEDY AKKTTEEFGIPAPAKRRAVEAATPARGRHVLTGKENETPSTVSTGSRSAKAKAQQRLS EIAPDVALYDKEKKRGLKDGLWGGKRAADYIDREHLNRRSASSPTADEGEAVPVRTKR GAKKGSKPVLPEIDAKVILTGFKRWVNDKFKEDADRKKLRDLGIQVVSDGSQVDYLVA PQVVRTVKFLRNLSKGAKVLSSEWIEQCLDTGEVPPPEDYLLHDKENEKKFGFKLEAS VERAIKNKGKLLWNVPIYCTSTIKNGTESYKAIADANGAIFLVYAARSGTTIKPTNPE DDEGGPEPVYLLSTASPEEKKLWPRFEAMAKAGNMEARVVASDWLLDVVMKQELTFDK KYLVNNFFS PFICI_10340 MADVKIEAAPPHAENHEMGGLGGVVSHKTEEAYDNAIDPVLEKR VLRKMDRALVPLVTALYLVAFLDRSNIGNAETAGMNEDLGFDDAQYQWLLTIFYIPYI VFEFLALMWKLIPPHIWAFCCVLVWGLASTLQAAAFNWQGLMACRFFLAASEAGYGPG IPYLLSFFYKRHELGFRCGIFLSAAPLATTFAGALAYGITSGHPAIANWRLLFLVEGI PSICLAFVAFFFMPDSPDKAKFLTEEEKQITRARAIQQTGAEGAERVGHVNFREVFAA LADVKTWIPPLMYFSCNVSFSSLPVFLPTILTSMGFSSINAQGLTAPPYFLAFIICIL TTYVADKTRQRGYMIITLSIIGGVGYVLLATVKSVGVRYFGVFLAAAGVFPAIANILP WTINNQGSDSKRGSGIALLNIIGQCGPLLGTRVFPTAEKPYYVKGMSICAAFMFFNAL LALVLRTWLAYENKQFEKRDAEARTAGLDPGKSRHAESENEGYGFRNFL PFICI_10341 MASRKKRQRVYLACRAIFSGPKSQIRKNKIVRKEIQDHEHALGS LSDMDVDEIVEIARGLLERQIFEFDLKARLEFPALFQSSRERDALREASELEAEQSVA DLLEYVTDGEDNDDVWPAEAEPEEMPRPEEDTVAEVMEVVEEVFESTQRPALTTVPSL HPVRLPYKAQHVLLSEVQVLLEECFYDFARKWLPSLLEEKQCDCAIAIELTVWSHLLR RYAGKIPLEALDIRGDSQLDSTLKAVHKIRHSAVHRLRLTGSDVRDLVIQGAAAATML RDLQRAAVLQELAAELGHKITSMELVKNDAEKTAVAQLQDIARRRAALDREEEAAIAE MLRRDADGTKSIGILVEESVGKILRAPRGYSEEEEDEEGDGSEEDGDEAYADAEESED TFVEPTRAVNAGVEE PFICI_10342 MFSKTFSTAAAALIAAQLVSAQTYTSCNPMEKTCPDDPALGTTV SVDFTKGANDLFEELEGTTISYDDAQGAVFSISKETEAPTIQTNKWIFFGKIDITLKA STGQGVVTSFVLQSDDLDEIDWEWLGGDTTQVQTNYFGKGDTTTYDRGAYHPVSSPQD DFHTYTIDWTQEYVKWYIDGNLVRTLLYADAKDGTRFPQTPMQIKLGTWVAGRKDAPT GTVEWAGGYTDFSSAPFLAYYKSITIQDYSNGVEGATYYSWSEGSDGSYESINVLTGD SDSNDTKTDSASATGDKTTMSTATITSAGGLTQATGGSDTNVTLSATGTETIATSATG FVSTVSATGTASSSASASTSSTATSGALQKGANLALLGASVFLGYLVL PFICI_10343 MAGSSRRGKTLDQVKISYPHVPDHDLPTPDKKSWSTRVMPNVET NVIQPGLFNRDAFRSSQKLLALPHIPENTCYRNSTLAAIFNLAPFVNFVTMRSEGAGN MTALKPDPKNSSLFFRYLADVHEQFRYGTAANRESLLQKNVVRFWNYILKHRGPFNVH GLETNRTWSFIVEKRGDYEAEDPNEFYTYLIERIGFFELKGANDEAAQTFFRAFQYEF VTRVAFDCCAKAKGKKIRVQDHDHAFAPVIDVGVARTVAKDFQEALSRKFYNDNPRAR TCPYCSKEKTYPTFYKFSYMPEVLPIGLDYNQEWDPKQNSMTVDMKTRPNLPQLIDLT DLRDDDSLPEHEDTKDGDQCVYRLESFVAAPFDLRSGGVSHYVAYLRRDEDTWDFIND LGGVQRTTKEAVWKTSGFRPRLAFYVRDRTGTKPGQLMTPSPTPAPPDDLKRGRPTSP TPDPEDKRKGKGKKGKTQKTEKPTPEKKKTKTKGFPDDPFSNANTKNETGKTPDPVPA NTTTSTGGGLFGGISPFTGISPFTGISPFTGGTLHQPNTSSGTQGGDSKGFGGSFANQ NGVTTHGAGYNGSADYGIYSSFFEEDTEDISQITGTGYDFYRGSKPTDETEFKKELTP FSLGPTKILDPKKLEEQTPPPPPPPPPPNQETETQKGKTEWDLYKAFLDGNEQEEVKR QKSQDGNTKQEEKKQAKPRKRMGLRGGAGSLKKSKKDKKDKKKKKEKGVKLEDGMTEE ESKMYQEALESARITLQHIKDKNEWRKEVERLKEAYGETKGGKLKFLIQRPGPVPTET PPPPATWASVPGIRVPCSIDTDENDPRIAKSAKWKLKKFQKVFDEEGLNPPAGRSQDP EIWRVYWLRHFVQKNRDYTMYSMSKLQHTANVLGLDVKGKGARKAPVKNDFVNVFKRE DLRRLLEYKLHNGPAPVELAGPASDDEPIDTESEEEEEEEEEEEEEEEEKEKIKGTTP ITARAAHASGRSRRQSRDSRTPTRKRRGRSPIPRPRKKRSPPPPPLVESSSSSSSSSS SSSSSSPSPSPRPIVEESSSSSSSPSPVEEEESSSSSSAEDESSTPPGPPQPQLVDGD KIKHANVKDIPALMDTTATDEDLEGWVKK PFICI_10344 MDTYKSTLVGLLGLSSLLLVSQPTRQSALRAQAVKVKKSNPDGK IPPHSKQHPQKKIYLVYFLMTVSAWLQEIFITNLYKKEYGLSNDVIFQLTLINFMTSG VVTVFSGAFADKYGRKSTNVVFTGCYGAAACLAMIPELPAIFAGRILGGIAQALMFGV LDSMIVSDFFARKLITQGCDLYRTFGTMGVINSFAAVACGVLGDRLIWATGYNKAPFV VSWLVMWQAMQLVWSKMRESYGAVSTDDMELKKNTPTLGAFFKRPYIWPLMLASMAFE GSSFMFAFAALPVINSVHRTKSELPATYLFACIMTSALVGSLTFNIMMVKRRVRHIRV LSLILLGANLVCYRLARPKNERSTFWMANAFGLLIGLYYPCIGTLKARLIDEGIRSTV FSLVRAPVYVYVIAQLLLNQGTPTMVRMFQTSSWWLTAAFAATWIISYNKKLP PFICI_10345 MLFFTHIITLALASTANAAASGYSTAPNCFTLRGINPNGTSLGA LRVGQYWLADYVVYGVFYDETGQPNVGTKFGVDSRTRHLLDRDAGEVNFGVPHNGLVA SVPSAGSGSSFVWFDTKNRTVDPDGAGRVALNCLVDSHSRLTCAHPTKPGYNVFHFCP VPEGVSDIFPALLIGAASDIAGASFFDVTCYPITVAVVRAQGCLG PFICI_10346 MHLADEILLQILEHFSISFPEYKPSWENVPFPEELVTLARLCRS SRRLRGLAEPILYHAIPYDSKNRRLLWRTLVERPDLRALVKSIHLDSELPPNELTSLL EAARPSLDISEDLAEQLFADLRLGQDRKNQVEENESEDEDEDGEENEQYYRTRHVELQ FAMLLLPKLDLVELASYYDLGPFMYDLFGSLRAGADTKAGCAPHLASLREVRIRNKLQ EERLGLRPAGILALSHIQSLQAQQVYWNFHDIDRKYPGAYLSVRSIDLSDSICNGPAL SDMLSRCPELRELRIEWGLSFDDWEDELDFGSIGDALRRHGQRLEWLNLDCREAYPYG YSDDDLGSIGSLRALVNLKRLTLTHDMLIGRDWDDFDIDEDTLRAETFRLSELLPVSL EELHFYSCQGDEEQLDDQLYDLIAPGGKHMGSLRKVIMEGRETNFGRQIFEFGWVAYK INANVVLEKDGKLIMT PFICI_10347 MDLVGNPMASRTDCGEIADDYNTFYSEYFATQKEFMETANRFLE KSKLPPMDLVQADASGWNTVQSRMEQACSAIEKVSTQEKELSGMRGKMVKAFRGLCRN AATGKLFTSLIPDDMGGSVLCGGLNVICTALEQTSVHREAVYKALERLPRILNNHVEF LELVGQDADIHQRAAKLYTEVLRTLNHILRWYTTNAFVVGAKRLINPSALSANLNDHI TEVQLAAKDLKSRATFVLWKKSSNNAEEVLALGANIGRQFECVNLNIQQLHTASYDII ENLIHNNVKSLLGDYMQSLAIKHTSNSLLPAASHPITTSAEFLRELEYDPNLIIKDVN ELRRLYFTNTVSRPDANRLWAFKENRRVTAWRTVHEDSLMLVNARSGDSMDWSTTMVN ATFIRCLIEEKPDFTRINTIPLGFFCSQHRDPYRDEEAKPERIALNLVLQLVHHFPNF EPTDLRKCLDKLERDNIDSIFRIFRYLVKKLPETARVYLILDGLSFTRENQERSVWEI VDHLICILLEKKHKATLKFMFCSPTRANFLEKLFDDSEILNLPLAPMSLPGNSLLRLR PFICI_10348 MAGDSAATASEEDPSPFNLPAQMKSAETAAQDALKKAVQSIKAL RSLSTINLSDEFSQLSQLMDELSTGEKPLLQGTSSEHFEGQESAAQQAASGSADSKSS FLEHLLDTLRPEDGKKAKGKHFSADRESDRGAPSIQAFYRNIPSSLRRADGGYSEEDF KIAHANAQNVEKPLRVLINSPYLLQDLEQITGFALPISAFEIIPPFKLLIHHWKDIIS TLEKLTHKYDELCQRLNTCVPSATEMPCSDSSTEQAAGLTLQSPSAMSISVEVREVSP TENDKQSSSNEEHTVRKTLPEMTNEKTILEIRISNLRCLCDFIQADLGHLVGLKIKIQ EGSVEKVLFEEVYHLYNPGDLIVGREEDVDLLYQVYAVTGGRRRLYKAALSTAYKPPG EELEADDSPRAGVGTYTDVVIDCLLLHWDGVRIGPQRITYRIPHFTGEKNIVDLDYFP AHFCKDSQELCERLLVRGKKVTKCYGHQKYDAQTATARLQQTVLSNYAHQRGAPLSQV RYDIPDYDDKVGAPGRDLDSDVYVDSKTFCQSFSPFRNESFRLGRTRPSTREVTEGYE FASDSDINVVADHDVDVARSENFLSRNFHLTHPQRLEDLEGKEECLMLLSECVPAFDF RQRKWDWVSVDKLEKIDKTQDARRRAWQDLVIEEGYSQLLLSLVDNHTSAYDHKKKKN ASGYSVPTSQVDLIKGKGRGLILLLHGPPGTGKTSTAEAIAAYTGKPLYAITCGDIGV HVGEVEKNLREHTEQATKWDCVLLLDEADVFLARRTWSDMDRNAVISVFLRHLEYYSG ILFLTTNIVGIIDEAFKSRIHVALRYDAIDAVSTKRIWNNLLDRIAMDNETSDVKIDF KKDKLLKFAVSHYKKYSSDGTTWNARQIRNAFSTAIAMGQYDRMERIRRAGLTPEQVV ASGNQGLMTIKLSKGNFEKVADTATEFEEYINAVRGDDVKNALENQQRDDYFTQQLAP QPRKTRGAPVAYFDPDFKSSRSKRPPTKPLDSDEESDIRKASSHQGKSRQRRERDSDD EDGSDSSEGLSD PFICI_10349 MARDRDSDAAAQPGQSKRPPLNHHVSHDSDSQVSDHASQPAHHR PKKHHLVGGGRLSSRVPSSKTLHKSHHAASNVKLNHNNNNNHHQNRNQQQPILSPEQP ERPPMLSAAHRRTTSDVRLTRDSSTSNLKKNASHTSLKRNKSHVEVGKKSKSTANIKR VASHKDVTKDTNKYKGTKGSVHFDLGTDGQDDEWVDASASASPYLSRRGSVVSSGQGS AKPHDEDGSRPQTAQPTTNNSPSTQETPDRERVQHKEYLTSRLLQRTPSHGAPPKMSS ETAQVPPRPVSPKSPASHTSSTPYDSPKVAGASIGTSGDELTSRFVSGPASGYNPDSG SFFTPSNTITRVKRPQSLNNLHEERRSSTADDRDEEDDESALAPRARRRSGSKVAPAD TSRTQQKLNLQRASSSMEPAPAGGAGLGAVGASPLLGGAGYDNRDPRIGKLIERTGQE YLVVRRYQNPVARSITRLQQLPGANKQLHIPKQNGANGSVHGKKAPEGSAARHGHNSN LVDTQRSRPGTPKRNTSVRLNGANSSYETEGIRGGLSGSSYVDGGDDDGVAALLRNLW DKNMDLSASQD PFICI_10350 MASYPTYYLLTVMVLAAVVQSHLHIIYPGSRGENLVINGTVAET NGLSIGLANGTDNPIYPYGMQWQYPCGGLPVGQNRTSWPVRGNGILSFQMGLQAGHSK ALLYVNMGLGSLPPNHSLVMVPVFNIDGPTNAEYHDSICLDHVPLPANVTVNPGDNAT IQIVQAAKHGAALYNCVDVTFVDNLETPSLDSIGCSNSTGIHFSAVSTNAPRRWGWYN YGQMIGLGLGLGLPLFIAVVVLSCLLARARKQLRTREKLPG PFICI_10351 MATPSAVPRTAPIAIAPKPSHFNPSNSSSRRGTPGLHHDAYAAG MNGHDSADSDTLSSGSMICDFCSRRRIKCVMGDDDDSGACVSCQVNGVDCSLNQSPQP RKRKLARDFADDGLRGSPGRHDNRRLRQDTLSISSTAASNSLIEEMANFGGPTMMKRT LGLQNDRFSQYIGPTTDFEPSLINLSPFDNQDESLLARGTLRKVSDHDTFLLLPDSAT ERYEYQIADADEIEKIVAPHGKTLIDLYFDIIHPSFPIIQKEVFLEKYERSYREFSPP LLAAIYLLTINWWDHLEELSVHPRPDVKGLERIMRTSLVDAMWRPKLSTIQAGLLLSQ RPEGDQWAPTAQLVAIAQELGLHLDCTHWKIPLWEKGLRKRLAWALYMQDKWGALIHG RPSHIFQTNWVVQPILPGDFPDVDYSDENAEERLDLERGRTLFVQMIHLSQILAEIQD TFYTLQAMQNVTNAGPQGIHLVLSLAKPIQLKLKEWYSSIPAVIRMDNSMSAMSSGRL SSIGHLHLAYFATEITLHRRIIRSLAADESAVDFYLLQICRSAAKARLISAMDFVNRL TPNHLRAFWFFASKTNFALIGTFGSLLWATSPGREEAEWYRRRLAEYRWTLSVSSKPG EGKGLTAFAMGMLDISTGLLKKLPEKPELSRSGSAFEMESMGRRQSLLSMSMSSMPME FQSGMHSADVSGAVSPGSQSDSSDEMYDNFAPTGGMASGRY PFICI_10352 MQSGTRTGAMLGDLLKEVPEDASPRVPWPFANPRKQVALENKFF EEGATIHFERLQPLQSWAGLSGLNMQMRTNFVAANVVRLASEANMRLTQTEIDSIAET SAKNFKRGAWAAPTAALFAGAAFYHGRADYKFPFFKPVGRAWFHPQAFPTTRWTILRG SSAILVWHAARWLAYYPLFKFATTIFYSSIMKMSIAADVVKDTRLARVQDAILHRISP NSPRGRRAQQAHDQSQAHVGQSESDQRDETYRRVGLPPPSPEEMRRFPRQSQTPATAS SGQGDAQSPVDWASTERQQAPAPSQSSQSSSWGDSELFDDDASPVSLAARRAEQAGSA AQQSAPGVSSWDQIRRQAKSATSPFSRGDRSGQETAWSKVQQEASTPDRGRERGGSSD NYSYSETDEKSQAQKDFDAMLEAERKGESGSGSRWR PFICI_10353 MPLQKSFSEQQGPPRAKQTWNRSKSHSGAHTTARLTTTRPLQPV SEASKNKLHAFEFRDNVPKNTDGNESLLDQQSTDTDPQKQHNSPTDDGLPCNSERIAI TPRDKLVWQELIGIPEDKEDREDVSPNERILWDSKRREGVPEISPVIIRRRGKKRARS SSPTSSPSSHTNLATPAVNVKRLTQALKSGSADPATELWDRFALSGSTNRPAQGAANP ALAQIMVSSSPQTNKIRTNGLLQPGSPSGSSLRRAISCGTNWPKRRRTDRGESTVQPT TMMDESPTQSTKASMVNALLRSVDGEINRSKALQARHDALKSPSPKKKQQGPMSNGSP KRRVPPPPLFESLEKTTSTGSRGEEHTASKEDSSDYGDDDFDFDEDTLMVLDGGTVTT TQDETFTAIPPQIKPSTQSDDGDDEFGDMDDDVFAAAENIIAGIDSHQSSQATPRQLA EHSVVAEAVMKMKNGEAEEDLYDDDFGDDFDFEAAEVSATQAVQQQSSLGTSAPPATH KPKAIQRYLVTKVVETEFMDENSRLGVEKILFVQVDRSNVMKTIHLRGDWVDTSVHDK AFVHVIGEFQNGGQCIIDNNQNILILHPDQLISATVVADSFTCMRRAVLQDRVKATSE ATAPLVYGTLLHEIFQEAMMANEWNTKFLDSLITTITEKHVEDLYKIKVSMSDAREHL RSKMIELQHWAAAFVSGEPKADAVIQGRNGDKGIMCVSKLLDVEEHVWSPMYGLKGNI DATVQVTMKDGPKTRTLTVPFEVKTGKNATSNHQAQTSLYNLLLADRYDIEIAYGILY YMENSSTIRIPTIRHELRHMIMQRNELACHVRERSVQLPPMKRNANTCGKCYAKASCF IYHKLADDGDGETSGMKTKFDEVVKHLSPIHQEFFLKWENLLTKEEKESQKLRRELWT MLSSEREKVGRCFANVMIEEGSAAEQKDSPKINRYTYSFIKDTPAAGFSFMDSQLAVG EPIVVSDEQGHFALALGYVTAVRKEKISVAVDRRLHNARIRQPGFDEADNQVFASIME VVPEGATPALSQGKIKKSPIRYRLDKDEFSNGMATVRNNLVQIMTNDIFGSREIRRLV VDLAAPTFKAMSTQYTIKDQGSLNVDQQRAIHKVMSAKDYALVLGMPGTGKTTTIAHI IRALVSQGKSVLLTSYTHSAVDNILLKLQKDKIPILRLGAPAKVHPAVQDFAVLAAQR KDTFDEIQDAWHNTPIVATTCLGVNHPVFNERTFDYCIVDEASQITLPICLGPIRLAK TFVLVGDHNQLPPLVQNEEARVGGLDISLFKLLSDTHPDSVVNLEHQYRMCEDVMTLS NMLIYNGRLKCGTEELRHRKLDIPNMAALSSKHYDVETLAHSGNPRSICANWTQPTCW LRSIIDAEARVRFINTDLIPDSREEAKGNRIVNPTEASIVTQLVDALLSVGVPAAEIG VMTHYRSQLSLLKHNLRAHGSHIELHTADRFQGRDKEVVVLSLVRSNEQHSIGDLLKD WRRINVAFTRAKTKLLVVGSRDTLRNCGEAEMVSRFVKLMEDKNWVFDLPADALEGHW FEEGSTQVTSRGHGLRGRSQSRSPMKKASWKAVADEGFGFDAGKENMRPAPARAKVTE RALLKNKPLLTDIFNDLMGSGEY PFICI_10354 MALGALLLAALVPLGLDSLSRTAAARTLPDQAQIIDQKSFAVLE TVPPPSEANATTTFLWPGVTEDSLTAKPFHIYDDEFYDIIGENPTLTLIAETESDPVF HEAVVWYPPTDEVFFVQNAGAPAAGTGLNKSSIIEKISLADAAAVANGTAPGNITVTT VPSNPQVINPNGGTNYKGNIIFAGEGQGDDVAPALFLMNPVEPYNTTVLVNNYFGRQF NALNDVGVNPRNKDIYFTDPTYGYVQDFRPVPGLPNQVYRFNATTGAVTTVADGFIMP NGLTFSPSGEHVYIADTGANMGFFGWNFSNPSTIYRFDVEEDGTFTNRMTFAYVTAGV PDGVHCDSNGNVYAGCGDGVHVWNPSATLIGKIYLGTTTANFQFAGDGRMVIAAETKL FYVTLAAKGVALE PFICI_10355 MLSRTATLFLALTPSSLAWQWGKAGKWTGTQRLNCHGGETYINY TTVTGFFQQDDSATDASSFDYTASNFGLINRTYDTDAEFDPAGTKTQWQRFEYYVNTL NEEADSKTQYKVVYFARHGEGYHNAAETYYGTPAWNCYWSEQDGNGTVTWADAYLTEA GIAQTTKANNFWKSQLADQQMPAPRSYYTSPMNRCTTTANLTFSSLDLPAEYPFVPTV KELFREGISIHTCDRRSSRTHIASLFPSYTIEAGFTELDELWNGTYAETSAAQAVRSK KVLDDVFSNDDAAWISVTSHSGEIKSILSVLGHRTFSLSTGQAIPVLVKAQNLKTEPA TTTVSSWSYEATCTAPPVTSIAGTGCVCATTTSAATLATASVVANATISSTTV PFICI_10356 MVPPPSRATHSQSRSGTFSPIPPPTQAGGLSSAGSPITGSPTSG HRFDKSITITQIYVLLNLLRDNNKDRAKWELQADQLKKLIDDHGMEVFPRYFARLVAQ NAGAIFQGSNRPASNAGDYSLLTAEMEKISHDPEQASKIAESIETGTDNVFRNFDLST FMEHFKLDALEKTILALAFKFGSDTNLKTKADAILSTNFPTFMNIIARADLPAHADLD SDFIALIVDRYVQGHPPNFNSSAKQELAHKVQARWLSLETAPPLEVLASLDLMRILGD KPANALTRYIQRTGADFTRTEEACREFLVNRPENIDLSAEQVSSALLYTTISQTPRHD PSILVAGLRRVLPASFPWQTVLSYFDHRDVRVSASQFLRIYQALLPIAQQGQGLPFDI QSLWGGSHWDNPETQLAFICAFASLTPQQLDATTIPGLQTTFSLDEYDQSPQEVRDRA AEAIKHPLVSVFALSAIFQVALHSLHASQSNEAKRLFQHVVIPNLDVFLVSAFGVPKP WPSMAMDTMGSLFAEFLLKENSLSPFVMDSLWRKDKNWVMERLFEAHAITPLDLPIIF RHAVKHGWLNDLVYLSNGFGYDLAALAHAEGYLDLQEWANVNMRQADNVARSLLQFLH IKADMELRFQRPAEGQPPRKESWPLQVKTVSAMLQILEDFMPRSPLPELVMVQRQCII AYPRLINYGEGSDDIINANGATGNALTPQAVVRMEEHFKTMYSGDIQVKDIVDTLLRY KHSRDPLDQDVFACMIHGLFDEYSHFPDYPLEALATTAVLFGGIISRKLISELPLKIG LGMILEAVRDHSPDESMYKFGLQALMQLFSRFREWPGFCNQLIQIPGLQGTEAWKKAI EVAKDSEDESSRTQNGGTGASHSGSENQVNGTPGAGEGSFPPFASVHADQPPPGAHFE TPNESIQDTIQFGLNNLTASKLQGVHADIRQLLEFRYQQWFASHLVEERAKMQPNYHQ VYLDLVRLFQDKALSAEVLRQTYVSVARMINSESTMQNSTERTHLKNLGAWLGLLTIA RDQPIKHRNIAFKQLLVEAYDTKRLIVVIPFVCKVLIQGVRSTVYRPPNPWLMDILHL LIELYHNGDLKLNLKFEIEVLCKDLNLDHKSIEPSQELSQHRAQIDEITDLATAEALE PFENLSINGVSGAPGLSPHPVQVSIPDLTSQISIPPSNEMVVSASRLLDIVRQALTRA LNDIITPVVDRSVTIAAISTAQMIHKDFATEADEKRIRDSATTMVKATAGSLALVTSK EPLRANITNYMRQLSSELQQPLPEGTIIMCVTSNLDLACSVIEKHAEERAVPEIEEMI EQELEARRHHRRQRPNEPYIDPLISRWSMTIPNPYKLSPNMGGLNQEQLAIYEEFGRQ SRALAPATQTHAASASDATRNIANEVLQDQYSVVPPNVSTPGETPSMPPHMPAQIPYP HVQQTPMTNGRPAVAPINQVDARALAERVQKLLSELQRAAAEAREDHFSDLPRNHPVM EAVDALTQLIIRTSQSSDDFAVYAAEKITQTLFSQPDHNSLILESLVHILESLLKIYG SGAVLIERVQALVENQPLQSFLNVHLVTALMPTELLNLQLLDEAAKTALMQRKDGALE YFERLWDLTLGSERPIALYGDFIGSLPQAWRWIMAEPDLEISQRLKAKILSSGVLPPG HLVQNSQLDQFEYLFEEWIRQYFNHSTPDKAATSFVKQLLERGAIANKDDLMTFLRRA LEMAITFHEQTTLAGIAHDSTNAIDALASLVGVLAQVNFMQVNDAGRGIVVVFDAVFM LGSLVLNHHHTQRGEHFNARAFYRFFAMLLCEAHRVLDQSALSGATSPTDLVLHRKQL LLRLADTLVQIVPERFPGFAFHWLELIQHRLFMPMILTPKPTRGWFQFMQLLSALLRN VSEHLKVTDMADVAKEFVRAATKFMVILQHDYPDFVAGHHAELCGLLPPHATQIRNII LSATPRGDELSEDTIEIAMIPYNTAEAYLEEHGLLDLVGQLVHHGPSEDAVAHLTHAI SRNEARQTIFANVPITTNTNLVNAVVLHIGTSAINRAKQDGGELFNPRSPDAATLSLL AHELNPEARYFFLTSVVDELRSTGPHSSYFSKLLFELWGQDVNDPEELDIRQEIARIL LERFSGFWPQPWSLAYVTLELLRNEKYMFFDQPFIKADREVRDRFQSIFRSVENLS PFICI_10357 MPIWHVLHPDTVFTDPRDKAELVKAIVGLYTSGGLPPFYVNVFF HKFAPGDAWSDTRYQGSIEALDKTNAVAGVSQRERPFVHFEIDQIAVNITNDEWANKW CEKVNEALKPHIADKGYDWEYHIDETPRSLWRTNGLSPPPWKSVAERKWFEANQPLPY DKSGAILAENKL PFICI_10358 MASQQDLQELLRLLTMAKVPIKDAIMRVKALQAKNLRTIQQIAE APLSDIEAAITDAKAARSLHNACKARLKNPSLSSSSKRAGAELLSAAQKRSKSSSSEG FLDERTPLPPAEYEASLALPCETDEDMISRTTLVTNRAPLVLAFAVELLRYTMPEQPP SSRLSLAQAVVSANSRSKAVSIGLEKGPSADDEGWGHGQPRIKVMGREIAVLKRGGYE WTGQEEREEKDKVKEEASMASSNTVQGSEEYDTKRAEPTAESTIEQISKTWSASSPVT FKESTFIVRATKITQVSERQSSMQSLFKAIPNLQTASHNAWAYRVKKPDMFGSFTIRE GSDDDGESGCGDFLLKTMRESDTIDTLVVMTRWYGGIMLGPDRWRIMRNCLKEALAER LRITGERATLTGEAVWGLDAEAMKQKSTTASTGARSRDGGVVGMPVHTPESARNYLMR SFADRKEEGVASEVTSPPKKKTAKVLETEKQENLGRLLGALRLLYDSWADHLSAAELD RRAWTWYSTVRPEVAGGQAGWGAKGDLKLKSILDLRRKES PFICI_10359 MDQKRLSTSTTTNRSSALPRPVSRLPQPRASGIPTPASSIRTAP SAEGLRGRFAHPRSSVETKASEPANGSRLRTPASREQLRATSTGPSTPSRRPQRLAGP PVSSQVALRGTKTRSISQEPRVERATQAAYDGHFKQSPVVGRRPSGQSESSTFLNGSA IDEDGPHEFSPSRPTHEDVSSDALGIHSENSKPGMSLAERTMETLSQLTSPAAKRRGS NFFDPELASRRPSSRAASGSSRPGSSHQHDSSSVRSLSRPSSRLGPPESVYSSLRAPS STHKPLPTSAQEAQFGAASGLVKPLSFRGAAGAKRASSNPPLSAIGRRTPSPEDLDDI MSKPETLTPVSRSLRPKSAIPGLSKKSSSTSLGQSSSKPSVSPARRPRKGSATSTQSL ATMNTASSFKERNLSSASTISTALTVDSVEESPSATTGPKSSSALREQIAKAKAARRA AANQQAPVTTGAAPLKSPLIPTDATFDFGLAEDPFNQRQFEDSNRKVMQSRIATARTT GRLNIAAMGLKQMPDEVVKMYDLESVGHGASWAESVDLTRLIAADNEFEVLDDSVFPD TDPMDFADADEGNGHQFGGLEALDLHGNLLMALPLGLRRLQNLTSLNLAQNKLANDSF EILSQIGSLRDLKLGGNLLKGKMNSSFASLVNLEALDLKGNEIDALPDGFERLTRLRV LNLNENSFESLPFDALAQLPLTELYVQKNKLKGTLVDVEVEALPHLQTLDVSNNQLSL IALGPISMPSLLQLTVSMNRLNSLPDLSTWKSLMTINAAENSISAFPDGFLSSESIKS ADFTSNDIRVIPPEIARMDSLALLRMAGNPLRDKKFISMTTEELKDSLATRLTPLPEE QAGVEQPSNEVVESADHESYFYQPGKAQGLRDDQDDNESRSDTDNFATPPTSAPQSPI GARSRPLSSLTWPIKPGGVLDRANTNSSSLNPVICSKLVGGDAVREIRLQHNTFPSFP DSLSFFADTLTSINISHNRLDGEAFMGEGFEFPALRELHIAHNQIASLAPLITHLQAP NLQKLDISFNRVATLPVLRESFPRLDVLLVSNNRLEELDPTSVAGLRVVAADNNEIAH LNPRLGLLNLQRLEVTGNRFRVPRWDVLERGTEATLRWLRGRVPVAEVDEWKQKVGIK DEDDDFE PFICI_10360 MTAGTQQPNGVVRDAPSTPPEAAMILSQQSLPSTEEPSSPADPA SSFKTELNDDRPASPLVIPSSLTPPPSSQPPQPNGAAGQPLGYTSLQRSSMFSPPATT TAPLRRDSHAAPADHAAPTGEQIDDASADDLRQMLRASLSDNARLKMEIAHHKLQYNL LSMQADEAAKRAAVEHEMTKREVEVLRVAESARQARRDQEAAVESIQLKYIQLKASYE AACDDIDSLRKREKRFKKVLQQKEDEIISLTDDRELLLQRIRENREHFNILRSPGGVL HGSVMPRLPPIVSPQQHRTTPRQTPRSHRREEDENQRGFQVLLQALNHDNNSAPSTPT SSHHPTPRTQPKHTRGAQSMSSLPTTPMAKPRGQHSTLLPSIDLVPRTEPVPRFGPIH HIPEPRSVQRRKSRESTISADDNEELARAALSMAAVNRSMMSASSDRSVSRAHRRPEG GEEVYQSQASQAASEMLRRDPRESFDEVASSGNSRDATPAPAERSMKLQTRLFAPITK PGLGAEKRKYTGHQSAYTDGKHESFTSPAKKLRVEPRSADARRVGLGIQYSP PFICI_10361 MALPKGLSNVLAKAPSDIVILSALRTPVCRSYRGQLKDAYPEEL LSVVLRATLDANPNMPPEAVSDVAVGVVLSELGGSKAARMAMNHVGFPNSTSLYTTNR ACSSSLQSVALVAAQLRTGMIEGAGIAAGMESMTRNYGSRAIPVDLWPELKDSPNKDA RDCIMAMGLTSENVAERYKVGRQEQDEFAVESHRRAAKAQKDGAFDAEIVKVRTRFQE VDKQGNKVGEEQTIEVTRDDGIRSNATLEGLAKLKPAFKADGASTAGNSSQVSDGAAA ALLMKRSTATELGLTSSIIGKFVGATTVGCKPDEMGIGPALAIPKLLSQFGIENKDVD RWEINEAFASQAIYSVRELGLEKDWEQGKVNPDGGAIALGHPLGATGARMTSTLLHGL GRSGGEVGVVSMCVGTGMGMAGLFVRE PFICI_10362 MYLLILAIPVTWTLVLTIYLLKNPRTLAGLLRWTLWTYLFVFAM LISEVVREGLKVQAAVDANTNSSRGNGHVFVVGDVGGSNSGGSLPDLYNAGMDHQEVV QDVGFWWFLWALLIVSGALTPWALVPATALPVVLLSHPPFRVLINYGLARLGWTAAVY NPVLWAAAHLGSLLWTSWRTVLSVVVKGDWAAWIIDKVVASGGGPDKV PFICI_10363 MAISEDQRRARRPGVMHVAVLECETLPDAIVEARGNFANIFNQW LQTGVNKVNYKRPPQRRTSIEVTRWPVVHGVYPSDITKVDAFIITGSICSAYDDYPWI RALEEFVRVVYDTHPHIKFFGGCFGHQLLARVFLGEHGVKVVGADYGWENGVHDVNLT PQFVSQFPFLQGQTMRYQFLHSDEVVTTQPLPRGWLSVGSSDMCKNQGLYQPGRVLTY QGHPEFDRRILYYFTDFLGSTGTIDNDVYETSLKLIDRDSTSQLAAEVVVRFLEI PFICI_10364 MASRGATGTTSTAAGGTTVAPSTSTTSTTTSTTSTTDATKTKAT TKKTKKTEREKPVPLPPAVLWAQKNLWKPTENFVRTEASHQEYLVKSVYNRQPRYVGH FLFRLIHSASWIPRYIFELVCLLLSVTTTFIVQLIDLVFTVGFRGLFAFPWASTLFFG LVVGLPTIPYEFWLQDDVQNAVLSGVLVAAWFLWMSHLYMNRPERWLGYTRLEFFPWL SGEELLNGPYVMLAIAVAVFVHFRKDLLTLDTRTIHVEKQPIHFQEPPPVEEEELEEW PIVWDYFATTLTLW PFICI_10365 MRYPFAGRTETPSDAIRDMKPAPSPASAQTAIYSPEKKILPPTP DEEESFARYDLVSTHRNLTRMSQYLLKLEARTSTASKIHVRKTLDAMVENAEYSCGEN MERGSASGNHHQQLIFDIECLSSDVWARMHQLDREFRRLSRETRDAETGQSKSFELRG ESYVKRWIQQEIDHNALRGEETIWYTVRDMNNQRCLRDWLDLLADVHNRGAQPIVDEE RLVQLAWHYLDRSIRPPKPEIAMQTADFINWWHDLRLSGAFDGAKRDPDFQRREDEEA LKSLQSTWTTKMAV PFICI_10366 MTQTSSSEAQNGATNGTKINDGITKTLCKFVAEAKYEDLEAKGI EKLKDLITDHIGISAGAAVVADSTEPFLKAVTALHGDSGQCTVYTKGKRFSPQYAGFL NAAFSHSFDFDDTHAASILHPGATAIPAALAQAEVSGSDGKTFLLGAAVGYEITTRIG RALNYGGYTRGFHNTAVAGIFGAVSAIAKIKGLSTAQIEDAFGLALSQASGSMQFLDN GSWNKRLHPGFAVHDAFVVVALAEAGALGATRPIEGRYGVLHSYSTTSTAERILEGLG AEWIFTATAMKPFPACRMTHSAIEIVASVASESQDKQVDSVLVELSPGCFPIVGTEDP NKLQPKTIVDGQFSMYYQVAIAWLYGMDIGWKMYEKLADEAVLAWCRKVKVVINPAVL DLEARVKFNFSDGTTRDEGIVHPLGEDEHPFSKERVHAKFLGMTEPSYDGPTQKGILA AIESLDSHPVADLLSLL PFICI_10367 MAEVAAGVVAAEQVLSTTVEAGAAGYAIGKPSNGLKATLNQIAT AAADGASNSLVRSHHSLTVVGDRAYIFGGQTESGTIANNDIHSFALPTADKPQPDYHL TPAITFETGAQIPKPRTSHAACALGERLAVFGGMDESGKVLEETTIWLYDPNKSAWEA VSAASSTMTPVVRSGAAIFPHGKDLLLYGGNDEHGNSLADVWVFAFSTHTWARLPDAP VSTTSVAYAEGSLYLIAATDGLSSALYHLEVDVHGDEPPTWDTVQFPTNPLAPGPRPR ENGGLLHVTTGFGRSYLLYFLGDRQSEKPEGAESAPPLQWSDIWSLQLPASPLSVQAT TTITEAIKPAKIKDAIRSRFGYDTGAFSWAEVEIKPPGDLPEPEGKVHPGPRSSFGCA VLANKRDVTLWGGINAKGEREGDGWIIHLE PFICI_10368 MAAKQAPPFRAEHMGSLLRPDNLLEIRATIRDKGISEEEAGLPA VEKAAVADVVKLQRELGYKAVNSGEFNRTRFWGMMWDEFEGTEALQNAEASMFRLYHP DVVSLIEKDRKVMPGDSHIASKKLTHDPAKSVSNLHELRLIQAALPESEWKDIKLTMI TPAWFHMRYKQGRAYTPEAYANDAEYFADVAKVYQAELEMLYKAGLRNVQFDDPGMAY FCSEKFRQGWEEDKDNDGTVDDLLDAYIKLYNDSISKLPSDFHTGIHLCRGNFIGGRH FAEGAYDIIAQKLFTELNVDTFYLEYDTERAGGFEPLKYLPTNKNVVVGAISTKLREL EDKEATKQRLYKAADFIAQGSGQTREEALKRVCVSPQCGFSTHESGYPLTLEDQKKKL SLVRQIADEIWGEP PFICI_10369 MSVQKVQSIDIYHGLPVYPDDVEGLTAVITGANGISGYHMLRVL GASPKRWSKIYCLSRRPPYIPGGLPANAEHVALDFLKSPDEIAGVLQAKGVRADYVFF FAYLQPAPKEGGGLWSDADEMDRVNALLLSNFVEAMRLAHLKPRRFMLQTGAKNYGVH LGPTKLPQEESDPRVELEPNFYYSQEDFLFKYCQEEGIGWNVLMPCGILGAVPDAATV YAAVCVELEQPLLWPSDISSWQTYCSQSSAMMNAYMEEWAVLTPGAENQRFNACDDSA FTWEGFWPHLAGWYGLEWRGPDDKAEFHEIHTRFNPRGYGPSGVVRTTFTFVEWAKRP EVQKAWKRLAEKHDLSQKELKDVDRVFGFLDGSVSRAGSLMMSMAKSRKLGWHGTVDT TESFLAVFEDLVNIKMIPPVPKINVSLR PFICI_10370 MDTDISRKEATIASKVRVFMLGDEAQSKEERWLVQKLDFFILTY CCLSYFFNYLDRAAFANAYVAGLKEDIHLEGSNYNVVLAMFTAGSVIGQIPHGIIIQK IAPRIWLPSMVLLWAGLTMCTAACKTYAQLCVVRFLQGLAEASTYCGTIYIIGSWYKP REIAKRTAIFTASGQAGSMFAGIMMTAIYNGINNDALKGWQWVFIINGIITCPIAVMG FLYFPDTPELTQAPWLSKPERQLALLRLPPKKVDGHDISPWSLFVRTLTSPALYILCL FAVVTGALEAFVVQNLFLLWLKYYQDFFSQAQINTYPLGIQAVGIVSNFLAAVHIDAT GKRAPMGVLVCFLQLVSAIILLVPNPPFAATFFAYYLSGTSYMVNPVSYGWANIICQR GGDDAVRSIILYSMNAASTCLYTFWGIALYPASDAPYWKKGSIAMIVVIAIMLVMVWV VQKLDEKTLAQYPDTAVRDLPEGSLEDSTILETRSEDEFDDENIGQDQRLLIDFTDRN PEDVARKRRHISQVALD PFICI_10371 MANSSVSRDVVDNSDAAATANTAAILPDDQPIMTPQDIEALFAS GKHRLLQQVRTVVSGDDDDDDDDESLLPEEELSWRVEQLSHVLSTLRKLLETGSTELD AIAQKLGDGSRDASWRLPLGQSGILGFFLGLIGKDGLRQTVTLHALRVIGNSCADTEQ DENRARVVASNCMPRIVNLINDDSMLAFAIPVLFNICVDYEPTQVAAYKAGINPELVT LISSPRVANANAFIGYICKLLALVANQEPEANSVHPATPFVLLKLATSPESLADVEDF LGPASVALTYLSNETFQEAFLQTPNSISLFLRAFSEACITLNLNTSDPDEISELRKVK SIFTQALADLSAHALFAAACPIGSPEIQTLQKWIGSSQSDLQSAACLALGNIARSDDV CIALVQKMAIHRSLAATISNPSITDAQLLHSTLSFLKNLAIPLVNKVTIGDAGLLEPN ALPRIWELDTQIQVQFTSVSLTRLLLVSSPENVKRICAPLSADPASPAYEKTYLHNLL KLFIRSDQEPTKTEAARATAAVLRILHSSEDPSSLLPVPSTTPSASSSPDQYVNSPGS SPEPTGQNSQALSMTAPSALEAFYNNHDTIPDALIFLGTREKFPVLRSDLWFVMALMS RSARGADLVATCLQNIELLRALIQTVTGHDMLAGKESELIAADGALHGSAITESVPPQ NLIAGQEDTGIPGISSLPGVPNGLEPQQVDPAKKAGMARVDRENGLVLIAELLQRCPE KLRILPQETFREILKTGGEQVLSDRAGGSDGSQ PFICI_10372 MAIKHNNQIQKNHFRKDWQRRVRTHFDQAPQKIRRRAARQAKAA AAAPRPVDKLRPIVRCPTIRYNRRVRAGRGFSLAELKAAGIPKQFAPTIGIAVDFRRQ NLSEETLAANVARLKAYKEKLVLFPRKAGKPKAGDSKDVDVSKTVSSLAAALPIVPVS EGVYEISKNDVPKAVEGGAYTKLRLERSNKRQQGQREKRAREKAEAEANKK PFICI_10373 MAPYVAAIMDPTDAVIHRLECPRLLTTRYAHLKADHKNHPDAGG IRYFFALDLGNSFHVIPRLLGSIVETIMFLGPSVCALSIVVGKSNDATLNVLEALRPE LDDLGLRYFLYSSRIDPGSGDRTVQMAALRNLALAPLEEPALGANANTTVVFLGDVAI CMDDILELVHQRIFLGADMTCALDWEYLGQDPTFKGIRVSRTMKGETFFQIPPDGSWD WAWNLFWNDPYSREKLTNKLPFQVYSCWNGAVAFRAAPILRFSSVEEDGHGGNDVGKT QAEKISFRSSREGECSNEEPALFCKDLWNSGHGKIAVVPSINLEYSDDAARRIKDLEG YTSKWINKWGDDALRIDWEDEPPAKVKCIAQDWKNQDWRPWNETMV PFICI_10374 MGHISVLQALYDQEPKINLNLADKSSAITMLYAHSSNRSTETIS WLIEHGVDPNVRIGINGADMLQVACIFGRFQLAKAYIHAGARCDSKLKLTSEVAAFTP LERCCMTGHPSVLGVAALNIFGSRDTRNSSYFSAPDSEVDRASLVALMVEKGARIRGS RPGDGLFEPSPMVLAAQNHLVPIMEILIKTGTGVGVMDHLGMFPLCAPLHGDYAARQP PTHAQMSRTINWLLDHDADIEQKSSTHQVLYCLCNRPGYQTAEQISEQLELAQLFIDK GAVVDSMVIDPSLPESQQNSSALMAAFRRGRMSLCDLLIQSGAVFPSDADSLLHLLEG LLSNHKPFYQNEDFVPVDFSGEQEDCIDMFGPKWKEWSHPEKRTMLSGLRKKIFDGLD LLHSHDKHHMLAKHPRCLWIAAGVPGSPLVGKFLEAGALDASWVEEGRNCLDQLSQNP VSLVYHAEKFIALGAGPPGQSLLYHLIAGRKQFRDTKSWYLLQLFFSNGAKLDLCNRP TDYMGRQSPLALLTMNLSSVEDDYKGFELVLQSLSRPISETPDQGYGALLAACSHPIH PRPIKALIAAGVDVNYAPPRGSSVPSRLVILFKDLLEYFAAGASEDDYERLYESIDED GDEYEDCYDDEKIDFGQAGHMLDALKILIDNGAQFRESDPSLKGLYDILLDISKDERE VWSTIRDPDAQENAKEFIEGIRIEIEERVSWEGEKLLIVDRE PFICI_10375 MARLSRLPDELLCMIGDCCDHILDLHSLSATCRQFHHIYDPLLC KAAAKDYPHLLTWACDTSQLSLVKKLLAAGADPNRPCVAISPREQANYSKRVSGLRIV PRPRDLLTIVYNHNVPPRMEAWRSMLGQTANVVANDYEDNHYDDGRWDSDGSSNSSNS SNSTRYEDEIDGVLYYRTQADVVSRPWFEAMPYDKNPESWSRIAERHFWFPLQ PFICI_10376 MFDNPQWAVDSTRKRARDEEEALNGTTGFTEHRNKRLHSLPLRS SPGHKRWTGPPPTFPSSSLAPSTITPSDSDSEDLHQQQQLQQNNASHLSSWPYGPAPS QQQQQSPDSCMDADRDTDMMVMEDTQHLNPGSTQPDHVGSSITGRMPTPIHATFAAQV RGNNWGTANGSNMQSSSGTAFPRAFPPPQDPTVPRSAENVAEWSMVQNRRLPSPISES GGEEAPTSPGMVLDSSPAMQRPYLPHMPHSTNPAMAHPNVEIVTPRASTDDVGMMDME DGQDGSPTSAPATPSPRSKFGHSRSKHTLNSWTLQPGMKKSFSIGYRADCEKCRLKIP GHFNHIIVS PFICI_10377 MAGSILTGRKSTEVAAAADDALAKMGYKSELPRSLSMLSVLGLS FAIMAVPYGLSTTFYITLSNGQSVTIIWGWVLLSIISTAIAASLAEICSVYPTAGGVY YWAALLSTPKWAPIASWITGWLTLVGNWTVTLSINFGGAQLILSAISLWDEDFVANEW QTVLMFWAVTLVCYLINIFGSKYLDQINTLCIYWTGASIIIILIVLLAMSPSKRSGEF VFAHYDSSASGWPSGWSFFVGLLQPAYVLTGYGMVASMCEEVQTPEREVPKAIVLSVV AAGITGLVYLIPVLFVLPDVQTLLDVANGQPIGLVFKTATGSAGGGFGLLFLILGIWL FAGIGALTASSRCTYAFARDGAIPGSGLWSKVDKRFDIPFWALTLSAIVDCLLGLIYF GSTAAFSSFTGVATICLCASYGLPILVCVLRGRKHVRHASYSLGKIGYVTNIATLLWI VLAIFLFCMPTNLTGLDAESMNYASVVFAGFAAISMIWYAVWGRKNFSGPPILNTEMA EEGGVGVVRGHSLDEEATAAAKDVKQGGEEKSV PFICI_10378 MASEIQQVRLDNSSDEKVSETSHGDDKITTKDTIEPYVADLEGE GYEAEKQKLFEKAEAVELVPNEAFTWNVDGDQSPFPEVAACVPNTDDPSIPCNTFRAW FLLTVFVILFAGANQFFGLRYPSLSIGYVVAQLLVFPIGRAWEKLPRWRLPLGPLSFD FNPGPFSIKEHALIVICVNISASVAYASGALVAIISPVYWNKDNGAGFSFLFLLTTQM LGFGLAGLSRRWLVYPAALIWPSSLASTVLFRALHEPQERVSANGWTISRYRFFSYCT IFAFVIFWFPDYLWTSLSTFAFITWIVPHNQKVNTIFGMNSGLGLLPISFDWTQINYA GTPLTTPFYISCNAFAVVVIFYLFLSPILYYKNVWYSAYLPLLSSSTFDNTGSSYNIS RVVDENLNFVLSKYEEYSPMYISMSYSISYALSFAAVTAMIFHTYLYNGKEIWDKFKN SRAGGEDIHKRLMNHYKEVPDWWYGVLTVIVLGLGIMTVRYWDSGLPVWGFIVVCFGM GVTLIIPEGILEGTTNQRIFLNIITELIAGYAWPGKPIANMMVKCYGYNSVKHGMDFA QDLKLGQYMKIPPRVLFVGQIYASILATATQTGVLRWMIANIENLCSSKNTQRFTCAG TKVVYNASLVWGSIGPQRMFQAGQVYNGIMYFFLIGPIVTVIVYSIYRKYPNSWVRYI NVPIFFNAAGNIPPANTTQYSLWFIFGFLFNYLVRKRAFAWWKRYNYLLQAAMDTGTA LATVIIFFALSYNNITLSWWGNNVGSDTDDSNSVPYLTVPDGSYFGKGPGQF PFICI_10379 MHLIKPSWLSHQGEQKDFEVYSCHVSPDGKRLATAGGDGHVRIW DTEAVYNAHDRTFTKPKQLCHMSHHLGTIHSVRFSPNGRYLASGADDKIICVYQLEKG APAVVALGSNEPPPVENWKTSKRLVGHENDVQDLAWAHDGSILVSVGLDSKIVVWSGH TFEKLKVLSGHQSHVKGITFDPANKFFATASDDRTIKIFRFTSPAANATQHDMINNFQ LETTISAPFKSSPLTTYFRRCSWSPDGNHIAAANAVNGPVSSVAIIERSRWDSEINLI GHEGPTEVCMFSPRLFHTEKPAESGTSNGHATGALVTVIASAGQDKTLSIWNTNSSRP VVVLQDLAAKSISDLAWTPDGQTLFAASLDGSIVCARFETGELGWVANLEETDKALSK YGASRKAMGIAEDVDGLLLETHAKEGERRGAESRMGALMGDHHSVSKDLAPATNGTKT GTATPNNAPTPNGQLAAEASKESEKEKENEKEKEKEAEATPAQETAEKNVQRVNDLKS RVTITKDGKKRVAPMLISSSGTGQSSLPQTQLVGSSATKTAQNEAPQTILDLNKPYDG LPEGGLASMLLGNKRKAIVVDDEDDEHAGKRPAAGPRPILENGVDGLEPAALEPQRSG IVPTPDFLRPAVLNPSIAISQLRLAVPKIRSHILRPLERGVLQKDTTLEEASKIPENI ILEAKNPVSTRDPSHITVSKRGAVLWQDFLPRATILVTGNKNFWSAACEDGSLYTWTP AGRRLINAMVLESQPVILECRNWWLLCITAVGQCHVWNIKTLSSPHPPVSLAPVLEIA TTSLQPHAAMPGPGVTSAHLNSNGHIIVTLTNGDGYYYSPSMYTWQRLSEAWWAVGSQ YWNSNDSSVGALQSTAVGPTTAKNSKSEETLVSAGIIPYLERHTTNEFLLKGRAYTLQ RIIKQLLSKDGFETFESSVSIAHLENRMAGALQLGAKDEFRLYLFMYAKRIGAEGSRG KVEELLNSLLGGILQDKDGDSEPGKGWFSTDEQICGWDRKILLKGVVMILGKFRELQR LTVQYARVLDLTLEEEDNNSDEMIVES PFICI_10380 MADDFHHTWSEFVRGLRRGGLRNRHPILFRVLQMVAALAICWLF LYAVSPRTMFIGLETEKPLTVPLRYTPPVPLVNYPPTQVGDKSPVQWLRDNSYNQPVG KLSAENLIADKPKPKAALIALVRNSEQDGMVHSILQVEARFNSRKMHRYDWVLFNDEP FSDEFKAAITNATSSTVHFEQIKASHWDIPEWIDESRFNVGREFQGGIGVGKAWLKSY HQMCRWNSGLFALEDRLLSYDWYWRVEPDVQYTCDINYDVFKFMSDNNMAYGFNMAIL DDARSFPSLWERTQTFKKNNANLVHPHADMRWLLHETDEPDRMVRAGVGYHATAGTQY NNCQFYSNFEIGSLEFFRGKQHRAYFDYLDHAGGFFYERYGDAPIHTLSVSMFLPKSR VWFFRDIGYAHGLCENCPPHVAKLPMGPEADLWRTKTADVTASMHKDEQQLALVSKDF ERQDIIPGLACGCTMTSFDMGFSKLVPFESKQTKPIDTCIRLWLRGKYLLKKAGWSRE AEIEAGGDGYGGYLISGLEANPVVL PFICI_10381 MAEESVTKAPTGKKAVDQVEYPSQTLPGMNEKDAMAVHQANSRV VESVIFEMEQELAAAGGLDKGFFDLQFKDPRHFTWVIVAFASMGGLLSGLDQSLISGA NLFLPGDLGLDTRQNSLVNSGMPLGAVAGAILLGPFNEWFGRRWSIIISTILYTIGGA LEAGSMNYGMIVAARVILGVGVGLEGGTVPVYVAETVERRVRGNLVSLYQFNIALGEV LGYAVAAMFLNVPGNWRYILGSSLVFSTIMFIGMLFLPESPRYLMLKGRTLDAYKVWK RIRGTETEEAREEFFVMKVSVLDEESQVSEGAKNKRFPWMDFFSVPRARRALVYANIM ILLGQLTGVNAIMYYMSTLMTQIGFNDTNATYMSLVGGGSLLLGTIPACFYMEKFGRR FWANAMLPGFFVGLVIIGCSYQIDVTTNPNGAIACYMVGLILYMGFFGCYACLTWVVP SEVYPTYLRHWGMTTSDALLFLASFIVTYNFSGMQEAMTPQGLMLGFYGGIAFLGWFY QILFMPETKDKTLEEIDLLFEKPTSEIVAMNLKNTAEFMSNFFHGRWTEKSQQAAAQN AAPSAGLMEKEKELEVDHE PFICI_10382 MDPCYPTSPGNDFEALLKAPWSPDDVTATSWVLPDDAFSSGFLT NDELFPQQTLSFSMDDMMLDVFNADTISNDMEWTYSRSEPDTSTSAAQCKRRARTPRA KSFTEMQFKFSTGRKAYVASQKGEVWEAHKAELKRLYIHEDKTLKEIMSFMEAKGFSA R PFICI_10383 MYKTRFKQWGFVKNNNREDVAKMILVRQKRAAVGKQTTFERNGK VVQIDRYLKKHGIVLPNGNMPVSKNELPGTVRCRTPPPETFSTTPGSLSLKELLMRSL KDLTPSFARLSDSSSIKYKSTTSLWDAPRYLKLACDLFSEKRHKQAGSICDSAFSSVH ALVHPPRLDTLFNFLVSQLWWANKDVTLELWRYLSAYMASVLGATGSAVYHLLRALVA HIETQGHDAYLDFMTECIDDILRLDDGSMKKSESWWKVENQLVRWCQLIVMDCYFLNG YNPRADRIQARCASALPRSRIFPNDRDLNEHMWRNAFAVYASSSSTALPITQSSRHER FLRLAFTSYARVLGRASGSPVMHPSLTRIEGVVGSLSRRCLEPVALTKCRRSLDLESR VLTFLTRDLSAGVGQPVDYGSYPV PFICI_10384 MPTREVETRLVKVTTDIRMSLKSPSPALNQDSGEFRKQTKDTKQ DIQWMAKSKRKWKKCKSPPPEQQQEEERILQDQRNYQKEIAEWKGREFLLDFLQSHFP EHLDNITLRGTPTLEEIRMIGNEDLATGVRQCRRLFNAPVISDTVGSLLYQELRQGHA LDHTHEVQYEEEAESNEDGGSSIYMPPGVVRRQKTCGFDQPMVTDTAHRDVYFNGEIG GLLADSLQAPAPSLRRANTTDLSETMARTTSPTARGWSSMSLAKDRRAASIPMQKKAF DGFVKDIKKSARKSATSFAASLASGYS PFICI_10385 MKHFSSLYLLLAAAALEPIRAAFTWQNVKIGGGGGFTPGIVFHP ETAGVAYARTDIGGIYRLNADDDSWTPITDGIADNAGWHNWGIDAIALDPQNDQKVYA AVGMYTNSWDPNNGAIIRSSDRGETWSFSNLTFKVGGNMPGRGVGERLAVDPANSNIL YFGARSGNGLWKSTDGGVSFSKVSSFTAVGTYAADPTDTTGYNNDIEGLTWVTFDSTS GTTSGATSRIFVGTADNTTASVYVSEDAGSTWSAVAGQPVQYFPHKGKLQPDEKALYI TYADGTGPYDGTAGAVYRYDITAGNWTNITPVSGSDLYFGFGGLGLDLQNPGTLVVAS LNSWWPDAQIFRSTDSGATWSKLWEWVSYPTMAYYYGLTTSLAPWIKTGFVDLDTKKL GWMIESLEIDPHDSDHWLYGTGLTIYGGHDLTNWDTVHNISIQALADGIEEFAVQELA SAAGGSELLAAIYDENGFTFASASDLDTPPQTNWLNPEWASSTGVDYAGNSPANVVRV GNVAGTQQVALSTDGGASWNIDYAADTATYGGSVAYSADADTVLWSSSSNGVLRSQYQ ATFSAVSSLPSGAVVVSDKKTNTYFYAGSSATFYVSTDTGATFAAAGTLGSATAIRDI AVNPATAGDVWVSTDVGIFHSTDYGATFTQPSTAVTNTYQIALGLGSGTTWNVYAFGT GSSGARLYASGDSGATWTDIQGSSQGFGAIDACRVAGSGNTAGQVYVGTNGRGVFWAQ GSIGSGSSTTTTSRASTSTSSKSSTTSILTTSSSSVRTTSTSTTTARSSTTSTTSTVN TSPTVTSTSTTRVGTTTSTSTSTAASSTSTAVAGQYGQCGGSGWTGPTACVSPYTCTY QNPYYSQCV PFICI_10386 MAGDNGPRSVADATRFTANTPHASAKARAVSQQQKQQQKPSSST KTSSAPGSRTTAGPQPPRRPAPMSSAATIGGPGGQRPESLDERVRRLRAAHLAARNHD VSRMDKVISSSRRYMDAAHRYTVLGLIGFSGIALLVTVYATVDMMVYNRKRRNEFFAL QQQLQTDSLEAARLAYMTGSATEEQIALVEDATEKAKQAGMQLPSLLGTAKASESTDA ASTSTAERTVWPGESLQESSLSGANEVEAPKSKGITGWLFGGLKKEDTAASQGNLSFN KEEATASGRAGAAAQAVAGQADALKDKAKAAFETEKEKQRTGGPLDQVGLDAGEKKKS GWFW PFICI_10387 MFILGILFFCLRLIHPAQGAVAYPPICNIPDYDWAEDSLADQVI QEVRDRGFGCRANPGPRVCTQLACVDPGVAVYLCNDLDHELQIDCDAVADYAQDIKDR CDNFPLTAAQTTQGQEFDIGGWNVIVAGTFGGIGGCIV PFICI_10388 MSDRSPELSHWEDIGVDSDDEQVFYLDGEYKPAEDATSDSWAIV KPKQITLLDINRTERQNCSHFASKGCALETSGQCCECSDKRPINTSGLYSMYVDGQGW VENATRWAYYCPACREHEQTTILNQMMEKLLKVAAQKELERRQLSMHQCEHWLERGCV ITSTGKCCACSDLRPGDRYEVYRSYVDGLGLTGDISRWEHYCPGCKEYEEIRDHPANA DTNLTTQNQTVLFMETNLDNHYDVTALPASPKCSHFLQRGCLMSVTGGKCCACADRRV HTESGLYAKYVDGQGWVQNAKRWEGYCPNCRQICSQISIYTPQTTQEPKYKKRMQQPE YKGRSKKAKQHHKRDTKEGCDHWASKNCALTSTPKRCCACSDKRPEAPSYPVYVDGMG WIMGAKRWSQYCPDCRNHDELAEPKSRRRRHLQMPKVVGLLC PFICI_10389 MSSAQDQEERTAFLREESPTPSASSTTLGDYLSDVESQEKGTLS SRTRKSSWGAVVKTIFTRRNLRRFSRRNPLVYTLVRYAIVAIVAVLVATPILAPSYTR TPRHYRDLVSRCSGPASAQRGCANPYNEKIFISVSLYDKNGHLADGHWGQTLLQLINL IGSDNVFLSIYENDSGEAGATALKHLEQKLTCRHKIVNDAHVPIEDFPTIRMPDGTDR VKRISYLSEMRNRALRPLDISDGPELGIERFDKVLFLNDVVFNPTDAANLLFSTNVGP DGRSQYLSACALDFIKPFIFYDLYAQRDAEGFSGGLPIFPFFSNEGNGISRAAVLHQS DAVPVKSCWGGMVAMQAKYVQNLEPVLPSYDFREIGSHIIDPNFPTNVTSPVRFRSEP EVFFDACECCLFLADVTQAAKSFGDEDMGTFVNPYVRTAYTQDVFRWLPWVRRWERLL TIPQWLLTRFAGLPTHNPHRAVEQGERFMEEIWVGPGPGHEEQGHEPGHWQMVERTGR NGMFCGVREMQLVLQKERSEDWGEVQRSGEEWSLLTSWRMRELAELARLAAKNPEAKM DKTPSYDDSSIDSGSHGPKKLNGNKWRPAHAGSH PFICI_10390 MADKDHLIKVTNRDKIAPTGPHEQKSFAARCHCSRIRFSVTLAT AALPLRAFICHCGACRTSHGTFGSFHIILPPGVAPEWDEGSSREQLAWYKGEYGGDLS FCPTCGTHAGGYSPELDQWAVTWGIFDEKFWQLAFHTCTQSAAGGGMAPWLPEVAGAA VPHVSLGSQDFPNACEPCVGPDGRERLRAECACGGVAFSITRPSQEVIDDEYMGDYVM SSSSAENPTTRSNDENYKWKAFLDMCRDCGRLSGATVVPWILVPRIAITDPPMPPDFQ GVGTLKTYQSTRGRVTRGFCGRCGATVLLGTTRRTPSERQAVLNVAMGVLRAPEGVRA EDWTVWRTGNVAWADDARGYDAEFTDALVSGIRNWGVETHGQAVDFPVI PFICI_10391 MAREGTRSATGNSKPRIFQTIDTQPAVKRTTKPKKTPTTATTTT KEPITAKIKGAKPAGVSKPAKKESVGAKVKATASKVAAKVKGEEAKTKKATKPKAAAA VTK PFICI_10392 MSQADQTTATTKPTDSAVVDPNVMSWLRLWHERLAHANLRACVV LGRNGDAGEIPEGLPKGPEDKFDGLQPYLDALNCDACREVYGNPSGPSSW PFICI_10393 MQLSTLLLGAFALTASALPSPPAARKRDFTYHSTISDPGFYAVS FPPDYHEDQILQWNQLPTSNGSTSALIARFPAGFAVTQTGPAKINVYTRTSGGIGTYL GTVGPLSVDSTGVVAEAVDVVVAELTLAEGYTFEFQIDSEDENASLQFFENDDAGFFL RTGSA PFICI_10394 MEGPKNKRKLAATGESQKQSKKPKIRVQPKKSSQKARRTVALDS LRWRTSQLPDMLNDAEGFYGLEEVDDVEVYKNEDNIIQFRAFDTQSEAASDAAGDESD EFEGFDDEPVAPASKETGASKPSAPEPKTADESVANKKETKAEKKAKKASQAVKDPEL ESNVFAALEDVDAPQDDFDASAWVPLELSPALISSIAKLKFSKPTTIQAASIPHVLAG HDVIGKASTGSGKTLAFAIPIVERWLENQEDGATENNGPLALILSPTRELAHQLTDHI KALCQGLPNSPFVCSVTGGLSVLKQQRQLSKANIVVGTPGRLWEVLSSSSELMGKFKS IGFLVVDEADRLLSEGHFKEAEQLFDALDRYEADEEDVEEREPTPRQTLVFSATFHKG LQQKLAGKGKYGLMNKDDSMEYLLRKLNFREERPKFVDVNPISQMAEGLKEGLVECGA MEKDLYLYTVLLLYPTARTLIFTNSISAARRITPMLQNLNLSSLPLHSQMAQKARLRS IERFSEAPKGKGSILVATDVAARGLDIPNVDLVIHYHVPRAADAYVHRSGRTARAERT GVSILLCAPEEVVPTRRLIAKVHSDKGMGKNHFVQTVDMDRKLAGRLKQRVTLAKKIA DSTLAKEKGSKEDDWMRNAAEELGVDYDSEELEKAGKWGGRGGGRKKKQDEARSLTKA ELGAMKGELKALLAQRVNTGVSEKYITSGLVDIDELLKGAKGDFLGKVDGLDISSL PFICI_10395 MGKSSKDKRDAYYRLAKEQGWRARSAFKLLQLDEEFDLFANVTR VVDLCAAPGSWSQVLSRVLIKGEKFGRAAWEDREAKFRQQMLNVFSPGVTATQEVARA DSDELKPRKDVKIVAIDLQPISPLPGIITLRADITHPATVPLLLKALDPDYEPSKKNQ QAAQPVDLVISDGAPDVTGLHDLDIYVQSQLLFAALNLALCVLKPGGKFVAKIFRGKN VDVLYAQLKIFFEHVYVAKPRSSRASSVEAFIVCLNFQPPKGFSASLEEPLGVGHRLS NMMTERSSMLPIVAPTLLQSQQSGAWDVAPVFTRVEDQDGIAEVEVEDVSVPEGNHTR WIAPFIACGDLSAFDSDASYKLPPGHVSLDPVQPPTAPPYKRALEMRKAKGGAYGKTT TK PFICI_10396 MMVHFESDSDHWKSAVGIGAAGCFLSIVSVAARMYTRYFVTRSV GVDDLMAIVSLLCTLGMYITLSIYATWYASGNIERWTRADAKNFLLLFWVNELVYNVG TLFIKWTYLFQYLRVFRNVKAMRIAYWVCIVLTGAWYAFQVFGFMFSCNPVAGFWDKS IKSTCNITSKIGSTTGFFYVNAAGTLVIDIVVLVLPIPTIWNLKLRTRQKWAIFGIFA VGGIVPAITIGRMASLHDLDTNGIVNSGIWSLMEMCAGIATAALATIRLLISRKVPVF GTSAPRRQLVAKRASPFSTFGSRARPVTSGSQRDLVVAGFECEMQGGSSTGQSSIDIR KEGDITTTSSRTSTTAAVQNKGGWRESTSEAPLRPNPNLRENTESLHFGLPHGAHGVG VQTTITSDRQRAMSASGAVFLGTFGILVEQDWEVTESNAA PFICI_10397 MGIYGDEGVVEGTRAYLKTGVSDVVSCSDFDDTDPSAPAVASEK SRSSLGKKFVAQFEDEESQMDFYDQYVVCFPDVSVEQTVYELILPVEQLRAIYRHGLR GALRASNEEASCTARRRDLRHVFPIDKPKVCVLRFKILRTI PFICI_10398 MRFSCEWPGPAGITRRRDAAKSSQKTVYKANQSVPLRPALDRRV FSHAKGDDGAHTEFQKACIQQHHGYNEVLRPGVYPRVFSLPSPPGMACANSIILTPYD RVCLDYFPATTVYSIHSTGAWSPLNQVHRDTAASSSMVMHMLLAHAASDMTRRNPDSH VKLPQLQSGLYHYTAAIQELHNCINPKRTAPSTQGLDAVITTLFLMIHYGLRSGSSLL QARTHFVGLKSLLASWLQSIYPTDREGTSANQQLSALSSQLLIWLLYSDVGGTCSGAI AELVNVLTESSLLPLDRTQLYRNAQIGFPRTEKKLGPVEHMIYARTHEKVFELGHELQ LMRSRIWRLPSNASSETRQALYLELLDQCQSIEKRCDDVFRSMYEDDTRRVISKHAVR LNMTIAMQYWTCILFFRRWLVPERAPQPIHRLAVARIVACLHDQYGHDRRRLIRCAWP LFMASIETTGDLSKRRWLLDRLGETRHATAECAWSWEAAQKITALQDSGTRGESVDLR QFMPMLSTEESLGGMKPF PFICI_10399 MSLTVDLSSPTTSKHGLHQNISPSSPSGINVLIVGAGPVGVYTA LCCWRKGHNVRIIERSPVARTQGDFFTITQQIISHIQTWPDLVEENERIAVDPWVLYS KINGDVISGPEAFNWKPRTAAVVQDDQQGETPRRMYRHHRPKFLQMLISQLERVGIKI EYGCRVTEYYENKGVGGVVLDDGRKMEADVVVAADGIGTKSHKLVNNHDVRARSSGWA SFRAAFPVERIAEDKELDEGLAILDNGHPLVRMMHGPGVHMIILRTNDIVSWGIMHKD DGGAQESWQQTVKVDTVLDCLSKVPDVPDFVKRLIKATPEDGIVDWKLMLRNPQPIIT SPLGRLVQAGDAAHTYLPSSGSGANQGIEDAIYLATCLELGGKENVQWATRVHNKLRF ERVSCCQKVGFINFARRNNKDAQAVSRDPSKIKTEQGQWMWRHEPEKYAYDNYHPALE HLQNGAEFANTNIPRGHVHEDWTVDELMAKIEKGEPIEFDGDWS PFICI_10400 MWSLAIILDFLAASYKVAGRWIKYRREPFPLGGNGPRAPAHHHV APDLPTHTIFSPANLSPGEKLPLLVWANGFGLSWGLMFGDFLREIASHGYIVIANGTP TGLGMTDETGQLQAIRWATQTSVSGDDVKDIRDHIDGAKIALAGQSKGAIHTYIAAST LRDNPAVKSLGIFNSGLMRRRTRDLALVSGLAASVYYFVGDERDVLFKNAGRDWKLIP KGIPAYFASLPTGHLGTFYEESGGLFAMATVNWLDFELKGDESSKQKLLQAGDGWKIQ SRNL PFICI_10401 MDPLSITTSSLALITVVAKTSIAITTFIRECREARGDLTSVNRE LSELKIVLELLQEDTADQNSELLLSESLRAQILSIIHNCGDVSTKVEQVLTDLRKSRV GAIKWVLDRKKEVASLKHSITKAVKEDTGVIREDVVEIKQDTAQILDEIERLKERLPL SDRDFVIQGYLDSLTTYAATVYDALDDDENEIKDETGHESDPPSPEVGPSIHPVLAPA IEEKDTALLNKATSDHSIGDEGPALQISPQNAPDKLLQERLQALEEPVQHGEETQTSK ESVAHFHSASTGKIRVGAIENSQVNAESSSQLSKIVDEPRLGHNYDATRIHTPDYDND EYRDYDGGYDHYPYEDGDDDEGHDDDEGSGYSPDYDDGENHDYEEDQYSDDHYFGEED EENDDDEGRGYSPNYDQDDDHEEFRNQITGDESPHHGDTKEERSPPSRPRGIEDRHTT GPNVSIAYSNVSNQGLQDADHQKTGRQPTTVPTEASHEERRTPHPLLTTTEPEQVQAF PPSSQGHASKIKHIVSSVSGSQSSPLFWPSRTESCSISTIKSGDLGTITKGTNPVIAM GLSQDGVYGAFVQQDRTISVWDLRQNAQKGRGFKRKRFGLTKPDMVQIIKNEEAAFND VHLYVLLYSSTEAAYELYDVGMKKQWWSSKGVHYPRLFNNLCVLSVTNDGELEMLTKK WTTKVWRSTIYIPPDRSLKDYPFHQPRIIVFAVNERGTDIAYLCEEKHCYVAKINFDS KTSINPSIDRVSSGPLLTHFDSGRHIPVGMALSTEYDRVVTIWTSKRDLKYGSRDTIF VNVWDQPSQSPTTNRTYALPSDRLDPLYQLQLSKGFVLILSKHLDGCQVLDLRACRGN EEGADGVCRASYCNPWSSEEVKEIIPYSGRNLLWKASHVDSSFYSGVYDWRAGSLREA DIFGTVSAI PFICI_10402 MRRSSRLSSSGTKSRYFEDDVDESEDSLAPPNKKKQTPKRNMKA KQVDSSSDELQVDEVSEDEATEDEDDSEVVQVKKRGRGRPPKPQPKAKPNSKKRPAGN ANDAETPRKKGRGRPPLKKEKPQSEDEEVLDDDSDDDESPRVEFIPLPQLRDTGGVEY EPEFVHPNTMAFLKDLKANNKRSWLKMRDPEYRRSLKDWEAFVETLTEKIIEADETIP ELPLRDVIFRIYRDIRFSKDPTPYKPHYSAAWSRTGRKGPYACYYVHCEPGSCFVGGG LWHPDKDALAKLRANVDERPHRLRRILMNPEFRSAFLPKAKNDEASVISAFCESNKEN ALKIRPQGFNPEHRDIELLKLRNYTVGRKIKDSDLTSSDAQEKIMAIISPMVEYVTFL NNVVMPDPDFDSDSEGEGQGTELDGE PFICI_10403 MVSKQSAEWAVLIITFIFASIAIFLRLHSRHLTKAYFWWDDAFA ICCYVVAIAWLIICPIWLSKGLGVHIEEVTWLTQAETLYWNKLLLYIAELFYAFALFF GKMSILCFYWRLFSVTDIKIPIIVLMACSIIWITIRTFLGIFHCTPVQAFWLDIPGSY CAINDKQFFFGSILAHVCLDIAILALPIIQVRKLHLPKLQRVGIIAMFMFGIFICVAA VGIIITSVNFDAASIDLSWNITDIVIWATVEVNLVTVSSCLPTVRPAFTFFFGRFLPR STLRSGSNTYGLSNKPGHHQKSMKLSTMPGKRGSDQDEDSSTYQLADSVHGGDSHSDF EAHALDRHKGTRTIITGVHRDGGRSSSDDEGGAARSPNIGGNGNGITVTSETMVRVTN VPGGNTGTNRVSSPDYTSRSPLDERSLP PFICI_10404 MGLLQNSSSSSSTVNGADESSPLLGNQGEDNGKATSAPTLPSSA AQPGRPWQRRKRTMSRASIASSLAALPKVHDPHRIVAIMCVLIFFGSSSGGFTGITMT RILEDRFCREYYYGATQSLGGGGGGGDDDASFQPIDEDLCKVDVIQSRLAYLVAVHSF IEAAVGCVVAMPWGFVADRVGRRPVWALALFGMILMSLWQMAVVYFSSALPIRLYWLA PLGLIVGGGNAVLNAAVCGMLVDVLPEADRAISIMRIHVASMFGNLVSPALASILMPH TGPWPLVWFSLVLISIPIVGILFVPETLSTKPDVGTDTPATAETEEQDADATFSARLS RSLAELKDSLPMLQTPSVVFLLLTAAVTVMPVFYSTASFMAQFVSKRYHIHLAYTGYV QAAYGAAHVLVILVAIPWLSEWSLRPTAPRWLRMADERRRDLAFMRWSFAPLIAGPVI MALSTSLPAFVCGLLVMSLGSGAGSYVTSALTFYVGPEERTRAFSLMGVMQIVGSLYA MPMLAGLFAAGMKAGGLGIGLPYLGVAALCSLGVVLLAFVRLSETKDREEAADGPQSE DGTI PFICI_10405 MVELSNTRPIGILAGYLLACAGLTVFCIRIAWTRGGSSRPVDRR RRHAIVVFSALAALSLATTWYHMFCFFQWSYQEWAAASSASSPLFGLLGGEDGKLHLG AWLRDTTLFQQAWVATLETPLRAWWSMQIFGFCAIWSVMLAAQGQKSRIPHLWLFMLL GQIVAISFAANLSFVTFLVYDEANEVSSIHDKKDMKKNQPSPNPQATRNSWLPTAWLA VLGVNLISALIIPRQLDHSKFLYLLLAPHVLAFVPFVLNAVFAAPHPSDALFFSSRQP STVARAGIMAVLLAAACSRVFAAGGDLGQIAATLFDRKMGMTAILGLALFYSVLWCL PFICI_10406 MPVQTRAAAQPQPAKAVQKSAAPELKQLHFPTRRRQVTKTYGRR AAPKRPDQTLTQMEFVSAAAQEDLQLLISSDDDDEKENEETAGAANVPKQPAVKKKAT RKTSARSNRRRTTGNVAQDDEKHEKPRSNKRRKTMGDPPSASSSFHTQTLTQFLSSTS KDEDVWKIPDSEDEDAVNLDLVKETPRKRKSEEVSDEVEVISAAKPVATTPANRQKKA EIPSSQSPATPLLLRDSPQKAPSPLKHPDTPDCLRYNPETEPSPLKQKSDKTSPSVSI QKPVTKTPRTLVIQDSYSTSHSSPITPTPKAKAKGILLPSGTVTPAKRIRFELPEDKE NVTPGRTKPKSPKPVEVRTSQRQPLSEVPDSDDELEEETETDEDGVIDATSTVVSEST FEIREDVNEVVITADGIEDDFAAGAETQALLISSDHPVDHETLNSTPIVAEDEGSVDQ EEDSENSRPRNTTPSAQDFVDDIIEQTPSRTPRARLGETERTEHEEEFTQGYTQGYTQ GMESQRVPLETIKATGPITDRSDIIISIYCEHVENMTKRRKTHEFRDWKIPETVHRVW IYVPRPISELRYMCTLGPAKTAGQIHEDGLGNAEFNQGMMKRSKFAFEVLSMYELNNP VSNQVMQQNGWPRAPQKFSYVPPAVVGQLTSNLRCALWGEDAEQDVIQSSLNVTESQE LAHQIRSDIDHATQLESSDQAEVIPSSQSPPQVAHNRGNRRGSSVFARPALPKTATAS SARSLPASQSQRNRRETRPSQATTISQMSSSPHVSPGKSVPLPPMAGAQRTAPAQTHA TSSPVAHEHSLRSSQFPTKSQMLPDSLVNGDIQEPPQIIWDSEDESD PFICI_10407 MGSPFDTGPMGPHMPPPMMGPAPGSYGHRNISLPPDFPSNRMRP DSQQRYHHGSSDARLQLADPLTDPYIQQPRQPYNSQGYMPNGATNIQPAPGATPLLPN QGRVLQTGPIRVLCIADVRGNLRSLNELAKQARADHIIHTGDFGFYDDTSLERIAEKT LKHVAQYSPLIPEHVKKSIASGPGGVKSRYAPEQLPLSELSMLISGQLKLDVPVYTVW GACEDVRVLEKFRSGEYKVPNLHIIDEARSMLLEIGGVKLRLLGLGGAVVMHKLFDNG EGRTTIAGGQGTMWTTLLQMGELVDTANRVYDPTETRVFITHASPAREGILNQLSVSL KADFSISAGLHFRYGSSYNEFSVNPTLDHYRGKLAASKASFNDVWETVKNEVEPAIQQ NEAQQNLLKNALGLVEKMPTTAAGGNPFGGPVGGSAALGQVDESAFKNMWNFNLADAA FGWLVLEIQDGRIGTEMRAQGFNFSHRGAKQQSAMPNTSTPSPAPTASGLPAAPTSAV PAAPTGPQRNPSATQGKPAAATPLQPKPATPKPISSSPAPGSSAAKENDRPGANGATS GPDTAPSPAPRGAATDIIGLFIMNVQSDEQTRDLFPEEHKSKITKVDKWGTNSNNKVV HFSSTEDRDAALASLPEEYKSRQGEDRSKPLVKLFQPRESNKPFARGGAGTWGSTRGT SSGQSGYRSATDRGTASGGESGPESGRRGGRGGSRGGRGDRGGRGRGGRGGVKGGEGA SPAPGSAAPADS PFICI_10408 MSARPVFRAATRTLRPSLSCSCSFVRSAKPFSTSIAAQKPATNN SNTSSSSERTTHFGFEDGLSEAEKTERVAGVFHSVAESYDKMNDLMSFGWHRIWKDHF VSSLNPGLSPHNPPTPQNILDVAGGTGDIAFRMLHHAHQVNANPDVSVTISDINTSML AVGKQRSLSLPASQQAVLSFQPADATVLPESFKPNSFDLYTVAFGIRNFSNIPQALRE AYRVLKPGGVFACLEFSKVGNPLLNAVYKRWSFSGIPLVGQLVTGDRDSYQYLVESIE RFPTQEEFRDMIVDAGFVVGGDGYENLTGGVAAIHKGMKPLDAK PFICI_10409 MLQSFFRRLTTRPAISDDEASDAGGTDVVVPIVKKQDTDIEDDF EDADPAPVTGDIKMDEDENDEKDGGDEDEELDEDEFIVEKIVGHVYAKGELKFKVKWE GYTKAEDQTWEDEDNLNENASAILEAYFEEHGGREKIISDSQAGTKKKRGRPAATSTP TNGSKRSKRDTHPASGSPPASHTKAWTPPAGSWEDHVASIDACHDEHTGKLVVYLSWR NGQKTQHDTKIVYSRCPQKMLQFYEQHVKIIKSTGEMN PFICI_10410 MSDAGGNAASAESPGAAKAHAPKDRNCPYCGQAFTSSSLGRHLD LYIKEKNPKPADGVHDVDAIRKMRSGITRRQPRGSLAARRDASTPGTPTGSTTARRSP DARNQSSVPKDGQFMVDQSPVYPWQQPSWEATGVINDIPSKNGDSSAGWDESTESTRQ SGAQQQRNASRMLQKQQLDARQKLTDAMDTARAAELALREILGSWRAAKQQIDINSLP FDFDPLSLDFPALTLQCLQPPPTLFSSTPFPTSSSWSIQPPAKKQHDALVAYFQEEFK KWRHTCAAATTAAHEDLSYPPLKNHSPTVDLRESVQKAEQVAGNLEKQVNEHLQSTYA VWESLPASRQQELWILELARSVGRRQKDNEKLKQSQHSLKQESINLQSQIDQLNRLQQ PREFRIMPPATIPFDQAFVAHVLHQGLEAGHSGGIGFNFNDRHVDTIEVVQRAIERWK NVIISSRSTGMSSQRALDQTTATPQAAATSGSSASSGPNTTTLAPAPNAATQARSASA QQSQQPVRQPSTSTNNGSSSDARPGTTAPASTTTANDEGSDEDADAEMEDDESFAPVN ATAVAAAKPVAQQSLQKLQIPRTRENAQRNNAQFAMNGAGSMNPNQRVNMGRPMANMN AGGIQQAQNQQRAQQAAIKNDYGTAVQGMGNGDPMYMGEG PFICI_10411 MDEHQLHTDASGPQQASGEQQDDQHQEITRQPSSPLSPRSGAGS GNSDNSGSGSGRTYTGPVAPASTEFDDEDDVTPPAFKKPISLPPAAIDPNIGMDIDGT APAALQPADDTASSWSASSDRQLGHGSASSMADPADRVFPIRSMVNVDQSQSTPWSRS SGEHEYFPRFESMSSNVRQRSSNARAELESIKRSETFPAQDRNGSVPSTAKRKGTLSG SIGSPISDAQPLPIFTDSSSDGGSDNANEAAPSSVEGASQDSGDRDVNEQLYAPRFRH VQTEEGHYVITGRDGTLQRCEDEPIHTPGAVQAFGLLIAIKEDSDGHFAVHVASENSA QVIGYSPQQLFKLGSFLDIFSEEQADNILDHIDFIRDEDADVATNGPEVFSVSLRPPK EKSVKLWCAMHINSAQPDLIICEFELEDDHEHPLRPMDEMTPPAPEDTLYQTPTLEEF NESTEISSKPLRVLRSARKKRGEAGAMQVFDIMSQVQEQLASAPTLDKFLKILVGIVK ELTGFHRVMIYQFDSSYNGKVVTELVDPTQTRDLYKGLHFPASDIPRQARELYKINKV RLLYDRDLESARLVCRSQADMENPLNLTHSYLRAMSPIHLKYLGNMAVRASMSISINA FNELWGLIACHSYGPRGMRVAFPIRKMCRLIGDTASRNIERLSYASRLQARKLINTVP TDKNPSGYIIASSDDLLKLFDADFGMLSIRGETKILGKLERSQEALAMLEYLRVKKFT SVVTTQDICADFHDLRYPPGFSVIAGMLYVPLSVRGHDFIVFFRKGQIKEVKWAGNPY EKVIKDGTSEYLEPRTSFQVWHETVIGKCREWSEEQVETAAVLCLVYGKFIEVWRQKE AALQNSRLTKLLLANSAHEVRTPLNAIINYLEIALEGSLDQETRDNLAKSHSASKSLI YVINDLLDLTMTEEGQDLIKDEIIDFRACLKEATDPFKIDAKRKGIEYEVIEHPGLPL HVHGDNRRVRQAIANLTANAVEHTKEGSVRIECFVTEVVGSRVKIEIVVQDTGKGMNN AKLDALFQELEQVSTDDSLDDVDPTASDRALGLGLAVVARIVRNMDGQLRLKSEEGVG TRFVIQLPFELPSPAEPPQPATTSDSTPKPSTNQSSMASVTKTLPPAEDGELTLTSHP THSLSNASLRERRSFDDAGSIQSIPSLKSATSKGSGNSNMSDADRLIDALSTPLPYGE GSEGENPGIQRGGHGHSHRNSVNSGRPLPFTKDEKHPERPSPHRSTTSPVGETAKYAS GMAFVKDSKTPVRPVKVPDDFKDAPPTGQTGQASGVLFEIPNRENPASSVPIASQASS NPSNPSVPDDFRKLRVLIAEDDPVNMKILRKRLEKTGHTVVHAMNGEDCAAVFTERPQ EFDVVLMDMQMPIVDGLGSTKLIRAFEKSDACTELSPLAQHQGRVPIFAVSASLVEKD KRVYVEAGFDGWILKPIDFKRLHILLDGITKDDTRDGCLYVPGQWERGGWFGSRSSSD EPSATT PFICI_10412 MSFIRKRNGHGAPTDDVEANAASASNSGGSNDQGHEHVQQGEAP AGGLAPPGEEHIKFPGHLPTPRPSQSRASGDLPEYEALDRYITNFDAERRASMVSTAG KSRKKKKWWQFWKSDPAEATGPHPVQDEGKAPDSWLNAHIKEGIPSSVVEERRKRFGW NELTAEKEDMLQKIFGYFQGPILYVMEIAALLAVGLNDWIDFGVICGILALNAFVGFY QEKQAADVVASLKGDIAMKATVVRDGHEQQILARELVPGDIVVLQEGQTIPGDAQLIC SYNRPQDYDEFIALRNEDKFENDPTAEVDEKEEKTKAAAGTEEKGGEGEGEQTKAADD DVDDESVHYGQPLIACDQSAITGESLAVDKYMGDVVYYTTGCKRDKAYAIITTSARYS FVGKTANLVQGTKDRGHFKAVMDSIGTTLLVLVMFWILVAWIGGFFHHIPIAYPGVQT LLHYALILLIIGVPVGLPVVTTTTLAVGAAYLAKQKAIVQKLTAIESLAGVNVLCSDK TGTLTANKLSLRDPYLVEGQDVNWMMAVAALASSHNIASLDPIDKVTILTLKKFPKAR EILRQGWKTDKFTPFDPVSKRITSECRLGNDRYICAKGAPKAVLNLTTVSDEVRQEYN ARTRDFARRGFRSLGVAYKKNNEDWVLLGLLSMFDPPREDTAQTIVEAQALGVPVKML TGDAIAIAKETCKMLGLGTKVYNSTKLMHSGLTGTVQHDLVERADGFAEVFPEHKYAV VEMLQQRGSLTAMTGDGVNDAPSLKKADCGIAVEGASEAAQAAADIVFLAPGLSTIIL SIKTSRQIFQRMKAYVQYRIALCLHLEIYLTTSMVIINETIRPDLIVFIALFADLATV AVAYDNAHVEERPVEWQLKKIWVVSIILGLLLAAGTWIARGTMFLRSGGIIQRFGSIQ EVLFLQVALTENWLIFVTRGGKTWPSWQLVFAIFGVDVIATIFCLFGWLSGSGGGVME TTPPTAFNNSSNGWTDIVTVVVIWLYSLGVIVFIAIIYYVLQRVDWINDLGRKDRHKQ DTHLENILVHLNKLAIEHEIDSKLGVDRYTLVEKAAAEEEE PFICI_10413 MSSSTSQKPSHILFVGAGAVGCFYASRLHHPSHNVYTSLTARSN YSVIESSGVTLQTHTFGDYTFKPHAVFRSVQAAVPNTQGTSKAPQDGWDYIIVTTKAL PDHSDDSELIAPIVSPNSCIVLIQNGVGVEEPYRKRFPRNPIITAVTIVSAEQIKQGT IRQNRWTRISLGPYGNGLQGETSTSPESRQLVELGHARMTQLSKWWTELGGIKDVETH TEIELQTIRWHKLCINAAFNPTAVVSGGRGNADQLKDPELRQHILGVMNEIRDAAPKV LGKPFSDDLATPEKIIRSTERNTGAKPSMLLDWEAGRPMELEVILGNPVRIARKAGVE MPRLQSLYALLKSMQQVRDGAKKAKL PFICI_10414 MAAETPVSFHIPTVDLGPYLADPSSEESAKIVEQVRQACITSGF FQLVGHGISKELQNRVQKAAEAVFALPLETKKKLMHPKLKNRGYEIIGSQALQEGALP DLKEGYYVGQHIPADDKRATLHPHMIGENIFPVEIPEEVLKAPTEQYYSECLNLAHSI MEILAKGLPYGEDIFVPFMSNDPVCSIRLLHYPPQKSTDARQLGAGAHTDFGAITLLW QDMSGGLEVLNDAANEWVPVPPNPDAYVVNIGDMLSIWTKNAYKSAMHRVINKSQGDR YSVPFFVDGNTDVKLIPFDGSAPLTGKVITAEEHMLERFGTTYGRAKQTAQVA PFICI_10415 MPQPRQRSSDIFRTVRPAVLGIGRILTLGYSTVSAAPLSIRTHN EEPPQAEGSALWVLYIVSMVLVLAGGAFAGLTIALMGQDSIYLQVISQDREEPQRKNA KRVYDLLKKGKHWVLVTLLLSNVIVNETLPVVLDRCFGGGVAAVVGSTVLIVIFGEVL PQSICVRYGLQIGGYMSKPVLMLMWAMFPIAWPTAKLLDWLLGEDHGTVYKKSGLKTL VTLHQSLGEASERLNEDEVTIISAVLDLKRKPVEEVMTPMDDVLILSEDTILDERITT QVSEAGFSRIPIHKAGNTNDFVGMLLVKMLITYDPEDAKRVRDFALAALPETRPETSC LDILNFFQEGKSHMVMISEYPGEAHGALGVVTLEDVIEELIGEEIIDESDVYVDVHKA IRRSHPAPKARVQRKDVMVKDAAVKDGKLIDLEEDADEERELQKSRTASISGRNASPS ILSSSPRTTLLMRRSSTGQDGKLVRTTVPVKANFDDLKGHLKHLGPSNPASNPKSTKV TSVKIKPGGGNTRSGSVATEAPENGDLNGVNERTSLLRPEQNGQSGGDKSPFPSYTTP SESPAPETQDPPKLLITPDPEDPGKEPAAEIKTVASNASLAGSSKSIVSTNDASMSTI ERQRAKRGYVRSGSITEIEVNTGGVRKFVLEATSSGEDEAAESSKTSPTSPSKPLPAV EEDKQAENNDAAEDEAESPKIGGPSQGQGQSSGKKKNKKKKKKGGK PFICI_10416 MPIHHLMCGTWTPPGAIFTVAFDDEKLTCEVVKRTEIPKDEPIS WMTFSHDKKNLYGAAMKKWASFSVKSPTEIVHEASHPMLHDPMASSADTNTRAIFLLA AKKEPYAVYCNPFYKHAGQGSVFKVSSTGKLEENVQNYDYQPNTGIHGMVFDPTETYL YSADLKANKLWVHKKDADSGEVTLVGSVDCPDPRDHPRWVAMHPTGNYLYALMEAGNR LCEYVIDPATHMPVYTHHSFPLIPPGIPDEWTMYRSDVCTLTQSGKYLFATARANSFD LQGYIAAFRLRDCGSIEEQICLNPTPTSGGHSNAVSPCDFSDEWVAITDDQEGWLEIY RWKDEFLGRVARVRIPEPGFGMNAIWYD PFICI_10417 MADEMSMGKPQCGGGKEEGEYDLPLHTVGLFLVMGASIFGAGFP VAAKKISWLKVPEKVFFACKHFGTGVLVATAFVHLLPTAFGSLSDPCLPDLFTDDYPP MPGAIMMASLFSLFVIELWMNEKMGGHSHGGAKGFEASMTPEQMARMAGINAPPRPPR ASQDSYDTIAFEKKMAQAMENEVYGAPVQNPFGGEMDQMNSASEMPPWFVVFYEQYVR QRLEMLSLIKASGVPAPKQQEVVVATAPMFDVEGQTVDPMVYKRMSMNITLLEGGILF HSVFVGMTVSITIEGFVILLVAILFHQMFEGLGLGSRIAAVPYPKGSWKPWLLVIAFG TTAPIGQAIGLIARNTYDPNSAFGLIIVGIFNAISSGLLIYAATVDLLAEDFLSEEAQ HSLTKKDKISAFCWVLTGAAGMSIVGAFA PFICI_10418 MTTSAPPDITRSAETAIGVDSANKVISSDGGPSKTRSDESTALM STEKLPSPTEDADTATAGEKPATTGADADNAGSADPLNFGRHRRENVTRRQMKIDHPR GDKKKLKAFYTKQNELIDQFLGADDEERLAVEEDTRIAPRIKFAVNASFAVNFCLFAI QLYAAVSTGSLSLFATAADAFMDLVSSFVMLITSRLASRASVYKYPVGRTRIETIGII LFCALMTTVAIQLIVESGRTLGAGESTDAEELHIIPIVFVAVAIFAKGSLMLYCLAYR KYPTVHVFFIDHRNDIVVNIFGLVMSVVGSRFVWYLDPIGAICIGLLILVSWVSNAFE QVWLLVGKSAPREFLSKLVYMSMTHDTHIKKVDTCRAYHAGQKYYVEVDVIMDPQLPL KISHDVGQSLQRKLEGLGDVERAFVHVDYEDDHDIHEEHKPLYEKTKPKRTLREILLG ARKTDVAME PFICI_10419 MQFSSLVTLAFAASSYALTTPRQLEPIEYAPCGGFVIDPQTCEE GYQCIQPDPRRPDVTDLPGICVKNEPITCAGAAGDECYSTNFLSQCYDWPLDGCDPEN GDKDCIGICLDPLVAPTK PFICI_10420 MADSSAPPTEQVANLHLDEVTGERVSKSELKKREKARQRDAKKA EKAAAAPPKPAAAKKNTEEDEKELTPNQYFEIRSRAIAKLIETKDPYPYPHKFQSDYD LRNFVSDFGHLKSGEHQKDKVIRIQARMYNKRASGNKLVFYDVRDEGVKVQVMCQSQE VAEGAPEFVKQHEHLRRGDIIGIIGYPGRTAPKNKIEKGEEGELSIFATEVVLLTPCL HQLPDEYYGLKDQEVRHRKRYLDLIMNPKTRETFSTRPRVIKYIRKYLDDAGFLEVET PIINMIAGGATAKPFETHLNDYNMDVFLRIAPELPLKMLVVGGLKRVYEIGRLFRNEG ADLTHNPEFTTCEFYEAGADFYDLMHRTEELVSGLVKELTGGYTTTFTTQHGETYNVN WEAPWKRVSMIPALEEATGEKFPPADELHTDESREFLKKVCQKVNVDCPAPQTTARML DRLTGEFIEETAVNPTFIIEHPKIMSPLAKDHRSKPGLTERFEAFVCKKEIANAYTEL NQPFEQRARFEEQANQKAQGDDEAQLIDETFLNALEYGLPPTAGWGLGIDRLVMFLTN NYSIREVLLFPFMNPEHTVKKDDDKKMPEEIAAAGAEAAPATGTEGISKS PFICI_10421 MSLLLPGLRRVALRAPAPAASICRQCLWQQAPAAAALRPSSIQQ QPSSAPSRILRILRQTRSQHTASQQAAPLSDIAKQAVPEAASSKSAKSSSWPETSSKS VAYWLLGSAVSVFGIVVFGGLTRLTESGLSITEWKPVTGSRPPISDEDWESEFTKYKA SPEFKMINSHMDLDDFKSIYFMEWTHRLWGRVIGMSFVLPTIYFIARRRVTKRMAFSL VGISALIGFQGFIGWWMVASGLKDDLFAPGSHPRVSQYRLAAHLATAFVCYSWMLLSA LSILRARRAVAAPVETLKHLTALQSPVLTTLRRSTALLTGLVFVTVLSGALVAGLDAG LIYNEFPKMGLGLTPPKSELWDKFYSRKEDGSDLWWRNMLENPSLVQLDHRILATTTF CAVLALFAYSRTGRVSAAMPKDVKKGVLGMVHLVSLQVALGITTLIYMVPIPLGAAHQ AGALALLTGALVLGHRLRVPKPLLAQVQKRLQAAQGQVKR PFICI_10422 MTSSKSRATLFSLAIINGFGLISAGCVPREQSQFNWDDIEYLIA FGDSYTFVQGTYGYPNFSFIGDYLPGDLAFTPEELLSDKIVQNFTGTAEGGPNWVEYL TGCAIEPGEHFPSDCDIQLWDFAYAGADISEEFLPVHHNETTPFVNQTAQFLTWGEPV LGPLIADKRAQVLVAVWIGINDINDSQTKKPENVTFEDFWQAEIDAVFEQSIQPLFDA GYRNFLFVNLPPLDRTAANQVRETPVPSKAQVDLWDGILANRTVEFSESHHAAKAMVY DANTFLNGVLDDPAPWGITNLTYCSAYMQLEVLTDPGKFGCLPLEEYFWYNSGHMASH THEIMTQDLLGFLESQSDV PFICI_10423 MRLLHSASLELHNFNDNEIPPYAILSHCWGRDEISYQDMQMYQL ETSSPCGESSIRRKLGFQKIESSAKLAFEQGFDYIWIDNCCIDKTSSAELSESINSMY RWYQESIVCFAFLADVQGLEDKSDLVRRSSAFHNSRWFRRGWTLQELVAPSRVDFYTG EWTFIGSKRDDDMLPLLSDITGIEEGVLKGRLLPEDVSIAGRMGWAANRCTTKTEDIA YCLMGIFDVNMPLLYGEGKKAFIRLQEEILKGSDDQSIFAWAAPQSEHATSEDVSGLL SSSPAWFKEVPSYRLLPPLPTGGSMPWNVTNLGLCVQLFLRPRRNNSGRVLLDEFEAI MACSTGIEHQDYSPRIWLRRLWGDQFARVSPESCDLIRFNLQDQDQTEGSYTTIFVKA KPTLFLPEFKVAPENTQATSTDAERFVLVDVEPKSMWDDLTGILKLSQPVLGRPAAVF RFQNTIFQDKLLDVAIGVKRSHAHDRWRPWVKQLPAKSKRPLADFLSDPEFIQLAGTL FHTPNIESTSDVARFPQQDEDTSMPFTIAKVEEIYQRSRKYFSLRVIIKPEIFVYTKY MPKGDVMEVG PFICI_10424 MHGCLLRRSVWQATSSLNALSQFHSGSNCQAKRAISSVSHSENA NLDAFRDAAFIPELPLLIRGSHTLTPATKWFTHEQGASASLGTHLTHFHDMLFPYELV QSTGSHRKDPISSFADWLRPEHPDLALHLLNNVFCDTRPSSTLQATFHQFHAPLGLLE QATVYNTTVDDKHRVKRLYIAQSQLNELPQALQDDLSVPAIVKGAGKGDVYDSSIWLG LEPTYTPLHRDPNPNLFVQLLSNKTVRLLKPSLGQQLYTRVQQQLGFRGNSRFRGAEM MSGPERAALYDAIWGVGQSGDILEAVLEPGDALFIPKGWWHSVQSNFDDGRLNASVNW WFR PFICI_10425 MSTSSGTPESWISSFCSLLGHEYFAEVSEEFIEDDFNLTGLQSQ VAMYKEALEMILDVEPEDDEEEEEDEEEEEDESIEGVDRMRSGERRNHSRIASDLSVI ESSAEMLYGLIHQRFICSRAGIQQMSEKYELGHFGQCPRSNCESARTLPVGLSDIPGE DTVKLFCPACLDVYVPPNSRFQTVDGAFFGRTFGALFLLTFPEYDLTKRGAEVLHGGR ISDDDKELLNGMYVSNIAPGLGGAKIYQPRIYGFRVSEVARSGPRMQWLRDKPEDISE LDEARRYVEENPDSEDEDDATMNLNGRPIRRLRARRRNGPSGSPMAIETNGAESEL PFICI_10426 MTDYKIPFTTFHNVINNELTSTATTRHSFCPSNEEALPEVPVST QEDVDRAVAAAKAAFPAWKKLSQDERAAYLDKFGAALEANKEELTATLGREVGKPPQA AGFEMFLTQGLVQGTAALRLKDEKVIDDEDRTAIVRHVPLGVGVGIVPWNFPLALGVG KMAPALLAGNTFIWKPSPFTPYTSLKIAEIGAKVFPPGVFQALSGDESLGPQLTGHPD VAKVSFTGSVETGKRVMAACAGTLKRVTLELGGNDAAIVCDDVDVDAVVAKIGFLSFV HCGQICMNIKRIYVHENIYDKFLAALVAMVKQFKQGDHEDPEAFFGPVQNKMQHEKLQ AFYSQIGKRGWKVALGGEPGAKSGKGFYMPPTIIDNPAEDETIVTDEPFGPIVPVLKW SDEDDVVRRANASKLGLGGSVWSKDVARAQRMAQELESGSIWVNTHFELAPNVPFGGH KESGMGMDWGIVGLQGWCNPQAYWTKHSGA PFICI_10427 MYQELDQLPAAGKGRRRIPACLRCRARKVKCDNRLPTCSNCEKA AVECDQAANSDKLHAKQLEERIKVLESIVRIHAPHVSLDDDLGHDDYSTLEVGENHAQ ASLEPPREANKAPQESSPVTTSGRSGATATGTDAVPSPSVHGSQIGPEQPLAHEVGLL SLGNTASDPKYLGPSSGFTLARLTYAAIPQSQGLPSAADLPRQDAGFSQNTAPPRCAP LPSLPEMHRFIGAYVDAFHSQYPFLQEGKIEQIVEVTLREPQAGFNKASLDDAMLFLV AALGARILEQGRQLDLKSAEHLASAMAHVSALQLHDSVQGVQVMLLLVLASFIFPEGS LNAWFLSSAIVASCVDLGLQRRSVPAQREGNEPETEALENVRCGIFWSAYSIDRTLCT TLGRPLNLRDEAIDIDFPGEIGSSSSGFNAVMSASQPSQQGYDAELARFREIERSSKR RRLDQTTGLGYTASAFFFRFDRITAEIKLMLYRVAQAPWRFPWPSNYPQWQSEALASC DDLLSSAREILLSQVLVPSHYRRLLPSLEIKYHQCVLLLFRPSPAISQPSEEAYRRCY ESSREVLRIHAEQLRFGEIIESWLAAHLVFVSGITMIYSIFKLPDATKHLVGGTESAD FDQAVSNCSEVLSRLGKTWPVARDANDKFTKLATRSKEMAVNAARGPPATQSGEVEAP GVSQETQTNDPLISAVFGCDGDIAGMPASSEFVWYELGVMSTWFDLDWRFEQ PFICI_10428 MAAHTRLICVIPRSNAIRQRAFGLAGCFTRFYSSKTSIGDSPRV AVLYQALEPPVVNGVRKPKKPGGYQDSGADIAWTLRHSGTNVITPSAKPDPGTHEGWC FPDTEDGLMAAIDQGATHFWANTILFSDHPLQTSRHLDSHEKNVRVVGQPPKFVQMYD DKHLVNSILRGPTTNLTLPKAAIVADLDSLRRAVAPNGSLSFPIVGKPVRGRGSHGVA VCASIKELEKHAENLLSESPSIILEEYLAGQEGTVTVMPPSADRPEYWAMPVVVRFNH VDGIAPYNGSVAVTANSRVVSESEALADSTFGAISKQCVEVARLLRCTAPIRIDVRRF HEDSEFALFDVNMKPNMTGPGRPGREDQASLTALAAGGLGWNYPELLRQILGSASFLY DLRRAELPTGFHP PFICI_10429 MTLIHVVLFRFRPEVTAEHKATFVTELKKLKNLSCVKEGRLVVG GPSVTDPIERSKGFEFCLVSYHENIKALEEYQASKEHHWVTSTYMFPFKEDLCRFDFE VGADDEYMCNFTEIANCLSKVQDANGLNGVKSS PFICI_10430 MLVRDYTPKAALKENATEFQTSRGIAHSVIVLPSVYGTNNSILL DSLDYFNGTCVGVAVVDPDSISNATLASFHEKGVRGLRVNFGDGGTDEEIIDAVRKNA KLAKIHNWVVQVFIPLRTFVALHDVIPTLGVRIVTDHFGHTLVGSRTNNALDTIDPYQ NAGFTEMVDLIRRRLLFVKISGPYLDSLKQPLYDDMRVVAQTIMLNGPEMVVYGSDWP HTSNKEGNTASGGRLVPQEFREINDAAIVEEFKNWAATDEQIQRLFVDNPRRLWGWTS TDS PFICI_10431 MTSINSKESSAQARPMVEEDELKTDNRLAIAQGMSEEEFLDAEK SLKRKLDVRLLACFWLIFVMNYLDRNNIAAAKTAGIAKTLGLTSTQYATAVAILFIGY ILAQIPSDMFLAGIRPSIYLPGCMALWGLLSVLTGLVHNAAGLYAVRFFLGIVEAAFL PGALFLISSWYRRSEMGFRSAILFSASQLGSAFSGLIGAGIHNSLNGARGLESWRWLF LIEGSITIFIAFCSMFILPDWPSTTRWLTPTERAVAEWRLIQDAGQVDEDDGNWMHGF KLAFTDWRLYIFGFIFICIQVTSAVSNFFPTVVQTLGFNTVDTLLLTAPPYILGLIVG VANNWSADRHKNSSFHIMWPMVMSIIGFVIGAASLNTGARYFAMMLMIGGGHGSNAVL LAWTQKTLLRPRIKRAAAVAFVNAVANTSQVWTSYLWPEEDASRYVKAMSVNSSFALL AIAAAFFMRMVLRQANQKLDDGADVGEVMRGEAQAEIVGLNEEERLARRKAFRYIT PFICI_10432 MFRWFQNAAKCYVSLQDVGGKSHEDRLQMMRHSRWFTRGWMLQE LLAPTVVEFYSADATMLGDKQSLEHLIHDITRIPAEALRGAPLSDFTVAERESWVHGR YTLREEDIVYCLLGIFNVTMPVVYGEGRKRAQRRLRNEVARMDLRSLSAGSRDAIYSI GLAKSEFRVLVLEPGVMGEDIDCYLEISNLEQPPAYHALSYVWGEEPAIHLCYVDSNA IMIRPSLLQALQRLRRQEGRINIWIDDLCINQKNTLERNAQVKQMAKIYYQASRVFIW LGEHNSTSSLAMDLIRKVAESDYVWETIRWKDHEFTALLSLLSRVWFQRGWIVQEAAF AQDATLCCGDRQVHLTDLLKTVIQIQSQLLLGQSPVVDLPETVDNYSIHKFNDSSAVR LFSLISKAVSRTSHASTLERRLSLENLVDAARFTETSDKRDTIYALLNLANDLRSSPF KPADNSGLIEPNYDKSDMEVFADFILHCCRNGSLDIICRPWAPVSPDEGYPTWIVSRH YLPFGDPCRRLTHRLNGKELVGTSESRSYNCHGGKKPQVTIELHGKEGAFKGALIAKG FIIGEVVRRSARMAGAVITRDCLAILGATPDSDANELSRIIWRILCADRDMAGNQAPK KYPKLIQLLKQLSTNGRHSLGHRSAFDQLLDVDILELLDDDIPSNLREFLENLRDTIW DRRVFQLSAIDSVGTALVGIGPRETKIGDMLCILYGCSVPTVLRQFKTDDGVQIWKLI GGAYVDGVMNGELFQDRTRGDKDEALFDIR PFICI_10433 MGSLMVSAAASAAASSMFGKRDSWGPAVSLGPAKQEIISTTTTI YPGKMPSGQEGLLYLWLGISNGTGDLIQSVIGSYPAGQSECSGADADSTWCISSEVYG NTDAGVPNQWVGELTTADVNYENGILLNYTLIDKESYLWLQTMTDAVTGDLLSTFNKT SGPMLGWGTAIECNDDNGSECTGTISEQTWVNSTIILEAADETFIDTLGASNGATYSD MLTTDGGKTWTFAKLTIPAMTSQDDSSSSSSSSSQSVSSVIAAAKTSTASKTATFSQA ISAPTASSAAAQASDATGGFGGFGGFSSSGFPSVPSQTASHQSGRPSQSASPSGHFGG HRGGGRWFGNGKL PFICI_10434 MVKVAIAGGTGAVGRALAETLAQQEVHEAIILSRRESSNDTAVL PTVQANYDDLDSLTKVLAEHKVHTVICAFAITGTSLKKSQMNLIKASAAASSVARFIP TSFSIDYPRDGVDILPPLQDYFDCLDELAKAELEWSVVLNGIFLDYFSAPPIKSYLAP NAFVIAIANNAAAIPGTGDEMVTFTHTFDVARFLVAALDLDKWPKELRISGDEMTFKD FVKLAEEFKGTKFTVYYDSMDKLKKFEITELPQHESLYPRFPKQRFQWFQSIFEQWTV AGLAHIQREGSLNQTFSDLKPLNIRDMLEQCWKKSLE PFICI_10435 MLPSTYLRGLVGLSAVQIAYGRPHSIRGDTDQAMVIQLIPEVPS LENLAIRRNGDIITTSTRSSSLYLTSPRKQYGADAILLHQSSNLNALLGIAELEEDVF YITGGAALTMPNPFNEVWKVDLRGLQISANGTIVQPPAIVLAGNDSTGGLYNGMTHLG TNDTNNILLADSLLGTVTRLDVTTGEFAVVSQDPLLATPNTTNLAVAVNGIHTYGNFI YFTNLNQGIFGRMPISLATGEQTGAAEILATGLWVADDFALSVDGQKAWVAMNGPDVI IEVDIVEKTSRVAFNSSLLGAASSVAKGRVCQDLQYLYVTTSQSNGNSTIGGIVRLPL PFICI_10436 MVKVFLLLFARLAAAGALWSTSPAVSTDVMRQAYCVGNGRLGAM PFGQPGQEMLNLNIDTLWSGGPFQLSTYNGGNPNTSMIDVLAEVRAETWSTGITNDTA LHGDTSAYGSFKAAGNLSVTIDGIRDDFSSFNRSLDLKTGIHTTSFSTDVANFTSTVY CTLPDQVCVYNLESSDFLPQVAIALGNIVSSNQGGLTLGCNSQSASLTGYTQNSNPIG MKWDIIAQNSTPGTCNNETGTLVVPGNSSSALTIVIGAGTNYDQTKGTREYNYSFQGA DPAPLVAETVSKAIQIPEKELRAAHVADYSNFESRFLLSLPDTQGSSGVSLDLLLTNY NSNLTSGDPYLEKLMFDYGRHLLIGSSRDNSLPPNLQGVWSNLDSAAWSGDYHANINL QMNLWPAQQTGLGELLVSVWNYMENTWVPRGTETAQLLYGASGWVTHDEMNIFGHTGE AIMPCRMKSYETSADYAIAPAWMMQHVWDNYEYSQDSQWWSEQGWPLLKGAAEFWLNQ LQVDQFSNDSSLVVVPCISPEHGPATFGCTHWQQLLHQLFEIVLVGAPAANDNDNEFL ANITTLLASVDKGLHFGTWGQIKEWKVPESVYNDYKNDQHRHISELVGWYPGWSINSL QCGYSNSTIQTAVNTTLTSRGTGFENSDPGWAKAWRSACWALLNNTERAYYEYRYTID ENFASNGLSFSSGAPGSLQPYGAFQIDANFGLVGAGLSMLAVDLPVSSSFDGTREVVL GPAIPAAWAGGNVQGLRIRGGGSVDFDWDDAGVVHQVTARDLATNVRLLNIEGDVLYP PFICI_10437 MASGGFWKKRALRVPDERRQNPQITLRNSIWPICLVTTLFFLWG FSYGLLDTLNKHFQVTLNITKARSSGLQAAYFGAYPLASLGHAAWLLRHFGYRTVFIY GLVLYGVGALLAIAAIKAKSFGGFCACIFIIGNGLGSLETAANPYITVCGPPRLAEIR INISQAFNGIGTVVAPVLGSYVLFTFDDQTALENVQWIYLSIAVFVFILAAIFFFSVI PEITDADMQLQAEQSTVGASDLPLQKQYRLFHAAFAQFCYVASQVAVASFFINYVTEV RADTPNWMGSQLLAGGQAAFAVGRFVGVGLIYLMRPRWVFLGFLTLVIVFTALSIGLR GNAGIAMLYCILLFESICFPTIVALGMRGLGRHTKVGSGFLVGAVLGGAVGPPLMGYV ADLYGTGLSMFIPLIFFVAALTYPIAVNFVPAYRNVADSFSETSHAAEIQAVGKIDAE AEIVEHAEEKGAAKATV PFICI_10438 MVDRIARIPNLVMGGAGFSYQLHPDPESLPIREILLRAFDLGLR AIDTSPYYEPSEQLLGAALSHSDIKSKYPRKDIILMTKVGRIKEREFNYSPSWVRKSV MRSLDRFQTTYLDVVFCHDVEFVTMKEVMGAIEVLLEFQRAGKIIRVGVSGYDIETLG QIATMVRDRYGRPLDIIQTWAQLTLQNTKVESCGLDLFQAAGVASVLCSSPLAVGLLR NGGIPTGRTGDWHPAPHALREVVQMAAEWVEEQSESMASVALRYAIAKSVLNCRSHVA VSTVVGVSTMAELEENVATAKSILKAVTVDSCAGGLGDYTEVDEIAYRRDQPLYNHIQ GALGHWLDYDFGSTKVGSSKQLKDVGITNGSDSIESVKTSRHNAFLSTAPSAAALSGI RWHVARPLSTLARVKQQRERSQAANQIHHNKRGAGMESTETGPQSAATKLLVEQPPDQ RMPRMRKRTARACDRCSSLRVRCDGSKPCPSQDSPDNTTNLDEGEGHIPAQPAYQEEF HVITVADRGNTQCPIQSDTCNRPQNESSFSPLDLTPGTSPETYTSLVASSDSSNNPDV PELSVSGLPGEVLVHTNNDAVRSIPLAQQMPAGHARQLTPPKDYSGNARPSDLLLSRF KCLQPVLPMLQGIIDESLACKLLESYFREPGRSLFECASPYVLTHVLRKESVLRSDSP RRTTCALLVTILWAASQTADDDFFLAPGRKATVCEELRKLMISLIHQRDHDSWDRDTS GMYVRNQDSDTGTTGQNWQSNNTLRRPASQEAPPVPSVDDVLTLLLLTIVVSGGDFKA DCFRWWHKALRLSRLMGLNREDGFANALMSCCTGGTNCACRSCDAIRSRISVPEVQEE RRRVFWLMFCLDRHLALSLNSPVHILDHDCLIYRPRPDDEWEALDPNSCADYGLRGRV LGPPTTISGTSFCDYFLPLMAILGDVIHLHRRKSHPRFSGARQSNGLDADTATVEGVL DDCERSIDNLARLYGVDTLDGVPIAMSSGHMTSSPLGISQQGLPGAPPLTPLPQHPVS SIGPPFAPSHDLPTDLRPGSPDQPTNISEARKRSSEAAHRSQARLVTVYSKFILHVLH VLLHGKWDPISMLENADGWISSVGFVKCASHSIAASEAVSEILACDPELAFMPYLFGI YLLHGSFILLLFADRMPQIGLNESVERACEIIIRAHEVCVVTLSTQFQIRFRKVLRST LYSVRSAGEPSTAEESASRLRVLSLYRWSGGFTGLAA PFICI_10439 MQKYNYEPLPPRHIRIATLHSVRDSGDTMIQITLRQAMFDTYND DEIEDGGPIKDLQNAGNGKKPSLLSRMQDLHLGSRVKQMVKGRDNATELGYPLRLGSK KPRYEALSYSWGLRGAEPPVVMISDTRSQDAYPFQVSDNLLSALRRLRKNKKPRDLWV DQVCINQEDEEEKAVQVAMMGAIYANADAVLAWIGEETDDSQLAFSTFTNIGKFLSNP NNEPGLSFDEERPGLWLPDEPSPDALAAANEMLKRPWCSRLWIRQEIALAKQETAVIV CGSLTIPWKYFRAGGIYIAHIKNQATPIPFPVLAWGIYLFDEFLDRVPTGQIKGGDAS LSVLREMSWGTGCADSRDRIFANRALLAWPDERQRLRPSYAKKKKKKKAPQVYQDAVV AYLNSHNDLVVLNQCRWKTLSSSEWGAPTWWVLSTSRLATATTHQVCRVPDWSDTNVP TMPKFFITDNGLKASVRLATPFKIEGNVLQVAGVHLGTVSSRISLSDAMTIENTLEEV QEFFASDSSSLCAHYCTNPAGVFTLGDAYIVSLSACDLDWSLPAPTHPHDLDGDLSQR LQAIRPWMSALGQASADASSVMPESLINCIRKARQHQTQLFRTSHGKAGLSWAPAMEL GDCVWILFGCNVPMLLRPVASTNTGGMTADRDPHQYQVVGPAYLPWVSDGEPILGELH PKWYRFGADEFIDMGEGDDAIQFFAAMNEYPGVRVGPKIQAQFDPRLKELPFTLDTIR TRWPKTERLRDTFVNVPFNELETTLAERGVKVERLDLV PFICI_10440 MTIVVSAIRVGRVKMLKAMVGRARGSQSDAEQELLSSTSEDVCE LWSGHEIVRVMGQPAGMKTLIIVDDTVHDIKSGVRRRIIEDSNPEDDALDSLTDAAPN LALNVRNSTAPSWEL PFICI_10441 MVCGHVIEGVTTEHKFTKHPDASKMQVVRLQRASTVSGQHFSSY AIFNDEDNQSIRTSRLNTADFSTLAATSSLLSVVGFIIQFVGLRALHWSATIIQLGIT IIMTGIRAWVRRGLASDPKTNVTLDGHEMAWLTLHILPKDRYTRRHPRRSSEREEPKP TSDAPAPDSETDVTPLQNSRGSLEVAEATSQGDPELERQQQFWDIPFDKTFQSAEQII WEPIMGYDSYRETAWNVVEFTEKQTLSEISVGLGTKTEHLYTFQQLFRPLQFDNFLHV EPYDRYEAAADGALELYQQIANIMPASNAVVSAANSLATVVERVMKIVCDVKAIEWKT KDIPIAETNADKDSSDWIASGNRLSDDIYWGVTISTRMPSEETARTSKLYFRTKRVNE DPNASIARIGTVPNVSTAKTGTFRWQFQDRESIIGVLSLWLYSMARRQSSIEKFNEFL VRVRKERFNYVESGRIGRIVATNSLGDFSDVWHPLSKWLGVPITELPLPNGQNRVQSL ISETRSDMTWFLGMFLSPMAE PFICI_10442 MTSQARYYVKPTDAQTFAQAVLSKAGLPRDHAKLMADCLVQADV RGVDTHGLARLQQYMDRVSTSLVKAQPELKFLEKTPVAAHLDGDNGFGFIVASKAMED AIRRAETYGIGIVTAKHSNHFGMGATYVLQALEKGMISLVFTNSAKQMPPFGGKDTLL GISPFAAGAPSKNEVPYVLDMAPSVVAKGKIRRAARRGEKIPLGWAYDKDGKPTEDAN EALNGSMAPIGGPKGSGIAILMDVMSGVLSGAAFGGEVGDQYKEARPQNVGHTFIAIK PDIFMSSEEFRSRMDTLVQRVHGVTPADGFKEVLFPGEPEHRLSVQRSREGIPFADAE KDMFEEIAKKYGVEPLPMSTSAYAL PFICI_10443 MTSATSSIVERLQKWSSCDVADGLSKLGHVHGGFLEGLTMYSPQ FQNGPTRIVGPAMTVKFAPKSDQNAPKIQGNYIDQIPKGAVVFISQPAPHINACYGGL MSLRAKYLDAAGVIIDGRLRDLQEHQDIEFPVFARCVGTTAGGAVCFPSELNMPVSLQ SSIHEAVIKPGDFIIADQDGVVCLPAGLAEQVLDAIPAIANADAKCAEAIKEGMSVQE AFAKFRGKK PFICI_10444 MNDVEKQVSLIHETANRLIKWGAHSKAWGIQIQQPKLCYWNRLR EVAASLAFPLVLDHMGLFKAASMAPAGSTPVTQQAEWQDFMGALRDGNLWIKISAPYR NSRAAPHFEDLYDIVTQLVRARPDRVVWGSDWPHTQRHEDRRPENIGKQEPFLVVDNQ SWIVSLSTWLSEDEWQALWVENPRKLYDYYS PFICI_10445 MSFPQTNRTWQILDAAAKAGYAVGAFNCYNDDGVIAVIRAAEAS KSPAIIQLFPWTMRFQGPEFVKYVINAAHAASVPIAVHLDHCIEPADVEAALNLPFDS IMVDASIHDPEENIRQCAAIVQRANAKGISIEAEMGRIEGGEDGLPQVDLDTIMTHPD GAQDFAQKTGVQFLAPAFGNIHGHYGPGGPEKYWNLSLLEKVRDAVPGIPLVLHGTHG VSNDLFHAARRHGMVKINLNRTVRDEYTNFVAENAGKLELTVLKMKAVEVYTKSISRA MKGILGSAGKS PFICI_10446 MPSKVVNGANGTHEPPRPPLPSELEKWRQKLRSQFENATNILKA IRQPLPDQTDGGRPLDPKEEAHWVKKLESDLGDLGHLGITDVKTLVEMSVKIKEGDMI DDRKYLMEGLVKAASQLPQGSMTGETITSNFLTTLWNDLQHPPQSMLGDEWAYRSADG SRNSLTNPHLGRAGMPYARTVKPETVQPAVLPEPGQIFDSIFARKDENREPHPNRISS VLFYLGSIIIHDLFRTDHDDYQVSQTSSYLDLSPLYGANAVEQKTMRTYENGKIHPDC FADKRVLGFPPGVSVLLIMFNRFHNDVVKNLAEINEGGRFTPPIRGDPKYARYADAQY QKPENKHKIRTYELDLQKRDEDLFQTGRLVTCGLYVNCILLDYVRTILNLNRTDSKWQ LNPRMEIKGTPLATGNQVSAEFNLVYRWHAAISARDEKWTNEKMRELFPNCDDFSRIT GLQMVKKLHEWEQKLSADPLKWPIADGLARNQETNKFSDDDLVKIITESIDDPANSFG ANRVPVVMRAIEILGIQQARAWNLGTLNEFRKHFQLEPHREFTDINPNPEVADQLRHL YGHPDLVEMYPGLMAEDAKVPKLPGSGLCPSYTVSRAVLSDAVALVRGDRFYTVDYHP KKLTNWGYQAASSDLNIDNGCVFYKLFTRAFPDHFKSDSVYAHYPLTVPKYMKIALQD LGKEANYSYDKPHYHAPAKMAFSYAAADTILEEGNADFKLNWGNEGQFLMRTPESPMN GNSSDLLRNGNNTADWEAEVGRFYEKATTDLLKEKSYKIAGKNQVDIIHDVCNLVHVR FCAEMFMMPLKGRDIPGIFDDYQLYMVLTSFYASNFIDLDPTAAFALSQKSHQATQLL GQLLGARVTETKLGGYITSLTQLIWPRDTTLQKYGNSMIQTLLSESKMTVHSLVWDHI MVAASSIVSSQCQVFGETLEYFLTGEGNKYLPELRRLSKSDAPEDFDTLMHYMLEGSR LRGETSVSRYAARDLTIRDKNSSLELRAGGRVTVNLRAASHDPARFSNPQELDLKRDV EAYIHLGWETDQCLGLPMVRTSLTSMLKAICRLDGLEAIRGPQGVLQKRVRPFAPGIK PPGNDKVWRDEDIYYHQYMNEMLDSYLPFPVSLKITWVDEKPRPIATNRNGGGHGNGH ANGHANGYGNGRAN PFICI_10447 MALTASAIPFQPAGSLVRKEFPSLNETGPGNSTGINGTHHNGTH HGTHQSNAAKRSVSPFWKNHSMEKRTDCVSTPSSSTSDTDDGGRGITITNSDSESRGY YVYANGCDTVPYRYLWIDAGDSAFITLPEAFQGRITRGTDEYNLAGCTSDEWLGTWFE IGYDSDGTGWADVSLIRGCDGAITIDSGDGTGASTGFSEWILDGAPDSAYATKPSGAS VLAASEGLDGVLNTVVRDWYISELGTTQAYVDDYHGNPVITSSNGRFNTTWLEGRP PFICI_10448 MVTAALFKLAALAGLAQAATVSVSGTAEGFASSVTGGGSATAVY PDTTDELISYLGDDEARVIVLTKTFDFTGTEGTTTSTGCAPWGTASGCQTAINQDDWC TNYQPDAPSVSVTYDNAGIEGITVGSDKTLIGEGTSGVIEGKGLRIANGASNVIIQNI KITNLNPQYVWGGDAITLNDCDLVWIDHVTTSQIGREHIVLGTEASGRVSITNCEIDG NVDYSATCDGYHYWALYFDGSADYVTFKNNYIHHVSGRAPKVAGSTLLHAVNNLFSDF DSAGHGFEIASGAYVLAEGNVFSDVDVPEESGDDGALYSADSSSLASSCSSSIGRACI ANSYSNSGSLSGSDSSVLSKFSSYTLADADDTSSVSSLSSSAGYGTL PFICI_10449 MKWGVLLGTALATLSNALIRFQCSQLVVERLDPLVNPGMAPSVH VHQIVGGNSFNTTMDPKKDMPGESTCTTCSFSEDFSNYWTAVLYFRARNGTFKRVPQT SQISGGQGGITVYYMQDALYDTQQKSKVTAFKPGFRMFIGDVNARTKAEVSKFRQLTY TCMQNEGTREPETLAFPTTPCPAGIMVNARFPTCWDGVNLDSPDHMAHMAYPENGTFE SGGPCPATHPVRTAQVLFEVVWDTKQFNNKADWPEDGSQPFVWSFGDATGYANHADYV FGWKGDALQRLMDTACVVNCPAAKATTQSSAAMNQCTKQRVVNEDVDGWLTSLPGGHE VQYGP PFICI_10450 MPLENNQNQGATGAAKFVTSSLGNAAGGITRTVGNVTGAAGRGI GDTITGATGSAGKPVGDAISSLGSGIEGGAGSVAKGVENAGQWKK PFICI_10451 MTSPPQDPQTLLSALLSTIEKQIVPLTAKGVASGSKLFGAAILS KANLAPLTVATNNERASPLLHGEINCIQQFFSSPTVADGTLPRPATKDCVFVTTHEPC SLCLSGITWSGFDNFYYLFTYEDSRDLFAIPYDIQILEEVFRVKAAGESEEALTKREL YNRQNKFFTAKSFADLVAEIDNEDERTKWAAEIARVKGLYNALSQTYQEGKKEGVESA SVWK PFICI_10452 MKSSAALLQQLLFAASGVLGAITVDINDAESVKAAAALVADDLL TFYHGDETGETPGIFDDEDYYWWSGSVLWGSLLDLRARTGNMSYDDVIFQGLQWQTGT GYDYLPANWSASEGNDDQSFWAQAALLAAQTNFTEAADAEAQWPILAQNVFTEQSFTE RRVSDDNTACDGALRWQIFTFNSGYDYVNSIANGLYFSTGAQLAWLNDNKTASDEAIK TYDLLTDIGLVTDDFDVYDGVQVTGCDSVNKVQFSYAAATLLQGAAYTYNYTGDETWK NRIDGYLDNILETFFQDGVAIEPACESSESCNTDMYFFKGILHRSLAWTMKMAPYTAD TILPVLKTSAAAAVSTCTGGDNGRMCGFTWSNGTFDISNAGAQSSVLGALVSVLEFTN VASTGSSASGSGSSGSGSSSTGSSSSNTTNTDDKSMGTNFGISFSAIVGGLTIAALFA PFICI_10453 MAICQSANDHEGYMLHIWDRSIRNVVPNSNRDLVCYDYERVRQV LCDKFLLPSSLESQATSTRQVMDKMLGEQETDGVFDDFAALADRIHNQGQQRLGQPLD GKSKPSTARLEHLQDEQRGMPHRIPAKLSFCGWPTLQVGDKKWFLSAVIVDADGRPYG YIPFNMHPCHECESTFFQHHPAFDHMRVEHKINLERPGAYMCPLTQDRPDKES PFICI_10454 MHRASANHPHPLGSHPVHPSSSTRRISASFSTDGPLSSLPTVST SSLAVSTTNGELPMVYEAASRPSSKIIPTSIPLRKASTVTTYETAEGTRTQNFENLRP INTRIPLHHRDSLDIAAAKLRAKSDKPRPLATIPKSNTLSVITNLTASISRVSLSKFG RSASISSNAGSDQDRSFTSGNSFARASYDGEDEDPQAIHTAQSSAYWTGRFMALQDRF RNENLLPENMTTLINAHAERSMIPENRQQTSARLPASYSNPNLARYTGRSKLQRSSLN SQRRQQRAKGSLDATRLEDEDDRARRVFLHLEALCTTSEARKSLRAWQRIFARRRGKE GPLDRLLGRVDRDFTRRAKDSERSG PFICI_10455 MSANSLQLSEYLEKVQGTTFRRLYQQPSAAFAVFRRMLPHLAKT IVMALLYMPTPLSASDLDIWVKPTSKRQKDQAISVLQSLHIIPKEASTISLTKNFKSS LRLALEGGGDHNSFGVPSSLPVAPEIDVPYLDNWARQRWDSILHYVVNSVGISDGSRM TGDKTNGPNAAVKELLIGGRLVERRSTSVHITQAGFTFLLQEANAQVWTLLLLWLEAA ETNPRAGLDHVDMLSFLFLLASLELGRAYSTDALTESRRNMLPFLVDFGLVFIPRNDN KSFFPTRLATTLTSSESSLRSVSAGFTAASEGMADSQDKNAVILETNFRIYAYTSSPL QIAVLALFCELKMRFRELVSGRLTRNSIRRAVDMGITSDQIISYLATHAHEQMHRVAA SSKKPLLPPVIVDQIRLWQLDTERMTATPGFLFMDFDSVKEYEQVRQFAETVGVLKWA DDKKGMFFANKVEQIRDFMKNRRKDDQ PFICI_10456 MAPEPILPTSNETSATASVDSGSPTRTTAKPSLIERFRLHDDVN IHYADIPVCLCSLTSGLCDSVAFNASSVFVSMQTGNTVFLALGTAGLPANVPLLWLKS LCSIAAFMAGVFVFGQTRHVRPTSKLTLAANFLAQSIFIFAAAALAQGGVAPAFGLLS VAEALSREEHADLRVLGPIVLLAFQFGGQICSSRILGFNEVPTNVLTSVYCDLFNDPN LLAPWKANKKRNRRASAVVLMLVGAIIGGWLAKSDAGMSTALWIAGAIKFGIATAWLL WKPKQTAQPAEKK PFICI_10457 MSNLEAPDPDVVQPVALPTAGEVKKSFQSTRKSHDDAEPHVDEL EKPGHANYDKIDKELAQYAGDGAIEITPEENNRLRKMIDKRVLIVMVSVYLLQALDKG TMTFASIMGIRDYANLQGQEYSWLTTCIYITILVVEYPQNYLISRVPVAKYLSVSVIA WGTILACHAACHNFAGLVTVRILLGLFESACQPAFVVLSSVWYKREEQAARVTYWYMM NGLQQIIGGLFAWAFSLITTGPLQSWQWLFLVYGVVSVIFGFFIGWWMPDSPMRAKCF SEEDKRLMVERVRSNQTGIQNREFKKYQVYEAFKDPQTWCYAGIQFCTTLPTSGLGSF SGIVIKSFGFSTWETQLLSMVLGAYIIIILLSSVWLVKKFQQNLLVMLGFVVPSFVGT ALLMALPSETLSQHVGLLISYYITLSFWSAQTLGLSMLSRNVAGVTKKSVVVATNFIF WATGNAIGPQVFLDRDQPRYFIAFATHIGCYTLLVFIILFFRWHLKRQNRKKDELARA GVHEAADAQNVHAFEDLTDRENPNFRYVY PFICI_10458 MPAELHGIKASDVHAKIQLLIDGMVNIKDKSGEFLMTLADGRVI DTKGWNDWEWTHGIGLNGLWAYYNLTGDDKYLKIIEDWFANRFAAGGTTKNINTMAVF LTLAYVYEKTGNQTYLPWLDSWAEWAMHDLERTKYGGMQHITYLEVNDQQLWDDTLMM TVVPLAKIGQLLNRPHYIEEAKRQFLLHIKYLFDNKTGLFFHGWTFHEGGHNFANARW ARGNSWITIVIPEFLELLNLDPNDAFHRTLVDTLDAQVEALAPLQADSGLWRTVLDRP ESEGSYQEASAAAGFAFGILKGQRKRYIGKQYQDVAIKAIKAVLDNVDQDGELLQTSF GTGMGHDLQHYYNIPITSMPYGQAMAIISLVEFLRVFY PFICI_10459 MVNPPLEPGVGYGIVLGLGFAFALGMILVTFVLRRYNSELVTSE MFNTAGRTVKSGLVGSAVVSSWTWAATLLQSTGVCYRYGVSGPFWYASGATVQILLFA TLAIELKRRAPNAHTYLEVVKARYGTWVHAVFMVFALATNILVSLMLIVGGSATVSAL TGMNQIAAIFLLPVGVVAYTLVGGLKATILTDWIHTFILLIIIIIFSLTAYASSEQLG SPSAVYDLLVEAALSHPVEGNAEGSYLTMRSQEGVIFFVINIVGNFGTVFLDNGYYNK AIAASPVHALPGYIIGGLSWFAIPWLTATTMGLSALALESSPSFPTYPDRMSDADVSA GLVLPYAAVALLGRGGAIATLLIVFMAVTSATSSELIAVSSICTYDLYRTYFKPNASG KTLIWMSHCIVIAYALFISAFSVGLYYAGVSMGYLYLMMGVVIGSAVLPATLTLVWNG QNKWAAGLSPILGLAFALIAWLVTARKECNALDVTCTGGNNPMLAGNVVALLSPAVII PILSLAFGLQKYDWVSMMEIRRGDDHDLADTAGVDLENTVGGHTETAAEFEAEQAKLS RASKISKWTTVFLTLAFLVVWPFPMYGSSYIFSKPFFTGWVTVGIIWIFCSLGAVGLF PVFEGRRTLVRTTKAIFNDITGKKSAKTIRAEQTEEIESEIRAEKEKEAKGTETPPSK EVRSDPGVVAQ PFICI_10460 MDEQISFSVSRVDTFPDHVPRTDERSGFTYNDKYVINYDFSEID RDTAIDEVSFLCSDISQAGLQCEVRAGEDKSLLIFVRAPKELLSAEIHKSRVKDWLYG ITKTQPKVDKRTKSESFKDAFEAESILSVYHLVNWSKEIGGAGITPEFGKWENVKSIF PIHNEQANRKLLKRLSKKLVLNKDDLDEIRNLFGSQVAFYFAYMQTYLVFLAFPAITG VLAWAFLPKYSLIYAILTLLGCTVFLEYWKILQDDLSIRWNVRGVGSLKTNRPNYLYE KVIVDSSGRTKHYYPKWKSFARQSLQIPFFVFCLVTLGVIITMVFAIEVLVSEAYEGP WKTWLEYVPTLFLAAGLPYMNSFLEDVASRLAEFENHRTKDNFEMSLTQKLFVLSFIV NYLPILLTAFVYIPLGNKIVPWLGSHLFSAIGVKLDNNFFQRDPDRLRNEVIALTLTG QVSDMFEEMVVPYVMHRLKSWWHTYKLSRSHFAINKTDDPTEKDFLRSVRRQASLPPY NVQDDISEMVIQFGYLALFSPVWPLVSVGFWINNWIELRSDFLKICIEHQRPHPVRTD GIGPWIHSLDALTWMGSICTAAVVHMFGTESGSSSTVLGKALGGVQWWSLPITIFVSE HIFLVLRALVRLVLQRVGSEEVRRERNERYVRRKKYMDELEAANRATEMLDVGAIQRR KSVRLADADVFWTKQVEKGSSIEAAIELIKGVGGSDLNDGAHSKLA PFICI_10461 MSSPTQPTLSPSSWKVAQDQTKTTPETSSAPRGSNEHRITQAND VATHDAQQMADPTNKSKGKDKAHLEKLVQEENESRGELPRYPGLEQWELVEKIGEDGL SIVYRARHATGVGTEVAIKVVRKFDMNSPQRARILKEVQIMRELDHPNIIALLAFSES RQYYYIIMELATDGELFDQIARLEYFSEELARHIVIQVAIALHYLHKRGIVHRNIKPE NILLNPIPIEPSRTRKRKLPRDEDKVDEGEHLPGQDARGIGLIKIAGFSMSELVRTTA PRGTIRDAAPGIVEDESYSKTTDIWALGCILYTILCGFPPFYDENIEVLTDKLTKEQP TFLSPWWDNISKSAQSLVSHMLANEPKNRCTLAEFFAHPWVCRQLEPMPPDEKSVSEN MLSTATVFRPGDHDKRVHFQTFDATFLRQNLSFIYSVKLEDEEVKREKQITRQGNTAS HSRISKELFEDDEEESDLSRGQDLRQRVRDRNRQNDIPEISLDRATLISRRAQMNADA SPLTSMKAALGTGNAKVIERLLEKDFVKLATGDYAWLQELKDIGYSFFDMACLLVEQE TDSPWIYFAPHSIETVGIRCDAHIPGCVHQILHPSHNDKTIFAQGATQSGGDYHQTLL VVQRLCGLAGITPVSRDQKTWNGLAEFSEENKTVTITYPLANEDFSSTAKLLILILDG LRSAIGIYQSRGYCCNSFTILQSTNVVPVQNYRGAPVEVCRIDLTSLDKFRESLAHAS HASDVQTITLQILSAIFRPEGELTRRLERSGMDVLLPLTVQILCIGFISYCQAHIGAL QLSFLDTPISKVCLLGKAAGSGNPIIEGHLVRLTCMGDMILQPVFAFSVVDSQSIPDG ILCGHDLFASLEDTVDTWGPAQFVMPQEGHWPPLALIIGGGVIHAIDSDCTQLHWSHD FGKARVPKNGIDPFLKMIIGSLVSVNTKCQIDEEERWYDSSWILQSLGPYFPRWEYFE RQVGMQAGEYFLLQANAAQQKVAGRTLKQHRLLQEDDALISFLDNLWGLQVSFCTGIS RRVPLQTMVADMLPIFADAFISNGEDHAAWIELQEQHGITEKFRSGMARNCLLGLPGR LHRLALKMTRRIFMALAETGVDRSGKYMIVAWPRSQDIYRCFQIPCEHESAWTKVLAD STDCATFAYITTLCLETDTIRCQGPNAIWKGTMPLMETAVIGHHSTLPTSRSQATLNT SQVQTAPSSSNPIPLLLDSTISSTPKLGLSLQHNATHFFQKADHCFFVTVQRPDASST PMLVARSSTLPPRIQWRVLQHLRGEERKRKSRLRERVIEGDAAELVSLSAIT PFICI_10462 MDSRSPSRERYARSVTPRSRYTRSPSPAPRRRRSPSYSDASPGR RNGRYRSESRSRSRTRTRSPSRDRDSRARSGSPLRGSTKIVVEKLTKNINEEHLREIF GQYGSIRDLDLPMNRQFNTNRGTAYILYVNEADAEEAIAHMHEAQLDGAIINVSIVLP RRKFSQSPPTASRGANIDPRVPAPGPRGAFGGGPRGPRGGVGSGGGGGGGGGGFGGPG FGGGPAGGRPRSPPRYGGGRQGRGRGREGNTYRPGSRSPSYSRSRFPQPRRARSPSYG SRSRSPQRRGGGRRDSLDNARDSRRRSPSYDDYRSRSRSRGGRDYR PFICI_10463 MRPVLQLERPNFGFDHHRDIMSARIQDMEDIESDHIPTRDLARI SQRRHGVPAVQNAPGDIGQTILEHYGQMPLGNSLPIPPRPPTPSPGPRPGPLPPRPGP TPPPSP PFICI_10464 MDGGFTILAPSNAAFENIPNTVMSSVWNANDTKVTVPLMLYHVL STQLSMATLPSDQVHATTLLTDPAWTTLAGGQGVLINRQPGNLVVFVSGGGARSLLKA VDIPFKGGLIQVIDNLLVPPGPLNATLEDFQDLSFLGALYAAGLYDQVANNNGTNGTM AGGAGGGNYTFFAPSVPGLQVVNGTLSNLTTAQLRRVMQYHIVPSAVLPSTSLENGTH YTTLLGASGPALHVHRSGNNLYVNSAQVIQSDILLENGILHILDNVLNPDVPDAAPNS NGTAAQMPVFSGAVNASTMVVTDLPFTTALPCTASCSVLTMATTVSASAGNSSSSFVM SAATSANGTKSSTATDLATSSSKAGAAVLPRCKGLGVLGAMGLGAVGLVLEL PFICI_10465 MEKDSHAFRTETPTTERGPFDDDRITSAAPSMRTGPTNPFASPS ISRPASSYNSSGLGQAAVDRGQRYFHSRRVKKGEVEKPWLEKKDPKEKWVTILPVVGI FIGLCVSGILIWDGLRSVVKHNYCLVLQEDWSGGFDTKVWTKEVQVGGFGNGEFEQTT GDEENVYIENGNLVIKPTLQDSALIDKDSVIDLLKDGTCTSTTVSDCYAVTNTTTGNA TIVPPTKSGRINTKAGATIKYGRVEVTAKLPQGDWLWPAIWMMPVDDTYGVWPRSGEI DIMESRGNNHTYAQGGNNIISSALHWGPNQANDGWWRTNVKRPALHTTYSSGFNTFGL EWSEKYLFTYVNSRLLQVLYTNFDESLWDRGNFPTSDSNGTRIDNPWASSADESAPFD QKFYLILNVAVGGTNGWFEDGASGKPWLDASVNAKKDFWNAQDTWFPTWTSPSMEVSK VMMWQQCDGGEL PFICI_10466 MGLSSYFKAKRPEEKKGSSEATVQATQIEKANVNANAALNPLDG LDLQVPTPQFGSSRNSISGRSQTSSVFMDDIKHEVMVNYLYQQQCSHLWVSDGSGEVE GVLLRKVKGQYMACPPQLADSPFAMAATALNLPCTMTVNSRVIKTFLSWSPDAVDVPL MNGLRIQILPTMEDLPRARKHQFAAFVASEALLVVWDDDALHLVQRAKAIESELMELV WAAGDEGEDDEKRAHPGVSEYEIDEESGEIKPESRPVHMQNTYLVSITMVIVVVSIGA AWRQLAVEVSVDGSYVRLALCALFPIQIFFTLFFAQVIVGCLAQIFGPIRQLTINSKF YSARPPPRIQSGVLPHLTVQCPVYKEGLGGVIAPTVKSIKQAISTYELQGGSANIFMN DDGLQLISEEERQARIEFYADHSIGWVARPKHGENGFLRRGKFKKASNMNFALMISCK VEEKLAQYNRGPEWSQVDEAHAYEKALKEVLEEDGRAWADGNIRVGDYILIIDSDTRV PADCLLDAVSEMEQSPDVGIMQFSSGVMQVVNTYFENGITFFTNLIYSAIRYTVSNGD VAPFVGHNAILRWSAIQQVSYEDEDGYEKFWSESHVSEDFDMSLRLQCSGYIIRLAAW AGEGFKEGVSLTVYDELARWEKYAYGCNELLFHPIRTWLWRGPFTPLFRRFLFSNIRF TSKITVISYIGTYYAIGAAWILTSVNYFVMGWYNGYLDKYYVDSWQVWFSIIIVFNGL GNIALAVMRYRVGERSLLGSLWENFKWTFMLAIFLGGLSLHVSQALLAHMFEIDMTWG ATSKEAEFSNFFIEVPKVLKKFKFSMLFSLIFIAGMIILAVAPFVPYDWRITDFVAIL PMATVATSHFLLPLALNPALMTFSW PFICI_10467 MPRKVRIPTSKEESRQAQQRSRARHRDYVASLEKRVAEFERQGV EATLEMQRAAQRVAATNERLLALLTLRGVPRHEVEAFLAAEPTAPDSASASVNVHSTA LPASGMTHVQAPLENLTSPSTCRQSGQVKTCGEASDGRQQSCHDRRQVQQLCTPASKA ISPMENDRETTSSAFPKEVTSCEAAATIIVNLRGNGEGLVEARQALGCSGDLPCSVKN THLFQLMSEIP PFICI_10468 MYPILCKVRYESLHEILASRDVWKQILFSVIMNWIVAPFLMLGL SWAFLPDEPGLRAGLILVGLGRCIAMVLIWTGLAGGDSEYCAILVAINSMLQMVLFAP LAVFFIHIISHDQNAVTVSYSVVATSVAVFLGIPLGAAIFTRFVLRGLCGAEWYQRVF LRFASPWSLIGLLYTILVLFASQGRQVVHQIVSVIRVAAPLIVYFLIIFFCTLWITRR LGFTYSLAATQSFTAASNNFELAIAVAVATFGPDSDQALASTVGPLIEVPVLLGLVYL VKVVAKRYRWE PFICI_10469 MANDSFHNAEKTPDPVQADPEGQQESTDHDSATTKTSAFKSLGI LDRFLAVWIFLAMLIGILLGNFVPETGPALEKGKFVGVSVPIGKHEYIPLYMIVAC PFICI_10470 MSTRFALKCAKESAGTIPLPNICIGTWAWGDNMTWSNAGPEARA LLDGAWEAMQKRGLYFVDTAEAYGRGESERIIRQLRDGNSDAAFKAQLVIASKYLPLP WPPTKIMMPSGLVNSCRQSLERLGSESMDLYQIHGPVHFLNSIDSMAGALAQCVELGL TRAVGVSNYSKDEMIKMDEALKKRGLRLASNQVEFSLLRTLPEKSGLLDECKKRGIVL MAYSPLGMGRLTGKYTAENPPPSGRRFSNYPMTQLSPLLDALHKVAKAHDVKPSAVAL KWVVQKGAIPLGGVKNATQAEENARAATDEWLLTEQEMSELEEHSIVGTTSSLWQHG PFICI_10471 MSILAQVPSRPAMQRLVDFYHLKSADHRGRDLEHILKWSDRELE SCHDYVQTLFPLPEGSLFAHAPIIDEETYLYWREHEDLKRNLRRAFDRMLVFYGLEWE QGDNGPTGKIIEKEGAKGNLSNWVVPMDHNHLRITRIIRSLRILGLDDEAKAYHDALD AICNKYGKVGSTSRTFWKRALTQPLHIAPDGTQVAWLKKYEP PFICI_10472 MTDQTKSKQQLMEHIRLLHARNKEMEDKNLFLQMRMKLVIRERQ KLHQRYHRMSEQWEDARLLTETMRADFEKNISAVEVLLDEMPPRPPPKDEPQTLWHYP EGHPRGEHLLVPYNCLEK PFICI_10473 MDGLQHYQPAAEKPAGYVDAQGHQYPQQYHDPNYAAAQHAQYHN GYTDSAAPAPAAAATGTKKGLLIGLLIAVAVLTAAVIGLAAGLGVSQNNLHSTQSDLS ALMASVSPSATTSSSSTTTATKTSTSATASATTDAFSSCPGANNTVYTSSTDSKEFTV HCGIDYSGDGGADDLTSQKSSTMTACMDACAKNDECEGAGWGWIDGTGAMCYLKTNLT ASHNATTDWEFAVLNTSS PFICI_10474 MPRRGIRQSHEVSIQIADFQSTYLPGDVIIGHVVAKKAFGHGPR TDQTVVKLRLFGRTKSKIVSGSQEKTYRGQAVLVDEEQCIFRGTVSANSKHSFAMTIP KTPQPGVAKTGDSWDKDERGLRGIDKDRNFLSNTQEDITKHSLPAVFYFGDSRALTGS VCEAFVEYFLEATLACPGVSDAKATLPLFIRSHSTEKPVDYNDYSFNVKSFQQITRSE RLLPQNRDKKMTFRDKSKRLFTPSKVPSYSYRVSVATPPVIQLDHPAPVPFKVHVAPI MEQNKMICPDGDIRRLPPIELVSVDMELVALTRVRCPGTLGDHSRDKETTYRIPIDEP IALANYNIPVVVQGDLKGPGDEPRDLSERANEQTAPGGSPFLEPNSLHVAVEGAVVPE GLIKHSSFQPHEKGQYFLGTPLDLGNNLDVRLTETKSSSLGGRPTTFEKRLWPSFATY NIVLSYQLVWKLKISCVGEIHTTEGRANVNILPPSEAQGAGRQKIGQDAIKDYEHLTA ALNFTSEVGGFLDLLSV PFICI_10475 MTIISRAVVVAFLCKSAAARLIPRALSIPTDVPNNWTYVGCYVD NLDGRALPSDGFNNATSMTNQGCALYCMDKGFPFAGTEYGDECYCGEKVDEAATKADN SECSMACAGDATQPCGAGNRLSVFTGAVSEPAPPAPIDGWAYEGCYIDEAGRTLTYGA QVAGGAAAMTNELCTAACAAAGYPLAGTEYSGECYCGQVYSNGGAQTSTGCNMVCNGD NSEICGGSNRLSVWSRIGSGTDPTATASPPAVPAPTGSGTAAELPADWSYQGCYSEGT SGRAFLNQQPDSQTLTIESCVTACIGLGYSVAGMEYASQCFCDNFLRNGAALVADTDC EMACSGNTAEDCGAGNRLSVYSNDTLVIYQPPTAQTTGLPGAWEYVGCILDDIADRTL PWMSIDMQNTTAASCIEGCSRFGYEAGGIEYGYQCFCGDIADVVNKGRDVLQPETDCN VACPGDPTHLCGGGNRLTYYKWTGDTLTSWSYAQGTAAGSYDFIMSSPIIPLISTVGV NDKVVFVEKHGTSTENNSTGSFEFDPSLAPDYGTAFRELQLKTDVFCSASLVMPDKVG RQINIGGWAGEDLHGVRLFTPDGSLGTPGTNQWEENVDILSLLDPRWYPTAMTLANGS IFIIGGEDGSDGPMVPSAEVLPRPAGVTAATHLDYLDPAVNTALKVNSYPFAAVLPSG DIFFSQYNEARIISHVDFSTIRSLPQMPGAVNNPDSGRNYPLQGTLSLLPQHAPFTDP LELLICGGTTDGANFALDNCIRTQPDVAGAEWTIERMPSTRVVSCMVGLPDGRYLIMN GAYNGRAGFGLAQNGNRGAVLYDPTKPLGTRMTQLANSTISRLYHSEAVLMNDGRILV SGSDPEDGINPQEHRLEYFSPDYILSGAAKPTFTITNKDWAYGQTVTFTLTSAVTGAV RVSLIGAVGSTHGNNMGQRTLFPAVSCSGTTCTVQAPPNANVSPPAWYQMFVLDGPTP SESTWVRVGGDPAGLGNWPNLPGFTTPGI PFICI_10476 MGNENSTVIADDEPTQTLERRDLSSVAKLIKDGRAKRIVVMTGA GISTAAGIPDFRSPETGLYHNLARLNLPYAEAVFDIDYFEENPYPFYVLAKELYPGRF HPTIAHVFIALLAKKKLLRMLFTQNIDCLERAAGVPGDLIVEAHGSFATQRCIKCKTE YPDKEMHEHVLEGKPPKCIDGCGGLVKPDIVFFGEQLPATFYNNRGQAATADLMLVLG TSLTVHPFASLPMMAMEGVPRVLFNKERVGDMGTRADDVICLSDCDSGIRQLAEELGW AEELSSMWRNLVGNTEADRQILHLAESRNRDEVRRLADEVADRLELSDRDEDGEGANH SAQVDEKEAATGATKGKEEGKKGQEAKAGQAEDSQRPTFGSAVEGDEDLYPDAEKATP AQQKLQESAEPGSGVKTEKGETELDQETASYSRAVADMADEGRTNGESKNPDSVL PFICI_10477 MLSTSLLAGVLPFAHLLTTAVAAPATEQVQPRQSASCNTADNRA CWTDGFDINTDYEVDIPDGITRTFDLTLTEVNNWTGPDGVVKEKVMLINNQFPGPVLY ADWGDTLVINVINNMETNGTSIHWHGIRQYHTNIQDGANGITECPLAPGQTKTYTWRA TQYGSSWYHSHHSAQYGNGVVGSIHINGPTSADYDIDLGVYPITDYYYITADEGVRET MTQAVPPNSDNVLFNGTNINPADPSLGEYSVVTLTPGSKHRLRLINPSIEHNFQVSLV GHEFTIVSTDFVPIEPIVASDVFLGVGQRYDIIIDASEAVDNYWFNVTLSGTGLCGAS NNAAPAAIFRYEGAPDALPTDPGTAPADSLCDDRNDFVPIVQRTTSATDITPGVLVND NLPVTLSLPPLTSTVTWFVNGSAIDVQWDKPVLEYVLDGDTAYPRNENIVLVDDADVW TYWIIQNLSPIPHPMHLHGHDFLVLGRSSPLNVPLSLTQLLALLAAGQLGGLTDLFDL SDLASLNFDNPTRRDVTMLPALGYLVVAFKADNPGNWLFHCHIAWHVSGGLSVDFVER RGEQAALISDDDQAAFEETCSQWRSYYATAEYEKEDSGL PFICI_10478 MIATSPLSALSLCIAAATATSATHYQPRDVSAACSSLEQSWANV TYYPGNSNYTELNEDYFSADSWLSPACIFAPTTAEQMSGAVQILARTGVSFAMRGGGH MPIANAANINSSGVLLSSSGMTQLQLSKDQSTIEIGAGNKWGQVYEYLEPFKLAVIGG RSGLVGVAGFILGGGISFFGNQYGWASANVAQFDCILANGDFVSATPTNEYSDLYWAL RGGGNSFAIVTFLHLKTVSLPEVTIGQNTYNESVSEEFLDYVIGFAHNGSGDSKAALE PMVQSINGQLTYNAIMFYDGANTSPAALLNFTDVMQPVNSTFEVRPSMYNWTQAADPG REALRGLRARFQVITITADRAAVQVLHDTFLEMLESTLANVENLVAALVFVPITEKFL TASTINGGDPMDVDTASAPYLFVEQTFLWSNASDSAKIDSFLETYNANVTAQLSVMDN VLSPYLYLNYADSTQDVFKGYPQDNVLKLQSIRNKYDPNMTFTNQMPGGWKIHE PFICI_10479 MVLSILKALSFVALPAHAEFVNSEHAPRDVEVKNDFEHLVSFGD SYTAQPSTNSTSTSTGGRIWPRMVADAAGAALHNYARSGATCTNEYRSPGNSASSTSI LDDELPKFKSTWADANDTVSASLDPSTTVYSIWIGTNDLGYNAFINAGNPPSYNITSV VDCIWTAMDELYSLGANYFVLMNTAPLQLSPEYGLPDAGGVGDNEYWALKTQQNVTLT SYIMLEFTVSVNFMLAYGTPFELLVQDRWPNATIALFDVHRLMMDIYADGAAYLDFQS QTIFSLLL PFICI_10480 MRPLINDLNLETICLPRAHDAGLYEPAHYRRLGSLSTTITQEKT IFDQPTLGVRRFDLRPCIVNSNFVCGHYEYIKPAYVPGNVWDFTKTVGIDKDIFGGSI GWYSGGEGASVDDIVNQANQFTSKFPGEFIILEVSHLFNLDREVQDQELGYQMNQDEF DRLTDALAKLNELYVYQDGSKAAITKTKLNELLTPGKSCVMVLTDPASGITLGPAREG KGFRCSSLRPIEDTGVFHDKAARTHRSKMFGGSSSLLKQGLWSITDVARWLAPQKLSE ALSTRPPGRCWTCLSAD PFICI_10481 MSEGVGAVIKLLPPSGDGYLWEATQVTQISNLPPGDVYARESID WSMGPIKVSGYLDTSTYEIGVSVTVVGVNVGNIFGNLKDGVGLEMNLTAADGEVRFYL KNGYEMWIHYDFSITFDDGNYDGDYKIHDI PFICI_10482 MSILAHLVSYFGLWALGNQRGIADDKAKTHANLPSLKLPWGTYQ AEVLLGDTNPQRFSAPSFPSWTNASAQPVKDGRNCIQIDPTALNRPPGGESPVDDPAD QIGRQDEDCLFLDLYVPKSAFEEQTKPMPVIVWLYGGAFAFGSKNQFGPLYTGQSIVS ASRYQTIFVAGNYRLGAFGWLAGNYLQRNGQPNAGLYDQALLFEWIQKYVGSVGGDST SVTAWGESAGAGSILHHLVREDGSKDPTFKTFAVQSPAFEWAWDNAPDGMLDRVYQNF SQLAGCGLSYNIDCLRTSKNLTAANQKLFETVKQTGLFPVGPAVDGKWVTRIPTLSFA SGKYWKTNINAAIVSHCQNEPESFIPSYFTSEKTFVDFLTVFLPGAKPAAQRDKIFQR YNCESRFQGNYRDCVATVIRDASFTCNTRDLFTAFPNQTHAMSYGFPFSRYARHASDL VPLFVNNQSEAVELLKKVASLSDCLAEEYANSLVNTNVSKAYQTYFASFALSSGDPNT LPQPQLPNSPAPKWTVANGSLDSLTNVLNVQIPFTQPAFVVDRPDYQNTKSACVFWTN LAQEIVANAETLSTEPNIRQVSNWGNEWSAIYHVPVYLTIPTCVGFEARDCSIPGTVI VTQTPAGTITQTTTGDVAGTSTIPGGGTTSGTVIVTSIPLPTYSCDDSGYMIQDQTLF RINLTTGFQTVVNAPVGTGPVNAVGYNVLDNYIYGIANNSGVNQIIRIGSNGDYAILP IMLPAGTWNVGDIDAQGGFFVSQSGKAWIEIDLNPSSADFGAIVTAGDSTASLPANNK AGVSDWVYVPSAGPYLYSIASTQVINGILNIPFDSHFVVLERQAPCLAP PFICI_10483 MASSTSALRQVGIYRNLPIIDDTLEGLAAIVTGANGISGFNMMR ALLESPKRWKKVFCLSRRPPPEEMMALLPREARSRIHFVSCDFLSEPASIAEALTTAG VHANYIFFYSYVHKQWSEADALVESNVLLLQNFLQALELAKIKPDRFILQTGGKNYGV HIGRTTVPLLESDPQPKHLEPSFYYIQEDLVKAFCQKQNSSWSVIMPCAVIGASSQAA MNGFYLFGVYAAVQTHKGEPLEFGGDWESWQFENYHCSARMTGFLTEWVALTPHGCNE KFNTQDGGPLTLERFFNELARWYGASGVVPPPDDESDMANQTFGTSGKLAPLGYGPPL SYKARFTLEDWAKDEKNVAAWREMMEISRGKLIHDPFAIPDGFYMARFAYCRTASPCL NKARRLGWTGFVDTMESIFEAFVEMAKLGMLPEMRVQFARPLC PFICI_10484 MTANIQQDAIELSQSEPYAKSMEKNEPAAKDFSKSIETDVSPET HSVGDDEDYPTGLKFWLIILDLGALLVLGGLDNNIVATTVPSITDHFHTVADIGWYNS AFRLCSCAFQFVFGRLYKVFSVKLTFMLANTILLVGSILCSTAVTSTMFIIGRAVSGI GFAGIIGGVLNIISHIMPLRKRPLYCGMLSGVESAAVIAAPIVGGALTQSLGWRWCFW INLPIGGVTLLMTIFLVSDPRPGDPSMTTKQRLAQLDPISNLLLIPALTSLFIALSWA GIEYSWTDGKVIGPIVTFVVLMALFVYYQHVRGEAAALPPRIIGKRSIIAGAVFAIGT NSSINILEYYLPTYYQTVREYSPGSSGYMIIPIIVGSPIGMFLCGFGTSTIGYYTPFM LLASITMPIFSGLVTTFDATTSFVRLILYSGAFGFASGIGFNAPISAVQVVLPIEDVS LGISIILFAQQIGPAITMAVAQVIFINRLSTNLIGTISTLDPATIQNSGLTEIINDVP AAQHMEVLEGISRSLDETWYLVVGLTCATLIGSLLMEWRSVKHKKS PFICI_10485 MHCPLALVLQVAALLASGASAADLTGQRTKYNFNSNWKLFVGDD DDASSIDFDDASWSNITLPHAWNEDDAFKVSIANLSTGIAWYRKTFSVPGPTEKVFLE FEGIRHGGEFYLNGEWIGRSENGVMAFGFDVSNLIVADGTNVVAARIDNSWDYREVST NSRYQWNDQNFYANYGGINKNAYLHTTNNLYQTLPLYSNLNTTGVYVYAQDHDIEAGS ATVMAESEIKNESDETIEFVFEAIIKDLDGKVVGQMHSDAYTLESNATTVANVSSSVE GLNFWSWGYGYLYTVQTSLLVNGTSVDQVQTTTGFRKTNFDDGYFKLNDRALHLKGYA QRSTNEWPALGCSVPAWLSDFSNELVLASHGSLVRWMHVTPWKQDIESLDRLGVIQAM PAGDSEGDVTGDRWTQRTELMRDAIIYNKNNPSIIFYESGNHGISEEHMQEMKDIRDL YDPHGGRAIGAREMLNSTVAEYGGEMLYINKGSRIPFWQMEYSRDEGIRKYWDNWTVP YHPDNQYTVEGDGYDHNQDTHAVEDVVRWFDYYEQRPGQGTRVNAGGVNIIFSDSNTH HRGSQNYRTSGEVDSLRLPKDGWYAHQVMWDNWVDVERAAIRIIGHWNYNDTTVKDVY VVSTSDEVELKLNGKSLGKGQQSHRFLYTFTNIQWESGDLEAFGYAASSSEADVTDKR VTTGEAASIRLTSHTSASGFQASGADIALVEVEVIDANGTRVPTALDLIDFKLSGEAE WRGGIAVGQDDNYILATSLPVENGVNRVLLRSTTTAGKVSLTATADGLESASVELNTI DYPNTNGLGLELPGAKMTSPLSRGPTPSGASFVRGRIALQAKSVTAGSNEDDAELSID DDEETAWSSDSTTDTAWIQYELEEESEVSEVVLKLSGFRTKAYHVAVAVDNTTVWSNT TSLNLGYVTLAFNATTGQSITLSSTSGALNIIEAEFYTVL PFICI_10486 MPLFAPEDVNTPVLSQFFLKGKIAAVTGGARGIGVEIVRGLAEA GADVALIYSTSTDAPDIAVQIASATGVRVQAFQCDVSKRDNAAVTINQIATEFGNGRL DIMVANAGVCANIPNLEYTEETWQKNNSVNLDGVMWTAQAAGKIFKKQGKGNLIITAS VSAILVNIPQTQAAYNASKAAVVHLAKSLAVEWVDFARVNCISPGFILTEMLTRQPKE LFDQWMSMIPGRRICHPAELKSAYVFLASDACCYMTGSNMVIDGGYTLP PFICI_10487 MAKTKGLQSKEPFFGLTGGWLTFWITVACATDMTLFGYDQAVFS GVIVTDDFLVLHGLTGDGSTEIISTMSAIYAVGCFFGAVIAFTVGERLGRKKAVLLGT TIMAVGAILQASSYSVPQMFVGRVIAGIGNGINTATAPVWQTETAKAEWRGKLVLLEM WMNIAGFSLVNWINYGLSYAGGSVAWRFPLAFQFFFIFILWGTVPWLPESPRWLIAHG RSDEAVPILACLEAKHVEDPYVLTQLQEIEYSVNYEKEHAIKWRDLISGRNGDDHSTK TLRRLILGAGTQLMQQFGGINIMSYYLPTVFIEVIGLSNEMSRLLVAINSVTYLIFSF VAVTLVERLGRRALMLLSTAGQFVAFLVITILLRFASVSTNSEELGKAAIAFFFLYYI AFGLGMLGVPWLYPTEINSLPMRTKGAAVATCTNWITNFVIVEITPIGIQNIGWQFWI VWTVFNAVFLPIIYFFYPETSNRSLEDLDDYYRSNPTLIVTADRDAVSAKRPQKYITR EEEEVVQNRRQSVRSTMDATPEKV PFICI_10488 MAVGNKQQNPSFVLKAVKEVVFEDRPKPQLRDQNDVIVHVAQTG ICGSDVHYWQRGRIGSYVLTGPMVLGHESSGVIAEVGSEVKHLKPGDRVAMEPGVPCR RCDYCRGGSYHLCGDIIFAATPPWDGTLAKYYVNAADFCYKIPDHMNLEEGAMVEPVS VAVAIAKTADLRAHQKVVVLGCGPIGILCQAVAKAAGAAKVIGIDVVQSRLDIANSYG VDGTYMPQRAEPGADAMEHAERTANDIKEKMGLGEGADVVLECSGAEACIQMGIYVAR RGGTFVQAGMGKEVVNFPITAVCTQGLVIKGSIRYLTGCYPAAIDLISSGKIDVKKLI TNRFKFEQAEEAFELVRQGRQDVFKVMIEGVQA PFICI_10489 MDHLYRYEVFSREVLSLAREHPLLRYAACAVAAKQLGQMRTSLS TMVRGKTQEHLARLAQGRLGFGWFGAKYYEMAIQTLAKSISRTDAAANLVSTPMSLSQ GNLMVHADKEDPIVRLLGTCILIQYEQLSASRNAWSGHLTGFSKLLSLIDDGGLLIPN PVFEAVYPFTKDVMYMKAGFWNFVVNDLEESFVSRRKTRVDTNNLSLWRNMGLLIDDD GTVANDVTPNGLLSTPEHARDKVLSFALVRLLCMLVDYVAPLSSNLNLLLSHDTTAFG YLESRFDSWLQILSPSFHADGTFLTRRSDDQDSDLFNRELWFSNDLCSTTMMYYHMAR MLLLIHRPSDLLPGTTSSAPASASFDLLLTFRDIEQKLRYHASEVIAIINATPCDAVK LRAIQPLYVAGRCCTTANDQRLLIKMLRNIEDNLGIATDYRVKSLLSEWRTSCDTLGL ETRLPNPNRSP PFICI_10490 MNPLNHSSLHEAIISSFLVNQRPPTIGEIATRFQCNTDEARKCL RDLAKYHGVVLHPNSDEVWVAHPFSAAPTTCVVSSGERKWWGNCAWCSLGVMKLAGQT STLSTKVGAIGDEVSITAQDGELLDKDCVIHFPIPMRNAWDNVIYTCSVMLLFRNEAE VDEWCATRGIQKGDVRPIEQIWNFAGEWYGRHADADWTKWSVRDAVEMFSRHNLTGPI WALGEEAERF PFICI_10491 MSETPHGGFLCHSCRRGPFSPEAWKQLNPKGVVEAAQGGRYHDV PEYSYEVTVAAIKDSVTMKCWWCSNIYDKLGTGFLDSFSDDHSLGLFMGFEGFDPEVA SQWIRECRQNHVGCKVKDNLPRPTRILEVSQDLKDIHMITEYSADADYAFLSYRWGGP QPLLLETDTVPTFQDGIPIESLPATLQDAVQVVRSLGISYIWIDALCIIQNSHKDVTT EISRMAGYVQQAEIVIQPSGLHSVNQHFLREDGHDSKPTDAERPRFLELSVPNTDDQN YPILLDPDPDWYDARNEPINTRGWVLQERLLCPRILIFPSTGGMVWQCEEFESRHGRL HYGYTLHEGRHCIFSGWSLNQGFVPKSDLTPEHVFHAWVSVVDDYSMRDLTDPNDKLT AISALAQYFSDEFGHILGRYCAGLWYNCIDIHLHWSTTWKRGHAIPGISLPAKRAPSW SWATTDKAMYLGRLQGGNITEFRPRVLDCEIDLVTPELPFGRVTGGRLTLECIVVDVI LHPEGCVFEMGSEAEAMESIIGAQDIGSDDS PFICI_10492 MQLQKQRRVPRHLVSDVFVDNGRSYIDMIELNDGASIDPEKATN LSRTRRVVDVEDLKDQLSASNPAVRVAAISQKYSWSPLQISEEMFESFMDHVEAFDGL RDTAISFRSRTTDLEQALPICTWRDQSPIRELSYIIKYAETKVCDAEKTDWVIRQIGM YQHFNSKTRSTVWMVILPNQESYCPDTMCNIFGLVKHPLCPHIDNLFNHLDNWRWYMA DHEQRFQDLAESVMNVEIEETLDFVAMYDQLSGLRYVQSRIAPLIPIFAGYHQILQRL RIFNEQLLASGYVVKDDSHSFTSSLEDITSKVQSFEVNAQFLLSRVAHTIQMASDTIT LKSQNNTEDMSNNMLKDSLAMRIITLVTLVFLPGTFVSGFFGMGFFTIDSDDGGKWVI SPQLWVYFAAAIPVTALTLAFWRWRNRRAKAKRFSSSPV PFICI_10493 MSGDNSLRVGGGGGDFDETELDLGPGHRLSYITRPFDFPDAGPS DQRHFLTSSSNRTPSRRTSTPSRHESPPSSVRPSTNSSQHGGASGTPGAGMVVPRVSE PDGLRRELYPIHIFAIAISATIGMGFYVRVGIIEGLGGQAAVVYAYGFLGALCLLIMT CLTILLRIWPIAGALIVFVERFVDKEIGQSVGVLYWLTYCFSFAGLTTTIGQLVSDLD VPNGASVVITIFSLLLPILFNLTDIQIFRNIELTLVSVKLSIVVAIIIIMSVINPKVG DHSSTQASEVQSRDVIFQHPEGESWFGVLMSSMFLASFSYVGIEIVAATAQEAKNGRN DQSNVNTREEEGTANNATFYPTHGSTHQGVNGNGLMQSISSHSASEENEASGRFDYPR KSPFQGLVQYVPIVSAVFYLWSAWVVMQNVAWDDPSQPTLAGDKKEDWSSSIFINSAK MSHVQGLDTALSIVLIINIASTSSTALYVASRTLFGLTFTISKEMNPDAQGWRKWWLY LMKFLSRKSKFDVPYVAVLVSAWGLILPFLKYIPGSKYSTAIDVVIEMGSVSCILVWG WESFAAYRFFKCCRRHRIAPTTDKDVMDIGDNGWYYFHLTISLFAAIMCPIIVFVGGA FTLHYNTNPTQGVATFLIVGIFLGLTLLLKIVRFIRDGEAWWSSLRVAADVNRIFADL TDLKTKHIDKAHNRANRSWYDLGGVIDSKWVSRKLRGN PFICI_10494 MADRLPEAFRQAKEQILSRGQKISVRNGQIEYDEAYFMRPGRAS RLLATKLESTIFGSLLFPSDEERRDFADKILRPPGLATILLTILANGTPSMVQTFERR FLRQTPPCTHSDLDLPFSKARAEEIFGQDGSRFYEIQSRSDATTLIEGSFEQTHNPED CLPYLDQDRRGNGSYGTVYMVRIERGYYNLGRVGTFLNTEVMLLARKDFEPDEDSFAS FQTENDIFKSLHDTNPPSSIMRALCSITMKIDDYQFPTASIFSEPADLDLWQYLMQER KLGPNERIRNLQQMLHIGHGLKWLNCHEKKSLVTGNYEEVTYVHGDLKPDNIFIYPDP KGPHSVVFKIGDFGEACLLTRSKDQMGVRRPNPQVPRIGTYWAPETQEGKIGTKSDVW SYGCILLLVLLYNHQKGGGPEGITKFATRRAEALGDGNKDVFYRTKRPRFPLGQSICN RAVGDCIESMISNNKKSTNGYDQTATKILEYLDSNVLVREERRAEITKVCRDLGNIMD EKPAETHEDHNVSFAKYPFENSTSLRDSYCRHSPDGHVFCYSAEQIVFYYPNGRIYTQ VEKGLMDKRLKWSDEVLPNSRACGTSAICIVHKTATKDSAQLSIQYFGQTDKSTPVRL AEVTSVNGVSLSHDQKFLAVACESSRPGRLNARVRMYRVSDFIVPNRLVNTATMSTLR TFVSTSSAPIIETQDDQLADGEIKDVQAQGHVDLLFSSDGKTLYHAHRRKHQAVITMW GVETNEVAENTDSADGSEDADKASSSMRRRRSGSFIAQSVIKDELTGCSQDINYNHIF ITGIVPLYGLRGFLAVTHEKYIIQRIWSTNRQSWTEATFEAMRGLKTILVTRDNSRLV MLATPNGHTLEIYVSALRKKFEAQRLVTKDEIRYDPRKDSAYLSETADKWLELQVASK QKSCVFTYRFKVIV PFICI_10495 MQDVLGQLITGPQIVFGHGKPISTKIILAKSDLSPFVPGGTPRS LDAGFVLDSNRGQGFRTVIDIYGNDIYPDHILLYNIYSHGDYLSMVFYTRPSDIDYTA MCLSTVVQGICNPLDAFCRDLGDFVGNRDT PFICI_10496 MDLSDPAVFAALPHDNRGSVVIATVCFVLLIASLATGMRLYTRL AIVRQMGADDYLTVVALAFHNALNFYVSITFYNAGLFFTKMTFLAQYYRVLAIKKTRL VLRVLILVIGGWSLSQVFVGIFICQPIAKFWNDSLDGHCIPNLPQWYINAAGNIATDV AVFILPLPVLGHLHLPKQQKLVLLGIFSLGFFTVAISIIRIKYLQLFSDLTYENTDSS CWSITELCSGITCACLPTLRPLVSKWLPVFASTLRRSAKDSREKSQQLRQDLAQNSGP SSDTSRRTGDWYTGLDSQDELHRPKDMELGRVDSGDLSENIIGLETSRKELR PFICI_10497 MSVLFILLTFVSLSLQSFIHPGLLVTEADITRASNNLNRAPWNT SYAQLTACSCASTDYEPSPVSAVYRATTTERAANTNYLWNDAAAAFALALRWKLTNND SYAETASGILTAWAAKLVTIDDTDDGYLTSGFQGHELANAAELLRDYAPFAEDGLDAV KTFMTSVFLPMNLDFLNHKLGSEHNVKHFFANWELGNLASAMAIAVLTENSTVWDFAI EYFKSGSGNGNINNAVTDLHIDPDTGLTLGQGQESGRDQGHSALDWQMLGVIGQQAWN QGVDLFSYNNSRILQGAEYFARYNLGHDVPFNNYTNGIVSFDCISNASRGSTRPTWEL FYSHYVQIKGMDAPWTTAYLNYSLHQYGGVEPGAGLSGSTSGAFDGLGWGSLLYHRDD NDTTVPSYSASLTASRTSRQSAPATTISTTQYSNSSVVTFSTSATSQDGITTAAISTA THLSALSLSQSVPQAHRHNTAMRMAVMAIAIATPE PFICI_10498 MCSLYFPHNISWLVVLLLHTVTAAAGNTSIVIPDQPSAASTSTH YQVQARPIGSLAWNTVPLYTTTVAEINATTGSSKKHATQFGLFDFDGTVQIAVTPNIT VFPEISSVRVRPLSYGIIPETVNGTITFNVSQPYNNIVIEINEDVFDVVHLFTSEIER NVITEQQAAGREDIIYYGPGYHTLSEVLNLSSGETLYLASGAYLQFPSPSGTSVNITN ASNVIIQGRGFLSAGINIQMSSNISIDGAFVSTGGFLIAQSHDVHSRGWRSITSHQWG DGMDIYCSQNVLVEKAFIRSSDDSIALYQHRNNWWGNSSNITMRDSSLWADVAHPINI GTHGNPDDPETMDGITFQNIDILDHREPQIDYEGAIAFSVGDENTIQNVLLDDIRVEN FRWGMLFSLRVMYNAKYNTAPGRGIKNVTIRDLVFSSTPDLNHVVNTASIYGYAEDRS IDFIDFQGLVINGLHIWDNMTKPAWYVTTDYIPATVGSFVNNLTFSS PFICI_10499 MRSGTSKGIFFHRHQLPPHPEDWSAPLLAAMGSSCGDPRQIDGV GGGSSTTSKVAVVSPSKIPGVDVEYTFVQVAVGKNKIDLSGNCGNIASGVGPFAVQEG LVKTTPGQKTLDVRVFNTNTSQHLVETLELDEMGQFQEEGDFQLPGVREYGSKVQVAF KNPEGSLTGALFPTGRKVDTLCVQSTSIFPAFTIEATLIDAANPFVFVDSTQLAGIVQ NRPPESQEYKDVIEEIRRIGAVMMGLAPDTMTAAATQGTPKIAIVSRPEPAASMADIR VLSFSMGSPHSSLQLTGAVTLGAAICIPGTIPHRLSPQSSKERSKMQSAALPTPERTP SPLGSFEPGSDKPRYENEAEASDILDCEPLAIHIEHGKGVMPVEVKMKRRGQPVYNNC KLEYCTVFRTVRRLFEGSISYYC PFICI_10500 MPPDMEEDPSATPHADWLDIDSIIQSFLSGQGQPNDQMNVLDTA FQPTQYSFNEGQEHVVTGHGSLVPLPTPQNTLRIASEMDGTRLQAPAQIGEANSIPMA SLDDPLFGFNGSGLDSIDACRWI PFICI_10501 MSDVLSTIYAERSFEQSPLDLSSMLEKIQSRLTKWRQSLPHHLD LDPLKPGSTFPPPHVLSLHAMYHVLVILLHRPFVADGHLYSALRAVSVNSFLACAKAA SSIVGLLRAYDTAFSVSRAPYLISYATYVAATIHVRIAAKRRHDSTIFSNLETCLAVF NENESTNWAVKRANTVIRSLMKRMDVVVDEGDDGPHIHIGARRATNPSHDRDDDSAAL LQSPLGLDENG PFICI_10502 MTDGPSSRVGRRAAAACTFCRRRKIKCSNDQPTCNNCKTYGKEC IYTPIDHGPASASASVQRLRPSTRRSTVSPRAKTNDTRRDAVSERPASPPSSSNQNAP RSGSSSVSNTIRNHQNAEPRMFVSAAGESRYHGRTSTLFEENLQLNPSKDAGSRISDD VIESGLVANALRQRQLENLNLRAGKLDFDGLDPELGLHLLSLHWNRQHHSFLVTYRPA FMRDMACGGPYFSKLLLNAIYYGASKFSPRHEVRKDPTDVRTAGWKFRERVRQLLGSA LDQSNITTIQALLIMTNSLFALGDERSAAWLYAGLALRMIVDLGMHIDLVNVVGTRQF TDEDLEIRRRVFWGAFVVDKIQSLYQGRPFTIKESDTLVPIKFLDTYEEL PFICI_10503 MLDNASYDPWIETLNSSTQQIAFVQSMVGLGFGWLIGLLFRFIA SDIETHANSTKVKIDRRRLITTDRSDLIEPLLKLHESDKLPMPKVISNAGTLLAAGSE TTATLLTGVTYLLCKTPEALKKVTQEVRAAYKSEDEITLISVSKLDYMLASLDEAMRL YPPVAMGLPRTIRQGGDQVAGHFLPEGTVAAVYQWAANRSTMNFHNPLAYRPERFLSD RPAGFDRDRREAMQPFSVGPRNCVGKNLAIAEMRQILARILFSFDIELVDPNLDWLHV DYQKSFFLWDKPPMEVYLTPV PFICI_10504 MRASRLNQSLSTPAPKEVHNFRIYILALISSMGAVLFGYDLGFI GTALELESFKKDFGILNLSKSEKSAFAANVVSLLQAGCIIGSLGAGPLSDRFGRRVTL GITALFYNVGSAIQTGSHGSEAMLLAGRAIGGVGVGAASMIVPLYVAEASPPHIRGAL VGIYEIGVSGGTLVGFWINYGLSTNLPATSAQWIISFAVQLIPGVFLMLGLPFIPESP RWLARNSGQEACVRVLQRLRNLPPDHPFLREEVDGILRQLEVERQVECNTGRFGVIKE VLRPGNRQRLAIGSLMFIFMQMAGSNAINYYSPAIFNSIGLTGSNTALFATGIYGLVR FIAVIIAMIFVVDRFGRTTTLMVGSGIMACAMWFIGAYIKVASPSATANTAKHIDGGG YAAVVMIYIYAIGWCFSWAGIPWIYASEIFPLRIRSPCVAICVTIHWVMNFVIARSVP YMISNIKFGTYFLFAACMTIAIPWVFFFVPETKGLTLEEMDCLFAIASDTVLTEGKAD KDKATSNEIEDAGRASTQV PFICI_10505 MGTKDENGTTSTPTTKLPAPAQDEGKESHGTGSNGLLMKQQSSV SPLPSLPGVALHVLRLWSVIAALYLGIYLVALELTMLSTVLPTLTNEFGTLNDISWYE SAYVLALCVFIPLVGKTYDQFPIKLVYLSFMAVFEVGLLICALAKSSPMFIAGRVVSG IASAGLISGALLIIGSACKANIRPLVTGAAMSMISISSMTGPIIAGVLTTRATWRWCF WMLLPLGAVIMLVTGAMKLPEISPRAPVVQALRTLHRELDPLGFALFSAATIMILMAI TWGGSQLPWSSPTIIGLLCGGFVVLALFVWSVWVQGNRSLIPPSCLTRRSVYVGSIVM FLQGGASQIIPFFLPLWFQAIFGDSPNESAVHVLPSLISMVLSLITFGALVRKMHYAP PWAIFGSLLTAIGSGLLSRLRPDATLGQWLGYQVLTNIGRGVAFQVPVVSVQEDLPAA DSAICLATINLFMQLGLAVSVSASQTIFRNQLPLLLHKYAPGVDAHLIAEAGATSVRE LVSATGLPGFLQAYNLGITEMFHLSTAAAGLACLVSIDLPWQDIASKKTNDGVDI PFICI_10506 MLLPKQSSKFQPWFQSYSLITIIGCNLVLGAIVFYYLFRAPSLD SLDILRFQQREPTALESYLDLLGRSGEYHPIDELILDSDRTLDRLLLERATDIKSAAA NYRDRRGRHPPPGFDKWVEYALAHDAILVEQFFDRIDKDLRPFWALNATITASQARDW EHVIRVRNGAAIGVGDVKGRVPWLQLWTELIGEAAQWLPDVDIPINYMDESRIMAPWD NINTLVQVAESNKSITPPDDTLQTYTGPGHTDEVPTTEWVRKARFWDLARKGCPPGSP GRDAAAIQDFSEPPLFPHNWIPSFSKDMFVQNYSASMDPCFQPHLRSLHGTFVEPVTL STSQTLIPMFSGCKLLTNNDILLPGAMYLTSDARYSGGKGHGPPWSQKTGGVIWRGVG SGGRHTEQNWVHFQRHRLLQMLNGSTVSAVEHGAVAPTFVMPDPGLHNISSSRIGHVG EWISEIADVGFTELLCFPRGECNYLAPYYSEVDKIPMKNQFTQKYIPDVDGNSFSARF RSLLLSTSLPLKSTIYAEWHDDRLFPWLHFAPLDNSLQDLYAVLDYFTATDTEKGDAA ARYIAESGKAWAEKVLRREDMLLYTWRLALEFARVCDENRHRLGFVQDII PFICI_10507 MSVTSELDTASTCPGFEGNSDLYGLGIRIGVYLQWYSTWLCITV DPETSGETHTANALFIFAIMVALLQAMSNQSINNVEAYLMLQICFGYLLTLLSIFGLR LQLLHPYRAQRIMSSMLSFFARRNEESSQSKSLISIENIDMESATSIGMLPSLVKILG GLADHQVTLRLSELNNLKEGTLSWFGVFWRISIATIVVITNAILVFNSVYPPLPTDGL CRGHGFVFMFTTWELSGSRLVFLQVVAVAIAVVFGLIASIIVTTSNQLILFLEALAVS DFILWIFKTVVPTKLRGPILTGIYRFFFMVSQALKSMQDPTSIYQPGQAVSLYALFSS GARFGNTEKLVVPKDTSRNSTPKGWVVVTSLWHAWIVGATIWFIVSVELNIRWNKITG VHTIESTGQLIPFVVGVASFIRAKHKLIVLIIKKQFPDWEKLDLEITFIRTTPVSFQI VEIEEKPKPSNLRATNLPGTCTI PFICI_10508 MSKLFAVTGQNTRKNAGKRAVDTEILIREAQSNPHDSQRYVNSV ARMNYIHARYRKAGKILDDDLLHTLGTNVVEIFNTVDISEWRQLSAVEKCAVGISHKV LGEDTLIPYSALPSSSHGWTDGLHFANELYEWTIGYEQQVAKPVATGYQYVRIYVDGA TAALPRPFTTLVRKVIAFDLDKTIRISLSMGRPGVLLSLFLRSIRGLRKILLRHVCLP RPKFLAVHNISQQANPETTLYNFDQLTLQPWHVEPNAWNTWGPKALLFKAFGGRRPGS RGDRYHPRVYDLQTIGPKSQECKGIEDMNATIEFLKARNMNRCPFSTTCA PFICI_10509 MPEAGTAQQMPSSPAEPRFRRGYVACTACRARKVRCVIGQQPPC AKCAREHRECIFNTVHTTRKHRDPPRWARPQDSESHSPRLDVNRVSTEATDQPATGCM SPESETRVGESSSVQTSRGSAASPRPLLADEVGMGIDKDANNALQCLFNEAVAVSSET SLQAEPTNIPDYAKSGSTNPSIEIRCESTAQYGAFINRLTQAEVSTYDLWDKCRFVRQ GWFTAQEAITYVDLFHEYFKNSAGAITDNLGSHNSHIALVCEEPLLCCTILMISSRWF ILPGPGGLARSHFIHQRLWQYCELLIRRVMFGQEKHSTAKIRTLGTIEALLLIADWHP RALHFPPETEGWDSELISPDYDRRNRIHHQGRVPLIRWREDVFEPAKRSERMSWMLLG LATSLAYELGLYCQSSAKSVGAGSSEISRLGYIERLLYNRMTQLATQLGRPSLIPENY EFNNPDRIITATTVPPRQLYLELWTELTRLARLATTILFQSTDTIKDLVLKDRHTIIL EHLDPFFTKWQERFYKDSPKLANLSSSLLAIEYYHLKASTRGLSLQAIVERALARGIR DLKDCRGGSLELCTTSKDKIFILDVITSTTKILQIAIDLAGLNMLRYCPSRTLVSATS ASVLLLKALFLSKAIGHGLGYFEFEVQLGVIDRFIRALRMSPIDEMDFSPRYAMLIES RVARLRPSLTSADAVNVDVDSSANSTRCTHQSLDHDPSSRMGGLAPGTMDHNDGDHSG YGNGDIEWWSASFDPTIAPFDSDNPFLSLGLELDSLDFLWNISDPSGENMRSAPI PFICI_10510 MRVKVSQRKRRSCRSRKRRRQNATNKDDGLGNCPTQLRNIHQSG ASGTSVNESAIRHVSPSSPRFIVNKVESREAEDIATKDTANQYSFDKERMVGTKSPVD ELIRGTSPREATLGLTTIEHGHFDRSTVAKSEYPDIFGLSTDETDKGELSKDNDGWMV LDTPLFLSEDWTWATAHPLFARQGDTTFIRP PFICI_10511 MANVYASNANSLQTRPNYVRGCAIGCGLSGLVVPIGIALSLMYH AENKSKDANFGHVQVGVIVDVSTEGDRHKDFRLMT PFICI_10512 MDHPNTAAFLNDDQRTLAIERMETRDTTKKSVISRPQLIAGLTD YKNYAHAILHFCCNYSFTALSNFLPTIIHNMGYDSINAQGLTAPVYLGAFFVSLLIAW LSDRYGHRGLLVAGTASISSAGYALLATQQLTSVRYLAVWLTACGIFPALAVNMTWML NNNAGETKKGVGMSILAIVGQCSSFVASLVFPDEDS PFICI_10513 MSPSCEESKIPDIEGAITRDLQTFSIRQQNEDTIRKKYDKWVLP LVWILFILSYLDRGNIGNAKTAGAQVTLELSSSQWSWVLLSFYITYTCLEWLVICWKV FPAHIYVAFLCFGWGTAAMMTGLVRNLAGLIACRILLACFEAGFGAGVPYYLSLFYTR RELGLRLSFLLGSSPIANCVAGAMAYGITHIKSNLEPWRLLFLIGL PFICI_10514 MIEKKSFEIAILPGDGNGPNLARQARRIFATIEKHRRNYSFHIS EHAIGGAALDKGLPALPAETLKACLRSDAVIICCCGGVTEHAHAPEEAILKLRRALDV YANIRVVQFPSTNLVSRSSFKKNMVEDLDITFVRDMSGGAYYGSKQESDDEHHVAYDT TEYSREDIERLAVWAGTYAMQTTPPRNVHSVDKANAMATSRLWRSTVTDVFKTKFPAV TLNHLLVDDAAALLSSTPMSLNGVLLTENLFGDILSDQAGGIINSPNVLSSASVSHLP GHFLENSCGIFEPLNLKAGQNGHDNPIAIIQSVSDMLRLGIGLHAESDALGHALRRTL DPPELIGANVLTKDLGGTATADVFMETLLEQFGFFLEAANSIDMATVQDDSILPPAKQ SQRDHHVRPMGVVEKIITNAAIGLDVPQVNVGDMVAVRVDWTVTSELLWAGMEKTYNQ MNRPRPYRNDRIWLAVDHTVDPRTNHLPKQKGLIEKAELFQREAKIIDFLPANTSIMH TDFTRERAQPGHIVVGSDSHTCSAGSMGTLAVGFGAADVVMPLVTGETWFRVPEVCRI NFVGSLPWGTSGKDVILHILGLFKRNTIAFQRAVEYGGASLKELSMDARFAIANMTTE FGGMGACFEADEITASWLSRRKLLQHKNRGLYFRADPGAQYSEERTIDLSNVAPTIAL YPNPDDVVPIHTKSGMKLDGCFIGACTTTEEDLVIGGLVLEAGLKAGMVPVKKGKRRV TPGSLSIIKSLTENGIIEIYKQAGFEVGAPGCSYCVGINDVDVADIDEVWLSSQNRNF RNRMGKGSFGNITNAAAVAASSFEMLVTDPTSLVNQIDKEKYRKYTQQNSTQSREATE IQVAQPEPLGLKEPVIFDGSNVDLDVNIPKSAKVIKSRVQRFGDNVDTDAIIPAEFMP GKDNKDLGSHCFEYFRPDFRQKAHDGAQIIVAQDGFGSGSSREDAVRALQGAGIVGVI AKGFAFIYDRNQLNMGLFNAIITDDDFYQHATEGSTITVDKDQKIITICGVDKTFRYE SSWIEDTLLNAGGILPLYHLHGTSLFRHLTSSKTKNSAITMGSGLSTNAFSNERGPHS ELAW PFICI_10515 MKTTSLICNGLVSLGLGVLADPTVRQLGSPTVVIPSPDATFLGA PLGLVESFHGIPFAKPPVQSLRFNPAQPLDLNQSLGVVPALTVGAACPQNLSPQLPAA DLPPEVLAALEALQNLTAQLPAPAIYSEDCLYLNVFRPAGIDSKAKLPVLFWMHGGGF EMGFTMTGEGVPMVTDSIGQGKPIIFVAATYRTNAFGFLGGKEVMDAGVANLGLLDQR QAMRWVADNIEAFGGDPDKVTIWGESAGSISVFDHLVLYDGDNTYNGKPLFRAGIMNS GSVVPADTVDCDKAQKVYDQIVNEAGCSDSDDTLQCIRDVDYQILYDAVATIPTFTSY RSIALDFMPRPDGKTMTKSPDQLVQEGKFTKVPIIIGDEEDEGTLWSFTQGNITTTEE VAEYLGQYFFHHSTQDDMEDFVGTYQTISEDGSPFRTGELNNWYPQVKRISAILGDLA FTLSRRYFLDIRHAFDGQLKAWSYLSSYGYGTPIIGTIHGSDILHIVWSTPYDYATHT MHSYYLSFIHDLDPNSNNLLSPNWPEYFDSKKLLNLYSTFGQFINDDFRSDSYEWITN HIPQLTM PFICI_10516 MQQQPWNSSYEYRPFAPVRLLHVFRHSDDSIRGTLQNFSLDSLD CPQFTSISYVWGPQVYSHAILVDGHYFPVLDSVYSILEALCDSSAFQDEYWVWIDSIC INLRDPQERASQVLLMKQIYSRSKHTIAWLGEKSAELEEGIAFMAHLANSYSDILEFN QTRNSREVPERLWLPDKWRALGVFLDLPWWKRIWTLQEYVSSRTIDLYCGSDHVSEDI LMTALTAIWHCEPSSLLLRPDVWTPAWTRDRLRSWYQAKVYSENMSIVALMAYSGACE LTDPRDRIYGLLGLAKESDRAMIGRPAYADDVGDIYLRLVESFISTYQSIDIICFAQI FRAVQSAEANEECLDHQWPSWLPDWRVRVVPFVTPLMVSQTSGRHIGNFRPPMSSFDW EVDSAAIPYRAHADMAGLVDIDYQTRHLKCQGFFVDLVDGLGGALESPDHSSTQSASP LVQSTSVWNTQSQKQDINPLEFITDLVRTLTLDREDRYLMFPAAADDFRNEFLNLVHI SQTDRRELLPARCKSACAWYDANKSLLLQGHTLEEICLESSSSPMFAASLDAVGADEA SFASRLIDCTSPITMQRKLLVTASGLIGMAPPHARKGDIVCVLLGCSVPVMLRELRAD QQFAYSFVGECYIHGIMDGEVADWGRELRDLTII PFICI_10517 MDPATIFQVIGTALSLGDVVVKSIMKLSSIKGRYQGAPMVLSTM IGQLYIVKSALDRLGDFSRPEHSQHPRHQQLSQQVGSALDSFGLLILALEERLSQFEA TELTDMRVKDRLALLWNEKDMLDYSMLLDRQVNALTLLLQAMQCPSWPQQYDLMCKEE NVEILRLATDCSTSIVGVDNSSVSFVSENTDLISLRFDFDRIILESRIYQQAHRSHLR KSIRFDYTEAPKSPPTQETPAAVSTSQETRDGIQTPVNSASKEALIPAIESARRPLSE SSDAASVLQETLPIAQFSDMLHLDNDNDETDHSSNLSSGHDKAKMIAPRQSIPSSPPW QNKRFRLGEWWRRPSMHKSIEAYRNPSRDSLIQTPSQTPSITTTAESTRPRAMKLLLL GDSESGKSTILRAILMHLNKFDVYSRSLELREVIWCNIVASTRAVLEAMKSSEIFFDD AVLQGHAASIFMQSDGYRTLPDSRLSQAIQFLWSNAEFRRAYERIATCYRSLDNAEYF AKNAERIISPDYQPTEQDAIYSMTKTTGIERLEFKFSGFSWELFDLGGARSERKKWIH AYELTDTIIFTIDVTCYSKTAKDDKTNCMEEQFSMFENLARSSWFTRTGFVVVFTKCD QLDQWMQRSPPKKYLPFWSLIAGGGSDVEQFLEYLEKRIMCLGPLGHNKRYLFLRVRF DNMDAHNPAGDILEAVLESQRSGFL PFICI_10518 MNAIQQKCRPKHQVLVLKCYPRTTKGAVDVKPNSSELSYLLFYA TSRRSKIQKVGSFLEKKTASDVWRLRIGNVQVTLQIIAALIEKSPKDFPLFAQNVIKV LSLVLRSADITMIEASLPTFETFCEHHDASSLFADQSYVRQYEDIVHQYATFASTRQT PGKGTPSKPVALRWRNAGLAAIRSVAESDALSSVAGRQLDVIVPMILENLWSDNEGFL DVLVHRMQAEEKGDAGVLLRRRTSISTVKTAEEPGDTNPIALSGSAADADALAEEETG LLAMQCLKQIFVIPNRAQLHGATQAILQFLREKVQQNETVVHTDDRTKRDSGWAVKIY CLAAQWAPVQDRYVILVTALDAFVRTQPNNDHVAEQIVLVALISSLLRSEVNLIGLSI MDVLLGLIQQMKKTLKYSGRASQGKDEKSASDTEPTQQPSTLHLDLLDRIQRCIGDLA THVYYADQISDMISAILSRLKPHPSTLGTSQTADAAENTAAPGTSAGSLVDDQQHLDS YFALDLAKAAALKAIKAVLLIANPKTKISGNVSLTRNKVPIQVWEGTHWLLRDPDGEV RKAYGDALITWLDRETTRADLIARDELQKLPKAAGKDAPSSNFARRAANPSSREKPVK VPKSYFLQLLHLSIYDNALQFVDYESDVALCHVLLTKMVDRLGVNATRYGIPMVFRLQ EDILEAETPLAKVRLGALCHGYFWALVEKFDLDTMVVGQAVQNEITRRRSKHFWVDGI QVPPPVLDSIGTPGAHRAQAQAKIPVGDVESEALLPFDDRATMVDCICNNYHISEASP PTSPSTSPGRTFAHPILSSSLSAIPPAETDHQIPAKFREDMLAEWTRDEAIAALQEGS KSASLNGSKTNTTVTKNNRLTVHSYLANGHTHSGTGSPVNSHHNLRPASHAGGIGSAL RKSSVQSRFSARSTSSRGVDIASVDQLKSVLSGDAPRPPTMHTVGNDSSSSESVASYD YTPSEVSDAQSEPGLARTRSKSRERKASGDDGGPLTSHPTNEEDEMDDEVPPVPPIPS SMAGNHANIYRPPSSISTKDHAFKPYKRSITSRGSESVGSNPMSEAGGPAFDLQSLLR GIDSKSREHSLGNLTKPPY PFICI_10519 MAEPHVPPVTSALPPGDQPDHLSEMSEDEAALAALGYKQEFKRE FSAWTTFAVSFAVMGLLPSIATTMWYGVGYAGPAANTWGWIVSVIFIMCVASSMAELA SSMPTSGGLYYASAVLAGPKFGPFASWITGWSNWFLQVTGAPSVDYGCAAMTLAAASI MNPEYVPTEWQTFLLTAFIMLIHACLSSMPTLWIANLNSVGTIINILCLVITIIIIPA AAMTQPKFQPNEVAWGIQNYTEWPDGVAVLMSFLSIIWTMSGYDASFHLSEECSNAAI ASPRSIVFTAASGSILGFFLNLVIAYTIQDVDEVINTDLGQPWAAYLVQILPQPIAMA VLAMTIICAFMMGQGCMVAASRVCFAYARDDCFGIFSGPLKKVNRHTLTPVNAVWFNT IIGIMLNLLIFGGVAIGAIFSIGAIAAYVAFTIPITIKTFYVGSNFRRGPWHLGWFSM PSGVMSTMFVLVMMPILCFPTVRDGDLTPELMNWTGLVYGAPMFIVIIWFAVDARKWF KGPKINIQHHMLHQDLSAHPGVTGIDPIESSAVVEGADLEKGSSNKSGKT PFICI_10520 MSSPNQHNFAKPTSITGGCLCGSIRYKVDFPKDHNFLRNSESCQ CTQCRRCTGALIWHCHTIPVKSLTYTTPTTTLKDFHATEEIKRGFCTNCGSFLYFWEE GDDKIFISVGCVDPEYLVGEPGKHDGGYGYALASTSGDNVFCENEIPGVTAGWIGKTG KRWAKNVRDGVRAAIPGTRHDSKL PFICI_10521 MLSTALTTLALAALTTSAQAASKIGSLSPNTGKTSISRPNVQPY PHNTGRAAVVSPERETECFVVPTGGDDSEVFLSALQECNGGGKVVLDSNYTIGSVLDL TFLDSVDIAISGKITFTDDIDYWVNNYWKYDFQNSTSFFKLGGKDVNIYGDGVGVIDG AGQAWWEAFATNASLLRPILFVTDGLEGGSITGLNLVNSPNWFNLIANTSDVLISDIT ISVYSTSSTTPKNTDGWDTYRADGIVIQNSVINNGDDCVSFKPNSTNIVVQGLTCNGS HGISVGSLGQYVEQYDIVENIYVFNNSMSNASDGARIKVWPGINSYQAPTLSGGGGAG YVKNITYQQYYNDNNDWAIEVNQCYGQSNKTLCELFPSNMTISDVYFLDFWGTTSSKH DPQVGTLVCSSEKQCQNIVAKNISITPPSGDAPQWICSGFDTSGLEGFDCVSS PFICI_10522 MPLPLRLPAVLAYTGLFLSALATCQTSPAQTYAECQKKTADALS GCPEGTLYVSQNDTSANFTSIQAAIASIPNNTDAYTILIGAGVYTEQLNVTRQGPLTL LGQSDRPANGEAYSNVFGSNATDQAAVNDVQIYWNAANFNKTFTDNVYTGVLTIGPTL NATLTGSGPTGFAVPDDTPFGCSKFSAYNIDFRNEQYPYSNGPAHALGVSRANAGFYS CGFYSWQDTIYIGKLGNAYFHDTVVAGQTDFLYGFGTFYIANSTLSLRSCGGGITAWK GTNTTFVNKYGVYIADSQVLAANTSIAPAIVDKCSLGRPWNAEHRSLFTNTYFDPSIL PAGYTEWSGATNGNMGVNTTMAVYNVYGPGYDAAAEEASNVTIVFDEEQATPYLLPED VFMTEDGSQPNIAWIDFDGAS PFICI_10523 MPAVKLENADEAMVGRFQDEHNEIMRQYRRGNLRAAPIIIKDES DNNAGEDNVPDRVKQEIDDEILANFDIADDIKEVPAQQPQRRQQPERPFPLERNLPLA NNEYINHHWVDAISAIVERGDMVEFEGIKVPYNSSFLRVKAIYMSGHGIVFRGVPYTR TRNTLAMLDYKRNELVEVWDTDDDDARDHAEQSQIEIEEHNIMRKRDFKLTNAQWPKF ACDYRNFNKDVYLTDNEGHLTCRWRMITEYRNAKARQEQRAISRTLYHLSAEDVEDKS LRISDSHKLNKWRGTKTRGGSHNPGVHRHDAVDLDSTEDNPKPHIIQRGQKYTFGDIF SGAGGTSCGAEQAGVHVSYACDFDQPSCQSYRMNFPRADVAEANVSDVVANLERKEMR FDMLHLSPPCQYWSPAHTVAGKNDEQNTAILMAAGECINKIRPRIATIEQTYGILRNQ HMAHFHLLLNCFTTHGYSITWKYVQLNEWGVPQPRKRVIIVASCPGEVHPKMPGASHV RPSVNQLLNSIPANATFNGPITPFPERREPWNGNGILRHTMCTNADSSVNYHPSGKRR FNIRELAVLQTFPAHHQFLDAPTQAKRQIGNAFPPLPVKHLYEHLLAHLRKVDLAFFP SEIGGLDDRVDDDGDDDEIVFVRATKKRRRFM PFICI_10524 MKGGAAHIRDQSESLPLLQHRKSLPPSKEGKNGGQCLGLSLIIS KRLAIWLCTLTLLATFALLSRQDHDGAYYTVPQAEQPKEQPQNIVTWDERSLFIRGQR VMVMSGELHPWRLVPSLYDDVFQKIKALGFNCVSFYVMWALVEPAPGNFTATGVFAYE PFLEAASRAGIYLIARPGPYINAEVSFGGYPGWMQRVNGQLRTRNPEYLAATDNYIAQ IGAIIAKAQITNDGPVILYQPENEYTWGVPPRFPDGEYMQYVEDQARKAGIVVPIISN DAAPLGHNAPGTGAGEVDIYGHDDYPLKFDCSNPTSWPKGALNGLYHSIHEVQSPSTP FSILEFQGGAFDPWGGPGFENCALLLNEEFERVFYKNNLAAGVTIFNIYMVYGGTNWG NIGHPGGYTSYDYGSPIKEDFTVGRKKYSELKLLAQWLQMSPGYLTAKPGLAEVLTYC NDAAITVTRLKGDSTIGGGSFFIIRHSDYTSTVSTNYKLELPTSRGKVSIPALYGSLT LNGRDSKIAVTDYDVHGSTLIYSTAEILTHQKYTDRVVLVVYTGPGETNELAIKTTHK PKILVGDAVAVHHAGDLAIMTWDTSGERKVIRVGNLFIFATDRDSAYRYWVPSIGKTS AIILGPYLVRNASLHDYRTLSIQADFTENTTVEILGLSHLKSVIVNGVDTPFRDNNIT ISLDIHYKSPQLKIPVLASLKWRAADSLPELHSSYDDSQWPSADLSSNNTYQHQWTPT SLFASDYGFHAGVLVFRGHFVAQGTEESFDIQTQGGTAYGHTVWLNNTFLGSEIGKAG VSNARATYRIPDLLPGKPYVLTVIVDNMGLDENGVAGVDSGKAPRGILRYNLKSSWFR STPIDWKLTGNLHGEDSVDRSRGPLNEGGLFAERQGWHLPKPPIGAFRKRSPLEPISE PGVWIFTSSFDLDLPSDYEIPLSFVLGGVTGGAARIQLYVNGWQYGKYISHIGPQTRF PVPEGILNYHGENWIALLIWTMEDQTTQLEDFRLERGLPVYSGRSAVKLVDSPSWKRR DGAY PFICI_10525 MTMISRTHLTIHTSTLFDPKREAFVENVSITVDPQTGSIVSVKK RASAEIQELGPEDIDLRGKVAMPGFVDAHTHIFLHDYDERPAAEQHRDESIVERVVRA TNHVRTALLAGYTTYRDLGSEAMQSFDANLRDCINRGIIPGPRLFVATHPLGSTGGFE VRTENYYGGTRAPPASDPGDGPDGVRKALRRRVAEGADIIKFYADYRRKIMRFPPSQQ HPYKLGIEYPPADPNPNVPLFSQEEMDMIVKEAKLADLPVAAHAGGLKAALMAVKAGV TSIEHGFDATDELLALMKSKGTIWVPTLAAAETFSKAGIQGLLAQTKKAHDYGIRLAA GGDTGVFSHGRGAREMELMIEAGIKLEDVLESCMVGGWESCGKDQCGYRFGWFEKGNR ADIIALQSDPRVDKSALRRVDFVMKDAKIWKKDGVAVGMVENHFPW PFICI_10526 MAPSRIATKPFVYCEGASKWDPQSYIEDKDLAAQAAEHLAKDDL AAAVAASFSLPPRDAYTYHAIVSVTLAQVQHVVGLGAANGLHAWYRDPAAPPPEKQKP LQASDFLPPPPRPDVDTYLQIFDPKTATPNILKSLASNAKKGSLRASVAAHLLEKRFL HPALPQLQIPRSKTAPPNPYLEFLAWACRNLEFAGPCPESEAVKSSHHVLPILMHHFG CVCPSHEALSILKVLAGGREIWDMGSGNGYWTFMLRQYGLTVLPVDNAQSSWRVTWVK DTTMSDGVEFLNKPGNQGGSNAILLLVYPIVGGGIAGGEEGGFTRQLVKAYKGDTIAV VGTQNHNGYTGFRSMSMDEYMEREEPEWTKVVQIPLPSFPGKDEALFVFQRGDRAPKP EASSAT PFICI_10527 MKQRFSSLDVKVIAHELSASLVSLRVSNIYDLSSKILLLKFAKP DNKKQLLIDSGFRCHLTDFARTTAAAPSVFVQKLRKYLKTRRLTSVSQIGTDRIIEFQ FSDGQYRLYLEFFASGNVILTDSDLKILTLLRNVPEGEGQEPQRVGLTYSLENRQNYG GIPDLTKERVRNALQIIVSRSASAAAAGKKVKKKPGDELRKGLATTITELPPILVDHA LKTNGFDTTAQPASVLENDGLLDLLLQSLQEARKIVGDVTSSDTCKGYIFAKSRPKKP EESTEEKTDQERSNGMLYEDFHPFIPKQFQEDPQYTVLDFENYNLMVDEFFSSIEGQK LESKLSEREAAAKKKLEAARADQAKRIEGLQEVQTLNMRKASAIQANVERVQEAIDAV NGLIAQGMDWVDIGKLIDRERLRKNPVAAIISSPLKLSENTITLLLGEAEEEEEEEDE AYETDSSSDSEDDIDAAASAKQSNGKKLSIDVDLGLSPWANARSYYGERKQAAVKEQK TASQAEIALKNAEQKIAIELKKGLKQEKPLLQPVRKQMWFEKFIWFISSDGYLVLGGK DAAQNEIIYRRYLKKGDVYVHADLHGAPTVVIKNNPQTPDAPIPPSTLSQAGHLSVCA SSAWDSKAGMGAYWVNADQVSKSAPTGEFLPTGSFMIRGKKNFLPPAQLILGFGIMFK ISEESKSKHVKHRLHEGGAQAPVAEAARETIDDDTASHDGHSEVADHTEPEDEMGEEQ DKDHQNRANPLQSSGEPNEDADITEEDSPPAEEMSKLDVQDPSSDEAAPANEPEVSEL DKAEEQSDEEEDPPATSTAAATSGKVTPQPQAQKKQQPKRGQRGKAKKIAAKYKDQDD EDRVAIEALIGATSGQKKAEAEAKAKAEREAAQLAAKERRRAQHQKQQRETAEHEEVR KMMLEEGVDVLEPDEAEKATPLDSLVGAPMVGDEILEAVVVCGPYSALGKLKYKVKLQ PGAQKKGKAIKEILEGWKAVSTKKGVLDEKSQDKERMWPREVELLKALKPEEAVNTVP VGKVRVMVTGGASGGGGAGDKGKGGKGGGKGKKR PFICI_10528 MGITSSVSALPFPSPPPPAAGYPQPRACRLALGQLLGAHCAKGC RGEDSRAHQVGNLDFEARVPVPFSIFPSSYKDDKTAEVETTTQTHQEVQINLPDKKAG REGQYSSSQASVNLPPRREQRYSEEEVHITREEERYRRPGVHREYYEEHRPASSFGET YVEVDHQHRPSYTAIDQIEREYRSRYQPTYQEEVRVTGSTVDAPSHHTRPVYDEQIRV ETTVDASKPGPSYHKETKIVEETVEYPRSVHSGAQKSRMGYYDEQGHYHSFRHGLHKM ADKVFHHDHDRVEVREVRERSRPISQPAPVPNTVTIPCHHIRMGDILMLQGRPCQVIR ISTSAATGQHRYLGVDLFTKQLHEESSFVSNPAPSVVVQTMLGPIFKQYRVLDIQDGT VVAMTETGDVKTGVPVTDQSGLWSRLSKAFESGRGSVRVLVLNDGGRELVVDVKTIHA SNL PFICI_10529 MGSSQSKPTAEQVLHEKAVLERVQSMDRDFLDGNDVEEEFVHVD NEVTEDARLSRVAEALPVKLLGSWQSRVLRDPKNRLALSALSSANPMEVLASRSTKIA DQHVFNIQIPLEGGPITNQRSSGRCWLFASTNVFRVALMRKYNLDSFELSQAYLFFWD KLEKANYFLENVIDLADEDLDSRLVQRVLADPVSDGGQWDMVYNLVDKYGLVPQTLYP DSWNAMNSRGINYIIVAKLREYAVTLRKLLKSPSVTATALSAAKEKMMRQIHLILTLT LGPPPSATDAFDWTFKDKKGRVRTVSISPIEFARDIASPELRAELRITSSTIAGMVSL VHDPRHAPLSLLSVDRLGNVVGGRGVTYINVEMAALKGAAVDMLRSGLPVFFGCDVGK FSDRQGGVMDLDVVDYELGFNVGILGGAGALDKADRLRVGESAMTHAMVLTAVHVDER TGHPVRWRVQNSWGEDVGEKGWFVMSDAWMDEFTYQAVVDSRFLTREIRDVLKKEPIV LPLWDPLGSLA PFICI_10530 MKGEVVATLNQSPYIFNHSMADPRYRHASSGRRSPTFHHARSSV PTNLGYNYSGDIHAVPTGRYDTTALRRTGEHSHHRPATTTITTYNVTKEPTVQSTTSR DPSRSRHRSSTFTTGSVKPIIVTTNHSRPHNPSSHASSVVPRRPESPGRDPYRSSEET YYSQPASSIRSRSHQRHNSTGYDHESLHRMRERIGAVDDRLRAPVRTHNRAPTYSNLP RGSSADYAMDDYEYTKPSDLARYDLDHERPRSRNGRRDSIDRGNNYYRPSVNVVSHDY SARPAERRPPPTSGALDRYNRNAAAGIYERGAAPIPNLPAAPASPLVDPRRSGLLERP SSPANDRRTSRPRPVSLYQDPSPRMDDLSFRARDDNLHHERRDRERERERERDRERDR DEAFRDEAVSSRGFGIRSDLIEPTESRRPTETERVDRRDLEEYRPHRDYDSREPKRRS DESLDKSRGRHERRSSVIGDRQRIEESRDRKDGKGEKMRDKVAAGLSVAAAAIGLGNA GKEKEKDDKDGRVSPRRRMDSELANTEAVDSRHTDRYKPRDVDIERRSSPREDALYAD ERKERHERRDDSSSRERERQRERDRKEKEREKDRSRRDQEASTSGSKREDYPHSDDAA AAAKRRQRSSAAFDPTDTKGLMDLKAELAAIDPGKSKEKDKPVAKERTEPDVIAADLG RDREREREREPRDRDRDRANLDRARDESRGRELALVDAEQKSVRVVSPPRDKADSKPI KGILKQPTSQFPEDANPIREGVAPHKDDKTKKDVPAGARWTKINRRMVNPEALTIGKE RFEVRDDFVIVLRVLSKEEIQAYATATAQLREMRRKEYEREHGDRDSDRDRDRDADEH RKRHRHRRDRDDDDIQDKDRDDDREHSRRHRRDDEDDKPRAIEYDDHSRHHHRSSHRD RERDMETISDDRR PFICI_10531 MAPRQRRRRCHHHHRVRAAPPPWAYLQSPYGAFSWQRSGPGPAP DGSFTNMAWEHGFGFPRGYQQQQQQQQQPPLQPVQAHQTDGHVTVATAAVPQQPQPVA VATAAAAATASAPVAATTTCDMSEYGGPEELLWWWASKLLSSLLSFAVWQ PFICI_10532 MVVASMQHHPHHSQGPAPPQHLQHPRPSSIVHQQHHQAPPPQSQ HPSAYSSYQPAGQTQGSQHGHAQEGLPYYAHPSPYSTPGTTSGYPAADTSDMMAAAQM PRPYPPIYHTPQSNSPASVASPSGHDQHRSLYGAAAPSIQQQQSMYYSAQQPYSSMPQ QAAPSPYQQHAQQPQHSMTSQPNMMMSHPGSQHPIQQHTNQHAQQGLNSPRHTKIETQ VPPQLQRPASGPLGTPQHNQNGSGPLSTPGGSSPGVNQNAAPGPIPATTPLVVRQDNN GVQWIAFEYSRDRVKMEYTIRCDVESVNTDELPSDFKTENCVYPRACCPKDQYRGNRL QYETECNTVGWALAQLNPSLRGKRGLIQRAVDSWRNSNQDPRLRSRRVRRMAKMTNRK AVQTPHPSHMSGPSGPAGIPAPGAMGPGGGTAMGKPGMSNMGSHMHHHQGHSDGNSQG GEEVGDGEYMDEGHHHHHQAPSGPGSGHGDDVRQAQVFSGYPQPYPGTSASGPGMPHS SHHNAIAARHSTRDDDEAPEGLFPDIPDAKKRKFILVDDNKRGGRLRVRVTLENVDTK EIPDSFRKSSSVFPRSYFPREMQSPPPSATGSRFFENDLEDDDVEVEGGRTGRSRAAG RVMVKVPFSDGSEDQIAIPRTRKSTRGKEVRLNDLGYRMAWLQSRVFSGRTIFLQRAL DCYRNKTRNAIESVMQDVKTTAPHYETRVGKRRWSERMRQSTDKRDDE PFICI_10533 MSNWLACCLQDQASCYACPSSRISLDKTTYYLQYVLMDWHDSSC RRLDLADFGDGMCCMRCGSIRPTHPILPPIHKSEIRLLRLLPGVFDDGVECEIVKAEL CLLPEYEAISYTWADETGDASHCQTISISGKPFLVTKNCEMALKRVRMRYYTRVIWID AICIDQDDIQERGHQVGIMPQIYSQAKGVLMYIGEQSSSSGDMGPGTAGFLSKDLKSV DTICWPALLSTRYFTRLWILQEVALARRATLMWGGFSAAWSSILEKMDFLITEKLPPV FHRFDYRTFSGPDQILNLLLVAQSCNASDPRDKIFALLGLLPSRRIGDIEADYSIEAR EIYIRVAVYLASNIDWLQILFHAGTEAHEGGQVPGLPSWVPDWSAPVAQKVHSYTIRS RIQAGIAPLIDVLPMTFAYNESEKSLNVRLLRTPHRAHEWHAWTEELSVPEDPCLWFP LVTRTSLFESVSDIRSDFCREYSIEDSESNDRELCLTSLKFGLKKINGSRYTLTGIPE QYTFLNEHQGVPITSAVQFLTMTLSFKNLKTILRLARDTLHTPTSYWSTNWDNVVEVV HLVEELASLFEPLQDQRLEQLWMTKFMAGELIALDKTTFFKDDSDTIAPDDTQELMKR LNDALWCMMIRAHNT PFICI_10534 MKYTAIIAALGASTAVLATPAGNVTPNTMAALDKRASFPIPSSK GSVTYSSAKTISGTFDGGYKTYGRGVTCTGQEEGDDSDAVFILKDGATLKNAIIGKDQ IEGVHCEGSCTIENVWWSAVCEDALSLKGDGNASIKGGGATGADDKVIQHNGVGTVTI DGFTVMDFGKLYRSCGNCKKMGERNVVVKNVKAYNGKTLVGINSNYGDVATITNTCAT SVKKVCVEYQGTTPGNEPKEISSGISDHCVYKTLSSC PFICI_10535 MTSSAISATVADRLTKPEPPHGQLGLPDITIVSCHPPDIPQDAS IVGICTVPPGREGNDDLGHHVADFLGWKHLFANLSTFPDDQVWLSLIDIDDAVRKHKY THSGRDVSPAVLDSNRPIIVERNVHALHGIFMKNLAEKAEMVRKDDSSLVVIVCGLTS LEQDILLEKNRHIVTTITSGAITAVASLDVRVVLVSPSITSVGWQVNPCLGRPITVST EAKLNALMGHQCGAVFGSELAKRFLSESSPALTDQTANKLTIPLMKTDELLAIESKLH ARIYACLSGRFISAKGHEFRFTREGDSWSLIGARKGMSLTTLAQQWSRLNRVDATETQ SGDGFVFLGNAFGGNRKSQLNHIEHMFKQGLLSAAYSSNNVKNLEEHYKQLKSAADTD EEDCRVLFNTLEHRMSLVMLGDFMAKHLRSLPDRTGTRCRDWCEEACQSSSEKKDTRN IWSLILEKFPHPGMPHGRHIDRPRGLFIFLHKPVFYIANVMAARVRETGSSLDSGVMT LNMFIERLKTSQTDLLSSDPEVRELSRTWSKLCLARSEMARQSNLSPLVNTFIPGEIF RTFAPKLTNSSQLSVNDMSSRVLASLVASTENMDLSRQEKPLNAPKVRISAPVSDEQT TGTTTKPDVIEANTKDGNEQDHSRKLLPHQRIRVASSKQGDDNAHLAAQPAEIKVESK NDIKDDQRHLLPQQRSCSKTPSDREEEKAAITGQTAAKEINSTKGADDDGQSRLLPHQ RVISRNVSTVQTENVPTAPKPSEIEGDSVLSHDDDQSHLPPHQRKGPWKSLAPKKEVV TPNFEVGPTGVDAIEDDEEDQSHLPPHQRKGPWRSLSKRQTDAPKA PFICI_10536 MMTTDIEAVLKSLTLEEKISLLAGQNFWETVPVPGKVPHIKTSD GPNGARGADFTGGTRAACFPAASNVAATFDLDIANRIGQALAEETQSKGARCLLAPTT CIHRHPLGGRNFESFSEDPLLSGKLASQVIQGVQSKGVAATIKHFAANEQETERMSVD ETISERALREIYLRPFEIAVKEAKPWAVMTAYNCVNGEHCDSNAFNFKVLREEWGWKG LVMSDWGGTNSTAEALNAGLDLEMPGPTNRRKIPDVLAAIKEGKTTERAIDDRARAVL EFIDRLKAFEDPTIPPEQAINKPEHQALIREAGAKGCVLLKNDNGVLPLSKDKVKGKK VAVFGLAKTPLAHGGGSASVNAHYKIAPWDALQEAVGDSAELVYSQGAHTQRILLPIG EDKSAGSIVGLDGKPGFTLQVFDNATQAIEETTHGHPSSSISPLGSKATLYKILEFVG DFTPTESGSHYLGCTGLGLTQVYVNDKLVYDQQKASPDAMGFLFGAISEDEFTYPFTA GNAYRIRVRSLPPIDVPGLEILNGRSGVRLGLQLASEHDADLQGEAVALAKDADYALV FTGHDPQWETEGQDQESFNLPRKGTQDALISAVAKVNPNTVVINSTGVAIALPWLEQV SSLVHAGFPGQECGNSIVDVITGAVNPEGRLPMSFPKRLEDAPAYGNFPGEYVNGQLK VEYKEGVFVGYRHYDRIGRDKVNFAFGHGLSYTTFATDSLRVSRKSETEFSASVNVTN TGKTQGGTLVQLYAGNATTSPEHPIKGLVAFKKIRLEPGQTQTVDLAVAARDLGFFDE SRGQWVVPAGQYDFSLGESALDIIQTVKVAVEKELTSAP PFICI_10537 MGVQDLETWVNQLGVLFQEFPLREELQALIKPAALTTGIALLFV YLFFVRSDPPVRYTVPAPKLPEGEPQILKHPSIKVEGSSAIQCYAPATGQLLGLVNPS TPAGLDRAIASAAEAQKKWSQTTFRERRAVLRTMLQHVLDNQEQICRVSSLDSGKTML DAQLGEILVTTEKLQWTIKHGEKALLPEKRPTNMLMCYKKNKVIYEPLGVVAALVSWN YPFHNLVGPIISAIFAGNGIVVKVSEQTCWSAAYYTNIARGALVAHGHDPALIQSAVC WPQVAGHLTSHPGISHVTFIGSRPVCHHVAASAAKSLTPVVAELGGKDAAVICDSAKG DLKRIADIMMRGSFQAAGQNCIGIERIICAPGVYDKLVEMLAPRVKALRLGTEKDVGA VISDNSFVRLESLVQDAVQRGAKLLAGGQRYNHPDHPSGFYFTPTLLVDVTRDMAIAQ EECFGPIMTIMRAASGSADDLLNLANAPDFGLGASVYGNDSDPVLRAVVKGIRSGGVA VNDFAVFYAVQLPFGGVGGSGYGRFAGEEGLRGLCNIKSICEDRFGWLGVRTAIPPPA QYPVKNQDGAWRFARGVVRLGYSLGIGGMVEGILDVVRNG PFICI_10538 MAKGEGAHGQGTDKAPKRSRWATRKMTVKSGGVKRVSIMDRIHK RTSSSEKKRHSGGSNSVRQEGADDDDTYDPNDPNSGNQDDANSEAPSDDGEGRTLFFN VPLPAAFRDEEGHPLQQFTRNKIRTAKYTPLSFVPKNLWFQFHNIANIFFLFLVILVI FPIFGGSNPGLNAVPLIFIIAVTAIKDAIEDYRRTVLDIELNNAPVHRLVGWDNVNVQ EDNVSTWRKFKKASSKFFGAIWHAIESLWKKDTKKSAMASIADPRPSIDTHATYRESV ISPHTDRTSYISAQEDIQMTPMASPLASPLPPTDTLSVPNSPRPDTSLSFNQDQPDSI DRIQGDLINHSRATNGGARFHKDAWKNVQVGDFVRLYNDDELPADIIVLSTSDPDGAC YVETKNLDGETNLKVRTALRCGRTLKHARDCEKAEFSIESEAAQPNLYKYNGAINWTQ KVHGSDREMSEPISIDNLLLRGCNLRNTDWVLGIVVFTGHDTKIMMNAGITPSKRARI ARELNFNVICNFGILLVMCLVSGIVNGIAWGKSDASLAFFEYGSIGGTAGLTGFITFW AAVIVFQNLVPISLYISLEIVRTLQAIFIYSDVEMYYDVIDQPCVPKSWNISDDVGQI EYIFSDKTGTLTQNVMEFKKATINGQPYGEAYTEAQAGLQKRLGIDVVAEAAKAKKEI AEAKIRALRGLRRIHDNPFLHDDEVTFIAPDFVEDLAGEGQFGEEQAKANEHFMLALA LCHTVIAEHVPGDPPKIVFKAQSPDEAALVATARDMGFTVLGATSDGIKLNVMGKEVH YPILNTIEFNSSRKRMSAIIKFPDGTIRLFCKGADSVIYSRLKRGEQQELRKTTAEHL EMFAREGLRTLCIAERVLGEKEYLEWRKEHDKAATALTDREEKLEEVANKIEQELSLL GGTAIEDRLQDGVPDTIALLGQAGIKLWVLTGDKVETAINIGFSCNLLNNDMELIHLK VNEDESGATPDTEFLETVEKELDKHLQSFGITGSDEDLKEARKNHEAPGPTHALVIDG FTLRWVLHESLMQKFLLLCKQCKSVLCCRVSPAQKAAVVAMVKTGLDVMTLSVGDGAN DVAMIQEADVGVGIAGVEGRQAVMSSDYAIGQFRFLQRLVLVHGRWSYRRLAESISNF FYKNMIWTWSIFWFQCFCDFDITYLMEYTYILMFNLFFTSVPVILMGVLDQDVSDAVS LAVPELYRRGIERLEWTQTKFWLYMVDGIYQSVMVFFIPYLTFINADFITGNGLDVQD RIRLGCYVAHPAVLTINLYILINTYRWDWIMLLVVVLSDLFIFFWTGVYSSFTSSASF YGAAQEVYAQASFWAVFVVTPVICILPRYAIKAVQKVYFPYDVDIIREQITMGAFKHV EPQETGKESVKTGSSDSSATSAVKRHQQFPSVDEDRRPIYPPTLHSTTTHNARSQNGS DGTNYTRHEPSTEINVRPSLERVRPSYDRMRASMDRMRPSYEQSNDFTSAAMLNRYES TRSGQSPDPAAEGGDGIVSRIRRRTRGKSFKSAFQFHHKDNHIAEHE PFICI_10539 MSKNGDIRGFFGKKASQTSRPDTSSASQLGPEPKQATKPAPVAA SELWLDSPDLPSSPITPQKNVTKTPRARDTEIKGSDDEDDDSDSSFESIGAMLGRGRN NLGAASQNVSAGFMTTPKAKRIARGGFHRSPLTLQQQPKHKFDFKTLLNHVKQDNAFD ESVRRAEAAMAEAEEELEPVINPDKMAGEAMAGEDESKIEKLAKAIDRTTGDESRPRA YFFDFEEPPRDLKKKPFPKKSVKAKPWSILQTESSRNQMFIHGLPTTLARKGKELPDE LYLWILDQVCVENDAQLRNQYIELASSCIDDTNRLVTAEKLYGMLETLGGAKYSDEGG KLPLSPGLQNPYPGRDWAPLRYFLELLTRMARNLNTETNLDAIKMLLRLGLDPIIVTM AGLQVAHSTALLELISALPAAPARWEYCCKSICDYLYTSVEQASQRSFAISMLPTSIP PGVDLQRRLASEALLNEPNLGAKDPGQSVTIHDIFDRMSEPDFQITNSTDFGELIALV TLLDIVIHDGTHLYRAQLALSTSTAPEDEARSHYDADIDRIVVGLKILHDKISDNTLI LKKEVKLSLDGMMKRLKNTVRSCPPPKITIFDDDKAEKEDKFLPRQKDFMKKWTAAKK SAVEE PFICI_10540 MSQVHAMSDAQVDQELKKMTAFIKQEALEKAREIEIKANEEFSI EKSKLVRQETDAIDASYAKKFKQASMTQQITRSTVANKTRLKVLGARQELLDDIFDAA GKKLVDGSKDESKYRTTLKNLILEGLYALTEKEVSVRGRKKDAKVLKGAIEDAEKEYK EKTGKETKVIVDEENVLPEGSAGGVIIVGGQGKIDINNTFEERLKLLQDVGLPAVRKT LFGDNPNRKFFD PFICI_10541 MGSLGGLSPSGSIAIGVLVGLISTSVQSLGLTLQRKSHILEDEK DPHDVRRPPHRRRRWQLGMGMFVVANILGSSIQISTLPLPVLSTLQAAGLVFNSICAT LILSEPFTRWSLWGTLLVTGGAVLIGIFGAIPSPAHSLRELLELMGRWQFILWMAFQA VLVVGVAAATDVVSHFTNLSQNSRFRFIRGLAYGCISGILSAHSLLFAKSAVELVLRT IADGDNQFVHWQAWMIVLGLVTLALSQLYYLHRGLKLVSTSVLYPLVFCIYNIIAILD GLIYFNQTDLISRLDAGLIAVGTVILLAGVLALSWRLSDEQHPPPAGQSTLTPGLGLV EDTDGEEDSLLDSDTITDEDDTVPKTYNTFAPGTIETSPLVATRKPSKRWHERDEIWG ELEDQEGLSPPPQPHRSLTLPTGADETSALLGGPRRHVSNASTGSLSIAGPSSASGEP RKRSLRRRRKSTGFPGFTARKAPGRRRSTSGAAVQDALGGILKMKWFKGRDRGDSTTA VEDMPSPHRRDWSWGRLPRFRDEEEAVGAMGDDVSPNRAADARPAGEEEPRDGNQDTP REGDGSDRRTGGDGV PFICI_10542 MTGAEHATTQAYYFFQAFHEHPGRGWNHTNGRKLLSCSGPVLYH HDFLPNPVSPAIWTAFADRVNFAKDYIREIMPLLPTQVPDTNVIAPDYIPTTGDFVVL GTGRTLYQIIGFNQPEIGFTKICFETRDGRPQRLQPNILNALIRAVVLGWAPGGRDVE NWGHFIIKFMEEWPPENGPVDYSSRKVVAEQAKLYLNRFQERERIHDWLSKISPGPPA AGGEETAIENNNPSCFEDLNMEEGDFGTETKKEEERHVCGPS PFICI_10543 MSDSWGPEPTADADTGDWGVGTGDAGIDDADFKPVPPPLPFEHR DAPVAEWEKTTPYDYQAMATNDTGDWDGNAQVYYWDGEMGDIGPEHPELEKALFGEES LDNDVIDFTTITQIEVRQEGEQRIIPIKSFDAAGLHPVMLKNIRMAGFTFPTPIQQYS IPAIHMGHDLIAIAQTGSGKTAAYLIPIINKLMGKAKKLAAPRPNPVEVEAGVATSVT AEPLVVVVCPARELAIQIFNVARKFCYRTMLRPVVIYGGGPLRDQVYQLQRGCDILVA SPGRLVDMMERPSVLSLRRVRYMVVDEADEMLHQDWEEEFNKILSGGEQEEGNVKYML FSATFPTQIRKLAKDHLAASHLRINVGRVGSTHANIEQQIIWVDNSMKKQALCDLLFS LEPKRTIIFVNNRRLADELDDYLFNKDMPCTSMHADRTQREREDAMRAFRNGTTPILI ATGVTARGIDVRNVGYVINYDLPSVDHGGITEYTHRIGRTARAGRKGQAFSFYTERDE PLAELLTKTLLETKQEIPEFLKQYVPENADIDQLKFEPDSDEEIEGEDTGGWGAGNGD GDAGSWGAENNTSNGGDSAGWGTDNNASNGGDSASWGATGNDAGDWGGNATAATASW PFICI_10544 MGSSTKKKKEKQKDFQKPKLKVGKTKAKPSNFTDTSFKSKSIVL HEQLKEDAPDVLERFKHHLSLLTSRTDSQRRDALAYLTGQLSTTPPFNPVGTPTLLSK LLPLMTDATGSVRNQLIKLLRAIPAPEIRSEVEKIMRYIRGAMTHISQEIKDDGLNYL EWLLEVAGDQVVESAGCWVKPLKDFTSILGWTTYIKPKTTGPTPGKGGWTSAPRTTFG AKKYGASYPRQMSVVAKFLECGFKRPEPVPWDAGQWFRNFSHLPTTPDPYGYLGLFAA PRDEDGRMYRDREDRQAVFYRDFYEAFRKGVEEAKKEGGMAGRSAAQLDKALKDGMGD YEDTKRYDENKGLWEGYLM PFICI_10545 MADKTLSPNGIDSVDEALERLSRKPGVKGWLMLDRSSGAILKTN GQISTIRPAKSSNTDTSLPTPTGGSFSADVASPTEGDNETQAAQELGSMVWAFLSTAG SLVQEIDTEDELKLLRLRTKKQELVVVPETKYILVVIHDTPPA PFICI_10546 MATLTNAEIEKLFSGAPQYFARSQGTGTGAPHPSVAFPWDEDLR IRDLTDHTQIEDDAWGSITTVPRLIQRDPLSATTPSGRKRPHFKVKAQERPNMLSMQG LEKGTMGYQAALELSVADALQEEQYGFDSIGSKSTVVVQQRQRLITSKDGLRHMEEAA VMEQLLQCEERYQGTNPERSCSAHDLYHDLFSKVLYPPTKVIDRNDPYSLPVQIHALV KVLAAPNAWIDFSRVEWRIRLGQILWGFPMDDELEDGSSINEGLHDQDRSEERYWLLL QVMLACELLLRLDAITEGDEFGGGNLKASEIHKFEKEANLSVRWSLLLARTWLENITI NKTDAPTSGTATPKGWLTSLTSKMTLKHDHLHGFHHADHGHHRRSLSHVEHHYTIQGR FIERQIFGLTQFARKLRWPDIESYLTRVSINTPVIAQATPINSPLPTSDTQRSSYFGD SKRSGELERKPSRRRKIGAALHFAGWLSKSYVSGLVLPGEALSHFLMSTLLENDQEAM ARLGPMANLCGGFVYGEKSFWSTACIVGRVLAAGRGSAECMGWISSDVLPEGLSDGWL NIDVDEVPEDIAKTGKKARLWGKLAIERESDVLGDADFSNVLPADFIVPYENNHAEPP PANIRISLKSLDLNAQVDSIQSTPVFEDMTPFSEHSQTSEIRTYPASLAFSMTHDGLD GEHEMEYSLARDVYFVTAHPCAPSSYVKYFKSPSSPTIQQIDLQNQDWNARATAPANI TGHPLHKYYTYKAIHFVDLINRPEATLEELLGIDSTSTNNLTAATSKGPRVLVVDCIT GVAPPPSPEMASLSRMSSISSSFGLEPTVTQLQLPTPPRETVERPNTTASAGNRVSRI DPPSPDSKMRLPTRRRQFGSDLEILVRALCAERGWNALISRRRRGCLACAIREAGALG WKVIIRVE PFICI_10547 MELTPIRIPGKRRRKGAPPIVFDVGSAASRRHRKDSGSNSSKRR GRSRESTSSDMKKKKRQKVAKRPKASYLERELPLEIVERIFWMSENINFARSGPRVGQ LLSSPSTRRETFLQAFGPTWDVWFGVVRTNHLRVASYHDWQQDVARFGGNPEFQSALL QYSWVDISFILSCVDSWAQKYARDRFYQHQKLWGDPPSTFDADSQDQLPEEDEADAGG IGSFTSASRYFEHDYAAFAAQAERGDPLPALDDEWSWIEVHRETQIPDGLLTGPSWDD AAMRKLFWLVRAGARLSSDQTWETTLEGYHNAMKSTGDDHVNLLVIRLLLTLAGDHWP AHIASAELDKLHDKERELWSSQQREVYSRYACVARMLVQRYSAPTADT PFICI_10548 MVSARPPVPSIGLLRFLRSQSENLSFFSPNQAHCLNQAASRRAL CPARRQLNTATRVSVDSHPDTQQLQSSVLDIQRLFTARSAAKDSRSLRNRRPATFLPA AGGARYNSSNDSCTPTLKERLWGPRTRKLPNALKPDDLPEHNEDGDTNSMFNTRRQRA QQAALEPRLRCTEVDENGNAILVDGEFKKTELIARFGLQPRDLRKIDSSNLPHILVRE RAILLNLLHLRVLINHNRVLLFDVYGSRSSHAQSSFMYELQHRLKQQTVGSGPAVPYE FRALEVVLQSVTQELEADFETVRNPVIRILSELEDDIDRHKLRILLVLSKRVNTFEQK ARLVRSAIEDLLEADDDLASMYLTEKTHDITRAPDDHTEVEMLLESYHKFCDEVVEEA GNLVSGIRNTEEILRAILDANRNALMLLDLKFSIGTLGLAMGTFIAGLYGANLENFIE ETNWGFGAVTGVSIILSIWVCIYGLKKLHRVQRVKMQSDEKVNLEKGYSWFQDEGHAG LLDPRSREKLRRINQMKLNTVTKKRWWEI PFICI_10549 MRLRSNDDSLPVTHSHGPYNTSLASTASSSASSIFSDASSQQSD DTSISAYTSDSLDACRSRFSSSEWTESYSQRSAQQVPQHMRQNPRRTSASSISRTGCP PTLVRQGDRKVNFVDNLVDSSTQIVEAIWPLSSIPSRAELGQRAVLPLRTFIQETLRR SRTSYSTLQVALYYLVLIKPHVPKHDFTMEQPDDVLARRALQCGRRMFLAALILASKY LQDRNYSARAWSKISGLATQEINQNEMAFLLAVNWKLHITDDVFQRWTDIVLKYTPSQ PPSPGGISSPSHEAEVQNWKNLILQLTPELDNVGGIVSAKSKSTTGDFRVMSPRSLLS ATRERSRVCGSESNESTPTPRSYDVPYTMEPAPKVSNTPGLLAPALGLLPTPRLTPQS SGLGTPAASTSSCLLGAKGSMGFAMAHASAAQNSERWPGTLSTSPLSYYQPARRSSLA NSVSTASSPESMVSDTSRTSRSSSISSASSLVSAPSTKLDVQARCRSAKLCSERYSMR PTIASVREDYEENCITSSPESYTGPVGKDLMEMSLDTPLAKSSCENDDTAADAARALQ ELQNSSFTFHAVNTMAGSKRSRPTSMECPLQENVRGLLCSEYGSKRMCYSTDASILPI TIPSVHPAMGGLGGPGMWGGIL PFICI_10550 MSAEEDLIDYSDEELAPNDAAPANGKKADAAAAGNNVDKKGSYV GIHSTGFRDFLLKPEILRAITDCGFEHPSEVQQTCIPQALLGGDIICQAKSGLGKTAV FVLTTLQQVEPVAGECSVLVMCHTRELAFQIRNEYNRFSKYMPNIKTGVFYGGTPIVK DAEILKNKETHPHIIVGTPGRLQALIRDKHLRLGSVRIFVLDECDKMLDQIDMRRDVQ EIFRATPTQKQVMMFSATLSEEVKPICKKFMQNPTEHYVDEDTKLTLHGLQQYYIKLD EKEKNRKLNELLDELQFNQVIIFVKSTLRATELDKLLRECNFPSIAVHSGVSQEERIK RYKEFKDFNKRICVATDVFGRGIDIERINLAINYDLSADADSYLHRVGRAGRFGTKGL AISFVSSEQDQEVLKAIEKRFEVALPEFPKEGVDASTYMAA PFICI_10551 MEDVASFDVIVVGAGLTGIVAAQRLLQAHPETQLAILERDYCVG GVWSERRIYPSFWSQWTHGVAEFSDMPMEKPPAEDSMYDLFRAKYTTKYLEDYVDNMS HAGLRLRDRIQFNTQVESIKKIDGRWSFECIDTKTKSRRTMVCSRVMMANGQSSVPNM PEFPGQENFGGKLVHSIDFGQSDVVQNKSIQHVSVLGAGKSAADMVYEAVKAGKTVSW IIRKTGNGSLGPAALAPIDLPTPYKNGVEASQARIMASLQPCYLIPNQSWWTWLLHST RLGAKLVSKIFSALDNTVRKYAGYRERKSDKGFEKLEYDNEIIWQNGTAGGCHFNDFF PLVADKVNVHRGDVKLLSGNELYLNDEDGTHFPCDAILCGTGWRDGLDMFDHDTLRAL GLPFPKTIEPQEETAKWEKLVGDADELVLRRFVMLRKPPKHYHKHDDRTPYRLYHTMA PVHDDSILFMNHIVAGAKLFAAETQAIWAVAYWDKAFKLPSVAEREKDIAHMIAWNKR RYLSNGELGNFAAFDSVPYADKLLDETGLSAHRQKGWLGNMFSPIMPADLGRVWREYL DKRTE PFICI_10552 MSKGVAAKDGHADPLDSIEESEYPGGLKLRAIIVALVLSIFLAS LDTTIITTAIPSITSDFNSLEDVGWYGSAMFFPLAATQSVWGKAYKYFPVKHVFLLGI FIFEVGSLICALAPNSNAFIAGRAVTGTGCASVFAGCFIIISLSSRPRIRPAMTSSLS ATFAVASVVGPLIGGAFTQKVTWRWCFYINLPCGFVAAVAMLFAFKAPKAAAPTPAPL KEKLLQMDIPGAVLICATILCFTLVLRWAGVEKAWGDSDVVGTLVATPIFLILFGLDQ WLQRDRALIMPSFMKNRVLVVGAVFEFFIAGCFNLSLFYLPIYFQAVRGVSVISSGVR LIPVILGLTITQIVIGGLIAATGIHNPFLILGPAIAAVGSGLFMLLDDQSRTGQWIGI QIVLGVGVGLCLTIPLMLSQVVVDAKDVSTATPIIIFAQSMGSAFLLPTAQAVFQNEL MKSLRQFTPGIDPLVVLAAVANSEAISNLPSASIGGVVQSYISALRYTFAIGIPFAGV ALLVSLFMPWFKYHNAANKPTDNTALSQEENGNFPNGEDKETS PFICI_10553 MASMSHNQRLAAWQDLTQSPRDPELVKLLASSGPWPDPPFTDIP QCRGISDYADGLLTKALGSPPTGLQEEVRSISTSDGYTSTALLTRPVPGSEPGPLIVL FHPGGFFLGSPTKLTMYARPLAKLFDASVLCPAYRFGPEHAFPTGIEDAWQTLQWAAA HASELGADPARGFLVGGISSGANFAVVLTRRAVEVELQPPVTGTWAPIFMGLKEEDAV PSDYKKFWKSHEQHRDALVIDENKEATMWDYYKPQATSPLFNPLASPFEKINTMPKIF LQVAGHDIFRDDGLILAYALQDHGVEVKLEVYPGVCHSFWVFAPGLTLSKKFVKDIVE GFAWLLGASTESLGQGWETAMAMPTIQLEDTT PFICI_10554 MATTPIWAKQPFKALYSVMFMLKAVALLPLLVLRYGPKSLRPLP EQGLRICVVNALVRQLFAYQTATRSNGVADVESGHKKAKERFALVQPAEAELYRGVLN LNGSIHPAAVGGIWYPSPLAKITPAPESEKEKVVLHFPGGAFVLAFGTDANGQDISGI MKQHLHASKTFLGQYRVSSNDETRFPAAIQDLVTFYHYVLSLGVAPEDILLSGDSAAG NLVLGLIRYIEHLKSPQLPPPSGAMLWSPWVHVTAQANQDYKTSRNAQNDLLIGELLE WGANAYLPHDDDKSASSDGLPYISPLHHPFKTQVPLFIHAGGSEGFRDTIANFAHEME GIEGNQIRYSQTDQASHNLLIAYKGLGLDREMAAVAEEANEFFSAYTAA PFICI_10555 MAKPKQQGNVANRPLYSRISYLYQAAAYLSTTATNESSETSDLT PHVDVLPQAELAAASEKRPLEQQVKQSVARHLLTDMRSTSLKTQIRLSPAIKRTICKY CDTLLIDGQTCTSVIENTSKGSKKPWADVLVITCNICGGLKRFPVNAARQKRRPVRQE VAPGSSSLPQRSV PFICI_10556 MAVSNSTKPILLFVAAAAAGHVTPLLRVASYHIQKGYDVLFLTG SEFKDQVARAGAEWSALEPLDMEAFAPERLAALDAKMHVEMFAYAFDNVFLTSLPKRV QQLRQALEMLHQRDPNREVIIFHEVGAVAVHPFHFGAPLPKGYTTMPKTICLGINPIM VDSEVTGICGPGFPYDDSPAVKARHLYMTELFRRFPFRGIAESHAKKLQEVGCTTWPE YFAMDDFVLTSDLLLQLCGPGFEYPDPTRNPKIKFAGTLPPQSLNPTLEYPSWWSDVT DASAAGKKVVFLAQGTLTYEAEALLLPVIRALQNEEDILLVVAIGSRGASLPSDFELP KHTRVIDYFPYDAVLPYADVFIFNAGYGGASHAIGHGVPIVALGTTGQDKGEVAARID FFKLGIAIRSEKPEIGEIHSTVRKVLDNGDYKKKALELQKESLDLDPLAMIESQVREL AH PFICI_10557 MADVELIRVCTVGGNAVSAFLSWRLQATNACDVTLVWKTGFEAV HQYGISFKSASFGNERFKPRHVVRAPEEAGSTKDGPFDYVILCVKALPDVYDLASVID SVVTPQHTCILINTTATLGIEALIEERYPTNVVLSLVSNAEITQIGTSEFEHKGSTEV WVGPANKNSNIPSAIQEDMAQALAMTLSTGRVDCKVSSNIRQQQFERVIGPIAFHPAS VIFETSSHTALLEKTGVRQLVSDIIDELIELAKTQGCTLSADFKENTIKEQCQTQESS IMWQDYVARRPMEVETYLGSPIKLAKAANISLPRIETLYAVFHHLNVVNQSRPKEMNG VPPPHGSPSGPMPGPRMSSNGPPRSVSNGIPNGMGRARPRNSSNFSGPPPGMRRPPPG GPNGFRGPSGQYGPQSRQPSRRGSMEETDLGEFSHLVLYDDIPEGGDGGFPLDNGGDL DIRERELQLRQREMALKEQEMRMRRNGPPGPRRRPPPRTAGGVYDDDDDEEGDYFDPD ALVTPSVDPDKVDMMSMTSRKNRIKAPSQSQIRNDPEMGAASGAPPARNSRWGRPSFG SRNRSSQIMNNSVPNLHSNIMDDPLLGYSSNRYGNVDRGQMHHAASSRANSLTAQHLD QLNGPGGMGGPYPRRASQSPGNPYSPSIRGGNGRPSPPNGFAGPGGPQGRPSPPNGMR QPVPRYPPGQGNSVAPQQVEQRVGVSSLQPPRAKNVRSLTGSASASAGSGDSTNTESE PSAHSSQSSLGPQVAIGVQ PFICI_10558 MATRSTNFGSGSMARPSMAPQGSSGSGSAVKARQLAHLHSQLAQ LSSNLSDTENLLRMTAVQAEAMRGLGAWHGGMFMAASKVLGEESVKEQPPQK PFICI_10559 MVSLKTYCTFALTAFSVALSACTNSSTSIDGKPFPPLIEAMLED LVSGLESGLFTSVDLVNAYTARILEVNSTLKMVTYLNPDALSVAAAADALRAQGTILG PLHGIPLLIKNNIATNDSQSNTAGSYALHGAEVPTDSTVAAKLRQAGAILLGKANLSQ WANWRSDNTSNGWTADGGQTEAAYYPGQDPSGSSSGSGVSSSLGLALAALGTETDGSI VSPSDVNNLVGIKPSVGLTSRYLVIPISEHQDTVGPMARSVKDAAYILSAIAGKDPND NYTSAIPFDTIPDYAAACDYFALQGKRIGIPRNVFDITGAEEQYGAVIAAFNASLDVF REAGTEIVDDIFLEGWEALATSDYELNVLKADFIVNLATYLADLTVNPNNVTNLQELQ DFTQSFPAEDWPERDTEIWQSALDLGYDNTSPEFWSNYTAQLYYGGLLGLTGALTNYS LDALILPTTFASTLAAVIGSPIVTVPLGRYPNNQTVIPNSFGNLNATAPNVPFGISFL AERFSEEKLIGLAYAFEQRTLVRNTIKPYLEPTTELVDIVGKRKRLARMM PFICI_10560 MHMAQQNDPQDEDLRIQIMSAGEPPRPAVIEEDFLDDVFGSDAG SAVFDGSEHGAEARESHPSDTRRLQAEHTTAGYRDGITAAKAKSIQAGFDEGFGLGAN IGLKAGQVLGFLEGITSALRAADRDDGGGGDDYREAQRHLEEARKELSTDSIYDEKYW LPDGTWRFELPSATAEGDVVFEDIAGAHPLVRKWNDVVKEQLSKWSVDVQILQHADEI GAAPAPEKKEIKLEQQARVQLDW PFICI_10561 MRTYTFALLALATGALAHGGGGEGGGDHGHPPPPPPDCTDDQPA PTYPAETTYYTVSTTTTECEESSTAPVETYPTYTEISSTAPVETYPTYSASTPVEPYP TYTEISTAPVETYPTISETYPAETTTTSVTLPSETYPGTYPVETTSSTEAVSSSSSYP VVPYPTSSWTTSASYPTTKVTSVGTTSVTTTKATSATEAVPTTSVPVTAGAAVHHVQG AGAVLAAAAFALFI PFICI_10562 MTSRDSLSPDLSVADRLDDEIAKLRAQVESLKNELRLQTATLLA SDSTRRILQDDNTDVRGLDASARSRLLTESDKQVAHQRQSLYRACASITALKIRDPDP NAVDKGNVLGLRFEVMSRSRFIRPYYVMLNRPYAKSQHLRIHRHTVPPCIAISGLAAR HLPASKLDKDGQETHHQDLPRFARALRRELVRYQNRITTIGDLRKAAGLGNSSTKRHG DEAVAITDISAVDAEAKQVRLEWEDGRVGRLVMDDDGKIAKVAVQAGNERDRRRVREL LGGSTHIQDLVRHLNPHPEKTDHKRRV PFICI_10563 MGVKTRRSKSPKRDGLSISTSGRENGSLNGDMDNTEYRRKTAQK LDSSQIAGNLIARESFTLDDPVPKTPTVNNHGFWELPKQDQKNFGLLVLLYFLQGIPM GLAHGSVPFLLKEHLSYGEIGIFSLAGYPYSLKLLWSPIVDAWWSPKVGRRKSWILPI QLISGLGMLVLGSVIEDWMATTGKPGGPTVWNFTWWWFFLVFTCATQDIAVDGWALTL LTPGNVSYASTAQTVGLTAGQFLSYTVFLAFNSADFANKWFRSEPLESGLLSLGGYLT FWGWAYLFVTLGLALLKREEKTKNEDGIWDVYKIMWGVLKLKNIQTIIIVHLIAKIGF QANDGVTNLKLLDKGFGKENMALTVLIDFPFEIGLGYYAGKWSQEYTPMKLWCWGFMG RLVAAVLAQFTVMIFPAGGVTSWYMLVVIAEHIFSTFTNTVMFVAVSAFHARVADPVI GGTYMTLLATVSNLGGTFPKFFVLRLVDYFTEAVCHPPTSKVDASLLKSPLVTAPFSC AMQGDKELCVNGGGSCELTRDGYYIVNIICVLVGLFTFTMYIRPKVLHLQSLPLRAWR LAGGK PFICI_10564 MLSSCFGCGGSRDEDQEPLLPRYEQDTHLQRELYRKLHSYQMLR ALGKGYMPTTEQTIINLRTLLAADFLSPDNPDLSDSGRRLVRLTKQWLHQFITLLKNK NDKDQLQDLIWFLTKSRISVDVEDLQHRAKKSKASADAAAAYKSLQTVGSLLFTNSDF RVFLGDLNTVGREIFKDSALALSHAAEDAGKRLEPSEAEQQAVAHSNGNTNGKAPTGS DLGKDVQDVSKIVAQETAEVAGATLESAKDKLSGDEGHTLLKRLQQVVLNLRKRRDYS DSVSTLSLLIKRYAMVYSRAAEEIAEVAQQDVYENPETDKALVNMWEFVNSFGDKKEW EKCEVLFKKVLAHKDSDPEFENFMQDIGNSLQRLLTDPDFFMNADEKLRELREKSKKV GTESTLRQDVDDLLQQLAVTFQSVLRDEDVHNLITTTMRIFGVLSPTNSATNPELVQD ALHVFIPLAISAIQYLPIPRLEVSTPAIDLLLENLIIEPGVTVNQTSFLPYRLKIETY NDLEIRKARFRTATTSKNLVLIKIDGLSAKADEIGFWLRAHSGLLRLADEGIASFALD ERGIDVHLEVEICRERMEQILTLRGVRVRVHKLDYKLRKSRFSWLGWLFKPLLRPILK TTMEIQLATAIADLLRAGNREILYARERLRATRIAEPQDIWTFVKAVASRLVPEEDPD LYTRVGVAQPGEGVFKGVYAPGSIVKIWNEEAARAGERVEEYEQGGWKNEVFDTHVQN LM PFICI_10565 MSVPTTSDHDTTASMQTEKLQSDTEPEHASSSTQQQSTTYASGV PLILISLGLVLAVFCLGLDRSIITTAIPKITNDFNSLEDVAWYGSAYLLTTCCFQLMF GKLYVEFKVNWVFLVALALFEIGSLVCGCAPNSVALIIGRAIQGIGCAGVMTGALTII AQSVPLHVRPMYTGVIGATSGIAQIIAPTLGGVLTDRATWRWCFWINLPLGAVTAVVV LFFVRLPPQKKQEDHTGIFKKLDLEGTAIFMPCIICLLLALEWGGLTYAWSNWRIILC LCLFVVLFLVWLYVQYVKGDRGTLPLRIVTQRSVASGMVYVLGASGALFVITYYVPIW FQSVKGASAEKSGINFLAASGAMTVGAVLSGALTSGIGYYVPQMFGSSIIMSIAAGLI YRYNLDTTTAYWAGTLVMFGFGTGMGLQMPLTAVQTVLKGSDIAIGTSVVVLAQTLSG TVFLAVGQNLFQTNLVSALVADASDVDPAAVLANGVSDLSSFITKTYGSAAINGVLKA YNAALRQSFIVCIALSCFMIIGASFMEWKSVKKESMKDQQQDSPLARISSVV PFICI_10566 MLAAGQSPSGAATNERNVQSNDATTPANNTPGSRITPSTLPLTS QPSGTALAQTAAISEETIEIVPGFCVSFLEADQILEDYRSNMLSQFPFVPIPFTKSQD IYHSQPLLVKAIIYASRPQNAPMTDKVNRWFREYFAHHIVVLNEKSLEILQAILVFLA WREVEFFINAYDTSLLHLALGLVGDLGMNRFPEDQTIPSYPESIADAGLTTAVRGFNV RVVHTHAHRRAMLGLFYITSNIWTLFRRTEPPGYTDYAEKCCLELKQTPEYSSDQLLT GMVDLQRLVLQACVLGSKMTTTTRQEVLDTPRNMLVSSQQNWIEETFTKLPQNIRFNH VIRSHYYTALIRLHESTIYLKPSNAPDGPLTRTQSLWACLENANLLLTSAPSTPVDVF SKSLFSMTAHVAFAHLTLTRLLFLNDACWDAAAARKSIDYPGLVERLADYFDTADRAR GGRQQTAVHEHAEAQSQHGKKTLSRAERLRWARTWYLSKSPIHETATTSMLGSAMNEP PSTAYLEAWGTSVASTYWQTLFDTDFGI PFICI_10567 MLGVSLFQSAFVAWSLLSSSAVAVPHGGYVREDLLVPNHNLTGP ISPIDLHPDLLRRQAVGSVPLRILPLGASIVYGYASSDNNGFRKKLRDQLRYRGWEVN MVGSKRNGDMVDNNVEATPGDIVDQVHERSKLSYGFKPNVVLINAGTNDCTRSIDIQS IGERMSSLINDIWAADGMENTLILLSQVLKNADGTCTQNRVTINAQYNSLAQTLRSQG RPISIISMDYIDLDDLQDGTHPTDYGYVKMANVWWTAIEEAAIDGLISAAGDMDTVSS NTCDKEYGSGTYAGGLTQRGSGVGDGIYYHESEGKDVVLTISSAFDRGQWFFARLYGR GRDDLVGWFNATDGSQHYGVWKNNGDYNTAKFDKIADMTASSYCIPQGVHFIDLNADG YDDFVCINAEGNMYAGINQKDGTATTPPTFKDIGLIKATEGWAQDRVRLADIDGDGRA DYCIIDDSGNVWCWRNGWVDDVPAYWQALGLRFTAKGMGDIRGVRFEDINGDGRDDWL WVSDVGQTTTWTNARSCAAGVLGDGLNVAWRQGFLKGQTSGPTHMGMADFVTDTETYL RDRIHFARIFGEPQAFGLLGKQDYVFMEHVAETSGSHTFKMRVWKNIGYGSTKLEADG NKYCNMKGYSDGRMDYVWTLSTGNMRLYPNNGLKQIIGDESFWGPVENDIWDPVTLIG KNLDRRDLHLVDWDGDGACDIVWVDPDNNNHVSLWINKYPTTSTWTWDYHADPAPALT CAQSRGLGIHDLPVRFGDISGNGRGDYLCIEKDGRVSGFVHNSDDSWENVGQIKFADG MDRANLRWSDVNGDGADDMVWIDKFTGDGTVFYNRGRGDPAELSGSSFHWEKITDPVY QGGYAGTCQYLPDLDGDGRADLHSIIGTWTNQAYTWFNRCGLTDVTGDDGPITDPGLP NPDPPTTGGDPVPDPDADDICIKGDEIGDIRGVDMSILCQFTCTFNLCPEQVCVCTEY GKQAIEELPDEIPNTSGYATGLLITNDIVSDMCAFSCQHGYCPDNICKEYVVSGGIFI VDPPTCSAPVGDSEWECTTCETDHDKLNPQYYPDEQWKSSGAADSLKDFVTWYTANRE SLGASRDVLTMALASFFDYSANVNCADDTNSACTGSVACQSSDTISLAGVVILDGVFN LRSFLVAWRDALLAESVTSIGRISDFAELFTPPVEQSFADQFGQWLVDTVMGYTLGNV FDGLAGKLKLSEGLTTEAKTYWGMGQDKLMEVIDDDLSDVAETPENSEIEATMLAFFS GQRQGIADYMDFIFNGPDYPADSTPNLDELVYQLNVGVWHAGAVDTLGAIGNRSAVFM WEYLINYYWANSAYHSPVLIMSEDADDTSNPFRQIPGAQGTKLNPGQEESLSAEEAES VRFNYDGKTFWLVGGVKCQSWGAYQGQRFCTSPGNNIQVYDFMMLKGIESLTGENPDD GGWEAISTEDLVKSAWDGYKLNGNKNGYATYPNGDSDDTGTVFQYEQGIATPGLFKIP ICHYDQANINNRAYNLNGRASSTLPCADYPCCTCEELGVNCDGLEDW PFICI_10568 MATVISDSGHAGRGQQRTLIPHMVDKLASTNPNTIFGMWPVMPA SYEAGYRTISYAELANMVNGLAWWLVQNLGPGQDCETLAYVGPNDVRIPALLLAAIKA GYGLFLTSPRNSAAAHRALFDAIECKTLITSEPTPQPALAIIEAVEPRHLKVPSLDEL YQTNFAPFVYYKTLEDGRRDPLMIIHTSGSTGIPKPLIWTQETGFRHHQSSACPAPTG IPSLESLYLGKRVLVTVPPFHGAGLGQSHLYAIPFGNTIIAPAATGIVSAESLVEALG HAPADVALLVPSVVAELAKNPALLHYCAQHLRLIVYIGGDLPQDVGDIVAAEIPLRCQ WGASEVGMPQQLIPRDLDPCKDWRYVRFHPCAGAVFDKVAEGIFELVIRRQPSLEHTQ TAFSIRGQENLVEYRTGDLFAPHPTVADAWSWKARADDIIVFLNGEKTNPVSMEQHIT ARNAKLLSGAIVIGTKRFQAALLIEPIKAIETTAQEAALIERVWPSIEEANRDAPAHA RVEKSLVFATAPDRPLVRAGKGTLQRTASLAQYQAEIDEMYERQEMLQDTEELADVEG FSDASDVVQRIRHVIRSIAGWPNLDDSTDIFERGFDSLQALRLTRALRKALHRPDLGL SMIYQNHTVSTLAAAAMAQTQHGNDQETMESLLATYSQLIRQIPVPKANDGPLKETPL NIILTGSTGTLGTMILRTLLERKDIGHIYCLNRDMDGGHASQSKRFVMLNLEEKLDSG VTFIRADLAHPRLGLDDAMYETLCKNAHYIVHNAWPVNFNLAFLSFRPQLAGMVNLFS LAAAGATPMRFFFISSVGAVAGLRSKAGMVPEAIVKSLDAAHTNGYSQSKLVSEILCD VAGQHLAMPVRIARVGQVAGPVGVGTWNRTEWLPSLVISSFHLGCVPQDLGQRFSAVD WIPSDALAEIVVDLVATSRSSRKLETLDTQSNGVEVFNLRNPSTTTWSALQPALQEAA SVHLHKPLDIVPSFTWLERLQKSSESDTDDMAALVAANPAIKLLAFYRNGLWAEELAV EPMSVDRAVAESPTLRDLPPVRGEWMRKWVEDWMA PFICI_10569 MAQQQSPQSPASPPHESQTPALSLSFKLTQNRPSSRSSSVSSVA SQDTMATASTQGTSTSIQEENAYFAANPATKTLANHVALAREFINYHAEGNRRVVLIT SGGTTVPLEKQTVRFIDNFSAGTRGATSAEYFLEAGYAVIFMHRQFSLLPYSRHYSHS TDCFLDFMSEGDNGSVVANTEHQEKMLRVLRKYNSAKRNRMLLMLPFVTITDYLHELR SIAQLMHPLGPNGLLYLAAAVSDFFVPSDRMAEHKIQSTNATDALSPEASTATKIQDE EAFDNFDSSPSVPRSKRLIIDLDPVPKFLKNLVDGWAPEGMIVSFKLETDPAILVHKA KYSLDRYQHHLVIGNLLTTRKWEVVFVAPGQRDRWIRVPRTRRRKSASGNEELVGAAD SEWIQDKPMDPATLPEGEPGMEIESLIIPAVQELHDKHVEEFKAKVAQK PFICI_10570 MKYSAVAAVLAPAFASAQWWAGAPGCAQSCLSSAYGGNASATSA NWPSQTDYCDQNNGNNVGACLSNSCSATSTAWSSYQALSSSICAQWASCTSAGSTGVH TITVPSGTVTWGAPGGWPTNGWGGGGTNNGWGPGSHAGAGDHPGGPPGGWGSDGGSSL WSQWASAAQQSGGAHTWTGGVFTVTGCVGDGSPWFAGPGGGWNNYGGFNGWVGWGSGW SQGPASTATVTYTTTVADNGKNDVTVITGMATVAAAVSGDVTTTQTLGLVAGSASSTA NAAAAPMRLGGGGHEGGIVTSMCGLALGFVVGMALIL PFICI_10571 MASFIANMFPGSGAKEAKSPLGERPGTPIRNSFIEPPSTPLGSP SKRTIPPGANDLPVAFESSMKLGATTGLESPLKLRPQTLAAPLSPTKSNLQSLDDDYF GTSSPPLDQSVIHKTKTMASTGSPLKKQGQENTPPSRIPVIGSNSPYTHNQAAISRHE LYQLNRPSPSSNKKFNTNRGLTPEELETLKKPNVRRMVNVTQLYFLDYYFDQITYVGA RQNRLNAFREENPAPEDGNDETYRDLWKKYCGRERANLRKRRVRLRHGDFQILTQIGQ GGYGQVYLAQKKDTKEVCALKVMSKRLLFKLDEVRHVLTERDILTTAKSEWLVRLLYS FQDDKSIYLAMEYVPGGDFRTLLNNTGVLSNRHARFYIAEMFCCVDALHQLGYIHRDL KPENFLVDSTGHVKLTDFGLAAGMLAPARVESMRIKLEKASETKVPFGKPMEQRTVAE RRDGYRSMREKDVNYAKSIVGSPDYMAPEVLRGDEYDFTVDYWSLGCMLFEALTGFPP FAGSTADETWRNLKHWKDVLKRPVWEDPNYFLSNRTWSFITTCINSRSKRFSNIKDIY EHQYFSEVDWNMLRQTKAPFVPELDSEMDAGYFDDFTNEADMAKYKEVHEKQQALETM ADRDDEMAKSLFVGFTFRHRKPTTEDGTPRKPIPKDDGFGTML PFICI_10572 MPKERNFNPVQAQRKADKAKEIKKGKAEASARRNEKLAKRNPER IQKQIEDLKKITSSGGKLTKHEESLLESLERDLKQVKKAREALGDQAPTFGRNGPRPG GQGVLGKRRRDQEDVTSDEDDVPEDVKRIPMPRDTPPPIPKEILDQWWAKRRARRANA NDIPLGEGRGGGERQATKEPSAPAAPVAAQTVYEAKPVIRDLKKEAVSAFMPTAVRMK MEKSKGRSGLMEPDEADRLEQEGYLGTTTATPAAPGPRTVTMEEVDDEDEE PFICI_10573 MEEKGQVSTEPQKAAVESLSKLHADQTKERQTDDHINVNPQLFE VQGEPEAIGNTSDAASDPQALLQDETYPEGGLEAWLVVFGAWCGLVSSLGVMNTIAVL QTYNAEHQLSDYNEGTIGWIYSIYTFLAFGGGVYIGPLFDKYGPRWLIIPGGLGSVAS LMLMSICTQYWHFVLVFSLLNGICTSLVFTPCFTAVGHFFKARRGLATGIASSGGGVG GVIFPLILQRLFDRLGWAWGLRIVGFICLFLSAICNLLVHRRLPAARNSSIHPDFKIF KDKAFLFTTIGVFMLEFALFIPIAYISSYALYAGFDQGISFYILTILNASSVVGRLLP GYWADRIGPFNSNMISVFITIVASLGIWLPAGKTLPGLVIFAILFGFGTGSNISITPV CVGQLCHTQQYGRYYATCYTIASFACLIGIPIGGSLVTACGGSYWGVIIFTGLIEVLS LISFQIAKGSCVGWNPWVKF PFICI_10574 MASDGGYAPLPPESDTLYHLPNVHRTGLIAVGTAALISFISTTG LFLFLTYKLLADLVDRWSHPRQRQRGSIEQSIDLGDRHYSMHESVVGHDSKSDTVPMT TSSEKRARNPFPLLIYNLLLAEMQTALGYTLNLEWVIRDGIYVGTSTCWAQGWLNNIG ILSSSIFFVSISINTYLSVVQGWRPPQWFILTWITFCWLLSVFLTSGGIIATNNGRDA GGWYVRANTWCWVNSQYASARLWAEWAWILASIPITIILYTMVFWSLWRENRSSRHLP RRHLPLHEPNLPSGHHPAFLVYPFIYIACTSPLAIARLITVAGGSPSVTYYCIAGLTL GTNGFWNTILWSTTMLFSTPEDMRNTGLDQFSFIRTPITRRYGNIVHINGPASRRTTN NASGLDGVVGTSSHGGGWWWWNLGGQTRWKGAHSRNTSQQSLHREIRVTPPPPETGIQ LDVVTTVEHEFINDGGGATAAAGHDDLILSHHPTKADSTMTADSGMGKESADRISMYR PPTL PFICI_10575 MEQGRSSQPAIAQAAPTRSKDALEEGELSEGELEDIYDPKGPHV VSHPPTQQTQPSGANDQATGSPGDADGSSIYDTGSAQEDMVIDSTSASQPGFDEDDDY EPGEYEPEYHPREKSGSYSPRLSPKEARSAVPAGTHAASNGQGTATEPEYLNGQKSLN ESRKAPSSINQKMTPTSPSPPYKSLSEAKKKAQEAILGLWPFKVRYQDYLDEGLDPQT VKSLFKELGLDASSPKPATVSAISTTKPPIPQLADSAQSQSSTKASALPPALSDQAKP AANLASTGQEPKTEQKKSAQEERKDKIARMLAEKSKKTAAQPPPAVVSSPTVPSPKVP PAAIPATSSEITEAAKSKLRAQNNQKLLEKLAALKKQQGTKPEPGQQPSTPVAPVNKS ELPVVSTSTATSAKQSVDPKPPVVEPDASSASGGQVHSVSSSPHPAPTSRNMKRPIAS DFDGYSSTSSAFKRNRTQETLIIDVSDDEDVEMDLGSPTEPSSSAIQDSISGLRTNVL ASHPPLTHSRSWHGPKSNSATPAAQTPSGHGQKLDLLTQQIQEARRRIAEAEAKKASR SNGEPTPLAQSPANTPDPQAPALAKPPQTAQGERRDRITAYHIPVLDAALREKQERLR RLQEEAAQLEIEVKATLDARQQLTIEMDSPDIAKPTSPQLNGSLEAFPQVAAPEPAHT GEAHVAAVVPEPNPTEVSSNIDSKVSSGKDGSAASSPNNTSMEIESDSASSGRVTATA IVTAEMPSQVDLPSVATSPPGPEPAESPTRQESASLSVGVVVDAEPQDQSTTAVLGDV PQEQPSTELDVPMQISDAEDEDYEPQPPHISDTVQAYGTSGTADEVIPTHIVRKPVLI QEQIPDEEPYEPSPSQVAEPTTQTASNATNATAGDAQSRSGKEHPLLTTEQAKSQNEL SAKDLLSYQSPLRYFHAYKFHPQYMENVAGGLKSMTYSSKIDTSRPICPFVLDGGQCP KGPDCEFQHFEKMVLSEPAIIAELGSTATYTGEQKDRFIEGLKKVLQDLKTRKIKDFE SITKALMDYRADFLGDKSKILPLNDIVI PFICI_10576 MSQRRNPFSRSGSPSTGPNGPALASRPKSAILTSPSPLSSVTTS PTHNRNNSVASIAANLAPASGAGSKHHRNDSKSSAPNSSTFAPSFIKTEDLRRSIDVV KGIEGENDFSGKRYVWLRDPETAFVKGWVVEELANGNILVQCDDGTQREVESESVDKV NPAKFDKANDMAELTHLNEASVVHNLHMRYQADLIYTYSGLFLVTVNPYCPLPIYTNE YINMYKGRNREDTKPHIFAMADEAFRNLVDEGQNQSILVTGESGAGKTENTKKVIQYL AAVARTESQTKGRGQHSNLSQQILRANPILEAFGNAQTVRNNNSSRFGKFIRIEFSNA GAIAGAFIDWYLLEKSRVVHINAQERNYHIFYQLLAGADRQMRQNYLLGDMEVDDFSY TRDGHDTIVGVSDVDEWSSLLDAFGVMGFSEKEQDSILRTVAAVLHLGNISVVKESRM ADQARLSPDSKDVAAKVCQLLGIPLEPFLQGLLHPKVKAGREWVTKEQTPDQVRLGID ALAKGIYERGFGDLVSMINRQLDRNGMGLDDSHFIGVLDIAGFEIFEENSFEQLCINY TNEKLQQFFNHHMFVLEQEEYAREQIEWQFIDFGRDLQPTIDLIELPNPIGIFSCLDE DSVMPKATDKSFTEKLNSLWDKKSNKYRPSRPGPKHGFILTHYAAEVEYSTEGWLEKN KDPLNDNITRLLASSSDKHVASLFSDCADNEDDTGGSRSRVKKGLFRTVAQRHKEQLS SLMSQLHSTHPHFVRCILPNHKKRPKQFNALLVLDQLRCNGVLEGIRIARTGFPNRLP FSEFRQRYEVLCRDIPKGYLEGQAAASLILDKLGLDKSLYRVGLTKVFFRAGVLAELE EQRDALIAEIMSRFQSVARGYIQRRSAFKRLYRAEATRVIQRNFNVYLDLCENPWWQL LIKMKPLLGATRTATEVKRRDEKIKELNEQMRLEAENRQRLEDERRNTHTELMRIQQT LESERALALDKEEIFKRLQQREAELEDKLAGAIDDQERLEDQLDDLLDAKKRAENEVE KYRTQLEQAAGFIARLEDEKRELDARASELGQEIEEISRKQADRSAQEDALAEEVKML QSQLSLKDRKVRDMEAKLLQVDQDADIKLAAAHKELQSLKTREQVLSRENRDVQQQLS QLSKTSTDYEDLVRKKESELAILRGDNKKLQIDQRNFEEQRNSLNAEKTKIADRLREV QAEMVAMKSQQAQLQREAADAKQLLEARLTEDAQASQNRQVLEAQINDVKDQLFKVQT DLSRERQSRDDVALLAEHKYQELQTEHDELKELKITIEKELYLQQDTLRRTKEARDTA EKERDEAREEIRKLRVAKSQAEEARMAAEEAGERLASKAAREREASLERDLEATQERL RWFESECEKLNHQIEDLNKFMIESGEYGLKNDQAKERLERELVTVKGRLAASENDNRA LLNKLQQKGLEIARSSSRANEASRGQVLSLQREKARLEELNTKLNKQLGESQLNTASL EKRLEKMQLSMEDLNHEVAREVQSNRNAEKLSSQSTVQLAEATRNLESERQLRTQAQA TARTLQATIDSRGKELDELRAQLLRVLKAVDPEVPVPIQPTDNHEKMMSKNFEMIRKI EELQQNLRIQTAGRANAEAHLSELRASRGESPARPRLEEINPNEAPFTGSPTQRRGKH NRRLSSANTTPTRRFGNENDQVPDSVRSDKTADLLSFNNRQDLKAEVEELQNQLQLAQ MQNRHLQSQIERSTPARDLTPFDDNPSFLRMQKLEKANSRLHDMLDDSAKKMSHLEKS MRSGELSLRDIQAKSHEEILELLNSQEESRRSLLHDHNDAVAELTDVKEHFEKIRRDR ATLEVELRDSRSDLQEMTLAREQEAASRNQLLQEFADLQIRLDAETSKLADVTSNLNL YKSRSDEYFSKLEQAEIAVLKATRAEQFAKSQAREAEEQCAEIMTDRKNADGVVEDLQ RQNQRLEEKLEDLSTDLAAVTQAKKRLQHELEDYRNQRAIDIEDKESSMEQTRKKYQA EFATLTKELDIAREEKLYKQSEITRLREELDDLRCKWDDEVLNSSTWSKEKARLESTL SDVVASRDEAVNAHNEAQGKVVSLLSQVRSLRTAVDDVTAERDALDREKRSVEARLKE AKAGLEDLANSESPTLRNAASTDKEILELKSSLAQQQDIAAAAVEKMRRSESLTNELQ KEMIVEREIGAELSKQKAALEKSLNELQVRLVDLETKGYSNTSHDVKFLHKRIQEVSS PSSSTDETDAGTKENHRLSLPQSRAPGHPISSLIRRLTKRNSNTNKDVAQLESQLETQ ETERSKSNREVRNVDRIVKDMQAQIERKDRQASQAAEDATRQRDKVEKLLKAIEELQA SESDSQLSTRRAERELREEREKTLKLERELEGLRLTRAEKGGFRFGSPALDGSGVRRL SGYRGTIDGVLDDASSLSGGSIEVPQRKSSLSRAPSLTKGFL PFICI_10577 MSLSVAGKYALITGGGSGINLAFARLLLSRGCSVIIGDRALRPE AEKLMAEYPHSPSSSPASSSSSSGQQPSAVFHPTDVRSWPQLSSLFSRGLDTFPRLDI ICPGAGLFEPLSSNFWYPPRTATNPDSPSRDPADVSPSLVNTYATLDVNLTHPIRLSQ LGISYWSKLRMPGCLVHVSSQAGQGASIGTPIYYATKHGLNGFVRALGSLRDEVGIRV SAVAPGAVQTPMWSEDPQKAAMLEGPEKSVLIDPAEIAQGMLELCENPEYGNGTILEV NKNHQRVVPMFNADPPSGEGSSVAGFFKAEEDIMNRIKEGGVST PFICI_10578 MAYHNDSVTHDDDKPSKTSNDYTQYLSQSMNSSKESDNDELKLQ PFGYEASRAHPRTFKSLQPSPDPLPTTSVLGSLWTPLAAQSNEEFRLECQITNPEYGF TKQDDNSRRCLLGGQESMASQPLSSVSASQSDTIVEPPMNHPRSPEAAPVRVEACKST FSAHRYWAWEISASILGIACIASVVGVLIHEQNKPLSQWGLGQRYLSPNVVVSFLGAL AKSACLVAVAEIVSQLKWLHFHNSPQELNDLQLFDEASRGPWGALQLALRKNLRTLLA TSASIITIASLLIDPFIQSVFQFPSILTPISEINPPILSSQIYNPSSESFYQECHNPL NNDLQAAVLRPIYNAIKEPLLPCSVERCEWPTITTLGVCSHCIDLRTTIASTCHFSPS KSDYDLKCNYTLQTINKTFKAGFDNGDGDGVGVGSISKTGAHFTVWTSIPEYVGEDEP IKLPSQPAVISKFAFVQFDSDMHLRDYLPRDPGSWDNFTSSSTPPVKQAGQCTMQLCA KTFETPYYGNFTASNLTGPQAPLIINDKVFAESTHGTLMSLNLGSGSDVLMPTNTTFQ FNYCEYAQLASYLYSLFNVAMDSKGVVDDSRCGVNTTLKFVPNVGMQLGKVDDVSTLV SQVADSLTEEIRTSVNSSATSGVAKQSEVFIVIRWAWLVLPISVTVLTFALLLVVIMT NHARGLPPWRNSSLALLFHKVDGWDSSEMVFSNHEDMAVRAKEMKAQVTYQEGMLLFS KVE PFICI_10579 MATTLKAAASTAATVVAATTATSSSTTSSAKFIPRQTFDVSSSI VRSYFLGHHRGALSAMQRILSNISLIVECRDSRVPLTSTNPLLESSLAGRDRIIVYTK SDLAFDGEQSRFKEKQQLLLNKWHMARQGKGSFPADGKQTATPGGLGNNTDVVFTDEG KAKSIGKLLELIKQRAEHLDSLTGMRALVVGMPNAGKSTLLNAMRRVGMHLGKAAKTG GQPGVTRKLGTPVRIIAEDEKRGIEQGVYVVDTPGVFIPYVGDVGAMLKLSLVGCVKD GVVPVETLADYLLYLVNQRDPRLYEEFSEPTNDVNEWLDRIALRNGKLLKGGEPAREQ AATFVVQQWRKGLLGRFTLDEVNEETLRVWSRKGLPGGEDEPLSMNQARKREKEARKQ RSLAKRAAAADSG PFICI_10580 MASGTQNPNRALSSAAAAAALRARPQTPTNVADVQTKRTMRRSP SVSSVGSAASAAARRSSQQPRLERKGSSSSMSERTFRSPSPHGDRKPLEPDHPPVPAI PSSHKVSRSTSSAGVGMQNFKTASQKMETGLPSWYTQPQGDISNLRTSDAPMTKPIPE HLTSVPQRPDSRSSSVNFSYPGRSRAQSPPASPVVDQNDEWTESPRQTSKKSKRSSVA SSTVTTKPDQTLVYDPNSRRMVPKADLDAIAYQVQAASEKQPKSKKSQSGVSRSGSHL AKGTVGRTRGSLVETNGNTRELRSHEPSSTQTSRAIKDEPRTLQNTEVESVAGVPIDG YNKGHVSTSEQYEPRKSGTSPLPQEPQRLLSPRPVAQMGRKPSMVREESEDESGAEDI HIPSRDIYGALDSVPTRQTLYEPAGEQQTTLAAESELADYSTFEGSDGNPESLASTLE SREQEQIPRHLAVSVAQSANVPQRSLSQSPARQARFASSPSDNLVVRHTPPGRSASPI KSALKHSPSPRDASPSDTSTSDAARARFSSPDRDASLPRKKSARVSFDDRSPVIVGES HGPVADTDSPIMPSPQTTRRPWYSGIGRNRKKDTSLDLEEDEVMKPRPALPSFGSVRE KKVRDTEEQERPLIRPQDVPATTVARTYSPQMATESSMDTGLSSDYAIGTALSQAQDN AARNEANISRFREPLPPVVTSVDGRDDLSDSLNSSDDEHDSFNSAGDDSDGGLQASQT TAATVLESQPNSQINSTILEEKIPVQPEQPLAEAVPASTLPSQPRFEERSETVPGISI TQPSPGLTEVKQTTQQYFDIPGMFPDDNSDESPAPQQTTSFPSSTADAMKEPEAVVIP SQTQVLPQTTLATTPQAAQPEAATDDDDSNASIYSDAYEDISDVDGDGFQSMDAVVES PVTKSSISSHAPETTAAGNTKYEEMEPTNRNNTVFAPAASREAPGNDWEHAKSFWRSL TAEKRRQLELEAMEDAGADGDHEEMQTPTRKLSNRTKKTAGQRQATAVAQAAHAQTTP PEKAKQKQMRPVDAERTYMIQPGSKANHEPLSPVRQSGHMRMSMRGQQPQPARTGTLT KRDPGEVHMRKSMRSDPFTDGNASHRASAPPERVASPRITRPRTAGGQDDQAVRHNKA ASVAVAASAATAFSKTSKPAPQRRGSDASDSSFKRTRAAPSDSMAFRRTLRQERQDMV PARPRSPPEAVKGSSRFSLRSLSPSGSTFRRSSVAGPPTTMGMRRSLRSGSDSSKDSK RASLQFPSFGRASGKAAGKKTKAGTSRFGDSSDEDEPASRFRSRFDDSSDEEDARPGS SSRPMSKGTLRASATAPANIKVAAPVPEEEEASPDLPDSDDDDPSTQFPSPLRSPGNA APAFRPDISQRTNSGIGTTTLNRQRSGPGTLATSVTAPVVTRERRSSFMSSILRRNKK ADNAGKISRPELTESAARRDTKLERNTDELRNLRSDQRPHSPKLQKKNALTRGDSWPL PEPTDDVRPSTAGGRVNGAKVERPDFQGRRSTSLGMMPAQQPNGHNLGSEAIIEGPDS SPKKKKKFGALRKMFRLDD PFICI_10581 MTLDIREINLVEASIEELQKALDSGHVTSVELVARYLRRISTYD CQNLALNAIPVLNNSVFAEAAASDDRRAAGKKVLPLDGIPYTIKDSFKAKGMTAAAGS PAFKDLVSNEDAAIVASIRASGGVLLGRTNMPAMACGGMQRGIYGRAENPYNPEYLAA AFASGSSNGSAASTAASFAAFGLGGETVSSGRSPASNNALVAYTPSRGWLSGRGSWPL YPTCDVPVPHTRSIRDLLCLLDVIAVEDPIKEGDFWREQTFVKLPDPWQDRPLESFKE ISASKSLSGLRIAVPRMYVGGPTPPGATTVHTNPTVIELFERAQKDIEALGAEIVSTP DFPAVTAYENDDLLPAGCPRRPANWGAMERGPLIAHAWNDFIKNFHDETLPDLFAVDT SQIYPDWLRTEPELRHFETANGIMYHTLKESLHRGSHRHSPGYSTVDELEEALLALEG MRRHLLDDWLTDLGCDCVLFPAVGDVGRADSDSNFESAAHTWRNGVRYSNGNRAIRHL GIPTVSVPMGVMSDTHVPVNLTFAGRAGSDVQLLKWANAFEFKTHHRVAPPHTPALES DRIVIPKGPNHSFYEPRPELTVEKAKITDQSDSALCFTLCGSVAVEPSTTTCPVVDIT INALPVPLGMIETDSSPQLIAGKKVFGFRVSQVVNMATTKAGLVKTWAPTARDKTMVV ILARNSPSSRPTGWLGLL PFICI_10582 MFPPSTSLWQAQTAQIMAGDHADGNSHHVGSRPILETPTLTTTQ RLPEKGNTSRSEKRKNVSSNVEMEHRGSSEGGMTGQEKLRPIQNGSFFGAEAFSSVVG RTKFPSKGPSYPPRQNYPPLNPADQNPPCNTLYVGNLPIDTSEEELKAMFSKQRGYKR LCFRTKQNGPMCFVEFEDIFFATKALHELYGQLLHNSVKGGIRLSFSKNPLGVRSGPG QRITTPKDGLSKLISSASGRVMTVDEGDISRNKPMKPRCDSNDSGFESLPPQQGLPNA LHANEGIPAPTSDLPTSSTSATESNVGTVPSVGTTMLSDIVQESIAHICEDLYHKLEP EICPTSFGAMIGNFGELIKAFAIQLGLDQSHHMGPSIMYFVYKHHK PFICI_10583 MPSVSEICALLKGKFMGGDENGPESPQGRRGNMSLAEKMEMWHQ EFSQEHSVFREDAPSKFEGVDDLEDDDPVSNSELSLYSKIILKSSAYRWLVSTLQTQA SLCYGDGHSTMAGDEIRQMVLKQLQTGTISKKQPPRTFATCFVLPGYWNTLRSRLNFY DANGQTIRLQNIVVLSVSSDTDAQIATAGHYMRQMWQDAGTLLLQGFQDLVDGRIGEC IEVQVHDTAYIKIQIIGSDVNVVCHGPAYFAAQCAAQLCWIDCAIQPNRPLPVVRTPC LTQIGAAKFLISSLDETTKRHKVLAHIERTNTCWQRFDPLVCVWGFPIARRPEGFSGV ELPLSPANNSLFLSPDLSLEWPVKRLVLNQWAATLCCVGRINSSWLWHLERTCTCSAE WMENYSGDLHRLTIDDIARDQHILGYCNETSGLLNNRQDETARGQESNSNKESIETVI NTPLMKSLDCNSFTTHDAQSDSVDTDLLSISSFSCDIDDDALQLDKCATPIIDIVALQ LVSKIACRQAPTLEKPLCDQESMSNAQGTASISTQGIQRGSPNSAPNPGKRKASDQDE GDDGEEPSQNRRPEKRSARGEGSSRQQTFACPFWKRDPIKHRTCFHAKLLTSSRVKQH LSRSHTPRFYCQLCFAVFKEEQAQEQHVIQRSCIRVPGMQLDGISPDQQLQLSRKPKL TLTEEERWYRIWDIVLPHDPRPKSPYIDSQLSDCCARFREHWQNLGPELLLREIQSSG VLASAAMDEATTARLLRRILARGLDMIWESWAPPSSSIIQQNLLQQLPATPAYTQEHN SLGPVSSTTSTSSIVDVAPEIHGNLHITPWVGTHHQRVPETPDLSTTGTYTVSQMVFS NPSASEMVQRLEQTIESTNMLDFDDADILDSSHDFGADIGDIGSWVDL PFICI_10584 MACFRHTIRIRQLESWITGTLYSLDRDPSQVPEATISWFQKRLS DWKESIPPPCDIAPAFGGRPYDGYDYYLHFYYNCQRQLYLPMIMSKSPRYVKECAEAC VGVCDTFKKLHRNSVVGYSLWALQTVFLAGLTLIYTTWIQRDSLAPHRVSNALNACNV ILYIIVERLPTATRYRDAFEELKESLDHLLSGSAEQSNPRPLPSEGNVAIRQMLKDGL GLYDYENMIINMVTGSSHDQNSAQSSVEILGAEPSIDMGHGDVAHLMDGTNELIAFVE GQEPSHFGTSND PFICI_10585 MGKAVSALLGKVKGSPHSLSQEEHVEGHSPTPFAAENRTIKLVD VEQDLADRMYLGYLQHISTRWPLLHTPHIAELHCHKDELVDFRDKMILHLIYANGARY LETTGEKLAFVPERHYMLLHKL PFICI_10586 MHHPSKQIKLCFFETACTGNHLSPGQWRDPNDNSRSKDRIEYWQ NVARLAEKGKILAVFFADAYGQHTIYGSNSDAIFASGTQVAQFDPMILVPAMAAVTKS VSFGITGSTSYLNPYILARTYSGMDHLSNGRVAWNVVTSWSKSAARALGQQDVVPHDE RYAVAHEYMDVCYKLWESCWANDAQVWDAERRVAYDPAKIRTIHHKGKYFDIEGAHQT HPSPQRTPLIFQAGSSKAGQGFASKHAEAIFIGGLIPKHTTEAVRQIRLSAKEIGRDP NSIKIFASMNPILGRTLEEAEAKYQRALQNADIVGALAQFGGFTGLDLSKYPLDEPFQ LTGKPDENTVQSLIRDFQGGAGDDGSEVWTPRRLGECMCFGGLHPAPVGTAAMIADKM QEWVDIADVDGFNLSYTSNPSSFEDIVELLVPELQRRGMYWDDYAVPGGTLRENMNGV PGMSRLRDDHYGSKFKYDRYEAEVEISAKP PFICI_10587 MSTQPPMPAEKAEKQQATTSPADESSVSQNADFDYSEGASSEEG KKHLTRKLDARLIPTMMMMYLLSFLDRVNIGNARLYGLEEDLGLTSNQYQVCVSILFV TYCLFEVPSNLVLKKIRPHRYLAGLCFAWGILATLTGIVQGYHGLIAIRLVLGVVEAG LFPGMVMYLTMFYPRNQLAVRIGYLFIASAIAGAVGGMIAYGIGFMDDLAGLRAWRWL MILEGIPSVLLSIVVYLILPASLAEARFLTPQERDQIVHERHEEIGQTFESEKFHWKD VVEGILDWHVWVFSLAGFTNDIMFYGFSTFLPTIISSLGTWSTVESQALTVPVYALGT GVYIAIASFSDKKQRRGIYAAAFGCITLIGYIMLAVNKGPAVSYTGTFVVAAGLYVMV GLPLAWLPGNKPRYAKRALATGMQYTIGNTAGIAMPWLFRKEDAPKFRTGYNVSIAAT CTSIFIFLFMSWYYRRVNKRRAEGLEDWKLEGKTEAEIQELGDWSPRYVYST PFICI_10588 MAPVFRLWTFKPLGFDGWTALTSKPAIIIMTFLVAPCALWLTFS RLGRASGPSRKMSPDSVSSLFPDRPIRPLPKRRLRERLSPDVADTIKYPLEPQSNAPL FYYPYNLKAEAGSAGLDAPNAMIRENSLDPVHEAGLRRYGLGADRDDEGMIQRGGRPL ASRPSQDAPGNVLRTPPRTGQGRRHTPQAPPSTASSADGYDSFENTNNKKKRKIPTAG DGLLNGNHVMADSGILGVPSPPTTGDGGPGDALAATPSPYPYTGVTGSPVQGISGPGR GRYGRVRNGRSPLRQVPDTNSSWPIKNSRSRSDGQYSAPLGEKTGIISNAIASAGKLP IPQGQENISLLQQQAKASPVSSQFTFTFDSQVSGTVPWPGSESPTAHMAENNHNHTSH GSYSDSYYGGNTRSTPHSQPAPPGSTSGQGGPSVSADGTAKGPAPGTGAPKKHRRRAD SLARAAKQRRREQEYKNKINPPAPGDLWICEFCEYERIFGQPPVGLIRQYEEKDRQRR NAETQRRKHIEKAKNKSRKGKKPGKLPPKNNTAHDLNAAPSAGQHHASMEHEPGQETH SEDFEEEDYYEDDIHDEHCPARASGHDCGTHESGAFESTDYDDTGTAI PFICI_10589 MAGNSPTRRSSRARTTQPQPQLPSAASSLSGRGERSSRSLVKAG SPQKSSGSGSLSSEPPEDSTATTASSDDNPLLRRRSTRNRDDDRDKEPKQETSEMAIV SDENEIQEDDEAVRCVCGYDDYPGLPLLDEETKKHALKDAIDVEQIFSIELTDDLAGF FVQCDVCKVWEHGLCMGIVNEDLIPDDYYCELCRKDLHKIYAASNGQKYSIYLPLHRP SRATSRATSATKEGARSPKPGDRKSSRASAAAAAAAQSMAKRRSTMNSRDAAYDEEQL RKAIEISQKELFPEEADGVQTRRPKRNRDDDDEQTEIKRQRTNSKSPSPDEMAIASRE ESEDDSNPRNGATKKSARNAARTQREKTEREERREEQERKRAEAANKRKGRAERRRAE EEAPLATRVAATRSADTAQTAPDPPASSQPVPDTPPADQAPAASIKKKSTVHPKKKGR NQYTKDRDNHLQDDSPARSMSRDIGRDKDESHSKHEGSTKHSRSKGAMNSKITMTDLK RRANNLMEFITRTQVELANEPLSERNSPRQPASEDGSGDGSASRSIGSKASADHVGAS NGAPTPPIKDFKDMSCVEMMDTLTRDLVKWQQEFAS PFICI_10590 MPSYELEYPKQPFSTVNRYNNRASYSLQQIHQIINTTHFVNVSF TDPTSPFPVTIPMIGQMGSFTRPSADTGDVLDLYLHGYISSRLLNTSRSSSNNNTDSN GGDQEKEKEEGLAITVSATHVDGLVLSLTPNSHSYNYRSAVLFGRAVPVTDPAERLWA MELITNGVVPDRWRQSRLPPTAGEQASTGVLRVAVAAGSAKIRSGMPSDDRHDLDDAE LRARVWTGVVPAWSHFGEPLPAPDNRVAALPEYLGGFVRDSNEVAREKALEQAAKTMR PKKEES PFICI_10591 MSDTGDSTHKRSRSSALNLLRRKDKDKDKEGRAASTIADDDDAL SEDGSHIAPSAKGQNSSSRMSLGRVTSQQQQQPSSAAAPAPSRASISVSGERGSTLEQ AVRKFRYVEALKSGNTAAISRAIRETSDNANRASISSINAASYGPLDDTTVLHLAIQC AENDVVEYVLSDGVNYVDVNARDKDGNTALHVAATSGRGHIVRLLLEQKDINDAIANN QGKLPLDVARNPEIFQQLQLSRTLFVQDKVKQVQDLVRKGTYETLGQVLEEPRVKTIL DINSTELPSDLETVQSGGNLLHEAARRKDTKLIQTLLLHGADPFQRDRKGKLPQDVTK DDVTRAMLKRSPAAVAAQRGIQEKAVLGHAAATSAATPVAAGEPVGGREPTMKGYLKK WTNYRKGYQLRWFVLENGVLSYYKHQDDAENACRGAISMRIAKLHMSTDEKTKFEIIG KSSVKYTLKANHEVEAKRWYWALNNAIQWMKDQAKEEEKQKANTAEYLRQAHLAAKST DGSTADVHSEAASVTDAGRNSVSLSRLPTKGSMSKHGLSRASTNDSIDDEFVDAGASE ARSKRNGALSVPGDVDDDDDESSGGERPTASKDAFNITAQSAKLQLDTMAQVSTALQA EANRNPNLSLVDPKAAQALATYDAAIKSLKGLMGDLLRISKDRDAHWQYRLDQEIEMR QMWEESMAKVAKEQEVLEERVGRAELKHKMTKRALREVMEQDGRPVSAYSSVVHPPES SSANEGQPALEPKSPASLSRKPTALAQVVDDLSDGGETDEDEFFDAVDAGQVEVEPLP PAEVVSADSAQEVVVSGALDISDSYKGYENGIRKRLKLDNDDRPKISLWGILKSMIGK DMTKMTLPVSFNEPTSMLFRAGEDMEYADLLDHAAERSDSIERMLYVAAFTASEFAST IGRVAKPFNPMLGETFEYVRPDKGYRFFTEQVSHHPPIGAVHAESASWSYYGESNVRS KFYGKSFDIAHLGTWCVEIRPKSGGKPDFYSWRKPNSQVVGIITGNPVVDNYGLVEIK NWTTGEVCQLDFKQRGWKASSAYQIAGKILDADGRPRVSIGGRWNSKLYARYTPGYEA TVDEPADGGSFHKGNISDTTKAFLIWQANDRPKDIPFNLTPFVLTFNHIDDSLRKWIA PTDSRHRPDQRAMEDGEYDHAATEKERLENEQRQRRKEREAKGEEYVSPWFTKDKHPI TGEEYWKWNGKYWQQREKHGNGDAQAWKGTEPIFFPQES PFICI_10592 MSISRSSRDLLSLSRQLNRVSRPNIPRHVLLPRTSSRAVASYTA PYQANAISVIQSNVDATSDEFKENQKLMDEAMARLEKLTRTAQQGGSAKAREKHLARK KMLPRDRVTALIDPGTTFMELSPLAGHELYPEADVPAAGIITGVGVVEGVTCVIVAND STVKGGTYYPITVKKHLRAQAVAQENKLPCIYLVDSGGANLPHQSDVFPDQNHFGRIF YNQARMSSQGIPQVSVVMGLCTAGGAYVPAMSDENIIVEKQGNIFLAGPPLVKAATGE VVSAEELGGGEMHTSVSGVSDYLAVDDAHAIVLARRCISNLNWPKKADPPAASIAEPL YPESELLGIASTNLRKPLPIHEVIARIVDGSHFSEFKRDFGSTLVTGFASIYGYKVGI VANNGILFGTSSQKGAHFIELCAQRGIPLVFLQNISGFMVGKEAEREGIAKHGAKLVT AVACADVPKFTVVVGGSYGAGNYGMCGRAYSPRFLWMWPNARVGVMGGEQLANVMETV GQKADPELRDRIERESDAVYSSARLWDDGVIPPQHTRRYLGLGLRAALSGKNEVKHGD TRFGVFRM PFICI_10593 MASVRSLRCLATPTRQAITASPKRVLPALQVRHHSSKHPKGFEP PSAADLSELRDRVQEFTRREITEEVAAHTDKSNAFPNDMWPKLGEAGFLGMTADEDYG GLAMGYQAHCIVLEEISRASGSIGLSYAAHSQLCVNQLQLNGSPAQKEKYLPGLIAGT SIGALAMSESGAGSDVVSMRTTAKKVDGGYLLNGTKMWITNGPDAQVIVVYAKTEPEK GSKGMTAFLLETGFKGFSCARKLDKMGMRGSNTGELVFEDVFIPEENVLGQINGGVRV LMEGLDLERLVLSAGPLGLMQAALDIALPFSHQRKQFGQPIAHNQFVQGKLADMYTKL QASRAYTYATAKAVDEEGIIKTQDCAGAILYAAERATECSLDAIQLLGGMGYTEEMPA SRILRDAKLYEIGAGTSEIRRMVIGRAFNKEYANA PFICI_10594 MLSRNILRAPIGAAVRSAAPRVAGRASAFHTGRLLMNKKEPTAA PGSFARTDDSITVEYPEDHELPSSKFVQGRGGQHMKRTLAAFSLEGKVGVVTGGARGL GLVMGQGMVISGANLAIVDMNKDEAEKQAAALVETFRRENPGSARTPKVTAHYADVSD SDSVQACIDDVVREHGKIDHLVTSAGFTENYKAIDYPIDRMRKLWSVNVDGTYLFATA VARHLMERKSPGSMVFIGSMSGSIVNVPQPQAPYNGAKAAVRHLAASLAVEWAEAGIR VNCISPGYMLTALTEKILDDNPDLKRQWTSLIPQGKMGQPVDLMGPVTFLLSDASQYV TGADLRVDGGYTVT PFICI_10595 MDAPTMQMLLPKGIVYNDSRIYNEIASYPVIPREKIWHYWHVYT TTFGKLKDPTANRLENFWWHVLGSDRSQLSGPTLARIFEQISDGPTFVPLRGPPNRYE GPSIPVCSTAGAYLGISDHGYQAILKHVQSDKGKGKASDSPGAANEEAPKTEPPKALA SSSTKPPPAHPILKKPRGPSSSGPRPTARFVSPAGSDVEDEKEESEVDSSTSTTANES SQPKPKTSSATKEKPRKPIGNISKKKIVASSNKRRPAMPRRQSSQSSATGSEVGSRDE RPSPSTRHQGPQRSVSPIVEGPDPKEDDPRLSDKASGKRVVVSAAAPGKAEALNVTTS AALERPGPVRPNNLDKKAAKTRPEGKGTEASVETTQKSQHTTPQHAGSSTQSHVQPGA IASPMVRSKSSGDAFRTPIREIKPRKAGPFQGLTSSSVATTSNVAAQGTIIEFDDNDA TARFASSIQDDMAAMRPRRSESTTTLTPTAPSNTPSVPLGRSKSQLTLLLERQGDKKH RR PFICI_10596 MSRSPSPPFRMLVFSKTAGYRHESIPAGINALKNFAATSGHIDC DASEEASIINPENLARYRVLVFLHTSGDFLNEAQLSALKGFVRGGGGFVGIHGTSNGM PSDTWFKELVGAGFTNHPEPQDGVIKIEDTADFLTKDFPAEWKWHDEWYNFRTNPRSN VKVLLSIDEKCYQGGTMGTDHPLAWYHEFEGGRSFYTALGHFSEAWANERFFSHVTRG IYWTAGVF PFICI_10597 MASAISFADGNSGLQAGMIHGAVSTTFHQHHYATPERPETPPKP SIIIPFARDKDFVRPGTILDQVQEACAKPDARVALVGLGGIGKSQIAIEYAYQVRDRS PDTWVFWVHASNAARYEQSIRDIADHVKITGRQDPQCNIFQLLCNWLRSDKSGKWTII LDNVDDAGFLVAAPSQSPDVQSDSRDNVASSTSPSAAPLISYLPHSSSGSILITSRYR DTAQSIVEDHDIVAVEPMSQQDAVALVQQKLEDLNDQEDTTTNAGELVSALEYMPLAI VQATSYIRHRAPRCSLKQYIKKLQESEEKKMGLLDEDGGRLRRDSEANNSIILTWQIS FDHLYENEPRAADLLSLMSFCDRQGIPDSLLRGPGKHLSRVKMKEGESEERKSQQEET SIHRPKLKHWCCIWPACLDRNRESRQCKTQQENETREHTKTSSDHRDLSFKSDEDFEE AISSLRDYSFISSNKNGTSLEMHRLVQLSMRRWLETQGRKEEWQQNFVNRLCSHLPDG EYKNWPVWKILFPHAKAAARLWSEEDTSPIALATILHQASGYLYKICAFNEAEQMSMA ALDIRIKLLGREHKDSLFAMNTLGLIRISQGRLEEAEELQTEVVRILNAKGRVTDLAT TIAMNNLAMLFADRGLYEDAEKILTEIIMVAREFGRTDSTHTRVFIRNLSKIHLSQGR LDDAEKFLMKAIEDQKASSGITDIHTLVDESLLMSVYNSQGHHQKAERLGVESVENCK EKLGVRHFTTLNCMLELALAHLSQGHLDEAERLALETLNAHRSRSGADHPNTLRSSMV VARIYCAQGQTEIAEKLALDVMETWKARSGAQHPGTLFAMRHLASIWYERGYHVKAKS LLRTCLRSTTEASGSPNLQTILIAKQLSAWEAQSVSASSDLSD PFICI_10598 MASSSDSHQRKYTARISNQEWEKWKDDIRTEFLGDKTVDEVVAS LNNRGLNVTKPQLQHKAKQWRLHKNLSSDTWRYIDHTVRKRKADGKESVVILSGKRLC TKKTTPESPGSEIDLFVSTPRASSPSLAELVVFPRSLPWYQFLQNVSMKLLPAPKVLT TRGRNSRTQEPEDHGNDTISRLARYEQKDLAKFVTPATIQFVLSHLVRRTIQLLTKSE EIAKLQNAFLSVEWMAARFSSILPESFSGQNLQRASSLISNKDHDQQKTLSHIFIYLA SNHFVLDEENGLESNLSTAEAFVLLIRLISLGSPRMLHNLIQFSRESLTLAAAVEELF QAAIIVGALDIVANILEKKPWMITVEMRTHIPFIGTLPPLHYAVSQGSVDLINILLKF QPDVNGISRTISGIAGWAPLSLAACHRAHQLSCRIADILLQNGANPYAGASPDPLSMC LGHGNNKLALQLIELGASADNFCRSEFNGIDIVDIYHNGTFFDATICATMSQRGSSLS DFSPFGLAASANDPQRSTHDENELNEVMDDEKEVVVLTLVEALTEMTDSPCGSDAMIL AASRGHLSVMSYLRNQLGQEVDSTNGAMSPLYAAVLWNQVDAARQLLEWGACASMDER LLTSWIESKHGLPTPLHIAIQFGSSDMLELLIHHRCDIDQPSEFFTNIYSKQWRPSGW RSIEHKPDKSNREGALTCSAVSPLLFAVLQKQWDKAETLLALGAKPSGDVLFEASQQG RSELVARLLEKGVRPDESIRGGMTALEEAAKQGHEPVVLQLLDAGPTIINISFSALFC LPNTSTIQTLLRNYPITPTSRDERTKRSYLENAILRGHEDIVTLALEFDHDYYDSGAL CAAVFQDITNHSPFTHLLLHELVTRRENSSCTKSQVQLSLESTAISMAAFYDRLDIID TLSQSRIPGRCQVLAVRVDCLQDDSPEYHKTWRSRLAHDELLWYEWHNSQSPGASPLE YAAMGGSERASLRLLQEGCRPDVGSLHAAMSHLGDDVAEKFIEQCENVNMVYPFYHNY TTLQAAVRKRKRKLVEKLLAKGADINMYEPSKPGLFNEWGFPALTIAVSTGDTDLVSL LLKEGADVNTGHSSKAFCTALQLAVAEGYISIVKCLISHDADMSARRYPCFGFDIPGQ GTPLEEAARRGRLDILQLLLDSGVSTLRYGRVQYVLSIIYAQKSGHHAILQALNDHRS WSAEDQEIYEELAEFPPDRGLFFHPKEYREAEFSKVVGETIWQLGLHHSLGWWKDEPL VPRAATSEGNFDRVSECFTNESDSDGEESTICVDENFSTVARIADATLDNSEELDRPE GDEVNLLGNETSQYSFDTELSFMEQSSIFHAIDGMDTLHHSLWPCNGDFEWSGDDGMN MFIDPEDILQWPGEDGMNMSVHPENQNSGTTFAGQQQDADEVMEMEGDIFEHIEWYQT HDRWDESFTN PFICI_10599 MGSNTHTHHSSQGRTKDKATKNNTKKNRRSGASSATASVKTTAA TRGDGSTIEASFCQSTTASFQLNNDPSDHTTGCLPQCDHSSCPNNFDGYVMNPQGIDF SGIGSQFDGINTDPAVFDWSQEVRDGL PFICI_10600 MGSLAEFPQPKAKVGINGFGRIGRTALRQALLRDDLEVVAINHT CATADDLLYLIRHDTTHGLLKDAFNIKYISEEEIPIHGKTITLVSTRDIAQLDWQKLG VEYVIECTGKHNKTDLSIRHVTEAHCKRVVISAPSPDAPTFVYGVNSDNLMPSEKNRV ISSASCTTNCVSPILKLLNDNLGVTQAFLTTVHSATQSQQILDGYSKKNRRLGRSVFN NIIPTTTGANKAVTAVIPELAGKVQGISIRVPTADVSMVDLTVATDRPSSLDEVMDIF RKAAAGSMAGVLQVSDQDLVSSDLLGTSYSAVVDSKASLELNQQFFKIIAWYDNEWGY SSRLLDLVSLIHRQETSVMNEH PFICI_10601 MSSPPSFLGFRGHALRVAQIALVVAPGFTLFGYNQAGVGPLATL PSFVETFPSIDTIYTSGSQEAVNSTRKGAVIASFQIGALIGAFSCLFLGDVLGRRKNI FLAAILTIIGQVLQVASYNVIQFVVGRVILGIGVGQISVSIPVWQAECSSAAHRGRDV ITAGIFMCVGYALCNWIDFGFYFIKNSTLSWRLPLAVSFILSLAVAIFIFFLPESPRW LVRAHKVSKATRALAALKDLPEDHPAIRTEIAQIESSLETVGAKNTSLLRMFSKDDDE RLFYRFCLCIALQFFQQMCGGNLISVYASTIFQQNLKLGTSLSKILAACALTWKAMCC FVAFFAIDRLGRRTVFMISGAGMSLCMCCLAISTSFPTTNHSASIASAFFIFLFNAFY PFGFLGGNFLYCTEVAPVHLRVSMNSISTANHWLWNFVVTMVTPVALDTICFRYYIMY AIISALIPISVFFFYPETMNRNLELLNNVFKDASSPWDIVAMARKLPQGEVVDGDSDE SGGKHAPEHKENA PFICI_10602 MSDTTSPLETRSNHTDSRSPLQSQHPPKRRRTSSHTHGTQERGL MRNGGESGQPRFIGSGSGIHFIRTVYDVLSRSAAGTRKINRNQMRGDLVPGEDDQLVD SASEIVVATPGTRASAPFWRAEEIIDDTAPGAPSINFDNLTRWTQSYFVNWHPAFPFL HGPEVLEIFEKAATTGIANVTEAEATIIRALLSISLADSRQIAPNTQEAIPSGWVFLN QGQIASSLVFALECPATLKNIQAAVCVQLFLVSMLRYNMASRLGGIIVRMAFHLGLYR CPARYSNFSPHEAAMRKRIWWSIYCLERIICQAFGHPLGIIDDDVDVCLPSVEIHGDA AASAVSDEGSEADPLLFLTLHAKHARLRGLILELRHKSIAIRYDTMERAMLVQSELLR WVNDVHERTADRVDIESSGLQHIISPISRSQRTLLSLMYHESVITLNRPLLASETKSP ASQAAFQACVSASRSILDTIIMMGQHEDGNSFNNICVWPLQTWSVWMSSFILAYAALQ GETTVSSAHKYAKKALYILKQLTARGTSWPESCAHGVEYLVSALQENQEGSGHQVTNN TLLSARLNGQRQGSASADPSSNTHAQDGNTTQDSRSVGPDTTLRNFAFNPAGLSQVFM TDDVRARTPFESQSWRQSLDQTFVPDGASSMDTYGQEWFDPMGVLDFSNFTQFGSTDT PFEFSFY PFICI_10603 MTDVQSVVPGKAAKASEPTSQQIEAIYDEKYSDEKAVDADYSGA TKKTDPAEIKLVKKLDYRIMPILWAMYFMNYLDRNAIANARLNNLEKDLGLVGSQYNT CISILFVGYLLMQIPSNMLMSSGKMRPSLYMSSCMAAWALVSALTSIVHNYTGLVVVR FFLGIAEAPFYPGALYLLSIFYTRKEIATRISILYSGNIFATAFAGLIAAATFSTLDG AHGLAGWKWLFIIEGVVTFGVAGIGIMMLPDSPLTTSWLTPEERQLAHDRMVRDTVGS ESSKGTRAGFFQAIKDPKLYLLCFMQNMHLSACSFNNFFPTVVGSLGFQTTITLVLTC PPYLVSGIVGCIVGFTSGRYNERTWHITLSMGAALAGFIISCATLNTAARYLSCFLFA SGAYAVNSVILGWVSATLGQTPEKKAVSLSIVNVVANASYIYTAYLYPKSDGPRYLIA MSSNCAFAFLTIASAWILRFWLIRINKSTARDGQLQFAY PFICI_10604 MKFTVSALLCLVASSQVAALGTPVRRSLGTATVSLGAAIGTPSQ LGSGILYGIPPNDDGSASTQIPDHFYTDIGFNLGRAGGAAWGSPNRGWSFSPAEYTYR FQVTLSNYRTTRQHGGDFYLLPHDLWGIDTDGKPYPGDDGDWIYYDQFLDQLISDIKA NSMTDGLIIDIWNEPDASGLFWRRTQAQYLEMWGRTYYRLRQDLPSVSLSGPATSCGP ADNNPTFTGWAEFVAQNGSIPDIYTWHALNPALSPSTDASYFASLRSSLGLPSKPICI NEYLAYAEEGPGPVTYYLSQFERLGISGVKANWAKGEANAFALHNYLANILGPEGATS DYYGNGEFQLYKYYASMSGERVATTPSSDGVFEVFATRNPVKMITAARLSTDSYTVTV TGFAAAGFTGTTVTKHAYGFEWDGVFGEVDGTVDFGTEVHTVSGDAISFDVYPRSVNE AYAFEFS PFICI_10605 MHYSNLSLCLPLLNATTTQAVSLDPATKDDDAPIVPKDFVGFGI ENAFLNNYANDFSNNLIASIADRMGAPPVLRVGGTSGDKFSYDPSQTENRICLERNGS DCPNGSDAYYSLGPSFFEGFQSFQSAKINIQAPLNSTVNLTMTLAYVTQAWENVGAER VDAIALGNEPEWYDATAEKYVDDALQIQAAIIDALNLTGDARKIFEASNTASENAGTG NKWKLSDALSAGMNNNGLLKNTAEHYYQVKPPQTWNDATMQARMLNHYTITNRLANYS ESIQASKAMGLPYYIDEDAAVLGGAPPQFQSGFGYALWAVDFNLLCMTRGVARVNNLA GRPSASRQFWVPDDSAVDTNTGPQVRAPFPAAAYVADFIGAEGDTAVQEIDLGEDRPY LSAYSAYDNASGALLRLALVNLRLYNGTLGGERGSEDFNVTLPAGVTSVTVRRLHADL GAAAQGFDYAGPTHNVSWAGEQWSYSVDLGKGHYTTGSPVMETINVDDGVATVNVLNS EAVIVYIDGM PFICI_10606 MASTSKIDVQSKLKDSSLFIADAFISGEWVSKDAKFDVYEASSA SVLGQVANCSLEDFQTAIQDASQAGDKYFASTTAAVRGALLRKWYELIMTNQEDIATI LSLENGKTMGEARGETAYAASFIAWFAEEATRSYGVTIPSSMPHTTLMTIREPVGVCG IITPWNFPAAMITRKVAPALAAGCSVVIKPPSETPFTCLALTKLAVEAGFPPGLIQVC PTKDRQAATELATNPLVKKISFTGSTGVGKMLAKLAAGTLKKVSLELGGNAPFIVFAD ADLDAAVEGAMFCKFRCSGQTCVCANRLYVQKSVAKEFTAKLVQKVSALQMGPGYEPS TTQGPLVNEAAVKKVREHIEDALAKGGKIEVGGKAPEQPGYFFAPTVISGATPDMIVS RDETFGPLAPVFEFDTEEEAIRLANDTEFGLAGYFFSRDYARIMRVAQRLQVGMVGAN TGKISAAEAPFGGVKESGYGKEGSCYGLAEYTNVKSITIGGLDS PFICI_10607 MASSNATGNRRKYVTTACVGCRESKIKCDGTTPTCSNCQNRGRE CRYRASDDRRKLSARVAIEVLFSRTEQLSQFISENSLEPPPIDDEQAATLKRVLSTLR LNIDIPQSCQSGRDESTSPRTSHVRNDSTTQDPLLECLDDGIIDEINRAKDPVDVAFP GLPSQNWTWNDLRGDIFDTQAAPEDPNLQPYNHSVSGSIPSPHTGASPLAIKTPQPET SSTADSATDVVDALVEQLSDRMGSLQIGPGGNVRYFGPTSDFHLVQMPPSDNLTIHRS VRNDGQEYLERLGLHKDVDDSLRKHLTDLYFTWQNPTMCVVDRKMYEEAMVQWQEQKQ DTPYFSEALENAILALGAAFEARYYPGFLTFPKSLSDFFADRAKALLEIELDSPSLAT VQAMTVLSGHDFGCKRDARGWLYSGMAVRLSFDLALHVDMSPYVSAGKLSQKEADLRR LVFWGVYTTDQMWGFHLGRPSRINMQDVTVTKPTDRQASIASLETDLDTLSKHRALLW QLMAPIGYGLYGSLKSSTDTLRQLTHTASAELTNWQLNLPPSLQVDPDNRTERYLPYV LLLHMQYYQHIIYTHRPLMSKSFRQSTDTLDTRGLSFEYARKRCIDAAISIAKLLNIY EIHYTLRRINVQAVNCTGSAALLLIFANFTNFGDFSREETGLHLSTCLRALDEYVPAW ESAKRVRDFLTILQRQWDVQVRAARGRPPGSSVSSGHDYSSPRKRARSQGGPLSQQDT RHGLGEDPQSSDAINIINNEVDLDLDWVFTGETTGFAGFNM PFICI_10608 MSSSDSQEKRVDMGLTVEHAEHDNNAQLPQDIKSIHSSQPVWAE RETYGKSGFRGIFTNKYVFCCAAFSTLGGALFGYDQGVMAITLGMEQFQDVFPEVRTN AAGAGFQKGLLTAMIELGAFIGAMNQGWIADKLSRKWSIMAAVVIFIIGSAIQTGSMN YSTLLGGRFVGGIGVGMLAMVAPLYISELAPPEIRGTLLVLQELSIVTAIVVAFYTTY GTRFIASDWSWRLPFLIQMAPALFLGAGVPFLPYSPRWLASKERDDEALQVLSKLRGF PTTDARVVREWIEIRAEHRYCKETSMERHPKLHDGRLSSRIKLYIVGYLDCFRKGAIK RTHVGIGLMFFQQFAGINALIYYSPTLFETMGLNTQMQLDMSGVLNICQVIACLWSLY GMDRYGRRTLLLGGGVCMIIAHLVIAVLVSQYSTSWASHQAAAWTSVAFLLFFMLTFG AGWGPVPWAMPAEIFPASLRAKGVSYSTMSNWFNNFIIGLITPPLIQNTGYGTYVFFC VFCLLAVVWVWFVVPETNGRTLEQMDEVFNDNIGDIEITRRARIEADLAAELGHMSTQ NAV PFICI_10609 MATSTFPEPNRYLNHSVSDLLSLKGRTIVITGGARGLGLAFTLA CAEQSGNVAVLDAADSPHEHFYEFQKKFDVKLEYYKTDVTKYDELKATFDKVVADFGR IDGLITAAGICPDQSFLERSPESVAKCMNVNVLGTYYSAQLAAQQMVKQEATPENPRG GSIVMIASIAAYVASKGQATSDYCSSKGAVVSLAKALGVELAAYGIRVNSISPGYMVT DMTLDLCKRIPWLGDIMNNEPPMRRMGDRTDLKVPVVYLLSNASAYHTGDDILITGGI HAGRLM PFICI_10610 MPSVAPWLSNEGATTASPGEVNGVDNAKLKGWRAELDDDDYAVL ALRNFIFDICDQNGGGHGGSAIGMAAIGVALYKYTMRYNPSNSDWFDRDRFVLSNGHC AMFLYALNHLTGFDNWTMDEIRGYGSAKLGDYKTICHAHPEIEVPGVEVTTGPLGQGI ANAVGLAIASKNLAARFNRPGFDLVSSRIYCMSGDGCLMEGVALEAISLAGNLQLDNL VILYDNNQVTCDGPLDWINTEDVNAKMRACGWHVLEVADGNYDVQAVTTALAHAQSLR GKPVFINIRTVIGVGTQVAGTHKAHHGTFDKESVQLSKQLAGQDPNLTHVIPEKPLKY FRDCKAKGESLESKWNDLLGEYSTKHPDLAADFRQAVSGDYGTKWLDILKATDSAQFK GTATRDVNGSLIEAIWKDFPALCGGGADLVNSNKVHYTESDVFHPSVSYAGRYVRYGI REHAMAAISNGLAAYNPGTFRPVTATFLIFFLYAAPGIRMGALSHLPVIHFATHDSFA EGQNGPTHQPVELDSLFRAMPNLSYMRPCDAEETIGAWMLALGKTSGPSMLSLGRDPT GPVPSTDRYKVAKGAYVVVDQTNSKLTLASCGTNLHYAVAAAELLTSEGLPTRVVSCP SFEHFDQQDQQYRDSVFPKDGSPIVSVEEYVATTWARYVTASIGMQSYGFSASNPSNY ARFGLDSEGIIRRTKQYLKDMEGKNARMEGWRQI PFICI_10611 MATQTTTKTEGLYLHGPKELHLESRDLEAPQPDEVQIAIRSNTI CGSDLHYFSQFRNGDITVQEPLCLGHESAGEIIALGSDVHKTQPHLQQGDIVAIECGV PCGECDVCKSKRYNICPQLRFRSSGSKFPHYQGTLQKRINHPAQWVHKLPDALDCEVG ALLEPLAVAVQAVAKAEKFALPSVHESCLVFGAGAVGLLCCLAARASGWKRIVIADID QQRLLFAMDHGFADAAYLVKRPKALDPTNKMAVAKETAEQIAKMDWPDTGSRVGRLQV VFECTGVQSCIQASFYATVSGGAVISIGLGFPVHEIPMSEMMTREVALIPTWRYAACY ERAIDTAIASVTGKKDGPKLPDIRKLITHRFQGLQSVAEAFDVALATRDKHGTAVIKA VINL PFICI_10612 MGQSSDLNAIIIGAGPAGISIAYRLKHQLGFHDFTIYEKLDGVG GTWRTNTYPGCGCDLKSHLYSFSFNPNPNWSKELCEQPEILQYMEDTVDKFDIRKHVH ESVECTGAFWRNDESKWEVRLKDLRTGLEYSRMANVLVSAVGAISFPRDVKFPGMETF KGPMFHTARWDHSVDYTGKRVAVIGNGCSAAQVVPAMAKKAAFVKQYARSGQWFHARP NRNYTAAEKFMFRWVPLYQRLLRLSIFLDADEETTTYFPTPKGVKARAEKEDESRTYI KSKVPEKYSKFIIPNFPLGCKRRIFDPGYLDSLNKSNVELLAEGIQEITETGIISASG IRDDFDIIVLATGFQVSQFLTPMHIVGSTGVSLHDQWAQCRGAQAYLGTHVHNFPNMA ILFGPNTFPANNSALFACETQADYAVASLFKPLLDKRANRIEVKQTVEDTTTNAIHKE LANTVFAGDCSNWYIGDYGRNAASWPGLARSYWAATYFPDWSAFNMDGGSSFWPVFKT QRLMSTTSTSLKVLILLGSVVGLMRWRGPTFMSILEIVKSRSIRSG PFICI_10613 MRGLLISLSGATALYGMVCSASSNIYGVDIDPQTTTAAWPAPSS SDPVSPTATNTTVAKALVAGAGRVDITPAVNRTWLPLNEYEHEKLWVRAIVFQSQGVT SAIIGSDLSNIEEVIYQDTVARVSTLLNTSVENIILSSTHTHGATPCGSALFSTAENY GYYQVSDAAVKAVELALASLEPAWVGYNTGSSNANANRDAISPITGLWTEALNLTAPA DREVEVLTFIRPSDKSPIASYTSYAMHPVMSYLTGYTSADWPGAMSRWIENSFDDDMV AIYSQQASGDVNPRWMRTTTNNLLSQRHAAISGYETDHETVEVATRNNSIPLGRADPK YIKQAFDNIEALGIIVGEVVIRVMSETDQWQDAPTIWAKQQNVTCPGRKRLDNSIVNR AGRPGNYTTQGVAPIEIRTGALGIGDIVLVTVGAEIYTKIGWKIKEMTPMNKTMLVTM SNGKAPSGYIPDTESFLTHLTFEVLGSNLVPGSCAEDGISGNITALVNEYLEYNQTTS NHQFVDRIGNIGK PFICI_10614 MKYSNWFLSISLGLAAGVASQSTCSLATFQSFLDTNQTTAVVQR AELVADNGTFKVTGDTPYPTSPTGLRALCAIQVNVTSEANTHYSFGLFLPVDWNGRFL SVGNGGYAGGINWIDMGAGVGYGFAVMSTDTGHSGSYSDTAWAYGNPESITDWGWRAM HGSTVISKMLIESWYGLSSKYNYYSGCSVGGRQGLRALQLFPGDYDGVSAGAPAWWTT HLQLDAVKTLTYNQPVGAEHTIPSSMFTVIAAEVLKQCDPQDGLTDTIISDPIGCNFD PTPLLCNSTATTGCLNGEQVKTMYKIYNDWVETNQTFVFPHYLLGTESQWSMNIGEGS ESSLENQSGFAQNLLQLGANWTWHDLDYETVLLAEQINPGNATADDFDISPFYARGGK LIHHHGLADATLATGSSIYFYQQVKAAILSQSIQLDDFYRMFLVPGLEHCMASPSNVN APWYIAGANQAAQLGTSASGVPGFRDAQHDVILALMNWVENGTAPDAIVATKWDNDDV TKEVSRQRPICPYPQQAKFNGSGDPNLAESWQCARLY PFICI_10615 MPSIDSINLHPDTHPPSSLKSTTYDVICLGSGWAGRIIASRCVA AGLSALIIEKELVGGDCPYWACVPSKALLRPAEALDDSRHVTGARERLTNPELGVDAE AVFRRRDTFTAGWDDTKVLIPMVEKAGVHLVRGVGRVTGVKKVSVTLGTGPQEKEELD LEARQAVAICTGSEPTIPNIPGLSEAKPWTPREAACSGIAPETLFIIGGGVVGAEMAT AYTNLGSHVILASRSPELLPNMDPEAGKIVREKLASRGAQIMTSTTTTKAERKTPGGP VIVTFSSSDGEQQVSVAEVLAATGRRAITQGLGLDLLGLDAKALQAGAPIAVDESLLI RNVPSNSDSPWLYAAGDVNGRAMLTHTSKYHGRVVANAIVARAQGKFPATASHLDKYS ASADNLAIPQVIFTDPQVASVGLTRTAAKKAGKSIRVVTAPVQSLGASLHAEGYEAGW AQWVLEENTGVLLGATFVGFEVGDLLHASTVAIVGGMRIDQIVHAIPSFPTMSEVYLN LLDAAGM PFICI_10616 MAGPSAQLRPYDSVLPYKRVEFSTYDSTTLRGNLYHGTNAPKQA PIVIFTHGIGLVKEQYLENWFRHFLQAGYHVLTYDNRCFGDSDGLPRNHFNWVLQAED FIDAVTYVCSLPEVNSDRVFGWGVAHSGGLIAIAAALDKRIAGIIMFLPCIDGAWDKS RWGEETWKAAQRHRYQGKLSDAESIPFWPLTDDQAAGVGGSVLSGDYVRDWSVVAQTL AKQGGNTSFTGRLTLASFWEDFNTRPTDWFAAIQAPVLWVMATNDVVCGPLEFTRGWY DKLQCPKEICVLDGEHLAQYFNPGFPKSVEAMLSFLGKHAA PFICI_10617 MEKDSGYPTSDAHPVAVAGSVEKPHQGGLWSRIKSHATLEVEES SYAPPGTSWSNKDLDPVPPEMQTWHTYNFVTYWISDAFAISNWRIGASLVAIGLSWKL ALVAVVIGNFVTALVVTYNGVIGARLHIPFTVQARSAFGFYFSYVMIVFRVIVSIFWY GIGTYTGAECIRSIIYAWAPNFRNVPNHLPESANIDTGFMICYFIYFILVLPFHWIPA HQLHWFFTFKAIVTPIAGFAILGWVIHSTGGGDQVFTYGNSYSGSSLGWAFMSGVNAM IGNFATLGVNMNDFARYSKKPNSPYIQLFIIPVAFIVMMLFGIIGANGSRIIYDEVLW DPMLIIDNWTSPGGRAAAFFIALGFLIASIGINISANSISVAVDLSTLFPRYLNIRRA QYVCAVLGAWAMCPWEILASAESLLTFMDGYSIWLAPMAGILISDYWIVNKQRANVVE MYQPHGMYSYEKWGTNWRSVVAFVVGFAPLLPGFAKAVTSGLNVSAGASNLYALGYFY AFLSSSALYIALSKIWPPRSEYTGRTIADHLAEEPSQI PFICI_10618 MSTFSTESNATGSHLAITAEELFQLRHVARGTAPPDLIIQHGII LSVHTGELLERDVIIKGRHIAAVTPWDYFQRSRYPDDASIEIINAKGKFVSPGFIDTH IHIEYTKLIPGELARLSVARGTTTVLADANCIANVLGGKGMDFMGTTTTPLRIFRQVS HKVPMSGPEIELGGTSLATEEICDRVSRCQAATLGESNPFSLDMASAEKQAAALRAGK RITGHSALLVNEPLWAYCAGGIGDDHNAHRPEDVIERLRLGMMLTVMSGSMNSNIEPV FSNFELYKDGLRYISFCADDKYCEDFDKTGHIDLHVRRAIELGVPMMEAYKMATINAA SYYRLDHLIGSITPGKLADLLILDNLESALPAIVIGNGSIVASDNKALFTNTDTIPEF TLNTIHINDHHLKPSSYHLFAPPSSSGEPSEEAWVQCVEMYDGYFKRAFHAQLPIDPT PPHNILCDTENDILKVVIVDRHHATVNRGIAFVRGFGLKRGAIATTTNCENQNLVVIG VDDESIAAAVQAIKELGGGMLAVSGKGEEVLGSVKLDVAGCMSSAPWEEVRDKSLALD MIVRTELGCTMEQNPFLIASFVGLVAVPDLGLTELGLVVGGGEALMNPVLTTEAADVD KLESTSQAIRVSLRFPQKAKS PFICI_10619 MRFPSPIVALLIAACALPGEAQRRRLSDQQAALMVDGAVAQEDV SKIGEEAMVETIVPVINATLPSSRPAIQPTPQAMPAKPILPITALLYSSSPGPKECRG TPVFQLNVPKGAGIATPTGPTCYNVTRAAQAECGTFMANMEDGCQARVFAEPGCKSFT NLAVFMEELRPVGGVIRSIEVQCGIKSTQPAPLNLNLPVKQKPEKASASG PFICI_10620 MKHITAVQEKEQSGSVCLDVINQTWSPMFDMINIFEVFLPQLLR YPNPADPLNGEAAALLMREPKSYDAKVKEYVTKYASKDAVDEAGAESEDDDDMSSVAS FGDEEEEPLGQMDDV PFICI_10621 MAIQLSYEQAKEWETQIIGSLPECLFTRNPTLQSRAIEYFASVR LVEWRGRVKNYHGPFDTAEWLQQRRSQEEGPSSGSLAKVRDTTEMEQPVHHLRQLRKS VTEFHGFMSLPRELRDMVYRHLVVTDAKRFGERICVARGKDFNQMVRIHDRCPNLWNN QLLGSNLPLLPCTGALRGVSRQFHLEASRVFFQNNRFILPGRDCSWPRFLNGEDPKNE FDVELKELTLSMRDVSYTFDAYIPTMKNNQGQFIGAFDHIPPPAVQEFLGRLHNSRNV SLQNRWTQKILAMREMRSLERLHIDLDECSCGFGCCRKVEWVLNTLTDSFKGPVNAEW CEKDFGGPCYQAPKVVEIGGWRNDEEMNTILERLELLKPIGMKAVHFQRASPKKKSDE CILNNPGTP PFICI_10622 MQGFNMGRYVPPDLEGTVSGNKLHKKHPLGSRASKPGALTVRFE MPFAVWCGTCPKPTIIGQGVRFNAAKSRVGSYYSTPIFAFRMKHADCGGTIEVRTDPQ NTAYVVTEGGRRRDDAEGKVREGDLEILTDAEREKLRNNSFARLEKTIEDREQLIVGR QRVEELQETNNRTWEDPYEMNRRLRKEFRVGRHEREKDALKTEELKDKLSLGIELVAE REEDKRRAGLIDFGSTLQDGREDDLERKGLSRPLFDKKAPRPKNEALIGAKRLKSEVK AEQTKDNLVSELVGNTRVVTDPFLNLDRSASVTKASHRMPGIKRKRVEDEDPETGRSS PEHKVKRDTASVALVSYDSDTD PFICI_10623 MTIRSRWSSELAKFILPSFAQDWLHTTRPRPKKTHSTSYLDGLR GLAALAVLGYHFTDYNLKFFHPGYGVEESSSLLQLPYVRLLYAGKPMVHLFFVVSGFA LSIRPLQQLVAGQHDECQETLSSSIFRRPIRLLGPCLVLTFSLVFWARAGYFLHYLKY QPTLWAQISDWSSDFFLRIAWPWSWDFGERPKYNVHLWTIPIELSHSYLLFLTILLIA HLRPTFRLPILISIMKYTLQCGRWAAFEFIGGCLLAYIHIRQEQDSEEETTKAQSLLW SIWHGLVNIILILMFLAGAFVMSWPTDFKQLPETYQFLVAHVPEPFANNASDFWFALS AFAVVGSIGHLRTLRQCLELPFPQYLGRISFSLYILQHPFLNLIQPYLMGAEARPASG NQPAIPGWGLRGWPGVETWRQRVITWFIGWMIVVLLQIWLADMYTRWIDQPCVRLAKA AERWMCLQADRQPERLGTEEKSLLTDQLRVNSEPSSDSPV PFICI_10624 MLGFVIRLTVALASSVICTMADAAAVSHMVERRVTNADGKTATI LVNRELTHTSNKVLRTDYGPSSTFFPSDQFVSLCTPTSDIGSTVIDSPYTEDCSYILS YYRSEDHWGWWNLDGLVPGVDYAFIKHNTCSLYGRVPDGHTSLQISNADAEVFVNTTI KKYSTKFDDGKFDRVQGDGTIDCWESNGAVEVVATLSLRR PFICI_10625 MSSQPPTPGMKATRFPPLTRGFEFEFLIPFRFDKVDGYQDIVIP EGKHFTMYAGCIVLPRENFYHDVPEIMFADARNECHHILYGLLTMHGIDCNDPGSVET YDTLPPEPGHPNAQVAGAPVYYRWNIKSDASLGALWADHWSEDAGPYQLADVEIVSPP LLDNDPDADKQIKTVLEIIQNVFLCFTPKCCGLHIHIGQGNVFFDADAAKQLAALFLC VERLFNSLHPGHRRLDPFNHPSIRNWTNAGAGMTAEEANNPRHTVNTWDEWTREYEPE VPTPRATLQRGVEALLGTTSVPAVIKMMTAPDRPAYTFDNLLNHVKPTIECRQGAGTL NPEWALHWSHILAAVVDLGRRANGTLFWLHLVPTLSLIGENGEASEGAMTIDAFVRNV LKLPETANYIARTTPHERCYPPLKGDQVTWRRNPELIPQIKPAMLP PFICI_10626 MAPIIAELRNLITKGDVLVPGDEGYPDSLQRWSATCVRPAALVI RPADSTETSAAVKFATSNSIPFVVRGGGHNPSGGSSSDGGMVIDLSLLRSVYVHEETQ SATFGGGCIWGDVDSALWERGYATVGGTVSHTGVGGLILGGGYGMLTGRYGLSIDCLI SCEVVLANGDVVTANEGENPDLFWALRGAGQSFGVVTSFTSRIFPQGEVWGGVVVWPL SAIPQIVSFMNDFVRKTNGDQYMMPMLACHPKTLEPVIAASLFYNGDKESAESFYAPV LALGKVMDQTAVIPYPKANTFPEPKVPHGKRYLFSGANFLCPVDVDLIQKASDMFHAL LSKPGNEELKARSMVGFELTPHTKVRSVPTSHTAFAGRGGTAYNVIIVISWDTEERDK EAKRICAAIAGFMKENGWKGDESGDRGGTYYNYLNPVVESDRAFVKADRVFGPNVERL RQLKTVYDPNNVFRKAVNLVPASHTDS PFICI_10627 MSVAHTVIYSAYTERIMQIFKQPPDLRSTAVVTLAIAIATWLGV SLVSEWLSPLRGYPGHPLARWTNLWRFFLVRTGNYHLRIQDLHDKYGPVIRIGPNLLD LDFPELIKIMYGTDGKWRKTEFYHNNSAVVNGKITYHLFSQTDHAEHARIKRPVIRYY SQGSVLALEPLMDKTIKDFCAHLDTRFAKGPQSGRVCDLGEWIAFYAWDLIGAATMSQ RFGYMDKGHDFDGTIGFADKTLDYFSAVGQIPFLDFVLDKNPVMRIGPPNLNNVTRIA LEHLVARIQGNDKSFDPKVPDFLQHFLDAKKAHPDTVDDGVIIGYMLVNLIAGADTTA ITVRAIFYHVLRDPAVYRRLKDEITAANLGEVASFSAARALPYLEAVVREATRIHPGV CMLLERYVPESGLSLPDGRFIPAGTAVGINPYVVHRNRGVWGDDAEMFRPERWLQGHS PGPADETDEAYHKRLKLFNAADLTFGGGSHICIGRNLALMEVYKITATLVSRYDIRLV DPKKEWEVTGSWFCRQRGLICHLNSRN PFICI_10628 MAYGGDAPSAVTAMWVLTVLTLVFVVLRTYTRAHVVKAYGIDDH VYNLAFILLLCYVIFITISGHYGFGKSMIDIPEAEDAVRAVLFEAIGQTFAVVGMAVA KWSLGLFLLRLVTEPWHKAAIWTAMCVLMSASVSVCFVFWLQCSPPAYLWDKRIVGVC KVNSTPVSMLLCILCVMVDFFFALFPWLFIWKLQMNKREKLVILSSMSLGVIAGACGI KRTLEVPELSSPDYLKATVGLIVWSAAEISVTMICIGIPICRPLYRTFLEKLTSQLSG NGASGNSRGAGSGIKTLGGWFPSHSPKSYGLRTLGSSEYQGQHAHDDDNDEDHHHTAV ELEGGKAVMEQESVFAQPDHQSETSSSPGVVGSYTGTKTFAVSTGRRHSDEDSEQEIL GLQVEHHNCNKTDGHNRDRRHAKTIKVTEEYQVTSSTRRFN PFICI_10629 MDQSTTPQALPRSRPRSSQTTVSVNWEDADSVSPHPRIKYEVAE CIETKTITTTTTTKRSFPPMFVREPRSLTSLDSKEYPLARKPTPPELANFTFDVDEHG ALSWIADSEPSHDVGFLPSICHPEPVVSLIANSLRRHHQSFHSFDDSIEDVDAKTEIA YQVPRQSHKNPSRFDNALHDDQDSNPRPGSPSAYDAWPRVGAKVYRHNRSHASAVSNK LRRAIARGKQKETAPENESRHGGLPITPDTSELSASSSRPPLRVRRSHLDSFETPDDS EPSQVQAEEYFNIDSPSGSDSHTVFSGSNVATPPVTAADAELFVDADESLQQSIRALQ HPRSINTLVAQDASLPSPRLSPTLQAAHLHSNGREEDDNVSLITNDDTADEEEDPSAL REVTSRALQRFGATEMARSSLMSSQQMLDTYESMPAEMQSFMMFQLLRRCSRKVLHVV ADIVNPALKCDVLKDLPLELTLHIISYLEVRDRCRAAQVSKTWRNTIDSDETGWKELF DRDGFTLPPGELERAIREGWGWQDPVGPEGCERDLSLQNRLTASEAELRASKPEPPAQ KLRSSKRKRTLTHLGHDRYKRRASAQDHVVRAKEQTEEGTSEVKMHKSEGPNSAANAA ALAVPEPLIGLSSLRKLHLFKSLYRRHYMIQKSWTSGKVKPSHVAFAAHPRHVITCLQ FDEDKIITGSDDQLIHIYDTKTGKLRKRLEGHEGGVWALQYEGNILVSGSTDRSVRVW DIEKGLCSQVFYGHTSTVRCLQILMPKNTGKISEDGSPIMMPPKPLIITGSRDSQLRV WRLPEPNSRRYIQTGPPANDADCPYFIRTLHGHTHSVRAIAADADTLVSGSYDNTVRV WKISTGETVHTLTGHTQKVYSVVLDTARNRCISGSMDSFVKIWDLETGACTHTLEGHT LLVGLLDLRDERLVSAAADSTLRIWDPESGRCQSTLTAHTGAITCFQHDGRKVISGSD RTLKMWDIRTGECIQDLLTDLSGVWQVRFDERRCVAAVQRGSLTYVEILDFGAVRDGK SPEELGKRILLNPSEVQRLTATDNDDN PFICI_10630 MSYFHDHNYRPPLPPRSPRPGLATSPGPEFSPFPTPSLSSECGV PSPSYEIPPPLPRRPVGAPPGTSTSTLGGLRSSSAPYLDASSAHGPGYASFPPPPVSP NRPQAQASRQHQKPPPLPPRSPHQVASPFTQAVSRASYAQAQTVTTSNDGPVTPTQIT SFPSPPSRPPQHRVTSGGSPVQRDNGGFRSKFPLPPDPPFDPEIPSSPPPAYSVVDEA GSEIQSPGADSLPHAAYSSSEPPMTPGGSGATTPDPSTYMTFPTASFIPPTTAPAIPP QVVVVSPTVSAQRTSSATSSLPSSTNVSNPQSPDAMSFFPTPPLVSPMPVKDPIEELM QSVSLDLKSASEDSTQKSRPVQLQTPASSTEDLAVSPSPSKPVVTPLRPPKKPLDDVP AAVPQLNGGAPGGKPSVSFETARPGAAVLVSRPRLRSHSWKSKAVVTSCIDTPATFST TWYSHPGTPGFLICSRCYADHISTTKFANLFQGSTLDDGKPRVCRFSIPRFKNHMFPT AVTTSYLQPLIDYMRRRSNIPDCRGGDGILGSTASAANIKWYNTRDNAIPGFLVCEAC YEDYVGCTPSLATFFQAHPQGQGPTEVWSCDFALSFIQRQYAADSKNTNPRIGWDNFV VEAKARIGITRCGQGERVRTFGRKWFQPVSGPKDLVVCAACYCDEVIHTGEEPKWTIV PGLTEARDTHIRCALGGQFNIKIAMARAQEKKDFSCFWDAVKKLEWHPPCEEAGVVDT EWWTLPGDPLGFQVCGACYSVVCESLDVANIFTRKTVRHATGKPLRCCFNIAHPRLRN YMPRLLELYFTNNPAALITYAEIYASIPPCCRDEEVKGGSWYGWDDCHICAECYLDFA RQHELAEHMPLRNAFKEQGVMCEMYSTRMRQQFVVCSRRFPPDVGELLHSSRHRREIY DQTVPQMKRILRQQNPSLGQQAGESPEKFHGGMRLFKTLEEQWKTVE PFICI_10631 MSKPSDSTAAPSSRASSSTQNGRADSTNASGTGAGSATEWHPMS PQPNSRPNLDDRRQLSSISICMGHRPHTMFPNPPSTGRPIVPRLPKVLMGNTAVVWPD PWPVEQRGDAEDTRAAHHGKNGTDGTPPQRKKE PFICI_10632 MKSSIFLVLVSSALALSAALPHAKLARSDVAGMESRSAHDARDD VCPDGRSGYNCSEGSEKRQAETDACDGC PFICI_10633 MAFSFPPQSYEVKSQRLVIRDPVPEDAEAFVDLMGKVENLPMGE TEAMTGLTVDSVVARFGRWKKTSLAGKNAFLSVALRDTNQMVGWMGFNCFRTKEEFDG TVPERDEPTPGLEGRYLTDVGVNIDYRHRRKGYALEAVCSAVEFAFETIGCQVVRLET SLVNERWRGLMVAIGLGDFEEQAPSSYNGEVGCIYKVHKDAWQKVKADLKAKGKWYV PFICI_10634 MATKGRGVSKASSSKGAGKTSGKKAPSKDSKKPPRVFPVLTKGI EFEFLVPWHFDVDEDGNGGTALAPDQRYRITDGYVIVARHTVATDSSGAASMSTWNAA MTMVRVAIYDVLVANGVQVNVPGGFTIPAFDPTHPRAALTRGAGFLRWNVNSDSSITT DLDAGDWVECVDPPQTEFDLADIELITPVLEANNAASDAEITNAIGILRAHFLCFTPT SSGLHVHVGQGSVLYEEEDLKRIAGVLFAIDVWFQRLHPNHRQNSMYCVSTRRKSFLA HGYTAKQAHDMEYGLLGNPFNNPSITPGNPAPDVIQAWKEIEAASDSRVVLKLLHTFS MSTYNFKGVLSPNKPTIEFRQAAGSLNAEWAVHWSNLAAGVVDWARRCDGNDSADELR AFLSQASLKESSPNTQAFSLINMIRDRFRLPAVADYLERVPGPDHRATPRATGQQARW RENFRRRSGRRLGVMRPRTDWPP PFICI_10635 MSSHGSSNTGSKTSTGMASATRTPATAGIGEDKPKAFDAQGSIG KQFTEHGALGGAAQSIGGPFDKEGAIGKQFTSEGGIGGTVQDALGGTKKKSN PFICI_10636 MDDDYDSGDDLFDGVDPDQLATSQKRTREAHSNDELQEAKRVKI TSSGTEVGELIDLARSILHEKFGHDSFRHEQEKAIDAILNGHNALTIFPTGGGKSLCY QIPAVAFEEMDKQSNVERDFGSGITIVVSPLIALMKDQTDALKAKGIAAECSDSTKTY EENQQIHADIHSGRLRLLYCSPEKLNNEAFVASIKHVPGGIRLIAVDEAHCISEWGAS FRPEYLKVARFTKEIKAERVICLTATATPRVMDDICQAFAIDKPFVFRTSPYRSNLQL LAESTLTKQDKYPKLFQFLNDHPGPTLVYVTLQKQAEDMARDLTKQGFEAEAFHAGMD AKKKAKIQERFMASKLRIVVATIAFGMGVDKSNIRNIVNWDLPSTVEEYSQQVGRAGR DGLPSTCLMYVCPDDFYIRENFARGDLPSKLAVRAALEDVFTSEVSHEFEGNVLKLGH SELSRTHDIRMSPLAVLFATLELRFGLLRAITPEYTSWKFKDRGAYVPISQQDRSPAA KAIVSYSKKALTLYHFNPKEAMAATGILRSDLMNKINEWHNRGAIELNASGVVNRYRI LDQLPSNDVEIDALTEELYADMQNREKDALNRMQQVVNLVTGRQCFAFALAEHFGMGL PSGKNSCGHCTFCMTKQPVILPNKTIPPVDFEGIKEVLAACDVRDDPRFLARVAFGIK SPRVTSLKLANNPVFGSLIEQPFDSLLKEFEHACKSAQYGAFQKSSQQPAKSGSQKKA KSSYSAPSNSSSRGSRGKSYTSRGSYGNTQGSHGKSY PFICI_10637 MLSLPILWLCVSAALAIPAGKTPPIPPADPAPTPPATIPVCAST SLPCTFAVNNIAYQKYTPFPGTIEEGLDSMTLAFGVMNDANDISTACSFTNGKYGGQW SDNGTRWYSCGSRTIVDEDGAQHVLKTNARFDWNSWHLTVNQTWVCGDDEGQESSIGA NATVLLAPKCTNESTTAYNFTECSAPDLLGDGFPFLGK PFICI_10638 MAMEPRKAATSFGKLESCIARPKTWARMGKEEAGSSHHFGFPAQ ICTVFQTCVDLDSNSLSRVFTMADTGTAIATVPASIGAIGDTNRASYDATAQAAIEAE VQNITTVEDKTGHDTFHGLVLPTEEERTTLRRVAGKLPITCYYLCAVEFAERASYYGC NQVYKNFIRAPLPPGSTTGASGAGSQYTAGALGKGSVTASAMTEAFKFMAYALPIYFG WLADTKYGRFKMICWGVAICGVAHIIMIISALPPVLTSGHAIGPFAFSLYLLAIGAAQ FKPNISPTIMDQSPHRVAHVVTDKNGEKVIIDPEESINSVMLWFYLLINIGACTGIAT TYLAKLVGYWAAYLIPTILYLMLPPLLWYLNPRLIKQPPGGSDLGNVFKVLGDIFAHG GMKRIGRSGFWDLGKPSVRRAAGSTHDYAYDDQFVDDVRRTFQACGIFIFQPIFQIND GGLGAAANALTAGMDTNGVPNDLLDNLNSVSIVLMVPVMNHIIYPLLRKHGIKWGAIS RMTFGFAVCTIGSIGFSVLQYYVYQTSPCGWNATTCAEIVAVGENSLSTVSYSLYAIP IIITAISEIFINVTAYGIAYSRSPKNMKGLVASINLFMVGISAAIGLATAPAIQDPYL IWAFAGPTICGAVLTVIFYFTFHHLDKEEFVINTDINDMKRDSDEDSDLERRTDGVIS DEKAAIKA PFICI_10639 MKFTLSLLGLGLLACASADVQTVHLTFHGGPAQYSLTIPADGQV YQTNNDISVSIIDAPDYNAISQCTFYTVGDKTLVGGITSEGLQQVLVGPPQPITGVSC YGFCVGIYGDCYDINGQFVGPCCNGYCAADKCRPWVTPF PFICI_10640 MSGTSTVQVINPSLPHDDYGPQVNACIWALSGMAGAWLVLRIYC KFIRHRRLWWDDYILVASWLMLLGGNISITLAIQDGFGKHSWDIPFKNYPSMLFVSAF AGTFMIIGAAWSKTAFAVTLLRISTGWQKSFIWFIIVSVNAVLGASAVMTWARCWPVE KLWMMSVAGECWSYKFNVRYNIFTAGYSGLMDITLAIIPWHILWGLSIDRKEKFSALG AMSMGIFAGITSFVKIYAIQDSGNADIADTVQLVVLATAEIAVTIIAASIPILRALAR DKVPRAGPFLALDETEHWTRQQITTNGTTTTAQNSPPPLPNTPRIEDIELRPISRKKS FSDKLKVGHLSRIQEFDEAAVVQRSPRRSGWTPV PFICI_10641 MYGPRASSQSRGSYQPRPNIYYERHVPVEREQLDDLYQSFEDMR LNDAHSCEIHERDERRRHSRPPPAYERYPQETRRFSQAYEDEYGRPVAGPTPSRVYSS GTRRSQYAPPPVGEYSGMREALAREDVDAEGHHHERRHMRPRGQTIDEPWVYRRPAVR EVPSRRHSTRDSFYPPRDWHESQDLPFEEHVPVSPVRVHPGARNFSRPIGDAPARSSQ TPDHRLGDARQTPTRTTWYCSSEEEEEALQSPKIETPERTSTNTRLRDVANVADAERQ PRDPKVGIYINTGHQESHQSPPPRARGTTTTTTVAGGSSTPTTIVVDEDKGSGSTRER RRRHYANESEGGSRRERDSRRSTRERERSSRHHTSDEPSNRRHKSSSSRRKSTHAPLK EHNSSCIPQ PFICI_10642 MLRRIVFAALAGVATAILDSSFNGTGQIRALAHGTTNGTDMGCL TDAGQWTTIEDDCGVFAGVRNGATGVYLTSERGSCGRAEDDDSVLYFNCAEDQDALDF WIFAAYTNRDILVYSETFTWSSFSTFADADTNNLTLHSYRTNDPEPWVWMGWTAL PFICI_10643 MRAFTLSTVLFAAATLAAPSPLSSEFCNRPGLCDFEDATAQAQC IAQCEAIPGWLKTKREPEPLSSKFCNSPGLCYFPNNAAAQAQCIARCEAMPGWFKAKR APEPLTASFCNRPGVCDFEDATAQAQCIAQCEAIPGWLKPKRSPEPLSSEFCNRPGVC DFEDPAAQADCIAWCEAI PFICI_10644 MTMNLLDLPPELIGRILELSTAPDFENLMVTCKAVYACGTSILG SHNNRRRQWSRFIVLDQDPRESWALVYRNMAHPNVAEYARFADFRAATLSVLNNNMGA RSYELSASALQDLGALVSCMQFLPEAVRERNYLPYWLDRVAEYPNTYASDILWDWSLI LLIIQLKYVTRLTLPSDWLDTRGFMAAAEMGLEDQHKLDLLTALTIIMRGTHKPEADA PLACCKIIRSLGLDATPTFLSSMSPLLAMKSVAEFHATGLFGQVENSHQLPSLPYTWP YTDLKSPLQRLELLDCNIDGESLGALLAQTPYLRIFRYSHVPEVIPDRPSYWDLVEEA MANPEDLDLKELAIEEYRRSTWNVAAFVDTIAKYCGNQLIELAITFGDRCKKFGIKNP VTNMKDFTRLEHAELEVAIFGGSDDLPTFTQLESREINVPKLVEILPSSLKTLQLFDG FQCEPEAVVALMRDFAAGRDWLLADLEEISYRYRDYYERSDPRPYYSAIERQADAQGI SYSSGPSIQPHWTRAFGKDNGVFNTA PFICI_10645 MNMRFSTILTLLLAGICGTLAQTPGFDPMSKPTNWQDVTAGENF QIVWDPTSYKGTITLELLGGGSPATLWILGNIAQGVDNQQGQYNWAVPTNLGQLETYG IRILLESNPSILQYSFPFHVRQPKAGASTQSPAQSTPQAAPSAPAVNSVSQVASQPTS QTSPIASQASQTISQSAPKSTSQAASQAATSTILANTAKTTPPATTQPAPQPTVQTIT RLPERCKVRRRWAPSDFGYSSY PFICI_10646 MSDGTNGPVIINGIKPDDSAKPPARLEVRDMIKNQPDQWNLYLL GLERFQNSVAENAPLSYFQISGIHGFPYTKWPDRDWNTMKPVSGSRGFGGFCTHSSIL FLPWHRPYLALFEAELYKHVNAVANSFSDAERKADYVKAAKTFRMPYWDWARPDLPVF PAEAGIDANNQVARPKTSAEAPIKPNPLASYTFRESASDDNINWFPDVNATVRFPSQG SDTPDEQAMKQQLSAFFQGQQSSPKGRNLTERVLYILQSYTNFGAASNNRFDSQSITP GFEGWGSIEDIHNAIHNYVGGGGQMASPPVAAFDPIFWLHHTNVDRLFAIWQALHDDP GNTATYVTPRPAAEGNFYTRAGSTESAATPLMPFVEFPGGSPTSGGTFWTSEGVRSTK TFGYVYPETQDWLFPRREDIAQELRRLYQSASLANILASTGAAFDASVKNLKTRAEAH VAATVKAPAIAKPSASPEITIQTEQVPEQVPVAATPPPAAASSTPSKPQEDRDIKKLV GNDHKYLEWLVNIRAEKAELDGNYVVHVFLGDPDDAAPMLYPTNHGHVGVVATFGQDA DTACENCQKGRAEGLRITGQVPLTIALAERYLAGLLDSLRPEHVVPYLQTHLHWRVTL ADGRRRSRGELNHLLVSVVTCEATVPDSADGWVRYADTVVPRPEATTNRTGGGRGSGT GYVGGGVAQ PFICI_10647 MADTANGWQTVVLPHAHSRVSQLDRAQLAAYERQALDLWAQAEG DWSGCGKHTTDVAEAKKLHSKLKSTIVQKLGQGGQGEVEKLNYTHKNRSVVLARKRLK GFVDILRAEADNLERLCHDHIVRLIGTYSNHRKELYLLLWPAATRDLASLLNDLDDLR FDGGDRADILERLGELELRDTSAIDHPYAIQKPDIVSSGCPLDYLRRSMGCLTEALKY CHDSNIRHLDLKPENILVSPGQVHLADFGISRDVTDQEHTMTCQEIGTKKWFAPERFS RSGGWSMKTGGDIYSLGLVFLNIAAIMYGMKQSDFSNILKQVDWKLKLDQLNLFLEKS EKQALATQDFADARAPTYRPKHIITLIRSMTDVDPEKRPDTTGVSRELYRLGGLEQVY FNPCCKASPRALTQLLDEDYAIICNERNSLARENERIGKRLNTLEAAVMTYDMRIQNQ QQKFARDLAHVTKQLDEAKRRNSELETKPQQGHLPRPGAARPKLKSPNRHYSNPPEPV VNTAQEPKPRRPSGPDMRKSTGSLPNYSLRSHPSASRLPQPINPSTPRRSGTPSTPRD ANLTDSTIDSMASSLFSRRTTSSGLSPNPSPSASKILVKTNNNESTESRGAEYGLGIS QAMNPAPQVASKTFLSDDLLVEIGSQHGGSVQDTISLASEEQSEFARPARKPSLPVAK SWAAIAGDKQGLAGMLGAAVAGKKKKAQ PFICI_10648 MRVMQSDLAILNAAQYEDGLSSSRGGHGPAVPMKAIPSVREEAP SRKRPRAASTAQASQADHEEDKKRARGRPRLDPKDQTPQDRRRTQIRNAQRAYRDRKE SAISTLEKEVDGLKEANEQMSSAYRKLFDLATRKGLLDGAPELSQQLMQLDALAKTAG MNSPKSEEEAESSDSARGRKSSNPSSVADAHTESHQQMQPQQHNPMQQLMGGIMVTHE PSTLPSQMHSHPMPGAPFHGMSMGYEIIAQPTSQNASFPQTLSYDQSTLFNTNWMQAP AAWNTLSSPASYAPQEPQFSRRLQRTALQRAAKLISMKDPPPERLTRVFGFSRLFETY EQIRDRLMACLDRTETEDLSYTGYPLQTVGGAGTHFPEMHAGGPGNGLNPKTFPEARP GRPASRAPFSVGPFERHTEMIRRSLTSLADSMLFPGFDGVFWDPDEVDFYLKSNGVHI PARADYHVVEILDDAFAGPPPSLISQTETLSPSAVPSSNSSSNASIGQQMPSTLQTPS TSATSRSHSSTDGSNDNATIPMNVPLDMWQSHISSQVDADEQFSNAYTHVPPTNMANF ASNMPSAFGDPSLLGSVPGHHHQHLLGMPVSHFDGLPTFIQPPAPRKQRWNINVEKFI DELIARTMCLGRTPGFRPRDVDVAFWASVVVPGSS PFICI_10649 MSLTRQAERSLLAPVVRRATSTTPMANRTIALLRTFQTSTRAQL PVPGPGGFSTGSGVGSAPPSYFQRPSLPANTVIRFVPQQTAWIVERMGKFNRILPPGL TVLVPFIDRIAYVKSLKEQAFEIPSQSAITADNVTLELDGVLYTRVFDAYKASYGVED AEYAISQLAQTTMRSEIGQLTLDHVLKERAALNTNITAAINEAAQAWGVTCLRYEIRD IHAPAAVVEAMHRQVTAERSKRAEILDSEGQRQSAINIAEGKKQSVILASEALKAEQI NRASGEAEAILMKAKASAAGIDAIARSIETGGGAAQGAVSLSVAEKYVDAFGKLARES TAIVVPGNVGDLGGMIATGLSVYGKVGEAQSKTMAEKMVKRLEDGSDSTAAKDDSIVS EVVKEFDNTTGKS PFICI_10650 MTTQLLASELTNLIQESKRKHNDLRQAAEKSLEELKSLRGANEA QIGAELAQRVNFVNPFIIACGTKNAKFTGIAIVCLLRLIMSSALPRTKLSPVLEALRE ATSNGLDVQLKILQALPTLLQNYATDIKGDLLVTALNICFILQTSKNGIVSNTSAATL QQLVVSVFDKVVTEDRTTSDAGYVGDAPTQDGQVHLRSAALDAYRVFRDICLMTENQR PEYLRFTGLPQTFGLELIESVLTNHASVFSSHPEQAHILRSSVMPFLISALGGKINFA TSVRLVRILYTLLRRHLNVLLSEGGEALEILTQLLDQDAALWRRALCMEVFRGIFAEP GLLRKIFMLYDAKEGEKNVLRNLTATFVRVSTEKPVVIGLGHQSTIPVANPYASSGSS TDQAMLEASGVTGIISGSVANEAGNTGISSHWSTMRVPCIDQLDKTDPPSIPESYVYA LTLSCITSLSEGLAKFILPLTVPADGRSRRRGTKQQEMDRDSATISEEGNDAVKTGLE KSASTTSIERSSSFKKNPVPVNPLALKDHPLYPEIQVCAQIVDECWPAILATCSTFLY AALDSEYYHGLVRAFQKFAHVAGLLQLATPRDAFLTTLGKAAVPPNVFTACLNAGSSK SGMSTPSTETSNTILGNARGLLSVESLVTPVGAAGERQRQASIDANTTPQTLNTRNLL CLRALLNLGIALGPTLTSSWRIVLATLQQADYVLFTTGKTAGRTPVAGKSADQRADSE AASLLANFSVEIRAVETAASRLFESTVDFPNEAFGEVVEAICALLVHSTEPRSELESR PQSPPSQAVTPPPHTTRMSSAQHRRVLSVSTAATGGPNQEDQFALAKLGDLAAINIDR LLSYPPDVSGWTRLTSELIDTLSSAAVSAQVRTRAADIIVRLVLEAAASAVSLSDDIR GQVQLRLLEAYRDSLLPLQRLDRPSSVAHYATDVDIHKIILEGLKSLLDNCGETLISG WDVAFEIIGSIFMEKQFAQEAVQDASKPSTVILTRSSKLIRASFNSLQLISSDFLSSL PNSCFLILVDTLYKFCSQDDDLNIALTTVTFFWVLSDFLSGKNKSLIITNSSLGDATD DTIAKMAADSDNPSSDAALWMLLLLRLTNVTTDDRLELRNSATHTLLRIFDAYGDRLS PEAWSVCIKSVIFKLMSSVHEHLASVNEPEVDAKIKHEWQDTAVVVLNGIAGLLANYL DVLTAHSTFNSYWQQLLDHFASLLDLQVLDINTATFKALTQILSHSQNGAKQNFNKTT IDLAWELWSRGVPVSQQDGDKPIDNQNCLLAYVAALREVYRLIQTDLTVERLRRILEL LRETMQKAAPSAYVLDVDYATQLQGQILDVMKVLRADIPGAPSALISQAANFVNLAFT DDKVKRDASDKRTYVAMSKASMLILQTLIVDHASDADIYSDGALLAALTALSRPIVLK YQFPTITKGTQPWKQATTSAIVILEATLHHLRSLNVSRSAIQDIWQTVVAIANGITHA DCNEHPERINLVDDQEFDINSFTKLRELIIPSLGSDVVPDTTRKIFAESLFQMSIIHS PAPGDLPLLNGSTDAHGLSELFKVRSGRTIDPSPNLRQKVAYICLDEIFALVSTHEEV GAPSISIQPPTPRFAPPPGTPGSSTLPGEAPQALHVRLAKTVAPYLVLRSALTLRSFI ADQPLRGQMPQPLSQRKELYHVLDRLVELRSDNEAIPDCANVESEYRKHLMRLYPLIV RAMGVAGRSGDEELGNKLQKAMEVVGSEFGA PFICI_10651 MAKVPRNFRLLEELEKGEKGMGAEACSYGLDNPEDLLMSDWNGT ILGPPHSVHENRIYSVKMHCGDQYPDKPPTIQFVSMVNLPCVNARNGLVDPSQLPCLA NWKRENTMETILIELRRYMGSGQCKKLPQPPEGTVYQNQ PFICI_10652 MPPHRWTSSNSFFVPARSSRHRTACLALYRALLEASPKVPLPVD LKTAWGNKRHPIANVIRRAFIKNRNDTSPRLVYPALEAGYRMLDTLSKAANPDTPEYD SILTFLRKRLAERNHVLQQKEAHPPHSKTPRKPSSAPNPSTIPLLVKTTPAPTPENPK PKPTYASEVRPRPSSDLPPGKKRRIPVLDMAREYPFLRLGRPQSPTLDRVLTQKNKAR VQMLDRLRDWNDDDSTLIGVADCREEDHWDRLVLDLLDREGQGQQNGFTRRVQHGSSE LSFMNTLHTNGITHVLQALTADREDAVARADAMRQIIKEEKAMAALEKAQDHENRRRR WEARMTAEHGAGWEDIIAEQKRQRDEARAALMRLPEKERIAVVRKRRLERRVRLEQEE KERLATVD PFICI_10653 MPEISNLTPIQVIGVGLAVGVLYIVGQSIYRLFFHPLSKFPGPK IAAVSEAWWAWALLSGRQPFHILDAHRKHGDIVRIAPNELSFASPQSYQDIYGHVSKG RGRFLKTEFYDNGPPRIVSARDPEVHARQRKALSHAFSAKALRGQETIVHQYVDLFVE QLSRLGNAGKKAIDASEAYNWVTFDIIGDLAFGESFNAVSDGKTNSWIAIIFDGVAAM MISAARKRLAVVKYLEPWLVAPKSADKLATHVRLSKDKARKRIQMGGDSRRDVEDFFG HMIRKGTITEQEMTDQARTLIIAGSETTATTLSGLTWYLLRNPECLAKLQHEVRSTFS SFDEITGDSTASLKYLHGVIEEGLRMFPAVSTALPRYSPGAVIDGHYVPAGVIVSNSG YSMTRDSRYWHDPTAFRPERWIDENFQDELRASQPFSTGPRACLGINLAYLELRIILA KVAFTYDLELESKHLENWNESCQSAFLWKRPELFVKFVPFKPIKNHDNIEIVA PFICI_10654 MKTNVRSVWMLAVLSLETAVSTAFSVDVRAVAPGTAGPISPYAD NYTTLDSGVRIYGTPSSLETADAVALTLRSSHAGSSSFSPSDRRAASAASHPRGAAGW CSASSIDNEGSDNSPLISDCQVIATQVYQLAANNNAYFGRSECNPDSTTGQFTCYYPV VSYQSCMFGVSTVSAGTSLAVRVGWQDVGDLITDSVSQCGNSPSSGKVGASGEMTCPE ENSASGSYSTAWGIYHS PFICI_10655 MSHNLIGSHIDGPPAANTHNASANGFQRGSTQQQRSNLQSTPAD ELHDLVCVGFGPASLAIAVALHDAIESGRLPRSRAPKILFLEKQRKFAWHAGMLLPGA KMQISFIKDMASLRDPRSHFTFLNFLHQRGRLIDFTNLSTFLPARSEYEEYLRWCANH FGDVVRYGQEAVSVSPVSATQNGNPVSQFTVSSRDVVTGKISTFRSKNVVLALGGQAN IPKSLPAQHPRVIHSSQYAHMVPKILNRKDAPYRVAVIGGGQSAAEIFNNVQNLYPNS STSLIMRSEFLKPSDDSPFVNSIFNPEFIDNLYPRSAENRKSLIADARATNYGVVRLE LIEHLYEKMYEQRREFGPDESKWPHRILGATDIVGVSSDDTNQEKLRLQVRNLREQSD YVLDVDLIIAATGYKRTGHLTMLDEGVSKLLPDVAKGSTEVKMDVKTPYSGIEQKNVQ VARDYSLQFAPGKVARGSGIWLQGCNESTHGLSDTLLSVLACRSDEIINSIFGAGFPK TMAKL PFICI_10656 MEELNQTMGLSVLNPTPAILPGPTLLHDLVRKSTNQDGPAIDFL AEDQQRLALSYASLDLLSDRLAERISQSLQASPAQHVTEQLIIPLMIPQSPDLYVALL AILKSGAAFCPINLDAPADRVSFIFKDVQASLVLTTSRLAHRIPAATTRTTVICVDQE PSSLLVNPEHVAPDRIRARECTPHDLAYVMYTSGSTGTPKGVGISHLAATQSLLAHDR HIPAFSRFLQFAAPTFDVSVFEIFFPLFRGETLVCCNRTDLLSDLSGIINKLDVDACE LTPSVAGSLVKKRHRVPKLKLMLTIGEMLTEPVVQEFGGDAHAPGILWGMYGPTEAAI HCTLQPAFGRNASPSCIGIPLDTVSAFVITAPANQAETLPFEIAPIGQVGELVVGGHQ LATGYLNRPEQNAASFIDTEFGRVYKTGDKARILPDGTIECLGRVSEGQIKLNGQRME IGEVEHAILRTHGCHSAYVCVISNVLVAFAAVDEVSGMRDEIIANCKRWLPAFMIPSE IVVMESFPRLPSGKIDRMRLKQDFSRSQVDQSLPREEQQFKDDLERLLCISTSDMLDT SVQLSTRLSSVGVDSLLAIQLAAQLRDSGISVSPLDILSSANLADLHQTIKKRESEPR ESQATTNGHREVDDIDLSALLASDDTYSAKSLDMEMITDCTSLQVSMLVETIKDPKLY VNTVDIAVPRNTSYETIKSWFIDIAQKNEILRSGFIHLHDRMVRVVWKQLTQSQICRT GNICATESPDIDHFLEHPLQIIVDEESASVKIILHHAIYDGWTIDVLIDDLTSLVDGQ TLPDRPSFRSVAHQLASKLPQNDSDKEFWAEHLRNSVGASLPNFKTMAVPNPEVDSHR HMLQIDPRVVQSIALQSEVTPQAIFQSSLLWFWGAITGADDVNIGSVFSGRTLPVDGI ERVMGPCLSTLPIRARLAEGSNILDLVRSTHTTNRQIMHQNPISLADIKKLAKLPQGS TLFDVLFVYQESLSSRRRKRNSIYEINHKDHVESPLLLEIEPLAESYRCKWTFHSDAF SMDQVQSFAQLFSHLCTYFTHQIHASLDSILSSFPSSNLSICASDIKELEICSSLPEV VEMTAESKPQAVALSFASMISEEAAQTEELTYEQLNQRANQVARYLVSLGAMPGGIIA IVMEKSPLLYCAILGILKTGCAYLPLLPSTPQTRIQMILDSSRPQMCLVEDTLPFDRY SVPCTLVGLRDHSFKQFPLANLDIPQKGSQLAYVIFTSGTTGTPKGVSVTNKNMLSNI KALENIYPVETGASMLQACSQAFDVSVFEIFFAWANGMRLCAATNDTLFADLEMSIRA FNATHLSMTVTVASLINPSRVPCVKFLVTSGEPMTDEVLEKWNSVLYQGYGPSETTNI CTVRKVYPGDSSQYLGSALENTSSFVFHPSSNHVLPLGCIGELCFGGDQVAAGYLNMP ETTAAKFFEHPQYGKLYRSGDKGRMLSDGSLIILGRLDSQVKLRGQRIELREIQAVAL DSGVARACSCVLLRQDAQNSQQLVLFYVPSSEDATEFNVLHLKAPRKEEIRKLFQVLQ DVLPAYMIPSFIVPISCLPLTSSGKTNTDRLRESAATLSPNILNQYSTVLENAEENSE WTTAEEHILDALATTLGVEKRTVSRWTSFATLGLDSISAMPLSRRLQLAFGCRVPISQ ILQNPSIGRLAKAITSSKARPVQNGIQSTRPLDLLPDEIINFIMRRLEDETQTIEKIL PCTPLQASMLASTISSTDPFRYRNQMIFRIHGDGQDLMSYWQRMRFRHDILRTCFVST DDALHPVIQLVLKPTKFLCKQLLGPDLEGCAAEHLESLQSPLDSLEPPISLALITTSE RQRYLSFVCHHALYDGVAIRTLLSEIERLARGEALPATPPFSDFLREALTLPKDTDDF WGQFLKDFTPRHLPHGLTINGVNGTNGVHGLQKPGYSMPLSSVQTKLRDTGVSLLSLC QAAWSETLSLVLKSPDVCFGNVFSGRSVSVDNVDELVAPCFNTIPLRLKLLESSSHRD LMKTCQKLNATMLQYQFTPLRRIRSKIRVDEARLFDSLLLLQPPTTALDQSLWILERD VGTMDVPIVCEITPDMELDELRITVHRDSGIISSSLGSLLNEVFVQALNDCVNHPSST LSLAQKLPAHLQSQIEEIPSVGSSDSTLVNGHDNISADDQWSEHELQIRRIIGKLAKI PREKISKNTSIYRLGLDSISAIQAATLLRREGLQVSPVDILEHPTCTGIASHIKAMSA TTSPLESRYDLSAFQRSVQEQVDDARLTSKIKTVLPCTPLQQGMLSQFILSEGANYYN FVTWDIPLTVNCDALAHAWTVLKNRHQILRAGFVSISHSDVSFAMVVYDAKSVCAPVS CVKETNFANFDVQEWRHDCIESSLRDMSRPPWQAVLQVHDDIISMHLGMHHALYDASS LQQMIEELFLVLKNERLDPVRSIEQAVKTIVGANIDDQASKAFWKEIAINMVVNPFPT MTPLRVEVPERWRMTQTLSITLGMARATAAAAGITIQAALQSAWTRVLAAYQGETAVT FGVVLSGRTLENLANSIFPCIVTLPVVAQNAKSNDELLKSMMVYNAGLRRHEYTSLSQ IQKWTGHADSAIFDTILVYQQAPNNSSVSREWRVAHETATVDYLVSLEVEETQTDGLQ ISLDFRSDVLPTEQSALLLAQFEANFIHLLQEPKGDADGMTLKYPDLYSVLPPDHTEL PSEAILLHDFVEITADRIPDHLALEYVHELNGGIKSRKWTYRQLDDYGSRVANFLIDN GVTPGGIVAVCFDKCPEAYFSILGILKTGCAFVALDPGAPATRHQFILEDSKAFALMV QKDYAPEVLSSSPCPVLEVDLLKLESFSPLSPRVQISPEDACYCLYTSGTTGTPKGCL ITHDNAVQAMLAFQQLFSGHWDHDSRWLQFASFHFDVSVLEQYWSWSVGIPVVSAPRD LILSDLISTISKLEITHIDLTPSLARLVHPDDVPSLCKGVFITGGEQLRQDILDVWGS KAVIYNAYGPTEATIGVTMYCRVPQNGRSSNIGKQFPNVGSFVLQPGSDVPVARGGVG ELCVSGKLVGKGYLNRPELTAERFPTLERFAERVYRTGDLVRVLHDGCFDFLGRADDQ VKLRGQRLEIGEINHTIKAGVPQVKDVATLVTKHRDQDRDVMVSFIVTQDDKNHDKEL SIISDPSCLRLCADAQNACRAKLPGYMVPTFVLCVPYIPLSANNKAQTSILKQIFNGI SAEELREISSGSKSTSTRLEALNSQFAHVVAKVTRAKFEEIRAQDTIFDLGVDSISVI ELARQLQAAGFSGAAPSTILKNPQLGHLVTVLQDNSPSLEDSQVIRVKQNISACYHKH LGTACSKLQTTKANIEYIAPCTALQEGMLSRALASESQSTYFNTFQIRLGPDASIARM RVAWDKVMADNAILRTTFLQTVDGYIQVALKKPQTNFYEATVAAVDIDSYLNGKFDAW TSSNKSEINRALEVEFVHLEDQSVLSVRIFHGIYDARSFDLVLDHMKALYYNEEPLSG PAFIEVLPHGPLCDYSSSKTFWDGLFADFSLTPTPCFAPSNQDKDTVVSRTFDIEVLE ARRLENGVTQQTIVQAAWLSVLARHLHTWPSQGVIVSGRSLMLENIENTIGPLFNTLP FRVRAESVNDWTSLIRETHSFNSSALSFAHVPLRQVQKWCSKGQSLFDNLFTFDRESK TKHDEHSQLWDSISSASSADYPLAFEGIVTDGNKLKTTLVAQPSIADEAALNSLLDQF QRAIKGIVENEDFPDIATISGTAINGSGTIGHQDTKLDLSTSEDFVWNKQARMIQREI VALSGLPADNVTEDTTLFELGLDSIDVVKLVTRLRSAGFSILTSQIMKTPSIRGIIYS DVTDFDTYNDASLSLESLRAEEMLLSNYVKDTGLGFDNIETFLPPTPLQDSMVAEMIL SDFQRYFNHDVLEISSNVSMEALITAIRTVIGNSPILRTSFVELEDPKFNYTYCQVIA KNIDPFKTSVNLKSLDEIDGVLEDIRSTAIKSRGQSQLLQLTPVIVADRQYLIISIAH ALYDGTSLDLFHQDVKAAWEGVFEARRDTTPVLAQILASTSDTAEQFWSQYLSDARST LLVPTVKQMEDTVTSIHRAETVSAISIQDIKAFCMRQRITMQTLGQACWAAVLGTLSQ SLNVTFGVVLSGRTSGEEQELMFPTMNTVAVRAVLHGTVTEYLQYMWGNMTRINEFQY FPLRKAQKLAASGGGPLFNTLFTMQARMETAGDESSVWQSVQSSSEVDYPVCVEMEVV NDDLVWRIACDQQYVSKDGAQNILDHLGDVLVYFIQNEQNQLIDLVSSNKTFSIGGLP PVHYAKEQPKNANESNGQTEDSGFQWIEENPEILDVLAEVSGLDRASITPNLSIYHLG LDSISAIRASSLLRKRGLAVSVRDMVKAAAIRDIVPRDIGSGPPQKAHIAPGSEVSSL LASFDINELVSRAGLDPGSVERVMPALPMQVHMLSIWENSQGKLYFYDFSYEVLGDIS REQIMSAWHSLVEELSILRTYFAATDSTEIPFVQMIAKPGSQLPRAMDSADSISTQGI WLEANPFVCLHVAKETEESLFKLTLKIHHALYDGVSLPSIINRFAALCHAKESSLQGL SALWNAFVYSHYDKELRLQRQSFWREYLKGAAAPSRHAIALDLDSRTAVYRPGALDDL TKIKATALELGISVQALFFASYAKYQSRSNDTEALVLHDIIFGVYLANRTAFDDKLQD ASYPTLSIVPLRIRFQQDESIAAIARRVQDDLARISSHENASVGLWEIAEWTGVKLTA FVNFLSLPSHFDGEGQANGLQIREVTPSVHPEYERNAAEALRLASLDDAWLVDNQVKN AYIKAVDVEAAIRGNGLDIGVFGSSSLVRENQASDLIDFVVGLLRDV PFICI_10657 MAQSREWHGGGGGGYPGSRGSEYYNGGHHHSHHNSYGYRNNNGS YNDPPYPDGPPLPPRPHSQQSPRALPPQQWQRQQQQGPPGYRQDHYYQQHHNGPPQYN NNGNYYPGSPPPPFQPPQGYDPYGYPPPHQQRQPPPPPQQFGGYGGNVNAAPVPQTPQ HFGEGAPAGYNFQYSNCSGKRKALLVGINYIGQKNQLRGCINDVRNLSAFLIERYNYK AEDMVILTDDQSDPVRQPTKANIIRAMQWLVQGAQPNDSLFLHFSGHGGQTEDLDGDE DDGFDEVIYPVDYKVAGHIVDDDIHDIVVKPLMPGVRLTAIFDSCHSGTAMDLPYVYS TKGVLKEPNLASEAGQGALSAISAYASGDIGGVISSVMGFANKALRGNDGYYKTIQTK TSPADVVMFSGSKDDQTSADAMIAQQATGAMSWAFITALKQNPRQSYVQLLNSIRDVL ATKYSQKPQLSCSHPLGMF PFICI_10658 MGKPEQSAPVPHDIAEAPNASLTAEAAVKAVKAGRAKDVDIAAQ IIAECGEDGTINWTAEEERKLIRKVDWHIIPILFVCATLSGLDKTAISAAAIYGLKSD LHLSGQDYSWVGSAPFFGGLAFMGPAAYCLQKVPAATFFSLNVFMWGVCSMCMAACTS FGGLFVCRFLLGGFEALLIPAVTLLVSMWYRPIILNVIAPILNGFIAWLCGYHKGSFA AWKIIFLAIGAFSSLWAGVTLLFLPNNPLDARWLSSREKYIIIQRKAADNTGLETKKF KPEQVWEAVMDVKTWLIWFAIVALQVPNGGLTTFNTLIISGLGFDSLHTSLLAMPPGL MSTASGIALSYLASTTRRYRTPLVAGAILLPLLGAILCYTLPRTNLAGQLIGLYILYT YWAPYVTLVSVYQANVAGHTKKVTLFAWYYVAWAIGNIIGPQTFREDQAPAYTGGTVA MIVCYVVAIALILTYGLICHRKNVTRAAAFEEQVAVEHDWLDETDMQNDGFRYTT PFICI_10659 MIARTEEKSEPLYPAYLPHYDPLEKVEEVGRFEHDDPGHRADPL KPNLLKHATKVFDLSPHCGTEIHGVQISKLSSEGLDEIALLCAERGCLVFRDQDYIDI GFEEQRRIASHFGPLHKHGWMPHPKDGPPEFVIVYDSKEDLRIRKSWSRKSPIQFHVD QSPEAQPPGLTFFAMLESPSVAGGDTIISSSVRAFERLSPSFRKRLEGLNAVHTTANP IMRELRDNGNQAVLRRPITKSIHPVVTVHPVTKQKALFVNSSYTQSIVGWDEEESDYM LKFLFDHINRGQDFCCRVRYEAGTVVLWDQRVTQHSQTLDYAAGDRRHAFRLTPLANT PIPSKIEEDDGECQKDEARVLLNLC PFICI_10660 MAMTRLLPKGIYTPLPTFFHDDEELDLDALAKHVKYTALAGTIP VVCGSAGEAAHLSADERTQIIQTTRSALDACGLEQVPIVAGVGAPSTRETIYLAKRAQ EAGADHVMVIPPGYYAGALQSNGGEALRQFFVDVAEKSPLPVIVYNFPGVSAGIDLDS DLILDVLRQAPNVVGVKLTCASVGKITRINAVTSSESFKSRYPRINPHAEFRIIDGYI DILLPSISSGAAGAISGLPNLVPRTCVRLWELANYPSNTPEYKEAQRLQNDLALVDGF MQKIGFAGMKMLLHKQFGYGRLPRRPLLPSSEESAASWFMNPLLLNVLMEEQKYAAI PFICI_10661 MSEVTPPLSASKRQACVPCHVRKVKCDATEIGFPCSNCQSASRE DCRLHLKRKRTSAKLPGRGAEPVRLPVAVPTSSTRTTTTSPQGSACSPRTTATTASTT TPRPVPKVEVANLLNVDEASVDSDYLFQRHLAEFMDQPHLTERPIDRHARTMYVGTDV SNLSFLVRQQFGEKVENVSHFPTDRIARRHTCHDPDRLPLEAFQLPEKGIVDRLLRAY FTHINPGFPVVDETIFMKQYESKDPDNPPSLLLLHAILLVGAHVCFDQPNREHLKATF FRRAKSLFDARFERNRDTIVQAALLLTWHADGPEDVVANAWYWLGIAARTATGMGMHR DAENSTLVPHVKRMWRRVWWLLFQCDTLVSLQYGRPPAINLADSDVQRLKPSDFEDCG PNARVQYVMHASELCIIISEALRQRFRLRATAEDRQDMLRRTDEDLATWALRLPTSLQ LHLGPRLDLWAANLQLIYNTALILLHRTRSHPPSLRAQGEDSDICKTAAGVVQDLFQC LCERHETKYLWTSSINCLFTALIELSAEVRLLNPVLAISALRRYDSALFSLRELARFW PNAQTVLHFFENSVKLQEKSEPVPINNAEVVTEALSKPSNPNVRRGSVEVEGDETSDD AQAQEEASLDMDAGTSARSGLPNANSNWEDQNESRTTDQGVDKRNYAQEEFESWSDWR HAYWQQPEFESEFLFTF PFICI_10662 MATTTETVTAAAPLALKLSQRPKNADGSALYPDFLPHYDPLEKV DDLGYFEHIDVGHRADPTFPNLLKNATKVYDLSPNVGTEIEGVQLSQLSPEGLDELAL LAAQRGGLVFRNQDFIGIGLEEQKKVVAHFGPLHVHGWAPHPAAGSPEHMIIYDHKED LRVRRSWKGRSPVQWHSDQTPEPQPPGVTFIGMLESPAAAGGDTLMSSSVAAYRSLSP RFRKRLEGLTAIHSNNDGVKQELKNGDNAVMRRQELIQEHPVVIVHPVTKEKALFVNP VYTKRIVGFDEEESDYLLKFLFDHIAKRQDFQCRIRYEAGTVLVWDQRVTNHSQTLDY PAGDRRHAFRLTSLANKPIPALIEEDDGECAKDLGRVQLGLC PFICI_10663 MSSSEATSVNDGHDVEKKAALGSVTTPAGTDVSNGNVASSNPQY ERYLDLHRQFEGPARAKFIRKLDWRLLPTLSFLYLMCSLDKSNAGNAKLFGFLEDVGM TSTQFNLALMYLFFTYGLCEPVSNIFLRRLGPKIWFPVIVCSWGLITSLTCLVSNYGS YVAIRLVLGLTEAGLYPGSYFILSMWYTPSELATRMAIFYGANTAAGAFGGVIAYGVG NLDGAHGMRAWKWLFLIEGCITILAGLVCLLLLPQFPHQYTKEKGGSKWLGPEELEYA QLRVQYASGPDAPTYAFRWSDVAAAAKDRKTYLMMMLFWWGGSVPTYSLSYTLPTMVK NLGYTAVKAQALTTPPYIFATCVCVFVGWMSDRYQRRFLSIMACYVLGLVGIIILWIS VHHSNLSGVSYFAIFLAAAGYSAQAPIVGAWTSVNVVNPSKRAAAIGFMMLFGSVGGG SIGSNIYLAEQAPVYPLGFGFSVGATVLGAMIPATVHWWLMRKENRRRDTLNQDEILA SHTPEELSEMGENSPLYRYTL PFICI_10664 MAAVDPSARKRVLKVIFISLLLDLISFTFILPLFPKLLEFYRNS EAGTDSQSTLLARILHGLNAYKSAFARPIDSRYDIVLLGGALGSLFSLLQAIASPVIG RLSDKYGRRTALLASMVGNILSVLLWVVAVDFRTFLASRVVGGLSEGNVQLATAIASD ISDETSRGSTMALIGACFSIAFTFGPALGAYLSSIPTVAANPFATAAGVSLFLIVAET LYLYVALPETLPSKAKGKAATAETAKSEKPKTTQRLNSHALLNVTHFVFLLFFSGMEF SLPFMTYDLFNFGAAQNGRLLGYVGLIASILQGGVTRHLPPLLSVQVGVMSCLLAFSL LGTVATVGRLYLAATFLAVTSATVVSGLNTLSSLEAGANERGGKLGNLRSFGQLGRGL GPILFTSMYWWTGRGPAYALGAVGMASVAGIVLLRLKSPPEPEVKAKLSQKKEL PFICI_10665 MAIDFKVAEEIHEAYNLFINIPVDEHLLCPRVSEDDEEDYDDLT DPGEVGIEEKLRRLQAFRKRVGTVYQTSLIFGFEQENAANELREFTSRTNSFLTTCSH CVRTWHRTRKSFLKHLTELYEEATAAEMGRRLDRFDADRITMGLEGARKFIESHDGVV EQKTFIEEDRSDLLVALYEALCCIAYLKLPENRVHFNYVFTAVQQKRTLKLAEVLPTM TRFLFDEDKIRLAFARLSWEKTSSISASDFDWAVKESLEDAMMKLNAPGVPISQIQRF WEGVAMILGTLSTDEFVTALGTLSVQPSIYQIMLNHMGIDSEPTLVAILRVFNIVLQK GPKGLWGAFGTYSPVSVAEPVFASPAFKRLLAQTREYSISIREDKYLKGPVAVAWVKP FIESIRPPQRSDACDSFSQHLFDGISEDASIPLEGKLACFRGLGEALLTTLESFLDPS YNLTSGQPSFFTNRVINLTLKHRGMLIVMVKMDRQDPTVSRIAQSIIAAVLRLDSCVT AAEYIGHIEKGAVQREVVKKSPELWEAFLDLLVPGATELAKAMLLGILPLVSVERLRP LKKERLPQAKEEFNATFEKIATSIGRVFEKLEEQYSLADLNQLLDTEMMEAVVAALLH GQPAINQGGLSLIKSVTNETSRGDAMMELTKGHFSTLLTSFTKVLQDQVPVKGDNQMK HKLWSPQQQILRYSKDLLDALCDPRDGLLRSKALNVVECSTLKKWWAMQWTAIERAFD GLEDWSRSVEMEEMKQFCRNTMELAEKFLEQDGLMASALGSFSVRDNGLEGAADDTKL VMQEILQAPREKCMGLTKMLRLKDQWLIQITVNVLSKVLRRLLEYDLEVPTEVVNYIQ RTCVKNANGKYDVATNLNNQQRAELLRTLGEDEDEVEILEVRSTDLPKKQSKLDEWSK SGDSTSRSQSPATKTIKDYKHDLTPTFDKNRSIIEQFKAARQSAKPAAKLPAAKPATP RLDAAKIKEARQKEQEAKKKRDAEAIARAKALRAPKPLVAGEGSGLQGLSGVQGKDHT PRSEMMVDSSSEDDNDSDDEAAFLKQTQASKLQKDDAMRRVMQIKAQRGPVKKMKIQR SAKDMRARLTPPMDILHQALLEWDIFHEGNDPPNGIRCSRVSTNYGNPSQYKETFLPL LIYEAWRAFVTDKNETTSKPFTIKVASRTYVDKFVDLTATMPLKQDSKDDFVSEGDIV LLSLASQPLQSPDQPHCLSRISRVQIKHGAREISYRLSSKSKALIPQLSPKSEIYAVK ITNMRTIEREFASLESLQYYDLMQEILEAKPSPMLKFSPEAVEKVMTNYHLNQGQAKA ILNAKENDAFTLIQGPPGTGKTKTIVAMVGALMTGNISNNTGTVIQKPGQNGNAQGTS KKLLVCAPSNAAVDELVLRLKQGVKSMTGTFSKINVLRLGRSDAINTAVKDVTLDELV RLRLEGDATKNSGPTNRQQMHEEAGQIKEELSQVRPMLDAARAANDREQVNTLSRKFE ELKRRQVAIGNRIDADKDSGNTAQRESEIRRRQVQQEILDSAHVLCSTLSGAGHEMLK TLNVEFETVIIDEAAQCVELSALIPLKYGCSKCILVGDPKQLPPTVLSQSAQRYGYDQ SLFVRMQQNSPQDVHLLDHQYRMHPEISQFPSQEFYEGKLADGADMAQLRHQPWHQRG LLGPYRFFDVLGSQERGRKGQSLVNYEELKVAMSLYSRFKADNPNIDAKGKIGVITPY KAQLYELKDRFSARFGAGILDEIEFNTTDAFQGRECEIIIFSCVRASPTGGIGFMTDI RRMNVGLTRAKSSLWILGDSRALVQGEFWNKLIENAKARDRYTTGNILAELSQPGAFV PKSVYSNATPIQIMAPKPEPQPAQEADKVEVDERDMMGIPVRPTGAHKRPTQLSETYT ILERLPPSGGNAPPPSYSGINERGEPVTGAQVPPERPVIHSSSAQKRQAEEAADGAHP PKKKPSAFLPGKQAPTRPRVMPPPRRPTDPSAMSVMGSTSGAEKSEAPRPPANAPKGP KQTRPNPMLQKKKTDPFIQKKPRPPR PFICI_10666 MQTRSATRQAIALASFDGEHVKTDLLQNATSSVPHGADVTDQRP PRQATPDTTKSNDEGRSQHNSPHRIVRIKLISGTSRTSQQLPISPPTELDKVPQESAT VPQGIDDPIKQKDASDLTGLELSTTDREATEEAQNDPTKGNWEILPHNLGRVWRPTIT ADTVTTIIDTGSLDENSASDGHARENQYQKSQPQNRYGLRAKRPISYATTQQENNQCE DANNDLKETGVAKAPKKKRRISVEDDSEDDDNNDKSLNEKKPRRKARRTKENPYGLTP GETPYPEWTSPSADQCKQVYDILASIHDKVSTLPPKKIPAPSLEVAGCGEVPCILDGL VRTVLSGSTTFESADGMLRKLVERFGVLKDGIGKGSVNWNNVRLAEFDDVYQQLKNGG LGKIKAKHIQGILAMVHDENMARRAAYLTEKETGIKADVAGAADKTDGQKRLEIMKAD QEMLSLDHLHDLDTNEVMKHFVRYPGVGVKTAACVTLFCLQRPCFAVDTHVFRMSRWL GWVPQNTNEDDTFSHLEVRCPDELKYGLHQLFIQHGKDCYRCNDKSFMGTEAWEKAEC PLEHLLNRFTKRNTKAKEATKQEKSLVKKDTAQDDSQAYVRLGTSVSDNNAQSAEDDG DPGSDDYDSDESSILSELDEADIDVEMFEKMYAKN PFICI_10667 MEDNNTKIATAGARRNTASSTKSVKPVHRNSKRASQTSHSPVHD HAPLSPHGSNMDRHKRVWKACERCRQKKTKCDGEFPCKRCKDDGLVCTAGTRKKTEYK QLPRGYAEVLENTQLALIATVHKLYAMVRNGQQWDLAEPELNDRGQPVIHNIATLLGS IRPNADADLPPHTVFPEDEAGLSKLAAELEVHQQMDHETQPTGIKTETESTCNRTERA SSSELDHSDFEQDYRKTMMANQNLQTLSPQSFNSYNDFDTSTIPSELDPTALFPVQSS SNPASYPSWNMGRPTSMNGIPQFMQQMDMNMADMMLSQGLVESEFGTLKPHILSCPNP EVMLGVGDPMIYSGYGIDPLRS PFICI_10668 MASSRATLIKPPEQDSSKALIENALELTDLSIIGPDIFTNTREL WHPPGARGIYGGAVIAQTLAAAQRTVPSNFVVHSYHCYFVLAGDSTVPILYHVERVRD GKSFCTRTVQARQRGRAIFTVTMSFTRDMGEEVANREVHHAVAMPAGVRAPPDDYDEE DFGRGGSSPFESYRIEVTGEPDGPPERKKTRHWVRARGRISEAGGHQAHQSALAYVSD SYFIGTVSRIHQLWRFPFRAEDFGRLSPEVKDRVTKLLEFEGSGSIDDMKGRPAVGMM VSLDHVIYFHNPKKVRADEWMFAEMESPWSGDGRGVVTQRIFSKDGTLLATCHQEVNA HQKISNRTAADIMISQGVVRLKRSEPSGPKL PFICI_10669 MCGILALILGHIGDNPNAPCRAAADVHNALYFLQHRGQDACGIA TCAAGGRVYQCKGNGMAADVFKSGNRVHDLPGSMAIGHLRYPTAGTSSSAEAQPFYVN SPYGIVFAHNGNLINAPELREFLDKEAHRHINTDSDSELMLNIFANELNETGKARVNY EDIFAALSRMYARCKGGWACTAMIAGYGLLAFRDSNGIRPLVLGERPSDTLPGAMDYM LASESIALRQLGFSNIRDIKPGEAVFLGKGKEPVFRQVEEPKSYSPDIFEYVYYARPD TTIDGISVHKSRQQMGYKLADKIRQILGEDGINEIDAVIPIPETANTSAASVAESLRK PYSQGFVKNRYVFRTFIMPGQSQRQKGVRRKLSAIESEFEGRCVLLVDDSIVRGTTSK EIVVMAREAGAKKVIFASCAPPITHPHIYGIDLASPAELIASDRDRHAVAKIIGADDV VYQDLADLKAACAECSPENGPKEFEVGVFCGRYVTPVPEGYFDHLLELRGKKQKPISA ASHSNPQTVASSGPTNGAGPNGETVQDIEQLRTTVMSPLQREDISLHNVASQ PFICI_10670 MSKTLSHAKIVPNRSGARGHSDHGWLNTYHSFSFADWYNPDRVH FGSLRVLNEDRVKPNTGFPTHPHRDFEIFSYVLSGELTHRDSMLRKGAEGGQSDKFYR MHRGDVQFTTGGTGIAHSEFNEHNRDTVHFLQIWAMPWKRGLTPRYHTRHFDDEDKKL GFVTILSPLKAGPEATAEEEKNAEPTIPETIPIHADLVMAAGIIAPENKFEWIVGGKA GGNNKRKVYIHVPMTKGGKTKVRLDGRDDILGEGDGAFVDGLFAGDKLAVESVGEVDA EVVVLDTA PFICI_10671 MLSKSVIAAAVLSAAPVLATTQLSAYWGQNGDENERLRDFCDTT ALDYVTLAFVNRAPKSVGDLPGTNFAAHCAGTTYDDSKLLSSCTYIREDITYCQGQGK KILLSIGGVFSAENLVDYSLPDNETGEWFAEYLWGAFGPKTDDWAYERPFDYGTVSVE VDGFDFDIEKKFDNQEPYVKCINKLRDLSSGHGKIISASPQCPVGDDNEYFQMRDILM GATFDRISIQFYNNGQCEGDEFNFAAWVEWLAGTCNNKAELYIGLQAIPDGTQGSTGY VEPEDISALVSVASTYSYFGGIMLWDASYASTIVASSGKSYLSSCASAIGKTVTTITT SATTQSATSSSSSSASTSSTVTTTSSSAAVTTSSSESVSSTSSSAATTSSTESASSSS SASTSSDTLTTSSYEAVSTTSSSASSSSSTESSSSATASSGSSSSADSTSSTYSASSF ISSSVPSSSIPATSSIASSSAAITSSAALSSTYSQSAPVTTSASTSTDDDDSCDEETD DEALPSSTGSSSVYPTLVPTGYVTGSPSGYPSGSPSGYPAGPYGNGSVTSAVEYTTST VYSTKVYTVTSCAPTVTNCPAKLGSVTTEIVAVYTTVCPVTATETGVASSTAAKPTEV TSASDVEYTTSTVYSTKVYTVTSCAATVTDCPAKIGKVTTEVVAAYTTVCPVTATETG VATAPTAPGSGSKAYSTVPAGGSYSVSSAVPAGSASKSYSAIPVSSFTTYLSAPIYPT GKSNSTVAASGTVKLSSSSSAAATSSLALSTSKASAATTAPISAVTTAPATAGAAKAM VSFGAALAMVMALAI PFICI_10672 MTISRPEQSVTASFRDVPNNTNKYWWFDASLRINVLHCVGLCGT LFFNGYDGSLFNGLQSISQWQEYFGYPDPSTLGLMNSAGFLPGLIASFFGDAIARYLG RRWSVWIGSMITAVGAIVMGISANVGVFCAGRAITGFGTSTAVAVAPTLLQEISHPRI RAQVSGFYTCIYYIAAILSACVCLGCMNLVGENSWRIPCFLQLVGPIATLLLTVTIPE SPRWLILHGHHEKARKILVVHHANGKDDDPLVNLEYREICAALDEEAVKKQTRYLDLV RGRGNIHRLAIALIIAVGTNWVGNGIVSYYLSPILASLGIHSTSTQLEILIGLHVWNL IVSTLAAFYADHVGRRPLWLSSTGGMLVMFCIVMGLSAGYDKTQNTAIGAAAIPFLFL FYGAYDLAWTPLAYSYPVEIFPFSLRTKGQAIFIATQTLAVSVNTWVNPIALDALEWR YYAVYIAILAVLLVVIYFLFPETKNLTLEEISLVFDKESAVKAARESPSADVERVLSI REDSADKTSE PFICI_10673 MGSKTKTLLEALEDICNVDVDAVDPRVSTAMPFKPHNQTSNQVI CANTMVLPEYREMLVAKTKEFGDQGWEEVFTRVMVQFCADNLKNITNRVLVQVSPSRV YSKEKVLEACYAFDRAFKDVGIGRNRYAIKISTTGPAMAAAAQLNKEGIRVLGTSLFS LPQAVAASQAGCLYISPYFNEVAAYEDDSHMYKGSDPALEHPMAPRLIHVLEAYTKLY EETGKDQPIIVIASNANVSEVLATAELGCQHITILAHHLKELQETPLDDAAYEKYPFL KNPPAKKQAPYYANFKTAERLRGHANIDPLAGEEWNGQLADIHADYLADNGKLLAEYM EKDKAVVRKMKDVLGAFNGGDAKAKEAIEAELAKLR PFICI_10674 MSPVNARKAKLNDGNEIPIIGLGTFLSAPNEVTNAVIAGWKSGM RHFDCAQFYRNEQEVGTALKTLANELGFRREDIWLTSKPIKSHHRPENVKKALDQTLK DLQTSYIDLYLIHWPANFAAIPDPASPTGVALEPSENGTMILDDELSLVDTWRALIEL QQQGRVKSIGVSNFSPKHIQKLIDETGVLPAVNQVEAHPLLNQAGLLEYCQSKGIHIT AYMPFGGDVGRGGNQVLGNPLVAQIADTTGKQAGQVLVSWSIKRGFSVLPKSVKPARI EANFQAFELSDEHYAALTNLGKVPVRFGGLPYTFDPAWKVNVFDTPEERAADLFEPK PFICI_10675 MQRKIYDRRDHGAGRSGCESVLVTFSFDGTHHYELSQEASANRL KRKCDGHRPACRLCSVSGVPCQFEDAGPTRNATREEDTATTDVAALEHSVSSSPSTTE LLRRIDELKSLLGRPTEPEPEPEPEPEPEPEVPVPMPVPVSMPLVAPVPDPLMPKSPQ MSYPAFYGSLDEPSSLPAFLATVFSPTEMPAGMPFGADQSPSSLEGYHTDDEPLTIPI GHLTPTSNLFSLPEVKRLIGEYPEDYFLRIESRRKAAPDPPRASLAEAFAHLKSHEDE REALLDAFWSEIHPNFPIIDRHRFKVFFDGIVNDGPACNTDVALCLMVLALGQLISSQ PDSLGDSSMPPKEVDYFSQAYHALTCEWITSFDFNLSLSTGLVYGAIYLAYVQQPLLS WKLIHMASIKLQMLVARLKTDLVSQEEADCVGRLCWTCFLLEWLSYPLDQ PFICI_10676 MSDIRLVPRPLEGKLAVVTGVSRGIGASIARNLGAKGANILGVY TSDSSTEPANKLRTELESEHGIKVVLCKSDLGRPEEASIGIVAALKDAFTGSNGTLQV DILINNAGVGGDYKLGDVPVAEFHRIYAVNVLAPICVTQALLPYLPTDRSGRIVNISS VASSMGFLTHTLYGGTKAALEAMTRTWARELAERCTVNAVNPGPVEGDMYFAAGEQFW KNMEPFQLACPLSAIRKDVDDAGLVELSVTKMGGRRPAYWSEVAGVVGMLCTADSAWT TGAVISANGGMRF PFICI_10677 MKEENVHASKFREAKPSQGNPSAPVSELFSLADRTIIITGASGF LGTTVAQSVMESGGDVVCLDIMDSPKSAEWSAVEAAASKFQCNLTYYQCNVRDASGIT DTFAKFVPTLRSPIRGLVACAGVSDNGPATDFPAESFRRLLDINVTGTYLVAQAVARE VIKTGVSASLVLVASMSGHVSNKGVDTAGYNSSKAAVHQLARSLAAEWGSRIGIPLIR VNSLSPGYIRTAATAEALKKPGMESQWVGDNMLYRLSTVDEFRAPILFMLGDGSSFMT GADLRVDGGHCAW PFICI_10678 MEAQVERLSRDVLALIDAGGSGPRVIIGISGVPGSGKTTLSTAV SARINELYRERSRSSQSSNDAPPEPLAVSISMDGFHYSRAHLAAMPNAAEAIHRRGAA FTFDAEGSLALISRLADDTSARGVIYAPSFGHEIKDPVADAVAIAPTSRVVLVEGNYC ALDREPWRRAAALMTRLWYVDAPPAVVHARLAARHLASGIVADEREAWERATGTDELN AQDIRNNLLQVDEIISADQLTIN PFICI_10679 MASQTMTVPPLTQGLISIKGSYGDWRDELFSQGYYVVKGAIPRD RAIQYQQRAFDWLKSFGTPLDLNDPSTWKAENLPVQSKLNTFDHYGVVHEKFMWDARL EPGVVDTFAQIWGTDELLVSFDSLNVTFPNRKDKPPRAPWPHVDQSPMKRGLHCVQGI INLSHAGPEDGSFMLLPGSSALSDEFWDTQTDSASWESKDWRRFSEDEMKWWESKGLK PVKVAAEPGDLILWDSRTVHWGGEPTQKSDTIRTVIYASYSPRKLATEQALAEKQRVF KLNGATTHWAHDNIYLRPLVAHLPDGTIDPRNRAEPLEKPEMTEKLMKLAGLVPY PFICI_10680 MEDTKIPTENQACVMQAIGQLGIESRQLPPEPGPYDVVVAPKKT GLCGSDMHIFLDGRAGESVFDKPLILGHEAAGIVAKGKLHFTLQVGDRVAMEPGICCL RCEFCKGGSYGQCGDFKFAAADGFDGTLQGFFTIPADFCYLLPENVSLEEGALVEPLS VAVMAVQSVAKMPHNANVAVFGAGPVGLLTMAVAKALGARRILAVDVQPKRLEFAQNY AATEVHQAIAKEPGEDSMTYAKRHAKVIMEKFGFGERGEGIDLVIECSGAEVCVQTGI WLVKRRGTCVQVGAGPANNLIPMSIFVNKEVRLIGSLRYGPGCYALAIDLVRQGRIDL KPLLTHRFPFTDAKLAFKTTQDGIGPDGKMAIKTIIDGPLA PFICI_10681 MAAETAQELVQLDRFEDIYSLKGKVAVVTGGSRGLGLQAAAGFI QAGCSKVFLLARSKDALDEAVKALNNLETPNKHPEAQAIPVVCDVSSAADLAAAAAKV AEMTSHVNILLANAGATFIGALEDYKEEDFANVMRVNVDSVFFTVQKFTKLLERGGTI PDPSRIIMVSSVAGVVIGDVGASGTYAYAASKAAVVHLMHNLAVEMGPRHITVNVVAP GIFPSKMSTPLLNRFGGIDAVSKQVPDQKLGVKEDIAGVMVFLASRASRHMNGATLML DGGSYLVRGCA PFICI_10682 MGGPVGNAHAEVSPSYYNVPNNTKDHWIKDAGLRKNVFYCIGLC GTLFFNGYDGSLFNGLQTIDAWQTFFDHPTGNTLGLMNSAALFPGLISPYIAEQIATR WGRRWAVWIGVWINIAGVLINSASLNLGMYIAGRAVMGVGISMGLTIAPTLLQEIAHP RYRAPIGTFYTSIYYIAAIISAAVCLATRNINSDASWRIPCYLQLVGPVVTFAMTCTV PESPRALEILAKYHANGDRDDPLVRLEYTEIIEGLETERVQSRVAYKDYLRAENRRRL FLIVVIAIGTNWVGNGIVSYYLSPILSQIGVTSTLQQSALNLGLQIWNFIISTTAGLN CDRIGRRPLWLTSVIGMLFSFVVVMGLSGAYDSYGVPSIGLAVIPFLFVFFGFYDVAW TPLANMYSVEILPFSLRAKGQAIYNIVQGCANAFNQWVNPIVLDAIQWRYYAVYIAIL SVYVVIIYFYFPETKNLTIEEITKAIDRESGVVASSSSPSGSTNGLPHERNGMDTKAT DDVEHVERVMSADVHTTHARRYEI PFICI_10683 MAPPTVAELALMIDHSIIDPFHTDKDLEEGVALAKKYGTGRFVT QPFRVKTARQLLEGSNITLQTFVGFHGGNDHTEVKVLQAQRAIEDGAQELDMIINISA LMSGDIDYVKQDVKAVVDVAKPSGVPVKAILEVYYLNDDQVQSAALAAEWAGVSFIKT STGLRPDTIDDIAHKVALLRSILKPETAIKASGGCYTIDAILAYYKAGARRFGASATA SILDDYAKMLTDGTTGIE PFICI_10684 MDGQIQGAAETLSGVNITLTMTSLCLSVTLSALDMTIVTTAVPA IVASLKSTAGYVWVGSAFILGFTAVTPIWGSVADLFGRRPIILIALSIFLVGSLLCAI APHMDALITGRAVQGIGASGMGVMVNTIICDMFSLRDRGLYLAITSVIWAVGSALGPV LGGVFTTRLNWRWCFWINLPLGAVVFIVLLFCLRVPSPNTSVVAGLKAIDWTGSVFCV GGALMVLLGLDFGDEAYPWSSAAVICLIVFGIALIGIFLVNEWKLAANPIIPLRLLSS WSKVCAYGVFSLNAYVLIGFTYYLPLYSQSVLGADALTSGLHLLPLIVSCSLSAACAG VFIQRTGKYRPLMYAAQVLLTLGSGLFIDLEFEQNIKKLVIFEILAGVGVGLNIEAPV IAAQASTTVRDTAAVVATMGFLRSIATAVSVVAGGVIFQNVMRSENLALVDQLGQQLA GQFDGENASANVDKIAALPSDQGVLVRQAYFKALRTVWIMYVAIAGVASVLTLFVGEY HLSNERNEVVLGVDREEPRTMAQTSQAADPPIELTRRRQNAGS PFICI_10685 MAAASHRFQIAISGPTDTVFPSFPSDELAGGNVRAITLSNIRSR CNISTKLFFTTDGKTRLDDKTTLEYYMTLTVDGQKILKPDTAPVAALVPAPGPDPVPA GVPDPVPAPAPSLVPVPVAPAPVTAPGIPTFHVKVTDSTPVASSPLALPVENATMTKL LEQAGKATFLTRGTLPTFSNTELAALTQSYAATVGTGKYPEPAELKEVEWDAVMRNTR AFHGYYLDHEKGILRKAPKPAFRLRGIPKPGTPAKGTADDPVRGPHPLERVSADCERY TVSTIKKRNYNPPIPPFYINDTATVNVFEINDQTNKVLIKEGFNSRALGGSIGLPKIP ISVSAAFDEEHSWVKQTKDTTSVDSLAVTYNFPRVVVELDSEYLELTPECALDARNLT DQSGVDRFTRRYGNTFATSFTLGGYLFSTRSVSKTEQADLEQVKDRTRKAAGLSIQSP MFSGSFGVASASGKGSEEGGATLHQEARLTWDAHGGDTLLASNPTAWVGTVKDPRLWR LMDQQRVVQLFHLIKEVDLFAYKNLISPSSGSQTGKDPVLDKEYSDKIRVYIIEESLN RPEHSELLRRMEEYYRTNQYDASPALEEFNGFMAANYPDSQPGLIKPNIKWHALSMSQ RAYFGVFMASKGQLPL PFICI_10686 MSINNIDKWNPTSTDDIALKKALLTLQKSTDSVLLSPWDQKEEE IQEKRFLLQSTSYFAVKAYVLTGKDFPANDQEFISRFPEKAFKQLLPIDDRIYKETKE VLVRVGSSCRDFYDTKLQNIVKVGSLAVVWSTTTLALLKDNQGLNLYAHLMVLSEVRY KDSSQHDEAFRVALLGARRSLTLLQKEAEDGAKRADDLFKDLQKFQDYTENLRPDTEK LIQKYTAGDKPYLNYLNEQYQKLSELQRPRLEQFKRIHDEWKALGGEAIGDGVGGLQQ EAFKSLWEEYETLKRDNADEAILIESINNLGNQFKGLKEKIHEAIKAVGVLSLMFNQQ SDSYKLIVQSLSGMSHMANEEDAETRRLFIKSQIGPTCLRLNELQKAAAGFVTAILTE NNLG PFICI_10687 MSDFAIHNAYVYQPLGDREIRLLELFPDKFDSPLQCRIFTAKLD EKPAYEALSYVWGPQTPPHAIHCTGSPQDEGTGFSIGPNLSDALRHIRNKPTSDNSVS ITIWIDRLCINQEDDQERSSQIPLMGEIYQCSRQTIVWLGTEDEYTHIAFKSAEYVYQ KRLENGENPDPLASVMARESYEPSHFGLTFDEIEATFSILYREWFERGWVLQEAVLPQ RVLIRCGGLSGPIEWFQSTWLPFKRDSLPKTPPTLYSLQRLRITFDNSTDRVLPGWEL SRLLRGRRHFKLSVPHDIIYSLLGLFEPSVSEVIAPNYNSQLADALTRVAAFIIREHG DLHILGDVECPKHERNNSNCPSWVPDWRACEGGRFATDVPNKSIYDATRRVANYCKLS LGARELPVCAIPLGTVRQYGDYHDLQNIEEFGLPKTYKHTRQPIAAALRQTLILDDFN CISPLDEHDVITKQHPYRRGLSTFFCLPTARTSPLLDLKQVEEHYLLSFGRGRYKDNI AENSFFHTDSGYIGLGPKNISENDGIFLLLGYFAPVVLRPNGDKFEFVGTCYLHGAMY GEGMHPDMGFDSRHYPGSRPQDEWRMAKDSRPTRSVMTITNEFQMLLATLGVVRYEEI VQGPVEGKDWNGELEECKTRLKEYMVEWRTGKQVMWENGEEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEDADMAEFRRCLPILRIHDYMNSEADSLMAQTGLIFGEDPESARAA ASSKVKERRFWFIEEEREPLLITTQQIIII PFICI_10688 MSNNLVRTVFGTSTAADTQHPKIFGAVEVGLRFENGKISDLQVL YRALDGAIFDITSSIELSFPPSGNPTAELTLKRKRPSETPAIGFTITVSRLTQKDEAS FSGTLERDTGTLPWNGRFVAFRSSPLSVIQKGLKPIDSMVQSFLDMSPLLDLGGRKEA EDGVQTWAAVIFKEMLQNATPQRAKDLFNMDSGEIGSVFVEKYYSGDHLPQMLKKTTT YCRYLALQNIYDDNFAMFQMASWHHLVHWLKSVDWLEREFVNSIRDKISVYYDMLRCP DSELDKWKNEYGSGFPAAQNATNLRKQYTDAIQRCYFAAYYCFSEDNWDDFMEKPRVF YQQVREVLLSDSWLEHWLPRLINLKDNRGELPVHKRLGLLRDKLKLLGIVGQSLSPGE PAADVDAVIERLAKAAGETGIVSIATMITPDSKSIPLSANEIFSEEGKRDINREIAFP MEGGLSFTAEDVESRATHAFLRDDATPLGLYDWHFHFSLFG PFICI_10689 MTDQLEPLPPYPGTTGNLPTYASLFPNVTPETFKIPTEAEQTKI IEDANKTNKRDAVDIGVTVFNTFSKKDDTDDGEVYGFLRIGIKRPLGILTPYVAYQSP KPKAPWTDISAGIEMIYDDEKSSGTAPKVYHYVKKAIPESDWAFDIKVDHLGREDASF VGTLTTVSGTVRWEGSFVTKAPVEVLVTSKPSEQPEDIEGSAEELVGFTPYAGQDEGD IKKGQDAAQVYGDAMMGRISMHALDPETKKTLLPQLDELNGEEKQLLKDNPDFFRRWA IHDLAERLKKEKMLSDELRKHILFKKHWFLKMMTENTKSTTGDNAFIKYWGSRTAENE KETEKLRGQYLDVTSRCYNAGFLHKCTRLKIYCQDDRSAIYWFKLLEAYLLSDEKVDE LRYNSNHQHVSKDAITVQSEILHWGQKMTLLRNAVKNVDERRKLDVGNVMTTLNGHIT DHAAQYQQIIDNDLAGHLDAYIKEMDGSPEAKKWKEMLEKRMKESSEVANHQRELAAS LAFRISSDSSEIGEWIRRRKALTGPPGIVQTGAFRPTFEHIIQEMRNEEFSLLFEKDP DKILAMTPDEICNRWGFQAMIAAELEAELASGERPPPGPKPSFGQRMKNGAKWVWQKA KDKSKSPKFWCSLVKNVLHYASALVMVYQLKTNWGNMKAAEVGMLISYLTAFGVNQLG NALKSGLRSIWVRLNPGWWQAKIGSVVGWLSNAAVEKNASRWARFGYALVGNLKNTLR LVGLAGLCFSLVVCYNEMNKTRDGLETDAEQKRLIFARIQFALTLIETVVITFEIIFE MLGMVTAGAFCGALGAVLGTVGFVVAIVYILVAMPNPDDEAKKFLRAFAKPVGSYDEG QSADPADEPGVQLTTEKKNQ PFICI_10690 MRRACSPGRWADRSSTSAAQDAAIDVWQEVSHDSMTPYSAQLTE QDEVVDGQLEDVDVVATVPVVNVEPQIQLVARITALSTNRSTARAETVMVNRSIRLVG IWNGGREITPNLEGSVRRVHDVASVGLESWLRGR PFICI_10691 MTSLDHEAGNVAKSFISHLRSRNFSALELLFAPKATYWVSGDPS VIPWAGTLPISERAPQLPAMHNAFQKFQIDQRSLTAEKKRAVVEFGVYGQDKQGGEYA NDVVMVFEIDENGKIISLREYLDSMRALTYIQAKRDA PFICI_10692 MRSELEDWKLALGHDHWIVLNRLSSITLFELARQPRQALQTAQA AYDLASSQSASALAAIQEARLNLAIAYEANGMMDSAGKLAKEFIHILEQPSDSQAAAM SSNFAPNTDLQQIIALARARSILASVFESTSKLPEAENLRREVLSDVTEALGETHGLS LEYTSRLAQLLFDHGKKKESRQLFKRVEDKASSSWSSNHDLALLARQNLHRAPHPFWW YHRRSHPERFRKEMIENYQLTVGNYNRNTIAAMDSCVQILLQEFRWEEALNLMEHAVA LSAFAYRDDPKQIHIYQENLKNVRRMCFWSSTKISQWFIKYRFGYTIMPYHLFRPIGT EEFQRRYQHAWGPFYRVKTMFEAKDFYRFDPYKDPDHPGNNEMVAAD PFICI_10693 MTAQSISNRYIKLEDLRSLLQSKFGAGNFKIREEDESYEIEVPS ILSESEIKSIQKY PFICI_10694 MDELQGRIDDLRFEYRNAAGEFFVPEAALFELLSEAEVRNLINI GDWEHHEREELAQGIMRGARKCLSILLLINASHRITGFFQHDSMQSSCPDDRLPYNED SLRRALGPDSPQRLINRFLEMQWTFMTPMFKQHFISREFDRSMILPFMSERSVGSGSM GDAYLVKIHPQCHQLPILNHEVVRKELKQREGENVQSFMRELKNLSLLAHLNHPNIVK LHCSYVQDLPIKCYNLVFDVADGGTLAELLDGRHKGLGLDRHKLLLGFAGLASAIDAV HNFTSTKMDLQLTGCHHDLAPRNIFVHGDKLLLADFGLSTLRNPQEDSLTMFKDVRGS YVAPECQKSIDQKSIQTGRVSRPSDIWSFGCILSEALTYILRGPPGVEEFRTKRLVEV VEGLEWRRFHVGPEKPNPFIEEWLYQLGSEEKGENWVSRVIDLIRWTLIMDPGRRPTS SQILFTMSGIATLALSAALEKLWDSSHATKTNNSHSVLEKFRFESWVFALTMFLDQHD TQTPGILQLDFGLITNVLRDIHLALLDLHNDDDPFSGHQLRILRSHNTTLIQALPLRY GTVFRHHLVEQSMQISSMEKLDSMSSAMREGDEHDIGALLAVRRLTVLADEGKLTHRS DLIINNTLATQSKLGIHGLARLDGESEDVIIEWLKYDPSWADDIIGHQLRNRLSTMAS LLNDETTSALPGTLHCRGFFHAPELTSFGLVYRIPAHENTPRTLYELLEARRPEPGDK KSSERFRPPLEYRFHIASKLCRCVYNLHEIRWLHRNIHSMNVICFQPKGARDAEAAQD PRLLGLGASREDSRNSFTQGYDDDAQLRNYHHPRYLAQERYCAEFDYYSLGMVLLEVG LWASLAKVAQNLPGADDIQFRKAIVDKYVPRLDLTMGTAYMRAVQWCLTCYEESPSVD DGQRTRSDQFKTMVMERIAMIQGVDQA PFICI_10695 MPSVIIDQEQKVLAKALQKKVGAFKCLVPGTIEYSRAVFIGNLN YRMAMPAIIVVARTVEDVQNTVVFARQNGVRLTVKNGGHSYMGYCLNEGGIVLDLEKL NGCSIDTDEMTITMEGGCIWKDVYYKLLQNCPENIIIGGQCPTVGVSGFTLGGGLSPF SRTYGLGCDNVIEMTIVTADGELVTVSANDTDEKKRDLFWALRGGGGGNFGITVEFKS KIHPLRDPHGRVVCGSLQWDLTNDEQKQDFDHVMDTFNTRRWPDELCIDALWNYDAAG NLQGGMTVIFNGTAKKAYELLEPLLKCGPTTNTLKQMHWTDWVHQAEGWDPKSEVYHH HASFIFPEGAITREVNQKISNLVKEAASHLDIKNGAQLNAPKCHVLWDHIGGATERIG ATDTPFFWRKGHYVSTVKAQWTDPSKSEEAMEFIAKCKRELLPYAIEHKAAYLNYIDG TVGNWEEAYYGHNYPRLQKVKSHWDPHNFFWNMQSVRPLKDGKSKVIKNEVAVPSLDV LLNSHEAAQTLEWWKRYSVLVTPTDLGVIKSDEQAYAQDSNIRQHLIAST PFICI_10696 MGPVYQRLRDGGKRGKGSPAPGVSQSDGEVGQSTHTPNKKNQTV AGHLTEALDDTLDDDSLTLGAYPGNRRVYTRGDGRNNTLEIFTGTVDLWKDYVGTQST EGFFWALRDVMSAFDDTSENATPRIVLYITNLTRLDCTDPSVLFASIPTIWDCLTLAA VSLYTNESDTSMDQVPHLIEYTDQLGVDMLRFQPRKVLTSALSCVNAACSNANCTIPF PSLDPTLNASQTVHDAWLSLHSVCDNTEKWVANPDIIGPGVLISYMSQVIMGVLSWAI FALCSLAAVVIKADKLNSRFPSSFPPSPRVSRWLATLSRTAGKFHETFLQANPIAILQ MSVVEFQEAQCFFVMATQFAIFMARRKFSSNFSSNTSGFGFFQDENSATLLAVCGVLP MVLTQATLARCGRNPIFTLVLSSATLGLSFAARKVTAPFSDFESVSSDQLFQIFDGVN QILECGGRTSPRTFCGTIQDAQKYLPSGGAIYDYNFFTGLWIYLILLFILLNLWAERL RQVDMISSALTTWISGGLNRLIRPISIVIAWMKFLLELVIAAMALLGFKIQVELFGFT VTTSSWGIGQFVAAVIFAPVIYKWIFYTLFRIKPELPVSQQNRSAGANDENVFLISAA PQVPMEQMSRPYTRGTI PFICI_10697 MKIISIWVKAAAAAAVTEIVFARPEPPHSHKCCHALASNPALKG RVVYPNSTIYEDRIDSIWSLDAALTPWCIVLPTSTEETAAVIQIIDSVGCPFGIRSGG HGTFANSNNVNDGVTIDFGYMNSTTYDPKTNIASLQPGGRWGSVYKTLEPYGVAVAGG RQNPVGVAGFLLGGGNSYYNNAYGWGCDSVENFEVVLASGQVVNANAEENRDLWIALK GGTGNFGLVTRFDMRVIPYANTSDPVFWCGTLSWGKNVSDNVIEVLSEFADNVPNDPS STTHALIVYTSDGTWSIVFPLINIDNKEWEPAFDGLKSVPYRLKSTMRHDTMWSIAQE ASGPGGAHNFNIVCAVKNDPQLLKYIFARHEELVKRVNETIPPETVWYSLLQLQPITV PMVAAGQGLNSLGLENDIAASGGGPGIMSSITMQIDNPELEATMYALALECQKDIEQY ARSLGNGLWEWRYLNYADLTYDPLASYGEESVKRLRQVSHQYDPEGVFQHLRKSGFKI PE PFICI_10698 MDPLSVTASVIAVATLAAQSVQAAYQVIDGLAQVPQAIANSKII LLETQSTLDALNGTLNENLDKQAQFEPLLQMLALDRALGSTQDLCTKFGSTIAKYTRH STELRFSNRDRILLNLHESHITNFNQQLNDCRNTLSLMIGTITLIISASTSVDVREIS VRFEAQEQALSTLITHLLSVPATSATSEPPAPDSEMVPRTQDEGQTRIQRTAILRDTC EVALQATRAKRSGQTFGDMHLDQSMAMQGIVGQAQEGVDQSFGKLTAQNKSRAFQGQM NATSFAQMFNAKE PFICI_10699 MPDPPLGAPRGSQRFGDANVSDGSQSFQGVVHGDVHLHPDSFYA VTLITRVHLDRPETPPTPTTNIPFSRDRDFVRREALLGQVHQLCAEAASRVALIGLGG VGKSQIAIEYAYQIREQSPESWVFWLHASNAVRYEQGFRAIADLVKLPGRQDPQNNIL QLVGNWLQSGKSGRWVVILDNVDNAGLLLATSDVRRDGQLSTSSHSDNLVGVSSSPTS QTPISYLPYCPHGSVLITSRSRDVALRLVEARDVVAVEPMSQVDAIALIEKKLGTLGK PIDVADTEALAKSLECMPLAIVQATSYISQRAPRCSVRQYLEKFEQSDRKRASLLDFE RGQLRRDREARNSIILTWQISFNHIQKMRPSAARLLSMMCFCDRQGIPESLVRRLRDY QQNGNGQEDEEGEAGEQDKEDKEAEDKEVEEGEYGSDDGDLFAEDSASSSDSDSISSA DEEFERDVSTLRDFAFVSVNEDGTTFEMHKLVQLATRKWLHGQKEEERWRGEFIRCIC AELPTGKYENWGQWKVLLPQARSAAAQRPKNNQESLQDWATVLYKMAWYLKQMGRGNE GQVVAEDAMKIRMRLFGRDNKESLRAIAMVGDIYSLQGKWRDAEKLRAEVMESRKKLL GADHPFTLTSMASLALTYQDQGRWSAAEELFVEVMESRKKLLGADHPHTLGSMNNLAS IYLDQGRWSAAEE PFICI_10700 MHSFQFLFASIFFSKSIFAATIARDTLSSTGCINSAANRSCWSD DFDISTNYYDEVPDTGVIREYWFNIENTTAAPDGVELPVQLINGSFPGPTIIADWGDI VRVHVTSSLQNNGTGLHFHGIRQNWTDQNDGVPSITQCPIAPGDSYTYEWRAVQYGTG WYHSHFYVQAWDGVFGGIIINGPATSNYDVDLGHVFLNDWYHETADVLVLQAATGGPP TAPNGLINGTNTWSDTVGSRFEVGFEAGVRYRIRLVNAAADNHFRFMIDNHTMEVIAT DFVPIVPYNTTNLSIGMGQRYDIIVTAKDLTSGDFWMRSIPQSTCSESDATDSIKGIV RYDSSSTTDPTTSAYDYTDSCADEESSNLVPYLAIAASDTYEGVDKNVGLQITDNALL WVINKTTFRTTWENPTLLQVAEGNATWTAKEQVIQLPTADEWVYLVVHSPFAQDHPMH LHGHDFWVLGSGYGQYDSTNANGLQLTNAPRRDVAMMPGSGYLVIAFKTNNPGAWLMH CHIAWHTSEGFAVQILERESEITYDYNALNETCTKWKAYVAADNIGQYDSGV PFICI_10701 MQLLLYSGIFIAQACLASAISIPGKVSDVLIKRKAETNATLYAY GANSSAWPIAAGRTDDPSTTEFDVDELLWDLPSMTGENWIANATYLNGTSAGSLYIRP GDDYALGVLPMTRVAYINGTVSGFALFATQLVYNNNSYLESQFWATSTNDSSAYKLVW NAEDEFTDGSFPVVVKGVEN PFICI_10702 MDPRIRISNVADFCSRKYDYLVIGGGTAGLVVAARLSENPALNV GVLEAGPAVLGIDSIDIPGLYGQTLGSDYDWKFETTPQRGLGGRILDWPRGKILGGTS ALNFMTWNRAAREDYDSWRDLGNEGWGWDDLLPYFKKSESFHSPAACNQKTNSLYYDE NALGKSGPVQIGYAPEYSASHALWHDTMNTLGIHTNKAHLSGSNIGAWTNLGSVDPTT GRRSYSTTAYYLPNASRPNLEILTEAQVTRIILEGKDEDVTAKGVQFQHNGISLSLCA SREIILCAGSVQSPQLLEVSGIGNPDVLTQAGIPVVVDNINVGENLQDHIMAAMIFEV DSCLSTPDDLKKDEDVAASALQQYKVDGSGPLSILANSICYLPFSQIVPSEQLQNLSS VATSVIDNLGQEHVTRQNYLKPTSSVEIGQIEYIFDLGNWNPCFQPGALDDKKYATCL IILQHPFSKGSIHITSGCFDHKPLIDPQYYAGNHGQIDLSLMIHAARFAAYRLSQTLP LGSIIRGRAFPPPLSQGHEDESFWKDWLTSTTITDWHPVGTCAMGGRQGAKTGVVDAR LRVYGVKKLRVVDASVMPLQISAHLQATVYAIGEKGAAMILEDAILNQ PFICI_10703 MAFSPKTDAEKAANAITSDILSPQCTEDHDAHATTPNPHHPKPK FSVRGRLGAWNARLESLSGFEARGSTRVPPSERRPPSPWDDISVLLVWFSANISVNNL TVGLFGPLVFNLGFLDSVMCAVFGALLGSISTAYMSIWGPISGNRTMVVLRYFMGYWP AKIPTLLNIVLMVGYCTIDAILGGQMLSAVNGGGLSITVGIVVVQTVCLAVTMFGMKP FHMYERFAWIPQIVVLLLLGGIAGRHFNVQTQSIGSDITIAANRLSFLSLCLYAPNSW GAAASDFYVYYPEHTLKRKIFLLTLIGLWLSFSFVYILGIGLATGMSGNTAWQDAYNV SAGALVVAGFEPLKGFGRFCGVVVALGVISNSIPGTYAAALDCQILGRYGKAVPRWTW SWVLVVVELALALAGREHLLVVMQNFLALMGYWVELMVVIVLVEHLCFQRSLSLDWAR WEDRSYFPLGIAALVSFLLGWVGAILGMYQVWYTGPLAARAASADVGLWIGTGFTLVT YPLFRWLELGYFKR PFICI_10704 MGIGAFAEPLVVVTLLFGGVYVNRNTNYKLFGKNGRGWEEKRFD HGRDETPTGSEWPSPRSSADTLLEEAVSLTQSEPTWRKREISLWRLRFEVTSPNTAVF QDYFLSQLLRKLPFLAECWYWALIYWVYQVGRAISALTLDEKTVDVARHHALQVIALE KRLKIFWEPYIQSVFMQHETMMHWINRIYSYIHIPGTIFFLVFLYFYTITRKRTDERQ LSGDLSGQAGSNTGAALYQARRRTMAMCNLLAFIVFTAWPCMPPRLLSDPNPTGAEAE LGRTYGFVDTVHGKGGESSVWTQNKFCNQYAAMPSLHFGYSLMIGLTISMLPLAPQHR VPMSLRVAGLHLRFPSLRRMLCVAAGFLYPFIILIAIVSTANHFILDAVAGACVCALG WWGNRFLLNLLPLEDYFLWCCRLHRPM PFICI_10705 MDEVLLVLPMSCEDPPQYHSVPRTVIWSLLWIAIIGAYIPQYLR IRKEGTKGISPYYILNHGLFSTTTLALRFGHRVFYKAFNCVASGELDGWKGYSASLDF LAVFVQWACAMVLFAIYLHYRTPAVLPDQAPIRRLSDWQPEDPHEHKLTSAIMKKVLI SYSAVTLPVSLLLLFLNGQPYFQRSGPQTAFYGVFWSLWIAFLCIVDAFLVAFQFVKQ LKTIGRLRSRGSLSIASVLSLSIVLILLALTQFFRSRGNISLPRERHSSFGRFLQLFG YVYGCVSVDVMYLVAGLGYLVLFQLCLVFDWNDLFGTRFGRIQLV PFICI_10706 MRFTTAIATVILAYTAIASPLQARQESCETAYDKCLTAPDANMS QCVANYSNCSGHLPSNKRQDPCQDVYNQCVTAPDANMSKCAADQATCQKNPKRQDSCQ DSYNQCVTAPDANMAQCAADFSNCSGHLPGQKRQNACQDSYNQCVTAPGSNMAQCAAS QATCQKNHPKRQDTCQDSYDQCVTSPKASMAQCAAQRATCQSGH PFICI_10707 MPAPLISGTPPPRPQPHLDASHAALCQNKGTPASHLPAPTTRIS KSRRHSLPLLTFPPVAQPNTSSISLIPSTAEGKPVPLDDSSTAGRTIALRQLNRSQPS SRHRYSKSGGAPSATTTTTTGTHSQPVIVRTYSGPPPSSAGSRPASRRIPLSTVSVAS SVSSWRPGRNGMVLSMARNKGQKKVHQRADDPKLPPLEAFSFKSIMADIQQDIGADLD RIAEICARSKYSLSNQYEVHVAPHGSGASFVTSVASSSRHHGPTLQAISSDDESNSRH RKRRSGIRRRSAAYGTLETIMSSSRSSEEDKSKKKSAQELTAEVRGRAARHHKADSGS SSSCTGDSNEQQKQVARKKSASFATAMMDNTRQHSQGETTSPRASGTALVGQPAKPKT SKSHLEIRTTQEELSENYTHEPSREPSHPTVSDSVANAAISRPDEPRNSSSLLSGISH WIPWRGTNSTIEAASSARKRSKAKSYAEGSLRELLKGADLDSGLSVDLKGKSARR PFICI_10708 MAASALLVVLITILLPPVGVWAIAGCGADLFINICLTLLGYIPG HIHAFYLEYVYYDRREQAREGRFAARRAAGVYSDRVQTGGHGYGTMVPPTS PFICI_10709 MSTVPPQPPHFDRYVVIHVATTCDEHGVYVTKDSAEVIELGWIE LDAKSLEELHRESVLVKPINTPITPLCTSLTTLTWEHVRNAGTFRDAINRFDAFASEH LTNQNLDFVFVTLDAWDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQQHHPE SLPFGPSMLSNICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEEAITLARVLR GLIRKSQPAHEHPDVLTRPMDARADVRAFLSERSKVLHMSGLPHDTTQSELESWFTQF GGRPIAFWTLRTPEQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAVGAGGPQNEMSSY GGGGGGSGGYGYGAPPMMPPPHHGGHHHHHGGMGHGGGRMGGSGVVPFRAGDWKCGNE VCGYHNFAKNVCCLRCGASRAGAAVVADSGYPSPMDSGSNYGMGSHSVGGTPGPGPYA SAGGPFGGAGGGYGQHFGGPPSTYALPSGIGGGAAPYPSALNTHIGGPAPGSHSAGPF DSRAAEAAFQSAGNGPASAGPSNNFFGSQAENDPFAFLSTGIGGLTVSGDARQNGSGA GGPQSKSPAA PFICI_10710 MGGTTLVGPDSLESAFHDHIMDQLPNPDLLHDVQEVTAGDLAKA LRKLAIDEQMQTMSIINTPSLALVAQDALSKGFSGQGDIEKDLVVSSPRKKVRIGTYE TAHIPLGDFRPTTEQHISIASSSHNKEIAPSDTKGSYTRELTPEPTHEGVGLPTTPRS CVLATSSPQGSPMRRHGGIPSGSSPSPLRPQMGDNVPGFSAGVGTSQRKAAPSRLNLS LPGAAPRKTTNDATHYVAVASYMGTHKPNIPELQAQIDKFENARKTPLDKRTKLLKVP IYNLESDELDDESVPFKIQRIPQTPASALVKSFKKFTLATPATAALSNAARARHMAIA QRAEFEATNQVDQNEVGAIAGQLNPTQPEYRPRRTEQILEALHNGRGNTRRLRQLALG IHSRISLSAKSPPPATGCYKPPFDVITALSRVPELIMAVAEYLPPRDVLTLYSVSLQF HVQMNTYLQSTVVMLSRQWAPNAIHVFKWDSDPTYKHLAIRDPVGRVLASPTSEDEDN ASTRPLLGKVKRVPSMKYYQMVAFRDDVVIDILAHLARYGLRCPQGAKDSVLKLWMLM DQPTTKQRQELLMKTEVFTDQDLVNLTIFMTKVNFRLSDPIYGPESADLSELMFGQRS LYPLWQMLFGQNYRDLPSLLRCKIRYDLGRDWRLGQAYLGADADPDYLRPDGLGLLGV PPNEIGRTQLEHWGTKGSMHPALQRPSACIMAEAARRDLRLDQHLMGLLLWGHVDHET GRNLVPSEAEIWMRDSDYKNRALDTHLEFEPFHLRRARWDELDEHDRKKILLAQQVRH EAVYKWDEHRFDDPDLTEEENMEMNRDMQEKLLKNAGVDRENPDFKVDKNGNEIPRQS TDPDKLAAGPITSSFNKVQEEYEHDFGYESDSSTDSPTAQSAIGDTTKLQYAWKPSPE DHDMHVPYVAATSLGSTSRSRDDDLDQNSNLDNQTVDGDVSFEGDDEPDREMVWQQFL DLRDTAFAPWASTDDKGSS PFICI_10711 MAPAPIVEDADMDVPTYGGEEDDEAQRIINEEYKTWKKNAPFLY DMILSTALTWPTLTVQWFPDVKEPEGRNVRIHRALIGTHTSDDKQNYLQIAEVEIPKD IRPNPRDYDEERGEIGGHGKGDKTAMKWNIVQKIDHPSEVNKARYCPQNPDLIATLTS NGNILIFDRTKHSNTPNGKVNPQITLEGHDKEGFGLSWSPHIEGSLASGSEDQTVRLW DLKSLESGKTTLNASRVYTHHTNVVNDVQYSPICQNFIGTVSDDLTLQILDTRQSSTT QAALVARHGHTEPINALAFNPTSESLVATASGDNTIGIWDLRNVKEKVHTLEGHQDAV TAISWHPHEAGILGSASYDRRIIFWDLARVGEEQQPDDQEDGAPELLFMHGGHTNHLT DFSWNQNEPWLMASAAEDNMLQIWKVADSIVGKDDSDINLDEMGR PFICI_10712 MGVPKFFRWLSERYPAISQLIAENRIPEFDNLYLDMNGIIHNCT HKDSDDATYRLSEKEMFIRIFNYIEHLFGTIKPQKLFFMAIDGVAPRAKMNQQRARRF RTALDAEKAREKAVREGIELPKEEAFDSNCITPGTEFMQKLTQHLKYFIAKKVSEDKD WQGCDIVLSGHEVPGEGEHKIMEYIRNAKAQPDYDPNVRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRASKNKSKELEHQNFYLMHLCIVREYLELEFQDLKKEGALPFAFD MERVIDDFILMAFFVGNDFLPNLPYLHINEGALATMFRIYKEILPQTEGYINEGGVIN LSRLGLLLEELSKEETRHFEHENSDEKWLRSKRAVEAKAETITRAKGKVIMTTPQKDL WKQIRKYIQKRPGGDLDLGTKLAAADRKFVQDAADSLHVEWRTSEDEDGERHLLLSMP AKAEGGDEDDEEEEEGHLALLRVMKRYDNAQIIDETPEEAQASMQVKYKEKFLEWKEK YYAEKFEEWTPATKETELRKLCENYVQGLQWVLYYYYQGVASWPWYYASHYSPMISDV TKGFGADLDFKLGQPFRPFEQLMGVLPDRSKKIVPTVYHPLMTEPNSPIIDFYPRDFE LDMNGKKMEWEAVVKIPFIDEQRLLSAMASKNDLLSDDEKARNSFGVTLKFTYDRTLD YTYPSSLTGEFPDVQHCKVVENIYDLPVAEGLELYRGLVPGAKLKMEALAGFPSLATL PYNAALGFHGVKVFQQESRNESMVVTLSDVEARVRVESAKAKLGKRCFVGYPFLQEAK IVRVSDELFDYTLAEDDSGQVVTRNHHGKEIDDWSAKADRIESFYSTRLGIIIGNVES MVHVDMLKGLTKTDAGALIKEYGYIPGVETDYPSQVIVDEVVSEDQRFIEKAALPIEE EYPLKSRVFYLGDAVRDRDFEAWAKASEQAKVLGPIPREVLRPVYGRPVEIAGHGSNR AKIVTSLYILKPNLEAAEADELAPKLDRFVKTEPSFAKGIIQQAERENPYWPSYSLAQ KLRMPPLVLSKITASFFVQTIGGMRVNLGLNLKFEARKQKVLGYSRKSKTGWEFSPAA VQLIAEYIAAFPDFFQAIKNNPQGSELNETDIFPDPSYASQRIKEMGAWIKNTDRGNF EKVPLEAEQLDSDAVRSIEQAVDALLSDAPQPVIRVMNDVPRNALIRPQDVEQRLGNQ QFTLGDRVVYVQDSGKVPIGLKGTVVGISNIGSTPMLDVLFDQTFMGGSSLNERCSAF RGGVVPANSVLNTTYPQLLATSNARRQQPSQASQPFSVTGSGNRANSKYVSQNGHSYR EAPAPGALHGSYTGAVQGHASTHRARGRGGHPQVGNTNVAPHLQSANLVYRPAPGHNQ QNPNGPARGGRGGRGARGGGTLYNTHGHAQPTGHSVANPPTQGGYNAVPPPTNLNHSG RGRGRGRGSRGRGGPPRGRGGAAQEQTA PFICI_10713 MARYFSTVALLATSLMGGVMAHPGADIEAEIAARAAWQADPEYR SLKSCEASIKARDSKLVDARIEKFSKLREKRGMEKRSFRDVLLTSHHSNKTVTPDSTP EEIFGSDNSCILQPQVTEGPYWVSGELVRQDITDAEPGVPLTFDVQLIDTTTCEPLSN VALEAWYCNSTGVYGGVQARGNGNPNDPDSFYNTALRGIQFSDDDGILSFDAIMPGHY TGRAVHIHIMAHIDATTLANGTIGGGHVAQVGQLFFDQTLNQAVDEVSPYSTNTQAFT VNSKDGIMAQEAATSDPVLQYVYLGDSVDDGIFAWATVGINPNKVINPKAAAHYSGPA PFICI_10714 MLNCTQLLRDALPIIAEAEANINEPGVSTIFKQPRCSSPRSGID FYNFDPTNKSQSLVFEYIVRMAPIALDDSVAAASAKSLLVKPWSRPRLTSENLDWADL PKIDLSRFDEPGGKQELAKQLYEAVTKVGFWSVVNTGIEDERVLRQFSIGNTFFKEPL EEKRRFPCNFSEGEYFGYRENSRWIGDTGVKENIEMLNVPKAISAYRDIGKHRVVEEN YDEIAQFHRDLWERVINKLFVLISIILELPEDYLSDAHAYTDESDDHLRYMIYNVRSQ EEWDKAQAYSKGGHTDFGSLTLLFSQHVAGLQIRTPEGEWKYVRPVDGGITCNAADTL SFLTKGFIKSTIHRVVTPPKDQMNIPRLGLLYFSRPGDHTPMRPVPSPVLDRLGLLTD EDKDLSRPVPSGVEYVRARVKDVHHKTVLDKREGTAFEFKGLKVQNYYD PFICI_10715 MTAKAEAKTLANGVSIPEPVDDSGRSSHSGIQVTNKATEKQIIR KIDWWLVGFYSAVYIFRVIDSANYSNAAIINLENGTGIKKQLRLDASQWAWTLSIFSY SYLIFEPPNTLLLKTFRPSRWMFVLILGWGISACSSSAVMDFKGMMCARFAIGLAEAG FFPAVLYHVVWLESRNALIVVAADQKNQSAS PFICI_10716 MAFWYKPKELPQRVAIFYSVGQLSSALSGLLAYAIGFMDGLGGL AGWRWLFLLEGLPAIILAFVALFWLPDYPETSKILTEEERSYVQGRLGKSAPSGKKGH WDIATLKVLFKDPTLYTFSIFWICHGIGGFGVGYALPTVIYELGFTTTSKSQLMNIPP YVATFLFLNTVGYLLHKKIIRPWTTAVAIESTIIICYIILVTVPNAVVKYLALIVAVS CAGSAYPVIWPERIRAIDGTVAAGIGIGWTNAMAQFSGIVGPHVYNTKFGPTYRVSYV ICLCFLVVAITAILTSWFLVHRKDRRRAEQLEE PFICI_10717 MEHSRPKRTHRPLILRLRLPWLLVVPSLLAVLAVAMVILHSDYD VPALYSQCRAHARMQGLSRIHVIGPPSCFLVSFFQFANASVRSFARMAVILSFVAALL SVSLVESARLCNKPSRVISKPTLPWLVFNLIGGALVWDFVIIPSFLRRAKDVQAARES ARAERLREDDSDLDREVRCLSSQVEAWAIPIAVALGFVVPSLAMLILNHPISIAVWLF FPIWVAAIRYAVKVVGVNSVIDPEPYHLESRRWPLVGVYVVPILCSVLAHGVLVWNIF SPDDSREMTRATIRIIQIDAVIIVLTVLYWILVEAGVLVSLAFIVLSILLGPGAGLSA AWILREKAIERYPDGKDEGHGSSEQAGSNEETPLLQ PFICI_10718 MSPSVSSSARRLTSQTLRSCKTSSVSCSSALRPLISTVAPFSTS KPRSQEHAAPSPSPAEELRRWARTPERMKASFSPHITKNPKNSVWTVNEDPAKLDDAL NNLLGNGGERLLPDELKWLAITHKSFDQGRRGFNDRLAYLGRQIAVTEAMQSILSENA GALGEKTMEKDLFGERREPFQSDMLDRADRLSHQLPDSVFDLEKMRRLAVETRISTVV RWKPRKPESLKASGISIVMSGALYAIVGAIALQHGGKVASRVVRERIIKKLRA PFICI_10719 MATTSRPLPEAGTSALVAELAQVLPKGAKFTAYHISTPPTISEP LCYAPANPQTQDGGSMSQKNLRARKPLKTYCEKHFLAIAICPLGSTEQVLAFALELYI YTTAHSSILFVAKADSTGYLGLLNLPKGMPSPIREVTTAFISYLVTNRARKGIQFVVN LFARSQSQYLFPGSVKNGGKHILDDRGLVKWWCRVLNPLLENQTAHAQKRWKDIQGYL IIPGLDGYETRAFLPRTANATRNWALGHPLERISPYISDPVMYGSNIPARCLIPTYPD DPKARFVEELEEATAEKAKLAGGWKTPRSLEQFWEMMAFRQECSSGRLTGFIWLVFDP YSLRRPSTNMGDSARGTATAVSAQFGQPPRLLTPEPSQGPLEAMVMPSLHATPIKPMK LNEDKNKEKKRALKGRIIPREPRVKTHQRAHFPKQTETAYYSWPEEGRGQVILDESGY TRAVELLLHLEFGTLAHAISSSARWDREVNFGRDWSLTAIGKRELSLEQRTSGAGQAV NDISSMIKKKRPVDDAAFEESNAPRPESAAVNTLSAGLVRKKAKTTNDEPASLPPSME STKVNTLGSGLIRKKPKPASG PFICI_10720 MAEPVNTPMPPGTPISATPRSGSPAPPLPPVSAASLSLTKPVVL HIGDAVKYNPDTFRLFSSLFTVVQPSAAERQRPEFIRALTERRWGDFAAIFRPFWGTG GEMGQWDEELISLLPPSVRVFASAGAGFDWADTKSLAKRGIVYCNGGLAAAEAVADFA VAMIISTFRALPYSISSASSGDSQQFQTCHETATAHSRNLRSQTLGLVGLGNIGQQIA RRMGSGFGMNILYYDVERKADELEARFGARFCTNLEELCTASDCVVLCAPSGSGGPLI DERSLRWFKSGSRLVNVARGSLIDEEALADALEQGKLSSIALDVHSDEPRIHERLRAF TGDRALLTCHNAGGTIETHVGFEELAMRNIMSVLSGKEPITPVNIHWLKID PFICI_10721 MNLPTRLSKITINGEEYWPTPHEVNPEVPEDQVWSQWPPNELYM SDPDWYDSMGQDLIVQRRIWAIQSESGQVDAFQKLLAEAPDHSLDMMWAAALKGKAHI IRFLLSQGIKPTAVKADGDDLSLVPLHVSAAQGHLDCVKVFVEEAQLPPDTPDDLGGT PLMRACFKKQPEVVRYLLGQGADLTIRQTSCEERNPGTNAFEFAAGSGCIACAKALLF KAQELEIDSSSLATPLALEAAAQSEEIDMLDLVLELGKYPRLDRNKFDEATMVALTDL RKDAIEAAFCRALSVGRWESLKTLLPYIESRNPENGDYNWRTLKDQTLHALMNGILTS VENDSEGNQALFVFLGDVFLHPSSRLLSPTLREQRDEILGDVFFWACRFGSLAMLRLL SAKYGKVDVNHLSHRVAPIMSSGLYIAAGGGHDEVVDYLFLEHGGIPNIHLGNGKFVN GTTPLWIAIWYGYNRTTRRLLSLAGPISELDDMIMEAKQTPSAIVITATATYRAPVKI YSRAKWAELYGEIDESKESNGKLQDLEGNQMAYLVMTIEGDDIEWWRKLEFRKSDEEL TAMETNGRECKPAS PFICI_10722 MTGPEAATDNKPTQDQGMAAYAAPSLFQPHNARQAIRDAHERRI GPILCVYYGISSVPLARLLAPFGFDAVWIDWEHTSCNIETMTTMVHETMFMSGGKTIP FVRVPGHDHAAIGYALDCGASIVIPQVDTVAQCKHVVSAAKYGTKQNGTRSAPPFRLI PGVTDMPMLGDDLHKSVNDQAAIMIQIETLEGINNLDAMLTECPDVDIVWLGSLDCRV SMNFPANMGMGGDEPEWIEAEKKFTDTLKKHDKPRGGFGFGPAVKQKADQGYSC PFICI_10723 MASRNDCRSKNAPVVVDFTSFPRLPAELRVQVWRQTFNSRVLEL HYGGEPWNHFLAAEAQWYSSCGNPVALSVSTESRREALSFYTVALPLGGHRNSTRRLF INPAIDTLVLLGADVAYGHLMRLFSDVMAMDPAGRGLRRLGLTITAWNRGWASAVRQQ WCKAPFGDLDEFLVLIYGESRPPVKFQGGECALKHCAGMKLFLDCTAGAGSTPQDGLS CEGCNKTRKHIVYVDFIRNSWTH PFICI_10724 MEMEDMSLEVAEKDTAAEIAAEAAVADPANRPAKRSSNTRTCQP CRLRKVKCLIGDGTSCANCERLGFTCSFADTSPQGDGDNTQVSLPRRRVRLACINCHS RKARCSGETPTCARCQSQGIECVYRPTKRSGAVAGQAGNSDHESVSSEPPEKRRMTNE DACARNGRLGTNDNAGFAGGYSSWPPNHEPNIAFPDETIITRTFNNFFRHIHHVPVFS FLHRASLMQRYHAGMMDKPLLLALVGITSLLTDLGPGMREYGTKCIDECQRMILADLE NPSTIKIQALVFIMKHRMLNRRFTAAFMLAAMAGRFAYALRLNYENPNLCFLARESRR RLMWAFLTIDSGINGGYPDFTLLPSEALHIQLPCNERNFEFDLPQVTGHLKPIPTTPF PDDVGSLALHVRLLWIRSRILYCTKNVIAMPETELAELPKLVEVLAQELKLFNEHLPA SFRFSESNLRLRAYSPRLCVFIMIHIWFRQCYCDLYRIVMTGLKEALPRSSLDRLPPQ FVALCERQCYEHAIAMVDIFRLTLSLDGGLPVTDLDLSACAYQCARMIYFAHHMNSNE FQLTADQVHDHAQKCLQITRHMMHIPAGLAIHTDLEKLVSHGLSASTTPSHPGSPEAA RIPPRQILSRHNPVHQMNVVDYSEIPAAQSPSVPILSPLNHSNISGGSDHHTPVSHPT PSTRPVNFGEVPTYINDMNMPKPNLPPVDPPEGLDNNNAFEGAMDGFDFNFESFGNTG LESVSWFSQEWLNQDLSQTV PFICI_10725 MAPIRKLKVGIAGLGRMGARHALNFQNRTPRADLVAAFTPDPKE ATWAATELPGVKVYTDYDEMLKHEGLEAVVVASVTTAHAEQAIKAINANKHVLCEKPL STSVEISQSVVDAAMEKPHLKVMCGFSRRFDQSYRNAFERMDAGTIGRPSVFRSQTCD KLDPSGFFVAYAEFSGGIFVDCNIHDIDLALWYFGQDSVVKSVVAMGITAVQPDLRKH KDVDNGVGVVEFWGGKIAYFYSSRMMAAGQHDMTEIIGTDGKLTVNANPIGSLVEMHE PRGIRREIPADYYGRFEHAFVTEANEFTAACLENTRLPFKLTGAVQAVKIGCALQESL NTGKKINFDETGRRIEGAKL PFICI_10726 MDAEKTGGLSAVMSGSDNTSGEVITGNRAQYGETVRGLSPRHVQ LMAIGGSIGTGLWVGIGGVLSKAGPLSLILGYAFWGLLYIWPLNLSVAEMCAYLPIRG SIFELASRFVDPALGFAMGWTYFFAGVMLVCTEYSAVATVMQYWNADINPAAWIALAL GVCFLMNVVAVKYYGESEFVMASTKVLLLFGLCMVTLVTMCGGNPKHDAYGFRNWGSG NAMHEYYTTGDTGRFLGWFKVVIYAAFTIAGPDMIALAAGEIQNPRRTIPRVAKLIFY RLVGFYVVGVLCVGIICSSRDPTLLGAIENSEPGAAASPWVVGIKNLGIGVLPDLVNA LILISGLSCGNAYLYSSSRTLYGLAKDGQAPKIFMKCTKSGVPIWSVVAVSVISCITF LVSSNAAVEVFYWFVDLTTTALIMTYTMMLVAFLGFYRARVVQGMDPATLPYRAPWNP WAAYLALLLGILALIFVGYSTFVPFDTRSFITAYFGFAFGIIMYFVWKVVKRTSLVKP SEADLISGKAEVDAECRHWEEGGIEEIEKERLAQMNFARRCWERMW PFICI_10727 MILGWFGSFLWQLGQIQAISLNSSSTGDISILAPNALDGAQNNG AGAILISQPGSYAVANTSCAQLSETIWSPDSAHFNAGLDNSILRQVYVGNIAKDQLFW VARNQNDGNCQGIDTNGHAHSLDCESQLPVLCTQSAPVSNSSHSDTAVKWQITHNVAG STFTGYRDYHAWKFRGVRFAKKPDRFTYSSVFLEPGSVSAITAGADCVQPIGEVTSGS SEDCLFLNIWTSSLPLTGNSSNASYLPPLKPVMVYLYGGGFTSGSGKNPNTDGTNLAS RGDVVVVSVNYRVGNVGFLAFLDGIHNGNYAVSDMVTALTWVQNYIKYFGGDADRVTV FGESAGAQGTHILLSSPAAKGLFHRAIMQSDPTSYPHGGFTWPRYASIEDAYRDGTTG VLNQTGCLNAKDQLSCLKSIDGFDLVNLETNLNGPVVDGTYIDQQELIVSKPGIASSI SVMTGTNRDECGVYIDEDAYPKNGTTFATYFRDHVGIDQGLPDNYSLSVPEGPFSLSN ASSPEQVFNATLRVASDGEFVCFDLAKAYSAAKHEAFKSVHVFQFNRTYSPSGYTRPW CDAPQTLGRPHGDPDGEYYKCHAGEQLVVFGNIVRSGQPDRDGLDVPFMQLTVDYWAS FARAGDPNPDKGYLNASGHYNTLTQIELAGLWEAVDFQKPTMRLLQWNGAQVSFVETA QCEALGIPYDTLEGQ PFICI_10728 MARDEDVERNSLKGDERTPLLREIPPVAIEEEEDDHQSGPASRN SRARDNEHDESSLLEPPPRRPRTKGWWAWRIFWFVAAALVLALFIKGWIDADDTNFDL SKALKRALGGGLSGAAAMVLQVLLLMPLRTIMNYQYRHGHSFPVATKTLYHEGGFRRY YAGLLPALVQGPVSRFGDTAANAGILALLQSNSYLARMPTLVQTIFASLCAAAFRMIL TPIDTLKTTLQAQGSRGTALLRQRVKTDGIGSLWWGAFATAAATFVGHYPWFATYNFL TDFITQPPKDQLALYLLRLAFIGFCASVVSDTISNSLRVVKTYRQVNDTEVSYSEAAK LVIQQDGISGLFGRGLPTRILANGLQGILFSILWKLFLDLWEKHTSS PFICI_10729 MRLLRVVRAGALLLPLVAAHMRLLKPRRFGSIELGATSVKPDPL TIARFPCGKPEGMDATQFYDWSNPTLIAADEPQTMSFQCSPDQGCAIHGGGSCQVSIT SDTQPTASSKFFVIQSFEGGCPSLDGTPYSDTLDPLSYPDNYAYAIPSSFPPGNYSLA WSWVPKYSALPEFYMNCAPITLEAKSGKREVSSRDGGQPKLPEMYIVNLAGKTDCQNE PGHNLLYPDPGTNIARDGSDGDGCDRNVFQYASGNGCQVPDAASQYNWCSAATGQLNP AMVHTSPYSHPTGGADTPVDAISVGATAQRSGGSSQSAMGSTSRAITDPSTQQFRTST RSSPPSSAATSQAAVPTGAASNLPMEGGCQQEGRWNCIDGKSFQRCASGLWSVPQDLV GQKCVVGQGDAPVFLAD PFICI_10730 MVHQHGDHHDIPKEHKRHKHGAWLPTDHRTQHEWLARQIDHVDK KHKSGQKKPLSPALQEFKDLIETNPRIYMYFTAMWDEVPQKAPYLTDPVGKKQIRDYK HMLDMLNHILSKAPEWTDAAFGVGMVGVPMCGIFDYVMATPSGHAAFLDPTVNAAVKK VLNEWGQYLLTEDSAHVLENHSEGWFGEHATKDVMEVANKPLKTDHKFEDMFVCEPSK KYYGYKSWDDFFTRKVHDSARPVASPDDDSVIANCCESKVYNVCHGAKLRDHFWIKGQ PYSVLDMLGHDPLAREFQHATIYQAFLSALSYHRWHSPVSGTVKKAFLVEGTYFSEPL FEGIGENNQVEIDKRGISVAQGYLTALATRAVIFIEADNPDIGLMAFIGVGMDEVSTC EITVKEGQHIKKGEQTGMFHFGGSSHVLLFREGVKVEGFPEVGRDENVPVRGQLAVVK K PFICI_10731 MAVKIWILTVLSALALAIPLDGPIEERQSCAALYGQCGGTGWSG ATCCASGTCVLSNEYYSQCLPSSGTTTAGTTRSTTTSAATSTKTSTTTSKATTSASSA STKTTTAPVGSGTATWSGNPFSGVAQWANNYYASEVTAYAVPTLGAKAAAVADVPSFM WLDTLSKTDLMEDTLADIRTANQAGASPANAGIFVVYDLPDRDCAAAASNGEYSIANN GVANYKNYIDTIVAIVKKYSDVRILLVIEPDSLANLVTNLSVSKCSNAQSAYLECINY ALTNLNLPNVAMYLDAGHAGWLGWPANLSPAATLFAQVYSDAGSPAALRGLATNVANY NGWSVSSAPSYTQGNANYDESHYVAAIAPLLSAAGFDAHFITDTGRSGKQPTGQLAWG DWCNVIGTGFGTRPTANTGSDLLDAFVWVKPGGECDGTSNTTATRYDYHCGLADALQP APEAGTWFEAYFEQLFTNANPAFT PFICI_10732 MLSGILIFNQKGENLIFRAFRNDCRPRLADVFRIQVISNPQVRS PILTLGSTTFSHVKHENIYLVAITKSNANAALVFEFLYRLVQLGRGYFGKFDEEAVKN NFVLVYELLDEIIDFGYPQNTETDTLKMYITTEGVMSQRAPEDSAKITMQATGALSWR KADVKYRKNEAFVDVIEDVNLLMSATGTVLRADVTGQIIMRAYLSGTPECKFGLNDRL LLDHDGLQSLPSGNRLGTKATKAAAGSVTLEDCQFHQCVKLGKFDSDRIISFIPPDGE FELMRYRATENVNLPFKVHAIVNEVGKTKVEYSIGVKANFGSKLFATNVVVKIPTPLN TARITERCTQGKAKYEPSENVIIWKIGRFSGQSEFVLSAEAELTSMTNQKAWSRPPLS MNFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIR PFICI_10733 MTSATPVASSSDIAKHGWTPVPVDAVEIFGDKPYRNEPPAVSAA QIPFPSDDPIVANVQAYAKDHLPEPTYNHSMRVYYFGYCILKYQFPDQADVLSTSTWA LTCLLHDIGTTPDNLRSTLLSFEWFGGILALDLLRSHDSPQPQREAVAEAIIRHQDVG TVGKITFLGQLIQLATIYDNMGDRPDLVNVRTRDEVVALFPRLKWSDCFAKTIREENG LKPWAHTTHLGEEDFPSGVEGNKLMAPYEGRE PFICI_10734 MADNSDSHHLQDTQSSLANFDRDQIFDSLKRKNVPFIRNDNPAW QTYAQTYNQRLSCEPLVIIVPETIRHISDAVLSASNHGVPVQAKSGGHSYAGFSNGGF DGSLVIHMGHFQDVSVDKETGIAKVGGGTRLGPLSLAVWEQCQRALAHGTCSAVGIGG HYTHGGYGHFSRAWGLAMDQIVALDVVLADGRCVHVDSTHYDDIFNAMRGAADMIGIA INFYLQTQPAPAKVVRWELDLASIAPVVDIDRATDAFMHLQEVAHDSTVFNANISFGV VLGPARWFHVAGIYIGSEQMFRDALVPHLLSGICKSMQVKSTELGWIDALKALAGDDL TVTETYSERSNFYAKSVTIPEPGAPRDSIRTYMEFIATVGQEQQNFGWYAILDLYGGA GSTINMNHSSAAYRDRGSMWVAQHQAYVGGDVQFPEDGIAFLNSLNQAMSGMLDTFGA YLPYVDSEYDRTTAKHMYYGQDLYARIQKVKRRFDPTNVFANPHSIRLDE PFICI_10735 MAPSAISNATSIPGEPGRGMDILKMKGADTVNLAVGAANGKSTV PTLPDFKSKEEEQKYHKEHLVAAFRVFAANGFDEGLSGHMSLRDPVNPNTFWINPYTM HFADITVSDLVCVTEEAEVVHGIHSVNAAGFAIHSEIHKAHPWINAVCHAHSTAGKAY STFGKPLPPLTQDSLKFYGRHSILHEYGGPVLSTEEGQAIAGVINDDTNMVILQNHGL LSIGTTIDEACYWFCSFDKCCQAQLLIDAASPFNGGPKRINEEIAVKSAKILGARSRG WLHFQAYYTNMVKKTGGSFLQ PFICI_10736 MHALTTTLLGLGSAALVAAHGYVDNATINGNYYQFYQPYTDPYT SPTPDRISRPVQGNGPVTDLTYDDLQCGGYTDGGIVGSSPAVLHADAAAGSTVTLHWT LWPESHVGPTITYMAACPSSGCQDYMPGDDAVWFKIAEDGRDGTSNTWGDTPLMSNGN AGYSYTIPSCLAAGYYLVRHEIIALHSAYSYPGAQFYPGCHQLKVTSSGSTKPSNLVS FPGAYASSDPGITYDAYQAQTYTVPGPDVFTC PFICI_10737 MQLKRILPLAMASVVAAQDQNTSLTDALGAQNSSLSALNGLLQS SGLAQQLDQLSNVTILAPNNDALSSLLNNSDAASALSDSGAVDALLSYHILNGTYYAD SFTNTSIFIPTYLTNKTYANVTGGQRVEARVSDGNVTIFSGLKQNATVLTPNLNFTGG VIHIIDSVLSVPQNLTATLSNANLTAAAGAITTANIQDTVSSLMNVTVFAPNNEAFNE IGSILANLSTDDLRSTLGYHVVENTVAYSSDIQNSTLTASNGDELNIYNINGSVFVNA ARVTIPDLLVSNGVVHVIDQVLNPSNSTATPDPSATSAGPAYSGASSGSSGVPYTSGV ATPTGTNPAQTSGGSASSTTSNPGVPMKTGAVGAAALFGGAAMLVNF PFICI_10738 MSTFSNTNTAGKTADPTTAANIDRNVPLKQKIEDLSEFITSRKF GMMTTRDVKTGKLVSRAMALAGKEAGDIDLVFTTNTESHKTDELAADPHVNISFIDSS GQWASIAGDAHVETDRETVKKYYSSSLKAWLGDLGDGKHDGSKNDPRLGVIRVRTSTA TYLLTNKTAVTRAAEVARGALTGSPPEIGKLREISELEVQQWRSIAT PFICI_10739 MKDLSPNASHQGPPSDSKEAIPTSDIQHGTSDNADQLQRHLGNR QIQLIAIGGSIGTALFVSIGSGLKNGGPASLLLGYTFYSCLLALVNNGMAEMATLHPV SGGFIRMAGKWVDDAFGFMAGWNFFFYEALLIPFEITALNLVLTYWRDDIPVAAVCAA CIVLYGLLNVLAVEAYGEAEFWLSGGKVILIFILFFFTLFTMCGANPQHDAYGFRYWS DPGAFNTYLAGGDLGRFEGFLAALWSASFTVVGPEYIAMVAAEAKRPSIYIKSAFKTV YWRFGIFFILGALCVGIVIPYDDETLVGIYDGSTGGSGTAAASPYVIAMKNLGINGLP HLTNALMLTSIFSAGNTYTYCASRNLYGLALEGRAPKFLRKCTKNGVPIYCFAIVMLF PFLSFLSVSSSSGTVLTWLVNLITAGGIIDYIVMSTTYLFFYYACKAQGVDRRTFPYH GWGQPYCAWISLCGMTVVVFCYGYSSFVPWSVENFFIYYTMVILAPVLFFSWKLIHRT KIIRPSEADLVWERPIVEAYEASFNEPPVGFWTEILQLVGLKRGKRIHHDA PFICI_10740 MSSTPRRKRHHQQNNSANHAHPPSQAHQRTRSQHLAAQAAVSAN QITSDYESDTASYMAAHAALPNPALAQRSNDEINITVLRRYIPSLTQIYSIASNAVVY AYDSTSDVAGFEKANIEGPLFTCQLNSSEVQNSVFILNRKSTDNLRLDLATVTNFEQS GDMLYIETMGSNGEPKVWGLYIHDSSDNRRETHTSAILRLWHATKEALVLQAQMMQQS LQQGGGRQININDLLKR PFICI_10741 MAADGSAAQPSVPEPEDFDQDLNHATQHSLSSAVHARKSEFVRP HRIRIKIGSWNVAASPGTDKDLASWFVEGRGLEPHFDTHLADQSLKASGTLEDDTGDG SNGDDEDGDTQSQDKTYVNVSSKKRSEKTSEAESHTDDDPDNVKIRLVGGSDIGLYVL GLQEIISLNIIAGYADTTATEKWQAALEAAMPPYYKLIASQQLSGLLLLIYASPDVAA TISNVSTVAVGTGLLGYMGNKGGVSTRIVMGETTRIVFTNSHLASGHDQTNLERRWWD YNRILAQTQFSPISHSAIPQEEGEKIGDEDFAFWFGDLNFRLDGLPGDDIRRLLMLHT RGEYGAKKEIGKSMSDEDGVIIMHNKDDASSDGTNTPGMVSREASFDQGDDDKEELPD PDDFVPDPHDDPASLQATLDSLLPHDQLAQTIKKRKAFHEGWSEGPITFLPSYKYDIG TVGLFDSSEKRRAPSWCDRILFRTKTDLDNYRTKVVEEAEAKKRDEDMKKRGIDTAAD DESVLFDYDPDKDVSSSSVNDNAYDYDEYDDGNEEAEGEGQTVFTREGFEDHIRLDLY TTHQRITSSDHKPVVALFTLDYDAVVPELKAKVHAEVARELDRAENEGRPGITIVVDT KEGGHHEDEGDDGSGGVDFGNVAFLQPSTRSLTIANTGGVPATVSFVEKPGIEDDSDD TTRNSDTEWLSVSLHHSPDTMLQDSETQSKKETHEIVLEPGETASATLAISVASISLL RSLNSGTASLEDVLVLRVADGRDHFIPIRAEWTPTCFGRSIEELIRVPPREGGLRGFI ENLQREEKDVGKDWKGGSIPYSLDVHSSSPPELYKLTAAVEALSERVIADETMVQEDA VRVPRDKAGWPFAEKHPSTDQESDRSTHLASIIRALDTDNPTNLLDAVPKTEISTLVK LEIFADALLIFLRSLTDGIIPAHLFAKIEMSLPSLGSSSTSTRSAQEIEDDKSAILDI LSAAPYNNISFVFVTSMLAKLAADVTPLNTKELEILNSDVKSRGKARSLSFRKSILGA AGSGVDQAALQRRTGWERRVAEIFGPAVCRSGNAGGDKNKEKDRRASEVRMAGVIEIF LRRRDVNR PFICI_10742 MSAGLYVEEYNGTIPTGGDSLTEDLNVYYESGDFAWIMTSSALV LLMIPGVGFFYSGLARRKSALSLIWLSMMSVALIMFQWFFWGYSLAFTHTGNNPFIGN LDNFGLKDTLAAPSVGSSKVPDILFCLFQGMFAAITPALAIGAAVDRARMLPCIVFMF IWSTIVYDPIAYWTWNSTGWSFIMGGLDFAGGTPVHISSGAAALAYSLMLGKRTGYSK AAGLPYRPHNVTHVILGTVFLWVGWFGFNGGSALAANLRAVMACMVTHIAASVGGITW SILDYRLEKKWSAVGFCSGAVAGLVAITPGSGYVAPWAAVVFGVVGGVGCNLATKLKF LIGIDDALDIFAVHGIGGILGNICTAFFAADYITHLDGFSVIPGGWVNHNWIQLGYQL ADSVAGFSYSFVMTCLILFLMNLVPGLSLRVSPEEEEIGLDDGQLGEFAYDYVELQRH TSDISAGESFTAEHHSQTLKNGEKSPTETV PFICI_10743 MPEHRHSEEIPPDAMSAPERVESGIPVEDEKFEDEVLPPVLDLF QPLPDIVGSQAEGDPLTTRALVVGVILGSLVNASNIYLGLKTGFLFGASMFGAIFGYG IVKLLTKMFPNVPIMGQPFGPKENSIIQATAAGAGGLGGLFTAGLPAMYQLNLLSEDP SHDFSKMVTLTLICSFFGLCFAIPLRKFFIVNMARELRLVFPTPTATALTIQSMHAAS SEGAQAIRRIKALTFTFLGAFIQRVVSYYAIGILYDWHIFTWFFIWGNYNNAAIFVEN WGWSIEWTPAFIGSGMLVGMNTAVSMFCGTLFAWGILGPILVHYGVCIGIAMAPESER WHNVVSFSQMTGVDQPGYVPSPRYWFLWPGVAVLVCCSLAELLVHWRSFYYGIKYAWK NSSWDFMRKKGGRSRTYLSSQSSRRRPSMFNENVFTHEDPAKEKDQVPTWVWGGGTIA MVVVACIISEVQFNVNAGLALLASIFAIFFAFLGIHGAGVTDIAPLTASANAAQLVFG GITSNQGLAVPQQQTVNLVAGSIASGAAEMSQELTSDFRTGYLLKTPPKKQFYAQALG AVAAMFIAPGVFILFTSAYPCIIHPDEYANCAFSAPSVTAWRAVAQAVTEDSLDIPPS SGIFACVLGAIAIIQVVLKHMYLKGEREKYRDYLPNWMAVGVAFVIPQTVYSTATLMG AVVSYAWWKRRRMSYETYCYAVAAGLIAGEGLGGVIGAALQLGGVGGDVYGTQAGCPL DSC PFICI_10744 MEYTSGRKSALAALGLVLLPASMALPGWPRSGTIIQGDAIQFDQ STWEENLERPNATGSFPITGFDISKAFTSPPQTVDGWELAVNVTSSISDADTLNPGNA TGQVYTGTSIYLRAPDDVIAALDPDTAADNTTWKICVTVMTGGPGEDDTSSADNGTCS SLSSQCISDFQDAYADQFAKLQDCYRQPSIPDSCGDSLSQANLTTQQYALDHLNGTEV FVTASGPHDGDDNTAYDNAVKTVWPVLVVWGWNTRADVSDGTKPTVQLTCARANHTGD GSDSSASMASRSHGPVTITFAIAVLAAVILF PFICI_10745 MANIMYHGNCHCGAYRFEVALPKITQVIACDCSLCAKKGHLWVV PSPSEFKVTRDDGKLVKYRSEALHNQFCNICASPVLGEHVAGPLAGKLAINARTILEI DPFEFEVANIHTDDHRETPSTVSSDGTGAIHKGSCHCGKVLVELLTPLEDELSEDNCS ACRRVSLSQRKSVDLSACRITAQVLTYPTKEQVRIDGKEHTLEYARGNKWTAYAFCGT CGVSMFVENYGPPEGASFFDRVPAERREFVLSVYQKNINSLPVQVRSLDSVDLGSLKV QRKNTGTEGYVLDP PFICI_10746 MSKRKTAYWMKRLECPVDENANYRNTYWCNRDLIPIPEDRRTWT WQGFAGYWVICGINTTAWTAGSSLLSLGLNAQQAIGCVVGVALISALIAVLAGWPGSH VYLGFTVLSRASWGMRGGFWPVLNRIVTACVWMGIQAYWGGQAVKIMLRAIIGPAFVN LRNTLPESSNTDTASLISFFVFIVIFLPLPMIPPEKLQLPFRITFVMITATMFGMLGW AVSVAGGPGVLMSTPATLSGSALSWNAVFGLQSIVGSQASGCLGQSDWTRYARSPNSA LFGQFVTAPIFIVVTALCGILITSGAATIYGEYIWNPFELLLTIQDHSMTPAARAGTF FAGLGFLTDQLALCQMLNGVSTGMDMAALCPKWINIRRGCYILTVVAIAICPWNYVTN PGTFITVLSGWSVFLSPMTGIVVSDYFMVRRGEYHVGDLYLGDHRSAYWYTHGFNFRG FAAWILGMAPLLPGFARAVQGTSNNNGWDHLYQIAYFYGFITSFTTYYLLYLVFPQER QRGSSPFMLEMQPEFITGVDGLGDKRKDIVVTGEKGVDGVKPGV PFICI_10747 MASLPIIDFGKWRNGSTEDRQQIAQKLATACKQVGFVYIINHGV RDDLLDDAFGWSKKLFDLPEEKKMLAPHPPGPNVHRGYSWPGLEKVSQYIHKEDQDSD AEDEELRKVQDCKESYEIGSEDNAEQPNVWLPEETLPGFRRFMTAFYGHCFDTAREIL RAVALGIGLEDEDYLLRFHSGDNNQLRLLHYPPVETEKLSSNALARMPAHSDWGSITM LFQDDCGGLQVEDPNVPGTFVDATPLKRALVMNVGDLLMRWSNDYLKSTLHRVTVPPL QASKDGARLMTRARYSIPYFVSPDSKSLIECLPVCSNLENPAKYPPVIQEDYRRLRAK LQYPETKAKTVAVA PFICI_10748 MSQRVASKDLANDEEDTTSSSDEEDLYDEEEVLGFVLRSERLPP EHQLRHDHVRSCVVAGIRRNLDFAKSRPVRKLCASKADEFPMFARARNARLIMSNKIP RMNSAESYPYCIWYPDLATEQTYADLAKQYPAMRYQVGRACAVAGYNELYKSLELLPD VSIAEEAQDNKTSGGEIFDLIMASPVKYAVMNDYERTINLENPHAAYLNNDAAVRSKF DLRDPDEEGTLKGHWATMHWDITEDWLRQDINSRIEVDDPPLQPEYAHLLYSPLPLDL PPINKDLLILTAAYEGNVDRYARLRRPHLIQDEAFCVIRGIHHSAAFARWWSGELAQG LPERCGSGDHPSPYKIRNISMAINARYIMSNDIARISNAPKNHLPYMIWYPHRPHQST LQEVVRVQPEMKQAVAHACIVCNYRRLWDKLRPDPHPVLYREAKQCAEPYYVADLEAR AKEQGIFLGGEMPRCHYPQARALAQDKEPTSTFLHGLASTRLIDTIGEADFTRQIGFY GDGSLLSVTRGRVDLFICATENARAVAARQVGGWRMPLDWVEEEHDE PFICI_10749 MAPGGGHNGTEGFGQYLQYSFDSDLVRINNSAYAGRSARTFTRE GRFQAVADKIVPGDWVVIEFGHNDDDGKGTVPANDTKSRVVCPGMGNETCIGYYNNQT EIVQTYVTYLQNASSIFLSLGARVIISPPTPTNPYLTANGTFEWTPTKYSYYSWYIVS SLGGPDAGIYFVDHSSYNAQALQRLGADIVNAGFPMDNTHTSPYLADIFARAFILGLK CGTSPLQDHVINATSRIQSPELGGCLSVNDTLPI PFICI_10750 MATEDARYRASSQFRLWSFTPSQLSTLRTDSNNLAKTAISARLQ GSNPPVDPLPEFLTPAEELSLLTFYTVELLRAAAFLELPTEIRATAAIFLRRFYVTNS IMTYPPTELLKTCLFFGCKAEGYYMRLSKFADKFPNTSAEVVLAAEYVLCQGIRFAFD VKHPFRALEGTIMELRRLGDFEQSRIDRGHARAREILKFSPIVTDAYFHYTPSQIMLA ALAMADEGLAQRLLRDAFAGQGEAAKDQIWSVIQTCRGMLEKEPPERMTEHWGSQETN KAMKPLVKKLKKCRDPDRVDLVALQKARREQAEKKKPKPGLKDDAAVFGGSLGEPDAK RRKTINADDPFGPPIAL PFICI_10751 MSIILLQCLALGGIVVYATLILVRPFVSPLRSIPGPFVTRFTDL WYLAKIRRGDFELTNKRLHDQYGPIVRYGPNRFSFATLDAGKIIYGHASPFPKSSWYS AWKSPGAWTLFADQNEKRHAANRRLLQHTYSLSSLVTYEPYVDECADLVSQRLVEMAH GGINFDLRHWLQCYAFDVIGSITYSKRLGFLDRGLDVEGVMKAISNMAAYASLAGIYS FVHPYIFPMMNYMAGSKGMGRTYVQSFTKGCIEEHQSNPKAFDSDAADDGSGKATDFL TKFYAQHTRIPDTFTTTHIMVACVQNMAAGSDTVGNSLSAIIYYLLKSPPCLQRLREE LDRYQIVEKKVKFKDTQSMVYLQAVIKEALRLHPAAALPLERVVPEGGATISGRFFPE GTIVAINPWVEHRNTALFGNDADEFRPERWLTDDVDKLAIMNRHSMPFGSGSRTCIGR HIAMLEICKLVPRLMRDFDFELVGKLARPQSSWVTTNHLLLKPKDFLVNVKLRQT PFICI_10752 MAASISELPPELLNLICNYMHTKQEIANFSQCSRQFRAVAAPHL YRHIDLLSTSVFNHALRKDAVSHRVEALVRTFLRDRNLGLMVRHLAIRLPEVVQNNGR ALTPLDPQCEDVVKAILPDSLDNSLLAQTGIVGSTSSAGSVDSGSDWEEANGSDWEST DESADEDEVEILTNHNESIPVFETEADRWIYLAARSKAIRGNVMFTILLSCIPGLATL DLEMPSDGWRTGFLDRALQRDMTHHNAPSQPSPSSFLANLRHICFGYTSPLRRGESWV GPFVLPSLEQIYLHNLSSLGPILSFVRPQSLNITHLELRDCRVPATSLIRLLSSPKAL KTFIYIVGEEKTREDHFAPISYRSLRLSMEKQKDSLEEIWLDYPHDYHWGNSTSQNTA PMGSLTAFTKLKHLRIAGTYIFGFVWTSNVDERRLVRALPEQLETLCLCHADEDDETI DGITFVLDAKKTGRFPELREITLEAGAMWHFGNRRDLAPVLELAESVGVYMKLIDNHS DQRLEEMWERTHAALHFMENPTQKSRRESPWGFYREKSWPVRVSGIMQMPQYRDITEN TRVVRASDDSDDS PFICI_10753 MALRPLRPRAWRILVAFAIISFLYLSFELADRIRKEHAEITILR ASQLASGQEHIRSPRVQQYWHALRNHLRDVTPTVDAVRLIRGSSDADQAFDFDKNPKH HRLDVARLPEEAVNYLRGSHKSFLDITSTMAPGLPYQPGTRGIVTTAGGKYFKMVILS LRMLRRAGSRLPVVAFLDTPKDYDEHVCDELFPSMGAECVVLSELLDVAPPSIKQEKC QLKVFALLFSPFQEILFLDADAFPAHNPDLLFMAEPYTSTGMVVWPDFWANTASHMAY DIADIPVPQLAERRSSESGIMLYDKSRHARDLLLALYYNFLGPRFYYPMLSQGAAGEG DKETFLHAALVLETPFYDVKSRVTVMGRRLNETWHSAGMKQADPREDWQLFNAPGAKF SKGFQLGPIELKKFKRKDSSNNTMTRQAEEVIAKPFFIHNNIVKLDVEQLLQDGSPLF EKNATGSAQRLWGSAESQIRDFGYDVEKVMWEELVLTGCHLLAKTYCGKALQLAEGVL GDTLVGLYPDLRR PFICI_10754 MKYAFAAAALAATVYAQDISTIPSCAIPCIDASRAAVTTCGETD YKCLCDNITAIQGDATTCVISACGATVAVNDVLPATQAFCAAVEAGGDSTTSAAETTS AAETTSAAETTTVAEPTTTAASTVSEATTSASESEGGSYPTTVISSTSYVPTTIVSTS TKAHTNSTASATSAPSTVVTAGAAVATAGSVGMLVLGALAAMI PFICI_10755 MTSDKTSFAFISARDRWPVIITQAIDDVYRTITQTNDQAKVDEG KKIVEELAKLKYELQHNRQLTPIQDDGKPDIALYNQELEKLGQVAWFNVPWLYSECYL YRRIATHFSLSQHWKSYDIFARQKMNTFRSSRPAVVELAARYKDLVTQIHNDKSKTGP EADEAQKILFYEMMEICLWGNATDLSLLTSLTYEDIQKLQGSEARKASEKNILINDLD KAFDVLRKARDEGKKERRVDIVLDNAGFELYVDLILAGYLLSAGLATNVVLHPKDIPW FVSDVLPGDFAALLSALANPKNFYETPSDDEKHQDKVPEPLGEKEAGELSFLFQEWAG FHAEGQLTIRPNAFWTHGGSFWRLPAEKNLVEDLRASELVIFKGDLNYRKLTGDAAWD PTTPFTQAIGPLGPGSGLNVLALRTCKADVVVGLKPGQDEEIRATEGGGGDSGARKWA WSGKWAVVSFSGGK PFICI_10756 MIAGFSCRTVRLTSIGRRHCTTNRITAAVSSATTTAATGRPASQ SPIIAASFNSWQSRSQARFYSSSSSSPPKKKVAELNKLEAKMSAGKDYYLTLSCPDKP GIVHAVTGLLASQKLNILDLQQFSDRTSERFFMRVHFGHAESTAALDAPLAELSKELQ MDYDVRATSSKPRVLIMVSKIGHCLNDLLFRTKANQLGIEVPIIVSNHPDYRPLAESY GIEFHHLPVNKDTKLEQERQILDLIKKHDIELVVLARYMQVLSPGLCEAMSGKIINIH HSFLPSFKGAKPYHQAFDRGVKIIGATAHFVTADLDEGPIIEQRVARVDHSLSPKELV EEGSNVESQVLAAAVKWWSEKKVFLNGHKTVVFN PFICI_10757 MLKTALASSLLLASNVAALDAVIVKGTKFFYENGTQFFIKGVAY QQDTAAGGDTSSTTDTYVDPLADEDNCKRDVPLLAALGTNVIRTYAINPDSDHSACMQ LLSDAGIYVISDLSEPSLSINRDSPAWNVDLFDRYKAVVDDLSQYDNVIGFFAGNEVS NNASNTDASAYVKAAVRDTKNYIAEKQAAGGRWMGVGYAANDDANIRHNLADYFNCGN QSEAIDFFGYNIYSWCGESSFEASGYSDQVDFFSNYSVPVFFAEYGCNTQGGAEGRTW DDTTALFSDEMTGVFSGGIVYMYFEEDNDYGLVELDGDDASTMENYDVLSSKIAAATP SSTSMDAYEATNSAQSCPATGDDWQAAEALPPTPNRELCECMYASLTCQPSADLDTED YGDIFGYICAQDEDACSSIKHDESSGVYGPYVGCNSTQQLGAVLQAYYENQNSASTAC DFDGSATINSEPVSDDTCDALMEAASSSASVAATATGASSTSGSSSTANFAAPVGMDR AFTVGDFAIGLYTLVAAAVGVGMIAL PFICI_10758 MVPSVPSPSSPPTKRKTGSSGTGGTGRSGRASSSSTSGSRSSAA TASSSSSSSAQQQQQQPEYVQQPCASLIYAPEYNMAEGSMIDYANPCATGGCLVSPGC TSGSCRLEDLGGRWICCQCYRGGNTFRWCAHPMKKVPDTMCYHVVCENCCADS PFICI_10759 MKARTSVRYSQYSMQAPSVATTDSAHAEISNIGTGLERMENKAL TKQRVELSEAKTAHMSKLALGAKLERALDRRMTSQDAVMRPRKASVLNEKTAVQA PFICI_10760 MNAQQPMRQAPAPALPPVDEIIEILPLQIDPQTKAISAPSLASN KALQAELAALNTLHRSLLTLETPSQTPPPPIPVNPKRSAQVTKLRDSGNDCYRKQKYA EAIKFYGLGIDMALKRPYWEPQQLVREEIASLYANRAQAHMGLQSWAEGAVDAEASVE AKRVGNAKAWWRRGRCLYEMGRLDEARDWVSRGLEMEGEEAELKTLLSDIKTKLAAKS S PFICI_10761 MTAHIHPRALQNSFGSHSGSVGDIGLVFDKTDTCSPLENWPDHA VDPDGKLYKNLRVHYLNLDRKHIDEIEKALSRFKELGLDGDEISRENFVLPAIGPWLE RCAIELHRGHGVVIIRGLDSTMYSDEDNTMIQLGVGDYLGQKRGVQSSKGAMLSHIVQ KKSWTTPPERRHGIHTNVSLPFHTDMGTDILALQVRQCAKVGGETCVSAVRAIYNDLM ENHPLVVQALAQAEWPVRRLKLQVIDRLDYSSTKGFIRCPLLTYHRGNLMFSMDPARL GPREGNRAPPLTGEQEAALMILQTVARKHQVKLDHRAGDLVFLNNYTVLHAREAYQDG ESTSRHLVRLWLHNPTLGLSIPDGFHLIWDCTFGERSKKIINHSYPVIPMPLYIESKV G PFICI_10762 MATAADGSVEYWPCWHQASRLMLPVVTTGALLSSVSSAPADQEI GIDVGYQCEPTMGPGGRSNLLGGETRRAMPNAALQPPVYTTVPYQYVSDFAQVPTAFI PNGTPLCVPSSSAFEFCVPVMRYQPLDPGWHCQDMPSHQPFTQGNQNYDDLPNEPALG DDGSATMSSPHSDIPLPGDIPFSGFDGVPYQHQHYHDLSFIDFPLIAMDDNGFPVYQP MEEFLQLGDDSDHQYSPVIPFCMCPEWPDSSQSSVDSLSSIQDEPYQMIHPVLPVESD YFRHEFTEEYSTTPPSGPSRNSSRSSRTGETRNTGGRSGPLDPSAREQASKTRQIGAC RRCSWQKNKCEPGPDGTNGPCLPCFKILTNDSKKVVHRLPCNRSKLTDAVMFRTGGLN FTKRRGWEGAAMMDMRPDDWADDSIITIRLTLGYCDQPIELQVRRFVPQEGVDMISRF WLDPHGRQQTTKIAPYALHNIKAHRAVLVSHVMANAFQAIKRYAENKTVHPIVTETYK VAWDHMRAVDYQSPSSKVKAKEFMEDLFKLWFAMKNTLGSAWLLGDPGQRETLYMSPD ERDGHPFPDKISAPRLVCQQFDAINYCSMLNPWRTAFFKKLDQIMAAKIDPKMLYTVY LAIFILLHEVSNTSKDRYWHARHKKDVQRRYDMELFMEQLHDGANQLLYAWHYYKCGF NPLDANPNDANPLDADWVKVTDERTTDIFNHMTTDQARVMVHHAKESSNGTVPETRAE RKGWNGLVFNPQEKSPLIWERDMHFVSQMFNDDWQHSRVWSRAIDHGQPQRL PFICI_10763 MSTAELRQRPVAKDDVPAPNLAAGITPSDDEKKKEAHPSGKAQH GPIFSALRALTFGIYFATSCIVCVIRIHITQILGCPLYLLNRDFYYAYMALTKQSFGL LGTTMTHWWAPTKIRVSGDASVAGQLSITPDGRIECAFPERLVMIANHQIYTDWLYLW WVGYTNNPAMHGHLYIILKESLKYIPVIGPGCLLFGFIFMSRKMATDQPRLAHRLQKL RKKVISPDGEEFLNPMWLLLFPEGTNLSNNGRAKSAKWAEKTGVKDPRHLLLPRSTGT FFCLNELQETVEYVYDCTVAYEGIPRGGYGEEYFTLSSTYFRGRPPKSVNFYWRRFAL ADMPLDDEEKFSKWMQDRWYEKDDLLEQYISTGRFPANGAGIKGHIETEVRTRHWWEF IKIFIMLGAFGLTFRLILQALNSLQSWAASRVNN PFICI_10764 MGVHSLSYPASAGSNPTSSRDVLIFFISGNPGLIDYYSPFFESL RTLIDSCPDLGSTRFHIYGQDLAGFGEDDHKPFDGQNPPHDVEYQIKNSYDALSALRI EDGPKKSQPYDDILLMGHSVGSYIALQIFHRHLQDASAAPHVHLKAGVLLFPTIEHIG RSRQGRQLDLLRRTPVIGPSAHAVAQGFLRLWTFGALQWFVGKVLGFPPHAAAVTARF LKSRDGVWQALHMGMDEMKVIGEDKWDEELWEIERGIDQQQQQKQLPPKFVFFFGKND HWVASHLRDAFIERRQKHTERTRLMIDEGNLPHAFCINHSEPVAEKVLLWVREIYEQE PFICI_10765 MAAAKTFNVGVVGYGLSAKVFHIPFIKVTPSLKLHSILQRSPKA NDSAPADYPDLKHYTTYDSFLADSDLDLVVLTTTPPTHFEMASQALNAGKHVLCEKPF VPTSAEADKLVALAREKSRVLCVYQNRRWDADFVTATKLIKEGTLGRIVEFETHFDRY KLEKPTNWKGTTKVSEGGGAVYDLGTHLIDQVYALFGKPASVFGKLINSRDGVIKAAE DDSDSVTAQLFYNDGMVAHIRIGILSVEEQQPRFWIRGSKGSFRKSNLDTQEPELKDF NEKKPNGRSPADENFGVEPAEWAGKLTTFGADGTTPKEQSYPTVKPPTYKEFYRLLAG SIASGKEEDIPVPAAQASEVLKIIEAVRESSRSGKEISLN PFICI_10766 MAAIRSNLGMRLPQSLKRGFATTACRLEIRSVDQLPGRITPRYN NAATSSLLALEWPEPPRNVLIVPKLYAPKVIKSAVEFVKHVNAEYPNINFIFEHRVAS TIHDSLPFPIYTSEPTHFPAKIDLVATLGGDGTILRAASLFSLHTSVPPILSFSMGTL GFLGEWKFEEYKRAWREVYMSGSRVAVSDLQGPHTQAANGRHGYDEDTLKNGWAGFKG RSMGATRTSKILLRHRLKVGVYDANGININEHLMPTSTAALHQPLPPVPPAVKELSKS GAPVIPDLHAINELVIHRGPNPHLAIVDVYVGNRFFTEAVADGILISTPTGSTAYSLS AGGTIIHPLTKSMLLTPICARTLSFRHLCLPLNKKVVLKVSKKNRGRELEVSIDGKRR AGVTIGMEIRVTGEVVAPDATGKWAGGVPCVIRSSGQGDEGDDDDGWVVGLNERLKFN YPVG PFICI_10767 MTVDTADEAPPITFDGLCSVESTDRLNLIDKVRANGVGGIISLP QLVVCGDQSAGKSSVLERLTKIPFPRSDSLCTRFPTEIIIRHTSGARQVIATIQPHET RSADIQESLKSYQRNIDDFSELPSVIKEVSKLMRIRGFTSEEECGGAFASDTLRIEVS GPNGLSLTVVDLPGLISVSHEGQTEEDVAMVRRMAESYLESSRTIILAVLQASNDVAN QGIVQLARKHDPDGQRTVGIITKPDLINAGTEAKIARLANNVDNIKLKLGFFLLKNPS PSEIGLSGDAQCQLESQFFATAIWQSHCLDMTRVGISELRTFLQQLLDRHIEKELPKV QNEVKQLLMATDTRLATLGPERTTALQIRGFLTGTSMRFHGLIIAALYGNYQGSEARF FERDANRLRAMVHQANETFADYMRTNGAKRKLVEEKEDQPNQEDESEIILATKTELSQ WITERYTATRGRELPGNVNGVLLSELFCEQSSRWGQISENHVATVTQIVTDWMREALA LVAEEEHVRNQISSICQKSLNSAIRDSAKELRKLLSDEKRPPMTYNHYYTDNVQKARN DAGAKKWQQAVKAAQNEEWSGKMNINMKELAKFPRFFASVNVNMTQTACADAETGLTS YYKVAMKIFVDNVCRQVLERHILRSMSELFTPTTVAQFSDEELLRIGAETEGRRRDRE HLTQRASALRKSLQDLRGYESRQLAL PFICI_10768 MKSTMVLGLVAAVLPSITAAWSPLDLFQRSAEVQVQKRTLDPAT SNTEGKCPSTYNCSASKTSKSIQAAECAYNTRTSSQTFAVFKTDHQYDDVEGAPYGTC SAYSCTVPTSSEMTDSDSDCWTFFWDDSGESSGVGTTCIKDPSSGECGCENSDGTFIV GSDSCT PFICI_10769 MDVALELLDPLVLDKVYAYVHPAPNSIANSTSAFETTAALTAED GPVSAWPRDNIYRQIISILVLTQLGACMLYWVFSALSYYLIFDRRLEYHPRFLKNQVR QEIISSMKAVPFINLMTLPIFLAEVRGHSLLYTNVDEYGWGFMVLSTVLYMAFNDIAI YWIHRIEHHPSIYKYIHKPHHKWIVPTPFAALAFHPADGYVQSLPYHVFVFICPMQRY LYLVMFVLVQIWTILIHDGDMITGHWVEKFINSPAHHTLHHMYFTVNYGQYFTWADYY FDSHREPRPELDPIHEALRVMREKGLVDEKGNPISQKPKSE PFICI_10770 MYKHHFRKWNLKKRLSYDQVVRIVAHRTLTKPHAVSPSMDKIDI YVRRLPPEKYTHFKRAVASLLAAASKTMEGRSPERHAHPSPLREILNPPEKLQNPERL LRGFRNDITSILRPEESGKRSIGKPLIVMNDRWFHLVDAATTMVKNGHVDYGFGVIAF CMDRGRSFLENAPPSGMVRLCQVLFRVNQTHPELCQSMLRYLYQLARVVLPTSNQTRR TLQQMSSLDIDDLLAVEELLFRFCRDYAKNKICANGSDLKSAEKLRIWGWSGADMSNP VVRKMVSAFEQDSALWYIGIPLYPLRPELSNLHQDLERMSAGEWSELFGAEDIGLLMN AMWQSAPVIEHGHSLQDVATMQALHLCEGLMFQVDNTRTLEQIERNLSNLDLMCPDLT SPQAQVYRRNTGKYSRMVVANRIKVAKEANR PFICI_10771 MSVATPEVSASWSYPPFSQLQNNTDCNITTDYIADSVLLIAHGQ YLTNRKLGDTGLLTDWLDSLVPTGYGGLPVTDPDGNYSSLNDALLHEELLLLWYYGVW LNDTALANLSETETTAYYEIENQTNNFAYSCINEATREKMICDKLDIQGDPDVSGRGM MLAYYILIGLTIVYFFTLIFDRTRLPKTKPTQIVRSNTFIERYGHRAITALRQTVSSF LVAALVFATAMLGATVARYYAYLRDRNDVDADDVSFYTWLGSAAMSSFCVFPCLVLQT VTDISLSRYSRLFLWCAVISLAAAVWALSIPSIDHFFELAQAYGRYETWYYSNTTYEL SQQDWDLLTNNDTMYQTGIYRAMIWENHCDTSKLKDRLRILLTIGLCIQAPGFIYCLF ASLAAIISHSHLPPLSWLWKHIEPFSEPIRRVNRIARPIIGFIYLVAALVFLESFIEY RNVAKRLAPSTDTDTDWSFGQILALAQWAPRNEHKQEHKTER PFICI_10772 MERLVAQNEIYNTLVLLTKAEDDLDKELLLSFLEPDSEITFDIS GHLDNVASSQVTPIQYWTQTVELLSGFTATQHHIGNPIIDVSESLHKARISVEVIAYH CIQDGQDTRSVTARARQIVHMDRSNGKWFVKCMRIDRKIPLDNPELYEVARD PFICI_10773 MADTELKAEEPVHIESRIDPKCDLSALNTEDAEFLASFTEAQRK RVDIRLIPMLTILYLISFLDRSNIGNAKIEGLDTDLQLDGVKYNIALCIFFIPYILLE IPSNWMLSKVKRPSLFLGAMIIGWGIVMTLSGLVQNYAGLCVTRLFLGAFEAGFFPGA IYLVSAWYLPNESQVRIAIFYCSSATAGAFSGLLAYAIAKMDGVGGYGAWRWIFIIEG LASIIAGVVAMLLMPDAPGSSGYFLGPEEIRYLQVRQLAVPGRRHHGQGEGGHKFDWK NLVKVLKDWQMYLLAIVYLSSTGPNYALKFTMPQIIKNMGYQSSMAQVLTIPPYTVGV IATISSAMLADRITWRMPFAIVADLCMIVAHAILYVFGPTQKQHIPACYFALCLACVG FYPIPPTVNAWLISNTAPQNKRAMAIGYFVGLGNIGGIFGSFIYRDSEAPKYPSGYAT AFSLACAGIVSSLILEFCYKKINDKREHVTEEDVRRQHSEEALEEMGDRSPLFRYSY PFICI_10774 MKPTIAIISIGEMGLGVARLLKFHGYRVVTNVSDRSEATRERTK THSIETLPNDLEIVEQADYVLSVVPPRDALNTAKRIQAAVEASPNVGSNGRTIEFLDL NAVSPQSARLIAAVFDNTTGVRFVDGVISGGVPHEKSSLDGTEWHCPTLLLCGPNRVS DPDLTRILNMEHMSEKIGAATAVKMCFGMTTKGFIALAIQSFTTAHRLGVLDELQDFL GRYKPATLKAAQDGLVRMPHTAYRWYFEMLEMSETAAEYGGFDKTLFEGVAECYRLVS EDTILGSELPDARVRGKTVEDVVALISEGIDAKKSGQSTSSV PFICI_10775 MSRKETYFPAFPGHPRPFISIGLPFAEACRQHVTNTFKSKRVYI IVSKSISQTSTFAVLRDELGDAVVGVRFGIKQHVPWQDVLEVANDLRRLSADLIVTLG AGSLTDGAKVASFAFANSAFTLEDMAKLHIGADPKPDLESLQACRLPIINIPTSLSGG EYTPRGGATDFRTHQKASFQHPSIGASLVILDPAITVSTPERVWLSSGIRAVDHCIEG LCSIFFDGPADEATKETAEDAFTDGLRLILPNLLRAKHKPEDLSARRNCMLGVIGAAK GLKAGVPMGASHGIGHQLGPLGVSHGETSCVLLPSVLRYNHRHGTASARERQAKVLHI LQDLGLDLLKRTDETPEASNLGDAMEAIVSGLGLPTTLDEVGVGNEKFKELADNSMKD RYIPTNPVTITHPDQVLEILQMAARP PFICI_10776 MAPAVKILDLNNTSQLYHAPATIIPAGARTVHISGQPGANKAGI VPNDYESQIHLALLSIRKIILASGATVKDIAKLVVYIVNYEPKQRKHRRHIERFLKGH RPAMTLVPVAQLADPTWLVEIEAVVVCPSEPPSVPRPLHLEGQKSTDVVIIGAGLAGL TAAHSILKAGFSCIVLEARDRVGGKTWSQPMADGNGVLELGAAWINDTNQTKMIALAR QFGLELIEQNTEGNVAVQDADGTISSFGYGDMPKFDAASVNDIVRIRDMVEADCQALD VFRPSDSDLDSVTFEAYLRSRGAKDKALLTATTWTRAMLGINPSDVSALFFLNYCKSG GGLLNMRSDRKGGGQHLRIRTGTQSFAQGLAATLPSELVRLNSPVKEVLQSGSGHVDV VAGDGASYQASKVITTVPSPVLKTINFVPPLHPVKQAWADASGYGYYTKCMAVFKSPF WVQKGFCGLAQSFIGPAAVVRDTSSPADDKHVLTCFMAGPPGQEWAKLAPEARDKALL AQIGKLYQSEDIVQQEFVETVMYEWVNDEWAGYGCPCTSITPGTLDTFGPDALRLPLG NLHFAGTETAGEWKGYMEGAVRSGERAADEVVEDLKSSFAARL PFICI_10777 MTKVLLTGGSGFIATHILEILLQRGHEVITTVRSEDKATRVRDA YPNSAITVAIVADIVKPNAFDSVVKTPGLQAVIHTASPFHYNYTDAKAELLDPALLGT TSVLKAIHELAPGVKRVVITSSFAAMLSEETLSDPNTVFTEASWNPYTYEDGLKDDKV KAYRTSKTIAEKAAWQFMQDHQPGFELATICPPLVFGPVLHHLDSLSAINTSNQRFVD MVRGAWKDQIPETGTYLWVDVRDVARAHVLAFEKSTARGQRYFITAGRFNNRDLAAIV RDSFPELESTLPDIEITGGGYPEAGIYGYDNAKASKELGIDWMSLEKSATDTVRSLLK GYCPARI PFICI_10778 MSSLKKVPDPNELAPTSSCSPGQVEAGVISNNVRTSTHRGITAR HSQMIALGGTIGTGLFVGSGQALARVGPGFLLFAYCVLGFLIYSMITATTTVSAYLPL PGSSMASYAGRYASKSLGFAMACLYWYSFAIIVAYEITAAALVIDYWPNGVHTGVWVT ILLVVVVGLNLSPVAVYAETEFWFASTKVIMLLALLILSVVLCLGGGPNHERLGFGYW QEPGAWNSYLVDGAAGRVCAFVYALVYSSFSFGFGPELIVLTGGEMKSPRRNLIKAAN TFILRLCIFYILGALAIGVICPSNASGLTSGSSDAAASPWVIGIKQAGIKGLDHVVNA GIVISAWSSANAYLYMSSRTLYSASVNGGAPKIFSRCMKNGLPIYAVLLCSSFALLAY LNVGTSTGVVFNWLINLTNSAIFISWICISFVFLRFTRACEVQGVKDLPYTSVLQPYA AYANIAIFSVLLLLNGFTVFFPGQWDTSIFLTSYLGIPIFLVLFAVHRFMHHQEHTII PSEQVDLRTGMNEIIAVEDDSASSGLKQPLKMKLRAAHQRLLSRTHVGA PFICI_10779 MAHSKARVLIVGSGGVGTLAAYALETGGKATVTSVFRSNYDAVV KNGITIDSIQHGHDIKGWRPSEVRNSVPDVEKEGLEPFDFILVTMKHIAEVPPSVSDV IRPAVTKGRTAIVLSQNGLNIERQVVATFPTNPIISSVSTIGATERSHGNIFHDDADS QKVGAFTNPGVPFEVADEAARRYIDVYRGSGKVEVIYEPDVLKARWRKLVYNASFNSV ATILQTDVSRLRMTRHAIDDLVKPIMYEIIAGAKAYGVDLPSDLPDQVILQDEIDWYF KPSMCQDILKGNLIESEVIVGEPLRDAEAKGVAMPTLKVVYGLLKVLQKQTLEKKGLW EPKFEEDNPYKG PFICI_10780 MVPRRGHRDADYSGTSTIRYWGVFDAGRNDGHQLARSPSPVPDI SPIENYRPDSPYHELRQGKNWLDVDFQIRSASTSSDLEPVLGHDDPTTPVQSPLLGAL ESHEAIAPYSVSPQTSGSSSEESEEPIDAPDNRGQLSLFKACGTMYKSPMPRVVNLFC VVGNPKTPWKLIHLPRVIQSVGDLSITGSVTRIRSALQSALLSISAFCISNDHKNRQE VDKAAYWSNEAILLRGKAIQHLKLAVEHDFRPEAMPRYKDFLATMLSMISINVMSGDT ASCALHLSGISQLIIYAKKWKKKYSIKAQALHRTFFYLQTIHQSTLVLGKENPSISEA GLELFSQPLVLATSGPTLRDDVVGDEMSPGSEETLRQMVTFQGIYGVPQSLLFILSKV IRLIHSLDKVRRADQSVTIPASMMLECDELEHEIMTWTAEALPEDHPIRTTSINAEII RLTTHAFYNAIIVYFAQNIRLIGHHYLRQFVQEVLESIESIEDIKAQSKTLAAPLFWP AFIAASESFDEELQLRFRNWYRRVEVYGFECPRTGIEILVKIWQSGITKDLRTTSRWR GEMMKMQSVLMLT PFICI_10781 MSTISTIAAQHASLALLSDVPRQPQVKGGKDAVATGGYDFAGIP EINDPYKKRQWQLEHMAGAFRVFARKGFTEGTSGHISVRDTVDPTTFWINPLGVHFGM LKASDMVHINEEGQVIGGNKTAVNAAGFAIHSAIHRARPDINAACHTHSKYGKAWSSF GRPLDIISQDTCNFWGIQAVYNDFGGVVLTDEESQRVVDALGSKGRVLILQNHGILTA GGTVDEAAYLYTLMERSCEVQLLVEAAGLEKKIIRDESAEYTAKVNADPETLYTEFQP DFEYEIWKSKGELKKDF PFICI_10782 MANRGRTLDGQAFSMKDLERLGSAKLPIAYREYYNEGAMDLITL RDNENAYDRYKIRPRVLRNVSNLDPTTQIFGTTVSFPLALSPLAMQGLAHPDGEVATS RAAASMNIPMGLSTYATSTLEDVIAAGNGNPYAMQLSLLKNKDAMARMIQRAEKAGYK ALLVTLDCARLGRRLNEYRNAFVLPRGLEFPNMFPGVDISTMDDGDDRMAYDDSMEWA DMMKFLRDTTKMQIWVKGIYTSDDVDLAIHHGVDGIIISNHGGRQLDSVPATLDTLRD VAGVAKGRIPIGIDGGIRRGTDVFKALALGADFVLAGRPLIWGLSYAGEAGVKLALDM IYDEFLIAMALAGCRTVPEITKEHLSLLEPNGILAKL PFICI_10783 MLVELCPWEDALVVASVNGQIEALQDELDKGRNVDLRDVEHGRT LLIWAAENGHKDAVQLLLERGAGVNKRDLQGRTPLLSSAASGHEDITKYLLAAGAHVE ERDVSGRTSVILGAQACSGRVVEYLLEAGASVDVQEWRYGRSALSWAAEKGCEKAVEV LLKANAEVDLADSLGRTPMSWAAMSGHADVVSLFLKYNANAKAKDNEYDRTPFLWAIK RGHESVIQVLKDVSPPEIGHGLVLRPTPPSSNTLDDLQELFKAVKPDFDWRKTQGGDL LIWAIKEDREEDATFLIGNGADMNAQDDEGMSVLSHAAYKGHLSVVQLLLASKVDPDS EDELGRTPLSYAAEGGRNEIAKLLLERDVNLESTDDTDMTPLLWAACMSHEDVVATLL EKGADPDATDRNAVSAMSWAAEEGNINIDIIRRLLEKGASPDEGMEASPLMRAVCSGD MSLIKLLLDNGADPYSELYDVCECPPLMLAASHGKKELVQIFLGMDAPSAEVKKEHSW KALEEAAREGKIEVVKLLLEKCSFEEEDWKEDGPIWYARMHGHRDIVGLLQPYYGEEE PFICI_10784 MKFYDAAAPNPFVVRLFVLERGGLQFDVQQVDIMSLENRRLAYR RDVNPRGELPALRISENFVLTEITAICEYLDEIPKGGKSLFGETPEERAETRMWLRRM DLEIAQPVISWYRNDPATIDFYKGNRLPTPSAQVNEKVVINQFLNLLDDELEGKTWLC GDRFTAADIHFYGLLKMMVMGPASWVLSPGRKNVEAYFARMDGRKASTEALATFGTTV SV PFICI_10785 MDSQSFLITGGCGLQGSDIVRTLRERYPTSKVSVLSRSPTTNTI PGVTYRKGNITNTAHVDACLRYCKPTVVFHCAATVVGTRKHVPDHMVREVNVEGTELL LQSCKAAGVRAFIFTSSASVVQWAGVEIVNANETWPVVAMPDEDNDGNVPIYPRTKAE AEVLVLAADDHDGDKAGNGNGDGMRTCSVRPSVIYGERDNDVTPTIMRTAGAMRGLQI GPNAKPFATTYVGNSTHAHLLAAEKLLSPARGVRDSVGGQAFFVANAGAYTYWDFART MWQYHNHNNVMSANTDQASTAFPPRDADEYNPAKNPQFRVVTIAMAMWVAWAFEWWAW IIDEPPRLSQAAVSIMTSARAYDISKAKRVLGYTEQVDWEEGCRRAATWWVENRKGNE VKEDEEEEEEMEIE PFICI_10786 MDTENPMNKPSGLPRLGASTTSRLPQLHSSSAMSHHGLHEITDS QTNTRSQSAMAPPSLTGIKRGLQGAGTVDTLQHLVFPATCLPQANTVSQPEPKRKTLS EKAATEFPQASAKSGLVAPTPVRSTIKGTSLRDMQSRHRANRKESVIGSSKKAMTPQT HEATVTDGCAQPLPGELSILNRLKRFTLFMSWLSKQTATGSIVSIRLDAAIRGWDTQT GPETLDTAAAAIGSASLSECPLMFPLGRTTSSQTRPVTRSVASYNSNTSRNTSTSSYA SSVGPGARPPSRTAHARSASVRSTAARGNRPITSMGVRAEEPTKNGTDTQQPLAKKPS TNSKVPITSKKVRKYHSVSDMSTAYIQSLPAAREASICRALDTLNFSEGSTASSTTQD PVDSSQETETPTFKVPASVKRRNRQRVDTFGSPVREQRRDIPVVPKTPTSKDLIQQFA RVNSSYKAVTPLMSPSPTKASFLTKGSNLHNFVAWDVDERLGTFESEVKAMKEMISNS INGRMSSENELAAEKQKVADLEQTKNALESSKLELQSKIQDANHKIHALTRELDDEKR ARRNDAEDLKREHRNEIDERLRQFNREKDELERISQQRIEKLKEELAADFAKQLGEAH QAFEELEQLLEEEKRGAMLRSQANGKDLEAQFVQIAQVKKEKEELLEAQRDLKGLVAV REARIADLEKAVTSGQAALIHLKSDEQKQVEHFRIIREEKQAAVEQAAQAEQQAEEYK GKLRVEERRRRKLFDQLQTLKGNIRVMCRIRPGRNDSANAQIETDVGEYDDHIGKMTL MVPIKKYDGEQLVDRSYDFERVFEQQEGNQVVFEEISQLVQSALDGQKVCVFAYGQTG SGKTFTMSAQQDSIIPSAMKMIYEQAESLKDAGWTYQMWGSFTEVYNEKLFDLLSDDG SRNEVQLRQDPNTKKYYVESRATRLGSPAEVETMLATANKNRTVAATNMNRASSRSHC VFTLKLEGVDVDGNRSEGVLNLIDLAGSERVKESQVEGQNFKEAASINTSLSTLSKVM TALAENASHIPYRDSSLTKLLEGCLGGNAKALMFVMVSPLAVDIKETVSSLDFATTVS KAKPGQQGGPLKAIKTGRSIKKR PFICI_10787 MEKYSQFRDRGSGVSPFLPHVTPVSPLTKLWHIIVFSIRLPLFA FYAACYFLVLTHLPLPVVLRKLLLWAMLGIPGIWWVDLQLDGVKRGHLHEQPVERVPQ PGSIIAAQFTSPIDALYLAAIFDPIFTVSYPNTRKVHHISLLGAIVLALAPINLQPPT RKNLTTLRQLREDYPHRVIVVFPECSTTNGKGLLPLSTSLLGAPADAKIFPVSLRYTP QDITAPIPGTYMTFIWNLLSRPTHCIRVRIAEAVTTKSDLPNGYESDDSSDDESREAE EPMTPADRQLLDHIAETLARLARNKRVGLTLRDKVAFVTAWTKGRK PFICI_10788 MAFQTLLRAKAPAALARSCRAPSTQYAQDGADKNTSRLLSTSRP LRAEDSDLNKVSKFITQPKSQGASQAMLYATGLDDADMKKAQVGISSVWYEGNPCNMH LMDLSAVVKESVKKAGLIPYRFNTIGVSDGISMGTTGMRYSLQSREIIADSIETVMGG QWYDANISLPGCDKNMPGVLIAMGRVNRPSIMVYGGTIAPGCSKAGAEIDLVNAFQAY GQYITGEITEDQRYDIIRNACPGQGACGGMYTANTMATAIETMGMTLPASSSIPAAHA DKVKECESVGEAIKNIMREDIRPRDVLTRQAFENAMVVVNILGGSTNAVLHLIAIADS VGIKLTVDDFQKVSDRTPFLADLKPSGKYVMADMMGIGGTPALLRFLLEEGLIDGSGI TVTGKTMKENVEAWPHRFPEDQKIIRPFSNPIKPTGHIQILRGQLAPGGSVGKITGKE GLVFKGKARVYDYEDAFIESLERGEIKKGEKTVVIIRYEGPKGGPGMPEMLKPSSAIM GAGLGQDVALLTDGRFSGGSHGFLIGHIVPEAMAGGPIALVQDGDEITIDAEKRVIDT TISEEEFARRKAAWKAPEARYKKGTLTKYAKLVTDASSGCVTDNA PFICI_10789 MEFDLNLLAEHERTIWDLVAVIFLLVIPNVIVLYTWKCRTILPL VNPKRSYELTSSRAVREFTTSARDIIYSAVNQFGSKPFRVISDFGEVIILRPQQGDEI RNDKRLSFTGQLETMFQANLPGFEPYKMAASSEESLQTLVRSKITRNLAELVTPLSNE CSVVLEELLPDSQEWNEVNLKDIIVKIITRMSSRIFVGESLCRDQEWLDLVVKYGFAS AHAAEQLRVLPRIIRPLMARVLPSCIELQAQVDQAEKYVARVLAEREGSSPGVQYMDA IAGFKEIAQNKPYPAGASQLMLSIVAIMTTADLWY PFICI_10790 MAICNLAPQNHNPSLNRWFKQYSTFGKSTKYLEHLGIAQEAIAM SDTPLHPYSVSGPTDRAIFRLQAEQASMHPRQADVPEMQQQGAGLQISWEEPEETAAK CTTDPMLSSLLDRLSNVEALCSNILTDRTVTDPAVQSTPASSNDDIDNQESEFIIEGV ATDVSLLQLQNLDTPGGHSVQSPISPSGNTLDPLSLLDGAIQKMKDASLENYSENSEA LIFEIPKDKAKLWVNEFFINRGLEMWPNLINEQLMRIIPDLAGLPHVHIDQSAMLVYY NVLIDGALIRSTLAYGDMKWARRIYHHCRNLVSAWDQGTTCTAMDFVAAILMCRTSAA NFDYDLCWELHSRACRFAQNLSLQSMDDYLMEGRMPKDHHAANEDRKGFWQLLALDYH FRLCFDRPPTISGLDYQVNLPSFDIGRLPPQEVTSATAFIVTSRITFIMMDFFKILEN SKSASSDIEGSINNLCSDITNIIEDWQVETWLRQSLNDFNVCWTASDLLLTAYMSIIM MQRKIHAADDSRNEKTIVQSESGAFISLNAARKVLDTINLLMESFTSPWLISWIWGAY QCPFAIASIYDYVLSEKEDSRVLADMKQMLRAEALLKNAVRVHADLTPLWTAFTTLNT RVGEHWTGLRQR PFICI_10791 MESDPGATGVSSHNENKTPSSRSGASSGAGSLTIFDGNEHSVDE HHAQMSVEIMELARRFTNQSQNQGSDLFPMVIGSKLDPNSEQFDARSWAKAFYNVRVR ASEGKALRTTGVAFKNLSTFGFGSATDFQKSVGNVYIEAYGMIHDLIHPRKRRIDILQ DLEGVLHSGEMLAVLGPPGSGCSTFLRAISGETHGFHVSKDSVLNYEGVRPDQMQTAF RGESIYTAEVDDHFAHLTVGDTLYFAARARCPETLPDGVSRHEFATHMRDVTMALFGI SHTLNTRVGNDFVRGVSGGERKRVTIAEAALSFAPLQCWDNSTRGLDSANAVEFCKTL RTQADVIGCSSCVAIYQAPQSAYDLFDKVMVLYEGRQIYFGKARDAANYFEELGFERP AQQTTADFLTSMTNSTERVIRAGWEDKTPRTPDDFAKAWKSSSARAQLIQEVDSYISN HPFDGDHFQNFLDARRLDQSQHQRPLSPYLISYWEQFTLTLWRSWTLFRTDPSVTLTM LVSNIFEALITASIFYNLPADTSSFFKRTLLLFMVILLNAFGSILEIMTLYEKRRIVE KHSRYAFYHPSAEALSAMIADLPYKIINAILLNTTLYFMTNLRREAGAFFFFLLFSFT ITLTMSMMFRLIGSVTKSVAQALAPASIILLAIALYTGFAVPPTYMKSWLGWIRWINP VYYGLESVFVNEFVGRSFSCAHFVPSGPGYADLGPENRVCQTVGASPSENFVDGTAYL LSSYGYKSAHRWRNFGILVAFMIAFMALHLLATEYIASERSQGEILVFLRRTLKRAQL QDTDDVETQAASPLPREYESQPSDTEKIEQQTSVFHWSKICYDVKIKNQDRRILDNVD GWVKPGTLTALMGVSGAGKTTLLDVLADRVTMGIVSGDMRVNDKIRDASFQRKTGYVQ QQDIHLHTSTVREALNFSAILRQPSQYTHDEKLAYVDTVISLLGMEEYSDAIVGTPGE GLNVEQRKRLTIGVELAARPQLLLFLDEPTSGLDSQTSWSICNLMEKLRDNGQAILCT IHQPSAMLFQRFDRLLLLAKGGKTVYFGEIGKSSHVLLDYFQRHGADPCLEETNPAEY MLQVIGAAPGAVTDIDWPAVWRGSTECEAVQMELSRLSSNVTQTITATGNETQVEFAA PFRTQLYQTAKRVFQQYWRSPGYIYSKTALSVGAALFIGLTFMNAENTQRGLQNQMFG VFVFLTIFGQVLEQILPVFVSQRTLYEARERPSKAYSWKAFLLSNIFVEMAYNTLMAV LCFVCWYFPIGLYRNAYFTNTVDSRGITAFLHVWAFFMFTSTFANMIIAGLESADVAG AIANLFFIMMFLFCGILAGPDTLPRFWIFMYRVNPFTYVVEGFMGNALGNSEVQCAAN EVLTFHAPNSTTCAEYLANYLDNAGGYLISGTSEQSCQYCPIQSTNSFLASLNVDFAN RWRDFGFVLAYCVFNIVAAIGFYWLARVPKGKKVKTV PFICI_10792 MFLPREILDAIFGYFAPKSSAFDLSIEEHCANRKTLASLCRVSK HFKAIAMPQLYANVRLFDGDIRFFTTTILANLGTRRLVTRLSLEFMLPGTWDANEVSE ERRQSVPRMDQEQWDYDHCLRETLALLGQNGIATGVGGADILIAEGLELHDQFEEDLS AVLLFVLPELQHLQVKLDPMSDLEDYQSAGYWRCKMFLQALRLPFYAKSQRPNSTNLD IQPLTPASLFNLRELILCSPFEDPGTVKSTWPPIVFGYIEEMQPFWGLPKLKSLTLER AESTTPLRMPKDAVSSVEHLHLVDTAIPPGELPELLKHFPLLRTITYVPADYSGMQEY AELSLDCRRLNKKKIEDDLRSLNSKLEIIKVEIPEVPEWARESDSEEEDEED PFICI_10793 MRVSEVHAGPNNSEIAIYARLLIPGRGKPLPNAVVGISVVDKTI THVGPQHDLPHRLSNAPRFQVGYLLPGLWDCHTHFAGVTKVDFPSMIQTHPATCGAAI ARGFHDTLMAGFTSVRDVGSFAIEAHVAVQAGLLLGPNVFGSGGCIGITGGSSDATNL PLDWVYATQGVHHTKPWPGTSSLVIADGADECRRAVRQQIRRGASCIKVITTGGVMST TDDPQYRQYSDAELHAVMDEAKLQGRAVAAHAHGKAGILAAVRAGARTIEHGSYLDEE VASLMKKYDCILVATRHIVEAGLRRLDRMPPQTAAKMVAISAQHLEAYKLAVRSGVRI ALGTDICSSNVAEEISHGKNGHELVWAVTKAGMSPLSAIEAATATAAETLGPQTPKKG LVKVGWDADLIALDENPLECIGVLAEPKNIKFIWQGAKLVKSPNDSFWPPSLDDLVGW NMIERAECAGCGQSH PFICI_10794 MASKDQVNEKANADVGNGEVLQTRTSTIDLEADKIFQELSFSHA DLEAARVQAQTLTLEEANAILEEYLVQHGEDMTIPLSTIATVRSILEKLQTPKGADEY LDHITRTTAAVLNGNSAYREVRASVDPNDDVDIPVNTIRAWVIGIIWASGLAAINQFF APRQPAITVTVYLAQLFGFLMGKFCAAILPTTVFLSGSRLEFTLNPGSWSLKEQTVVT MMANVSYATPLISYLFFMQRLPIYLGQEWAASYGYGFCMLLATQLMGYGLAGISRRFL IYPANMIWYFVLSQMSMNKAFIDNINVPANGWKASKFKFFWVAFVVAFCYYWFPGTIF PTMTFFNWITWVKPTSVTVAIVTGTYYFNMGYNPLPTLDYQWLATLDPFVTPWFVLVQ IVIATGLWGLLVIIPLFFSNTWYTAYLPINSWYPYDNTGQIYSTSLILGTDNKLNQTA YEAYSPVFLPASVGLRYAGMMAMIPALLTGTFVYNRKMIIPIFKGLFQRDKRSGWTSD VHYRLMRDNYEEVPDWVYLGISLLAMCLGFVAIYVWPTNLPGWIFPFAFFSSAIFIIP IGILCAITGYQTDLEILFNIIGGAVSDGDPIFTFVFKTLGKCLIQQSIFFTSDMKLAH YAKVPPRVTLACQIVGTFFACIVTLGVVNWQITGIDGICDQSVQQRWICSGVATNWTS AIVWGALGPRRLFAHTSMFRFIPMALLAGAIWPIPWYFATKKWPNSFLRYCHPLVMLI APILWAPLNFSNLWGALPISFVAGYYVKTRYVDWWNKYNYVLSTALISGIAISLIIQT LAITNQGLAFPDWWGTTQYYQTCDLQDCRYLTVAEGETFGPAEWH PFICI_10795 MFSSLTALALLSYATPFAVAWDAQRVLSAQHLETEASATGDNWS VREQYDSTCVAGSRHFAGQINVTDDKSMFFWFVESRESPNDRPVVIWLSGGPGASSLV GLFNEVGPCSINEHSNATFSNHDSWTNHANMLFIDQPVGVGLSLLQNGSKYPSNLEES SPDFTQMLKIWYGEIFPQFGKVPLYIAGESFGGRYVPRYAADIVRQKQTWSAGPLQSI ELGGLILVNALVDSNPLSTGHYDVFCTDQPPNLVRFNETTCRAMGAAVPECERLASIC QRTLDPQVCLTAQTFCMENLEKYFYAEVEAHRLSPYDLRRNCPEPPLCGVGVDTENNT GSPTDYVNRSGFQQELGFRTLLDFRSINFDLNTQWALDPRISVPTTSDLTYLLNGGVS QPRDKVDPSSVVPVLVLNGEYDVTCNQPGIIREYDNLPWHRHAAYRAEKAFRTWTWTD RAGKTHQGGVWKGTPQREKGLEFVSVKDAGHMSPADQRGAVTSIFGRWLANGGLLRVQ DLS PFICI_10796 MSETSETSIGYVITPVFDGEEVAQALNVQVTFTGSAALKCDDVF LATPVEIAKVDVGRFDLTSISAHDSLGQLDLIHHVDSYGPPIVFQKWRVPRPTEGPIT MNYTALPRLVNSSTMNAPSFELRQQFGGLLGSGYGFLATPPATNHQLHRVTVSWDLTA APPGTASIWTFGDGSDPVTRVMDVNEIAGTYFMVGKLESFVKDRFGLYWLGSPPIDTT QLGSELFKMFDRMSSFFRDGVDPYRVFLRYNPFPGSMAGTALVRSFMFSYDDDDRKHP RPFKDYLLFLSHECVHNWVHLDPAGADNWYEEGLAEYYSLLLSRRAALFSNDLFRDEL NKRLTQYYTNPLVNKSNEEVAKLTWEFSDAQRIPYGRGLLFALKVNAIIEAQGKPGVS TSLDDVVLSLVDLERAQQLNGLSDYLKAVGDRIAGGQEEAQKLYDEMASGVLVIPPAD SLKSYGLTLRRRDALAWELGFDESKAIKGERIVSNVVPGSAAASCGLQEGDRIVNKIH LDDQKADENRVLDLTVQRGDGEVLYFSFLPRGQQTVERWVYESNDSKDTNSGNNVEI PFICI_10797 MVFSRLATISFLLAAWVGEVQAYFTQSPLYRLPGRAARVRSKPT DESICSGRTGFSGYVDTEDDRHMYFWGFPSENNPQVDPVILWLTGGPGASGVTFRLFD ELGPCLYAENGTTIANEYSWHSNATMIFIDQPINVGYSYSGQQVSTLRDSTTDLLNFL VGFMDGFPEYAKQPFYIAGESYGGSYVPALASRIYDRQKISNHDQVKINLQGILIGNG LFNDVLQRRGFYELACVQEFGAPGKKFLNASDCDEMLVHSQRCEDLSILCKESDGDTV VCGASNSFCRKHIVGMIDRTGRSPYDIRQHCYEDDEGGELCMPPSTLHEWLNTTEVRR QLHVDDAASYIPLNYDLEQAFGNNGEIGYPSDFLLNNLLDKHQLRTLIYVGNRDWYCN APGTRYLADSLAWHGQAAFRALPYAEMFLEPQHAESRSTWGFHKKHEQLSFFEIDDAG HLAPKDKPRETREMVFRWINGEL PFICI_10798 MTQFSKETLSENTLWEVDEMTSVQQSLHRVLSACPESNWTERRL IPFLLCSFTKPLLTSAALELVTTTGKLLQPWLLKQFLSHPSFRVVGYMLCARVITALS STHSLFLLNLVGVRVKSSFAALICEKVLSTSANPKSPTSSPTNLTEVDTNRIVELITM IHALWAYPLQALISLSCITYLIGWQAIVFSSLVMLFAYPILHIQTSRMHVGMGNFMAA KDKRISLTEEILTQIKPVYMLSWQKYLADKLEEARSQELHILGGLAGNQAMLMGVLGA VPELMSSVAFLSVFLKSGQLDAQSIFPTLGYWIILKAALPGISSMLPQLFTCIVSFDR IRSFLGVISSEDCPDSTEVHHGTIRADDLCFELGGQGLLSHINLEVRSPALVTVTGPA GSGKSLLCQGLLGFIAPASGKLTLSGRLAYVPQTPWLVRGSIRDNIIFGRQFESDFYM SVLRACALDIDISEMQGGDDKPVGGLGVALSGGQMSRISIARALYSRAAVYIFDDPLA ALDAKVKAHILEHVFSPQGMLANALCVVVTNHSSLVRASHYHFNIEDGRFCEVKPDLI DISLDNTATRSDIKTHDSIVAEPLAAEDIDSETVMPEDRNSIQQEYPPKDKGKVLEAN TTLTVSQWSIYRSWLGKAHPAGWFAVFVLIVAGKISSGYSTYLLSVLAGDTGSAALHD LAWFTVIGLLQSLFSAAFLMVTYHLCLIPAATKMHAALACSVLKKPLSYFATRSIGSV LNLFTNDIGKLDGTITSNFLALLMVGSNLGLVIIVLLIPSPIALAYLMPLGFVYFAIQ ARYLQVACQIRRLELETRIPIIDSIHMSQSGRQSILLYGQRNRFLADHYSTINNNVRM MVISSALEGWLLLRLDIIGSLVQVLSALSMLWLGLDSAKLGFVMNYSMQATAMFQALV KYRTNLELDIVSVERIERQWEPNIDTKSDDLAADQTIYDAWPRYGEVSLRGYSASYPN MAKDCLTDVSLEISPGQKVAIVGRTGSGKSSLVLGVMGFLEQKSGMIQIDGVDITALE STQLRSRLSVVPQDIPVLKGTLRANLDPSGTKSDDELLEVIQDCGLRVASYNDDDILD IAIAEGGTNLSRGQLQLLAIARALLKSSKIVILDEATASLDSKAENYVHDLFQSKLKH TTVIAIIHRLERITSYDRVIVLDSGRVIEFGSPLALAQTQDSALSHLINAS PFICI_10799 MCKPHMKSRNAKLWAAETGNYSFEDRLLSLPTAAAQGLKCDFSP RFVFRDDSAVIKERVEKIKQSVDGSASETTLRDDEVATRHEANPVGTPQRHVNIEFAP VNDVTRDGPEAAGNQGPSPRPNAPPAASPAMEESNEASYVDIENERAPVTSNHEVGDN SSDLRNASSLGAQKNNPASRRVRENSLLGQVEIGDPVALISLLDQNNSGSPLSPSAIA SASISHSIEHASDHPSSNTPNPYGPFPIAWTPPLLNFTQILSDWRAAEIGYSLSPRIS TQSPTETVELCDPSRQPIILRRWRTHLLPRMAPVLSRIDSFIEKYEVVKYAVLALGAA HMNQSETFGNKIQLESRQSHPEFYADGLAYYSQALESLGLQAREGENAMETTAQLVVV ILCTYFEIGSGTFNGSYYHLQQIERTVISNHETIIKSPLGVELVIAWADLKAQHVTDC LPFRTSEAVSIFASEPQFRADLERNIALTSSDHAFIMLRLCTISRASDLLLLQLTVGC DSSSPLYRSWVSLMKQIGRWKTKSGFAAGNGSLDLYAIMDHERSLLDEWESSLSLSQR PAESFSSKDMMCTNVESSELRVRPLVFSSHHAAMNYLRYCCAQLYASRDNIQYCCNIG TPTMNDWANNRVDPWVMLCLRIAAGLDIHSCLTENMYELGVAWVLIQVSMRSCSIKVL EWIYNYLSKMENSGGSREGRLPINLVKRVLRQVMEEWHKGRVVQLVYTALEAYFEVQE LCDIGAGGLTIQAVMLGRVQRNDDLGRTAMTDCEAFPFLDMVNVQ PFICI_10800 MRPSSIGIAVLGLAGAVVATCSTQFPLPKCKNVDIEDVPVDRLQ QFMVQGKLNSRDLVECYLARIEQTNNYTHSISEVNPDAIAIAEAMDAERTEGKIRGPL HGIPFLVKDNYYTNDKHNTSEGTLVFLGGRYSSEATAVVKLRAAGGVLLGHTTMSEAA DHRALSNYASGYSSRTGQTRNPYNLTQPTAGSSSGSVVAVRINQAAIAIGTETYGSLV HPAAQLGLYTIKSTPGLVSRHGVVTGSYYHDSPGPLARSMEDVAMMLDIMHGPDAYDN LTYQAIGHYPDDGYSAHVVEKKALQGMKLGLPWDPYWSTNAHMNSPGIRQVYEQRIEQ LKAAGAEIYNITNSPFSGLASAYGAGQASSVPAEFAHSIAFSTLLAVGYGDWLQNWTF PEGDERQGMTSLAEMAAWNIAHNSTTGALGNNTWWWDTTSGQSFYDAGVATNGSMDST FWTAFGWGRFTARQAIDQAHAWVLDNGTIIELDGLLVPNGRAGGYSSACAPMPSYAGY PIASVPIGMDGFSTPFAMGVYGRQYGEAKLVQVASAMEDLFKWNEKPEWYNAETAEGP WDAAWPGYTCSTSSLDHYQCAP PFICI_10801 MANTVIQFENWTTPALDTLNFSSDCSLVGDFFTSWFNVEAASLV QVDIPEITNATFWVPATLDENTTAAYFRNALPVDLQAVASFPDILEWEYRLRLNYNAT VSAYNETNPSTHPDFVYFSSVIDQPAHECRSEICQVGFSPDQLSDINGPGIFLFSWFQ TAVLSFYALIVAVKAVGLGYHIHEEQQYNLPPSKLSRPPGSRWHIFYRAIMKSFDGFA DTIIVVNLALPVALAVYYIPGIMSRAHFYKKDLLLAAWVASLSLSSSILAWRTGACTH RITRMAEERKPKGDRDPDLLRSRASTIRDILIFLSFGLTVGLGFLTALNTLGSPTFSF ETYSDTVCQFHYTPDGKNIAIVTGCIVAYIVVRVVVGWLVMRCVFKRLDKSHPIPRPN NQGRRPPAGRLQRIRERHRLIQNDPRRRRVVFIMAVVDVLVFGAATWALLVLYTKFRT EILLDLGQDWFTQGWTLGQVLAIFAAAPIAMGFLRDLREFSLHTTRFFSTERFANMVS DMHVKRRQGVQKSANTLKVTVTTRISILAL PFICI_10802 MANTTCISASVCVPTAAVSAPMGQVFASPEDLVPTAPWRSSLSV FYSCISILILCPWTGLNLNLPAESDSTWSKLVRKAKWCLITICVPEYAAATALAELRA ALASQQLFRNELHVNHWTLAHAFFANAGGFVLEYEPTLPQLLKNKTTTTTKHIVDSAK TALGLPLLAESSDDIEPAPGAQLQRIALTCDGLAILMRLGFITPSSAILDISVQELDD KSKTDGFAKFIAVVQTTNFVIHLVARMAERPWRVGTPPEYVTCIMVLYSIAILAFYWR KPQGINLPIVIKCGRLSSRKLERKMLAKLRKALKVYHYSVNEDCSNVPRIPYGRIQLQ SSLRKLPLTELALLLLTSSTNVLTTIFVSGVLGQPWKKIWSFAGLIMSILWIFICMPR IVGYWLPEAVSFRRTLHVVGFLAMLFYFLCRLTWYIVAFYTVFAAQLPAGAYMTVEWL SFIPEF PFICI_10803 MRINPPVHPQTLGCFLAYVSVKLPIRTMLSKWSLAGIALRIRQA VLRAGPGYTEDVGAFIDHHVTYVDRLVPTAFLNVPDFNFVQSSWVGFALYSLDWGHLG KIQAVRSPSLGVINGLQVILPVLPDGGIEVLIGVEESCLDRFLREPLWNKFASFALAS AVIQGAAKRPLPLVSLQ PFICI_10804 MDFSLEHHKDYIGRPIAELPTPSLIVSLPIVKKNIAALHKDVED LGIDFRPHVKTLKTLEITRMMLGNGKYRSVVASTLAEIRGLLPLVKEGQLDELDALRQ SLRITLMVDNEQQIDVLEAYSASKPWDIFVKLDVGSHRAGVATESPALRSLVERAEKS IAANIYGFYCHAGHSYGGRSREAAEETLNVEITSVLHAASLLSESRDLVVSIGATPTA HVVSAFKHKVPSNIKLELHAGNFPCNDLQQVSTNLVCESDQAIRVATEVCGVYPERNE ALVNAGAIALSRETSDYSGYGRVVGKPDWSPIRLSQEHGILGTTEGEAKVIDNFKPTA NHITFRAGEPSHETPYLTKVENKEWRWKVGSLVYNDPCTIETRLIIFSELKFIPAVPI TMRNLGLTYDEIYRHLLPPNRHCQNLDQEQSEIGPTNLSVVQTGTKKCDEIKPMCTGC SRNQLTCRWPVEIHTRRDSDKSVQGNRTLMDSAEQSSSQATNISFSGSPNNREGSLNK DVPVQSHTPEEVSLHDSVDVCNVQAETPTRQPHQTRLESVEPDSNEPLEFLPSQLGID VQADSESGSHSGPEALPSDTILGSPLPESHYGHLRALELMPMLEMHSIPSSPSCFPGL QTRSFQLLSYYTSRTALSMGNGSTTDNPFITQMVPLMFVNKLILQLVLTQTATHQAIA RGDTLEAVRQDYASALRYFQSAIEDYLSGCEGSLLWVTLGALIMCFTETARGDVHGAI FDHLSASGPLVAQLIIHTDNHIPRAMKNFITEYYVYTGLISMISIDATVCTKPLLDPG VVAEAQRLATSGYVGQLCGTWLPLLLLIPEIASFAGRALSKTVNPSFPQFDDFATYSS LESQILAFCPTTVVDQDVVICSLIYQQAVHLYLLTCLKGYQQDDGAMLYSQQTENSLT QAFTLLRQLGPMARINTSLCWAIAVIGCCITDQEQRAELCGRLTTMFKSIGLGNIRAT KKLLNLVWKQPVTEQSPWFIHKAMQENQMWISFA PFICI_10805 MQSTEHRAVDSEQVTITNIAQQLDQDDKVKVGGIDCDGVIRGKI MSKEKFLSSLEDGFGMSSAIFAWDMHDVLYTQKNSIANEKGGHGDFIAEIDLSSYRRL PFESNIPFFLLRFKIDNVPVFADGRSIARSTTLTLANKGMRGLAGVELEFMNFQTPGQ DGYESLGQRPNLASFLDANAPRALRPITGGMFGYSVSRPLMTKDYFHSIYDVAKELGC PIEGWHTESGPGVYEAALAVSSSERMADNVSIFKFLTKALGVQYNVTPCFMAKPLHGM PGSSGHIHISLTDLDGTNLFARKDKDESAPFDDVAHLSDIGRQFLAGLIDALPDIMPM VAPNVNSYKRLVENFWAPITLSWGLEDRESSIRVIAPPTCKPGATRFEIRIPGADLHP HYALSAILRAGLRGIEREMNIYLPPLSQMPGGQKPDKLPNTLELALARFQAPNSVARE IMGNEFVDYYAITREHELRAWREAVTDWEFVRYIEHV PFICI_10806 MSTTIKTISPSTNKVISERAATTVQQAQDITKRSNVAFESFSKL SLQQRRDIVVKALALVQERKMDLGRELSEQMGRPIAFSHKEIETMQKRADYLLDIVQE ALAPIPGREESGFKRFIKKIPVGPTLVVFAWNFPYLIIVNSLIPALLAGNSVILKPSP QTPLVGERLLEIFHEAGLPEDVLTIVQSGDPNLVKELVLLPEVGLVSFTGSTAGGLAI RETAASRCIPVNLELGGNDPAYVRPDADVKYVAAQLVDGAVFNAGQSCCAVERIYVHT DVHDAFIKELQDELKSYVLGDPMDPKTMVGPVVSRAAKQAIQAQIDDALAKGAVDSTP ENTTFKSASPDGNYVAPCLLTNCTHEMMVMKEETFGPIIPVTRVASDDEAVDLMNDSD YGLTASIWTKDISRGEELQELLEAGTVFINRCDYPNPDLAWTGWKKSGLGCTLGPRGF DAFVKLKSYHVKMDQA PFICI_10807 MVNRLAGKNAIITGAAGGVGLEASILMLQEGASVLMTDVNDATL QRAFAKVKQVVPNHTGNVAAKVVDVSKEANIEAAVNSTDEWGGVDVMFNNAGIMHPKD GDAEECPDSIWDLTMNINVKGVWFGSKHAVRSLRKHGKTKGSIINTASMVAIVGSATP QVAYTASKGAVLALTRELAIVHAREGYRFNSLCPAPLNTPMLQEFLGDDKPKRFRREV HFPTGRFGEAIEQAQAAVFLASDESSFVIGHDMVVDGGLTKAYVTPEGSAIAAPKNQG H PFICI_10808 MAQSPSGASSSSPFPVGQIFSNGLGNEPPLSADNPTIGYKLNHF MLRVRDPVRSLHFYVNLMGMRTVFTWNVGPFTIYYLGYPQSEHHRLDLSAFGKETLPV LAHTLGLMELYHIHGSENEPEGYYSTGNVPPNLGLGHLGFSVPDVATALQRLKRHGVE VIKDLGISTREAIPLSQWEAQRGIGLGELHKSYDDVFKQIAFIKDPACFTHRRLFNCP VSL PFICI_10809 MFLKHFYSGAVLSAVGALGFNSHYNDQSCTSATFSALGLPDIEI ISLNVTVSLNESVAGQNAPGDANIIFAPTGNGPSNVDLCRITVKYTHPGQNDTINTYI GLPLDPTNWNSRFVMNGGGVWVAGTPDVVLEPVSNGFASTSTDGGHDNSLQVSEWGLT SPGHANIVALKDFASVALEEAAKLGKSATLLYYGTRPEYSYWNGCSTGGRQGHMAAQR FPEEFDGIIAGCPAINWDKFPLYEFWPVLMSNILDVRPPACVLQAFTSSAIAACDDLD GVKDGSISLPGQCRFDAMSMVGQTVNCTAPEGTIQITEKMSELVNFIWDGASSVDGQF EWYVNNCSVTPFTVADTWITTFLAQNSSYDLKNMSHAEFDSFFQQSVNQYRSIIGTDD YNLGKLRASGTKMITWHGMQDQLIPPNGTIDYYDKVQKNSHNGNVTDYYRLFLAPGVG HCGLLSTGLDPSNTIFSAIRAWVENGTAPNTIQGTGLAIAPSNTDEIRSIQLCPYPSI LTFTGANPNEASSFSCV PFICI_10810 MPPKRKSDAQAETAKGKKNKRQAVESEPESAGEESSNASGEDVT KRNPYEYYCINRHFFDVENENEDKDEDDQLDEEELEEHYDEMLKDSNVALKPVAEFPD HKWIVLWETWTLICEYRRLATYTNPDMFGMHIYNDFNGYGIQELVENLLTSLDTEFKK KDKDEQSWKKMWATSAGMAHWLVVEQLAPWMMLEDGERLTQTIGLIGRSFLTTLSELD RVQQLGNDTFIKDLGLVMSIYLSWADGLDDCGVEEDNLDWRKEIVAYAKQANIDLVAA GCYGIKSKLEALEDEHGTIEPLARSKKADRWDWKKTFTAYAKSHGSRGKIGGDKFNIL KFSRKQRAMHAFDKKDPLAQFPEKDLREGNIMLA PFICI_10811 MASLRLLYAFFGLILALAVSPASGRCTPSVSSISHSNGTCKNPS QRKAWHTLEDNEKQEYLDAVKCLFNSPPKANITGAKNRYDELHWVHIHQSNIIHGVGA FLPWHRYYMRVYEQVLQTECNYTGGQPYWDEQRDVSLDPSLAAASVWGADDLSFGTAS NGCVTDGAFANTTLHLNQLWGVANYSSYCLSREYDESYWSWANTSYADACMATTNYSD AWQCWVAKPHSSAHLAVGGTLSDQAGSPGDPLFFLHHANLDRLWWKWQSQDLETRLVE MNGQSIPSLSDLTTNGWLFPSSSVMDYDNDEYNTTTLNHNLWMVGIVPNTTIAEVMDI RGETICAEYIEAQ PFICI_10812 MSSGIHHIEKSPASIEDTAKNDDFMQAATEAATTEHTMTVREAM QRYPKAILYSVGLSLAVVMDGYDMSLMQNFFSVVQFRHKYGHSLTNGDYQLSSTWQSV LSGISSVGAFFGLFLAGQLVEYFGFRRTMQVALVFISMAIFIVFFAQNLAMLAAGQLI CGLPWGMFQGMASVYSADVAPLSLRSLLTSYINLCWAFGGFVSVGVLTACLQRTDEWA YRIPFAVQWIWILPIAAIVYFAPESPWWLLRQNRPDDARKTLRRLASSKVSDKEVESS LKLIQLTNEHERLLQAGTGYMSLFKGTNLRRTEIATITWVCQVTCGTWFASQITYFLQ QGGLDEQDSFSFGLGMTALNCLGTIISWFVAIRLGRRKLYLLGLLGMLLSEVIVGCFG IPNVAGLGLVWASGAVLIANNLIYYLTIGPVCFTIISEIPSARLRNRTITFARACYLA AAVGAHFLQAALVNPTAWNLKGRGAFVWAGFCLVSLVWAFFRLPETKDRLAAELDILF EKRTRTRKFKTATVDLRQLLATKTEEN PFICI_10813 MSYYIANLSEAAPGRELLISAAFIAGLYCVARVLYQLCLHPLAG FRGPRQAALSTWWLYSISKSGQAPQVFEELHRRYNTRVLRVGPNEVHISDSSLYHTIY SQDHAFTKDPYFYDAFGTPHSVFVETDRALHRQRRKLLGKFFSKTSKRELQNVLYRQM EKLCNLLAKRSETDSEEPLNIYNAARCLTLDIISDIAFGRSLDTLSSAKGSTFESSLL HALDLAAHSIWDMMYIPQLRALMGNMPLALTNWLGGPAVHFARLTENIKSVVEKFKDL KSAGKSFDHAVVFDDLTELDDTSLIAEATDILVAGSDTTATTLAVALYQLTHIPEVYA ALKHEIRNADLKTPEDFDLTRLEQFPYLSATVKEALRFANAVPGRLPRVVPSPQSKAG VLEVDGKVIPEGTIVSISAYMVLFDESIWGSDAQTFNPKRWLSDEGRQLDKYLVTFSK GARQCLGINLANAELTLALAMIVSRFDLTADDTLTDLDMKSYDGFTRSYKDGPGPRLK VSIL PFICI_10814 MSPSTGLIACEQCGETFLRKEHRDRHALRHSGLKPFRCDICNRA FPRRDSLLRHWTNHHSQGDLSENNRRAAQACIRCARLKQRCEGGRPCRRCMRQNSLCE YRPDRILNEEGVQALQSTDVGDVDSGSQATQNYSDVEQHTAISTETATHPDFSPQASW YRPAEMNQPLVIGNPTELTPPMSHESWNIDNGSAISSLPSVLDLHEPTFLDFVDAGRS GFAFLSANTVYQCPPLDLFHAEAVTCDGQPHQLPGNTPSSSHPINESQMSIMGASRDA NHATSLSECPFDPLTLSPRKHLPCLEFPPPPPDLVQATQAEMFGHVAMISDYHIEPLH IFYTQQRGCEASPFISTDVLHAFVELYLEYFDPKFPFLHHTKLRGTGLHWVVLVAVAA IGSQYSAIPAAKEYGLVLQDLLSRALRDHAIQNKGVDTAFVQSLFLRHVLLFFSGSKS FHVMLQWERSMLVDALKNRAHHDDLNQRSHDKTDRERNEDWRAWLLSEEKARLIHCVH ALECLEYVFLDQPPLFNLADLVQDLPCNESLWQCQTAMEWRQCLNQSSDSPACQPVAN AQSALAQDSSQLMTFAMRTYPLELYVDDRLRGHHMRSSRRLQSMYVREDVHGDGILNS PQVSFETSSIVDKVIDEQAYAKLGRIHTQDLLIHVLAILRHVPLVRLYHAIGWQTDKA EMEKSRSCLAKILQKDRKTARRCLWHATTIFTKLRNARHFACYDTLNLCVAVCFLWLF AKFGKENRDQSRLSSIMNPVGPKTSAVRVDKLAKKEDVREWILAGDDTEVYITGIGCL QGPNSHNIVLRDAVKILTKQTTWSGLCQSLAKAFARIKNDEMVDLDSE PFICI_10815 MSEPSFSEAELAKYFKSTTAVYHTKSYPFISPNRPGLSTRGKTA IITGAGKGGIGNSIALSLAKSGISALGLVGRTEATLLATKEVVEKISQDTRVFLYTVD LVDADGIASALQSFVDSTGSKIDILGANAGYMSDLNTVIGSDADDWWRGFEVNIRGNF NLLRAWVPHAQPGGVVVHTSTSAIHGPYMPNFSSYRGSKAGATKVFEIFRHEMAELGN GVRVVQFHPGLIRSTMSFKFAPSTAGFPWDDVELSGDFFNWLVSDEAKFLNGKLVMAN WDAEEMLQKKDEIAGDADMYTMNLVGWV PFICI_10816 MDAEQELKRRRERGRSAQAAFRKRQALAVQEMQQENKRLREALE SVVKIARHDDRRDLVSVIRQGAEAAGIDVEHLPAPGSEYVLQPNDNLGSCGARGQSET SASNVSLSSEPSRPEVDTSKEADLAVTRASQPAFKATRCMMWLNPMRYMRLDKPPEDI VPYIGDAANTLAGHLFWAVMEHARSDCHHEHHALSRQELSTSQNPCIQRMMRHSIAMQ SISHGLIKAMVEARLEYRHLGYISAEYAGAADPDTVRSLRRSVAAEFGSRGQDESVWV DAIGVESRVRSLLGPDYFATLERAARSPESAKAHISLSWALDRLIGSFICFGDGPRWN VLEVDDIFSSWLSHVEC PFICI_10817 MYREIKITVTPEKRPLGGLVGTPSPKRRKLSNDASLTELPLTPT KRSGLLPTLAESAAVYTPKLPLAGPGSGPSTRAQHNATDGAKRISPMQLEFDLKDCLS PKGLTGALKSPPTSPTRKKPVPNNDKNMAATTFPR PFICI_10818 MLVRSSFQIQRIAARSFATAANTATRIQLSQPRQPTVVKPTRDQ LSLLDERNLERAVRAIHEDGLVVVENVISHDVIDRLNEKMIQDALVLQARGKDGPFNY NQGNLQQDPPPVAEHFHPSIFLNPIATQITSAILGPKPKWTFCSANAAMPPLPGAEPQ RQPVHSDADFAHPSHPFALVVNVPLVTMRPENGSTEVWLGTHTGNITQQEGSHGDRAS GRIKEELLKKQTERDPPTQPIIPKGSIIVRDLRLWHAGMPNKTDQVRIMLAMIHFASW YRNPMRLEFSDDIKPIIENLDCQGKLEVQVPIEWATRKRALQSYLDRGFGNSYDFNQA L PFICI_10819 MLVVAGPHTDRCSCVGDEASPVCGPCSKVGRYCDRTTTERRFVA FAATGEAAWSNEKASSSSLITINRECLEKPEIAGYFHHYITHVAPWYDLGDESRHFAL TVPRLALDQPLLLGAIIALSAIHVAQSTGSATAKRAAEVYHGHCIRSLIELDQSSVVL ENGVALAATCLLRSFEILNEVHDPQRHLRGAYSLASQPAVVRDGTFTVLHQAGFWNYL REDITFSLFEGCPLKVDLDLASSSLAVTAARCPLDSISLLLGQILNAVHTSTMSCDIW SEFQERLTSWYSSLPLYMHPFSTGTQAARSIEGPLPRSWFLQDTYASAMHYFLTATCV LTTCASENQLPHMAQLSGIGKDKTPSKEDILEYSSLNICGIAFTTNTPSVLVNAFGPM FYCESFLSLKECAEAYSIPQGGNFIRQEAARQEIIRHLHACKKIVGWPVERVVNLLCN EVAEQK PFICI_10820 MPSLTARLLSHPQTPDAYKRATQSPFLQLAGQGRLPPTTLSQWL AQDRLYAQAYARFIGGLLSRVRLPVRSDRPIRETLEWRVVQVLKSSLDGILVELAFFE DTAAKYGLDLAAVVPAEKVVGSSGGGGGSGKEFGPNPTTAAYVDLFDSFGAGGNANKS VFEGLVLLWATEKVYHEAWTYARDQGQASQTKAEDDLDGGALRKEFIPNWTSAEFGAF VDEIEACLDQYAETHSGADVDETALRVWKEVLQLEQGFWPQV PFICI_10821 MFSSNYNRIDPKRRATLDHRTKQFADPSFAKTAYPNRLNFYALP PTADITLEQFEQWAIDRLRILAELEACSFRNKNVKDTSEHMEPLLKKYLPLDTNSSNS SQLQAQRQKDHYSHFILRLAFASTEDLRRRFTRVETMLFRLRLNDDAEHNRKELKEFI KTLNLDWEEVGPEERSKYSDELRAMADSRKKQEQTEDDNSFFKVDWERVPELVETRRV FLKGGKAYVPAREQTSMVVAEFTGRLERALELTARALPKLDEDDRLTPILDHLSKNFI TPDASYSSSTTAVGGADISARNVDKLSASFPLCMQNLHRSLRRDAHLKHYGRLQYTLF LKGIGLNLEECLIFWRTSFSKLTDDQFNKDYRYNVRHAYGDVGGDSNRRGGGYSPFSC QKILTEHPPGPGEAHGCPYRHFNQENLTALLQAVGISDRSVLEGVKQDKEAQKFHLAC NRVFEHVHKSEIKRAKDENIMTAAQLDTIVHPNEYFKRSYLLKNLGKDSSVKSEDVKM EG PFICI_10822 MASDTQSASWHPALMPNFHEDTPAPRHNDNEPQVQQEQEPELPV EQAQPETIADAPSHMIPPETTSTEPESADNGAFLDQFAGDDPDAGGWDLDLGDTKADT AAVPQDEDTAIPEAGEIEEAPTQAPETESEQEPEIRVEPPAQSNAAKHSSTISFTRTV SHEVNFNDDDDDEWNIQRTDTDPFNFMAPNERTNSFPPVPPAEHDVPDFLEQSSSPPP ATQAQEIMQEIENEAQEPQSAGEPDFMKTFGDSDVQDQQGDASFPLGGDLIGAEEAAS DARFEEGLPLIPQDETVQNEEPQTSAEFDVTFADDTGNDDFFTQIQGVEVQEVEDPFD GPALQRKDTSVFTNIVSGAEAFAPETPTIPETEYVEPEHSTFPVPEETNPEPTEVPQD SQPEEPAELDAKWAAAFGEDDDDFLLEDSNTEEGKELDPAAFFGSDDEGFLEDDAEED LAPSTAHVQEPAPSQPSSAAPTSRYTPAALPTQTQPLLNTFNSTPSIPQLQQAVPPQY APVGVPQPIAGPYGAAPPKPEVSRAQSFADKAKGGYASPYDLPMDVVRPPRHRTSMQQ LPRANTTAPTMPPPQPTRSTSMYSQPSPVSVAPVPIPSPPSSSGSNLPTQGLKPAPKL TSKSSFFEELPMSAKPRTASRQNSLTTAQQTPQGPPQGPPQMAAQAPVSTPPIASLPP QPSPAMAGLVAPEQSSPFMPLPNAAPRPGPAATNRYSPAPPQGSPSSNIAPPVAATSR YSPAPPSRSSSGTFPHVPPPILAHQPRTSSPLAQFEASHGLAAKQGIQPTAGQVPHIG RSASSQYEPRLTRAPSLPTTAEVDEDAPPSAIMQSPQSIPSAARYSPQQTRSTPPPTS SPAASTLSPPKRTISNYAPIPQPSSHLREVNFAPPPRSQTQSPGTAYGRVSANPAEAM PRPSSAQGPISPRQSSSTVPANPPVTRARGMSQVLSMVPPTDGRELDPLQRWRGAPTV TWGMGGTVVTSFPKDVPRYGISQALPMIVRSPGEVKLQHIKDVHPIEERLSKFPGPLK GKSKKKEVLAWLSNGIETLEKESPNVTFQQYVSHEDKRAIERILLWKILRVMVENDGV LEGNTNVEKAVRLVLSPELSITNSDEPDSPVVTMADLQGAALGAYNSQSDAVDSSAVE QIRKHLLSGDREKAAWAAVDKRLWGHAMLISSTVQGDLYKQVTQEFIKKEVNHANGSN GSLATLYGVLSGNHEESVDALVPSHARAGLQLMSTSLNSNQSADALSGLDKWRETLGM VLSNRSNNDIVAITSLGNLLSGYGRAEAAHICFLFARKHAVFGGLDDPSSNFVLVGAD HRGQADQSAKETEALLLSEVYEFGLALSGSSTQQSCPHLAAYKLQHAITLAEYGFRDK ALAYCESIMNAVTAQTKRSPYYHPALMSAVDDLMGRLKLAPKEGGSSWISKPTMNQVS NSMWDKFNKFVSGDDAQGAGQASPGEPGLESGPFARIGGGTPTISRSPSVNNFETFGG PSPNVAASQVSSRYAPNLGQRAASSYEPNSTYAPRSSGEYHRSSLELPQRSSLELPRP AAETLPPMYGGSSLESNTGSTGYQPAAASQYTPFTPSNGLPVTPQSSTNYSPFQPAVA SEASASPYSPYQPASVQEPATSYSPYQPVDAPEPAASNTTQATEAKPEIAVNDLSTTN GYPAPSYGGFQPLTVDEPSTHLGEAAEITPAADTADKEAGQSTSGSGWEAPTYTPYSY EPPTSSYEPDPEGGEEAEDQPKPKKKGIMDDDDDDFPSMKAAPSAPQGKSKADKDREN EEMFRKVAEEEAKRAAEAKSQKKGWLGGWFGGGGAAKKEAEMPANKPIRAKLGESSSF YYDPDQKRWINKKAGAEDTAAKAATPPPPRAASRNGTPPPPGSSSSAPPRSAGTPPPP MAGPPMGMPRSVSNLTKMASMDNLTPPGPALGGAPPMLRSASNMSNMSNASADSNGPP TLAPPGISSAPPTRPSTSLSNASSIDDLLGAAGPRKPGGKKPRKSGRYVDVMAK PFICI_10823 MTALVATTSASAPDACGFGYYSSLSSLVATYAPARSWCNARYHQ QPYTTEITVTKAATVTIEVTPTPGAPEASVLTVTETETEFATPTTITRKEFPTLSETI TSVVTTTSIKSEAAEIVTSTATVCPATTSTGVLKRWVYKHGGKKPPSKPSRETDQRQP SYDNNHGYLGGFGTYHGGSVVVINPSESATLDPTLCSCIIGVTKTVTTTSTGAAFTTT LTATLRPMDPAVSTTTATTRTTIRRVVITTLVSTVTLRTTTTNTISTTVTTTVPIATV TSSEFTMEAPSGGGCGCPFAVQCQMALLSFDLDGIRPATNYAECIDICNHIQECVAAE FFNGGSCITYYESSFLIDDPDRVLAVKQVDGSCNQFSDTCIYDGP PFICI_10824 MSDNSGSGTSPWGSLNTPVGPPKVTLAYFSNEFPPDDLNFIVRK LFDRSKSRQHQVLGQFIEEATSAVREEIRKLPNETRHLIPTFRTIFDFAEHAELRKGP FLAPSMESSSVQFNHLFPFGSSIASVAGLGIGLVAAAAVSVTPSLADLPVAGAEAVRI AFRLGVLVDGVSQNLQPRDRSTTGTPDSWAYVIPDVSPEVVQKELDEIHSREKTPIPS KIFVSALSRTSVTISGPPARLRSLFRLSDFFRDRKFVALPVYGGLCHAGHIYEQRHVQ EVVEKSVLDETHVRYSPSVRLFSTSTGKPFLSTSVTNLFEQVVGEILTQKIQWDKVVK GVLERIQELSATEVEVLVFRDSLPVHELVKALKSADSGLQTTTEDLLQWLHQSRERLQ GPRGSLQSKIAIVGMSCRMPSGATDTEKFWELLEKGLDVHRKIPADRFDVETHHDPTG KRVNTSITPYGCFIDEPGLFDAGFFNMSPREAQQTDPMQRLALVTAYEALERAGYVAN RTSATNLHRIGTFYGQASDDYREVNTAQEISTYFIPGGCRAFGPGRINYFFKFSGPSY SIDTACSSSLATIQAACTSLWNGDTDTVVAGGMNVLTNSDAFAGLGNGHFLSKTPNAC KTWDCEADGYCRADGIGSIVMKRLEDAEADNDNILGVILGAGTNHSADAISITHPHAP SQAFLYRQILRDAALDPFDVSFVEMHGTGTQAGDSEEMQSVTEVFAPIANKRRTSKQP LHIGAVKSNVGHGEAVAGVTALIKVLLMFQKEAIPPHAGIKNSINPGFPKDLDKRNIN IPYQKTAWPRSTDRKRIAVVNNFSAAGGNTTIAIEEGPLRQTIGHDPRTTHLIPISAK SKVSLKGNIQRLIDYLEVSPDVSLADLSYSLTARRYHHSHRVAITTSDVAHLKKQLRS QLDSADSHKPIVAAAGPPPVAFAFTGQGASYGTMDLELYHESKYFRDQILQLDSFAQG QGFPSFVPAIDGSFPKEHTHRPVVTQLALLCTEIALAKYWASLGVKPDVVIGHSLGEY AALHVAGVLSASDAIFLVGQRALMLEKKCQAGSHKMLAVRASLAQVQEAAGELPYEVA CINGQKDTVLSAAKDDIDKLASVLESAGYKCFSLDVAFAFHSAQTDPILDDFESVSRT GVLFQAPNLPVISPLLGKVVFNDKTINANYVRRATRESVDFLSALEAAQKISIIDEST TWIEIGPHPVCMGFIRSAVPSIKVASPSIRRGENNWQTLVQTLGALHLAGIPVDWNEY HRPFEQALRLLDLPTYSWNDKTYWIQYNGDWALTKGNTFYDAEKAAKAPRVGGDLPPS PISTSTVHRVIGETFDGTAGTVDIQSDLMQQDFHDAAYGHKMNNCGVVTSSIHADIVY TIGRYLHTKLKPGVKDIHMNISNLEVVKGLVAQKNRDVPQLIQVSISTEDISSGTAQV TWFNVLPDGGLDEPFATATLFYGKANDWLQSWIPTTHLVLGRVHELERLAEQGVANRF SRNMAYGLFARNLVDYADKYRGMQSVVLHGLEAFADVELTKEKGGTWTVPPFFIDSVA HLAGFIMNVSDAVDTANNFCVTPGWESMRFARPLLAGARYRSYVKMIPTEEDAGVFLG DVYIFQDNKIIGQVRGIKFRRYPRLLLDRFFSAPDAAKHGGKHAPAVKAAIPPALEKK SAVVVAQVPVVDKPPPTKENAVAAPAAKSPEPVAAAAVNEDSITVKAMALVAAEAALD VSELEDDVQFANIGVDSLMSLVIAEKFRETLGVTISGSLFLEYPAVGDLRAWLLEYYG PFICI_10825 MVGKGGYRQINKALNICAFEDYLDGQQKSLPPLNDVEQISPNVL RVLGQNPGKFTLQGTNTYIIGTGEKRLLIDTGQGIPEWADLISSTLANSSIRLSAVLL SHWHGDHTGGVPDLLRLYPHLSDSIYKHSPSKGQQPIEDGQVFEVEGATVRAVHAPGH SHDHMCFVIEEENAMFTGDNVLGHGTAAVELLSTWMATLRLMQSHNCGRGYPAHGEVI PNLNAKISGELASKERRERQVLQHLNRIRKEEQGGKGSATVQRLVVEMYGDTDQQMRE QALEPFIDEVLRKLAEDEKVAFQLRAGEKTWFAIALE PFICI_10826 MSTEYRSTRLNTHMLAIAAGSFLAGAMMGLPLMAVPMLLDTGAD PVYLARQWARMYYYGVRTMPPLAITTFILYVWTIIRRRSQHQAWYILAVAAVVTMGMI PFTWYVLAPTNNALFRLAEGPEAASGTTAGSLEEVTELLVRWNKLHIARSLFPLTGVV IALSDAM PFICI_10827 MALVSDFDCFSQVVFENLEDYKRMKQDPWYKEHLIGDHEKFADT KRSKMTIGWIEEWISDGKPVDGLEFKS PFICI_10828 MFQSILFLAFYGRPVFGSAAARDYACVNTAESRDCWKDGFNIET DYYGKEEAPEGKLVEYELTLSQQIISPDGYEMLGMVVNGQYPGPTIEADWGDTLRITV KNNFTENYNGTAVHWHGIRQKETNWLDGVPGVTQCPITPGDSQVYEFRVTQYGTSWYH SHYSLQYSNGAYGPIVIHGPSSANWDVDLGPWLLSDWYHDDAFALDHVGITTNRAAIP KSSLINGKGYYECDPTNDAKCTGTRDYYEVVLKQGTKYKFGIINTSTILTYTFWIDGH NFTIIAIDFVPIEPLTVDTLNVGIGQRYEIIIETNPDFDDDSSFWMHAQYCFINQTDI VDDKVGIVRYESAGSSDPPYINKSDYHLNFGCADPKPESLVPILKQQVGAQANPLAAE DYFRVGLGNFTWPDATNSTGSVFLWFLQKLPLYVNWSEPSVKKLTIDETADFPPNSRP IELDYETGQWVYFVIESDWDPAGAVDQYGQEIRVEPSVHPFHLHGHDFLILAQGLGKF TSDIQPNLDNPPRRDTVDVEPLGYVWIAFQIDNPGAWLFHCHIAFHSSDGIAIQFLEQ PSKLKPIMEEAGVLGDFADRCNKWDDWYQAVNIPHNATQADSGV PFICI_10829 MTEVHAFCRNQEKLERLVPRVISDARVKVFVGGIGDTETLAACL HGCNAVFLCITTNDNVPGCRVAQDTALGVVKVLERSRADGFLPMPKLVLLSSATIDDV LSRNTPWVLRSILLKSASHVYEDLRKTEILLRAEQDWLTTIFIKPGALSVDIQRGHAL SLTDEDSPVSYLDLAAAMIEAVNDPQGRYDMRNWGY PFICI_10830 MASTGSAQKETLNKFISGWKNANAEEMLAVASDDYTQQTLPFSL GHDVRPKQVAEVMLPKLYSILENYELKIHQVVHDVENQKAAVYAISKADTPFGFPWLN EFSAFITFNNAGDKVVNVQEMVDTEFFQKFFPAYQSFLSQNK PFICI_10831 MSTNDEVFAKDNEFWKTYLRGRAQPPESFFERIFRYHEDHGGHF GTVHDCGAGNGPYSQKLRSRFKHVIVSDVAPGNVELAKERLGNDGFSFRVARVEDFDD IPTGSVDLVFATNVMHWVEPSRGAKAIVSQLKSGGTFIAAGFGPARFEDQKVQDIWTR ISQSGGRRLIMKADDPTKILKVAVRSSRYYDVAPTDTSLFVPGTQRIHLNMNNGGLTD IVYPEDYVAAAEPSYTGPQDDEIFESEDGWSFETDLEGVKDHFATFPFSKEDPEVFAE LWAELEKYVADGRPIRGCWPAKIILATRV PFICI_10832 MSSVPIDSVQAAQQVVSTKIKDKSWIMPTDPAEFLQQIAYQSQL LACLHWLGEFQILACVPLSGSVPIKDVADLAGVPVSQLAHVIRFMATAGFMKEPRRGE VAHTPQSAAFVTDPSFLDAGIFLAQVSARSARKMAQNSAISTLMGGDGANNGSDFDNG ELLKSTSESPRVQREVTAYLHYVVNEVSDTANLLAQLDWRKLGSSSVVEIRADRIYPA SLVLTELHSTPRFTVQTFQEDSVEQGTAVTTTATTSSSFISKSSEEPSPKRIKPGITY QKRSLGSPQVVTDATMYVMRLERPHSTSVQRSILDEGQIVSELRAHLGVLKHNSNATL ILIGPLLPEAGAIDAKAEMVVRFRDLSLNQLTSEREMEVGELVDIIGDVQDESGCLVV VNKLYSRTSSTVALEVRYELYNYRKG PFICI_10833 MEAADPNNNLTITSPSTLLSNPTQPPAQPLKLRDSCHACASSKV KCHKEKPTCSRCRKRGITCEYFAHRRPGRKQENRAKDTTNHVERQENTTAVEMLDLNW PAPDFSTQTSIANDNLDVFHDIFVPPDQLNNGLTDFTIDFDDFDIQSDPAEIASLPDT SSLESMFVTSPTAPTDTITPNVITPNVGLSVLEGLPDTTHHTQAINLASYIQTPPTEK TPDSRIKHLEENKDPCMTRALSFLTQLSESTSRICKTSETGCSGTNKKSLPESLDGII AENRRLLEAMSNILQCRCSEDDDLLCIQAIVASKILNLYASAIEIKPSPARVGSGVST HTTAGQYEPQVEQQLSTRTHPQLASGRDPIRMAAQSVLGELHRVQRLLSQMLQKSKDN ETMRRKGSENGLRAVADKVPLTSGVSFGSIEADLRYKLGKLSIEIITLLRGA PFICI_10834 MPSPTNPAKVETPFTTAALGNGVDFWKAYVENRPHPSDSFFELI SEYHHSHGDSAAQSAIAHDVGTGPGNIAEKLLRHFDHVVGSDVNEQALAAAPALLPAD SIKRMTFVKSSAEDLASANIPESVGKGQTDLILVSECIPLLDISKAFAAFRALLRPGG TLAIYFYSRPIFTGDNEAELNQLYDRIATRVCQFLLPFKGTPGFPIHYRAAEAMSSGL DSIPFDPEAWQDVVRYKWNADVPLTFNSKEGYDFEVEPVDRRDHSTEITKEITDRDFW AEEWDIGRVASFLDSVFPNYRNKAGDKFEEVQSLFTELETALGGPKATRKVSFPVVLL LATRK PFICI_10835 MAASTAAQVQLSEEALGLARIFENPKGSLEAASKLLQKNHDEFH VFWRDVGGHNHIPHSVLSILALGGGPAELQRAWDDGVAIQRPTPPLDEDVVKKLENPA EFRARIGSIPNYTNFLHFFRNQMDKKGWQAVVSEYAFSRTPLAETIFAQLFEGAYHPF IHIGFGIEFNLPSIIAEGLAQAATHDSAGIEGFFLEAERQAAQSKGPGKSLVQLLDEV RTTEKIKTAARLPDGPVRVRDGVIGRAGAEIAALASQFRVPADQLSRGAAESINISAY TAGAAQRAGKARKIDFFHMHNTTSSLFLTVFLNQPWISTEDKVRIVEWKGRLDLVWYA ACSAPDLNVDHVIGYKPAQSAGWGWKELYEAINVAHDDGHLAKIVRALKNGEEVSRPF ESGEGAEAFPIKGDSWLKLAQMSYDTTLDLPDDDKWIWGAGFLPLWNKVPSL PFICI_10836 MKSLFLTGLLSALTWASEFASYPDIPLDYLYTTEPVTPLPQGYP WGSKTANDSHPEEPTLTGVIRSYDFHIKAGQIAPDGYLKDVLLVNDQYPGPLIEANWG DTIQVTVHNDLEEGTALHWHAFLQKETPWQDGVPGITQCPIAPGACFTYTFVADSYGT SWYHSHYSAQYADGILGPIIVHGHPTVPYDIDLGPIMLSDLYHVPYTTVLEHLFDEDF AVVTKPANNNLINGRNSWNCTLKDLGDDTPCQSNAPLSEFRLTPGKKHRLRILNVGGS AIQKFSLDGHKLQVIAHDFVPVLPYEVEFLTLGVGQRADVIVEALANGTGTYTMRATI PPAPCANSVDHDATALVHYGNTTSTFSNSSSEAWPSFIEALGVCDGLPTEEITPWYAI PAPEAPATTQIINVTLAQNETGQYLFYMDNSSFRVNYNHPVLLLSNLGNNSYPDDPEW NVYNFGSNNSIRIVMYNNAIRTHPIHLHGHNFFVEAVGLGEWDGHVDHPENPVRRDTA MLPQGGYMVISFNADNPGAWPLHCHVAWHVSSGFYVTVLERPDEIAEYKIPSVVGQTC RDWWGYTNHTIVNQIDSGL PFICI_10837 MSFILQTFLDIFGAAFHIFTANYFRQELPAPDLSSQKMKHIVII GGGFAGVSTAHRFLKNVGKSTTAPYKVTLVSRDSHFFWNIAAPRGIIPGQIPEEKLFQ PIAEGFSQYGPDKFEFVLGTATDLDVGGKTLVVDVDGKATRISYDYLIIGSGSRTKIP GPFKSDGSTDGVKQTIHDFQERVKAAKTIVVVGAGPTGVETAGELAFEYGTSKKIILI SGGPTVLENRPASVTKTALKQLETLNVDVRVNTKAKDPVTLPDGKKELTLSGGEKLVV DLYIPTFGVLPNSSFVPSQYLDSNGFVQVDQYFQVKGAEGVFAIGDVSDSEAPQFWFV EKQSVHIAKNLILSLSGKAPTPYKASATGMMGLQIGKNSGTGHFGNFKLPGFLVKTIR KTLFVENLPKTVDGSML PFICI_10838 MSNPVRSKGGCWTCRLRRKKCDEGKPECTTCQALSITCYGYGPK PEWMDNGDAEKDVANSLKHIVRYTSRRTSSRYRVPPGQKANPKLAPKVHAAASTPSTN TSHSTETTPPSDNGFYDTAESPLSPNGAQHLTIATIPAEDSILLMHFLDKVFPLQYPM YRPDILEGGRGWLLALLLQTKSLYHAALALSSYHRRMLVFERISEQCRATAAVQQEKH LETCLNEVRQAMVILDQRTRQRKSYDGMGTVTSIVQLVFFELFAGQDHAWRTHLNAAI DVYDQNCRDKLEHLDLSEASKTILRNDQRLAVDGALVTQEVTTFRFMGGSIIWLDILS SLAAGSVPRLLSYHQGVLDAASQVKLENIMGCKNWLMCQIGRIAALQGHRRQDGWTSQ RHGIKLHSIAADIKSEIESGMAREALESLNIQTSDSCGINNSSTNSVTLTTRMFAFMA IIHLHLVTHDFERLDNLRETIADAIRLLQSQVPCSMIPVIVAPLFIIGCVAAQGDEQS LFRASLASDTSQHRLYRHRKDVLSALEEIWSKRQTSTDYTWNDVLDMGQHKYLLFL PFICI_10839 MSVPAQTSVLIVGGGPAGSYAATVLAREGVDVVLLEAEKFPRYH IGESMLASIRFFLRFVELEEEFDRHGFEKKYGATFKITEKNPAYTDFAASLGEGGYSW NVVRSESDEIIFRYAGKCGAKTFDGTKVESLTFEPYPHEGFDESVHLANPGRPVSANW SRKDGSSGVIKFDYIIDGSGRNGLISTKYLKNRSFNQGLKNIANWTYWKGAKRFNVGE KNENSPLFEALKDGSGWVWAIPLHNDTISVGVVARQDAFFEKKKESGLSGEAFYKEYL KLAPQIKNELLRDATIVSDIKQATDWSYSASAYAGPNFRLIGDAGCFVDPYFSSGCHL AMTSALSASVSIQAVRRGQCDELTGAKWHTTKVAEGYTRFLLLVMTVQRQLRMKDKNI ISTDEEEGFDMAFKKIQPVIQGVADTRTEDEQTQRRAAEAVDFSLESFEITPEKQAAV ISKIERSQAEPELLEKLTPEEVHILGNIVNRTFEREKDELNLTHFTGDMIDGYSAKLE HGNIGLYKREKALLNGTASRAAAVLKSIHQVA PFICI_10840 METFDPLLYRRRTLTNSAAEFVEGRGGLEIINQVLASGDIEEFH DNAEFITEIDRFASKGVKFDVARFYTQSESSMEAFRDVTLRRLVATLKERRIEEQLGI SLKDPSEFNFEYVLSIVNKLKDARDAPNSNSCKNFIRRCFRKVEDNRGVIGGILEMLP GDIYGSVLSGGFSLILTAIEHHAEQREALQGFLAAIPEKLESIQRFADIHHASARLHV CADAVMTAVFSVLESIVDKITRTLKVRLAESTTKFSRKLSKLSRRSGDQADIASVIDA QASNDAIKDQQKQPILAVDDALSALQMQIDRFQKEVDLCGHERLGEIKNDTTDIKRGQ VGLVHMLQQNNRCSHLTVHAYQTVLGTLIQAIASSGRDFTEKTVQMLSVEIRDAFYRV CASDPSFDNKGGGANLLEFKRKQYEQEAEIRRSYGETNERIAAAWLKGLKAFPYDSKL DLTYLFEHLEMLSSDEKNVSNSIIRSQQIGKWLEADESRILNVEPATPAESLINPVSF ASALLVTTLRLPARYAILAFFCMHRNNDDHSEENSGSIALIKSLNAQLLAFVAEFRPQ VDLSKIQDLEYFRKARKSLKESLKLFGTLIELLPEDDKVFIVIDSLSRLSGSATNEDK VINRLGRIVKKEHGPIVKILVTATLGSSRVRKIADESLFVQDSASGFGALNVDIMRGE IRKSVEPQPITDQNASEESSDTDSD PFICI_10841 MDDSADAEAFQETAKVPQVMEPTPAAANEAHVSVAVQENKAEST KADSNVAGDTEQDQDHKSNAGSDWETEEDASAAASDSSSDSEAESIATLDDMQRLQND CAKHFTSETFFNAYTQRLKEKARNRRGKNQGSTLVKGLVDYMRTLEERISSLEESQDA GSEANTEPGHVETDIYDAQVKLDIKFFDEAAYTYDNIFYAQAHSREEKGTFMCGHDDQ HLIRVLYSKHAEAKPKPQKLGKLADPDPPNPSEINMLLCNISSKAIARFFVKILNCNP TDVIRFGNPFRPIIGNIGLIRDHLRKLEGLYGEAAAEGSSEAQRNTNGGSTPSNSSIN QKGSDAADFPFNDGQIDNVQAYDQPAALQHFRLFLDFVDKYLGDKIALYDRLRNGKEQ QIAFVNLWMLFDVGDTIYCPSRSSAGEEYARPGLDQSPHVPVTRYTPQAYRVVATDGG MPHRSTIGFPTSGAANDGLDTTTFSATMVSAGDPAIETMKAAANISRKIRSAYGSFVV YCFYVDFDGRRYGKVRDVFVFKPYEREMDIRGLQAYPMAYAPSNDLHTRGQRFLEATK VSHLQYEGLTVGPLREEINSPVVVDIKLAYEGGRDIAEALIKVPIISPGVRDAVVWMP GVFEASHNIFGKRPSCSHRWCISLQCTYDCYNTGQATQGHKMLTDADLVLEEYESEML RGLEGLAQFTQLMAERNLVELLPGMIPGFALRNRKWVLLDISRLERVEQNNEWDNLVL PPGHREMVQAMVETHTQDLQSNRDSRPGMDLVKGKGRGCIILLHGVPGVGKTSTAECV AAHTKKPLYPITCGDVGYKAEDVERNMESHFKLAHRWGCVLLLDEADVFLARRDQKDV QRNGLVSVFLRILEYYSGILFLTTNRVGDIDEAFRSRLHLTLYYPKLKRKQTKKIFKR NFERINDVNQGRTEHGIPPFIYKDSEPKIMQWAGETYKTLQWNGRQIRNTFQTVLALA EFHAKQRDGDAYSPVVTKKLFKIVANAAILFNEYLAATHGADQDKMAQQTYIRANSFE PSKEHRFTSLADDTSESSSQEDDSSSNDSGSDSDESDSSDDAQESMKKSSNAKKGKQS KRSSRKKRKSSAKEKKSEKKSKDKKKEKKKDESSDSDS PFICI_10842 MDTQGYTKIAAFMAEHPEDVMVRRFANLNLQNILYLQAEIVGLE KDLRALEAKNNSSEDRDRRTFALDWYTLAHMHNAPDSGQQWEKWQQLRKVLKEYNDAV FQYCQISKFPGPEPHELHKFQSWLREPRLGGVYLVGRDHDTWAQGADLMSLSSPLHPN RISRFITNKVLPVYHHFVNSAMMDRVRQSLSRSNRPTRPSHADADIVTYEDSRLIAVS NFICTVIASLLPILAIIVLYFVENMGSRLGLVGVFSVVFSSALWFMNDGELVEVFGAT SAFAAVQVVFIGTSST PFICI_10843 MSSVASSNKTPRGVKPAFVPSGTDAQSTPIRNKQPSVERVTDRL ETPSLDDRSYRVIRLSNQLEALLVHDAETDKASAALDVNVGSFGDEDDMPGMAQAVEH LLFMGTKKYPTENAYNQYLSAHSGYSNAYTGGTSTNYFFELNAKPTDDAQISDNNNSP LYGALDRFAQFFIEPLCLSSTLDRELNAVDSENKKNLQSDQWRLNQLSKSLSNPKDPY CHFSTGNYEVPKTFPESRGIDVQANFIEFHKKHYSANRMTLCVLGREPLDLLEQWIVE FFSAVPDKRLPSNRWEPEKLYSKEFLGIQSFDKPAMDYREPSFFPFLDKEFLYESQPS RRYMHNSRIPEDLYLNSLGQEDDSDDPEMTRVTQRRHRRGRGARKNNAYWKQINSEVN TNPFDGRELLFDDGNGSLKAPRMANLDTQLKISKHKGDDLVMSSHCAKELGLLGRISS DFEDPCLRSISGHSTAVKGILRNVQFRLKGGSVTFRRDFWVSDSINDIVDVMIGANFI KDHFKLLFERVKECVSTFATWFSKKRETPEQKAEREERERQQQIQILENETKRLREES EMYKQSGRANDGSQQGGSQRAPLAGRS PFICI_10844 MQCNTFYFPQLSPTRGQTDLGYQRDESRYAVEVPGLQHYSAEEE DTEPRPYLNNFADVKFEPLVVLHTSGSINARNLEGSSAESSQVLAMKRLKFSESLVGT TKCCATLDASLGSKVSPSLATSETISEQYVGNRVK PFICI_10845 MNGSHVSNLLRHDCGLETFDARVHSTPTVGPDGSATVLLRRHGR FIEAYLEPALEYRDSLHLAQRLTKESLVRAWGSLAIQNGDAENEVASRATRIKITKIR ILSVAKEDLPDCASLHVASAKDLPPIESRLNDRLLDGRVAATAAVFRLFSGVHEISVQ YLAQHDFHHIPTPAFIGYRFPEEDDDYFALQYFDKTARLAPTGEIYLGMALSADLERV YDYHTVFRRESVSDGRHLTEFTMLELVSNIRHDWTEILELADNLLVSLIRSLQTQEKY TALIEAAKRLYPAAGTFKLGLNKEGRLLRLRFDKAKQVLRDPLGFKSNDEDDLTREEE EALGRFFASVDSSLGPPTDVFIITHFPRHLRPCNVYPSDDGDNTSQSFDIIMRGQEIV TGCRLLHSYEDLRSAFISRPYPIDPDSPEWRPYVRAHEIGMPPWGGFGRESLFSFPEL FHQLHMRVTRRSYTSTSSP PFICI_10846 MATDEHRKTMPLEVLSYERILAADSDEIQKMVRILSNEGLFFLD MAGPTSEDFLADLAPVYRHQRNFFERTAEEKMKYHSGIYYKGFYTFDTGVEKIHLGRE EKVQNTLDLPTDLHPVSEKVASTSDFIDNVLRELGTAVCKSMDPPVPAALDDPGNPGL SNLYLAISKVKPGSFIMPSHLDDGFLTLTFYDEPFLEVLDRTTQEWKLVEVNKNMPIV NAGEELQRNSNDRLYAPLHRCYQGPNEIDLIMFDLFESPRAKI PFICI_10847 MTTVVLSMAHTPGASSIAALLITTLVLYLLHRGRLFLSSKGHEQ RQPPAPREKILSRRLQTKMEERMKRYKDMYYKLQNLEEFPEVLPEARQMLQSLLEQGL LMARYKPRARSIRSMKDFDPEALRKFLEAEQADVLHEFESYVQRREAGGDRELCKTFE DARQYLVNSAPWNYTDGAWLSRTHQITTPFALRTVTKNAWQIFSEELGDGDMEKNHIV LYRELLHSIGVDLPDGDSADFLDPRHGMGDESVWRYAIGQLLISIFPNDFLPEILGFN LHYEAPALSGYKANREFPELGISPYYYALHISIDNADSGHSAMALGNIINFMEVVRET GLMDYENAWKRVQAGYLFGQSLDDNETVDHYEEKLVEFLHRKGDLARKIHCTSRARIG GRSLSSWFSEPQPDNGRKSEQEKGDNVEDWKDEFIVALADSKPWVYRGDSKKSLLMRE LAWKGRMFGAFTHNEVEIMSTWIDSLKATKIADPKEVYWALVGGYENVEKKFDVRRRD VAVTHPVFPPMQEWSPSTVGHFSPRPPLNIAPDQANLGSLWPLWFAHTCLLENMISSP HQTIRPLASTCLQILRAEKGYQPEGTGIACMDEQLSPSYSPDLIALGLSMLQRQKIPE PSCLGDIVGAQDNEDLTGAAKFALTLLSWAQRPRKNGGFLLGLSRAFLDLEVWVAGND RLLGGKERTALWSVTERKAARYESCLNHLRGDGLKCREFVGGYEHGRDQIEKFLG PFICI_10848 MTVQHGADSRLSFDQETHSWIQRLHEAWSNDGPPSTFAALRDDL LGQVEHLDIRISTLSSLAEIIGFHGHGTDPDSRWNELLATASLFRSRIKGTGRKRKRA YNETNRLRNLALISALWSPAVVFHYGWNEASQVQMNMLRACAATYPRFFVDLLPRLNT VLLARHCQGIQDCRVKTLNEAPLQPHRDLDLITLALAVPNVMAQDQWVTSEDGLVPID EAGTLLKSARPSHHEIYLLRRDRYGLLTARGEEGAPSSPLDVAIDADPNVYFTSGNPS IPAFQYQASPKNTPSLDVGHRDLRSCPLNVPMTPPVLLPETQHPHSIGTTRSDPAAVR SSTFSSRSPSTEATSITPSTAHMFPSERCDRSHEQGWDALVDVFTPTFASDIDLFNSC DLPDTFEWVTGNSADAPSSNMPSANHRPDLGGRLSHSTSPACPTQDMSVQDLRIESPP VLPSQGPLTVEESLHNRYRDLIMAHIQIAGTGTGDQQNQRNHCTQWLSPSTRWASVWT KPDSKLPRGGAMSRAEADIFYLSSDEALAAGQKGEVLRKPVVVKETFSDSGMHTIQEF ATLWRDTKTDASVDTCLLEHRSPAAPHNESLMAILCTVHHDRSFSTADLRNVTRSHRP VFTMLPRFRLFDSLAERVQDAIVGRASEVATERSEGRATARNGSNEEIISRLISLVSR DSTFNALSLAGASSGTRVVAMGGMWLRNLDGVMLCTFVSEETAIAEAEGLAEMGRNWT PNGRHKLFVLEQDDVLFIPPGIRLAYVVHSPTNNLMEGGNLWDSLNIVEVLHAVHWAY THRLALADPIAEQLPHFLTELEVLVKHQLEYFRGPETEKDFLHLFELAISKLASLGL PFICI_10849 MSAQTATELHDLQKYRETPPADVHISPARPTADSTSGVEEAAAA VPAVATTTTGIHPKSRAVLIITQACGQSFFSSYCNGIVVVALPAMQAELRLDESLLVW PSSSYFLVAGSCLLLAGSIADVAGVKKVNLAGSSLSALAAVACGLARSSGQIIAFRAA QGLAYAIITPSSIALISNSVEEGRPRNIGFACMGFSQPIGFCFGLILGGVFTDTVGWR PAFYLAAAASSTLFLASIWALRQEPRFDSFPFIWKRLIQDIDVIGIVLASIGLASISY VLASLSADVGSIHDPATIVLIVISGLSVPCFVAWMHRQEKNNRNALIPNSLWRSHVFT SCCIMVLLTNGLVNCMELYSSLFFQQVQGLSAVRASLQVVPSLVAGALTSITTGFFVH RMPVLWMLLAASVMSTVAPLLMAVVQIDQLYWENAFFAQILAPISCDILFTIGLLIIS DVFPKQMQGLSSAVFNTCAQLGSAIGLSVAQLIAASVTNGSTFLDKSSPDALMKGYRV AFWTMFGWMAFVCLVCIMGMRRVGVIGVKRD PFICI_10850 MLLNSIAFVPAIGALLIFMSILTVFTIVYRLWFSDFSHIPGPRL CKITGLVLAYYDLKLQRTAKVHAWHEIYGPIVLIAPGQVSFSAPSTTREIYGAGSRHP KSSFFDNFIAFGERATFNSLSYETHRERRNYSFSFFQPSSIYQPQFVEPIRARAAAFL DQVRQHFDRSSGTATVDFFQLANRYAFENITNLLFGPGHCTYTVENSATELAMLDDLK YCEVFQPLLFNLPWIYHIFRFLTSKIYGDPIFLSGEHRLEEWTARKVADGQHDDFNAV AAYVGNGHDGTLLRRLEQCKTKSGEPLSESWINAELLDNLNAAQMTVTVSLTYVLWNL ARNPQWQISVRQEIANLPMGEDEFPCFGDINKAPILEACIKESYRLNPISSGRAERVV PTGKEYDGIFIPQGTIVSTSTLAMHHSRSIFPRPEVYDPDRWLHPSPEQLRTMERHYM PFGYGARICLGKAFATVEVKLLVAYLLLRFHVTEDPTSLTDIRSMEQLGTQDALPRGL QCNLKFIGAV PFICI_10851 MVRVRTVVGSCWSCKKRRIRCDLGKPGCVRCSLIGASCDYGEKC IRWNSKAATSIPAVYQANDMGSYHATSLAVNEKRALEYFHGRLWPLFTTASEPCSPPI VMALQHRVLLLAICVISDGHRILQDGRNDRRMLKSKHLECLAAVRGELDTHCQSGDRL PVSLLLAVLFLYFHGGYMDCTLDMASTASHHAGVRAIIAGLGGIEAILDECPEPLHML LSEFASADLTTTMIKGGSPAYGPAFWLAVDKRCVWWSRDPLGRSSLASVFSGISRMIA YQVGLLNKGEGPDTDRILEFEALFDPVYIPVTSGGLGNHEGGSKTATKNSLEIFNAFS LIRAFQLAALIYLRRAVCGLPTLHPLVQQHVLPCLDCILDMVQPTMVFNCVIFPLLIT GAHVQSLGYRRKVRNLVSAIHRDMKFCSVQSAGVFLDRLWASRPEVLSWYQMFAGLNL DAVIL PFICI_10852 MIQEFFNVGTSSAHVQVAVVIAILVIALVVTFSQKSGPPLEISQ LYIYPVKSLRGCRLEKAYIGRYGLLGDRTFCLQRIHRDEDGNLTRHETMLIGYYLQLA LFRTAIDLKDQGENMANAQIRVSWHGAGSEFGASDASVDSDQSIQFPLVPSLEGRSEV QVDLHGSSCAAYDMGDEISQWFTDRLGFEIRLVHIGNGSRPVLGTLAPHSRGGLKKAR LVRRIRATVPFLAQPEERLAFNDIAHYLVVTEESNRQVSSRLDGDSTMDVTKFRPNIV VQGSPGAFVEDYWGEITFDGGIEMPLTANCYRCQSITVDYDTGKTATDDRGAVWKKLN KDRRVDKGAKYSPVFGRYGYCFESTVGKELFVGQTAQVTYINKERTHFDWPALTTFGV TQTKK PFICI_10853 MEELHQSSLLESGGVRVAVQGCGHGHLDSIYQSVQNAAESRGWD GVDLVIIGGDFQAVRNAADLTVMSVPIKYRKLGDFPEYYSGRTKAPFLTIFIGGNHEA SSHSWELYYGGWVAPNIYYLGSANVLRFGPIRIAGMSGIWNDPDYNKPHIERLPFNHS NHSNHNDIKSFYHVREFDVRKLLLIREQVDIGLSHDWPKGIERYGDEEALWRIKPFFK RGSLDGTLGSPAATYVLDRLRPLYWFSAHMHCTFSAVKRFEVMAPASPSSEQKPRPVS TEPSSVAPSAVSPGIPGQSVPATIFNTETKFLALDKCGPGKKHLELCYIKPATAASKS ASKKFILEYDPEWLAITRVFHQYLTIGERDAKMPANEGENVYTEMIDKERRWVNEHIV AQDKLTVPENFTLTAPPHNPGDPETVNSQPREYTNPQTVAFCELLQIKNLWDATSQER NHRKAQGPHRDPQPRSGNRGGRGRGKDGDRGGGHGGGGRDCSIDRP PFICI_10854 MVHTLRFGETQVPVPGFGAMGLTSYMGHNLTYEEAEPVLLKAVE LGCTFWDTAVAYGAGLNEKLLGEFIRKHNVRDKIFVASKCGFEVLGGPPGINNSPSHI RSYLEGTIERLGFAPDLYYLHRMDPNTPLVDSITTLDDLRKAGKTKYIGLSECSATTL RKANSIAKIDAVQSEYSAFETLHEESGVIQAAKELDVAFVAYSPLGHGWLVDNFDFKS PDDFAPDDFRRTVPKFQGENFYKNKAIVDEIKKLATKKGCTVAQIALAWVAAQGMIAI PGTTQVARLEENWASRDIDFSQEELQEMRTIVDSAKPIGDRFDAIQESFIDH PFICI_10855 MEGPSSSLSIPPLQVLAPTPSLSPDATAIPSAHRLPVTPPQPLK DSFSKESVKAFLRQRFSKTTSSERKASPVLLSFDELPEWHQDNEYIRHGYRPISGSAR VSFRSWSYLHNESVNIFSHFIPGIGFLLGEWYILQYLASKYSRITATDYVIFTIFLLT AVICLGLSTTYHTMMNHSNKVEKFWLRLDLVGIMVLTVGDFVSGIYVIFWCEPTQRKI YWSMIGVLGTITILIMVNPRFQHRKFRVFRTLTFVATGASGFAPLIHGIVIFGWSQMV KQSGLPYYLVEGGFLLFGTLFYAVSLRLPATYGS PFICI_10856 MASNEDYSVGWACALPTEVEAAKATLDRIHDNLPPDQYSKDNNC YILGSLQGHNVVVAYPKSGVYGETSVADVVAQMHATFKSIRYSLLVGIAGGVPNTKED VRLGDVVVSKSTAGRPGVVQLDLGERRRAEDQLVSDRSLLQPTPLLLTAMGKTETATI FEESKISRLISEIMQKDPEAFAHPGPEQDILFEPDYDHAVIETNEDGCNHCNPDRILP RRPRDTQDPVVHYGLIASGRQLPRQATNRDRLAQNQGVLCFDTETSGLKDAARYLVIR GICDYADSNRSNIWHAYAAVVAAAYAKEVLSFIPSVSKTISQPTNTYPEAVPILDALL LTRPEVDRKSLIALKGHRVDGTCEWLIQHPSYRKWLEDPKLPVLWVSGGPGKGKTMLA IYITEALQPVIDTANDVLLYYFCSNRDKNRNSAVTIMRGIIHQWIDLHPHLAQEIKGA FEGTETTKYTVSSFVSLWRVFLTMLRQSRSSQVVCVLDGMDECEKESLRQLLDAVGNH LSSSQESAGPRLKLIVLSRPQPAVLENKLGQYQQIKLDDSKTETSNDVERYILAKVAE LATEQDLSEEMVARVRQALLEGADGTFLWVGFVANELQGRSWGKINEVLLRVPKGLGG VYQRILQQIDDKEALVPILQWVVLAARPLTVEELTVATGIKPSGNIPATEVVKRRLRL GGLLVKIEGDVVNLVHESAKEFFQSNQVNIKGINMFHMTQHTHRVLMQTCLLHLEHGY GASRGTTENSGPDPLLSYASQYWPMHFQHAIGVIDQQSEFSRPFFDVESTIREEWWKV YWELEKNGGNPPSFTLLHLAAYLGNIEWARLLISERSRLISRKDNYGRTPLSWAVNQG HRDMVDLLLDHGARLNFKDRSTLTALHIAVTGQHKDVVAVLLDHGARLETKTEHNDTA LIRAIQANSKEIVQVLLEHGARVDELPTAPGVTLRGPADPMDERVEEILGLQEQIFLA RYKQASRKVEMVMKAFSLSFRFPLIFQLVTLYLRSVALGRWENLSVLQELVRENRTDE LRRWTERHREFFVQVVLSRNRGRLRAICELPERILSEVAPSDLQALLVISVMVGSEAK LVACREGWREGDAITSKTFSNFTALAYNRDAEEFLHQGVRDFLNGFDVSVQTSNRQDN AARTMVLLTSHIAMIENQQPKPIEYFARVIAEFFEGYIDSSYEVELFNDANQACANEL SFIGKEKDTKRLLLLLTSIVEIAQRSQEKGQDRFLNIPSVSCLLLCQEDPAAHQWLIG EGIPATMSALIAKQDPGPLQKRACKTFVECLIIGKQYGLLLSTGALKSKVKQNLHALP EVENMMNRIIG PFICI_10857 MSGPAVELKQRRVPEVDMSTTNNHTVQQEAKGRTVTCKEISEWQ WDNKFILSGYRPEKGDYWDIFVSLTFVHNETCNIYTHLIGALVLPVIATATMRYLGEP QFLNVIAMDYNMFGFFFWCAEICLILSTLFHLMQSHSHRVEQFWHGMDMLGIIICIVG TFCSGINYIFYCEEPLQYLHWGINLTFGTTCGVLISNPELKTPRWRRVKVGAFFAFGA SSFIPLLHGAQRYGLEYMLKYAGMKGYLGEIAFYGSGVMLYAFRIPERFAPGKFDLLC SSHQLFHVAILGGMCSHLAGLLQGFTASHTLDVCEMKGIDRAN PFICI_10858 MDDADSQGLLKSMLGFFGRRRPERPVHPVSLKSRTREAWLRTDS FLQHDEFDEMDPERIAQTLRALEGYVQTDSGLESDSGFEHDGPYPKLQTVRRMITRPN YDPRADSGVAIRVSKRGRESDDVIQQLSHLDRDAKKRRVLPPREEPSTASNLEDPDAD FAVSMRRHLVSLDNALRKHWVCVCQKCSGLSVRLSLPERKKDLGADMSFEVFFGVRSL PATALQEAKITVKGMHDHRLRSLSEPTLSSPTEFAHICQSITESLDQRNCLHFALEGG IFQRLRPQPKTFGSDRVSRTVSLSALFNRQQELPGGRSALPLKGKRVLALTLATALLP FLETPWLQPSFNHSNIQFFEPLQDGELPNITKPFLALEHIPIISARSTNNGDSSQASK HMVHPNASVLALGILLCELHYCTPVELMQKDATAPRNVNTDYYTSLDMLKTLEHDAGV DYYLATKACLQWEYLPPGQHADFESVSVQRLFYQNVVKRLEAEIFKSWSIRLENLGSF DSKQNELCWGDFGREVVRYHTGKADISDASNGARANPQRSMSDTAPVSYTSLNSDVVL RMSAEPSSGLHIHSHVAEPSENSLYFFDASHQTGPAQESPLSKQWMESLVSSVYRHVV PFDQLEPGAKPVRIAILDSGFDPQNPLLREDRGLDPRIKDARSFVNGTEPHDTQDEIG HGTHALGLLLQVASCAEIYVARIARRETLDPNTYNDIARAINYAVSEWKVDIISMSFG IREYNQPLTTAISNALKHETLLFAAASNDGGNSGRAFPADYPLVFCIHSTDGNGNPSS FNPTAHDKDFNFSLLGENVSSHWPTGKNGDGQPVNIMSGTSVATPIAAGLAASVLSFA RQQDEQAGHVIAEADRLGPWMKDIHSMELVFNSMVQKRRAGYDYITPHTLFEGGLSRE RVYEKLKDMQRKMYK PFICI_10859 MSFTKLYFLCPTSDFIHPPPTGPLCLGSIIRSTSAPQFPLNRGN VVAVADAYPPVVETDWKKTISTENSFGFGVYAQFLQLATAGLPLGSELNIDHANKTAS VFAFDAMTTLAFEPTQEYVEQAVKVPAVQAWLREPKQKFAPSSSLFLVTGIKLVQGAK IKYSTSRSTAVNGNLGIDVPSFGITLGPKGHWTRANDDATESNRESEFVFAFRVKRLK FGRRLKLEEYNKGAFMGVGGEGEDDECVLIEDVDGADIKTARAVSDVTENGNVYCARP PFICI_10860 MESLDRARDESMDDVFLQDDLSQTIAEHATRCQSLFHKYMVRPE IVPDPTIMDDQLARFSLWASNMDVYGPLNVSLDYRLRFSPTAAEIIHQLLDIICDTLT SLKPIDHRPPPQTTSRKRQRISAHGDSEVMRRGDDDASDSDSDVDSAEGNILKITETI GGTLTRLFRLSNAVRKSAKANRARKIERYQGDKESNDAIAELRIYTECYIRFRFPEAP DALRLALVEANALRLRRLHYQRSHRRRIELSIQTPQSTPAVVQLPKMTPAAPTVRFAP GPAVPKSTTTSKMLRPAPVPATNATTARQTAVQAFYAKSTTEVPRAKSALVNNNLSFP PMPPTKQCPYCGVIVEFNNTKRPLLWQNHVIGDLEPFICVFSQCLVESQNGTSPLTFE TSKAWSSHMQTAHGHTWECRAPSHDPMVFDQETHYQEHSIQEHGVPEAYARTLSSAAR RRTHEKILECPFGDDFQSSENTEYSTVFSSDALQVHVAAHMKEIALLTLQKLPGDGDE DTDDFKSDQVDDDGPGLGITRDSMDSLLGDEDLDFSDDATMASDSSMSHDDEGSSEMT KLHFAVHDGNLPLVESLVREGASLSNRDSAGRTALHVAVMKKSHDQAIMDLLLSAGGK DLVNLGDENRQTALHYAAERGLIETINILVSHGADTGTTDNFGFSPFLWAVVAGQVGV TEKLLILGANVNSFSADGKSALAWAAGLGYDGMTLLLLGHGFSARTSQIQSVSLLPLG EASAFGNHIIVRAILDSGANPNDRDRDGWSAIHWAAEEGHLNIVGLLLNKGANVNAVS SYGTSPLHCAANGGHDSIVNLLLQSGADPLKSTCHGWTALHHAAFMGHSRVVQSLLQY DGIRSSASQQDNHGWSALHLAIHSRDTDTIHLLLDRSIIAEPQTLFDESGLTAEEWLD FGPISRSQKARATGNLAFSKSRCCRAVTGLRRAVTNGTGNIPMIKLFLTLGHDINGTD SGRRTALYYAVKRRMLPVIDLLLSMDADPNILPVGRRTWEEFISDAEVLLRLNRAGYQ TQDADPEIEHQIRLAFGPHRRPSMPDPSVSFESEEPLSRTSTQLPIRSVSFPSVTPSS TPPLPGHSASNTPTLSPASAQQTNDNKRKASSGSWWRRAFRTSSK PFICI_10861 MTAGWRSGARGYPHRYYDDNTFVSSVNAGEADTSIFYEYPILPD ATYTEGRPGLDRVIFDRNGNFITIIAHETRQNANDFRVGFEAGPNDWNQIELQNTNGI GSWQRWLSNHAARGGLQFVIGYAFADKAVQFWPRGN PFICI_10862 MAEVQLGEGDPSHELYAELLPVYQCVNNFLRDTSCTADDTAATL TDASQWSQKTLEVYCWDLGWIWVSKILEIPALHPLLKRLIQLLYAIQKRPAPRGEDSD FWFCLPRFFGQLNELNSWRGLYDCVVDDPKDLYTAGEWASVNSFQSLWYNDQPLPVAC EDVEGYSFFSPHPQHGLGMLRTALEITPKHVSLNQVVPAAAVWIVNAGRKFHERCRLN KDLGADRISRRPYQVPDEFYKGEDTYNLDRWKFWKSRFGELQNDETLEESTRQWAARA YTAM PFICI_10863 MRSSVGFVVGLLAAVLQPVTASYGNASSPYTTNTTSSSQNATTF ANGTAPVSFAIAQDCQIAADSMPFANVNCYYKWDNTNSNNHLNYVVQISGTGQRQAGW CDGIAANIHGRCGLSGSEVPVKNCGTDNDHTKAYGYNYDTGEDIDMYGIDMNFWMMSW AAGEDNRACVAYAIRDATCNTVNLGDFACYNSEDNSDSNEDYES PFICI_10864 MGRLGPLTSMALGRSPYDDVVEGTGEDDPHEPPRQYDEKGRIIN PETKQRIKDVIRAHNEVMEVIGVAEPDSTAETEEMIMAREHQIYETETGHTLLNLGRT LGIFGIWGVHGVRQRIMLYKPYSNVQFLQLMQYEREQHTLSRLLLTGLPPFIVMQGLH YSRLSIDWVIERRWLRFGLSWCQFHLHLFLTMQRLGLISSSSWFPGLKFFIPFTDASP IPAPPAIGIIGPAAVGTWVNRLVVNVAPYAAFYLCGRVWNWIHIGIWPHIHARLPRPA RNEHLIPRTSIEPRTPLPEDGWQTAGESPTLGAADREIRHGRSPEQDVPTLQALEGQA SAADNGIALGAVRRQSTFSSRGGEQDYGTDEEDAEMVNPTLISFDVDTSESNEQPAGV WSAELRPSFGGEGGQQPAHQPVYTVNPLTSLPAILATDIVTNFITYTICTPFDTMAIR WAARSFAERRGLVLDAMYQVSLLDGLCWRTVGNILGLEIVRLMISGEIWATFSIISQW LHVTEDEWKEFHQEEEEREASFRIPTPDAF PFICI_10865 MANTDTNSLKLPTALDPGGGAPSATTGTAASATAGSNSNSSRKS PLENLVHTHRLRGSPSTAGGGGAHEQNNDGGDDEEDEEDEDEDNVKPDKWWKIHLFRG MINDIRRRAPYYWSDFADAWDYRVVPATVYMYFANIMPALAFSLDMFTKTDMQYGVNE VLLASVLGAVVFSLLACQPLVIVGVTGPITVFNYTVYDIMNPTGTNYLAFMCWIGIWS LIFHWILAITNSCNWLKYVTRFPCDIFGFYVACIYLQKGIQVLERFGNDSPFYLSVAV ALLVFMIAYACGELGGASPLFWHPVRVFLKDYGTPLTVIFFTGFVHFGRMNEIELEKL PTSKSFFPTADRGWFIHFWDIPVSDVFLAIPFAILLTILFWFDHNVSSLIAQGTEFPL RKPAGFHWDLFLLGLTTGVAGLLGLPFPNGLIPQAPFHTESLCVTVAVTDTDEAGEEK GHYSYKPTHVVEQRVSNLAQGLLTLGTMSGPLLVVLHLIPQAVLAGLFFIMGYQALEG NGITAKILFLCRDKALTPPSHPLRRIRRRAAVWWFVALELVGFGATFAITQTVAAIGF PVFILALIPVRALLLPRLFTPEELGLLDGPTASPFTLESVGGSYGHSEHQQPQDEGVT SSSSDGTGRARAGEAVFGSRKSAEDRAERGRAGPETSREKSNDVEMARVPTNTSTVSR RTQPGRSGGE PFICI_10866 MSSFIRRSTGLARITKSSLNVYTRASLRTTRFSQVPSAVWAARH QQLRYYSSENKDPKKSASEKKNGASDSQPEVQSTSSPGPAKAEDAQQEQRPPLPEGWV YLNDAEYEELANAAKEGLKWMPKFKGHGPEDVVKELKTTGVPKDLQQLIQKKVNGTWT LTDAGKYIKSINSLSNDIAERMFDKELGEKPSKTLFEKEKRKKSEDDKKSSTGSQFFS QGSGKGKSSGPNNGPPENPLKGNDWLTTIIGSLVAYWIYNSIFTGDSREITWQELRKN FLDKGLVERMVVSGNQVRVDLNIEATRSMYPDSAATSPGFHYYFTIGSVDAFERRLDE AQKELGIPPTERIPVSYQGQSGLASIALAFGPTLLFVGLLYWVSRRGPGAGGGAGGMF GFGKSKAKRFNHESAVKVKFSDVAGMDEAKQEIMEFVSFLQKPEKFQRLGAKIPRGAI LAGPPGTGKTLLAKATAGESGVPFFSVSGSEFVEMFVGVGASRVRDLFSTARKNTPCI IFIDEIDAIGRSRSEGGGKWSGGNDEREATLNQILTEMDGFNTTEQVVVLAGTNRADV LDKALMRPGRFDRHINIDRPTMSGRQDIFKVYLKKVVTNEEIEHLSGRLAALTPGFAG ADIANCVNEAALVAARANAPSITMKHFEQAIERVIGGLERKSLVLSPEEKRTVAYHEA GHAICGWFFRWADPLLKVSIIPRGSGALGYAQYLPGDVYLMTERQLLDRMAMTLGGRI SEELHFPTVTTGASDDFKKVTNMATAMVTQWGMSDKLGPLHFKNDENQFLKPFAESTA QTIDAEVRRIVDEAYKQCKDLLIEKKKEVGIVAEELLSKEVLTRDDMVRLLGKRPFDD REEFNKYFGGDPNKPTPGQESIPPSPPSGGLDSPGVPPQPAIFEQLKGDESRR PFICI_10867 MDHLRVDPNSFQIPGMHAPPLTNQPPPIFGSYGMPDGLPMLPPE LQMAFDPSALLGDDQDAKRRRIARACDMCRKKKIKCDGKLPACTHCINYKTECVFTQV EKKRSPPKGAKYIEGLENRLGRMESLLRLAGLLDDEDGATDLGTLEKKLAEKAQQRSR QASQATSNPPSPSQDTSIHEGAASTPQSSLTSPEPQKDKGEDKRRNSSVAPEKTEEDV EGLSEMMCSLVTNQSGETRYFGSSSGFSIFSPKGIQWVNERTGNDAFQNMISEVSIDD HKWNRWKPEIFADVFRRPVYIPLPPKPEAMSLLKDYFENFNCMFPVFHQPTFMHLVEK QYSNEPYEGSGWWASLNVVLATAHRLRVMSKLVPEEEDDKAWAYMKNAMGVFTELTMR NTDLLSVQALLGMALFMQGTPNPQPSFLLIAAAIRLSHSIGLHKSGSGFHLNPIELEQ RKRVFWIAYMLDKDLCLRSGRPPAQDDDDMNVELPAAEPADNIGNIPLADGKGKMNLF RVMCELTTIESQVYKRLYSTKAAKLSEGELLNTIGELDKALEDWKDRIPIDFRPEHEI KASHTPLILHIVMLHFSYYNCLTTIHRMSVHRGYWTSRLSNYAIQGLNAKPLNPRVFA SAALCASSARATISLLKYIPQGDNQCVWLALYFPVSALMTLFGNILQNPLDPRARSDT RLMSIVVTFLSTLGEEAETGGVHRMLGVCAEFERIAKTIIDKTEKEGSKRKRRTQDPP KPSNSTASQQSSTTPRSTTSQTTPTPHYSQQQRQPQQQPRGSVSYSAGSPGMQSGHTP QSTGSNYNSPMNTANSGNGQAPRDGPNAWPQDHPSAGPIYDTPDFNNFHDLTGFGQPI ASPPLQNPNAPGFTPAILPQDLWQLPMSIDWDWAEFSGGAYPSFENGSTMPNNGGL PFICI_10868 MTDSVSGSSLLQARDVVDSSHHQNYHNNHIRSHHNHERLHQRQA DDSSSVVDSGSSNSDSGTTSASVVHVIQTVSVIQYIDSTGATVSATTQTSEPTTTQFT AEPTTVGIESEPDAISSIISSTSASSTSSTDIIVDASATASFGIESLPDSTTYSADDA VTVISSVSPATASSVDPASAVETASSSTESTSSADAASSSSMVSSFPTVESFASITST PLSTSAFPTFTGVANSTTTASSSETASSSASSTSNDTLTAEAFTSYLTTSTLAHSSGT ILYNDTYTTTLGVYSSSSSTFASITGTATSTRTSDGSSATGLGYGGDNSDGSGSAATG DSAASATSTSDSGSSSNSNSNSASAGTVAGSVIGAVAGLGLILIAALMLLRYKKRHGM RLSDGNGGLPGAGNRAIGNGGPPNSPGGAGEMSQRSTPFAVQSALASLTGKRSSQRTI ASGSTERGFQKVSGRKLPSVLQHGGDGYSEPSNVRDTMLTEGSYYRDSQGSLAGPDMP RLAVGSPMRPESGIPVFHAGPARMPITEASYFAAPSLSPPPRDPVGRSHASADGSSIY DPSHGSGSRFTEEI PFICI_10869 MGVSRTKTIKNKHATKNSGISNSKASKSGGPPDGIVKSKKKGPP PSSKPKGKGALAALLAKRKKKVYSEKELDIPKLNTVTPIGVEKPKGKKKGKVFVDDRE SMNTILALVQADKEGEIESKMMKARQLEEIREARRVETEKKEAEKRARLDETKESLRR KRNRSIGKPSADAADDSVVKEAVTSGSRAVKPKKKRVSFA PFICI_10870 MGSEAPRTRWAALARDTNETKIQLALNLDGGDFPPDTDARLISE TDAHASQASKSQTISINTGIGFLDHMLHALAKHAGWSLAIACKGDLHIDDHHTAEDVC IALGYAFGKALGSAAGLARFGSAYAPLDEALSRAVVDLSNRPFSVVELGLKREKIGDL SCEMIPHCIDSFAQAARITVHVDCLRGFNDHHRAESAFKALAVAVKAATSRVAGKEGE VPSTKGTLSV PFICI_10871 MGAKSGLALKFLQWFIRGIQFCCAAVVLALFSYFLAALHNHSLS IPTWLRAVEGISGAAVLYTLIGLLLLCCLAGHPFTSFIAIILDVCFIGAFIYVAVANK NGASSCRGTVNTPFGSGNAGDQVTSSNSGFTNLPTYRQACQMQTACLAVSIVAILFFL LSILVEFVLVRHRRKEQRFGPSPANNYTSGYGRRNKFMGMFRRRGTGGTTSGDNALPQ HTTPEQVRQSYNTESTAVGHDGLAHNKYGEAGYGHEGVNGVNGVNGQHAYHEPGVTGT TQHAYHEPAVMGTTQPPTGYQYNDGTYNRYNA PFICI_10872 MSVDILYRNQLRATINIAHSKYKALSSSGSDGKIEFDKGAEEPV FGLDAGAYSFAMVDNVGYGFD PFICI_10873 MTSQLQKVHRELSLEVYMRKAQARMLSDLGASKDAVERCLSGKG QYEAFNQDSELLGFLKERHFQDLMADWGLLASGQWKGVPIGHMLALLGSEYLIEALRA KLDREDHLNVVSSLSRTWPCR PFICI_10874 MSQQPQETLDGQPQTRSDGEPAALSGNTVPNVELSTPRRDAQWP DWFDLDDQNYNRQLSHAQAQVQRFKRDCKEAVRRFREVRRRKHLNELWYSIPGKNNL PFICI_10875 MPSLNILKKPLQLFSTQPMTGFYRDGFCRTSMQSDPGNHAVAGV VSDEFLRYSAAQGNDLRTIGLTGGCKWCLCTTRWLEAVQAFKDGKISRDGVPRVDLDA TEESALKHADLDTFKQFALKKDEVNGN PFICI_10876 MNLEPPPSPPRSGTSTPQVMEEKEPENYMVTWEGPDDPANPKNW STSRKWTISLIVSAFAFLSPLSSSIAAPALDTIAGDLHIQSSSEMNLVMSIFMITYAV GPFVLSPCSEVWGRRRIIRFGNLIFIIFTTLCGFAQSKGQIIAFRFMSGLGGSATMGM GSGILADCWRSEERGKGLAVYQLAPVLGPAIGPIAGGYLLEYSKTWRWSFWAIVIFNL VTQIVAFFFLHETYAPRILGLKARALRKTTGNSAYRTEYEREDRKLSLLLRTSLSRPW VMLATQPIIQALALYQAFNYGMMYLIISSFPTLWRDVYGMSISTSSLNYISLALGSLI GVAICGPLTDWVYKRQKQSHGIAENEPGVPEFRIPLMIPAALITPCGIAIFAWTAEKH CHFLLPNLGVAIMAGSSMISYQCISAYIADCYKHYTASASAACCFLRSMGAFAFPLFA PSLFKSLGYGWGGSVLVLVAVVVGVPAPLLFWKFGAKLRQTSRFRRGRT PFICI_10877 MASARDYTDDDASQDDLSQSQDASDSDSVGEGPSRGHFPQRQVI TNPESRDDDDDNDNAKEVFDLDTEQKAAKIASEQDQEILSLYHRAQEKGLKPDPSKLT RRKTMHRPRPAPRKQPIFQIPIGWLQKRIQRHVGNAIYLQDRKLHNYKDIVIGEPQSQ TRPVQKSEWEVLGRDHTASS PFICI_10878 MQAFSRQLRSSGAATLRQLSRRAYSANASPYAATIENLRINGDT KVLFQGFTGKQGTFHAQQAIEYGTKVVGGTNPKKAGQEHLGLPVFGNVSEAVKETGAT ATAIFVPPPLAAAGIEEAIAAEIPLVVCITEGIPQHDMVRITSMLKSQSKTRLVGPNC PGIIAPGQCKIGIMPGFIHKRGRIGIVSRSGTLTYEAVNQTTQEGLGQSLVVGIGGDP FSGTNFIDCLNVFLKDEETDGIIMIGEIGGSAEEDAADFLLANNTSGVDGKGKPVVSF IAGISAPPGRRMGHAGAIVSGGKGGADSKIKALEAAGVVVERSPAGLGRAMREQFVKR DLL PFICI_10879 MGGGGPGDRDDKDKKKDKPKYEPPPRPTTRVGRKKRKQGGSSAA AKLPAVYPTSRCKLRLLRMQRIHDHLLLEEEYVENQERLRKAKTAKDAAGPAASELDA GDRNADERGRVDDMRGSPMGVGTLEEMIDDDHAIVSSTTGPEYYVSIMSFVDKDLLEP GASVLLHHKSVSIVGVLTDDADPAVNVMKLDKAPTESYADIGGLEQQIQEVRESVELP LLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGD GPRLVRQLFQVAAENAPSIVFIDEIDAIGTKRYDSTSGGEREIQRTMLELLNQLDGFD DRGDVKVIMATNKIESLDPALIRPGRIDRKILFENPDQNTKRKIFNLHTSKMSLNEDV DLDEFISQKDDLSGADIKAICSEAGLMALRERRMRVQMADFRSARERVMKTKQEGEPE GLYL PFICI_10880 MEALKSRFPDLKFAPLVDPNSHPHYLYSPFNPSTQVLKAGHAKD PGRRPFDVDTVFEKDVAVEMRDKVKLYTDVFRATSSDDSNGKVPALIQWSPYGKSGGA QTYDVMGPFRCGVPLDKTSGYEKFEALDPAEWCTRGYAIINIDARGAGDSEGDIVFWG EQEAMDMYDTVEWASKQPWCNGSVAFAGNSWLAIAQINLASRFSHPALKALAPMEAMT DPYRDQMGRGGIPRAPFMRMISAGLAGKGGIEDAASYLEKNPLFDDYWATKVIHVENI DVPLYLTASFSTGIHSRGSFETFQRAKTPLKWLRVHPYQEWSDLYRPEINDELQSFFD KYCKGVDNDWETTPKFRLSLLGFGESPAKTIVERPEKSWPVPGTELRTYFLDADSHSL SSSRPEKESVTSHDAHHLTDSSNFSVHFDTYTELAGYPTAKLWMSCDEHDDMDVHVQI QKIAKDGSTLKSLNYECPVPEPQVPDTNVAKFLGPDGMLRASHRVSKVVVDGTVQYTH EKAEKITPGQIVELEIPLWPIGMVFEAGEGILLRVAGHDLRLPEVELLRTNVPVDENK GRHKIHTGGKWESSLVVPVIAESKRD PFICI_10881 MAETSPETTNVIIIGCGPTGAMLSAQLGRLGVSNICLDKEDGIT NDPRGIALDEDGIRAVQSIGRYDDIFTSIGQCMGKFFFVSGVHNDLHHPAFLAMDYST SEGGTGHVGFICHKQPHLEKSLRTALLESSSSQLREQCTLTAISEDCDWIYATYQDAN RHTKVIRGKYLVGADGKTGFTRKKYLEEKGILMVQSTQSAYEETWVAVNWKISLPTPQ THPDFPLWKLGYSSEDVFDLFFPTNFRFLCNHQRPAVCGRFGRPEERLWRFEFVVKNG ENGQELVQSDRLNDILRPYFTHPGSRFGLQDVVTFPEDCIETLRASPFLFAARSCNKW ALGRVLLSGDSAHVFPPFGGQGLASGFRDACSLAWRLKIACQPGFQPYEALFQAWYQE RKQQLERSLAATVENGRYVTESNPLKVFLRDTYLWGLQLIPSWKRQLEMGARASGMCQ YEPQPGLHFIPHLHGGLLLPQVYCAPLEPGSLGDEAIRIGFTDDEIFHPAKKGLLQLV AFASSVQELSTVYREIYEVEREQEQSNPYLEVSETTVIVMDTSAQHLPSTEIATRLKT VRIASGKEFSSSPLCRNRPEPRYYDELRLVKELPDSKFVVVRPDRFVFAACKSKDELI YAIKAIQPILHGEDFRL PFICI_10882 MASNKLSPAESTRDQPQWPHGARAAIAVTCDNLGEAADLNRKLW PESKPVGKHYTVTEVIPQILALLKKYDISVTYFVESWNLGIYGDFIVDKIAAAGHEIG WHAWQHEAWYKLSDGEEEANFERSFGPHGIQLPLHGKTLPSYTGFRPPGGLIKGTSTL KLARQYGLKYISPAAEDAAVIPVDNDGTGGDSLVVLPFKWATVDAYFYMESFTGLREM KGEYPSAPQSPDVLVERYIREVDEAIEKHSFLSLLFHPFLTERPERLEAMEKVLAYLA QKRDEGIIWLAPCRDIQAVIEKNPGLVGTDPSWDTSVWR PFICI_10883 MSRCQPVSEIPPMKDLTTDNITDNVKILNNQCPQARSRYIFDRL VSHLHDFVRETRLTTTEWQTGIDFLTAAGQISNDLRAEMILLSDTLGVSLLVDAINHP RHGNATEGTVLGPFHTHDAPVADNGYTLHHDEEAAKLFVLATVRDASGRPIPGVVADV WEGDAHGFYDVQNPHRDQPDGRAVLRSDDDGLFYFTAVVPVPYPIPMDGPVGSMLTHL HRHPNRPGHIHFMLRKPGWDPLITALYPRGDPYETSDPVFGVKESLIVDLGTVDDTIA QKYNVKAGTRLLTYDFILVQEEEAARLRQELATKAVEEMGLGVAIENGLPVLDVD PFICI_10884 MTFTPLQNAGNAASSRPTESETTAGPSQDESICPSQDAYSAVAS VLPTIPLGPPGAGFDPAGAEGLHNTANMNHNRDSLEHCEPIWPSWADFDVDALNFSIS AAISEWGLAPEVSPDAPGIDIATDGFGRSRDTSLGPRELLSAVQANWHTRVIADSPTL SSDASVHVEASVNDAYREGLTQRLHPSVHKTVLPSAAFLNLCVKLYFTKFHPVLPLVH APTFQPSSENALLLLSICSIGALFIGSPSASAQGRKIFQILNKAVLATWENYVGYDGR ETLALTQAAIIGQTFPMLSGYPQDLYMTECFSGTVMAWARQAGFFNVKDTLPMVQKLD SLDIEEAWKDWARKEEKARLILGLYIHDSEFATIFHHEPLLRHHPGRLPKCASEELFS ATTATQWYAILRRNQTTDETAHAYAPLQVAAHQSEMHTYASLAGIIASIQETRACTQD FTNAAPFRDSLLGWYHESFKSLPEHNLANLMILWHTGFLTLYSELDTLERAVGRNGES SAAQVSDIVHEWATSVEARRSVLHAHFIVTIAENLPLGLEPAIHVPKALFYSALATTC FLKFGPNREPLIFMQEMDMPEFQCPTTVNTVDSSGAKGRVNRIPPIDSSLLCRAVDLL RRIGHWEISRRFYSVLNPLLQELVK PFICI_10885 MSASTFNAPITTPSKMSWSDIVEMEYEEMSSSNIPTTTTSGAPS VSTESTTPSLKEANSTVNTPSKPSWSDIVQKEDKKVSTPSPASTTAVSNTPSMSTTPA LKEAAQGLAHDLSVCPCAKDQVFQSSSSSSSSRRRGANTVIGNAAGVSYRYCNECNVY GHAQRDCAVRAARITKERADYAAYKARRAAYEARLAATDCRRCGELGHLARDCTATVA APPPAQNVGKSNSQRKQKGGKNNSNKGDVLVGANGKRYQLQQGGIVAPVRGRRA PFICI_10886 MRFKTELKNVRTFSKLTAALSSLEKIAWMRLDDDTVRFTVIPDQ GSQVWASLSMDHIFDSYQLQSAEPSNTINLELPLGPLQRALKSAVNGTSASLRLTKKD GIPLLSMTITTSTSNGNASGAPSRFGPTGGASRSRRNHNDLDEAERLFGGADGDDDDG VFNVEPLETHLNREREKIVTQDIPVRVLHPEHVETIMQPKVREPDVHIQLPALLSLKA VSDRFTKLALAARAGGGNSGGSGNSMAYSPKLEIAANMHGSLRLSLHAETLDIASQWD NLENPLLDPSQLQCPIDEHPSTKFREEGPDKWATVRVDGKDWSKVLSVGRLEGRVIAC FADEHALILYVYVPQYDDVGAEDSVVTYYVSSYSL PFICI_10887 MRVRKLVTLPVRLPLKLVDKLAPTLKRWRIDRASSGSCPEEGTA ETVSEKAPHTLPVDLHQLEECPICHDPVGAPNPEGTIESWNSLDCGHKFGDVCLQTWL QDSLDREDPTNPDPTCPICRSVAKHPNCGHPVCKPPTIDMAWHAWQQYQQALQLAAAL GGGHPSPGHRRNRLQRREGHPNRPSYTPPRRMADTVGECTMCAEISKKREQEKRILSA IETGHARQDSEDVEVAAVISRKSGLLNLRRNIRRSNGESSSSRPDRQDRGRSMVCNTS APRLPTPAPVGEMTLGSRRISSVF PFICI_10888 MASGFFSRSKHKSSSSDRRDDNDSVSVHSIPRSRHGRESSIISV DRPSSSSSEFAGGLPYDATNRDGRNPVAVDYLPRPDQMPVRRDPLPHQMNRATGDYQQ YLTADAHGYAHMSAGSHLSGPRPPPGTSNVTMASTGQRNTQFQQWGPSNGQTPRGSTI SGVSTQNGQFTERSDSLAYSNWSASRLHRESDQSSVFSGNGGPLDSATTPRSSRLPAL PSATSQSSFNSNLSARDSHRLTKLPGPTYSPDRVSNANSAGSDADRLARPADDRVTEQ MFYELMQKRGWHNLPEQAKRQMVAYPTAKKWTLIYQDRLTEMQGEQKRRQVAKVNNQY SAVDVAPISSSDEEGSPEWYVRKVMDNSLDTKGLGSLEVNLRTQQIGWVKRFIECQGQ IALTTLLLKINRKTAVGPAAPSDHKTSEKNLDKEYDIVKCLKALLNNKYGADDALAHQ QVIVSLATSLISPRLTTRKLVSDVLTFLCHWEDGDGHMKVIQAMDAVKTQQNENGRFD AWMRLVEVTVDGRGKMGSLVGASEEVRSGGIGMENLLMEYAVATLILINMIVDAPERD LTLRMHLRAQFGACGIKRILTKMEAFQYDLIDKQVERYRTNEAIDYEDVLEREGSSMK DNIEGDVKDLNDPVQIVDAIQQRILGTKTQDYFISALQHLLLIRENEGEERLRMFQLV DSMLSYVAMDRRLPNMDLKQSLNFTVQNLLDKLHTDSEARQAQDEALESRQIADAAMA ERDDMRAKLELGADGLVAQMQKQLDEQARFIEAQRRQADGLKAELENMQTVRAKEAQR YELETRELYLMLRDAQDVAASQAAKGSSDNKPGAPSEDPVRMQGILDRQRLMERLQMQ IERQKTQYKLEGRVWGDTVGPSDRLRALREEMDGFSAPEGSGMPPEGFSNSMLGGVLG AVNRQTRIPRKPVQDRAETIPESDDDEEGIVYEKPRLVEFKRPVMDPRQASNLMSEIQ AKGKKYDASDSEDGDDVTTAPSHPSMESQSPITPSDPDAPKAQATGTGAAPPPPPPPP PPMPGALAGAPPPAPPMPGALPGAPPPPPPPPPLPGQLTGGPPPPPPPPPLPGSVPGG PPPPPPPPPLPGSGGPPPPPPPPPMPGGVPGGPPPPPPPMPGAMSGHFLSAQSNLPAA PSIGLPIVRPKKKLKNIHWEKVDAPEASHWAAHAPTAEEREEKYIELSKKGILDEVEK LFMAKEIKKIGMGGSKKDDKKQIISGDLRKAYAIALSKFSQFSVEKIAQMIIHCDKDV LDNPVVMDFLQKDDLCIIPDSTSRQMAPYSKDWTGPNASKEDREQDPNELTREDQIYL HTAFELHYYWKSRMRALALTKSFESDYDEINEKIRQVVEVSESLRDSVSLMNVLGLIL DIGNYMNDANKQARGFKLSSLARLGMVKDDKNESTLADMVERIVRNQYPEWEGFTVDI QSVISVQKINVEQLQSDAKKYIDNIKNVQMSLDSGNLSDPKKFHPQDRVSQIVQRCMK DARRKAEQMSLYLEEMVKTYNDIMVFYGEDPADEGARRDFFAKLALFLNEWKKSRDKN VTMEETRRRNEASMKRKHAAQLKINAVNAEVGGAPASPASAGAMDSLLEKLRAAAPQA RDQRDRRRRARLKDRHQVRVASGQKIPDVNEIPDVETAGLRSDGTSAADTNEGPTSPG LLSPDSADVAMAEAAEDSLSSRAEMLLRDMRSGGDGAEEGGENSSNMRRNAAEERRAR RRRLKSGSTASAGDAPVDGEAPKSPPPTEEVPPVPTVAVTDADG PFICI_10889 MKSFTAITLLIAAASARTTPIRARQATAEGYIILPSELASHDVI DGDNVFAVGTATARNGDIELSTLYSITFPAAVAGKTCAARFFSGRATDSVVGTGELDF FSTGITDLAGQANGNLRDQALGRIAFVPGQSGDFVVDPNVPFVYAGGFPCPAGQTIVL ESAAVGEFDVVSVGQDFAGSFNPAQGVPNGLSFVAY PFICI_10890 MFELTSYYSPNLLFFGLFASALAMGLSLLSKFLSQKSIDSTESS KPSPVRALPASWYTSQDMYELERRAIFSKRWLLTTHSLRVPNKGDWIRYEIANFQFII SRDRTGTINAFHNICRHRAFPVVTEPKGNNSILACKYHNWTYGMNGKLTKAPGYQELQ GFDKSKNGLFPIHVHIDTNGFVWVNLDASEKPTPWNEHFAGIDTQDRFKAYNWDDYEF DHTWEMEGEYNWKILADNYNECYHCPTTHPDIPQIADLEAYGVVTEKGYVQHLGRPTP EQIAKGFNVAATYYFPNASMNVSPHFFFMQRFVPKGPNKSIMSYEVYRNKGSSDADFS VINDIYKRIMSEDKYLCANAQANINAGVFVNGEMHPRLEQGPLFFQSSVRDAVTNHHK AERRAGREIWPARQQLPGNAKVTENDISFCSSMDCSKTEGLDW PFICI_10891 MASEGLSYIIVGAGVFGVSTAYHLIQRYPHASVTIVDRDAYDAE SRVAASWDWNKVVRADYDDIVYCQLALEAQDIFKSDPLWKPYFHETGIYWMCRSDYAQ DVINNYKKLGRTADLQAVPIEEARKLYGGLFEDADYTGVKEVLVNKTSGWAAAGDALR AVTKRTLELGVKYHVGEVGSLQFDLDGRCTGIKTTRGEVLSASHTILCTGAYTPKLLE YSAQSSGIKDISAGSRIVAGGITTGMTKLDDESYKRYAQMPVGVQGYTAATGPFIGSL PPTADKELKWWGQTIFRDTREVLPGRFLSAPPAEPDYAQWKVSAKLKEDIDFANQVFY GKNGASWKMEKHRICWDAFTTSSDFIISPHAGAKGLYVATCGNFHGYKFFPVLGKYVV QMIEGSLAPELVQKWAWDRERPDPCLNPDWPRFEMKDLLDVERTSKL PFICI_10892 MPEEKDPLPGREASMFYLHTPQPAVFLCARDQKFTERPFGTSSP AYTPAPRRPSKDVSDISEESYSPSPVPERSTPASLNSGTHTDSTNSRPRPDQTDIARG IRTYFSHCHRQPIWCFEREDVSNFASLPDELVYSILALTSHFSPRQISQPCHYGNDAR HLIMLRIANGAVSLPTIESLCLLSYSTFIDGNPHLGQFHLGLAIQLCRSAMLDMESIY TGSDPTMERKKKLFWSLQLLEHFYGRPNGLPSIPVEIGRPSYGPAGGVHGFFSDLGPK TPPLPTDSLGTSTPDEPGIWNTGLRLGWVWSRVRKYVSDCSQNILKEPWRHDSTYAMV CSDFLETENRIPMCHRYDSVKFYERKRDELRSEHDYWAAWLKEQFTYHSIPTVLNHPF LYIVGAQHNPNLAIPNTFWRRSSELALIHATWIVRIIDMIVDKQVKLTDPFFAHMAAI AATVHLYYCCAAAARLKHKSHTDFAKCRQFLKSFTAHSAACAALDHNLDRMTRIAADS DNMDGEDWMPSKLNLSVPLMWDILQFNCMTMTPATNSVDLLDASLTPTMAQEDLSECT LLEIVVASSPEINVDTTDGGQTAPLASSASTKPDTPRNMVWNETNLEPTDNLTFNTTP WLYADLSQFASLGDLGLLEAQSGMNDNRAQQPWWEGGNFSNATFY PFICI_10893 MATSQRVVIIGAGIVGTNIADELVSRGWTDVTVVEQGPLRMPGG STSHAPGLVFQTNASKTMTLFARYTVEKFLSIEKEGESCFNQVGGLEIATTPERVEEL KRKLGYAHSYGIDAKIITKEECQKLYPGLNTDLVLAGLHIPTDGLALAARAVELLIER TRAAGVKYIELTPVTGIDKADGRVTGVITPDATIPADIVISCAGFWGVEIGKMVGLPV PLLPMAHQYAKTTPVPHTNGVLTNGHAETNGHTNGVVKPNSARLPILRYQDQDLYYRE HGEQYGIGYYGHRPIPVDAASLAQATEDVSEHKMPSRLDFTTEDFDPAWKLSQELLPA LKGCEIADGFNGIFSFTPDGGPLVGQAPHLDGFYVAEAVWVTHSAGVARAVAEVLTDG RSRIDLAECEIARFEQVQLTSAYVSETSQQNFVEIYDILHPHQPRESPRNMRVSPFFA RQEQLGAFFLEVGGWERPFWYESNAKLLDELPEEWKPVERDAWSARYYSPIAAAEAWK TRNAVAMYDMTPLRRLEISGKGAVDLLKRMTTGNVSRKPGAVTYTLLLNEHGGVRSDI TVARLEDELFQVGVNGPVDTAYFTREARLQSQSTPDRPAHVRDITGATCCVGLWGPLA REVMQEVSPDDFSNKGLTYFRTKRATIAGIPVTVMRLSYVGERGWEIYASADNGLRLW DALWKAGQPHGIIAAGRSAFNSLRLEKGFRSWGTDMTTEHDPFESGLDFAVKADKAEF VGKAALEGRSKDTVSRRLRCLTVDDGRSVVLGKEPVFVAGKAEGYVTSAAFGYTVRKP VAYAYLPSSVQEGDAVEIEYFGRRIAATVTPEPLYDPSMSRLRG PFICI_10894 MPGRLKSLIGTINRRPSKAADGRTDQQAATAAGAVNGEKTGVFS DLAGLSFKDKHTLAHALPTLASGEALDDKDLLLEKGVAMLQGMPPNSGLSQGISDAFI SMLWADLPHPALTSAGPTSRYRRHDGGGNNPWFPEMGKAGSPYARNVPPMKSKGPNLP DVEAVYDALLKREGPFRKHPSGLNRMFFAFATVVIHECFQTSRKDPFINETSSYVDLS TLYGNTEKEQKRVRTYENGFIYPDSIASERIMMMPPPVVAVLIMFSRHHNFIAESLIQ VNEDGKYKPWEELDSEGQKWQDEDIFQISRNINVGFFATVVLKDYVSAILNTPRANSE WNLNLGKEIKKGGQRVERGNGNVVSVEFAVLYHWHAALSAADADWMEAVLRSDFPDLA SIEDVTHEQFLKVMMNHGHKLMATDPKEWTFGGLKRQANGRFSDVELAELIKDAIEEP AHEFGAHGTPASLKIVDIMGQLQAREVFNVCTLNEFRRYLNLKPYESFDEWNEDTTVA RAAELLYGHIENMELYPGLMAECTKPAIPGSGVCPGQTTGRGILDDAVALVRGDRFLS YDLNSNTLTHWGASLLQDNAPGAYGGMLPKLLFRGLPGAFTGTSSYALLPFYTPEAAR GILKGNGALDKYDLRRPPSGMDIISVQTHEGCKQVFEDRENFRVMYQDAIRNCTAGHD FMIGWDDANRHDERSKILHKVFMEDGFEKDITNFFSTNVKKLISANSLTLSKGRRSID VVRDVTNITPILWLADKFAIPLKTQEKPKGLVSIFEAFGAYLVLFMYQSFNIMPINEW KLREAAMKAGDALRPIFETHLKTQQGLKEKAVDWLAKGSAFEVGPQADRLYHALNDSK LPIGDMVGDCIGMGAPVAGNITQQASLLIDLYLSPGYEQYKERIIELAHMDPDKSERE LAGFVMEGMRHAGVVPGLPRVATRDTTVNDGARGPVTVKAGHTVLIATSKAAMDSTQY PEPEKLNPLRPFKDYILLGHGLHFCFGARLVTPALAATLREVFRLKNVRRAPGKLGKF TIVEHDLAGIKMRHYLDSSSKESPIPTSLTLYYDA PFICI_10895 MERKVSRTGSYPIQDTAFIPSTTFEGPLPDGDLKNLRLPPTMRA LRKAEDTKNHPRTLVICLDGTGDQFDNDNSNIVNFVSCLKKHSPAEQVTYYQSGIGTY DKGGLSNGIGAAMDMAVGSGLGIHIKDAYKFLMQNYHDGDKICLFGFSRGAYTVRCLA GMLHKVGLLPASNGSQLNFAYNFYKDDTEDGRKLAAGFKRTFCTHVEVHFVGVWDCVA SVGFIPRRLPFSKSPTNSIRHFRHAMALDEHRAKFKVCQWQQQNPDADSKHPKRRETV DFTPAGRVRRRFGLKKTPAMATTNGVAANGYTNGYTNGHTNGATNGDLARPKVSKVLS DSQSSLERKFQEQDKAHHRNRFFETDVLEVWFMGCHADVGGGAVANETRHMLSRIPLR WMMRQCFDCNTGILFDTARLAEFGLDVHTLWPKYQQPVRPIAGPPPRLVEKYEKKTLA PLYRRSVFLPIGSQDDRIENAPSAEKLNYILPSESDEDYFDGLERCNDMLRIARVWWI LELWPIKLRILTKEGDGWEKHVRMNLGRHRGVRCSEPKMHWTVQHAIAEGRYNLKAKC EKGVCWTETY PFICI_10896 MSAPSNNQHAHGQNPDYYAETASVAGPSGSSQAASEQNPPQYQE MVHPPASSGQQDYYPPPPPGPPPSQSQNQGYQAYHPLQSNPADYSQQPPPSYEPTPGL DVHGDEKPPVLPPRPTSRPGSSQGQAAPYFPPPPGATEAPPSPQQQQQQQQAAAPTTT ESHGSVGPAVVAAGATGAAIGAASQSQQNPDAKKKKTFGERFYDWSVKAGVPVNKITN KLGAEAFWPTSMDKECDKAARILKSFCKDGFYTESTQPPPSPGHATNPGPTPNPKAKT LVKVPSKAIAQAKGIAIFTVFRTGLHISGASGSGIVMSRLPDGSWSPPSGFLVHTLGA GFMVGLDIYDCVCVLNTEEAVKAFTKPRFSLGGEITVVAGPVGAGTSVDAAIGAGKPV WSYMKSRGFYAGIQADGTVIVQRPDANAAFYAEKGITPERVLRGDVKPHQGLVSDGGK GEIVPWPLGARQLMEVLKSAEGGRADEKVVEEVGKGPTPGDLAGTEGVGHGDPSAHGG VHPQGDVKYA PFICI_10897 MIKIFAPLAALVAAATASPVDFGPSTTSAYCTTGTPVVTAGYTI NYAPAEPTVIQSGVGYKPEATWASQHVAATYTYGVPDPKETGFAYAQFKCQYTCNSAP GGSSFFVEYIGGQVGSSCTCYTELLFPETFVAGNQTMVGGWNAICD PFICI_10898 MATIKGKDQSDAPPDHVGPPKKRGVKAVIFNWYFRALLVYAVYF YWQFWHKLNPPASAASALEDCAWNLLKPNTALLAVDPIERSEFLERQARLAAALRDAG VDAFVAEPSASTTYYANISYTFELSERPFLMILDKDAQFSYLVPKFEAGRIAGLNMVY EDKKVIAWPEEESPYEVFARETGLSKVMIDEHTRYMIAAGLQKAGVEVVPMSEKVQSL RAVKTESEIAILRGINSYTLQLVRSLQSCLSLDTTQETITTVAHNLFKRGGVGEGFWA IVLFGDQAAYPHGGKHGKTLSNGEFVLIDIGSILHGYGSDVTRTLLPNGATVSAELMS IWDTVHASQAAGFNLMHANETCSVVDAASREVVRKAGYGPYYTHRLGHGLGLEMHEHP YLNGANKEKLKLGEVVSNEPGIYVTAEQAGEIGWNKGFGVRLEDPILVTEDGGVPLSG HRARSPYDP PFICI_10899 MANPFAFRPAQVTFWVIIYFLLLVPLIYLHDSVPDAPSLSPAPG INLTQAWSDLMVLTNDFHPFNSHENDAVRDWLLDRLKDIQRQNDAGISMVIFDDNVSN VTVVDSSNQGSAPVLIGSRSKAQNIGTYYEGNNIVVYIPGKDDPQGLWKEHGDQSRET SNSTANAGVLISAHFDTSPTSVGAADDGVGLISILQMVSYFALPKNQPRNGIVALLNN NKEGGLWGARAFQKHPLKSFCRTFLNLDAVGIGGKAVLLRATSLGAANAYKSVPSPFG TVLSSEAYDLGLVEGHTDYNIFATELGMQGLDLGFYGSQSRYHTKEDDVQHISVDSI PFICI_10900 MLSNSIEIVKYLSRDLELPDDEASNGVWFDVLSQVFVAFGLNEL FAVSVTLLAATPLILLLIAFILQRSDKYYFFSFKKTICEEAVLEPVLLDSTRGIFRFP VAVIIATGVVVVSVMILNTYNPFAIYANAYTVWATTISLFYLVFWAVMTISNDVRPSA LHRGYVIFWLFTILWIALTIITALEHQFHLAMGYPFILLEAVVSIAAIISLLELCALP TKTKFAQSVHDDHETRDFHANLSSNGPPENDEENHSDEEDHLEVNETSPLFQGNSNRH SRFPSTTFGTVYRQSIASIRKMAPRPIAPEVTALPFGDEQIWSAKLPSSLWFVQFLLI GPLFLVVITNHALALVASISQSNVGENHLLPAYLMLAAFSALFILPLTPFIHRGQRLL PLIMLVTCTMTITFNLITFPFDESNKYKMHFSQEVDLKTEKSVIHFIGVEEHVRYALT RLPSAMGQEIVCIPQKPGSHGLDICSFDGSDMMPDLGEKRVNSWVSFSSSRDGKDIRL TIDGEETRKCGMDFSNPITYFQVDGSDEAVEKDYLDNITLYRRSWDTPWNVQVKLATD EEVEVRVWCEWGEFRRLPILEEAVHYLPEWVTLTSFGNNLLYGSRTYTV PFICI_10901 MSWRNQGITGSNNIPLGKRRFGGDEADGDSAPPPRRDTSDSYGD SYGNGDLKRGRSPEPRTDADGPRRRKKRNRWGDASENKAAGLMGLPTAIVANMTSEQL EAYTLHLRIEEISQKLRIDDVVPADGDRSPSPPPQYDNYGRRVNTREYRYRKRLEDER HKLIEKAMKTIPNYHPPQDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKME TESGAKIAIRGKGSVKEGKGRSDAAHASNQEEDLHCLIMADTEEKVNKAKKLVHNIIE TAASIPEGQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPDKPNFTANI ICRVCGNAGHMARDCPDRQKGASWRNDGPPQRPAAGQIGGGDAVDREYEQLMQELNGG SGAAPARIEAGPGSYNNGGGDNAKPWQRGPTGGPAPWRSRNNDSGNDGGSAPWRDRGD RGDRGGDRGRGSDHHGGNDYYGGQQYGSGAPSASGGAAPWHQAAAAQAPAYPGYGAYA GYGSAPGMGPPPGLPGGNAMGAPPGLPGNISALIQQYAGGAAPPPPPPPSGDAPPPPP GDQPPPPPPPGA PFICI_10902 MASTSPAALFESLPIPTLDRPFGVQLWPIFNKAFELVAGYPADD FKFVTGRTPMSTLKETSIFVVIYYIVIFGGRELMRGREAFKLKSLFLIHNFYLTAISA ILLALFIEQLLPTVVRKGIFYAICDHDGGWTQPLVVLYYLNYLTKYLELLDTVFLVLK KKPLTFLHCYHHGATAVLCYSQLIGNTSVSWVVIDLNLAVHVIMYWYYFQSARGVRIW WKEWVTRFQIIQFIIDLGFVYFASYTYFTSTYFQWMPNAGKCAGEEFAAFSGMGVLTS YLVLFISFYFATYKKDGKAPSARKSLRRMSQAPVPEPHVVAAAVSGSQVKTSAKSNGV TTRSRKA PFICI_10903 MASTTLLRTLARGPSASIFRASRPVASSRAGAIAPIAASRFNFS TTVARRSDPHAEETFEEFTARYEKEFEAVQDVFELQRNLNNAFAYDLVPSPSVVVAAL KAARRVNDFPTAVRIFEGIKAKVENKGQYEQYLAELKPLREELGILLKEDLYPEESK PFICI_10904 MAAKASSRLTQVHCLRIRRDDECSTLRHRPGTTTTKFMTRFSTS NTACPLRVGFRGNFKQVLPFRRKIQTKLPNMKQYTAEELFPSHSALGESPLYDAASDS LFFVDIKHHLVHWVPLSIGWDAKRTYTFMEPITRLDLVQGRIDLLAVQTRLGFALLDL STGVLKRIASVRHQGEDGPELDDKVRMNDGAIDARGRWWAGTMAQDEESRIGRLWCLE NGEVKEFPIEDEKGCAVLNGPVWSPDDKTMYVPHTPQGKIWQYDYDVKTGSALNRRLF AHLENGGMPDGLAVDKDGYIWTAANSKGKLVRFSPEGTAVAEVEVPGAKMCSCPAFGS PDMKTLFITSIADDGSSGHVYQVRVDVGGIARHKYRLA PFICI_10905 MRKPGRRKTDKQIWDGNIQRVFHLRQIPITPSLVLPCIGHKRTG IQEWLGSVEQGSCVKSSAIGSPSKWPDFDESSGVKFPAPGSDTRVSIDLKSEDHAPHD ATPGCSLTCNTHANAAGSSLLLSSSSWLNPLLGHPLYSIIVQAWAKFWSEDPVDQDGS TGNTQQSATPAPSGSASDSGSSKRPSQGSKRKRKSDEKDGTGDSKRTRPDSDNGSPTG DSKNVLACHFYKMNPRQHLECLQRGFHNISALGQHLGKQHKLGPIHCGNCWTSFTSDG ELRAHLPLCKPTGGIAVDHLPDVPKTRQDPSWKWYWIWRKLFPNFTPPDTPYTDGPEY QLIQQFVDFFSDRYAPNIASQLGSEPSLTSVVEVLRAAAREWASTPAHTLNFRPLPTP PTTISEDETRTSPAALQGLSENTNTYGNSQPTESLANGSDEGIAPSILLDNENLLNMG HRPTESLAEGFQVPTVRTDEINTANNATTYTVLDSRTGMIAARSEEENTSAWSPEQAF VEEPSNEIPSEDWIYAGGLTEEEDWMQEMNYENLGLLPPSSSKDMDEPGEHLEDSDDE DQPLMY PFICI_10906 MWRSRLRRRPPGLDPLQPALEARHQTGDTVIATGSFAAAGNTQE HKADETIPSMSSTVSEPSATANHGKNERKRPSSQTPSQSQRSKRRRSGRQDRKKRERP NTLKSQGFWPIKGIVQEASKDDGSSKYLVEWEGEDANGEPWPLEWVSEVTHEALYAWQ TEQKAKAAESQTNVGDEEEETQQQEVRPKCTEVLDSQATGESSLETQSFNLLKIEVEL KQRPDFDPSAYLAVAASSDSEAHLEDSKGDRIIPDSQEPSAFTTENSGPRVDTDFALL DSLDSQVSETVAEIPSRQPDWVTQRQSPIPEITSFLHTIPSPVPETSAQNSPSENWNL QPLFGPSDFQTQEPCNSLGAATDPEGSQDQSSQTLLSSSLLSRHPQPGIGSFDILSQA AQIVPHTQSQSTGTSSQARLLRDSGENSEFRGDIVLDSSRRSQNRQAQSQSSTQAFIG LHGNLRISSSAPPAYGLGPWPSRSQSSGQASFDESSTTHGTHDIRGVRSASHFSLPAQ TSASDFKPSNIAGSRHSEGHRTLVRTMEDPNPPGERRQTLQERMRQLAQINFSGGPAD TPPVDAPSPIKSQNLELVHPELPEVPLEPADILHISPSLLVPSVEMEHQDQSLSAFGH ETIPLRETVYEYPPVPDNLSHLPDHMSHEQPATLDPSNLTMSIEHDMLGPENDDDGSP SIPTDNLQAPSEHHASPGLTESIHGDVAITNQPNILPFIDVRHHEYIITLPLASNLRP QYVEVIERSNTDLVAYNAAFTVPPYETPDAALVARVDGIFQQLLDICDLPPSLEAIQK MSPEKVSKYMRSTHSKYAFVGSLLEHLQKAEATAPKKILIVARPGAITSLLCTLLECM GYQPCHLRDGEILQDDGAVGAASGSWWLGSLIVTIHPSTDEQYVLQSEYDVVIGFDHT FRRDLLPRWTNEHPISMHLVHTTSIEHLSMRISDNIEPLTRKNYLLLAVCASLPEILN PDLESEEAHEITERFANYIHGVDLDDFYWDHQEPPDSIFEHIAANSQGSATQSNPLPN GSPDHVVGRKRSLDGADETEAEAKRLKTAPPGIITTKVAIAPQVPSTLKSFIGEGVAA SDDDSVVQISVSRAKELQAKMAKLKAELASTRRQRDQYKELADRSNKEVESWTASVNR IQPRYMAALRDRGIAHKERDTAQKQHRDLESLLRVTQKDLASTKDALSESKQRLSEAQ EALLSGGNPKAAKMVRIEKELEEGRVRVVDAEKRNALAQKDREYASAEYQNASHHAAE LAKKNESLNDEIVKLKRLANDNIVKINQTQRNNEVKELLRRIEEKNTIVRELENDLNR AREQNTQLRSGRRETRQSSVPRSPRLAVSSPRGPSRRAATEVASGSASRGASPAFGPL EAGANIIPGVGSIFAGQQQGNGRYAHLRD PFICI_10907 MSKSTKTEIEQVIAAQPTCTRREARSLLKICDNDVAKAIARYKL LDAYKDTEETASGTDSESEAPSSETDKDSNHDSEDSETVNYDEDDEESYWPALPRFDK PAELIKGGADGSGVFELESLIGFIQGGATDTQVQAYLDYYDEDIVQENMNALIGGEYP AIFYVVETHNVKLIRLWVKYGGDPNITCGEDKIPLLVFAILRGSRTLQTVTKTVETLL VLGALPSSIPAAFYQRYDEDLPEEGPDRSKMRDLGDENKKWCTPDFSTVMSAALNVTM RYFLDRASKFIQPSGREKTVVFRQNAEGVLGLYQSIIAQPVATRWLMRKLLSHLSRQS NKPLVLVFAGPSGHGKTELAKSLGSLLGVEMKPVNCTVMNNETDLFGARPPMSDWAKG SPVNNFIVEQHEKRSVIFMDEFEKTEQQVHNSLLIPFQEGTYEDRRDAKLVVDCKKTI WILATNAFDNMIHSFHEKNKAGLESDDVNEQERLVNELCQQLRRESISKWGAPLTGRI KTFMPFLRFSDAESIIVAHKGFMDYEAEVAKPIVLAKDPKHDRYIGNVRIAVKNDSSL FSRLSKENYIPETGARGIFDGVERELIDPLTEMYLKDGEDFDEDQPETLFEIGMGRDK EVEVKLIRQRREKVDKEQEEEEDEEGDVDSEEEEEDEEVEIVEIEDAE PFICI_10908 MSLRNSASRNSRLNRSGGAVSAAIQSEFEMEMEMETERPEDPVP SSKVESTSRGRRETASTPTSSRSKSLKRSKSIARLSERRKSISRRDSTHAKEDEDEKL MKFVDKLATEREEREKVDKRVEELEAELAALKTSSAATEHGLKQSLGNTQTQLSQLQE AEKQRGKEQVAEATKLGKSQQDLAESQKKLAEMEGRHDFVRGALDALRTSSALESVQI SALKKDIETVMKERDVALQQSRLTMRAQKEHTATSSKLKDIQSEQKIAQEREKVLIKE RDAAVSKQKKLKTDMIELEKQHKSDMTELREASKPISRMVVICVDCSGSLSRVIGEIQ QCYRDVVLYLKAKCSDARVAVIMHGSSRQTVHRPHVISQRTLTFLRDTGNAGSEDYAY CLGEAHKILAREVADRKVVVMIGDGDAQYKSEWDLKSAVQYLSANQIPAHSIVIPNGK PWYSTGGNTTMGLISKDTSGRTESKDTYFSVLDEFVGGK PFICI_10909 MAHLQYSYYPGVGEEATKDLGYKQAVRIGDKIECSGQGGWWPEK QGIQFPKEINEQIDQAFKNVDLTLKTAGGQGWSQVYRVNSYHLPLNDEALQAMARNFK KWMPDHQPIWTAVGVARLGADDMRVEIEVAAHIPNA PFICI_10910 MAVENRGPQLLVVCITLLTIAIISMSLRIFTRVFIVKGFGRDDF LMVFASISFTLFVTCAITGVHYGTGRHHKDLSTPQIETAMKYWWLCYIWYCVSMITSK LSIGVFLLRITVQRIHHYILYLAMFCSVLTGLVFFFVTLFQCNPITYFWYKSGQEGTC VDAGIIAALTYLYSAISVIADFTFALLPLHMIRGLQMNRRTKYALIPILGMGCVASIA VVVRFAYIENFKDPDFLWATLDIAVWSTTEQGLAITAGSLASLQPLAKTVGQKMGIWQ TQGRTGRSASDMHARTFGSNQMSRNKKSRSAKDSYGMTTFDIDDAEEGGVYHQGDSDK AHPGKNQIVLTREVDITTNDNTTTGTGATNTKSSKRTSRLWAVAESKANESEEELTGK SPKDSYLEQLVVPRSFLADEKSSQKF PFICI_10911 MASLIGRFLNHLALGPLERKVTEYLNAATCDSVASDLGKVLLPQ RWRARGHLQVIFGQYCIQDSAGEKYWNEDSFRNHIRSAHPESIISDGAVRLLWRSFHF YAYHPFPRHPQQVTNLDFDAFHRAALLVVFQCDSLLGTRELDWFWRHDAAFFHNACFA RVFRSLAVPETATIEQGKSVNQVNDVTPSLSDAMDVLVMVGPQFIHAMPSERQLETVA RRLFISGPAAERGSARREDVSNLMELLLRLELNEEKWGSYYHYGHILQADPANEEFTE ALVDALMGGENKQVMTPEQLLEATCLFPNLPLRFQQLWAVLFQPPMESPCSLPAASTH IREGVISLFLPRLSFEIDFRPREDNQDTRITFAEVLVSLDSSNLEMSSLNKALSLHSS DHVVLFTGDTESTRRAVMGVYLPAPSSVINYSLGHTWQRSSHVFFQLLPTFRLLWGTK SYARLADLINTRDKKTSPGDDMQIDNPKTLTVPYWIGDASGEGVRLKIDPEKRTVALT GGDAQLRTDLKTKRSNEVAEEDEVLIQNARMNLFTV PFICI_10912 MANSSTPTTIAIFGATGSTGSATLRSLLSKKDLSLHLRILVRSK DKLTKLVPELLSHPSCKIWEGNLTDTETIKDCLNGADVVICTVGENRNIPGVDVIQTA AKSIVTGLRALREQQQAGRTSTAAWQKPRLLLLSSVTWNKRILESGFSPIRFIVKRAF YYPYADLLEAHRIFDEAADDDLLTLLLVQPPALIDEAPTGYEISVDKSRVGVSYADLG AGFAELATESAYDQVGAVIVTSLGGDSFASPGLCVPIRPRLTLVETWMFLNTIQKDFD HDLASPSDASDREDICSISPKAWHASPVVPPSKAHHAGTLHRSLANIQMNSIEGHLWS YFDEYMTPQCVLTPDCNPYRNVILRLASSSQRGPLFHCVLAVAANQLHSIGLRQYQQF MWLHRAEALRELRRKVNAAAAAPGGLDQTPDFAETAQITASTLMLCFFEVDPTGLFSK LDCPYYIRPNILVTILPQFFKVCRFCTSADDATVLALAGCSTELLAIISEINSLDTIV KGRQPQNSVNDKMRRDDIERRLHSQGRMFASSAQSPDLEVSIIAEVKRLAAMLYLYGR IDGASPQETHMVRITKQTLALIPQISLRTNTILWPLFIVAVLGVRPECDEDRRLILAR LTALQETRQLGNVKKARCVIEDVWKARDLRASDATKGWKILEGKHQTISLA PFICI_10913 MAEALGKDSKLDHIQPTASASQGETSEAIKNVDDKNLDEAARYL VNTEEYGPITPEKEKKIVKKIDAWMIPILLFAATLAAVDKVEIGTASLYGFQTDNGMV GQQYSWLGSILPLGTLIGLVPASYLVQRIPPARLLCTASLLWSILTVCYAACRSWAGF MVLRFFLGFLEAAITPSLTMIVASFYKKDEQPPRNASNFAYFSSVFNGFFAFVVGKIP ESAPLFKWQYLYIMTGSINVVYSIAMFFLLPDSPMNAHFLTAEQKFHAVQRLAENRTG IANRVWKWDQVADAFLDPRIWLIVVFNILINIPNGGLQTFGTIIINNLGFGSLESSLL TMPFGIVATGGAWVFSYLAAKWHNRRTLVASLALLLPIFGTALVYGLPRTNIPGQMIG LYFMYFYWPPYVIGISLPQANVAGHTKKSIAYSLVAIGYAAGNLIGPQTFVANQAPKY TTGVVTMLACYCASMVVLFAYWALSTYENKRRDRRYGKPEAVHVGTVDGFVDISDSKQ ENFRYTT PFICI_10914 MAVDKPVPAVEPKSVKFAIDRGGTFTDVWASIPGRDDIVIKLLS VDPANYDDAPSEGIRRVLELVSSSPIPRHTPIPKDLIDSIRMGTTVATNALLERKGTR HAFVVNEGFGDLLDISYQSRPKLFELGIRKPELLYDEVVTISERLTVEQFDEDVNRAS KPPLVEVPGELVRGSTGDMLRIIRPLDETEVRTKLAAVKDRGIETLAICLAHSYLYPA HENRVAEIARDMGFSHVSTSSSVGSNMIKMISRGSSASADAYLTPEIVRYVSGFAQGF AGGNLDGVRCEFMQSDGGLVSHKNFSGLRGILSGPAGGVVGYARTSYEGKSPVVGFDM GGTSTDVSRFGGSFEHVFETTTAGVAIQSPQLDINTVAAGGGSMLFWRDGLFKVGPDS AGAHPGPASYRKGGPLTVTDANLFLGRLIPEYFPAIFGPNEDLPLDFDIVKEKFEQLT EQINRDTGRSMKPIEVAHGFVDVANESMCRPIRALTEARGYETSQHNLATFGGAGGQH ACEIAHKLGIQRIIIHRYSSILSAYGMALAEVVQEAQEPSSETLSNDALARLEGRMAD LKTRITDGLIGQGIQEGSIEHVAYLNLRYHGTETNFMIPSPADGDWRSAFEREHLRDL SFIFPADRKVLVDDVRVRGIGKSKEVSQGNEQLVSDLKFTTFSAVNTGEDKTVDAYFS NGGTQPTKVFRLGNLASGSVVDGPAIIIDSTQTIVVIPTAQARILSSHVVIDLQSEKG RQDEEEQQLVVDPIKLSIFGHRFMSIAEQMGRTLQKTSLSLNIKERLDFSCAIFSPDG ELVANAPHVPVHLGSMSYAVKYQHNLHMGNLRPGDVLVSNHPESGGTHLPDITVITPV FDTEGKTICFYTASRGHHLDIGGYRGNSMPPDSTELWQEGAAIRSFFLVRDNHFDEEG IVRILLEPGKYPNCSGSRRLGDNLSDLKAQVAANAKGSNLINALMEEYGKHTVHFYMR KIQENAEVAVRNYLKSAHKKFGSQPLKAKEYLDNGSMMQVAITIDESGFGTFDFAGTT CEMLSNMNAPPAITYSALIYTLRLLIGSDIPLNQGCLAPTKVILPKNCFLNPSTGPAV CCGNTLTSQRLVDLFLKAFRAAANSQGCMNCFGFFGNCISESGEEESGFGFGYGETIC GGEGAGPSWHGASGVQIHMTNTRTTDIEIIEKRYPVLLREFSIRKGSGGRGRFRGGCG VIRDWECRHPLTFGLITERRVHQPNGMFGGENGESGANYWVQKTEDGGERWINIGSRG QVDMKEGDRCVIHTPGGGGWGISEEDEDATERVATMTSEASQIAYPRATGSFHAYAAA QEASS PFICI_10915 MTSKSPFKTTFEAAEVIRPIFTGGAIGLDNGARVLATTLGEDVV FTDLKTGKHLGKVEGDGEQISTLTITPSGSHLIVCSRSLSMRIYSLKSSETNSTISHQ LLRTLKPHATPVMVLAVDRTGTLLATGGTDGGIKVWDIAGGYITHTFRGPSVLVSALH FFEVAANSKKALEPSNAKDDKKKRRKSQTAADESAEEESVTSRFRLASGSQDGKVRVW DLHKRSVVANLESHVSDVQGLDYSPEQDVLVSAGRDKTIIWWDVRSWKVRKVVPSFEL VETVGFVAEGSITYTAGSNGCLRYWDTETGRELTKEQNAKAEPEAIVGALSRPELPFI ILLQVDHTLALYHPPTKESSSQIPEPFRRISGTHDEIIDLQYLLPDSSMMALATNSED IRLVSVTEPGSGAMVSSEYFGQDVALLKGHEEIIISLDVDWSGHWVATGAKDNTARVW KIDPANNSYTCHAVFSGHAESIGAVAFPRTRPQEGSKAFTDPLSHPPAFLITGSQDQT IKKWEIPRESQGTKKGLRAVYTRKSHDKDINAIDVNPSSQLFASASQDRTVKIWSTQE GEVQGILRGHRRGVWSVSFAPSNTPIIQGEQGPVAGKGAMITGSGDKTVKLWSLTDYT CLRTFEGHTNSVLKVVWLNIPSNEERSKKPTLFASAAGDGLVKVWDANSGETECTLDN HEDRVWALAVQRQTNMIVSGSGDSTVTFWKDTSSETQVAATQEQLKLIEQEQELQNHI HAGSYREAIVLALQLNHPGRLLSLFTSVINTKTPEAGSLTGLLAVDKVLASLSDEQLF MLLLRLRDWNTNARTAHVAQRILGALVRSYPASKFSNLSVKGARGQKSLKEVLNALKV YTERHYKRMEELVDESYLVEYTLQEMDSLAPSLGDMNITDGTDGDVIMAA PFICI_10916 MKFASPLLALALSALHVAASPTPVDAELAPRATPTLYLCGDSTM AKNGANDGDTDGWGQYIGDYVTITTVNNAIGGRSARSYWDEGRFASVAAAVTSGDIVV IEFGHNDGGSPESSDNGRSDCPGAGTETCVSDSTGATVYTFVYYVIQAAKLMTAKGAT VILSSQTPNNLWETGSYVYSAPRFVGYQKTATTALASSSVTFVDHFQAVANMYAKLGN SATNALYPNDHTHTSPTGADLSAQAFAEAIAQAMNGTTSLKSYVVSDYPTPYRL PFICI_10917 MTATTNDQKPAAVQEEGKPQSSSKPTTAKRNLGKPHAAREDCGY AHAGTNWDIVNADRAGETLTTDEETNKKKSWKDRLFKGPGLGLYR PFICI_10918 MADPLSVSSGVIALVTFAFQSSVALYKTVRNFQSQDKRARALKN ELGELSEVLESLLETVNNNPELDFKALQLPLHRCGRACEEYGEIIARCSKHSTASRPS FRDWLTQKYLQGDITDFQAMLAGYKSTINIALANANIRVAAITPKVLDDYHDMIRDTT NDLQEHLHQLDEKVRRLAAGDTVVPANDDIEWQAMLEEKDSTQQGLLICAQLAARIEE LEPTVKENPQYWQRDSARKYVKEGLGSTKGSIQALVSRLQIHEESINKQMETIRSVPA SQSTAIQLSQLKETSESVRQCIKLVSEADDFVGLERRNVFEDITMADDTYDFSVSTVG DLVTARRIDLKGRSRHVGGQISDDSYQKTILAFTKLSMSNQDQLKEADEEIIQSGPNQ GSPNRRPEGNQFQDRYGKGVKLSRPMGTKLSP PFICI_10919 MAEPVGLVSGVLALAVFAFKSGAQLYDTINDFKSHPRQVRELLT ELSGLVSVLQKLSRTGDLGLDLDLTALRLTLEQCRRSCDDVQNELLTYCSRSRVDRTS FRDWAKLKYCGGDGIEGFRQQLIGYKSTITVVLSFANLRASATTTEAIYSCRDLIATT TTDLEAHLQDVQQKLEVLTQRAASGPSFDETVRRSMEEEQRSTEKGLEFCAMLSQAIE KIQVDFFGNEQNSTNSQRHNTTSEMLFGEGLDGCMHHMRFTLEQLEKRRKTITDSLSS GSSATIRTREQASLNELQAEAKTLRHCLNFCTDIDAVLESQISNIENHAEGDDTIQFM VSTNGKPVNGKNRGNGLRLKQAGGHFSEESLQQLSQDFKTISIHHQTGLQEHTRKTSM SADGGDDVSRKPEPPFGKRHGPGFTLAKHLASTTSAGHETN PFICI_10920 MAVTEKLAVMEKMDVMEKMDVMEKMDVMEKMAVMERMDDQGLMG VPGPMGSLGQRAGKVPKVIQDPWGLMDELGPTALGAHKEFQGSQVLLDLVDLEVYHAR RVQQIQKGRHTAADDVALATKKL PFICI_10921 MVQFEYEVGQKTLRNIEECLLPLMPRIGKSFDQMRKARWLFAKS KITSRRASLDSLKLTMTLFLHAIDRVSGDADEAETKEEIENLLGSAKNTKMNFIKAEI FDQAVEHHYEPSDKDNDFIATPIDDDNNNNNNEKRPNSPESSDSENGDSESYEISLIQ NGYDYSKVDLSQWVQKHKSNTRIPLQIMLVLSDDQFVEIADHLKVQMAVTSYALVIIS GPSSHPQARSTLRRSIDERFSKASSKGIPETQSIAQSEDSLSDGGMESMTNTSETMED RLGSSWKYTLPNRQEMPRSQPSPRGNENNPADPFLYKNKPSPPNPVRQPSREPPREDP EKLEMKKQLDVNKAEYVKSEAKEQKREFEARIREETEREFRYKLEERDRQEELLNKER AAAAERAKIEIEEARYMAEQVTRDLMEKERQAAEERRKEEAAYIARAQQAARDQIEAE RRADESRKKLEAETLARAEQAAQFKLRAEMEAEAAKKNTKGGSLSFFKRLGR PFICI_10922 MQLARAAGYQVVATSSTKNFEYLKSLGASLVFNYKAAKTVPAII AALENKTCAGAYAIGTGSLEACIDIVAAVPGRKFVTQASTPLDMSDLPTGPVGFIWAV LKMMWWNISVGVKAKCKGVQTKFIWGSDLKANEVGSAIYNDFLPEALATGQFLAKPDP TVVGSGLENIQNGIDLCKGGVSATKVVVSL PFICI_10923 MPPRNHAAYIVDKNSKRLEVRPAPYVAPGPDELVIRNKAVAINP VDVGVQLLGTLIFSWLKYPAIVGNDVSGVVEEVGSGGRASKLFRPGDRVVGHAVGTDK RASGSSEAAFQEYTVLRYNLASKIPQSLSHEQASVLPLGLSTAASGLFMKDYLALPVP KPLSETDVSRPADSSKNAVVIV PFICI_10924 MSDPQAANYAPEIEHGNVIAQSFKEFGQSQLSENTLIHHVVQRT GSRPVKTDLIRKEDIEALNQKAEAPIDANKLRISEACFGALADRLMIPPAFVFTLSRH FLPNGRGSRKIRLNNQTAFDFWYFLLIRVQVPAGVPDAAGILDDAIGPSQMNPSHRLP LPGAMVDIRRSCVGIFSRIEMVSKRVTFLAFDFMHGGWPKVALEPSQRIEEVMKQRRS LGVDAGYGCYIHLVYLSSASRWWTNALNSIHEQLIIHELDLQKELDNGDTDGYNPAAR LTQINRALHSIAAHLHRYLSELKSLEGVVVDLVAHYEPVYDDECSSGSATESFEAASR GLSQVLSQIEATHAFAEELEKIQNILALLFNRIRINSANLSHKMAEASHALAEEMKRD SVAMKTIAVVTMFFLPGATFAVSTVPQRFHIK PFICI_10925 MPNRQHRKQRFQTSSLDPSWILPDEESAAVGVAFANASETASIS DRSVMQPEPRAQEQADDSDTKITKPVPSAEVPPEAAASTNVYSVSDSSNSATHSSVRL TPPSREGQDKQRSENEDRLAVPRSQVRRRRRKRYTSKHAPDWLENDAADTTSREAEGA GTAILHSRVEGLGLQDRPRGVPVWAPPESRPIRSEPVADVPSPFPVSIFRISGTSHYS SGYDAPPIAPQTPSTYSPSYRFQ PFICI_10926 MGKSQLSAADASNSEQVTRDSSSMSSPTESPVRSPTPSDSRNFL VPGSPLGRTFSAAGEPPSPSPHHSQPIALSGDCQPVPPIDIPEGELRAVNQVTVAFAI DISGSTAGSIIRQEKDAIMSVTSTFDSTSLRHQCTVLPWSHKSHSPLKIDEIEEINSG GGTDPSVLLENPVFRSQLQRSNLWFLLTDGEIYKPVITKFANAIPEARIHGTACVIIL FGYSRSSPLECNVSVGMSVFAVAPHCIFLFHDVRSAKVYILQAKGCFSSLLPETERFT SFGTETRWDELACITYKSLAQVRIASLSPISLLASKRRALRPEQSERRTSPINHRHTS GRAEREHQSQLRYGNSTYPRAARSSKPKQHARNLLFMPGFEGTRILSDNDFFSPNYDT CALCGEHKSIQTLLLSTNRNGDDETPDLPKANRKARHKYPLVLGSYPETDVILPFMTC DACAFVLLEVGELPNGDRVTAALPMVSLYNEVNRSKWLELLSSAYEHRFHERIVFLVF LSSLCSALEDLTTNDEPGSSSLIRVLESCCMTLCQLPGISTIAGFTPVGSPIANMVDD TMPFATTIGVAFNRQATPGLELPCLTYPIEGFLAIVRLASLTEDIEGYSIESMVWKKL LYHMTGQHCVLQKQQGAMEADKTLRSVIYSENVVQPDGIDGGKEPVPILSFSISALSD THLLQPSSEIIDQFERMEQYFLPIKDTTKYHAAMAVFLHLLSASVVDSARILDASDFF LHLRYSQDISTLFL PFICI_10927 MSDLTIYTVAWICAIGTELVAAKQFLDETHDDADQLPDHDDNTY ILGKIGRHNVVIVALPYGQYGLVSAAIIARDMAHSFPKLRFALMVGIGGGAPSRRHDI RLGDIVVSSPSSGLGGVLQYDFGKTIQNESFQVTGHLNQPPQCLLRAISILEADYESD GNSIDRNIIRILDKKPHLRAKYGKPHPSTDRLFSSVYKHAGDKDQECTAVCVDASQLV TRPARLQAHQNGPMIHLGLIASANQLMRDAYMRDRLSFEKDVLCFEMEAAGLMNHFPC LIIRGICDYSDTHKSKEWQGYAAMAAAAYAKDLLRKVGTGRVEAEQKLVDTLFNVGTE VAKVNLTIRDQTRQQERRNRDDKDRQCLRDLFVTDPRIDKKRIEETKGGLLAGSYSWI LTHENFNRFRNDPHNRLLWIRGDPGKGKTMLLCGIIDHLQKDFSAPLAYFFCQATINV LNNATSVLRGLIYHLASQNDLLIQHIQKQYDDKGEKLFAGANAWYDVRQIAIAVLNDP SVKNAIIIIDALDECSIDREQLLGFIVDCPKVRWIVSSRNWPDIEAKLNSAKHLNTLQ LEVNQNAVTNAVNFFIDYKVDQLARTKPYKKDTTKEAVRRHLMGNAEGTFLWVALVCQ ELASPKVAERHTLSMLNSFPAGLDDLYERMTEHLFESVDAKICREILALVSIVYRPIT LDELKGLVRSTEDLDQDEVQSIIELCGSFLTLREGTVYFVHQSAKEFLLGKASHRIVR SGTQRQHGKIFADSMDRLCNTLRRDIYNLRNPGYLTHKVKTPSPDPLSRIRYSCVYWA DHLEDAELVTKGGINENSVINITLYFLKTKFLQWLEALSLMRNIPEGVQAMQTLARVL ANVNSEELQRLAYDARRFLFSQKGGIGIAPLQTYTSALIFSPTNSLIKWLFQKEYPTW IELAPEVEADWNACLQTLEGHSSTVHSVAISPNGRRLASGSKDKTAKLWDAESGACLL TLQGHSDSINSVAISNDRVASASDDNTVRLWDAASGACLQTLQGHSDSLNSVAIWSDR VASASDDNTVKLWDTTSGTCVQTFQGHLNSVNSVAMSSDRVVSASDDNTVKLWDAESG ACLQTLQGHSDSVNSVAMSSDSYRVASASDDNTVKLWDTMSGTCLQTFQGHSYWVRSV AMSTDGRRLISGSEDKTVKLWDAESGACLQTLPGHSNSVYSVAISADKDKLVSGSEDN TIKLWDAKSNASLQELNSHSLPLWSVAISADRRRLASGSEDKTIKLWDAESGTCLQTF RGHSAAVWSIAISTNGRRLVSGSDDETVKLWNSESGACLQTFKGHFYPVRSVAISTDG SRLSSGSDDNTIKLWDVASGVCLQTFQGHSAAVWSLAFSTDSYQLASGSDDHTVKLWS TESDACLQTLRGHSSRVRAVAISTDGCRIVSGSHDKTIKLWDVVSGVCVETIKVNHCI YYLSFEPDNPSHILSNTGYFTFDSPSIDSAPSSQAASASNRSYYKYGISADNVWIVQN GDNLIWLPPDYRPLNTIVSGPMVKIGSALGRVWAIRLAEMVKE PFICI_10928 MRYADFLIDLASVVQASRSVASRHLELRGRQLDRYGKTSSIVRS IKGQNARAPRPAGSTTDAFTASQSDAAASHASAPGSEPTGVNPPGFESSSSRPVHEPT LSERRNAYQDLAQGSSKQPVPEVPLTQYDQGRPGFHPANPSDAALHASLNESAPKAPA AAATAAAAAGEDVPPEVDVNIFHTNRGASMLDGHKDYLGKIRPATPPPKPSWFKDFGH DKKAESPATAVPEQTKTHEPELQAAESAKSAESGASADVSASKDEVTISTQDPLETPK AEEVPEPKRQGAEKEHTEMVKEVLAQAEATAPETSTMAPAMDTAKPAYALRESKVPSS RLGRMWNYGSLAAGMLGGAISEGVSRGFGGGSGQGSVLLSSGNMERLVSKLSQMRGAA LKLGQIMSFQDSKMLPAPIQEVLQRVQDRADYMPAWQRDRVLTANLGAEWRDLFSEFE ETPIAAASIGQVHRATLKSGEKVAVKIQFPGVADSINSDLDNLSMLLLATKMLPRGLY LNKTIDNARVELGWECDYDREATCAQRYRELLEGEEDVFVVPKIYPEACGKQVLTMEF MAGIGVTRVTSFTQEQRDWIGTQILRLCLREITEFRFMQTDPNWTNFLYNAETEKLEL LDFGASREYPEEFVTMYVNLLAAAARSDRDTVKDLSERLGYLTGHESKTMVDAHLASV LTLAEPFLQSAPDVYDFHDQTITERVKALIPVMIRERLAPPPEETYSLHRKLSGAFLL CARLGSQVRCKELFEQALLKTDLEVKR PFICI_10929 MNHSQQSKRKFPFSGLQRRVRARKDEPEPDFAEDYESESSQDGN QDDDDDASDDSQDQDGASLSGSAMNEDGQSQDEDDEDDSDDGEPSNPSLAASQISFGA LAKAQASLPAVSRRKHKKPGDEESGDDSDSDSSPEEFDRHGKSKIKPVAGRAHKHAPA EMTSKKPVTRRRQVVDVASASKPAARDPRFGPPLHSTAADAERAQDALRRNYAFLGSY RDSEMAALRTRIKKTKDPFEKQRLERELASMQSRKQAQERRDAEHRVIDEHRKKEKEL VRQGVKSQPFYLKKSEQRKRVFTERFQGMKKRQVDKAIVRRRKKDVAKERKELPMERR AR PFICI_10930 MASTARIDPALLSPNDTFVFEFDYDAPPMRPEQREVCIQWLRSI HFLEPGGRNEINWRVIKEKWIQFSQERSKSVHLRRWQEMFWPWIDGLEGLSERWPAAA RTLLNQCADGPDAKAFESFGARWHVEPRRRLHTVWSGLFMFLLYNHPWGGTRELFDMG LYAPIDEDCEVSSQDSLILPGDDSKLSWELGDLWMSLISRYPRAHVMADTHSLVIDAL KGVRETKADNNALLWWTAILVRSAVSVSDGNGDDWISHGKCPFGNLLAPDLDLLKRVE AIRHYCKVMVLDHAMYTWAPRNVIHGLCKVETQLNSQDTSWMNGIDGIRPDEQQDQIN TDSAAWEDVLSHLNQVGGRLLGGKKGTAMYEINRLYRGLRDCVREDTEKTAGEGAQGH VLDKVKEEHKEESNQRKESK PFICI_10931 MSADQPRHTTESCASPASTISITPRNTSERESTIGRRDVLRLYA ELKSHQKNLADARHAGNYRDAATYHKKIIDLRRDLSAGGVSPAAQVEAQLRQAAILLL CEDIETVDRSLFPDLTTLSGQQARRCKIDTLAYGLLYAKVGFLHMRLSDMDESVQAQE YLNSSLKTLLKLEPAPVEHMFPIAQCLADLYDFTAADLNRARDMVQWLVEKSGQETFR NIAIGRISEAGDWCTKEGFELGDHAFDVPAMERAILRNEPKHIIETMLAWTERTRPSS ANIISRLLLTAAETRNMTISQLLFEHKAKADVIDEEGKTVLHRCLHCRDASLERSTKK DGTKIAAFYLSKDPSLRDKQDHSGKTPLYAACEVGWVDMVSFLLEIEANANLAENNAQ TPLYMACERGRRQIVKTLLDKAKNLDLDARGPGGQTPLIVAVQYAASHAEGIHIVQQL LKKGADPTIADNTGKTAISYVGGVWSTNVKEALKNARQRPAGVATTPSSSSIVTSNAS NNAKPQRSSRPPSVASSMFHKMWQPRLKSGNSSLFSPSRTSLESGLAPSIFSNDMSRR TSITNASVIGLPAGIQITGNETFMSIADKDPSAFRKDAAHDPESSHSRRASLDRPNIS RFPINDHGASEPIVPTRRNPNPDPHDSSINRGPNVTNERPPLARAGSSFASGVGQGAS GSVDSTLSSTDSEYDTPSESEDASHDGEHSPFEPRIHNLPIRSHPASGVQDRPSGSRG RQNGSFDGASSIPTPPANDPPGATGQSKANQGIGHGSGSRGGKVRNATQGPDAEQKRQ VLLACPFAKKDPISYEHCHNYELREIKHVKQHLKRCHLIVVCPRCRDGFNSQDHLDRH LLEGCEIRERGDPEGMTPKQHEILRPRTNHKVSLEEQWYFIWDTLFQGLPRPPTPYKD EFVNLSEFHKLVKDFRDHHIPSLANRLLHEMDNTRPISYEQVAVILNRGMVEFASTLQ QSGVVSGNQSITNPGTQPGPTTMAGAVDISLLNRHNSLEGPSDRLTPDSSGMAQQCSP GSTHLSNDDYLHPIDEVSNSSSSQRQGSIPHEMEHVIPPQNPVHPSQIPHSHPLQQAA ADNLYNSQMYGAQGSGTDYHAFTGIGTTWNGNDAAMLDSYSDPVLSPNHFLMDQSSIE QMFRPIENDAMDLDMMQGRPQLQHSQTSGYFPIQQTPGAQQQVPMFMTGGQGAIPFTQ MAHNAEVQDTSPHSAHPSGQGPLWYGP PFICI_10932 MSRRFANNDAYLGDALSHIRDEDLAADVEAFRDQWLSEVDVNDL RRAAIVGKHPKTYEDISRGTWTGPTEGLVELDPKEKEALLKELESAMPEPGMVLVILA VSLAAFLQGHVQTSINGASLYRANIGLPSNETTFGMSPDGSNTEMWMDRNILRPSQPS PNDWLLGITNAAPFFSAALLGCWMALPFSDRFGRKGSMIVAAAMVMVTSALLAMIPVI STSQPKWQIILGIRIVNGIGMGIKAVNTPVLASETAVGYWRGTSILAWQLWVACGIFV GFILNLCFSQAKDENLALALILGAPVVPSIVLLGVLAVCPESPRYYLRRGPNYNPKKA YEIIKTLRRSPLIALRDIYLLHKSIQQEVQVQYKKNMDLRTFVTFMETAEFGVLKDFV KQFRELFAKRRNRNPLISSSIAALAQQLCGINILAFYSGTFFNIFSNNKNIAMYFSIG FGFLNFVFALPAIRRIDTMGRRRWLLITLPFMSLMMAAAALSFLALNNESIPESPKVT CALIFLYTLTEPGPIPFTLAAESFPLSHREIGCAFAISVNLFFAACLSLFWPSLIAGI SSPGTLGLFSFFNVLAWVLVFLFVEETRRISLEDLDYIYAVSKSKFWKFQLYEYAPWV LKGFVLSIFGKRQASTDDATDDESISQHPRHGPRPQLYKPPDFDSDQLDQSGSEVEMD DFDPDGISEVSRA PFICI_10933 MPPATASSRPILVVAQAVSGISRRRLQFFHPLQRQTPPLQQHAY ISARHICTSPATAPTASLPAVADCNSPRITTREPLSSGDEKHSTTVNIMSPRDFYGGR ANAQQPPPPPFNPFAGVFAPTMMMPMQAPTFTPWSSQPPYSGQDYNHHQNHHWQGHQG QGQAQADEVVALDAAEDSDSSPSVGGEPTPQSTPHQTQTTGIPILDGSSSAKKPHKKK QKKLQQRQQQQQQQQQQQAEATTATTRPAKKRPYAKPTAGSGGVPNPSTAYIAQSARP PSTLAVPRRILVIIDLNGTLLHRPNSKKSSTFVERPFTRTFLQYCLQTFVVAIWSSAK PENVGKMVPQILSPADQERLVAVWGRDTLGLSADDYNQRVQCYKRLERFWGDESVATS HPEAHLGRRWDQTNTVLIDDSKEKARSQPYNIIQLPEFEGDIEEGGYVLPQVHNYLNE LAFQRDISSFIREKPFKFDPSFTLAAA PFICI_10934 MDENARRRRQNEAPINTTSNSRYPDPNAQRRPYGASTGASDRYR PASLNTSPTTARGMGATGGYSGYYQEQAAAFSTAMPQNTMPYQSEYGQDTRQTTQNFG AYNTPMMYNVPQAGAQSAVYDTSQQFPSRQPAAMQIMPTDVAAPYFPGEPTNSAAASG LQGQGTSSSTSAVYQQSPGDQRAMLQNYPSGMASMSGMSQASAPQEQPVQQEEEDYGH MGQAYNEYQSALKEIFTNIRNGVLMTASDSLLSVSDWLLSKANELGLVVDNPELHHDR IKLWNDFNHAWLGLLQKQKDMVESGIAPQRGQTLITEERLNKMGKELVRMCDGIERHG LVDYEYGVWEEHIVEILTECLDLYGGNNNDDADTSTNAAGPSHSASR PFICI_10935 MSTLRYTATRCLRDIRPTAKSQWGATLAIRSKKQTPAGAVVSRA ISTTTPKLAIETNDNNTSESASEFAALGAGAAQNSAAPEGSSRLTPSLSTLHAPFARA VPVSPSYFSRQPYFMDSFVEVQELARKYARLPTLPKDKVTPVAWRTRQQYRLASGEQV KGKDYAQCLGLVKRLNQIHPDLMPEDVIKGISIFKRDVNHYLNRPKFLPVDKFGRALG VGKRKSSIARAYVIEGTGEVFVNGKPLTDAFGRVHDRESAMWALKSTERMDKYNVWAT VTGGGTTGQAEAMTLAVAKALCVHEPALKNPLRRAGCITRDPRAVERKKHGHVKARKM PTWVKR PFICI_10936 MSFGKVYTKERNPRTTAILAVAKANGLDIETVVVDTVKPTPEFL KLNPLSKVPVFVGTDGYVLTESIAIAIYLTSQNEKTTLLGKTKQDYASILKWASFFNM EVLPNLGAWYRPLLGWDAYNKKTIDDAQKAAAKAIDVVEKHLLNSTFLVGERITLADL FAVGIISRGFQFFFDKEWRAKNPNVSRWYETVYNQKIYSDVAHPFELLEKPALENKPP KKEAAPKAEKPKAAPKPKAQAEDEDDVPAEPKAKHPIDLLPRATYPLDEWKRFYSNND EAESMKYFWEKVPKDEYTLWSVKYKYDDELTKTFMSSNLIGGFFTRLEASRKFLFGCA SVYGQDNDSIIRGAFTIRGDDHVAAFDVAPDWESYEFAKLDPENAEDRAYVESMWKWD QPVTVDGKEYPHAQGKVFK PFICI_10937 MLLHCASFLHCFPLLVDNSGTIERDEFLSLPQISSNPLATRMIA IFDEDGGGDVDFQEFVSGLSAFSSKGNKEQKLRFAFKVYDIDRDGYISNGELFIVLKM MVGSNLKDQQLQQIVDKTIMEADLDKDGKISFEEFTKMVENTDVSMSMTLDQF PFICI_10938 MSLQRKVRIKKLNSKTALPVLIEGQINPDEYESLTTDISSTAGV DQGESNEVHLQAAIKGTGTTKEDNEIPVPPPQESEEVNYDDIYPRVYPEPRNYIKFSE TVEETLGCLYDMTTEDDEYLKTYNAKKSPKEQLSEDDFERIMELFEQTAAGEAPFAAV DNTVVPYETMVMHMLHTPVHKLQTHAKVVYEHWKGLRQDKGKLHPSLKFETHSEQDDL DPYVCFRRREVRQTRKTRQRDVQVADKLKKLRRELEDARLLVMMSQHREALKQELLSL DKKLFEHRAIFKEKKNRLGIPKNEDEDLLINSKPTTAQKRKAQEVQPPVRAAQNTQSR LVVRVDGRPTEQDLPLLSEKIEEKWAELRRDVEHKATTHNMWNRDYIDLTDKPLPPVK REQEQSFRPAKPTFLPTPPSSESDQMELDVEECETSFTGALSSQLEQFGIGHPSPLFD EQPTFSFRRRQGRGGRLWVDRRRIDRRDPATRLASPPSDMDVDEARQFDRAKYDQDSD SEDDQVYHIDPYDQRALKFRALIPPPVPTAPRGYYRQLPEGANGAPNRPTPSQPSSAA PTQTQTPQAQAQVQAQPQVQAQAQSQPQSAAS PFICI_10939 MSKRTTHPTSGPLYALGLEGSANKLGIGVLSHDPTASTTTILSN VRHTFVSPPGTGFLPKDTALHHRRHFAPVALAALRDAGIRPDQLSCICFTQGPGMGAP LTSVAVGARALSLLWDKPLVGVNHCVGHIEMGRVITGASNPVVLYVSGGNTQVIAYAS QRYRIFGETLDIAVGNCIDRFARTLRIPNDPFPGYNVEQLAKKASENGRTPVLLDLPY AVKGMDCSFSGILAAADIMAAQMFAQDKVLAEGGSLKDGEVRITPADLCFTLQENVFA MLVEITERAMAHVGSRQVLVVGGVGCNERLQEMMGQMAADRGGSVYATDERFCIDNGI MIALAGLLAWETGYETKLEDSMCTQRFRTDEVHIAWRED PFICI_10940 MGNSPSTSKPATPTQRSHVEHAERVDRPSGHDSPRASKKQEPKN LISAAQNHQHRSSASSAEASVVSAQGSTIGPRATGTTRPLSILGASSNSPSSRSSVPR SKYTDDQDAEPSKPVDVPTTHSSQHNTAESSIRSPSQFGDDPLLTNNPASASVTDMSY NLPRPPRLPLPIEEEIHTPGSPVIAPADIGPSIETLESNLDRELESTGADLTRKSSGL SQGTDDDDDEELRVDKTRTVQTRVEWLQGGQKVYVTGTPFQWSRKQRLHPAKGREGVL EAVIPVYPGTHHIKFLVDGRMETSMHLPTTVDFGNNLVNYIEVSGEETPARNLTLGAT PPKSATEAQALPSRQPSGTDLEATAKQPQTKAVPPPERFSGVIPQYLADFDQPEDSRA YQLSVGALDKLPTPPALPGFLSKPIMNANALIKDDNSVLNMPNHTILNHLATSSIKNN VLAVSATTRYRNKVSLLCNNYRRQLIAGPRAKMADKDDP PFICI_10941 MATMDWQTPLSASLGLLSTAAVHLFAALYWALAWISLNVVAIIP TYLYRAVAVIATPLTYPLYYLWRLVAFILSPFWVLCSACVGTVSWALDLAVRFKYLYI FFATAALVGILTACLLHGTSNLIFSLLNIAPSSSSSSYSRSSSSYEKAQLRLQVMDPL EGGGDYYDSAPKEEEDDDGDASSSSTDFDNLLSEPASRRIRADHPVNNKLLEQAYRQA RSTRLSPIKPSRRFRGLLAQTIHEESSESE PFICI_10942 MAAYGAGNGAPSPRSFAVQHQRPKDSFVGCSKITDYELLHKLGE GTFGEVHKARSKSSGSLVALKKIIMHNEKDGFPITALREIKLLKLLSHKNVLRLDAMA VEHHTKSTDKRKRPIMYMVMPYMDHDLSGLLENPSVTFTVPQIKCYLKQLLQGLQYLH ENHILHRDMKAANLLISNKGILQIADFGLARHYDGQVPVPGGGGGEGKREYTALVVTR WYRPPELLMNLRRYTTAIDMWGVGCVFGEMLVGKPILSGESDMHQLEIIFDLCGTPTD QNMPGWRTLPGGENMNSRPRTGNLSTRFRDHGGQAISLLKELLMLDWRARINAVDALE HAYFKSAPYPAKPEDIPFYEDSHELDRRKFQAQKAALPPAPRGGTVGVGPYEGPQGYA SGDGYGNARMNGRHQNHHGSRNGGHNDRRPAWNRERDHPPPDTRLPPRPPPPENGYDD FPEYRDRDRPPRNRGGPAGASGGSNMDTYIPTYNPGHAGHGRDRPPREDRPLRDDRRR RDDRDDWRHDRDRTDRVRDYDDRGRASRTRSRSRSPIRDRERESYRR PFICI_10943 MSMDAILDGGYLPHAVIRIGIRRQLAQRLAEIKSESLAAAYERK MDYIERLRTQPIAIETATANTQHYEVGTGVLAGMLGPRMKYSSCLYPKGSETLGQAEV EMLKTYIEKAGLKDGMSILDLGCGWGSGGLFFAEMLPKSQVTAFSNSKTQKEYIDAKA KEKGLTNLRVITGDVAVYEFEQEKESFDRVVSIEMFEHMKNYELLMAKVAKVLKPGGK LFVHIFAHKDSPYDYEEGWMTTHFFTGGTMPSADLLLYFQKDLKIEKQWWVNGNNYSK TCEDWLSLMIKNKQQIWPHLVETYGAENANTWYNRWQIFYMACSELFAYEGGDTWGVA HYLFEKPKA PFICI_10944 MSKRLASEALEEEPLGSIGSPASKKSRFDDGPAELLNGGLNGNG ATPTQRDGQGEDEDDFEDEVQEKAPIRQAAPTAGYDDLYLDTIDRNVLDFDFEKLCSI SLSNINVYACLVCGKYFQGRGPKSHAYFHALDEGHHVFINMQTQSVYVLPEGYEVKSK SLDDIKYVSDPRYTKQEVMDLDRKPRRAWTLGGKEYTPGFVGMNNIKENDYLNVVVQA LSHVAPLRNFFLLEDMSSKSELAKRCSILFRKIWNPRAFKNHVSPHELLQEISLRSNK RFTLTTQSDPVEFLSWFLNNLHLGLGGSKTKPGSSIVQRIFQGKLKVESQDITARADV GDRLRFEEAAEVKADINRFLLLTLDLPAAPLFQDELERNIIPQVPLTTILSKYDGMKG QEHLSQRKRYRLMHPLPPYLIFHIKRFSTNKFVSERNPTIVTFDARNLDVSPYVEPNP AEHPPGEPIWYDLVANVVHEAVRAKEDVADTAEEKKTWKVQLRDKGRDEWVTCQDLFV ENTEKELLYLGESYLQIWERRREPKGKGKA PFICI_10945 MASQSASSSALVRKRDDLELMPPPPPTKRIKRPKKVLDEDTYTD ALSQIIARDFFPGLLESETQQEYLDALESKDKDWIDSASRRLHQVMTPGRHTSRRGTS LAPGAQTPRGYVGDTPASVSAESSTERPVEKPPIDTNMSLGAFQSKYTSEDNESFYKL LDKQNSKRAEKYAWLWAGNKLPSKMQLKQKQIEAKLSETRGLIDDGWDRDRLAIKDRD QRPAQPDYWKSAPNNALMFAPDSVEDSLETVAQKAESESKAAPKAVNYANTRLPLPKV SDDQSEPGSPSLSAVRDAIAGKRTGSIASSSIVGSETPRVNGYAFVDDEEPEYEAPRP APPKIDLGPGDASPNPFKISEQSRREALHHRMVDKISQSKRTSQQVGMTGKVDKTPVP KFPSSPRVGGGLTPAAQRLWGKIGGNGGVTPRTPFGESTPARKVSKLRQITK PFICI_10946 MDTTFESVHNQPYNGTENEEKQINKNLHWKPWGTRSPVIIAFIL ASLVLAAVVEVLAQKSLASGVLSPSSSINDIPDSARLAALYVPTVIAVLYGFFFSCID LDVKRVQPWIELSKPGGALAENSLLLDYDFDFLPFVPLRAARRRHWPVFYSGIIIVII FWVITPLQSAIFGTGTAELTQPAVLTTSGGLIPIEDQASLLDASVLNGAFATLWLNQT YPKSLTAQSATLPIQAVDIPLSASSANWTGWTWQLTTELKCWPALLRNGTTPYFGKNQ TGVLLDNGQGCTVPKSFGFNMDGDGTPYVIRYIGWYDNARLDYHMASTTCDERFKHQF LAITSNIIGLYNDVTSEVTAQFCEPSYYKQNVTIPMSGTTLKPDFSAITNAGPKTELT DTEFNRTAFEYLLGTGVASVNRERDYPDKQTLEGYYQVKSRDEDIPWPIETMPSFAIG SGNWTGKDLLDPANQASAYAKAHQAAFSIAISELLAESSSATQQGSIDFRISGIVVSR PISATVEILLAISGLLAGIILLYNWNAQTNLPGDTSSIARIMEISQHNEELLQKFIPF EQSSEKELKKGLGQLRFESQRIGTEAHLSCIPASPEAPTPDNVLRDSLAARSQMTGVR PTALKVRVAILVILLLAAGVSILAYLKYREVILGGLERPSQSFEVLQILENYIPTAFA TFLDPFWVLVNRIFCLIQPFRTLQKGNATARRSIECDYTGLMPQFNIIRAARSNHYFL AMICAVALLGNILAVGLGGIFDEREVDMEYTVQYQPQRSLSPTKDRVELPSGTLQMAV KYSDHLQLVRTNLSAHTSLPPWTTPEAYFLPFADILNSSTTTDLQRANTTGIVFEPRC SVVSTDNTSSEDYIHFVYNNTHSQLYLNHVFQNESSIRCGVMSGTFEQTDVSAVNITG KQDRPRGPSSNEIYSVMQALSEDGLQTTDADDEFCGRMILAGWVRINATSQGVPLDEP ESSFMMCSPRVSTAPYQVTVDSQGYVIDSQRLGDLVDAEPIFANRTSLIFYYLFFDTI WGLDSWHNTTVANDWMNSLLKLSLDGTAHVDPNQPLVSMETMLPAFEAVLREGIASLF GLNPSFWKATGPDVALVNGVVIRSETRIFMSEAAFAVSESILVIYIIVALLVYTRGLG VALPRLPTTIGSVVAYIAGGRAVRNYIKDHAETAEKVEHDKQEYRYGTYVGQDGKMHV GIELEPYSQLSTIRRRTISPPSQGNFRPFV PFICI_10947 MFTSNVAFVAAGLVAAVNGHMQLSSPQPHTFLANDGQKNPGRPL DSAGSDFPCRNPNLSFAWDGPLNTFALGSQQQLALIGSAVHGGGSCQLSITYDREPTA DSVFKVIHTVQGGCPARNTEGNLGDDASMTDPFTYDYTIPDNIPAGNATIAWSWLNRV GNREFYMECGVLELTGEGGDQANFDALPDLFVANIDPYSDGCSTLGMESEDPIIPNPG DSVETNSAYSAASFTATCGSLTATGGSGSSPTSYAASSAQATSAVATSVATSEAAATS SAQGGVFITKTAASSASQATTTAQATTKATSVAVTTSAAAATTTASSSGSTSGQQKSG ACDTDGVFNCLGSSYQQCASGQWSAVMQMAAGTECTVGESTDMAINAVGRKMIMRALK N PFICI_10948 MHRSFILSSLSALFSIATAAPSSEISPRQTCEFDSANNPTCWGE YSLSTNWYDEAPDTGVVREFWWEITETTAAPDGFSRPVQAINGSIPGPQIIADWGDTI IVHVKNSATQNGSSIHWHGIRQEGSNLFDGVSGITQCPTAPGDSFTYQWKATQYGTTW YHSHFSLQAWNGVFGGVIVNGPASAPYDEDKGTLLLSDWYHPTVDSLFQGAQTGNIPA AQNGLINGTNTYKDGGSKFQTTVTSGSRTRLRLVNTALDTHFRFTIDNHNLTVIAADL VPIQPFTTDTINIGIGQRYDVIVEANQDPGNYWLRAIPMSSCSSSNENSMNITGIFNY EGVDVAYPTTTGWSYSDNCDDETANLVPYVELDAAQDGLTSEFEIGLSANGVFRWTIN EQQFYTHWEYPTLQQVIDQNSSWAEVQQVNIFDEENEWVYFVIESQIGIAHPIHLHGH DFYVLGQQAAATYESTDQLNFANPIRRDVAMLPPAGYLVIGFPTDNPGVWLLHCHIGW HTVEGLALQLVERQSEIAAIVDADYLAQSCSSWVDFTTDTEKIQDDTGI PFICI_10949 MAAEVFFTVCYRNSTTDIKLLKSLHQFQPAVLHGYCRRRVKSND YPGIIPDAAHDVRGVLVTGLTSANMYHLDYFEGPEYTREKVKVKLLTKVGDDEGKGNV EGDEVETEVYVFKHPERLETLEWDFHEFRTQKMKKWTREDYGFEDSEHFAPVDVDGVT EDEKGAAV PFICI_10950 MAANAFEDIVKRLSIADTESKQKLDAASSLRDTLDHYTNGPAYP TFLKKTMPSFVNILRGPCVFQTTSVEQKLRNCVLEILHRLPTHQSSPEPFESYAEEVV DLLMGLVKNDNEENATLCVKIISDIMRHQHKVLQGKVQPFLTLIQELFEQTEKNVREQ LDSNAGAPNATGAPSTPGSTQTKFESPRPGSPVASVTDLGQDLQQQNRPLLKGIQSFK VLSECPIIVVSVFQTYRSIIPQNVKSFVPLIKSVLLLQAQAQEQAHAEAKAKGQTFAG VSRNIKNRAAFGEFITAQVKTMSFLAYLLRQYSSQLTDFLPTLPDIVVRLLQDCPREK SAARKELLVAIRHIINFNFRKIFLPKIDALLEEKTLIGDGLTVYDTMRPLAYSMLADL IHHVREALSPEQIRKTVEVYTRNLQDNFPGTSFQTMSAKLLLNMAECIARMQNKVDAR HYLLTILNAIGDKFAAMNRQYPNAVKLSKLYAQQAADQVSDTYLADKEPQPDWDETDI FTAMPIKTSNPRDRGADPVVDNKFLFKNLMNGLKNTFYQLKACNAAIAIDPNNAPPHW QEVSYGFSAEEVKVIVKLFREGAYVFRYYEIEKPASESTYASTVEYMANFYMVSSSKE EKDLLETFATVFHCIDPATFHEVFQQEIPRLYEMIFEHTALLHIPQFFLASEATSPSF CGMLLKFLMERIDDVGSADVKKSSILLRLFKLAFMAVTLFAEQNERVLLPHVVDIVTK SIELSTKAEEPLNYFLLLRSLFRSIGGGKFEHLYKQILPLLEMLLDVLNTLLMAARKP TERDLYVELCLTVPARLSNLLPHLSFLMRPLVVALRAGTDLVGQGLRTLELCVDNLTA DYLDPIMAPVIEELMNALFEHLKPHPYSHFHAHTTMRILGKLGGRNRKFMTDTMPVSF QRYADDPASFDMRLIGSKKDRAFRSDLGIDCAIRKLMEVPKPTKTAANKQQEEYYKKQ ALHLIKSQIKLRIGYDNLPEDLPRLVRLQAQDLVARKCEHDFTAFNISERERSVIKKD EEDKTMKRLLKAIIFAESIPSFQDEADLFLMNICRHFTIIEVGRGLIDWKRATSPFEV TNGEGPLFIETRTLCDAILESLASDLPEVRDAGFRAIRAIYDSAITILGSDTHVARLP IFQHLCQVFCHGCYEEEWFTKTGGSLGIKYMLTEFDLGDAWVNQRQMELIRALMYVIK DMPQDLPENTRCSAQNTLELLLRRLTKNITRDDCKPILQQPGQQQPKPQHRMAQICAL FNDELAHMNRHVRETARRSLEIIAKATNSELWEIVLPYKDRLLGSIYPKPLRALPFST QIGYIDAVAYYMGLKSDFVAFDDTLNRLLMESLALADAQDDSLAGKPAEFRTHESIVN LRVACIKILSKAMSFDEFSKGQNNPTRTKIVSVFFKCLYSESKPTIEAANESLRAVLS HTNKLPKDLLQQGLRPVLANLQDPKRLTTHGLENLARLLRLLTTYFKVEIGARLLDHI KVLADSNLLQQISFTFFEQNNAMKVIAAVFNIFHLLPPAAEQFKERLIDTVLELEDKL RRTQYSPFRPPLYKYLNRYPQEVFNSLVSKIEDPKYGRFLAQVLVHPDSQPLRDYAAT NVEGLINSCNAVINANKDTKHIAMVYTVNILDSLSQASSNLTWLERKDTMIWLNKVAV ELERQLRTNQLPPSLRLPADQAADQLMGMFTKALSLDPNNLDSLFSLIDSVTAEEFRA TPSLFSYIYKHVICNQSIDYWKSVILRCLDVYASKQASQKTKCFLLRNIVNPIVAMDI MRNGYQTETLKPQRLMDRSVIESISAKVWKVNLSDPQEDLAQPGIDHTRMELLQLSAM LVKYHHAILQDARKDIIKFGWTYIRLDDVINKHAAYVVIGYFIAHYDTPAKIVTQVYY SLLRTNQNEGRALVTQALELMAPVLPKRCNTLPNDRNAVWAIAPRRILAEEGQNVQQM TSIFHFLVRHPTLFYESRDKFTLLIIQSLRKISAPQNASNESKKLVLNMMWLIWEWEQ RRVEGKQGSPIRALSESPASRKRRLDSDQPTSSPPTARTVPPPAKEFQIPDAFRLKML KYLVEFIAQLNERYTLPSAKPRDAATAAGPALPPLSTELCKKAMSLLYNLLQPQYWAD LDVDLFPNVTEVVLASERTQKILNADPSDKDNYDEKFITNIVNTLQVVRIILNSKKDE WIVKNMPSIQKVLEKCLKSENPELHDCLHFADKEYDDERDMKSIVQRVLESVPEDVPM EDADADGEPEGQTSEVITFLSGIATETLNASNYVSGVNILWSLGQRKPTVIDPHIPAL MKALQSKLAREHVQHYTNVQAHQMNVHLRPADQGPREGEMSMYDLEIQTALMIKAIEV TAMRMEVLGDNRRPFLSVLATLVEKSLHIPLCTKILEMVEDWVFRSEGTWPTLKEKTA VLHKMLSFEHRSDSTMLTKFLELVIRIYEDPKITRTELTVRMEHAFLIGTRAQDVDMR NRFMSIFDKSLSKTATARLAYVITSQNWDTLADSFWLAQASQLLIGAVEVNANIQLHQ ADFRTLPASTVFGVYSKDTREPTAMADDKYDTFMSNHRRFVAELGDVKVRDIIEPIIQ LQHNDTALAHELWITLFPMFWSATMKEEKTDLEKGLVALLTKDFHSRQIDKRPNVVQS LLAGAAKSWPECKIPPHVLKFEARTYDAWYTALVQLEKAAIKPDGDSSTIRESNLDAL CELYSNLKEDDMFYGTWRRRCQFVETNAALSYEQNGMWDKAQKMYEAAQIKARTGVIP FSQGEYILWEDHWVLCAEKLQQWDILQDFSKHENMQDLLLECAWRNIEMWQNQDHRES LDHLIKGVMDAPTARRAFFQGFMALLKLHNNRTPETQQEFSKVCDEALQLSIRKWHQL PGRLTAAHIPMLHNFQQLVELHDASIICSSLSGTTQANLDVKSGELKLLLGAWRDRLP NVWDDIIDWQDLVTWRQHIFGLINSTYLQLLPQQGQNANGASFAYRGYHETAWIINRF AHVARKHNLPDVCIAQLSRIYTLPNIEIQEAFLKLREQAKCHYENPEELSSGLDVINN TNLNYFNAQQKAEFYTLKGMFLEKLKQKDEADAAYGTALYYDIGKAKAWAEWGYFNDR KFKDDPTDLNSAKQALTSYLQAIGSYKDGKSRKLIARVLWLLSLDDANGTIASGFEDY KGETPVWFWITFIPQLLTGLGHKEAPRVHAILLKIAKSYPQSLFFQARTNREDMLAIK KNQEAKERQRQRAQSAATTKPAGSPSQAKQDNQQTKTESSSRPQTANGEGNGQVKSEG GDDKAAATPAANANATPAPAGEQKSDSGTSQKRPPWELTDEIMSTLKTAFPLLALSME KMVDQIQKYFKCPPDEDAYRLIVALLNDGLAYVSRMPASYAKDVKLPGGTETNITRFA ETILPAHIKKSFEADFVQVKPTMFEYIHKLRKWRDKFEEKLDRRNPKEPLEKFSRDLS EFRYQKFDDVEVPGQYLQHKDKNQDFIRIERFLPNVDLVRTIGVSHRRIKIRGHDGSI HCFAIQHPAARHCRREERILQLFRHLNQTLAKRKESRRRDLQFTLPLMVPLAPHIRIV QEDTSNITLQGIYEDHCRRNNMQKDEPVLFTMDKLRSLIEPKGGKQLDQTVTARMEVF TAIQEKWVPHDVALDYFQSTYQEFSEFWLFRRRFSYQLSTLTFMTYILYMTGRYPHKF NIARGSGKIWGSELMSFMAPAKPFFHNPEPVPFRLTPNLQTLMGPLATEGIFSCAIMA IARCLTEPEFQLEHALTLFVRDEMIFWFTSSHRAMHLNENQLRDTVQTNCDMIVKRAV SLAASPVGNLPANQTVIDLISKAVNPMNLAQCDALWMPYL PFICI_10951 MGMPPSTTSPYRSTEPPDSPVHDSDSDADLDLQELDPTTSHSTS ATLLGRNTSPEQRAPRIALRNLRMGGLRRTSGRGARRGYGDLGHDRDGHDDAVGLLDD DHDRPQRYSDGSHSGGDDAPLLNNSGSGSRRKRSFAGDRMQKLGASLRLPSFMSSTSA NRDAIEDLADQEDDDPSASRVVAVGSSQTTRYPPNIVSNAKYTAFTFLPVTLYNEFSF FFNMYFLLVALSQAIPALRIGYLSTYIAPLAFVLMITMGKEAYDDVERRRRDNEANSE EYAVLRFDEPGSRAYPTSRSPRVLKSSSMRKGQKGSVHRDRLSDIREEEEQAEGHGLG QPSSYVEEISKKSRDLRVGDVLKLSKGQRVPADVVILKCLATETSTAPTDQMEIVHEE ESLLVDADSSSTTSGKGKEPEQRQKPDEGSSNGETFIRTDQLDGETDWKLRLTSALTQ NLPLEELVRLRVTGGKPDKKVNEFIGTVELMPSRQEAMDPYPSDNAQPDTNNSAPLSI DNTAWANTVIASQAITLAVIVYTGPQTRSALSTSPSRSKTGLLEYEINSLTKILCALT LGLSIILVALEGFGNTDGNVWYVKIMRFLVLFSTIVPISLRVNLDMGKSVYSWFIQRD PGIAGAVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMEMKKIHVGTVSYANEAMDEVYA YVKHGFAGAPAETALVTPSSSYNTTAGVTATRTRREIGSRVRDVVLALALCHNVTPTT EEEDGQTVTSYQASSPDEIAIVRWTESVGLRLAHRDRQGMILESTETGKAVVKVKILD VFPFTSEGKRMGIIVQFWEHTQSAPSPENAEIWFYQKGADTVMSSIVAANDWLDEETA NMAREGLRTLVVGRKKLSSAQYAEFSRSYQEASLAINGREAGMQKTVSEHLERDLELL GVTGVEDKLQRDVKPSLELLRNAGIKIWMLTGDKVETARCVAVSSKLVARGQYIYTIT KLKRKDNAQDHLDFLRSKTDSCLLIDGESLGLFLTHFRTEFISVAVHLPTVVACRCSP TQKAEVAKLIREYTKKRVCCIGDGGNDVSMIQAADVGVGIVGKEGRQASLAADFSIEQ FCHLTKLLVWHGRNSYKRSAKLAQFVIHRGLIVAVCQTMYSIAIKFEPEGLYIDWLMV GYATVYTAAPVLSLVLDKDVDENLANLYPELYKELTSGRSLSYRTFFVWVFVSIYQGG MIQGLSQLLTEVDGPRMVAVSYTVLVLNELLMVAIEITTWHPVMIVSIVGTFLVYAGS VPFLGRYFDLDFILTWGFVWRVFAIGAISLIPPYAGKLIRRTMKPPSYRKVQGI PFICI_10952 MAAELPPLITRAEDSLEQPFSSQTANMSDDERPAREVKNHLLFE IATEVAHRVGGIYSVIKSKAPVTTAEYGDRYTLIGPLNHQSAAVEVEELEPSNQELAK SIEAMRSRGIGILYGRWLIEGAPRVLLIDTKTAYKYMDEWKTDLWNSASIPSPPNDDE TNEAIVFGYLVAWFLGEFVCHEKTRAVIAHFHEWLAGVAIPLCKKRRIDVTTIFTTHA TLLGRYLCAGSVDFYNNLQWFDVDAEAGKRGIYHRYCIERAAAHSCDVFTTVSHITAF ESEHLLKRKPDGVLPNGLNVTKFSAMHEFQNLHQQSKEKIHDFVRGHFYGHYDFVPED TLYFFTAGRYEFRNKGVDMFIESLARLNHRLKSAGSKTTVVAFVIMPAQTTSLTVEAL KGQAVIKSLRDTVDVIERGIGRRVFERSLKWHEGDPLPDEKELITSADRVLLRRRLFA MKRHGLPPIVTHNMINDQEDPILNQIRRVQLFNHPSDRVKIVFHPEFLNSANPVLPLD YDDFVRGTHLGVFASYYEPWGYTPAECTVMGVPSITTNLSGFGCYMEELIENSSDYGI YIVDRRNKGVDDSVNQLTSHMFDFCQKSRRQRINQRNRTERLSDLLDWKRMGMEYVKA RQLALRRAYPGSFAGDEEEDFIPGVEQKISRPFSVPGSPRDRSGMMTPGDFASLQEGH ESLSTEDYVAWKLPEEEDPDEYPFPLTLRTKKPSTSGAASPLDSIRLNGN PFICI_10953 MTGVDTGAKATINERTRLIATIRVTPQQGKFRYGDIERRYAVIA VCSTSIAVFIATIIWSVASLLLSDSATENTPTINYCFNTSTAYASAEALNNNIDAFCQ DVADNARTFSYSRVWTKIYYSNTPEEYKMTVALSKRTSGFDRHKCIASMSSIVNKCDI DVSGSTSLHWKHGGGRVQEPYEYTIEIARHNRPWPPPSKPLQHCEGWYKFIFHHFDIY GAAWASHDWGQRSLLPAINPCCGPGSLTGWGFEYFDQPDENGHEWHAWFNTALGTRGR CFDNSIVQCTAGGPCDSYCIGN PFICI_10954 MGFTKLFRRSKKSKSDTSDFNFNYDRDARYASEKAGYNKRNSHF MAMPLDNGIFAPWLQLPVPILQRIFSFVCPHCYDESYETCEQSAIEDACMLCDLRDIS HAGRVCRAWRKVAVPMIYHSIRIDAVHYCEREIYLSDMRKRKTKFDRNGNPEDPASAR LNLLCRTLRDDPTRLGKMVQYFKTPYMLRESKTSDLARTIAVLPNLHYLDLPEGVFAG DPAYATLTLEVQARCPDLRKMTYLGGCERNLETLASGNVWTKLEVLELTRINMDPQTL RHCLSVMQCLRALKVTESRVMDDDFFRYNDVLPAVPALEELILKEVPRVAAAGLEAYL SRPDVQSRLKVLSLQDTGIHPTNLHEVLFNAPNLQALSLIAEVDTAFPFNANIPPLAN FSLKTLRYEITASPEVGAYAGMTQGYYNYLAQSLFADGFPLLSSLYVRDETFADRLLG LPPPMPGYAGGAQRPSSSSSMGPFGMGGGSMSPQSTGGGNPFSPGLAAPGGNTNRFSS NNPFAQPQVQQRFGGPPMLNLNQTLAVYTKGEDENDWGLIKMDPYDNPGYAGGRGVGG GRAHHARSSSATHDRPLSSYGLADVGSRWREGSGGARMSVIMGNGAGGFLAVPGGADP SHTRRKSEGSGADEWPRPKSSSGARADKDLWR PFICI_10955 MPFSTGEENLLQLNQADFDFNVQFEQLFFSIIPSVLFIVTSLWR TISQARKPTVVNAPVFQLIKLGAITTYVGLELALLILVAVGSFRATTMFVAASALKLV SALAMITLSVVDHSRSPRPSVLLSSYLFLTLLLDGAQARTLFLLSASDKPELTYSSIF AAAMALKAAILLLEAQRKSRWVSWPDNEGEKEHSPEETSGIFSLGVFFWLNRLFAEGY SKVLGLADLYPLDRSLNGRLLHDEFSRTMDYAKLQGDQYGLVKVLVPTLRVPLLLPVA PRLALLGFTFCQPLFLEKLLDHLAAPQIEDNVGYGFIGAAVLIYTGIAVSYALTSYFH HRARTMLRSILVTEIFDKATKARMGGGGGTSNDDSAALTLMSTDMERIRVGLARLHDI WASIIQAGLAGWLLYNRIGLAFLVPIGLVTACFGGLGVLVKFTGDSQRSWMERVQRRV GLTATVIASMKNLKISGLSGVVGGLVQELRVVELAAGTRFLQIVIVAALLGFTPLLVG PPLTFAAAGGRALDVSRMFTSLSYLLLLTRPLADVFQAIPQFLSALACLGRIQAFLEC ETRHDFRRVLADLRLNSEKPSNESGASSEGVASVHPITIENGSFGWEPDKFSLRDINT RIPKSSLTMAIGPVGSGKSTLCKALLGEIPYSQGSVVLSNRFPHVGFCDQTAFLSNGS IRDNIVGFTPFEPSRYHEVIEATALAFDIATLPKGDGTNIGSDGITLSGGQKQRVSLA RALYLHSDLLVLDDIFSGLDADTEDQVFRRVFGPEGLLRRRGTTVVLCTHSVRHLPAA DYIIALGQGTIAEQGTLDELMAGPEEGYVQRLGLRSPSDSDVTSDASTPKDSGGAQEP ASLVLAATTSHASLAAPNVDESRKVGDKTVYKHYIKSMGWFLAAWSLFFAALWGFFTN FSTVWLTYWTDDANSAHPKHGYAYYAGIYALLQISGMISLLLLGVALFIVSVKRAGAN LHQDALKTLMRAPLRFFTKTDTGVVTNLFSQDLNLIDTELPDAVLNTLFCVSQAIGQA AVMLTSSPYLAISYPFLGALLYIVQWFYLRTSRQLRLLDLEAKSPLYTHFLDTLKGIT TLRAFGFIPNEISKNSHLIDSSQRPAYLLLMIQSWLNLVLDLVVMVMAAVLTTLAVRL HSNAGFAGASLVTLMSFGDNLSGIVTYWTKLETSIGAIARLKTFNETVAPEDQEQETI VPPEQWPQNGAIELKGVSASYDDNDTNGAPPNLALRDIHLSIKQGEKVAICGRTGSGK SSLVALLLKLLDSVPETADEAIIIDQTPLRRVDRGALRQRLIAVPQEAVFLPDGSTFR RNLDPSDSAASSSAEACRDVLVAVGMWDFVRDRGGLDAGMSPGTLSAGQRQLLSLGRA LLRRRVRAAQGLGLGLGSSGGGSEGGVLLLDEVSSSVDHHTEKVMQDIIRTEFQAYTV VAVSHRLDMIMDFDRVVVMDTGEIVEVGNPMVLAGEEGTRFGDLVRAGGL PFICI_10956 MAHSSVSETGPLLQTSSLFFTMLLPELRFMIYEHVFGPKHLHMF IHDKKLAGLRCLEPTSTDTSDHEKCIGLPLETNFTMEQEQLDLFRDRQPLSERSPVGA LLTACRLIYSEAVDVLYRTSTIHFSNIVSICVFPRTVIPHHLDNVRHVRLSLFLEWRR DGLVTKSHNAFGGVWPGWDGGIGHGDTPWECVWAVVASMRSLHTLVVTIHILGFRARR DELSRQGTQLDDFETPLFEPLKQISPCNYFLLRVSWPSSGQQFDEYPFRLERFVEPDR MQSRRV PFICI_10957 MRLPHICAIAGSLVTTSTASAIPLEQSQQQHVLLSPSPDSTVTA HRPFETGVGHFSEWSRETKRHFLIDWQAGRASEWIIVQGNEGGDLDSMTAALTWAYHL QHATANTSEPIKAIALLQTPTDALDLRPENKLALDNSQMSSGHSDLLTLDELPEDPQT LADDIKGIVIVDHAVPLRKWSNAKIISIFDHHHDNGAGPDAQPRIFEKVASCTTIVAR QMLDELEKLPEEYHMPHELLELILSAIAIDSGGLSSATDADVETAGRVLARSNWRGKK LEKKMRKLADKLGDAKKDLDHLGVRDLLRRDWKGDLVDTPSPRTPTVSLGFSSIPYSF DNQITKTEFGELFSWFAIEAAWTAQMGADISIALNKYKIKDKHGKKRKIREIVLCVRN DVRIDEAQADDLFRTVSEAIENDKTLNVKPWHRADELGRRQMIWTHERSDAGRKVIRP IVEAAVRDWDM PFICI_10958 MAQDLRAYAPAWLQAIQQRASFLRQRCFDYISLLGSSLPILSTR RPDTDPDAHILQQRHPLLHANAESSEEYLVLSDGRKVGVAYYGAQSGPVVFYLHGFPG SRLSGTFFDTPGKKLGARIIAVDRPGIGNSSPQPGRTIFNHANDVREIAELLGVESYG IIGVSGGGPYALACAYLLPKENLKAVSILAGMGPIDIGTRGMNWSNWFIFNGFMYFPF IIRWIQNKLVATLATVSNEKIVALVERQLSKPSNRQFMYTATDIAILRDPDFLSMMLN FYREHYKQGVDGHMEDGRVLTTNLGFRLQDIRPSLPIQMWYSKDDTNVPFRMARAMAE RLSCPPQFHAISDETHLSLVLKYSADVLEHLLEKL PFICI_10959 MTSTFDFVILGGGTAGLVVASRLSENPGHRVLVLEAGSDLSDDP RVKTPAFYATLMKSDANWGFKSEPQPTLNGREIGLHQGRALGGSSAINGQAFVPPGKH TIDAWEKAGNPGWNWQELERYYARSHTLPGVTQRTKGERDGERDFHGPLQVSLSTNLS HPIHKVWNETFQAKGHYVSAEPWLGQPVGAFSSLATVDPSRKERSYATTAYYNPIKDR NNLQLLTNAVVERIIFETQGSATRAIGAQYTHNGKTETVTAAKEVILAAGALQSPKLL ELSGVGNAEILRRNNIPLVVELPGVGENLQDHIVCPISVQAIDGLETMDPLARQEPEA IGQAMQEYATHRTGLLTSPGTATYAYMPTTTELSRDSAGALKELLDQNQPVPSNNGHG GIGADLHDFVGKVLTDPTMPSGAYLSVLSQAGKSPVPGTWLTLVAYLPHPLSLGSVHI RSRLASETPVIDPKYFSHPVDIEVLAHEMRYLQSFATSSPFNKLLREPLHYLDPTTDF SNMEATKDYIRSNAVSMWHYAGTCAMLPKEKGGVVDTRLRVHGIDNLRIVDSSIIPIV TTGNLQSTVYAIAEKAADLIKRDYREV PFICI_10960 MILPVVVILALLGYGLLRLYQQRRLFKDLPGPPHHAIWGHFLIM RDIAGQLPPDATPQLFANMMRKQYGLGDFFYLDLWPLAPPQLVVAHPDLASQVTQKLN LPKESPIMQKWTGHILGKKSMVSANGHDWLVARKSFVPGFQPRKLLQHVPSIVDSAVD FCDVMEHHAKEQHKVTMEDLCARMIFNVAAKVILGIDCNAQRDDDEFLTLFRKLAALA PSDFWSRYLWDINPRRNYLKWVNRRAIDRYIGRLVDQRVVNGPVVITEDEESKLEKDT NYYAIDYAIQANRKNIDSKANVDTETREMFIQSIKTLIFAGHDTSASTLCYTYAALSK NPNVVQKLREEHEALFGKDPQNAADMLRSQPQLVHELPYTLAVIKEALRLWPPTGVSL RHGLEGQTLEQDGKEWPTAPFAVLVNNCATMRREDIFKDAERFYPERFLVTDPEDPYF VSTEAFRAFEKGPRNCLGQTLALMQLKITLVMTLRRFEFVPAYENGTYMYQTLDVTAK PSQGMPARVNFVYGSITVIAEESGDAQICTTCQQLNLSIHRFIIRNSEFPQTTSTGDD ASQPVPSRGVNIRGVQLNSGDPNRISARLDQMKDRSGTCRFCDLAYRAVQRYSGIRVS ADTRLVLTWEIDGRQTGKGNTIINRTRRIRLSWHETSGKEENVYMVLVAPKGSRRINS DAYAASAKDSHFLGRGLVDKKEKQALMKSWIDLCVEKHGPTCKNTHAMKPDFKKLIKS TFFGVIDVIDMQLKPLPVVNGKPERYVALSYVWGKSHLRDKPYTTTRANVMTRIQHGG LENAWERLPRTIQETILLVARLGERYVWIDSLCIVQDSVSSWELNAKAMHLVYGNAHF TICAADGDADAGLRASSSTLRSSPLASHHKRGPPSSSPSSIATTTQSVHPRQRASSPV PSSHSNLPSSYGMTTEEVRGALRAADASELPPLTAECLPNVRLLVSRPPEASIEDSMW NKRGWTFQERLLSRRCLIFAEGQVYFQCRSTVISQHIFTDGGVSGWSLDWTNAPLRTL GELPRRAFWFYMKCVPLYTGRNLTKPGDILTAFQGTSWLLQHQMNAPLFYGLPSSHFD LALLWMGSKQLDRRRQTVLRKSSGASCTQDDMGNCSCKMEEDGYGGKEFPSWSWSGWI GGKCEYPSNMIEGCVSNVQEWLRDHTWICWYVRDYEGNLRPLWDKDILSEDRSTEEHW KGYAGRDSQSRADVDFDSRIPPHGHRSKAKPNRSWTRQSNAAYLDRLTPGYYPEEDAE ANQKRKAARASVRPIEDPPNSSHATTPYRRRSTLTPASAPERPRRISRDHHGDDHDES FDEGSGNGIEYMIVDSHSSDDQVSSQGSSSQNWRSDQRRQDNFGRPIRSTVKDLNADK FDGILPDNPFSIIRGPFPIDSKDEIRAMPILQFWTWQTELLVVGRQEDNISSAAPRDQ CRHSIVDKTGDWCGSILLPTKLKGGRDVSPHIFIALSDAKTMTEEECPVWNYYIPKGR EESEWDSFYVMMLERNHERALWERIAIGKVFQAAFHDASWSEIKLG PFICI_10961 MFTLKDTIYLIELAFYGPIIPAIIFVILLHGGKKPYTWRPVIIP LMLMSGLRIAGAAVGLRSLSPDKASLASTATILDTIGLAPVICLIIGLLIRANAPLYR GLPLWAFIPLQMLAVASTVMTAYGGRDLYTAGKNAQRDLTLMRVGIFLFITTFAVAVV LAVITVLKASGKGYRTEWAVAVCALLSVPFMAVRLTFSTASLFTGQDSVLNPMTDNDT GVWLHLFMVVIMEYIISFSATAVGLTSRRVVSIAIEKDDLLSEEEH PFICI_10962 MNHTTSIRSTRVPLGAPKLSRPSIPPNRYELILQILAVIFEVVL SILASNGIANLKLRKSLSFFQELFTPSPKHHEPKKTIKVIIAGLPRTGTISMKTALQE LGYKNCFHLAEPLCQFENLKRSAEIVHTKDTKLRRERLAVLLEGHEATLEVPGSACLP DLLEMYPDAKVVLTERTSAEVWLRSWHGFGIDLRSSLFRMLGYWVPGIVSANDLYRGW VQLAAERFDLPAPEPSVEMYHAHNAWVKSIVPKERLLEFKCQDGWEPLCDFLGRQRPN AFPHGNESGYLKYYKRVAMVLGFALWVLVIAVMLFGVRLLKLK PFICI_10963 MLFNMRSLALYGLMAISTASPVPSRDDGMNEIVARAKLGDFLCP DGHTIAESDIRKAFGECRQHNDGTVGKYPAFFGNKDGDNAVLTNVPAGTDLREFPIVE GGVYTTGTPGPYRVITDYKDNRGDFRGVVQHTGATVAGQYTACTKVTKKMKRGDKDKE DKDKKDKEHKKHQVGGDDSSSDSTAKRAAVAAGTSSALNDLAARAKKKKVGSADCNGT TLSKDDVGNAFKKIKELADYGAGGYPHQFGNKSGGSDVFTGVTKDLREYPIIQGGTWT TGEPGVYRVVADYNNNFVGVMIEGSGSSFTRCTVNSD PFICI_10964 MSRGPPNAEQRWINTQRWVSEFSTTEEFKLLHDLVTKDEATARD AVQQVDKMAIAATAARGTGRGVASLVDYNVSLSVLELAQQLEPTKQTKLVEFMSLLQQ NEQKNPATGETLLVDSGRLFQDLPSFGYTELESWVQFGGAHVDPCDPDMIPEQKQRFA NLNAFIAQLSQAADVSVTPPDQIHPLDKTLHAIWTMQKAFENKSRLPAELVDTAAMRA ACMWFIYASDRLMDNVRSFRTFMDSASAGAHNSREEYASQGYKGYALGRWQLWRQGLG QALEACSDEETKLLIGDALAKMESAEKKD PFICI_10965 MAHEVIELHQLNRPRATSAKGTYSDLALPPDHIRLLDLHNAPND APLTGILRVERLTNLSTYKTLSYQWAKETSQGATIRCSLQTNEPHIELHITTNCAQAL QFIRREFGSVTIWVDAICINQDDDKEKENQIPLMGKIYSQAETGFIWLEGDGDQFRDA AHELRLRSVIHKRLPLAYLAADAGEQRQLELDRWRQRCLDDVFARDGLEDHAHYSFED SGDARLQVLFEHMWLSRMWTFQELILSRNPLLLFGNSIILWEELVNAILVTPQKVDTK THWQTLIQLWLDFPRHRHMEQALSHPEERDAAVENGQAVVTDLYTFRSLIEFSPEKNI STPWQHILLRYGRKGAKLCLWNFGRWLVLMVLLLVNPILLGYFVTNSGSGRFLHLGII FLLFWVGSLFPIARLAIINARRMSFWQLLHGMKRPEPRRRKLSSNSTNTIVISAIWTT LRMRRCSDDHDMVYALAAILEAYGMVMPPLEYSQSIKTSYQAFFQCILSLEPRAIQMI VDASVEDPSKMAWSSWLPDWRMPEKNNWLFNEHDAKHTWIQDFPQYCQSPAVIGDRLS VTGKEVDKIVECFHFTAVNLDDQQLVLANLRVLLRFMQSVHNRAAYGMDLYYRGAILA VLKGLLMQPVETTVRESIPDVLNGPSARMLVLDEIIAPFNFEAYRKDFYDFKRLNGLT HSFWERNDPITQYDPNMDMQELIREVQNDGAAVGFMLRMANKLAEQKRCVFVLSSGAV GSGPHFSAAGDEVLVVPGVSKHLVGRKKERQGTYRFIGPGLVHSIVARDTLVGGQYKD FVFE PFICI_10966 MAGNSMLETQDRARDAAFNKALHGNSAAVSGGVRAMFGKDRSAK QAALDEYFKHWDNQAAEDETEEHRSKRRAEYATLTRQYYNLATDLYEYGWGQSFHFAR YSIGESYSQAIARHEHYLATQIGIKENMMVLDVGCGVGGPAREIAKFTGCHVTGLNNN DYQLQRATIYAERDGLASQLKFVKGDFMQMEFPDNSFDAVYAIEATVHAPQLAGVYAE ILRVLKPGGVFGVYEWLMTDNYDHDNLRHREIRLGIEQGDGIANMVKISEGLEAMNMA GFTVLHHEDLAERPDPIPWYWPLAGDWSYLQSIWDVPTIARMTKWGRWMAHNLSGALE TVRLAPPGTKKTGESLARAADCLVAGGKERLFTPMYLMVGKKPAA PFICI_10967 MVRAFPYGFVYPVPIAWPAQDDFELPSWVKPWDDTQRQIWRGVC IVLRELAKQEHNVVEFLIQPNGHKTGVNCRMFDQPCQEYDFFKALLGRPGFRHLELSV LADEEDDDWSALQNGRLYEALSEAMDLEHLDFWFQIDADQEIDLERIPKLRSMLPFHS WPKLQHFGLSRAIVRHEDLVSILQTLPSTIRSVELSELRFFDERPNPNHSRRGFLRRL REDLEWRTRQPEDQPRIAFHTGAREGMTRQDCFDAEVASFVYGDGPNPFDQLDAVPNV QMGYARDPLEPDYRVPE PFICI_10968 MPAAPPLPLELCFFVAQYLRNSDVKSLRLVCKDFWGKFHLRLDR VFLSANPLNIEVFRSIADHDTFRRGIVEIIWDDARLGGDVRSNEQRELEDNLGYYLSS DDDDAAEMEAARSIDGAPRWYKILCRQSIADLNRRSRIGRNRPATQARLRQLVEKLPS TESWKYYKHLWEQQQQALDHGADAEA PFICI_10969 MNQIRSTHAVTPIKGPPGVLTNSSTANDFPNDTKENRPKDQANN VAATTRAKKKSLSFNLSILLLGIIGFLVALDANSLAVALPTIGNELGGSTLETFWAGI AFTLAVAITQPVYSTISDVVGRKIPLYTSLLFFTAGSIIFARAGNMEIVILGRAVMGF GGGGMDVLQVILLSDVTTLRERPLYMGINAVFNATGAVIGPILGGVFSQYISWRWLGW FNLPFLGISVMLAFFFLRIKHLQLEFRKRLERIDWVGILLFGTGGTCLALPLSWANSL YPWSSWKTLLPLIIGVVFLCILAWYERKPLEPVFPYRIFTTRTGNGAILSGGIHGLLM YSISQYLPLYYEAVHLQTPFQAGISTLPYCAVSIGFSAISGVVVNKLRRYKLILWSGW ILSTVFTGMLYRLDHNTIAAESYSYQVLMGVGIGTVLTVTALPTQASAKDPNDTGIAA GMLVIFRFFGALIGLTIGSTVFSSAFQMSVDTVAVNGQIPDAAKFLQDSTGALSFIPY LRDLDVSREAMDTIIGVYTRAFQVVWLVFMGASVLGFVFSLLIKELSMENDEVGRQGL DE PFICI_10970 MSLPISPKRQEREDLLFTVGDAKRHRVFDPRWLASEKHYDDYKV KNPMGQMGMYAYYGKTRYAYIITSETVTVFRFFLITAPEDGMKLAMGAQYKYFSWNEN PKMVSKAIWALAMFSMNDHERDVVAFDQMQSIEQWWERANPYCPKIIENVKLAKRRLS KKRGTTQDVLRSVQEGRIKKGPRKLSTLKLGDSAIAVLSDQVTLTGDHSPGRSSPINT SKSESFEQHIDLKRKPATLLEQKQQKPRPAKTIQGKLNKIELRVPKKKVSQMQVQ PFICI_10971 MAGLPKVPGPRLLPFDFNEDRDDLEFIEFLGKGIHAHVWKVRIN QREYALKIFPFWKHDEEPILRGLKVSERESLGYFDPFSCECRAYARLKENGIEEYAVK CHGYILLRKKLQEQLKEKDHHDWKEVWGWKRKWARMPLRSLVKDFVEADFKAIERAMC TDSISYDDYQDMLISLSMKDCATGTRLVRAMKSLHRSGILVRDLHNENVAHGKFLDFS SAWTSPHPCFTPDMLYANRNNGLAWSDLSVADGWAIDHLVDEWNERLGQTAGRIPIRM IRNYNYLMKVRSQDRFNRHTYGVRCPAEMHNWSAEDKRRWKQLCQQS PFICI_10972 MKLLLSGLAGLVAAQSVQAALYDTIVQTRYGGIQGYPAFNASPV GVDLQNWAEITVWKGIPYAASTAGQNRFRQPQRRSPWNTTLDAKEFGDTCPGEVTNPE YTFSEDCLNLNIWSVANSSDAKLPVVLWSHPALSTSRDALFDGGGMADKGVVLVNYNY RQDAFGWLAHPQLSEEFEKETGSNSSGNWAMLDQFAALAWVHENIEAFGGDPNHITVQ GQSAGAAATYHILNSPLTKGQFVGAIIESGVRDPHDPEKLADGYITLDDALSSGIEYL TAQNVTTIDELREIPWETLAAGDTGSPGGPGSGGGGGGGGGGGGGSGPPGGAIGGGFS ANLDYYAVPDTYLNTLIKGLASDVPVITGNARDENGATYGLNITLAAYLEFINETYEG EWADRFRELYPANDSATASTAENQQWIDRSKVGTWLWSRLRTSNSSIASPIYNYLWDH APPGQNRGAYHESEINYVLNNLYATDLPWEAVDYSIATEMNSYWVNFIKTGNPNGAGT TQWNPVSDEKIVQELGDGWGTIPVASENAVELLQGWFESLPPY PFICI_10973 MSREFIPALPHCHSHPRSIQQFSRFARITRSDPKVLNHAVFTHP IGFGNANDRKDWRVASGFLNDRHATFMEFYTHAMEMIKNEGKTTVFGVVTYWNDPMED FIKPFHKARKPNGKPWNKRSWYWSDNCKRHGLGIAVCKMPKGQPGFRVIMYDMDHNEL ASHTLEPNTGDEDRDRLIRDKRNWRTILSSFADYAMKDDLIEFWQAGNPQLLTRSHEI SGSDPDSVSSSCAWLWDMVKGLGPSVDADDEEMIKWGYHKPAMIVVVNDAVDNNKEDS DEEDSDEDEETDEDEEMEEDEEMEEDEKIKEETDLA PFICI_10974 MAHAQEPGALLWTITVFTCKAWFVGTIYTCLGMQFVVVLAVLYF FIGVLNFDLIVRRFSRRMIRRTRRRLRLMQRLPLIEAITSVAASVAGTFQPVQESIAE GTRSELDVWQLHIGPLAASLASLVSLVVSSVVEMLIVPISIKAWRLFGVAVREAVEDL GLAAHTIESSCQHIPGYLTARARLSRCFWVFFNSTAADRLADCLLVWRSLLVHGNNYL SRGLSAWISKTLDVLDLAGYKPYKNNIKEVLGFSQHFAIILEPLIRNFYKESKEITLD EIRRVSAANALVYGSTESLTGEQYEAIETRVRLIKLLPGRDNVALRCSFMTVDLYDPL CPEFEALSYAWGSFDLEKYIRVNGKPFEVSNSLHEALLHLRREREPRMLWIDALSINQ NDIEERGSQVLLMGHIYSKASKVVVWLGNSEPWGLRHAIRDTKVHMFPGKQQRVQSEL VHVGLLHVVRSLLRRSWWTRAWVVQELVRARAVEMQCGCSTLDWEHFCALIDAIGGST HRKTLLDSTLEAQPEHSPMSSSPFSLRKSHLAEFQSLQVARKAWRANLDCKLVTYAKS SSDTTQWNNSADLLSLVYLFRGRRATDPRDKVFAFLGLVDNRDALIIPDYDQTPSLLS IEFARRHIRLHRKLSVIALAEFARELNRDDSHLPSDNIEDYLPSWCPAFMSTGSIRHG LYQAPFWTGFSYPEDNFAAADGLSNVIAIDKNEALRNRFSPGCKEDDVYTLLVQAVKH LGSAVVEAERLSDSDGVSTTQRDFNCDKLFAKWQGIADFAIEKRSRFPESSFPGTSLS RDALVHLTVTAGKFCAMPPSTDPSERTFYLQTRADTCRNRQLFLTKNGLLGLGPKGLR IGDEVKILLGMQAPVMLRRVETTDGKIKRQLWKYIYLGQVYIHELMVYKGNLQEDIKS RSVSLEDLLLV PFICI_10975 MAEAFGVITGLLGVWQFAEDHFPADETPASNYRVTVGLEGFDAN GQTLTNAKGEIETIKTYNVQHELIGSGGGLTVGDGEDDQFGDIKVDQEGNSQSIWTEF FAGDDAICIAAITTTMEEGTKWGWTGDWGYWCGLNWFPSGYRLKNDQGVVDACTWIDK DHSEDLKAAAIGINWSELGATEGQKTPSQSELLKKCGDKMQAWPEDGGKQMLPVNDKK KRSSSVFRRSQRSDDRLIVSRLNHNATEVCDSWSSWGPDFVSEQEGIYCNMETHEAIP LCNADKTVDCFDLNTSHGPAMVRRNGKRSPRNPSQVVTWA PFICI_10976 MLFKTFLVSATLAALVASASAAKQIEDRGLERRETDGWCAERTN TCWDSSGELGDCENGSCTKDNNKCVQHRTCGGMGFCDAWVDCE PFICI_10977 MKVQLLTLSSTLLLSTAAAWNPSQRDCSDSPLCFKSFIWCDGYN GDGCFLPEGAYPAVANPKNSHYVLLLEDMNYTVSWQVDAKNRETPVQVVWQIADGERW ETNTTESQVVFNPSKIIQSMQQTEFAGYKAMIDASNVLTISQPDLTSKENYPDIPGYT PYDMSDQFIIGSPSIKRFLDAQKGIGHQDEEKKWKLGVGIGVGLGVPILMALTALGTW FSVKKSVAKRGSNSKPI PFICI_10978 MATGIATKKHLKPLRNAGAALHRRGDEYNLGELDELEEEAETAS SDQVYKGIDYLVKLLGSKSIDYGLMDGIAMQLYGIDGRETHDGDMAISVNSANLIDVV KDDPNIRRPGKLMGASGTARLFVKIDEQQVDIDVFVQVVKVGEIAKSKFKRLKHRDLD DIMWLINNKNDNIKAIADTVDEYKRIEFARHFEGADDDQKKLIAETLNIHEDDIDD PFICI_10979 MRLPNQLLQGFAAATFGTIIVQAQASCSDSFSPLTASAFTASLS PGWNLGNTLDAFPTEGSWNNAPVESSTFDDVKAAGFKSVRIPEVTWAYHFTGSSNEGD SPNWDLDPSWLQRVSDVIDMVTDRGLYVIVNAHHDSALWANVAISGANYTMIEEKFYR LWYQIGTSLGCKASTVAFEPINEPPGSTAEHAAELNKLNNVFLQAINDAGGFNSQRVV TLVGPGEDMARTSQYFERPDPIYTNPYALQVHYYSPYAFTSAAWGKTIWGSDADKQAL ESDFSLLRNNFTDIPVVIGEWLVSPVMTETAGRWRYYDYLSQMAVKYNFATIIWDSGA DHLDRAAHAWHDPTSLSVHANALMSISNSLPDATTDSSLTTQFTSAYIFHRLGSTVQD YDLLFKLNGNSVSSITDGSTTLSAGTQYYLEGDNITISASYLSDYFASSATGVLGTLI ITFSAGTAIPVQILQWDVPVATTTSAKAIAGNDTAVSITWKGLGKPATVSAYKSDGSF LVDDWTIYLGPLEQGRTTFGGQWNFAWNQAGVTITGTAASAVVAANQSTTFVVEAYPR VAGNSANFTLTV PFICI_10980 MRGLVMKALYFALATSLAIADSTLDGSKCNLTVPSDAVYLTASA TTDERVNDLLDYMCWSEKIAQLTGIGGLLGSNVTYNTTLYDQLSSIHQGSISPGSYLN YASDAVPVIKDVIEEFTNNSRLHIPYVNIADSVNGVTLLGTTFFPATISMSMSWNLDL FKQAVTAIRDEMVACGINWVLSPDLDPARDPRHGRVGETYGEDAFLNGEYGITYVETM QESDENSFMKIATTVKHFLYPTSVGGINSGSIDTGINNIFNVLAYPYIRVFRKTTPAS LMPSYASIDRVPSHANKGLLQDILRDTLGFKGVILSDADGVSGIYNQHKIGQDVYDAG ARALEAGVQSVLAIQFPTGFEEVINTPSLAPQVNEAVTNLLRLKFTLGLFDKSFPDQA QLNSTLRSDEHLAIAQNMSRESIVLLKNDGLLPLPSTTSNVAVIGPLGDKIIPGTYAA WTNADNHNKTFVDALKGWLGEDQVNFVPGVQVLSSENADIASAVTAATAAEIVILTLG AATVGWDDPLLSQKTDGEGATHGSLTFPGLQEDLLAQVLAVGKPTILVISGGQAFELS GTAQGASAIVHSFLAGEYTGQAVVDILRGLVNPSGKLTISFPNASPVNPIYYDLLPSD WSSTAMNWPQLTLPALYPFGFGLSYTNFSISSPSADQDEYSQDGTITVSFSIENTGAF AGKQVVQVYFGQSSGASIELPSKRLVGFTKVDLQPGEQRTASIAIPVIELGYFVNGQF TLDKTIYTLYVATSSASSDFVSALNVTLV PFICI_10981 MADSLLPIRVLEHEEIVLSDGTILSALIWLPVDAEANPVPAILE YLPYRKRDGTAHRDALIHPYFAGHGYAGVRVDMRGSGDSEGLLRGEYLQQEQDDALEI LKWIAAQAWCTGSIGMMGISWGGFNALQVAALRPPELKAIISLCSTDDRYNNDVHYMG GCPLVENFLWGAVMFTVSPNPPDPALVGDKWRKIWLERLEYGVPYVAEWHEHQRRDEF WKHASVCEDYSAILCPTYLVGGWQDPYHNSIFRMLERLQCCPKKALVGPWAHSWPNFA YPKPRVGFLQESLRWWDKWLKGIETGVMDDPIFRCYQQSSIQPQRHYDFRPGQWVAEN AWPSPGVTPRIMGLVPKRLVDGAYQSDAKLSICSPQTVGFGAGRWLPYGSGADLAGDQ RRDAHGSLVFDREPLSEPLDIMGAVLVRLRVASDKPQALVAAVLSEVLPDGSATRLTY GVLNLTHRDGHTDLKALEPGTYYNITVRMNECCQRIGAGSVIRLALSTSYFPTVWPSP EAATLTIDCAESKLELPIRTGNPMDDELKPFEPAVNAAPLETIQLREGSSKNTITEDL ATGEMTATYYTDIGLCEIKETGWRFGECTEIVTRIKPDDPLSGRAQMKFRQDFGRSGL DLVLNGLTTMSATATEWHLTMEIEALEQDEQIFQRDWKYVIPRDHN PFICI_10982 MSYHDRRSGRYYSPSPSPSPPPRRSLSRRDTFLDNLERGRVKDA IGAFLPGSRSKSRQRRASHHYDDRRRRGHYDDYDDDEDHYYSSDDDDYYREKRPSHRH RSSRRRDDVVDYYYEEDVDEIPQQRGRGRGRSVYSEPPRGYYHSRSRDRSHYRGGRSA SRSNWRQATEAAVGAGLIEGWRSRHDGARLGRIATAAAGAAGTAMLVGREDDRKNKRH VTEATLGGLLFDRVVNGRRKK PFICI_10983 MRYEAYRTGEIRGGEADYPNYAGTSPRFAAAPSRTEQYGHGGYT GPGYIDDVFGEERDHDIKYKTLSWPVVAVIMITEIVSNGMLTLPSSLAAVGVVPGVVV IFFLGAFATYTAWALIKFKLEHPEVHNMGDAGMIMFGPVGREILGGGTVIFAVFATGS QLLAGQLALSVLSGNKLCALAFTGIFTAAVTVLSFPRTLGNLGWLSILGGVSIIVAGI VGIIGAGVVPVDPGNVAITVTTDFTSAFISITNPVFAYAGHFMFFILISEMKNPRDAM KAAWTLQIVATSFYIVFAVVTYWYIGPGVASPSFLSLSPLWSKISFGLAIPNFLIAGS LYSHTAAKLVFVRLFRRSRHIHSHTVTGWGVWTFLIVLANVAAFILAVGIPIFNYLVG IAASLFAAWYTYGLAGAFMLHLYYYSKGGWRAWAERPTMVFINVLTILLGAFICIGGL YATSKALQAASDAGALPPPFQC PFICI_10984 MAPSNKNPTHGQKVDLGRKAPMSHEGVGAVESGSLAAESAAFKS SNEMSSSEFRSSNRPQEGMSMGMSGSSGTSHRTSGGISTQARDNATGRITADTHHHTE QQQQQQKSSSRGLGSQQQQSRQTQTSSGSSSGGGRRRLSGNGPHGKNLHEDNNLTGEN ASFTQYGTEADPAYLAERKFEAMDSMPAGSTGGAGREKKIDDKNIYDALGSESNA PFICI_10985 MEFTGPDDRPNRGRARQRQAIYNTSPIASTSVHARKTSEQSFLS LSDSSTETLHVSESDRELPPSGGDAVVAREARTPRPSRTQRNISTERLLTSAAPYPGT SARRVSFSRPFSPDRPPPPTSPSLGGTSTVTATTSSSWLKPPPTLVDAHLSRDFRRQT SDTTLDIIDDYLSPRDSVKPKTNSVVSRLSVEFAPESVGFSRAYRPVEPLPRMFSQRK RARDFQSPLSPVESVSGMSASPTTPRTPRTPGTPKPLPPLPSPPAPSTPVIKESLVED EEEMPKSQDVPGSAPPQEENKEAQPQDESIEAPQEEPKNLIAGRGGRGRGRGRGRGRG GRGGRGGRGGALAAAAAPDTEGEPVAEGADVVVAAEGAAVAVTARGGGMRGRGLRGRG RGGRAGRGGRGGARGGAIMANDASNDPEEAAVVNEKEKDSEKKDDPQPVGELKGKKPG QLPPGPMNDPAKRKKMKKAQQGQTFKDFLRIFAYTTKRDRFFISVAVIGAIGAGLTYP AQTIVFGNMIGELTSSNYVGQTADEVTAYMTHVIHQSVLYMVYIFAVRLTCGYIATLG FNVMSIRVASTLRLAYLKALLCQRVSLLDTQPPGSLAAIITSTANTMQTGISEKFAML IQSISLIIAALINAYYHSWKLALITSSGLLLIILCYCVTTPFVVKNQKKVEQMNIKAS GVASETFSAMRMIAACGAETKLIKKYDEWVSKSRAIGMKMSKIAAIQKATIFFSVIGT FAISCWYAAKMIISGEIPNNSILIIVLMSILMTINAIGNVAQPISAASRAAHAARILY NGIDAPKPKQTGKTAPEVSAAERIVLWNVNFTYPSRPKHKILDQLKLIIPAGKVTAIV GPSGSGKSTIVNLIERWYEMDGAATGNKLVQYFRNGNVRCGGVPLHEIDMKWWRSQIG LVQQEPFLFNDTIFKNISHGLIGTEWEDADEEKKRELVRQACTEAFADEFISRLPEGY DTPVGEAGGKLSGGQRQRLAIARAIVKQPKILILDEATSSIDVRGEKVVQAALDKVSK GRTTIMIAHRLSTVKNADKIIVMSKGKVAQEGTHQQLMAKKDGPYWLLTQAQQLTLSE EKHESHSDTADTAVSDDEKRTMDLMEKGEGKRDTYTTKNEDEYQEAPKPRGLIKSFGP LLGEQKKHWIWYCIMFFGAIMAGASAPLQAYLFAALLTSFNLGVWYLTQLTNFWCLMF LILAVCVGFGYFALVFASTRIAFIITTSYQLEYFKNMILKPVSWHDKEESKEGTLTQR LAADSTALQQLLGNNMAFVTIAILSISGCMAIAFYFGWKLTAVALSCAMPLAIAAGFF RSRVEKKFQKLNTRVFAESAQFATEAMGAIRTVTALTLEDTISRNYDKMLSDHLHMAY KKARVATLLYSASESVPLLCIAFILWYGGGLLISGEYISFQYLVVYLAVVQGSQGVGQ WLSFVPNISQARVAANRIQEVRIREIDPDKGIRLDHPVIDFDHKDEDVTGPKIELQDI WFKYPTRDMPVLCGLDMTIESGQFAAIIGPSGCGKTSVISILERFYSIKSGSVLMDGV DIEDINIGDYRRTMSLVAQEPFMFQGTIRENILFGVDTDKICCDHELHRACRDAEIHD FIMSLPEGYNTKVGFKGILLSGGQKQRMSIARALIRNPRLLLLDEATSALDSETEQLV QGVFERTKKSRTMVVVAHRLATIQNADVIFVLGEGNVVEKGTHAQLLAKKGIYWSMCQ AQSLE PFICI_10986 MKFLCLPGAYGSAEKFKVQLAPLVKELTSDNSAEFRFIDAPCEA VPPKGFEDFFGKPPYYRFIEPDDKTSEETDPLSRIRDFPECDTPEETMRELMKEGVAS CVLSTISAIQFLFKIMEEEGPFEGIIGYSEGATMAGTLLLAEQRRKQLEGYEPMIKCA IFFAGWPPLDPQTFAMVLSDETDTMIDIHSCHIIGSLDPYVGGSLALYNACDPDIAYM FDHGKGHTLPRDAAVIKELGDVVRNMITEATGANDE PFICI_10987 MAPTATNGEGATSTVNDLTIRVASQANGHTNGEVNGHASEMTNG TTNGHLSEASTQGPPTPTTPSTPTSSRPTPPQMPIAIVGMSCRLPGHVATPGEFWEML ARARSGYSTIPEERFNVAPFHHPNPGKAGCANPIGGHFLDFDLESFDAPFFSLTEKEA ISMDPQQRLLLEGTFEALEHAGIPKQSIVAKDVGVFIGGSFPEYESHLFRDSDTIPMH QATGCAYGMQSNRISHFFDLKGPSFTSDTACSSSLVALHLACQSLRTGESSMAITGGC HLNMLPEFYISFAKSRLFSDSGRSYSFDNRGTGFGRGEGCGVVILKPLDQAMKDGDHV KAIIAGSGINQDGRTPGITMPCGIAQRDLMKQVYDNAGLDPKDVGFVEAHGTGTRVGD PIEATALHDIFGDRGARDPLFLGSLKSNIGHLEGASGIVAVIKAAMMLERGFVLPNYD FKVPNPKIPWKQWNYKVPVTQRPWPRNKKLISVNNFGFGGTNAHVVLEKVPFATRAVK DDADLNDDNPGRKLFVFSANDKNTLETVLKNLVVYLEQRPEMFQKDLMGNFAYTLCQR RSLLQHRVAISAQRSFDLIETISSGNYSAGKEGDALRIGFIFTGQGAQWYGMGRELYE QYPIFKNALDRADAVLKSIGASWSLVEELSKDEKTSQVGAAHISQPSCTAVQLALVDL LRSWGIYPEAVAGHSSGEIGAAYAAGIVDFDSGMEIAYHRGRLIPVLKERYPDLRGSM MAVGGSKEEFEPLIAGLKDGEVKIACYNSPTSLTISGDESGIDELKKIVDEKQLFNRK LFVDTAYHSHHMNLLAKDYQAAIGHLPAPAPTNVRYYSSLLQRQCDASELEPSYWVQN LTCAVGFSEAVKGMLAPIGEHRTGVNMLIELGPHSALQGPIKQIMQAVGGDAPKVPYA AALGRKKDAVETALALAGTLFTKGYTLDFDAINFPKQQKTPPQLLTDLPRYPWNKSTK YWHDSRLTQKHKHRSAPRNDILGTVAHYSNDLEPTWRNIVRLEDLPWLEHHKVQSLTV FPMSGFIAMAIEAAAQRAAAAETAFDKYQLRDVTVVAPLVIPDADVEMTTTLRPDQES TQGTWDEFRICSWSKTQGWKEHCKGFIAVENHESNGVDDSRCAKEAETRVKSTIAAVE AAASASVSADKLYDTLNELGVGYGTTFQGLSKVTASNDCSKAELVVPDIAKEMPNHYV TQAVLQPAFLESLISMYWPIADGGHNALDTIYLPSAVERITVSKKITEATQEPGKVLN AYSQGQFSTDAPKSTKIAMFVTSKDEPSEPLIAIEDLTISPIIDHEATSEEEHKELCF KMDWEPVLESEPAEPPKPTEAEVIIVHSNTPLQAKVATDLAGSIEKLTGKMPQMTTLL SAPDAEGKLAIFLPEVEKSLLSNIRSNEFEALQTILTKVQGALWVVRGAYDNATSPDM NMITGMSRTIRSETLLPFATLDLDGRSPLEADSVTEAVLKVFQAVFSKDSPSNGEMEF SQRGGKFFTPRIINDPEMNAIVHQETASAALQPTPFSQGDRSLKLKIGNIGALETLHF VDDESKEEPLNAEDIEIEVKAIGLNHRDLTAAHGKLATDDFGVEASGIVTKIGNKVTN LKVGDRVAAMTQGGFATSTRTKATFAFKMPNDMSFETGASLPLAYSTAYYSLIELGRL QEDESVLIHAAARAVGQAAISLAQMIGAEVFVTVGSAEKKEALMEQYNIPEDHIFYSR NNTFGKSLRQVTNGSGVDVVLNCLAGDAVRESWDCLNKFGRLIDVGTRISSTSMKLEM DQFEQNCSFMTVDMMALASERPKLLQRVLADVSKLIKYNKVAAPSPITTFPISQVETA LKSLQNSSNAHGKLVVVPHADDIVKATPAKKPLQLLKADATYILIGGTGGLGRSMSRW MIKRGARNLVLVSRSGSATGKVKELIDEALQEGANVVVRRCDVANPADVEDLVNQGLE GMPPVRGLIHGAMVLHDVLFEKMTFDQYTSVIESKVQGGWNFHNALVNAPLDFFVAIS SVAGAVGNRGQAAYAAANCFLNALVQYRLANGLPASSLDLTAISDTGYLAEDAEKAAE VAKNLAGDSICEAEVLALLGTAISGKLGAICNNHTITGMRITPEQQPFWTQDAKCKHL REAAEAAAAANAAAGGNKNISWNAAAKAAKTLEEAEQIVCDGLVEKCAAVMMMEKEDL DVTRALSHYPLDSLVAIEIRNFITREFEATLQVLELLSSGSLQSLAKGVCVKSKMINF PFICI_10988 MRHRGLMISNRVSNATHVSKSLPPRITCVVITGPSTKIYAIHST SADAERWTPARTTMCAMSATVPDAKCWSFTSASAGTRTSRSMGIWDMFDPVASTIPEE PMTKPGPESSHGWVRCGKEQY PFICI_10989 MKPATKFLSAILLAASSGVLGAPEAELLISARTADSVASDLSAV YYSDVSSSVPLLLGNDKGAASGGIRAWALDPESSNGTLSAVAHQTPGRTKALTTVYGV GNRDLVVTVADPDSIVRLFDASTLEVVDDSLKKVLGAWSVVCAWRSPVSGEQYLYLFG KGQGVQFLLRAVDENEFELVEVQTFETAFEASSCAVSPVDGLVFFSGDDDSTVYTFNA SESTATPEITILGKASDDITGLTVYVSLETDYLLVAQTDIVEVYDTSLNLLGTLTLSS DDDIEIQGLSIYQAATDAYPAGALAYALESDAGEGFGASSLETAFEALNLTLNTAYDP RVKPCKPVSPITEACNRNGFYQEDGSCLCFAGYAGDTCASFTCREDCSGQGSCIGANT CDCTTGWGGLYCAFKLVAAAEETDAFGGDGDDPAVWIHPTDKSQSKIITTIKSEEGAG LAVFHLNGTTAQTISAGEPDNVDVIYGFEAGSRTVDLAYAACRDDNTLCLFEITSNGT LIDIAGGSQPTKDDYDVYGSCAYRSRLTGQQYLFVNAKTAEYLQYELTWSTESAELQT VLVRNFTGGSGGQVEGCVTDEANGWLLVGEEPFGLWRYSAEPQADFETVDEGYLIDHV GSDGHMWADVEGVTLVEGSSATEGFILVSQQGVSAYNVYRRAAPHDYVLTFTVAANED KGIDAVSNTDGITAVGASLGDGFPYGIFVTHDDANELAEGGTSEQASFKIVSLADILS EELLAEVDPNWDPRSS PFICI_10990 MATTTMTTTIRKKDAGLAPESERFLRCCADVASALIEDYESTKD PKKPKKDINLNSLRSKLARKHKLASLPPLTAIIAAVPEHYKKYILPKLIAKPVRSSSG IAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDP FEQARGRVDQLKSLGHSVDKVEYIIMGGTFMSLAPSYREEFIAQLHNALSGYQTTNVD EAVEAGEMSNSKCVGITIETRPDYCLQPHLSDMLRYGCTRLEIGVQSLYEDVARDSNR GHTVASVAETFCLAKDAGYKVVSHMMPDLPNVGMERDIDQFKEYFENPAFRTDGLKIY PTLVIRGTGLYELWRTGRYQNYTPNALIDLVARILALVPPWTRIYRVQRDIPMPLVTS GVENGNLRELALARMKDFGTTCRDVRTREVGINEVKHNIRPNQIELIRRDYTANGGWE TFLAYEDPKQDILVALLRLRKCTEKYTYREELTGQQTSMVRELHVYGTAVPVHARDPK KFQHQGFGTLLMEEAERIARDEHGSEKISVISGVGVRSYYKKLGYWLDGPYMSKWLDG RKQEEA PFICI_10991 MASSRARRVAKELGDIVKDNDKSGITAAATNDSGDLTHLKACIK GPPGTPYEGGNFNVDVKIPNEYPFRPPIMKFDTKIWHPNVSSQTGAICLDTLSTAWSP VLTIKSTLLSLQSLLESPEPKDPQDAEVAKMMNDNKPAFEAKAHEWAVRFANAPPNAK WQNNSAAASSMSNAGPQRIPEARYKGYNRNMIDAFVSMGFDVDRVVEAFLHFGIDKRD GLEYDLEEEYMGDVTARLLGEP PFICI_10992 MSNKQISPAGPGDPEKPSVVKDDLDIDTDTKTREGAQADALGRT LPEDNGSPLEPPPQIANEMLDERKTQSAPVGLDAIPKEGATGAAADNDDDPAMASPPM PRHPRTSLQLSRPQPQPRTSTQKARASEEFDRRFDGPFGRPSLAMTRRRSSQQPQILE DTEMDAVEPTVTTSAGEQVTVPAFQPEPPRLGYTLRTRKMAIFLFWSVILFDSVVMPI ALYFGLWYGVGPGNPDDERLSANTVFSIVTAALGGASILEYFVRFWRLYKKNSTCRVI GAHRWYLDWFHWMFTLAWIIVMIELIVGSVQEDPYIRLLSMPLATMLYVFGTLLLTID LCRYFKVPAPVRISSIPKGSQLRPGIYSLIEDICAVDGSGGTDYRVAFDKRYEASHIF RSMLRRLGIFWAVGAESCAVLCTILIFTLSADAAYTIGWSVPFIWAGIWTAATFWYAK RELKKEKELWAAEAAKCRA PFICI_10993 MTMIKAAAPAPQDDLKTVVETRNREWHFHIYFLLQSPAEKAAAL ALRDAVLKLRRDGAFVAVPLFRVNEYPMGPHPAGSYEIWVPDTSFSDVFFYLSTNRGN LSILVHPLTAYQRRDHELRNAWMGTPWPIYLDVLPRDGDIPLQYPELGLGWSTSPKQE ISLDERRKRGAEVEALLANDPEAAPAPES PFICI_10994 MKTNFQALWLSFIWAAKASWSLDDGLTVTTGTGIYTGLIAPDYD NVREFRSIPFAQPPVGKLRWKPPVALPASRKHHYSTRYPTNCQQYLPSSLSLWSTVLT DYGIDTLDQSRHAGEVAQTSSEDCLALAIWTPANVTADAKLPVAFFIHGGAFVQGGVD SPYLKPTNWVSRSQKHIVVSVNWRVNIFGFPNAAGLDSQNVGFLDARLALEWVYENIE AFGGDRDRITLWGQSAGAVASDIVGFAFWEDPLASSLFLQSGNAIRQFARGDDALQTN FTFVAKNLGCDFEDDAEAELDCMQQVPANLITNFVGQYGDNNTEPGLYFRPTVDEKVV FANYTARAKAGFIPKIPALISTTANEDASLIAYPADNLVEGPNKTATNLGTLAAFVCP AFFGTNVRIYNNLTTYRYQYAGNFSNISPYSWLGAYHASDIPPIFGTYDLHGGSTALE TAVSKIMQNYILAFISDPLNGLKNLGWAPDNKLLQGTMKRFAARTVEEKTVNSLDVDN PCRGIGKYDPSPS PFICI_10995 MVNSNIVLSGISLLAVASARPATTKDNNLPPREWQECSTGTWYY TCGGISGCFDYDPCVAPSETFKTTREWHECPAGTWYSKCGAYDGCFDYDPCVAPQSDQ LQAREWKECPVGTWYSKCGIYDGCFNYDPCVSPSSTASESDTLTTREWQECSPGTWYS KCGQYDGCFDYDPCVEPSNTLTTREWQECSPGTWYSKCGIYDGCFDYDPCVEPSTASD SSDLETRQDCPTGTWYSTCGNISGCFDYDPCVAPSSTLKTRTECSTGTWYSKCGQYDG CFDHDPCVSIGSTVTAYNGTTGTNGTHGTNGTHGTNGTSGFTTDDQRDMFVVVPAEPE TTGSAVSYFQVVSGAMDINQVGVFSGIPAEAKTCTLRWKQGEADERVFSVIGNGRVSV YQIEDIDATDGVSWSEVEDATVTSDEFGPDLTNWDSSSIGATDHGSWDLNCAETIYLS FATHKGSENVGVDDYRNVYFEQDENNGFYISYTH PFICI_10996 MGLKDSRWASAPTTGSLRTWPQNAQPLSSSTPAATRPAPASTSR AIKTSPERELDRYLKLVARLKWKLSFLFQGYSLATDRVGRSTDEVAADEMHFKIDFYE YYMHIERALVHLMGVFGIEITGLADSTHNSSGRSHSHRFHANVLAALERPDNPLREAL GQGGVMKQLSRAKQLRNRWKNADGDSGPDEKGYTPAPLEAYNLEQILQTIFAGCDQAY AIAESHIQQLRDDSGPDNPMDWEREEEDWGFIADAMDWEAV PFICI_10997 MAPTTSLRNIFTYASNLLSQVPLQLSDFPNPLGSVLAPPATDNN AVNDHHAPAAITPYIPLSGAGTCPIDVPMSCHNQTAGDSCCFIYPGGRLLLTQFWDEE IHVGGSEEDWTLHGLWPDLCDGSYDQFCGMAPRFNNITEILKHYGQEELLEHMNRYWV AKYGGNAHLWAHEYNKHATCINTLAPSCYESTYTPGLEVVDYFTRAFGLFKMLDTYMA LSQAGIEPDAHKTVSLAKIQKTLEEFSGGKVVLKCSGRRHDVLHEAWYVYFVKGSLQG GDFVPARDSFKGDQTDCAEHVRYLPKRSKGRHH PFICI_10998 MSSAVKRACDACHRRKVKCDGCNPCRNCGSASLGCTYNAIPQKK GPKGSRAKVISELRETQRATSLAAKVQSRLNNVPPPPGPPSLQANPGILAPELVKESI DFFFTHMYTIMPILSRQRLEQQAMYMEQDLTTYCMIASLSAFMLLQPGFHVPSNDPLF EIPGANIASSQLLMEEAMRVRKGLDYIGSANLNSLCTSYFLFCSYYALEQHDQAWFHL REATTIAHMMGLTTEEQYLRYEPVESSRYRRLYWQLFISERSYTLKYGRPMSLPLINK TRTADDPSDPLAHQLNNHILLINIFEYFDDTFMMLWNKTRKEVTPQHLAFLQKRLSEI QLPYGMDDLNANQSWLKTVAWHLSQQPGMSPGSDDMTYAFPPGMSGDLTTIASQLSPQ STDLLGGVPMAAKLLDLTCNMADVLSMMPNSGDPFSVYSPQQQLNSLLHMVSVVRGGE YSLLPLLLLKVHETLPRLANPILQRVPDNINPLNNFDMFDGFGTAGMAQPPVLTDFKT EQQYKPESFAPAPVPRADEMVNDAASSNGAITNPDISTPFTMAASSPTIMSPGNVEFP QHMTDYNSIPDMMGTMGANQQQQLSHQQQQQHQNFQQQQGLQQQAFPHHAMHGQMQNS MHTQLGQQMGQQGMTGINQQQGTGHGQGFNMNGGMAQNLMSNILHRTSPPRANSFNAM HQQAQQQQPAPQYGLQRTTSDHVSMNNLGMNSMGTGMDF PFICI_10999 MRSFLALKAATAVILAAQGTLAQNWDGVPTMGKVVNGIQYLGCP VEIPGRVLTGVSYSDDAMTIESCAAYCTKNNLPLFGVEYGRECYCGRYIPPTVKMPGQ ASDCNMNCKNNKSNLSKQMCGGVNRMSVFNVTTFQGPGAIKNNADWSYMSCFMEPQWG RALSNLVKPDDKMTINMCFDTCKSGGYSYAGLEYGRECWCGNTVAPNLEDASDPACAM QCDMVCGGNSGQMCGGRGAISLYQRNNKKKRDAYVDIHEGHHGPSEVDLNVAARKGRF VRVRRPSVRRTQMQQEREQGW PFICI_11000 MVRIAIAGGSGQVAQEIIDELVLTKKHDIIILSRNLTLAPKELV AEESRHGVTWHTVDFDDKNELANALRGVHNVLSFIQLLNDTDNKSQKNLIDAAVIAGV KRLAPSEWGSSGIDNMPWWTGKKAIREYLEKLNENEPVLEYSLFQPGLFLDYLAYPHQ TSRHVTPLSTMFDFENRRAISIEGCDPVITLTTVRDLAKFVAQAVEYEGTWPVNGGIQ GNKVSFSKILEIGKGVCGTPFSVDQVKLEDLEAGILTTSWGLRARHPSLAEDKAADML KSVLIGMLLSSAKGAWTVSDDFNRCLPDFKFTNIGDFLANVWGS PFICI_11001 MRFSAALVASVAATLVAAHPGADIQQELHERREFISNSKRTNLD HCSAKHKARGLEQRGIERRKNLAAMNAKRGILARDPSDINKTHLSDADFDETTPLETV FAQDASCVLSPEETEGPYYVSGEYVRTDVRETQEGIPLYLDVAIYDVETCEPIPERWF ELWHCNSTGVYAGVGSGGNFTEAPENLNNTFLRGFQLTDEDGAVQFLTTYPGHYSGRA IHIHVAVHPNATARENGTIYDLTANHVGQLYFDQDLTDAVEAYSPYNTNTQPVTTNAE DFLLLDGLATSDPIVQYVLLGDDISDGLLAWISFGVNTTYTRTISDAATYYEGGGVSN GGGAGGPGGPGGPPPSGFPSGPGGPIPTAPPRL PFICI_11002 MAEEANPQPDASSPLAAAAAAPARPQSRRKLRKGTLSCWECKRR KVRCAFASPAATVCNGCQRRGTTCLGQEFPDQPDPSPPHTESLRDMGLRMSRMESLIE RLTARLDGEQPRTDDSPKVASNASREVASDAAQRVLACSPRSSSVTRDVVMGASVQTL NPSRASQSLYEATDERESTSISRWPLPSTTASDAVLSVDQSEHDINKHSQIFDALREA WPSHHDIEIIVGVPHGISGLIHGVVDKPFSSFGAQDPPSPRDVLQLPPPGSHPIVVAH KLLCLGSFLQCIPSSSLPSLASLSTSRVNIMTRAVETAVRLVVSDDDLVDMVEGIECI MIYSLYENNAGNLRRAWMLLRRATALAQMMGLDRGRGNCIDTGRRVNPEQMWLRLVQS DHYLSLMLGLPPAAMVEGGGLGNPATLECCTSMERMERLEAVASTRILRRNATDLGDL DATQEIDELLRQASDCMPAQWWLLPNFASSPKDEVKVFRETIRITTQFTHYTLLLHLH LPYVLCSSPDQRCEQNKITAATAAREVLVRYLTIRGSDPTSFYCRGIDFIAFIACTVL TLAHIVAHCPPATQNEHNLPHNRNGVTVFAYLKHQRLGDRGMMEQVLESMDRLVESNG DRIASKIAVVLRPLLVIEADAACGRRYQTRSSLGVKEESPECAGNVTDDDASLHIYIP NTGAVDIERCCGHPYTSLSLLNSLDTTMPGPSNLHASETGLENAFQYSDEHGPQPSTY WAQSPTMDTAVATEDWTLQGVDSALFDNILNATPMAD PFICI_11003 MSNAGAPSTGAGQTGSTFTPVPPPPTPGTTAPAPSTTTTTTAPP SNQNLNQIVTDYLIKRGYTRTEEAFRKEIAGGPAKSEEDENKRLKPDKYVAAFEHLVK WVDNSLDLYKFELGKVLWPVFVYSYLDLVKFEYKLRAESFMLQYKERFENVRADEVNS LKLVKTPKQLEENPVARLYLRNKYKIPLNKFSTGNLFNFLERDSEECGNIVTYILGTF CDVESIERGPIEPFSFEAIYRRARNLELDDVDAQEGIPGIPAAGAVTLNKEILENGNN AALKLGLLPMEPELRGDVLAELEDEDKQNPPPDGTRSLVDEFNLMHPIKKEAGDSPQR TDIPYPPSRARDIVMEIQKVRENRDRFKIEGRSGGVGPAVTVCMYTFHNSLGGYSCMD FSKDQKLVAVGTTESYIRVWTLDGSPLKSQLPNEQNLKVNNRKLIGHSGPIYSVAFSD ATKNLDKNIFEDGPKVDTDAKLLISCSYDGQIRLWSLETWTCLCVYKGHYGPIMKLAW GPHGHYFATGGWDKTVRVWSQDRVSYVRLMVGHDTPISALCWHPNGAYLFSASDEADK TIRMWSVSSGNCVRIFTGHTDYISTMEASPNGKILASADTGGNIMLWDIAKGERIKRM RGHGRNGIWSISFNVESNTLVSGGADMTVRVWDIEMPAEGTRTAVQAEGGDGAVVASG SGAGTDGKAAATTTQGATSGTGTTGTGKKKGKEVMITPDQISCFPTKRTTVHKVMFTR MNLVMAGGCYEPEQR PFICI_11004 MASQSSMDATSFAPFTTAERIQQLGEIDESIVSLLRTAGAAIQS LNKKDPNEGDGVMDLGNGGTDSDDEDDESGKDRAFKHQMNDFMRTLRSVNVRMKRQIW GLEEAGIIKSSDAPQGDAASDENGKTLEPDGNGKIGGMDVGWLNSRSNKVERDMESEL WDQAEAFLKDMIKQGDDTKMTQ PFICI_11005 MEFGEGDSPWGDVPSQSSKPPSITQSSQEAESSQPSSQPSVKAP AARGPRKPIRRLQAQPTTIQADDPLGPLGPLGDNNAAPGLDSQADEPPAPPQKEQLPI RTTMPPSARPQSGPRDPHYIDDDDDDRPLGPKVPPPVQSAQPSQVRSATQPSVAVEQA AKPSFNITVGDPYKVGDLTSSHIVYSVRTKTTSKGYKQPEFEVKRRYRDFLWLYNTMH GNNPGVVVPPPPEKQALNRFETNFVESRRQALEKMLNKIAAHPTLQHDPDLKLFLESE SFNVDVKHKERREPIPGESKGVFSSFGLSVGSSNKFVEQDDWFHDRRVYLDALENQLR ALLKSMDTMVNQRKAMAEAAGDFSASLHALSTVELSHTLSGPLDALSELQITIRDVYD RQAQQDVLTFGIVIDEYIRLIGSVKKAFDQRQKAFHSWHSAESEMQKRKASQDKLLRQ GKSQQDRLNQVSAEVADAERKVHQARLLFDDMGRLMRAELDRFEREKVEDFKSAVETF LESSVEAQKELIEKWETFLMQLDAEDDETVFYRPPVIDSGAGKRPAGDTAVDRARARI DDDSD PFICI_11006 MATLRVSRRPTCALAINSLTPQTSTTTSSVTSTPLAPGFLSAQT QQQTRNANLIRRHKRPYMFTQLVQLSDGSTYTMRTTSPYALYKSVKDTRNHLLWNPSE RTLKNVEVDEAGKLAAFRERFGRTWDLPGSGAEEEAGADDAAEGTKKVAAKAVEEDPF SLDELVSRYAVEIKDEKPKAAPKKK PFICI_11007 MKSLHFLSRASRISFSQHAVLRPDIAGVSSTCRISTPRKPYSTD QLEWTSERIRDEWHKQADTAEASATTSATLESIESSEASTDHPLNPLENASKSQLRKD RFRHLNPTSDEEKADIRAHPTTFRRLMRHVTQPVVVVSSLAIPGQGHDPWRASRARED FLENPFENPYEMEEDGDGSKPVPRAMTVGSFTSLNVRPVPRIMFNVNRPSKTYDAIKT SHRFNVHILADNKEGALLAEHYSKGHSRIDFVPDDNNYPELLSRVTSDFARKAILKDG LGLAVEGSDKLGGLFVHGARRWTREWENWQKKKFESEHTWTPFTREAMPRLESDGILY TLRCVVRRWTKGGSARQFRSGLLELDAATALVIGNVQEVIYGSLKDEDEPEMKPALSY SFQQYIQRGDPVNLSESHKTEKVYGNTLKAEKENKPETKDDIPKMQRPQMYLE PFICI_11008 MDEGTRDSDFQESPDIEDTSDHEESDCVEQPSDFEYTSDNEDWS DSKRVKLSDPGPTVQQELSRPMPDLPREIRRLIWRELATIALPAIPVRRHIRLEPQDE YKVISDINDGATVLVALDMHDGDGSNFESKYENPLFRLSVMKASPDLFREVLNDVADR VVFAFYSSINSRFTETLAKHYGEMPQAMPRIRTLWPKQPSRGCGWERDYCIKQDYTIN LLFEALGTEDPDEEAHGDQIQVDDYPRDGIISSALESWHFNITKPQYQIAIALEPGTL KQPQKVAIGPGLGDCFKDLKRDLNIPMLWVFD PFICI_11009 MSSPRDIPQHSNREQITRHEVTWSSEYDADPMTAFLTPYSSSST SYRSDFSIASRTNRDIIVLQNQHIRSTQQRILAILGDLEVFLRPDSADPPQTFPQYEA EDDAACLLAETDVTSDARIAAAQNRYLMTTQGNVMALLTSLARCQLGVSDDDA PFICI_11010 MAKTRAAARAEGQQEDQALAKETKVSNDRVTKPKREVKTRQPRA TAGKKAAEEQEKSSPEPEKEVRRKRKSDVASPAAAAAAADQEETKKETQKSPAVSKEQ ADQVMKENDGAPNSPKRTPKRTSKRNSKAPSSPASPKEPKGASTSGADKMDVDVPKSP SPTKAEPTSKEVPTAAGSDSASRPSSPDSSAKAPEPTADGEEKAEPKPKKRRRNSRRE KEEMKTKKRKEPSPRMQAKRLRRKERRMAERIAKKMVEMGNIGFDQPTKEDDTEQNGT NEQNRKGRRRLRLIEQQRKALQKALNLGPGETSEQLESQLERWTENWDTAAAMRAIKK LQKKQKLMAKEHAKRIRTMQLKKEKAAMDAKAVKQEKIKQMGDKMSEKPHLKLR PFICI_11011 MSAPSSQPPSQQQPPAQPQQGQLATAPSPSSQQSPYKNPLLHTA ALICTPVAFLGLALPPRRLDFRALLLGTSAIWGVSQLKYDYTGHSMLHKWFVSDPSQA ASTGGLGSNLPSERAGEVQRMIREEKARRERARELLASGMSEQDVKRVQELERRKAAA NGGQQPQQPTSGEDGEKRGTLESIWMGDADKDWKEKRAKREQEALAEGGDGIWGLITE QISDVFNRGERKAQEKAKKVEGEEKKS PFICI_11012 MTGDDNRNHRPEPVQTDLSGDAAAQRQRRPRTSKPKVKTGCNNC KQRRIKCDEKRPACTQCVRSKKECKGYPPPPRPRIFEEVAIAPRPVTNNVAIIAAAPA PAPSTIAPRRPTIAIATKPKRAVSPPQQRITPPLTPINHPESTFTSSLMVHRPSVNLP FNPQDGLYFQLFRERTAGELSGFFDSSFWTCSVLRECHSEEAIRHSVVALGALYKTLE KMTESPPGSPSDHFDPVDHARLHWEVAFRNYDSALKAIRSQTSSDHSTQRTSLMATVL LACFDSFIGDHKQAIRQIQTGLGLLEQLRAERRRAFLPRPEEPVEQDLIQMFTRLAIQ AKSYDMAFHFPQPFVIRLTPQNTDPTSPTSEGGSPVSTLQSPIPESFSSLIEARLAWD ALCEKMLRFTETMFQYTSSTSPMGVLPRQLQQFGVGFKNEIASWDHAFEPILNSRNAP GKSSQEKAAISVLKMFQIMGQILFLMTFSDNESSFDAFLPQFKKIVSLAEEVVGDEER EAMAKRCPNPQFCQHQSGHPDIFGGGEWTAKHIKPSFSADLGIVPPLYLVATKCRDST IRRQAIQLLMSSARREGMWDSELIARIGMWIMAIEEEGMTPGDSPRPSTSSTSTFSAA SPGARSIGSISNGSPTNGYTDLESDRPLGPGGNARWSIGRRESLATNGSMGRSRTIPE EKRVMVRAVEFDLRSRTATLQCGSRDLTPGMQDFKNRITQFDW PFICI_11013 MSSDPSTTPSSDQRLSSSTPPFTSALGPGGEAVGVGVGTTTTAA APAKRTRVLLSCGACRASKLKCDRQDPCSQCLKKGRADTCRYAPRPQKPQKPAKTMAA RLRRLEGMVRGMLDENGVPSAGRAAEAAAALGLGGAQVTAVPADQDGAGEDAGSRQES PAGVGSCALSSAAAATRNSGVDAGGQVVSGDLGATSYIGGTHFAAILQDIDELKSYFD YPEDEEVDGTTSDPYEAERSPEMLLLSQSGPTSREELLSLLPEKNVADRLLMRYFSSN SPSQHILHKPTFNQEYNEFWRDPSTASLHWVAILFMVLSHGVFFCMFQTPHELEVDSP LPAMDRFALYRALAGAALTLGKYSHPNQWTVQAMLLYVESEFMTAPQSQINCYLLCAT LIRIMLKMGLHRDPDKLPNISPYDGEMRRRCWNLATQIDLLVAFHLGLPAMIHGIESD TDLPRNLIDSDFDPSTKVMPPQRHMGDYTPLCYPINKAALCRVFGHVARQAHSLKVPT YAEIMALDAVLESTYARVPSFMKVKSLAESVTDPPMQVIQRYGLASLYQKSRCVLHRR HLTDAVPTAEHSYSRRACLEGALELLRCQETLYEACQPGGLLSPNGWFIASLAMNDFL LADMIIALIIQSEHYEADEGSDSQNDNTWLPKGTPKPTREELFTLLRRSLFIWQQTAG KSVECKKAADLIGAVTRKIEGRMGKAPLSSAVSPDGLPVHEEQPDLMAGLTIDANASD GFTGPNMVAGGNDVFDPASFFNPSVPGVSYGDSTTNANISWMFGPDGYDWTQIDAFAS PNDNNNNNLDMPDVSQPQNTQDRSWLDRNPLDDLDFLTRTSVTGTTSLGLR PFICI_11014 MPAAPIPIRTDLVIRTAPSEHANASPRAVTRHKHTRSSYSESSP ILSMSRNNSLSFRPLKRSMPTPDKTIAVINASGRQAASLIRIATAVGYKVRAQLRNLE GVIATEVSTNPNVTVFVGELYIRKKPDDNRDVTANGHIPGIVVNEDLISQVFCGAQLA FINTTFYGNEVQVGEAIADVATRVKVQHFVYSSMPDHAAYNPEWPSLPLWSAKHRVEE YIRQIGLPATFVYTGIYNNNFTSLAYPLFCMDLQSDGSFVWQAPFHPDAKLPWLDAEH DVGPAILQLFKDGPSKWNGKRIALAYEHLTPLEACQAFEKGLGRRVKYHRGPIEVKCK IPEGYRDQLVALEKLFSPTNPDAEKQPPYFGDRVLEDSCPDSALALWEGPRGLEEYAG EIFPLEEEANGKTWMFDDEEYDEPAESEVPALDDEEPDSDESIDEGLVMRGLKRDEEQ WLA PFICI_11015 MSETIVTPGGISDPALIKLVNKLQDVFATVGVNNPIDLPQIVVV GSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLVLQLINRAPSQANGVKEELLDSTDKA ANQDEWGEFLHVPGQKFYDFNKIRDEISRETEAKVGRNAGISPAPINLRIYSPNVLTL TLVDLPGLTRVPVGDQPRDIERQIRDMIVKYIQKTNAIILAVTAANIDLANSDGLKLA REVDPEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIDNKKPI NQSLEAEKNFFEEHKAYRNKSSYCGTPYLARKLNLILMMHIKQTLPDIKARISSSLQK YTAELNSLGPSMLGNNSNIVLNIITEFTNEWRTVLEGNNGELSSTELSGGARISFVFH ELYSNGVKAVDPFDMVKDVDIRTILYNSSGSSPALFVGTTAFELIVKQQIKRLEEPSL KCVSLVYDELVRILTQLLQKQLYRRYPQLKETVHNVIISFFKKAMEPTNKLVKDLVAM ESCYINTGHPDFLNGHRAMALVNERHNGAKPVQVDPKTGKPLPAAATPARAASPTLEG LEQSNSGFFGSFFAAKNKKKAAAMEAPPPMLKASGTLSERENIEVEVIKLLISSYYNI VKRTMIDMVPKAIMLNLVQFTKDEMQRELLENMYRQETLDELLKESDYTVRRRKECQQ MVESLSRASEIVSQVQ PFICI_11016 MRTRTLLLRAFKPSLQTLVTAGTSFPAAKVEVAEPDPTSTFTPS ASDDPSIPPTPNIPPNTILSAPAPAPDAAEPSSSSSPQPSSGSQINYQPRPPTSPPTT RQILGRRRRGSGDEYDVQELGSGYPEASASSNILRAKSTPKRRRANETMLADMDQTSA SNGTSKAFANGKMRISSTSSTNGNHKAVAATNGSSKVRAPDTYLGHDREEVTRILIQA LSDMGYHGAAESVSKDSGFELENQTVSTFRSSILDGAWAQAEDLLTGATSADSRQTQG GNGLVLSHDADRNLMRFWIRQQKYLELLEERNTSRALAVLRNEVTPLYHDPQKLQFLS SLLMCPDSADLKASANWDGAYGTSRQILLSELSKCISASVMLPEHRLAVLLQQVKRTQ IGMCMFHSSSESPSLYSDHACDRRQFPSETVIELDHHEDEVWQVAFSHDGTKLASCGG DRQVIIYDVPSFKVLHTLGEHEGGVGNVAWSWDDSMLVACCQRKEARLWDTNTGALIR TVSKFSEPVSSCVWAPDNQSFILGSLDKEQSLTQWDIQGHKIVDWSPLHRVEDMAASP DGRWLVAMDDKNHIHIYNFKKRDFEYSIDLRTRLTSVSISADSRYLLINHKNGVAELF DLGLKELVKTYTGHTGGDYIIRSAFGGANESFVISGSEDGTINIWHKATAMPVVKLSG HQPRTNAVSWCPTDPCLFASCGDDGKIKIWSNDEWARKQRISHESVQDHQSWHT PFICI_11017 MAATIPRKLWEHPDPQSTEMYKFMQSVNQKHKLNLKTFWDLYQW SINKRSTFWTDVFERSPIIHTGSIKRVVDESKLIDEVPRWFEGIQLSFAENILWSRRP IDPSDFHGTAGKEDDKVALTEVREGVSELRDVTWATLRQTAAQFASALHAAGVGRGDR IVVVGSNSVETLAVLLATSWVGAIFSSSSTDMGVSGILQRTVQINPRFIFMDDAALYN GRRVDLRDKMTDIEAGMKDCNLFKGMISVRRFKEALDVSNIPRTQKLDDFLKQGSPNP PPIVRINFHEPVLICYSSGTTGIPKAIVHSAGGVLINCYKEGVIHEGMNSESVSLQYT TTGWIMYLVCIMQLFAGARSVLYDGSPFQPDLQTFVKLVGDQKVTRLGVSPRWMHELA KAGISPRELTDLSNLKIVTSTGMVLSDQLFEWFYDSGFPAHTHLFNISGGTDIAGCFG IGNQLSPVYVGGTQGPSLGTPIAVYDSLLPNGPGKEVPDGTPGELVATAAFPNVPVYL WNDKTPVGVKGSKLHSAYFARFDHVWAHGDFIVVHPTTKNITFLGRADGVLNPSGVRF GSAEIYGVLERHFSDQIVDSICVGQRRPKDNDESVMLFLLMRPGHTFTKDLVVQVKDA IRKDLSKRHVPKYVFETPEIPTTVNLKKVELPVKQIVSGHVIKPSGTLLNPTSLDYYY QFAKDEVLKAGQEKL PFICI_11018 MSMLKPSQVGQFLAYIGVPRQFHNAPPSLSLLKTIHTYMISTCP YENLSIHYNQSHSISLDPQFLFNKIVTNNRGRGGYCMELAILYNHVLRGLGFQAYTVG ARTRRRFQGVPQGDYPGWVHIVNIVTFPDQDVAPTEPKQYAVDVAFGGDGPTAPLPLI SGTIHQNLGTQQVRLLRDWIPTQVHRTEESKLWIYQYRNSADAEWNSYYAFTETEFMA ADWEVVNHWASCSPNSHQTWTALVVKFLRRPAHDGADQDAQEEIYGKRTLFNGVIKEN LGGRSRLVVECKTEAERIEALEKYFGLRLTEEEVLGITGWATDLNSKGEL PFICI_11019 MATRLYRHLQQHPTRMMASISAATKPPITSGRIPVIYSNAESRR LLMTSPSPQIGPLAPQTLVLPPPHCRLDGRYTSLVPLKPEHSASLFKHLGGVQNDHLW RYMFSGGYGEEAQFQKAIADWSQSSDPLYFSVMSGPRSDPLAQPAGLISLMSIVPSHR RIEIGCITFGSLLQRSTAATEACFLLMQHAFEDLGNSRVEWKADELNKPSLAAAERLG FSFEGVFRKHMVIKGRYRDTAWYSTTEDEWTRLKQGFDDWLADDNFDSKGQQRRSLGQ CRST PFICI_11020 MANQQLPHTRLVDNVLRYPKSGINVLVVGAGPSGLLAAIECWRK GDILALGPSAVAVFRHFPSLLQDYYDVGLDCESVVLHIDGKVAVPPMEFEPNRPGVAP HAAFPIRSNTIIGRYDMTNILYKQCVRLDIPIRWNVQIASYEEDAVSKKGRAIATDGT VFEADLVLAADGLGSRSHALTLGKPVRAVSTGYSIYRAAIWTEDLTDTPLIDQYLKEQ TRPQARIYAGHNQHIVLVVSKRLVSIAITLPNDIQGQALESWSTTISTEELISSLPAP DYDPLFLEALRAFPKNSVVKWDLCMRDPQPHWTSKEGHVLQLGDSAHSFIPTSANGAT VAMEDGASIAECLRLSDKAGPHLAAKVHELLRFERVSLIQLMGLVNRANFHREGHEEA KQLQSRIVQGKWLWGHRSEEYAADNFARAAAHLISGVPFQNRNVPPGYVHEGWTLEDQ IAREKQGIVVDLTKNGDWSLV PFICI_11021 MAALSFVEGEVAFEAPGAGKPCKTWYKILGDLGSEVQPLIVLHG GPGAGHEYLTSLTDLHEKYGMTVIFYDQIGCGRSTHLQEKMGDDSFWTFDLFVKELDN LVDHLKLRESGFYLLGSSWGGMLGSTYAGRRSPGLKKLVLASAPASMPLHMQGVKELL VGLPEETRKTLEECDRKGDHESEAFQNASLVWNKKHVCRIDPMPEPVQAAVKHLKEDP TAYLTMQGPSEFVVVGTAFKDWEGYKLFGPDIEVPTLLINGTNDEVQNLCFEPWFRTI PKVKWVTIEGASHMSHWEQRGRFVQLCGEFLIGFAEK PFICI_11022 MSSNISDTKAGQADAQTSSFAKISNVWDTVKPNIEGAANSAVRR GKEWYQVSWLPTSLRGHKFRHTSLADPSSSIRLLKIEPGQASDVIRVSLHVVSLRDNP KYTALSYKWEKDSLINGFSERYLTSPEADEGLLDALKRYMRSPKQAYSSKAADEGIEK LFEEQSESDEEESDEKESDEEEGDEEESDEENSPKYIICDGRRKGIQANLYDALVQLR KSPKAEFYWMDAICLVQKKCDEKKAQLRIMGDIYRCAELVVVWLGQCPIFISPGIKTM LSTGMTTVLPYYHGYAQLGQQAPQTKSVDVRNTLLVMSMFYLATRGWFGRLWVLQEIL LAREAVFMLGEHQMDQGTVLAAINWNGGLQEGEAVMGGTEELRCHYESMARYFTPHRT DTVLGENRNMEGLHDDYGVVGNWFLIYYTVLKYIPTSMLSPRPLFRQGYRWTLEQFLV ACNARDWGKVVDFVIGGLSLILPESLKINQAIQVDEPAPPPLPPRPGNLEQAMVRRPQ SGNVPKVNKGRLWSSLDEHLDAKAPELFLNLAACLLSQPHEVGLLSVAAMFGNPLSER PTWIPHPELPREQGGEAFAMQSGKAFAACTELSLEPEISSDGRSLSLHAAKLDTIEHK GPLVPLLPTPEIVLEYLEFALKLPKIDHFTGQPGIVAFAHASIGGSWGGQHPPPLEAA NGFYYFLADIIRDLISKGKKQGNKKPQAVPPRPAASSQPNDTGGQQQPPSQDQVAKIT KTYQALTKAYPGQPWPPLKNEAFSKDQDALSGRYAAAVSLAVMSGGRRLFITRGGCIG LGPNLLKEDDTVMLVPGFYVPYIFTSIDEHLRRKAERLLGKLRDIEAKGGSNKEQVEG MQAKLQELQDRMGTQDAWRLVGEAYVGGVMRGEAIEENRDRFERISIV PFICI_11023 MPSKSEWESQKDAIRELYLNQNYTLKELMERMHSAGFVATKSQY ETKFKAWGFSKNDTNIPAATWKHVGYKIAKRKRVGDNSTIFIDGAEVDQKRVRKEISR NRYSTIEQVQLESIGAPSPPGPLIMVTSPPNSQPAFTISRWPDSLPWLAFVRSLNTRL VNLNLPRQAPGASHQELSALQSRAQHVLSSPNDEISSAWQNSAYISTGRDRMNLIRAI LPDRHSKQRAAEIQSSISLLSTTSSHWGLKLLIYLASNKLLHSGDLDSIWRLVEKPRV KELVAMSKSLDLGETSLNAVLEYMFEAGIQKRRTDVVSWLLDVGIDPNRKIRSDELHG SHLPLVLVMNDWYWSEAKSMQHDTACHLAALNPNFRAEHMVIFLLPTQRRELYAQYDE YEGEIENWDMDTWEFWDAWTFRQTELLAGLLEQSFPALSTLVLPKALIWAIKCHDHGL IRTIHQCGYSMNCSDARKDSPLAVAVAEHYGEFDHSYVGTVELLLELGASPNYNPNSS SDCGCSWALQTAIHGHHPAKGELVEILIENGANVHGHVSCGHENHLNLMHCALKAAAE DIDPSLDKKIPMLLYNAGIPFHRTSLVDFLEDVLVNYELAIPRFEGLLSTLISTTTDL TVKSKTGWTALDYCLELWIQEAWGPMIEKGAVCSPGYMHRTHLESAFWFRDTDALWER VVRPQGDEKKQSWLFYRVIRAVRDRGQWIGHGLVPNVTDLLHDYCKLPRSPKAEAYII LQACATKNPYVIGTILERFPDTYSDFALEELIWLQRETEKNVDFWKFIEELLRRRSNT TSSLETPQEERIFFHVAYEVLTGKGDSRVVEWFHKFDRDAFWRANFQPSAFLMNALFR PHKYWSDSMPSMFSKRKPSTCLDDLNVSQWCKYGFKMSTYLGLLVAFIGRLDQISIVL HHGLRLNRRFAWSLTLLQFAIARRDLPMSRLLLDAGADVEARAPWRNIPRHVWERIPY EIRDVLTIDGKGRTKRRSALQLAVEQGDLPIIRLLLDFGADVNGPPARIRGATALQIA CIKGYIDVARLLISKGADINAAGAECCGRTALEGAAEHGRLDVVCLLLERGCLVHDSF RTQYIRAVRLAQVQAHHTVAMKLQDYGDFTDDDNDVLRSVSLTDPDSESESSDDLEDD LPSTEAVGETDSYGDIYLNPWDLCPYKLNPEAYLEDFSSTSDDEEKISSGEGTSEATI NHCDIFKRDLEPENLQHDTSDVFFGYCGDNEGF PFICI_11024 MGSDSQTGLVLGYNGVHPFSKVNITDRASVQELLRTLLDPLEPF FSPAKARVRCPGATAVRFDQTASEVEGICRPLWGLACLLAGDGEYRGAEWWVEGVKAG TDPDSPEYWGYPRDNDQRMVEMCPLGFALAVAPVFWESMNERERGNVEAWLGNSINEK NMPNTNWLWFRVFANLGLKKNGGKFSQERLDSDIEHLNTFYRGEGWSNDGPEGIHQMD YYSSSFAIHFLQLLYAKLAGEEDPERAKEFKKRAQVAALDLVHYYDDEGRSIPFGRSV GYRFAMVSFWGALAYAGVDLPEPLTWGMVKGIVLRHLRYWQTQPEIWSSSGTLTIGYS YPNMYMAENYNSPGSPYWACLAFICLAVPAEHPFWTSKEETPRDLIPKVKALKHPGHI MSYLGGHCMLLSSGQACSYPMKGTHAKYGAFAYSSAYGYSVPPGLFTLEQYALASQLG LSDDGGEYWKTRRKSEYAGLESRGDGTDNQQPVLVSVWKPYPDVQVKSILIPPQEATP NWHLRVHRIEAGREVMTADGAFAICNQRSVDGRYLDPYDAEKGEGTFPKIIGNYDLNT PEGWSPGRQGAFAVSKGAVGIRALEDQVERSAMLVNADPNSNLVESRTVIPTLQHTIK QGETVWYVSAIYAKPSAADVPKNKYLDGWDNVPKIPEWLKAEMGA PFICI_11025 MPSRAEITYFGAGPAALPTDVLESAASALLDYQGTGLGIAEHSH RSALAAKIIDEAKADLANYLDIPSDYEILFMQGGGSGEFSATVYNLVGAWVTRKQQEA AKQAGDDEAKIAEILQKQVASELKLDYVVTGGWSLKAYQEACRLVGEEHVNLVADARK INDGKFGKIPEESSWKLSQNAAMVYYCDNETVDGVEFPGFPQILTPNADGSGPIVVAD MSSNILSRRIPVKNYHVIFFGAQKNLGSTGITVAIVKKDLLPPVTPQPSPKLLRKLGL PIGPIVLSYETIAKNNSLYNTLSIFDVYIAGQVLKKLLATYRQYKVDGQEAVANEKAK LIYEALEAYPEVYRIVPDKTARSRMNICFRIIKGPDTDASEKSFLSQSKDKGLEGLKG HRSVGGIRASNYNSISLEGAQTLASFIKYFGSL PFICI_11026 MPSDDLLATHNSPRTWFLTSALTPLAIRLIRKLLDHGDNVVACL PPQELEHDDRNAEFRELISECKSNRKDREGWLGRIRPIRCDGRIMGQCSAAVAETKEM FGRIDILLCCTSEAVVGTVEELSTNPGTQNLVRDQFDSIFFSQVNFIKAALPLLRAQH TGHIMILTSVGGHIGTPGMSMYTAATWALEGFCDSLAYEIAPFNIKVTIVQPHKEIQS LTNKIVFSPQLPYYDSDNNPAPSVRDMLSNVLNMNADTAIDPSEEEIIHRYPKLPGSA LDKMLQETVNALTAIGGNENPPARHIVGFEGAEAVKEKLKTVTEELEDFVEASLAVDI FESELKAEARQGKARVDASSSGAVAGPST PFICI_11027 MSLDSLVPIAPARVRALVVPVGRITRDKFTSFVKRLNEEHVVHL RDISADGRPNRTMFSPLAFPHGAMFYDLITYVPPTSHLALSPFDLWREPLAVIAIADG SELNREAFSKRQSLTAPTTTERNIRALYQELETLRDRHEKALIHQVVIFDYVQPEGQP ITIPEGVKVVPPVEQSKRTTMKTVMCDMSSLLLAEMTTLAKSFEHMTAIESPGHASRP QMNGSHTTEDANNHRRNSQFALPTRSVSSSGAVDKSQTRMSMPAAFKGLPFGSSSSTP SNRPSTPVRKNSTASQAPAATDEMTNGSDQGSASPEQKSSRPGTAEGFKSSSSDKVSV QGFGPGGPNERWRNKGKGRITIVVGSLYLQAGRWVDALKELTEGATVAKSINDHLWHG KALDLIVVCLLLLGWAGIEFQVPSLCLSPDRPASKGAEIEEVIPDQPKYLRNFQAILP ELLERIVGLFSRISGEQLPPLPLCQTVIRFCSLLTSLHICQGRLDKEFLDMAVLGTSS HRPLTSSPRLNITPTRTQIASLLFRAFPSSAPELITTVDRALVLNGIATVMGTLGFHR KKAMVLRELVGVLIGGLVDARTRGAAEVGIHPAAGLMALNAVNGSNGNGAGALELGEG DVEHGIDAFLNILCKTYGAVGADGITRSGTTPLDDYSDKTVISRIENQSMVRQYGIRN VKLNVLRACINISEALPDFNGVLKFSGDLLRTAGSGVAPGPRREDAAPIITREEQIRL VNNIVKTTELSKRLGLGHLAAEFWDEFLVRGISLDRLPTTRAPVPHDKSVLPGANTVR TSQDVNPFIYNPFVRAPDKVAVERTLVTGEAATFRVTLQNPYEIELEIESIKLDTEGA AFESSVESTVIGPYRTQILKVFGTPKEAGSVKVTGAIVRVRGCRERRFPIFPQSWVAD SEVKVKSIGLASVETTSSLYESNQMIRPGPDLKPESMSLNAISAQPIIVVKSTTLPQS AVMILEGERQVFSITLRNLSTETPADLLLFSFQDSTQGPLQTAVANRDATPAELYEYE LALAKKPALRMRKVDDTKRFIPPGGTNTFEIEILGKPGLTSGTVQVDYAYLGVPQDEI KEQFYTRQVCLDMAVTVNASIELNRMDLLPVQGNVPSPLWQKLTLEQTDEELDPDKYC LVVMDLRNAWPSHMQVHFESEKSFTIDELILPGNTNRVVFPIPRVYLEDPYATIPAIN PSRQRQFVVSTSKITPETERANREAFWFRERVVDSLRGSWKTLSGPPRSGTIELRSMR LTPRMVEAVKIDEVAIDVAVVPINGSDNGSNIIYVDDFAQVKVTLRNRTLEPIYPTLR LLPTLCHRPLNVALDFTRKLGWNGTLQRFLPMLEPKQSTEVVIGLTALCRGDFEFVAS VEETRLWEEGEDTGKGDTGGEDKMGRERSDTQKMLDAVLGPRERRIWHSRHKCLVRVR DREDESD PFICI_11028 MIRSTQIARVGDGLMLCASVDEESMESSLAEIKSQVKLILRRLN KNAEPQASIESGPFTLHYLLSNDIVFLCICERSYPRKLAFTYLSDISAEFQNSYGAAQ LHSPTLRPYAFMEFDTFIGRTKATYADSRASQNLGKLNDELRDVTKVMTKNIEDLLYR GDSLDKMGEISSRLRDDSRKYRKAAVKINWDLMLKQYGPFAALGFIILLFIWLRFF PFICI_11029 MELLVDENSALAKTNLRAMILDPEVWKLLTPEQVAHVQKYWPGD SYNGDLSALASNDHLRHDIAQYQKALADGQHDAEWIRQAKAANTLRNQLCDEEAQQAQ QQAKAEIGNSNREQENQDKVDEMTEDADGKQPNDNSNGDHQMMDA PFICI_11030 MSYIVSCLVGNFLVGLSAAVPVLTDPEPRRNFIAEAGLAVTDNY HPLIRVPLGRDDLLQQQHYADDQDDDLGPRQLGPGSGSGTDHVRAIPTQTEYLMQVGV GGANWSMIPDTGSSDTWLMSSAYQCLDRSHAAQAQTYCNFGPLYPGAFSGGKIAGQHM NITYGGGDSLNGDFGYADVTVAGLTVPNQQISLVTSASIRGNGLMSGILGLGLRGLTT AYLGTDPSADGDANAERYPPLVETMSVNGTISPVFSVAMSRNDSRSFISFGGVPPSIK TGEFATVPISKSIDLMSAYLQISINHKTPEYLYYGLKPESVTFNNTETSSNWTQPGLM IVDTGTTLTYLPKDVADGIANLFSPPAQYYGSGTYTVPCDATPPTVNVGLGGKKFSID PSSLILPETRMPEFDADYCTIGIARGSGTYILGDVFLQEMLVVFDVSDKKEMKFAQRV DK PFICI_11031 MPLPGQSHARSHALLLFQKLLNLRDSASPLTLVLDTLEQSGRPV LQEFIARAKVSRSKIIYISFATLRKPADADVFVRARGKSIDSLRSEIVSHYAAITKGA GGGAAGAAAGGAQQKVLLIVDSLSPPSSLFASHLPHLLSSLITGPSVSLVGLYHLDVP VVLPATFGEYEPHPLTVLSHLATAVLRVGSLYQAAKRREARNRSLPEPEWGLHEGREG VLVGLKEGNGSAAATAAGLVVEMEMRRRSGRSVGEKFVLIPSEKLGLGGASRLALLSD HPLFKDPVTDDGGAGEDGEEPESTFSLGLTEKQRRDREGIVLPYFDAQTDIGAGEGGR ILYEMGREDDFDDEEDEI PFICI_11032 MFRNNYDNDSVTFSPQGRIFQVEYAAEAVKQGSVVVGLTSKTHA VLVAIKRNAEELSSYQKKLFAIDEHVGLAIAGLTSDARVLSNFMKQQCLSQRLTYGRD MPVETLVSLIGEKAQRNTQHYGKRPYGVGLLVAGIDETGPHLYEFQPSGMTQEMVACA IGARSQMARTYLEKNAEKFPDCGREDLIKHGLKALKETLVQDRELTVDNTSVGVVGLT GTGKKSLEFFKVHDGNEVKDWINSVSDDAEAGGETAEGEGMQVDDE PFICI_11033 MSNAGLKTIIALSFVLAVGFLLVILSCALYGVYLPLLVVATYVL APLPNWICSRCANPDDFVESSGNAILDLGRFCTGFLVVMGIALPVLLYHSSLINAAAT AMSIIGGLLIYGTIISFGMFFQEDSEF PFICI_11034 MESGGASPFPTTPQTSTPSGSKLNPNAQKKVKICVYCGSAPGKN PEHIEAARELGRLMAENDISLVYGGGTVGLMGEVAKTIVAISGPDAVHGIIPEALVKF ERDSTYAGKQDEHGHYVPEAEVYGRTTVVPDMHTRKKMMAQEVLEGADGSGFIALSGG FGTMEELFEVATWNQLGIHDKGVCLLNIGGFYNGLLDWLRKAVEESFVRPANAEILVT ADTAAGAIKALKDYKVSQSRYNLTWETR PFICI_11035 MWKIAQGLLMWYGMQMVMKQFTGGNKAQTTKEVTDEAGNVVKVP ANTGSIPAYQLRPKTLDEGAVYARIPQRIAPIWPTDSSLDIIVTLSDSFIPKPIASTE EQYRVLEEKSFQLANYSDKRFVETEFAVPTAVQNNGTLWGHFYIGLSGSSLDPKEPGF DPATAVHFTYPLTQYIGKKKVAKTRNLLEGKEEIEEEPEEEPSTGPIIASYYHPNTTL SFVPDTGILELAKAAPAVRQFLNLEPTGARDGSGQNGWYYPIMYINQFWQLKTHMTVL NETVKTLPLRLDLGNLANWQFTMMATVDLNSKEQARQAALGHSNPGGGDGSEIEMIKE IFMDTNPYLLGVTIVVSIAHMILETLAFGSDIAHYRKKKDNVGISVRSILANVFMQTV IFLYLVDNSQNTSWMILGTQGVGILIELWKVTTVVNIRLRPANPGSWLPYSVVFEDKH KLSETEEKTKEYDEIAFKYMYWAGVPLLIAYAIYSLVYDSHKSWYSYIITTLVGSVYA YGFLMMVPSLYINYRLKSVAHMPGKAMMYKFLNTFIDDLFAFTIKMPFLHRLATFRDD IIFFIYIYQRWAYKVDYTRVNEFGQGGDDEPSEELKAQPKSLPKADPETEKEITGGPV ATGADTGKATKRK PFICI_11036 MNNETVGSLLAVIANSINDGLRIMMFADKRVWGPDEFEQLRLLE ETLDEAKKDFQSLPSLIHGRGYYEHDRKVESLEDLRLLCTRFELHAQNFKEWVKTGGP INPIWARETIELRNDLHRAQCRAARRIFNSEQETRTRCLGAFQVHRVQRSPENSQQRG LEELVVCNSTGKFERFGEGDIAFACDFCDGHIVWEDLREMPSIRRVTQDAPEPTSASG MAPAQDKTWEAIGFKSSDGEEKTIVFAPVAIANHLPPEPGAWEARILCPFCDDYYTEE QGENDMDRVKWNLDEGGYGDLNEFHEHLAWSHAESSLQKAANCSIM PFICI_11037 MSYWKRSRSPLDQHHQQPPYATIQQQQQQRSFPPRISSKNKPLP LDPMNVHSISAFGDEHEHGDGAEGAEIPDIPMRKSSRHLSVSDLITSPPLKSFRNKPR FVEDIDSKPTNQNSTQQSTAGPGPSMSPERVSHEDVKDHVSNDDAEYTHDPVASRTAS TAPKSLMSKSSFSRPGSSASSAVHANSGSALPALQTRAIIENDGLEPLAEEEIDPASF DLVVPAHAPGKQYSLEVQSEQLFAPKHLGIIFEDPVLLQRFTNFIYQRRPESVPLLQY YLDVLKALKAIDYANAVVGGLQAVNDLPYTAEPVDKTLNRVLREKADKAFETLATEEL PAYITYTYIQTVSVTIKRRIADTLPLHLREMSEGLAEVFCLTDPSRPDNPIIFASEEF HRTTQYGMSYVLGRNCRFLQGPRTNPFSVRRIRDKLNAGREHSETFLNYRRDGSPFMN LLMVAPLFDSRGVVRYHIGAQVDVSGLVRDCAGLDSLARLVERENEADGGSSEPLPVR IHAKPQANGSGHIMTQQQQQQQQQQTGRTDGGGERDQNNEQKDDFRELASMFSLGELK TVREHGGSYHRIHQAELTDTDTVGANWAKPRLLIKDDATVGRRSSDPLLQTSVAPPVG GEGGTGGGPGGVSSQMVGGRLAGVYEHYLLVRPYPSLRILFASPRLRVPGMLQSNLMS RIGGSPKVREAIAQAFADGNGVTAKVRWTTSRTSNGSDRGRWMHCTPLLGSNGAVGVW MVVLVDDEQDPTNGDAGSRDAPPVDRYISRAAPGSGGVYGGGSNVMSNIGAGASIDNL SLTSFGDGGLNDRDLFGAPAEDNRGGGGGEPQQQQQQPQQQQQQQTPRGRTLGGSGGY GYDSPPSNQRGGGTMSAQSNRRTPALNGLAMHDPRGSPSNDASRRATPDTVRTH PFICI_11038 MASSPQTPRHSRQSSFVDNNHYSSPGSSPNAKRRTSKSSFQDLM TPMSHNMNDQDLSTFSGGNFDGGDNGLGNLADELADALSGDEDDDGYYEDGDGDGEGE GEGEQPSDGGLESPKDRAEDIRDSGVDVEDRKQLPARNKNLELSPPPNPKSHRRQGSA YDGSEYGSESDLESAGMPPSLVARMDAVESLARRGTESGPADKVFHRVTEGLRDLGSQ ASVEGSTTRLITAHSALATHLAHQTRQLHNLTFPLLSPLVMPPDAETIDDLLPLLNSL SDDMPRPATAAYNSLSALQTLTSDLVQTLNYLSDTLHMSRQTTTTATRRLKSAKDMVA DMRREEELREEGERWLTRGNWGERLEKRECADVCRDVVSGFEQMCNDWRARLVAQEAE SSA PFICI_11039 MAVSFRRFRPEDLNRISLCNLDPLTETYDISFYLQYYAKWPSMF IVAEDSRGNIVAYIMGKLESSPSWMTGSPHYLPWHAHITALTVSPAYRRTGIGSILTE RLEQEADVGRAYFMDLFVRRSNQRAIDFYRRNGYSVFRVVRDYYGDNVTDPTASGEDA FDMRKSMKRDAAGEHVREDGEKFEVDPEDVF PFICI_11040 MKLHVWSAALAALGSVLPVDASTLTPPVLPLVVRNPYLNAWLAN AHHEPWTHWPMFWTGKTFGFGILASVPDTKTVYPLLGQPHAFLKKDNSDYTIGYPKYE GAVFDASTTNLTYTIASSESAQKAVKITLSFVSPITPTSTFRQALPASYMNFIVEGNL DISLFVDINGEWISGDRNNEVAWDLHEGKDAKASGSPIKSWKISRLHQQLLTEHDDQA EWGTLYFSGPADVQHQAGEIHSVCRQFAKHGHLLNTSEPSPRRMFENEPIFAFAKHFD LSHNVTADSALFTFSLIQDPVVQYASARGLTQMRPLWASYFFTAEQMLQFHYNDFPTA IKLANDYSAQLAKDAYASGSSEYKDIAELSARQVFGATQWSGTPDSPILFLKEISSNG NFQTVDVIFPAFPFFLYTNPQWLAYLLEPLLEHQLSGQYPNDYSMHDLGAHFPNATGH NDGNDEYMPVEECGNMLIMALALANALKDGSAPVFSRETPLSTQSLDPAVLKEFPSID HYGMDKTFEDMGTTGGKAAVNWVSRSYTLWKRWNGYLVRESLIPANQLCTDDFAGWLA NQTNLALKGIVGIRAMADLSALVGYDEEAKEYKRIAEDYIDKWQEFGISRDGTHAKLS YTWQGSWTTIYNLYADALLCFHIPEKKSSLGNSRFWTQEQEPLDGDASRSSRSTFVPD RIYQIQSDWYYNVVQRYGLPLDQRSLQTKSDWEFFAAAITSKKTRSTILTNVARWVNE TVTALPFTDLYDTETSDYPGVQFKARPVVGGHFAFLALERACGGKAAESLKFLDEREP AKIDVAAVLQAEIAASSVQESTFEL PFICI_11041 MRKRGEGKWQRLIAAGGDVDPPADLGLQRPTDVQLWKLLERSAA RGGSRYDAEIARIQLSGDAASGDILKLLTSAIAKSGITSPVHYALPDAAVAAASIQKI SDKETKLSVVPEAISDLFSDQEAFENARFDLLTRLPPSPVATDGGGDGTGSAAALPFS TSVVSEALTDLHHDHNYMGARKLLELTRDGPKSREFLKAAEGEKFLEQQIGSCGLRS PFICI_11042 MTVYPDPHNVDDMQLRGVYINPNFTLKQQVIEAIGVIPWSHTLQ VGLYDNVPCQYYESPGPLFIVIHPKNRKIAVGRFVANSPRDSVFRLISVASPLVLSGS EFSVQTLRNIWNTLSTEFPQDFGPARSLNPLAAGPLAAGAHAVLQPTHDQHSS PFICI_11043 MSALFRRPARSPLILGGVFIFYIILVFLPAQRETPPHVLDYARS SYNWSALPQRHPVSQLTPLPKRPFHKLPKVQYDFTRPNRTRRETLEERRSAVKGSFLK SWNSYKNHAWMFDELGPVVGSAKNTLGGWAATLIDALDTLWIMDLKDEFTLAVHAAAT LNWETQETSVNFFETTIRHLGGLISAYDLSKEPVLLEKAVEMGDMLYAAFDTPNRMPP FWLDFQKAKDGTLIPGTHDPSASMASAGLEFTRLSQLTGNDKYYDAIDRVSQFLDNTQ YETRLPGLWPTFFDMLNQDITSETEFTLGALADSLYEYLPKMFAITGGKVPMYEKMYR GAMDAITKNLLFRPMLPGQDDILFTGDLTADGSGQPVLRGEGQHLSCFVGGMYGLGGK LLNVPEHVEIGEKIAKGCAWMYDSFPTGVMPEIYNLFPCPSLEPCAWDEQLWQKEGDT ALRKGIRNARDPRYILRPEAIESIFIMYRITGKEEYQEIAWRMFQAIKKSTETELAAS AISDVTVTGETEKLNSMESFWLAETLKYFWLIFSPPDLISLDEYVLNTEAHPFLRPK PFICI_11044 MDNQAMDYENPEGDRYEEDAPRYRDPRSASPRPAGDDGHEPRRS ASPNGHADRVKAEHSSHRRGGGGGGGDDDDDSAVNPGSNLFVTGIHPKLTESEVTRVF EKYGEVEKCQIMRDPHTKESRGFGFVKMVTSQEADAAKDGLQGEVIEGRTLSIEKARR ARPRTPTPGKYFGPPKRGEQTYSHSLSMFQREAYRPGDGPDRRRGGGGYGRYGGRDDP YRYRGGGGGGGGGWRDDRGSDRERYRDDRGGYGRDYDRDRSYRDDRGGYGRDYDRGAS YDRRDRGGDEYGSRYAGGGRDDRDGRYGGRGGGGGDDRRAPAYDRSDRGYDRSERDGP RSRDPAASGYGDSGSRPEGRDAYGGAP PFICI_11045 MFGSVFLNTSAVADQTKAEAAAKVKAEAEAKAKADGEAKAKAKA EKEDKIKTEKEAKAKAKAEKKAKVKADAEEKKRGKSKAQQKAEAAQKAAEEQLEREKV LFELEKALFKQAQEVAAKRAKELEEEWEELEGGLEEAEEKIHHLENIPWHRVRAQQKK AAKERAQARKEAKAAQERQNRIDRGLPVPPLPTAEEIEAQKAAKAALSEKLKGRTKRT AVEQLLGTGGLQAYNEETMTNQAGQPIPNDYDWTWRQKQFREEERATDRIDRMIGRMV DEDDDKIQEQLKLDRKRYAAEQKKYLLRTGGKARPSAKNAFAKSTHVIGSGKPNSSGK RTRFADKVVVNEFSKSAPVTYRQRRSNTRALASDIALFLDNEDDPAGLFRGLSGYFDD EVIDTDEIIDLVVDEIPEDGASGDSNDGLDDMAVIRPVDFLNEEELEDDSTDEEFDGD YDLTEALDPVDAATLDDYYAIEWLNNILEDAVDDIFLKASDRVDPSGTADGIEFDLLR KQVIHEIQQEFATRLWLEDDAVSTKPHSIDLVPFVKEFGPSFGKVLESATRKSAVMFA KMATARSGARKRLRKNLDKIGRRPGVDVSGRLDLFNDNDAADSSGSETEPESPTGSVS SSSESSSSDSSSEAPDPKDQESLLEATLKAIQTALNNGGDDEDEPFDEDALRKAYGPD WRRRFTDTFRESIGQKGAKKTKGSKGSKSTKGTKKEKSSGKGGAQKTTKVESDEESDE NEASSEESSVEDSSEEESSEEESSEEEEKKSKTGKSTKKGTPGPKMATKEEEKSDEDE SSDESSEESSEEKSSEGEEGEEEEEEEEEEEESSEEESSEEEEEEGKENNGFRNVQLV QNHGLKTSNRIRSDAPDFPRVRLGKDGEAVGTVQEEMDRDSGKVLEDADQKERDWSTE YARATRDTYGHHDLTGGLLQGDRNFDYDKRTNTAPDRYCEEPTKYNPLDINSQDTHVI GHSGPLDREHYDDDELEDNSEDEREEDVYDGAEVSDAQETDSSEEDEEEEEDEDEDDE EESGQPVTAKRARVYEPPGHYTLVKKRRVSVSDVIDNAARLSAEVAALEASIWDPTIL PKYRPSNISLVELVHWHVRVSEAFKKLSFGADDSGGFWTRERKKILDIGAALSYREAL EGGGAGEDFSQEVEDTDMEDDLESAVAAQLEGQHEYRLGTGTQNSLRWRDLRGIGLE PFICI_11046 MASSIPPKTSHLERTAAEPRNQFSAGQWLDVFCPGIPRAGGFTI TSPPSLAAATAQSPGYLELAVQKSPGNPPAAWLWQDPSSSLLDQHLQVRVGGSFVWPP PALLSTTDNENDAATTTKPETRRVVLVAGGVGINPLMSMLSAMAEKENQDGSVDFQVQ MLYSMKDPGPGRRHATKLLFLERIAEIFASGRVQGKLQLYLTGAEGDGSVAWRGGEIA FRGRRITKEDLRQAVGEDPVERKSTVAYVCGVPNMTDEFVKELSSEDGLGMGPERVLC EKWW PFICI_11047 MEFMSSDINGFMDALAGYKATITVGLGTITMQNSKINSQVLEEY NEMIKDTAYNLTIHLQRIDARLEELTASGNDSLNTSINLQDEKDVTHQCLRICESAKT YLESLQNEQPSMLRQETSLPENFGRSQFEAQVLTQKVFAENRGKLIETIGCLQERLLA IISSTGPERNRERLQLQEDLDVSRQCLEVCDQASRQVSNQKIHTIGEVVADDDTDQVV VTTLADLFDVRKVLAKNRSAQLVGSMTDDALIKLSGDRYGSRFGALNGNLGRVHVDVA TYESNAGHPSRMKMERPPMDAGQRTESPVPNEMRKRSSGFDNRR PFICI_11048 MEPSPKRQRLNTPATFSDHERSIGQSSNQSHNQIRSTFEGAGIS HSNGNFNVARDVSIYNLSLQQSTATDPRRTLLDSLEFEQIDARHQSIRKEHVNTCRWF LSTEQYRQWEERWGSPQRENFLWIRGKPGAGKSTLMKFLLGQIKNRNRRKKSPGILIS FFFNARGGELEKSTIGLYRSLLWQLLNSQPDLQTVLADSFRPGQQWTVELLQSLLEEA VQCLEERPTTCLIDALDECEEQQIRDMVKFLARLSKDSPLYICFASRHYPHISIATNL SIVLEERDGHQEDIATYLSSALQIGHSKLAEEIRSELKEKACGVFMWVVLVVDILNKE YDAGRKHRLRERLQQLPGDLDALFRDILTRDTRNQEGLLLCIQWVLFAAQPLTPKELY LGIMAGIEPEYLPDCHSSETYSDDDIRKYILNNSKGLAESTKSKKPTVQFIHESVRDF LLKEDGLSKVFPNLGPNVLGYSHEALKSCCLRYMRMEALVAIGDSSREAAITTYPLLE YANRGILYHADRAGDNGVRQHSFLDDFPRPAWVQHYNMLQKYGVRRYTSNVSLLYILA EAGMPALVRAYANRQSCFDVEDERYGLPILAASAVKGAAAVQAMLEAEAHRVPGFSFG DFCTELLSSVELSNAPSRNFRFKKERNLLHQLIDYGDEFISLFFLKTRLSDELQGRSG ADVLIKAAQRDFSILFKQLIDHGADISAADKDGYDLLYLASINGMVERAKLLINHGID VNTQGGSYGNALQAASLNGHQEIVQLLLDNGPTLTPRAGNTATLYRPLY PFICI_11049 MAQLRHSHKLETGYESAIACPSPGISPSRSRYVARRALEKDLAN LKARVAAIPDEQKRILTPDLAINDDARIRDVVDQTKYWTGPGVIAPEPGKFKVGIVGA GVAGLFSAMLFDWLNDMVPDLHIDYDILEAAKPERLGGRLYTHYFSETTDRQKENGWV NHDYYDVGAMRYPDNDIMQRTFKLFTLLGMNKLEPGQKEKVEDLIRYYMKDGENKGDP GVCPAYFNNVRTVGRIFDPPLSAEHPPCDPYNLNSGLPEGDKIPENLLGKNPSDLVEK ALSPMLVIVKFTIDEQIKAKEENREVHDPAFWDSLMMKIDHMSTRQYLALLTSVDHVP KEWECFLERFHKKYCEEEGYEKWEPPSYNYNTIEWLETATYGTGWYDQALSEAVLEEL DFDTKKDPTKWWCISGGTQYVPRAMAEKIAEKNKKIQFNSQVIAMNANVTDHMEKQKK KEHAPVTITIEKSYPGTQQPSETRKEEYLAVFNSTTLAAMQRMDLQNAGLLWGTKQAI RALGYGASCKVAIKFRTPWWQLKPYCINMGSLSRTDLPLRVCVYPSYNIEKLEGHERW YNDGKYNESVLLCSYTWGQDAQRIGSLISRDDPKDWQEGEEKDKQLKSLLLRNLALLH ATDSSDESYKALLDKLEYEYVCHHAWDWHRDENMSGAFAYFGPSQFSEMWQEIIKPNA FGQLFLVGEAASSHHAWIVGALESVVRAAYLLFEGLQSGAPDFVPYRQAMQLLSSGTF DGQAKDKAGKESPFWPLPAEMPRRQEGVKRKAPQTDLPKEAVARDRPLTYPAAVAALS RVECFFEIGVPKTLKPTEVA PFICI_11050 MDDADSQSLLRFVLGFFSRRNPESPVHPASLSSRKREAWLRVDS FIQRDEIYKADRDSVARTLRAFETMVEDIAGLELDSPYPKLQATRRMIEEHSNHDRDA NLGTAISGSKRKREIDDVIQQLSHLNKEPKKLITDSGANIKSKSEGYRNALHSALYER YQEDVEHPVDKGVDVNAQHEKYSIALQIASYKGHQEDVQSFIDSGADVNAQDEEHGNA LYAASYQGHQEIVQILIDSGANVNAQHERYGSALQAASYKGHQEIVQILIDNGAEVNA DHPNIPFSIPNLTGAPSQATFRDSALGTSIAHESSKHSIDHKIDTSTHDMATVEEVDD RLELDTIYTQRSNDSIGQKVYEYKTDLTARLHHELLLCAADQEDIARISGSLPQLLQF FAFKIAKEGEGREYQDTKRFMLKHRRSIAEQLSAKAKESHTVSESTRALLPLDANSKD FSQKSDSVIEVAEEVGPEFRKLPSMSYTDEVKAWISQVRHGANPEIPLESDHEDVDSE LAFLDGIEDLEDQFWETKGDEFSKLVTESRAYQWLLEKLRNELELGIPTESSTPRLSE LVFRALNEGQKSSRESTPRRIDAVFDAEWSPHSFFKEQEYGVPPDEAVVKALILVGTI TQAEGMACGDYLLRQWPESAPSFIRLVKSVVRSAEGTSHNETLSDNTKLSALVKDGRL LLKAYGHPDSVVEIGEQLMWISCALRSSNFSEVGTCQPSVGTISTTNRSDSCVTMHIE LTATPKLARLRGSESGACWQGLFQNPLVVECYPIALRCSGLAMGLQLSLNTLMALVQD SRLVSYMGKLFIKTFSTLLVAVKIVDNVILWHVISNKDNSYIYYHDQRVDMLGIEVAS SILQQVDIAEMKHVVGWTPNADNLAGTQGGQYQIRLSGLAHSRPLEIELEKLTIGFSK VFAGNVSVKFGWRDRPVHARDEGDGKLNIKHISKHFVVLYDVEDHRGFLLDGATALLH LVRASIIEDQEIGLIDSLHDGQTINDSIQLDNDPDPKRRAMKTLWKDDNACLKLYKRL ITGDTKTETKTVFQVPSNPQNTTQEIYSQEERWVFFKDRVYDIWWMLDQAINIQTDDT ALKASMKSFMGNTKLEGYEFQDLASSKKADLQFATPESHSQGWLDLVRRLRAVTLFGR GFGEIIQHNDCDAQTHPMCPGWRSVPTDRGYLVVTSATLKSVLERDGSDLWLLQNPFE PCKPKVQMCDRKHFMIANQNQKAQTRRLEFPERGAVVIGHEASPVSRFIKSMSTRQVH DNDKASFTTGASTDSQRLQDSRSEDTSREAASSAAARNSDIVTSATSYTTVDTPQDGP ERLLVGKLQHPNEHLAISNIANAKLVTPLEPDVEAEQAKKSQSTHFTNSNAGIIIPDF NQEGAQIPDLPVPENTESGTRRSGTVVVAGVPSGTLQPLPNPKSSEMASHGRKQSVHG RFRQMVKFLPFRSSKRKDK PFICI_11051 MAEKAIKQYEHDYFLEGSEESSRLSNQHEIIKDAMGRLVLAPIN LTVAPLKILDSGTADGTWIRDLAASTAPVRHEFHGTDINPAEFPTEVPDGTTYRAQDI NQPWPEDWKELFDLVHQRLVLVGSGSKQNEAFQSLARLVKPGGWIQLIEAANCHPTAG CGPKMHAFIDLTISVFQEMGADLKVGENLPLWLQKAGFTDIEHLDLEMKMGAQNPDKE LARRGVFSMSIATRGLTQFAKTFPPGKCSLSAEQLDSLPE PFICI_11052 MWRSSIAKKDVSALDPPTSHDAEKQVGTAFVISGSAVPVSTDSQ HAAEYQEFLRLKMEFESDRKRHRKLLLRLDFRILPFLFLYYLLNSLDKANAGNVKIYT FLQDTNMTSHQFNLALTWFFFTYAFLETPSNICMRKFGPKLWLSMLVTCWGAVTLGSA WVKSYGDYCAARVLLGAFEAGLFQGCFYTLSCWYLPDELQTRCAWWYSATMLSGAFGG LLAYAVGGLQGRLGLQQWQYLFIIEGALTMFSGLLGLWLSADFPETWTSSWFTPDEMR YLQLRVKYKDGPIAPGETFRPWSAFLEAVKDWKTYFIASLLAFGGSVPTYSVNYTLAT MVKGLGYSSIKAQALTAPPYVFAFFCVILIARYSDKYQCRARSLLISYTVGTIGIIIL WPSLYHTRLSGLAYFALFLVVAGYNMQAPAVGSWLGTNVRNPAKRAAAMGWQSTWGQL FGGCIGANIFFDSEAPTYNTGFAILLVLVLVGGFGACIGNWYCLRASNHKKDQIPLES LEGKYSEKELSEMGEYSPFFRYIL PFICI_11053 MSSYVFNGYIVTPIGPCSFGAEVSGINWKQSPLPDSCIEALVLL QNKYGVIVFRETGLDNERQVRFASQLGELEMNPAWGGTKRVGTPYLFDVSNIEDDGSV VKKGSRRWAHSLGNALWHTDSSFNQHRSKYSLLLAHRVPGETQTVTEFADTRQAWKDV PEEQKVELRSLIVEHNLWHSRRLASPDIYQKPTEEEQRLKPGSYHRLVQKAPNGGETL FIAAHAKTLFTGEGKEVPESQKLIWKLIDHCTQPQYTFSCEWLSAGDMIWWDNRQSMH RASPYSEAMGPRDVRRATVYDDGDNAFGVKVPQSVQGCAPLSVIVPSTEEGALSVIAS TSTILKG PFICI_11054 MPKWVFHHTVGAFTNNDKKLIAHGMTKIYTSVGLPAFYCHAHFI ELQPDSIYAGGETPQALTTLSIYHIARGFADKNAELGFMKALDDILRPILKTRSIEWE SAIYEANRDLWRINGLVPPATGSELEKKWFEANKVTDEEELLVKQLASAQEH PFICI_11055 MTSTLQAQTGVTQTGPDEFVSLSPPARMGNLLPIAYGGCSIAVA VSAALATVPKSFALYSVLGHFLGPASTTLDLRCRVSRLRDTKSFVTRKVEVVQIHPDG VERKCLELVTDSHIREKSLLTYSIAPTDSHGRPETCLPLAVLRELHVQDASEASKIEG FAAQFSLMDRFFETRLDPSSVSAQNIWGAAKDTITTQDQRHITAKTSAEWSRTIQPME SAQENLAALAFLMDSGLSFLPLAHDHLWLDDVAACSSLDFAMRVFVSHLDLGQWHLKE RTTARAGEGRSYSEGKLWDDKGDLVAIMSQQCILRPKKGQTRSSL PFICI_11056 MVGLRFAVFVAPPVPFLSPLTKSKGGLWSPISCTLIYTEQEAVL VDTPITESQTSALIEWIQEVAPGRKLSFIYITHGHGDHFFGLPQLLEKFPEAKPVATA ATLRHMEEQVEEQGFNATWEARFPGQIRRPFVLAQALGQENNFRLEGQWLFQAIECGH SDTYDSTVLWVPDLRLAVCGDVVYGEVHQMLFEANTKKKRQDWIRAIEQVEALNPHYV VAGHKKAVEADGPWHLASSKQYIADFGEILARGAEDADKVFEEMSRLYPRRFNPAALR LGCIGAFNVPIEQRI PFICI_11057 MASRKTTTDRNDTNTLPSTILSPDATLESPSQSIAQHGSQFAGN CKVSGNGNVHQGNNINNNNNTINHHHTTDQCLADLRITDPRHDKQRIQEVKGGLIQDS YIWVLENSDFCQWLGNENSHLLWVKGDPGKGKTMLLCGIIDHLKKSQAEGKVLSYFFC QATDERINTATSVARGLIFMLCSQDASLMSHLKNHYDPAGKALFEDANAWHALSEIFT DILQDPTLQGVCLVVDALDECVKGLPQLLDLIIQTSRLPHTKWLVSSRNWTDIEEKLC DVAHRLSLELNAKSVSAAVESYIQFKVSELTRIKGYQQGIANEVRQYLSSHADDTFLW VALVCHELGNSKVRSRHTHDVLKSFPPGLDPLYQRMVEYNTVSRDAQACREILALPST VYRPVSVDELQGLTQSVKEIENLSQEEVLEVIAACGSFLTLRDSIIFFVHQSAKDFLL KKASNTILSSSIENQQFKIFADSLDLLSNTLKQDIYDLRAPGYPIDQVKIPYPDPLAS SRYSCAYWVDHLQDAGLVHARSVLTSVPRFLKTKYLYWLEALSLMHNVPEGVKAIQKL ERMMANNDSEELKDLSKDARRFLLAQKRGFELAPLQVYSSALIFSPTNSLIRRCFSHK IPAWVKLAPKAGTEWSACLQTIEGHRNRSITAVSFSVDGRQIASNSSDMTVKLWDATS GNCLRILKGEGAWLIEVLSFSPDGKRIVLGSTSGIEIRDTDSGNIVKKLDCLVRTVAY SLDGQKIASGGDSIVQIWNAHSLELLHASDTGGGSISSISFSASCLRFGLESWNPNTT TIFHLKDTTSELATLFKINGKATSMAFSSDGGKAVLGFDSGALEVWDTTLGQCVQHLK ADQCYISSVAFSPDCLQVVSGSSRSVQVWDIATGQRARSYKTSDHVNVVTFSPDGGRV VAGSDTFQIWDNFLHDYESLHEGHEKEVIKLDLSPDLSQIASSSDDGTVKIWDSASGD CVRTFIGHDGQTGCIFFSPDGKKIASGSSDNTIRIWDITSNNCIVAIPTGHADGPPLP RRKDNMYSITFSDDGQHVKSEFTARGFYRGDLLLWLPKEFRPVDDFSGFKAAGSMVAI GTSLGRVLIMRFALDGDEALPLLKDWRTGAAVGGISTQMRASIMKYDGNADTFESTSS LLDRSLGVTMPKVLASSANFMDFHEEGNFYE PFICI_11058 MRFTTTLVGLFALGSATAAHLRLPEGLPDGHYSGDGTIDPKTGF ARYKYLGPIDEYALRNRTLESRNEERIGSRDSWNGIKCNGRGAGDSVSIAQQAFAAHF DGYTFSNKWVKTFKGSTQAFACNYGGVQTVHRSDFEDRMGGVDSICGSGQAGWYEDHE WKLNYGRDFINSQVC PFICI_11059 MPIFRGIDISVVASADAKSLPEYPHPDGSSVHLVSPDTDSGSPK AADSSIISDGDPARQKKTNPRISVYVPSMPGDQFWLKYSIIRTPPPATHLYFKMFMNG ALITSWGILGDIEKKTQPQQPIGGTVVRALYEPGERWTNTSMDTEGRTIGIETRYFFF MPALDTQSAAEDGGMIEVQVFRSKGRRRRAPKMAEFRNQERYGIASPSGGLVENPQDA NYYDWLLIDPKDAPFASFRFHYRSMKYMLQLNLIPQSESRFLLPTIDSDCSTGSESPI SESGQPAGIASTTTDFVFESETYTNSVVCGPEGSSLNENTIDGGRPELLDVVSTVDDG RQSIKTPEDPVEEDIYNRPLPDPPKPRSRHASQSSVHSTCPSLTPSVANYVDNGNLLD EDIRVGTARTVLSSIPSMVELPQRKPEMGEGNSFSDYERSSPSSVASSSSQALPPPER YLSTTDSVLEHQIAQFTSPLVLHSSRQMRPRVPVSASESTLFGELAVSPLASTNLSES EWMGRSPSPVHRRSSSRLWSPTLGKKIMRLATRKDHKKCRHSDLGLYSSNESLGDCAV EHDSAELTQTVSVLEQDVSDDVSTPRGATFPADCQGSSALDSHAERSTG PFICI_11060 MASGYGLHGGPGRCFPFWQEVLTCYVVNTSAEDDSGKKKCAPIL EDYYECLHHKKEAARTRALQSAFRKAESSAGRDSAPTAGQIRNLGLLDKDDDTKKVLE K PFICI_11061 MSSLLSPPKVVLLAANFATKSDIDSLTALALRYDKVLQKELLLR ILLTCLPETTEADQYVPLLQLLESEEFESHDPQEVDTASIEDFTDAEILKKVRKLRLR PLNSPDVPAAAEDDLLSQFIIARAHRVDEEAGLLTKLPDLIVPFLDHSNCIRTWMISA FLPLLRRNYEYYADAPIPQTLSEFEQLNDRAAVSLLLDQTGAGEDLSNVGRDLRGLIG PWLYSESRWKHEKGVTSNDGQHAQSKSCPGWEQMLEWLITQAARSWKVAVKTIEQWDG PSDVDLGGLGSMWFQDEEQGYLESRYARAALAAAYLVPEASIEALSGVNTIITKVTGL LGEDPTPTLQVASSLLSPLSEIANESIISAKNATFMRNDLLEESNVLTAPNELSTQVL HALNLSAYILTKAGAPCSIRRAGELAFLQDEREQKSEALKFIGTLRDNAAKADDKYWI RARNELLWLRDWGAEELADSASSPTKTHGVFGQISKEYLEIECLKAFLASGRYSLARS IYEDSYEKILSENVLESTIVGAAMNAYDNASNPNRTRGGLLKCDDIVHEFPKTLPPNS PSARKIEALLKATHGLSTYRLALKQGEPFTPVVLRVHSDPVSIIGKVLEQNPKSYTKL QAFLEIGANMVEAGLLTPKASERLSTPVEDLPTQQSMVEKRVISMCIDAALTEDDFET AYSYVVNRLATSGTAPTSADEYSWKAALQAGKYRRTARTVRPTHIGTSSGNLDIRHLE QRIECLSTALRIAPPDTLQEILNVFRRCEEELDAAVKAEEQQESAWDDQGDVQAMPGA FSSTVPAGVVRHNNTRSTRQQEEAPMSLFDLSRATMARAQRNLPALSSLQRSEQHGAE HASEEDDSQRVRKRDQLREAAVGTLASGVGWLIGAQPVRPQDNE PFICI_11062 MPSVQQFAARIRRDDIASSHSAILSRPAIIGIALGGSFVLFLAL SHLFIVLGRRRDRQRLALLQAHAHAVQSPVALGQLNHASSSPFFDPYDSDMPLGGKNR LRKKTLLFNGYSTAGSDGEMTDRTAGWASHQSVTLPVLPPIFSRQQSYDLNSFLNSGP GTSNDGCSSTRGRDDDFEREENQRMEKQRGRDMYQMRRRGSWIDEDALHGPKVSPQKK KRKIKEETGNSASKGGKRGISWLLAGSLTRKLSLKRPLSDSVIFGSPTLPHMEHSAEG GLGAAAEDRGRSQQRRPMSLSHDGGATTPPVFNSQDSPLKPGQHNTVRIAIPSAVSAP ILPVPRPPSAMINNPRHRNSIGLDAAQLLVSNARTLNTQQRPSVPKHSATDSELSEIL RMTTERLQDGKRSSRRQTMLIRSRTGDLDPSCYEHSTVMNLVVDSRASSPTKSQKSAP AVIMCAELEANEISPTKPQNPPELGSSTPSRQPHHHHQHSRQVSHMSLASEADSMVTM RATSQPEHTTALSSPSRNVKIAEPIPRREDTPLHQQPTRPYSVASSHSSALSTLYSED EGVAGHRETGLAPGVFPLEAGESPRLGPYNAKRGTMGQMTFLPPRPLPDPKDAQGQSS ASDCLVKAWLPRETSLHFTIYAMDEEPDDPFITAKTPPGQDPVRLSQVFTPIPSSSFE EFDSGGDEGTDTSFKGWSTVLTHPMVRVTPTPSPKGNRIRPAIVLPPPQQELRPMTSS PTLGARPRRPSPVLSEGGLSSVYESYASSEGGVTLNYSSTATLTTVPTNESANSKGEA KDPRESIPGLVDRCTATSTTRAKSRPHVERLVSVDSVYSQDQEHEGKSRQQGLGLGLG LGLLGLPQQQDGSRDDYHDEDDVVPPLITAAPNLNPQRTSHVLAHTVAELRRMNSSVS AASGASSVATTTTTADDEGGRSSPTLPAMRGGGFSPGKKTGGTRNYLAVGSPRRGAQQ QQQRRPTSLVGAVSAGEVMAVEAPRGLGLMRSGARSRRGTMLSGGNMGDFAGAAGRSL ESRRTGNVLREVSGGNLGKPEGAKMDQEKQLGRLQVALAEVAGTSSESLGLYDEKGFL KSSPMGRG PFICI_11063 MAPRLLSSMVMLNALGSVNAFWRMECRGRTALARIDPIVNFGET ATHVHTLHGSSGISESATTSLLQDGNCTSCAVTQDMSEYWTPPLYFQFANGTFEVVQQ DGGMLAYYLLRGDDVQAFPEGFQMLAGDTNRRNYTLGDPSEADPPESEWAALGQTNQT DLAQRALGFNCLNYDKDAEASLYRHYMPEKSYLDANCPDGLRLELAFPSCWNGKDLDS DDHKSHMAYPDLVQDGTCPDGFETRVVTLFYETIWATYNYVGVDGTFVLGNGDPTGYG YHGDFITGWDEDFLQSAVDECTNLSGLLSDCPLFNIQSEEDQRACSIESMPLTLSLEN VVGGVVDILESLPGNVAIKYGPEPANAGGSSESTSSKASSYKTSSSSAATSYSAPTLT YKPASSTSGAIFFEQASSSASATSVLSVQGAGKVAAPATTAAASLSDAADGYAVLSTS YITNGNVVQEIVYEEAVVTVTEDTVTTVTVSPAERKRDHLKRHNHHHHAGHF PFICI_11064 MDDLAGLDWSSTNPAKGAPKTTTPLGMNQNTFYPSLQPTPPPQV SGRNTPLSAQGSGLNALKATATKPAGDSFSNLVNFGGAKSNANLSLRERQEQLEAEKR RKAEAQMKQMQANYGSANWDNLGSAGPSQTASRTASPAVPIAGPGIGASAAKKAQNDD DDLFAAFNRNTKVDNASHYPPPVQPTSGKSTPASAARLDLSSASAWTVPPTSGGGDFG NDDDPFGLNDLKPKSSHQATPAPVQSADDDFLGDLGKPVEEVRRKAQAAQPKPEPGKP IEVSDSESEPELPQRSRTDDPFDKAVAELVDMGFTPENARRGLTESGGGLNVQAAVGW LLDDAHRTARREKQPSRDSPAERSRAREDGGRSRNNASPAWMREENPELPPRGDNRSP AAGGGDFSQKAAAMGTSFFKTANSLWKQGQKQVQKAVADFNQEGGDPNQPKWMRSAQQ ERSQPREKQSSSATDEAMMLDSGGRSERHASRSSREPSFPQDSRQDYPRDRSPAMPTR PAGQSAAAPKWQQAQPSMDPKTRLNKQLVEEQSAQAYVSPARRKRTTPQPQPQAPPEP EVDLFNTTAPVASAKPLPQRSNQPSPAATKPSSQPISRSITPRQPVPTRQIPSLAPAT LQASTRQRLDGTAHFKRGDFDAAHTAYTNSLRGVPQTHPLCIVLLTNRSLTALKTGNP KQAVDDADAAISIIGPSRGEGEKVALGDGEQRDMKDLYGKALSRKAEALEQMEKWSDA GNVWQLCVEAGVGGASAIAGRQRCQKALAPKPKPTPRPVQAARPKPSASSSLAPQKDS EAVKRLRDANKAAEAADDEKFALSEKVDARIAAWRDGKRDNLRALIGSLDTVLWENSG WKKVGLHELVMANRVKIHYMKAIAKTHPDKLPQDASTEVKLIAATVFATLNESWDKFK AENGL PFICI_11065 MPNLFQPITEYLARTRKRKKSKKKIAGKTRLLMEGAALFRQLDD LPVRRSDRPAQIAPAVEAFLDNVKQYIREPDKWLTALPVSTASIVMSLSHVLQGPDEM AQIGIQIRGELQAHNGLEAPRRFSKTVMYYLESKAATDYGDSLTHLYFLYHPDTDWHP WFWKRLARRRFPANVLAMSEHLEALCLFMLAVRRTLRRPQRDARFHLLIPSYRPMAIH QPYNFSEELYPLSIHGMIHDTQRFVWLNLPDIVGEEHNDIELRGIGNIASLPRPPGII RQALNYVAGKFSSPPEPETIVLGQDPDSESSDGSTAAHYGDD PFICI_11066 MKKYFGLRGKALNYAVGIIAGCDFLLFGYDQGVMGGILTMTQFL SVFPDINPDEEGITRELESTRATNQGIAVAAYNLGCFLGAVITIFIGNPLGRKRMIML GTSIMVVGAILQASATTLPHLIVGRIITGLGNGGNTSTIPTWQSETSRAHKRGKLVMI EGALISCGIMISYWIDLGFSFLDGTVAWRTPLAFQIVFCVIILSTIWGLPESPRWLIL KGREDDARDVLAALADTDIHDKEVENEFMAIKDAVVEMSKGSFADLFTMGKERNFHRI VLAYTNQMFQQISGINLITYYAPVIYSGLGMSAFLSRLLAALNGTEYFLASWPAVFLV ERVGRRKLMLFGAAGQAATMAVLAGANSQPDNSSCQIAAIVFLFVFNSFFAVGWLGMT WLYPAEIVPLRIRAPANALSTSANWIFNFLVVMITPIAFDSISYNTYTIFAVINAFMV PSVYFFYPETAYRSLEEMDTIFHKAPGAKGWLSVVGVARREPRRYGKHGELLIEYEKT DEHKAHVGHVDYPSDGQPSPDAEKARSGGVLGSDL PFICI_11067 MASTAHRRLLQEYRALTNNPPDGITAGPVSEDDLLHWEALIQGP EGTPFEGGVFPAELKFPKDYPLAPPSMKFLGEIFHPNVYPSGLVCISILHPPGDDPNH YEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRNQRAEYEKKVRDGVR RMLGL PFICI_11068 MSKRPKAFLKQQAKPKQKKEQTFNSADDWLQAGVDYEEAAGKWR AGDSAKSMRFFQRSIEAYDQGLKAFPSSLDLAYNKARVLLEVATHPILVEQIKGPLIN TLREALDAHRYALKLDPDNADALFNTAQVLTSIAEEVANDDDAPNQEALKLLHEASDL QAKCYSIQEMKLQESIEQERLANEQAASIETESEAAAAPAAEESHDHESHDDEEDEQW FTVVEPVTRDSLIDTLVAQLNTLTTFCSILTDNPEIAPPATLPMIEEHSTQLVQKIPS LVQDKPERLQEISFSKANFASVLLEAGFKTGKVDAATYKKERDAAFNVPELVSNGTAE IMLSNARSLLSFNSALADVYSNEGALRWNALTESIQHLATASKIQGIEQSELALTHLL RGDANLFLYALALPPVSHQSAITNAANLAKNAEVFYRNASKLSGAREEKAVAALRSTV SQHLQQQVAQGTPLDIGAIVSSSPSGPQWAVEQLEDMVAEGLLPQSLTI PFICI_11069 MSADVIHAAGQEPAKGSTLEYDRFEDASEEIRLVEIVHHTDQCL KLKLRKFKIANAPEYNAISYTWGDAGVTVEIIVNDQTKHITRNCRFALEQVHWHSHLN QPHPVYFWIDSICINQDDDEEKKHQVAMMSRIYTQAVKVLACIGDHQDNSEDLATTLA AARDFAEQCKNGQYFSNAEKSWSRINDKTTLGAVKSFICNTLERKDGGELLMRRFLDT FYDFSARQYWTRLWIIQEVAASKHLEVLCGFDKFSRPDIFLLDWIATSYRWSFYVRIN APRGSFYNSNMTSFDRDDAFSFLTFVLNRNSKDRIPAIDIFSSRIQFGCSRPEDRVYG MLDLVEWPNVEPSIKLEPVYGPCANMDVAEYFLSYVDYTYRQYPPIFKGLEISHDLSK METLIKDRSVELPTPQATPGKYSTRMYDLDINSCEILSRKNMDRLTAELVRIKTTTRD FHPTTDMINNAPQLLYSESDIAGLLCSKARENDLIVKTPFSKGLLVLRETGNSNEYDI IGQGLLLAGFDFPETRLLLVETRERYANELINHYIRLMWIQADLGTGASDRYEFRREN LYRERFAGCLDVLQKYYGVRLESSVHEEEPQPSMISEEISSSTIGFGDDLFEQPHPEK RGQHVLSQIRSLLRRFEEIQRIAKQDKQSLDQQGRKIGWRQTFILKLEPLEMLLLACQ DLEKDGTRNEDKMMGRLKTKVYGTVIAED PFICI_11070 MGIIAVAGGAGQVGKAITDGLVSDNKHKIYVLSRKDQSPIDSLS FVAMDYANVDGMARKLDELQIDTVICAIGTLTAAASQVQINLIHAADKAAATRRFVMA SFDMLHRQEHIELNPLAKHTFDAIEVLEKSNLEYTRVANGWFIDYYGMPHYQTTLHPW INIVDLANKWAVIPGDATTMATFITTRDLGRFVSRMMELPTWSKITTIVGNEMTFGDL VKLAEEVRGCKFEVANDSLETLFAGKISFASRFPPIGLGIKEDEAFIAKVHYLAGCGH YRVPDMGSLNSRFPDIKLTTMREVMVFAWGSSSSREKSDTAS PFICI_11071 MSGTLNYVAYNGIWVNWSYGSVLGATITLPQSQATLLIAFVAFF TTIIGTSLWRILCFALYHTFSTPTTPRDAMYHQQQAILRNAQSPLLGFKLFSNLAWAW RKDGQRTCFRIVPILAGALTLAIGLAVASGFSSAVARDNEILIMGKDCHWTTSTFHAG NAIVQQNDLVYARDCYALPLLTASKSLGCNSYIRRTLSYSVNRTATCPFESSICKSQD RNIELDTGYLDSLEDLGWNGPPSQRMKYRTTLHCAPLVTDNYTNVHNTSATRSNTQYF YGQDIADGNDFTYEASNDAYAETKADGSLAIADYTLNACRNSRIWAPYANGSVVSDIW GWLPIPQLDVPDSTLSLIVLQANSVLYEGGPVSDLWYEATDPKSKIRYYSDNSTSTLY GANTPASPLACVSREQYCKVGSDTGTQCTELTGFFDLQESAKKVFTDEESWNTFQWYA SNIFDAPAMLLDIVGTRELSLIARAWIQDGIQRGITDEQWQGDVEYWFASILAALQRA PGDAARGTDVDPGDLKWLHTPNNTEEQSLCSSQKALSPYHTSFSVFGLLFIFVLGMIS IILSLSLESLTSLVRRHRKLNQDTCLEWNLNETLQLQRLVHEEIGIGAWSSCTKTIPL IRGDARLGTLDTSKPDHPRIVSDVSSGEDAGDTASSYNSEITPSGQEATEPISSR PFICI_11072 MTEPASSNGNTMHPAIRLFAVNWAVYLAGMNDATTGALTPFLQS AYNIGLFPIALVYLINFAGFLVAAFTNVHISSRIGMGGTLLFGALSQLFAYCLMFWKP PYPLFACAYFLSGLGVAYQDAQVNSMVANLPNAHWWLGVLHAVYGLGALVSPLVATTI ATKTAYWHYYYLVLLGFAFINVVLLAGSFRKGLGRPDSVSSKTEASDSLKGALSQKAV WALSAFFFLYVGAEVTIGGWVVEFLIAVRHGSENQVGYIASGFWGGLTLGRVALADLT HKFGERRTVFIYIAIGLVLHVLFWVIPNIIVNAVLVSLLGFVIAPFFPAGLSVLTQVV PRDQHLASIGFTATVGQAGSAAFPFLTGAVASKAGVAVLQPIVLALLAGMAVLWFFVP QAKADGSPEPPTPEEVSAAEEKDPSTVV PFICI_11073 MAATNESTHQKTPDVDPLSLWTTNSQLASSHDPFEPARTAFEEG VKIFGEKLTKDVKKKHLAQQILESCTLQDVVQAVDDAKRRSEEKHGPSRVYKSLVSFS QKLLHYGKVVDVLVSHHPEYVALVWGAMKFVFGAVMEHERTAVTVVTGLSEIAGSLAS VELAIMLYPHEIMRRAVSMLYAHIIQFLIRAWAYYEESTPRRALHSITRPSALRYNDL ILAIRSDTENVRRNAAASSHAEFRVLHRKVDDANVQLISYLEDSRINQQSTQDQLQVI RTTIHELRQVMEFEKAVRAGDRIHIQSALSDIQLQQALGMVSSNCAIDHKITLHAALH LQKARQCRRSFRQPFWATAKLHEWNLSQKSSIILLRSTLRERNLVRGFCTDVVEYLVR THTTVLWIFSSRDQQYPLLESLKSLVFQALSLSSAGQFAFKTSFHMNRFRDANFEEDY LNILADLLQSSKLVYIIAAIDAMPSGDAVQYRACLRQLSRILIDRGSKTVLKVITTSY GPQGQQANLENLVLTVGRADRRPMRNQDNSRSRRRGNQSVARFVETMARPDNP PFICI_11074 MDREKSTPACENTEELRLENDATADVALKLDSLFRISDIHTFKQ AITISDDLSHVAKIEERQLLALRDKNERLAPLVATVLNPLGPTASNKPLRLKDYQIQL MLLEQQNKKRLMLARQEQDIMFDPPVESPVNFMQPEDPALMGGPALSRKRKRLLDDNS DTQLSPDVLEMDAVELRSFIAALEERAIALGASYDVPKIPSSLKHQILYRIFEEKTIS DGPKGKKSIQKIVTGPYFDPPEWVGGQDETGTLRCQLPLQNFDLFLEKNKDLAFIVYK TYDLQVGHENESQRQKVVVNESIHPITDELKEAVKVLLESEEEFFQLHQEYKDTSELT APYLWVFHQRDSWESVLEGLPQVLRNQTNMLWEYIMDNHGEEYAAVDTFISSGQITSN YIKYLFKPGDIIVESKNDQHLGYMAKSWPHYEGKSLKARQHKGAVPDNSSASFHGVNS IFNHRGEEKVVVHKWTIEAWHWEFDGQFRRRSGMLWLSVEADPRDTEKGIAKSKHNAD QQQNDSGIVNIDELGIFPLSCASEEIIARLRRRGRSFWTCRNGAFMSYRESTGQNGST LLEERYMIDLKTYHSLHKDNKAERTGFGTGFVHEISFEAMEKDEPPDPTFEFLLPLTI KGFNLRKKKWYDLAADRISPVKWNKEAFQKVVIDPKAKDLILALVNNQLASEVSTDLI EGKGNGLIMLLHGGPGTGKTLTAESVAEISEKPLYRVTCGDVGTKAEDVEKYLESVLH LGKLWGCVVLLDEADVFLEERSLRDLERNALVSVFLRVLEYYEGILILTSNRVGTFDE AFKSRIQLALHYPTLGPYQRLRIWENFLDRLAKLDDRSIDLDDLRYHLEDLQKEDMNG RQIRNAITTARQYAKWKKVTLTYQHLKDVIEVSGRFDQYLSKVRGGLSEDQLAEDEGP ACATCRVKSRRCDRGRPSCNRCLTKGLTCGGYPDKFRFCGIASRGKLKGKKIPTADGP EISLKGSAEQPQQPESLPSAAPLATTEDDNHIETLHLGAPSSTLQLESASDPPRLSTP REPSQTRRDGPQNVETPVGERRYSDVDPAELNSAMTIHPLPDPNFVYEMVTSNSPTHS ESPVHSLLTRIGNSRIRSQADALLEHYVSEICPHQIQQLGAHVANPYESYIVPLAKEP VGLLYAILGLAASHLGQEQSDRDLQYNVAVECRLLSLSELGSAIRRAISTEMSNDFRD AIFATIQILLLQDVCESGVSENGIHISGAFAISSNLLKLDTLEPLRHSRTIFFLSNLA WLDIIRAFANPQRLSFSQESRETLVMLIDSRFELVNGCPKDLFILIGEALTCAKARGL QDIGKTEFEQKLRRILRQLYSWNRHQYQCPNDDARWVNVAEAFRHTCILRVLRLLDEF HDPRDPEIQESVHAILDAVSGISKDCALVELMVLPLFMAGADAMSPHSRHYVKLRLDD IRGRSRMSNPAPDRLLQQVWDARALQSKHDRKNIPWMLFTNDSQSTRQHDYLII PFICI_11075 MTDFTLFSGTSSQPPLPKDTQEQTFTLSATAGSDIWRTVKAAGG RDDFNGPIYATKVPLKSFQHAAATISADFQTPYAQGGLIIFFPGRSAIITQNEDGTTV FETSPEWWIKTGIEFENGSLFASVVTANPSADWSLALLGTNKATFEVERSHGALWIYV TKQNEPRVPLREVTWVFENENDGREVWIGVAACMPVGEKDSGGGDLNVLYENFSLRTV PFICI_11076 MPPRLSLRASAAPRRLLGQVSGSERQAVCFFCSLTDSSSPRPQQ QQQTPATRRARRRRGGRLAASSQQQQRVYQSTTSTASSTSSTTREPDARKELEDALVQ LQKHAASFVNLSRLQLAINGLRQEPGSEAIRVAILGLTNGTVSGQSAKQVLKLLLADP LKDEEEWEREVDRHDLTQPMIIRVGAKDHQTPGTISLAKGSLLHEVNVSSATMNGHNL ELLLMETNPYVASADDSVEGLEDAILVPTVDIPISGTGRYTPVTTPVHKALLVTNGLM GAASVASLPLSESADVIAAAVDIPEYKPDSQTALPFTPIDVGTASVGLGLIRKSLNDA MEYEHMWFQSNLPKLKDWVKADVTTDAAGITKAPVRRLIASLLQNTTIAIAEEEARAV STTLTTATSPKSTHLNSNLAAWAEGAHSELQEQLDLAFSSRRWRKLGWWKLFWRVDDV HMLTTDIINQRFLPTAEKKAIYLAGQIQEANAPNSISVVYDAPVLQTPDVQPAVPTTW PTNIPAARSYLQNETIPALQALAQKLVLQTLSTSGLTTSLGALAYFGTLTTGIYEAGA VAALGIVWSMRRMQKQWETARSFWEGEVREEGRKAVRGVETSVEKVLKQSEDVDATPV AENEELIRARDLVEKATEALDRLK PFICI_11077 MLPTVIKNRPSAEADFQPLSEYQSQTPETFFDGKPVLYFHDEHI KAWCSPEQSSRLHIFSSGGHVEEGSDAAPNKPPTPPEALALENDGGKHLSEEDKVEVF VSSHKLILYSHNNQTGIEIPYPSISLHATKNFKSRENPDDETKKFLGVYMQLEFSGDG GDDDESFDPLELTLVPYKAVDAAATESIIDPLNAQRTSALFAQISACQNLHPDPADED EDDEGDGEDYMDRIVFEGEALEGLPGAFQGDANGGLPPPMPGSSGWITAENVGDYFDE EGNWIGGGAEVAEGEGVVISGELGEGAGRVRGRDEVDGGDADGVNGNEAEGDGDGESK RPRTE PFICI_11078 MSDHRTHPLLAQIPLTVSPFVSLPTAATLPYTYKPMPSTLPPSI SGITTTSSPTDTDPSNPASSSAAATGPIDHKPRYVVSTSGHAAHPDDIIASCRALQSH VAQMQADAERELRELDQRIRDRELAEKRRVAPGWLDSESRLLEPERKAAEAEADGSCG GELSAGDGLVAGQFAQMSLADRQGALEMAGDIDQGAELDRAFGGK PFICI_11079 MKFAKELEADLVPEWRIKYLNYKAGKKYVKAVSRAINKANAPPH LTKKADLPPHSTPSYGGTFSTPSRHNGGHQATASSGANSLGPGQTPTGRGKTVGGSDR TRDPTTAQSEPTSIPLRPERMSLTRSPGNEGNYGSFVPTPPGPASPLTTVGSRQTFEL PAPAMRPESNMSEHHLPEPADTSSRTLGRSLMKRSSTMLPLGNHETGNSVTLPRQRLI RADSSSSRLRRIFSYGQGPAHPESIKLDQGSQALDAVRQREKEFFEFLDGELEKVETF YKQKEEQAGQRLAILRNQLHEMRDRRAAELAEVRRQQEVENGFSNNQNHDQENSDKPQ NRKTPNGWMDPIRAKILPPGPNSKALLKMAQTPNVGPMLRPDQRDYEIRRREVPYRSA KRKLKLALQEFYRGLELLKSYTLLNRTAFRKLNKKYDKAVNARPPYRFMNEKVNKSWF VTSEALDGHIKAVEDLYARYFEKGNHKIAAGKLRRLTRKPRDESGSTFLTGIFLGTGG VFAVQGLIYGAELLFDEDPVVREQTSYLMQIYGGYFLMMYLFALFCLDCSLWTTYKVN YPFIFEFDPRHQLDWRQLAEFPSFCLLLFGLFMWLNFTRYGSPSMYLYYPIILIFLTV LLIFLPFPILAHRSRKWFAYSHWRLLLAGYYPVEFRDFFLGDMYCSLTYAVCNVELFF CLYAHYWDDPDQCNSSHSRLLGFFSALPPIWRAFQCVRRYSDTRNKFPHLVNCGKYIM TIMAAVTLSLYRIQDHTTTLALFVTFSCVNSIYCSIWDLFMDFSFLQPDSRHRFLRDI LAIKQRWLYYAIMIIDPILRFAWIFYAIFTHDKQHNSIASFLIGFAEVTRRGMWALFR VENEHCSNVSQYKASRDVPLPYKLDLEPLVERPSEEAPRPRSSQEALATGLDVGAAGR SARAGDQVAASGSSPAPDAMESGLRKRRASDVLRSGGGTIRKIMANAHRQDFEKKRRA PEPPKEHDQDLGDDGPSDDDDEDIEDDDDDSSAFEERMEIRRAEHLVRGPNSEGEP PFICI_11080 MAPIPRSSLPRLILYHQTVNERDGSPISVLPLITRPDISLTHII VAAIHINEDPLKLTLNDHAPSHPRFATLWAELRLAQANGIAVLGMLGGAAKGTYARLD SAVVDDATFEAYYGPVRDLIRDRGLDGLDLDVEEPMSLGGIIRLVDRLRADFGPRFLI TLAPVAAALLNSRANLSGFDYEALEVMRGRDIAWYNAQFYCGWGDAATPLMYDMIVAR GWDPKKVVMGLVTTPENGNGFVPWPVLSGTLKVLQNTWKDGAFGGVMGWEYFNSSPGG KERPWEWAQNMTKLLRSGGGSSRIPEPSSASQAGSAAAAADQAIPASTISTVAEADPD VESAAEPPVPKDFQYDTDFGEGSDNE PFICI_11081 MVAPPRAGSSWSDGAAQTGSQDMDEKDNSPTLTHIPTVSDTAVD QHHEIDNEKEKFPNLRLQLPRESMDRASSEARSPSGYLSPMEENRDREQATRLVDDLT MLQIQQMVSNQEEQHLARSVSKVRSNQEIVQEDVFNAPAPGVTLGGPPSETPPSKLNA IFKYLKKLPRVVRYFMYLLPITAILLIPIFMGIFLDPRHQTPVGGKGGTQLLWFGIWL EIVWLSLWAARIMTAIFPFVARFAAKVVGSGNPKKWMAMGKSLELPTALFLWMLAVLI SFLPVVDDEAHKVSAGGDDPYPSVGWISTVHKVIIALFIFAVLNWAEKIIIQWIANSF HLRTYATRIETNKQSIAYLVHLYVHSKDILVSEDSVKNSPGLTGSGTRTPMKFFQNNA RQAFNKVGDVANRVAGDFTGREILLSNHPRKVVSELLRSSSSAQVLARRLFRTYAKSD SDVLRPDDLNPAFPTPEDAENAFGIFDRDLNGDVSMDELEAFCDEVHREKKAIAASVK DLDSVIRKLDQVLVVVVVVITIVVFISIISASAATALTSAGTVILGLSWLLQATAQEF LQSIIFVFVKHPFDIGDRVTIYGNTGAAGTGDDYYVTAISLLYTEFKKMEGHIVQAPN SILNTLFILNHRRSGQLADVFELRMKHGTPREHIEELQARMSEYVLEHRRDFTSKIIT EMKGVEDAYCITVNFICFHKSSFQNELLRLVRHNKFALELMTQMVNIGIEQPRRQYQI SGRDFPVYQSNIQPPAYEASNQPVDYSKLSASRRARSGSRVSLPDQDFYQDVFVGRRT HPHFAHPPRIAEEEPTASGANPNLERTASLASGSQHGGHGHRLFGRTMTMRSDRRSDR ADMV PFICI_11082 MPLISTVSTSAASSSSCSWDLPSDVQLINLESITITAGSSTSST EYSDPKNVIQVCNATATSESSSTWLLEDNTAGFWQASFDYNFQPSSVRLYNTHVDGRG TKTWTFSAIPVGSIANLSYTDPGIGDDAFCTSECPLSDNSSIAFQEFIFVNNVSVSTF RIDVSAWYGAGGGFDGIQVAGIGIPSSVSTQSPPAATSATSPTASTSAPLTHDNQGST DTGLSSGAKAGIALGVVGAFLLLASLVYLTVRWQRLRLSQQEESSQGTDKPELHGVSR SEVHGHSMRPELPDEFARGVQLDSREKPVELSAERF PFICI_11083 MARRWARRIERYCCSCITYFPLAFVYGLTTWAVWVVVSIGNSKP KSSWIGTQSSIGGVLLYLLLNWCYTTAVFTDPGSTTNAYGYSTLPTNAAPTATSFTVK SNGELRFCKKCQARKPDRAHHCSTCKRCVLKMDHHCPWLATCIGLHNHKAFLLFLIYT SLFCFYSFAVSGSWVYYELVAETQYVQTFMPVNYIMLAVISGIIGIVVGAFCSWHILL ASRGQTTIECLEKTRYLSPIRKQLHQTYNAQHNGDGYALPKYGQQLLDIHQNAIPGVT RPEEGEVRVSMDGGHDHFRPNAHMTFEEMERQRARKRYDEYLDEQDSDKLPSAFDLGA KRNLLHLFGPSALLWALPIMNTTGDGWAWEASPKWLEAREKIARDREEQRQREQAAGW GTETPTFIRNQDYSPEQHGVTRQYLASPTVSTGRKTPSKADRVLGRDPNLYADEPASS FPMRKLSPYGADIEDDYDTSSDEEQGTAEQRAMNVVTNGAWARSGASGLLRKPTNSSL SPTGGTSQNDDEVD PFICI_11084 MAGPWPLGTGQAFVCVRARVVARSPQMLREQRAAGWVLQRAGQG RTGQSRAGGAKRAKIQKMLPDFELQGLSTWSLRRSADHRLFALGRYRSNNSTVVICKS GGNWYHRAVSGEAKYPVRTDTPQGGSGLPSGPNSTTTENRMGSPLTMQEGLCRTW PFICI_11085 MSSASYSQPPRSSPGSQASVSTPVLASQGSIMRRTRPSQVHTAL QQAYGIPASGPTSAISASSVASSSLHDSPMMSPENMILTNSSSIQPSPEPFRGRDAEQ FPPTPLTLGDPLLNRKPSSTSMNPSIAPSSAPSSSSMAEALQNQKGSNGNLIRKLSNK TTNMVRGMSVRTRRQSSVAPKSRDGSIGPGILRRRSDSTNTAPAENVFLTDSDEEYPP ADEREAFVNALGLIDGPLREVSSTSNPGSVSGTASPVDPTAGPVIPLALIKGTYVRKI SKKRRFKKILLVLDNESARITWDRNRPSKCIYVDDIREIRIGSDTRQYCLDFDVPASE QCRFFSILYAVSDKSKSKTMHLIADDDETFANWVEALEALSKHREELMTSLMSFNDKA IRAYWSSEMAKTWDGKPHLPDDEVLDFTGVERVCRHLHIHVRRQDLLEKFSSADVYRR GQLNFAQFQDFVRKMTHRVDIRALYRGIAIDTAIGISWPEFSYFLRDTQGEDVDSDPR AWEAKFNRFARRMKSLDGGENDVPRMSEQGLAAYLTSTYNLALASEPAEYTLDRPMHE YFISSSHNTYLVGRQVADLSSVEGYINALMRRCRSIEVDCWDGPDGQPSVQHGYAMTN AISFREVINVVNKYAFVTSKFPLWISLEVHCSPAQQTIMSDTMKEIFGSRLILTALDP SSDKLPSPSELKERILVKVKAAAPEEQRTGRGSSTSGRRRGNSLTSPYTKPLAADNST IPSYSLTQSPMLGPREHSRRRVGKRYNTITEGEVQETVSSSTSDCDSGNEKTPGKKKT SRIVPALGDLGVYCTGVSFNGFDSLECKMPYHILSFMEGTFKSNTKTKELKDQLYRHN MRYMMRVYPQFSRLSSNNFNPLMYWRKGVQMAALNWQTFDLGMQLNRAMFDGGSDQSG YVLKPHSMREIRMLPDGLPAEAVGKLVRKNVTFSIDVISAQQLMRPGNLASNRTLDPY VEVEVFHANDKRDKHDSTVGIPAPMDSPLKRTTSVVRENGFNPVFDEKMKFRITTKYP ELVFIRWSVKFSTTGEPNDRAPTMATFTAKLSSLKHGYRTLPLLDANGDRYLFSTLFC RIELGPTTDVYVNPGDSVESVGKFKTLGSKVFNRSNTNNRAASEKSFEKSSLDSGCSE LSQQSAGRT PFICI_11086 MARSIWSRLLPNALSLITILPILTDTSLAGVNGSEAAVEIVQGP SRVYGNDSYPTIWRRVQDLEAPRARYPGFQPQTLVLKNGTIRREGARPLMCDILFERD VPVALRDGSIMYTDVFRPTTGPGPYPAIVAWSPYGKEVGGQWLDDTVNRTGVALSTVS ELQKFEGPDPAYWVNQGYVVLNADARGAYSSEGNITTFGRQLAEDGYDFIEWVAAQPW SSGKVGMSGNSWLAISQWFIAAEQPPHLTAIAPWEGLTDLFRDVSNRGGSPAPGFQEI ILTAFGGKNYAEDIPRMCVNEILMNEYWEDKIAQVENISIPAYVVASYTNELHTHGSF DGFRRIQSTEKWLRVHNSSEWPDYYESTHVEELTSFFDYFLKEKVNGWSQTPRIRISI LDPSQGDTVDQAEDNWPVTNVVPRTMYLQANNTLADDTYETDDSVSYNASSTVGTTFT YDVDEALEIIGYMKLRLWVEAEGSDDMELSITVQKLDHNGNPYESNAGVESSTIVGAS GKLRVSQRAIDETRSTPFEPYLLHTSEQLLQSGDIVPVEIGVWPMALRVHPDEKIAVT VAPAPITPTNADLGYGTARILVPRSGGTYPPGQNVSTIILGGNDYPDYVNEQRVATPI TRNNGTHVIHFGGQYDSYLLMPVRYINQTNPRF PFICI_11087 MSTSQYRDISTIDSASYSYIFEQNVSVPLQSGGVLRCNVYRPKD VDSSRKYPVIATLGPYGKDVPYSVFNPKSFAELPEEHQTEHSAWETPTPGYWTAQGYV VVRADEPGIGQSPGVLDFLSKTTVNAFCDLIEWAADQPWSSGKVGLLGISYYAGMQWP VAARKPRGLAAIVPWEGFSDMYSEACRHGGILSNTFFQIWYARQIAPNQYGLPGRASR NWGPDTIDGDLSPEELAANRATNPAAYHAFKYRDNEFFTKTNFRLEDIQVPLLSVANW GGILLHLRGNVRAFTLASSEFKYLRFIVGRHDLPFYSPEAVEIQKSFLDAFLKGKDSL GWAERGKIPAINMVIRKGNVGFNDPIAEATFLQRDEDEWPLSRTEYTDFFLTEDNNLQ FRKPDATRSTDLSYEAFGDGKTAISFDTEPFSQELEITGHIVAHLNVSISQGTSETAP SGMDLFVSLRHFAPDGKEILYTGSGGEGVPATKGSLRLALRQTNPRHPHHRPWQPHRD YTSADVLPVVCGEIYSVDVELWPTNLVVTAGSKLSFEISSKDTDGSGLFMHTDPDDRL VTHFYIRIFAQMSILADHLVTFVV PFICI_11088 MRTSQRRSLACVECTKRKVKCDKQVPCSRCSRLQLQCYREKVRL KRSVLQHESQIDFLNEIITDLETSAQQLVLSTTIGKLKARVQLLQFGDEQISQGSREG TPIAPVSETLPAQTHEPESNPRAVHHEGDPSLITALEHLAWGRVSRNCFPHRTCRCEH RKLALPGVHISQEMGLPFVPDNQDARSLIQFHLQHLAWHHNCLHGPTFSEQCENFWIS GVVDHPLWLALYFSVLSATVFAIQNSQKSKNLVELGDDLPQAQELFSSMTNVLFRFQF LSDLNIYSAQAIAISTEVAHNLGQSQLNATLFNAAVRIAECLGLHRIEDTAEEDWATK AERETGKRVWCQVTIQDHFAIPFTESYTISPGHVSTTFPSNADDHDLIDQPENVPTVS SYVRVLSKMASLMPEMLDGLGPAKNARPLQEQYMHILSIDRRMRETVKTFPSFFLRQD SEKEIHFPWLGVARKSLAITAAEKIIMIHRPFLFRSFQMPLYAFTRKTCTAAATTILR EHEALVVADDLSIWTHTAFCITAAVILCFEIYHGSETDPTSADIHRVNVLATRSRLVT RTSDLLAQRGVVLIDSLLEQRQNNAIAAGASMVDFDYIAAALYPMNDGQAQKHGITAN EATAADAGQSGDFLADHGYIDAGFDSWFNEMFLDTNIYFDS PFICI_11089 MSSQGLSFEVFGPDVRQDTAIGAEIKLPSDMPILDLERLTAQDK DVLRQALFENQVIVIRGQKGVDPTVLPELAKIFDDSAIGIHSAGEKAVSDPRNILSAY KAGRIPKAPQVGIIGSGKFQNYEGIPELEVIHLDHTLFHETPLEKEELDSGFTRPYRW HMDTPFYERLPGEVTVLHSIQIPKIPDQKIKFPDGEKEIGAGATAFFSGARAFDLLTP EEQEFALNTTVTYAPQAYEYIRQCKSSEDGLTIPTLGREVPVDQVSEWQWDKVAEHPM VWKNPLNPSRPFLQVHGCCVYKLTTRNPQTGEETVIDDVEEVRKIVYNMQKKIYAAKH IYAHRWIEGDLVIFHNRGVMHSITGQLEQHDAKDKQRLLWQCTMTSGEPPKPFRDYPG VNATGFVRAQA PFICI_11090 MASESFSCQPIARPAGSDIDFGAEVTGLDLENLTDDDFDKLRGA LYENQVVVIRNQKQLTPKAQYSLTRRFDPETDIYSHGKSIDKRSVLHADLKTIPHQPQ VQVIGHGFVKSFEGLENIQLKHPHHKTFHKHPISPEKDADFTHFYRWHIDSAMYDLDP PMVTSLLAVSVPGGRRQTLLYDDGTGAQMDVPLGTTAFFSGYRLYDLLSDDEKEFVRG SKVEYAAHPYIWMSKAKARSNGLGLFSDGLELPEDQLPAVEGDKVRVYPMAWRNPVTG KLAVMVYPTPIRRIHLADGTVLEDLAEVRELVYKLQRRAIDPHLVYPHDWKEGDLVLF NNHGVMHSIVGSFGEGETRIFRQCNMAASRPPQGPLVETVQS PFICI_11091 MSQLVGHPGELSLAKLLATLTATLHPALYVFAVVRDDESKLPPW SKVQMLFREADSEGVTVILTQEDAEASGLEYCFPCRKITLDVTSSLDAVGFIAVVATR LAAHGMGVNPISGFYHDHLFVPQGREEDAMRIIAELAEEKRKETGLQG PFICI_11092 MARRNNKSAGHDGRLGSKGSQATTTTQGSSATVTQRDQYQRELT AACARANEERVRRLLTEHEPWTSEKDKAALRKALQKASARHQIGIIRLLLHYGAEVDA GAPDEFPPLYRAAQAGQRAVVEELLKHKPELEAREMRTWQTPLFVASTKGFHTIAALL LAKGARVDAQDRDGRTPLLAIAINPNFKSIETASLLADHGANLEAKDRIGRTPLLWAA TNRNYELAQTLLEKGANASAVNNRGRTALHLIVDSSNGGGRSSGDRTSAPKRPREEML QLLLQHGADANAASDGGWRPLHNAAQKGLTSIVQVLLNAGADINAPLSNGMTALHWAA FNGFEEVAQLIWSCPEADMGIKDTFGRAAWLCAAERGHDELVELLSPGHNSHRLPKSM HEAAQAFNATVVEFKDFGEKQRISKPPVFDLLYRWDEKNSQPAVPLWADNPKSEFKWI HLPANNLAWVETLMINWFIESGCRDLEGFKALMKCLEQEHRGPFPHANYMRPFCQRIS SQHPGEEDGDAPPGGDDMMASPLPIKMKDMRRSTSNLSQLTLSQGIPGGDGKIVMFMP YLHYETDESRRKMMDAIKIVSSASHASSRDKTPDMLLLEAYLNNKPSLHPRRTLDQFF YRGIDTSARDRDQVVYRYCEAHGHERKVFMVDQLWVLVLNKDLIITCFPERWDLRSQK DPLGVLDGIVGEMNAKTRPPVRSVYHLAILVSGRCSGMFSRHRADDQDYQFLDMFESS VGRVTEDLTHLFHHFERASSLSRQWARPSRRSKLRSSKNKNKKSATEESNSFDRLLDI GTETSLLTEVRDIRDELNILTMILNSQLWTLGDLKNCLVEELSLSAASSRLMRNHVNN IHVTDIRKRTLEQERHLKVHKRDIQTMDEQAERLYQSLTDLLDLKQKHSNALEARFAS EQALAAAREGQTVMVFTIVTIIFLPMSFIAAYFGINMDSFSNLDSDYVATWTFGGGLA ISVVFIFMAFTVVDITRAFAGFGALMKRVFNRSDAEHDKNSADDDAKGEEVGARLLEP SSTSPLHSPVFQRNQPSPPLSMIRTNNTMKSDAMEMSVFPTTESDLVKIKTATSILSK TRYAVSAKSPRARRGYGDDDLEWGRHDRELSADTC PFICI_11093 MVRFLVLLLGILPAIASAYFAAPSICFGPIHPWVSGCYGYPPAR SYCSKQFPQKTTTRTITAPTRTLTSTVATSTAISTLPGTITSVVGLAPETIVTVYTTV YTTSTGTITATSSTTSTSTSTVTITSTSTVRSAPTVVKRDLPTGAPAFKELLLKGRPI IEKVCSCIVTSQVTATFTTTPSTTVRVTAVTTHLVSNVVSTTLTPTVTTTSTVTAAAA PETITTTTVSTATEVTTTTATTVATVAPQPPKCNPNSQFGQRGSGGCSTNCYCDRDID GVNFYCDSSIFCLGGCQSDADCAADQFCATGTSCSSTNGRTCQKYSDCTSTFVPPGGG GLRRNAGLEAVAAVERMPARAVQDGVQELSHKINH PFICI_11094 MGDRSQDNNKRVRQACISCRRKKSRCSGERPTCSFCARLRQPCS YNDELHPVSSAHADSLQRQNTDLAARVAVLESKLSGLTAGANSSPSLFDFNTPVAITA TAAGPISSPSQELFNNRSSPGDGNSSDGSFEFPSAETLQSLADVYFRYCHNRPYCYFE EHSFRAQLRDGSLPQYLLLAFAATAARFSDRTSCTGHQPGAMKHYAKIAWQQIITQSL DEDHSVNIHTVQAANMLGVLDYISGHSQTAWIKIGLAVRFAQILNLCHEVDHSGSHIE LETRRRTFWSVYLLDRLVSCGRNRPPTLLDSDCTIKLPCKDEDFSGGRPSTSTTLDDV LDIPLEAPLEPSAPFALAIFMASVLGHVVRWTLQQKPDESRLPWDCRSTFSRIRGALL SFESYTEATESFEAFESALKQSLPDQDDVSSSATSCHFVFSHVLYHINQCLLYHPFLV RQRLKAHDTKIPPSFLREAIRNSHEHAVFLARILNRYLQRPSDTTPSFFGYAAVLAGG ILHLHTLAAAASSLSSSSSGPWNSAELLAVCVRFLDQGPTSWESFRRMGSILKALSIP ASSAQLLLSSTSKASNLEPKLEESLWQACDYNQMVTFTKPIQPIEETSEMELLTDEWP TSPGLMELLGRGFPPSDILTWSDWDPSSQALSSGLLHDIYQHAEAGIGETALSMDV PFICI_11095 MSSFSEPLWITRGASPYYQASHYRLQSEVEQYVREHISPFCHEW EASGRIPDDVMVRHARLGYTAVSIYPLAAEHLGSQRLPADISPQEWDGFHDLILIDGI ARCGYLGVIWGLSCGNSIGAPPLVNFGNAEQKRRFLPGILNGTTRFCLGVTEPEAGSD VAGIATTAERRGNHYIVNGLKKWITNGIFADYCTAAVRTGGSGSKGVSALIIPLKAKG VTLRKLDNSGVHASGSTFIEFDDVEVPIENLLGNESEGFKIIMSNFNHERLWLACTSL RMARVCAEDALTHAMLRETFGKKLIENQVIRSKLALFSRSINSAHAWMEQLVYLIEQA KVTENDPLIAGPIAALKVLAAQVLEKVNREAQQVLGGLGYSKEGRGARIEQISRDVRV MAVGGGSEEILVDLAVAQELKAIQQLQPKSRL PFICI_11096 MATTQRPPLFVAEPCSGTDGHPLIQKVFIANRGEIACRVIATCR KLNLTSIAVYLEEDKSSRHVRDADEAICLGSITADKNPFLDIELLVSAARHAGADAVH PGYGYLSENPDFADKVREAGLIFIGPSSLAMMTLGNKRSSKVYLQEHAPEVPLIPGFS GASQNVEDLKLAAESIGFPVMLKASSGGGGKGMRIVRDASLLGDELQRVQSEASRSFG SADAILEKYIEAGKHVEFQIVGDRHGRVISLWDRDCSLQRRHQKIVEETPCPWLSQSM REAMSQTAIRIAELIGYEGAGTVEFVVDVKEEKYYFLEVNARLQVEHPITEEVTGIDL VALQVYVASGGRLDDLDVLHRISQKGHAIECRLCAEDPHHDFFPEHGVVRLWQPAPGI LGPGRDIRYETAIETGSQVSIHFDSMIAKIVVWAPTRARAIAKTLAVLANTACVGVRT NQLFLQSCLRHPGFRSDPAYTTSFIARHIESLLTNPYTGNAGQTTFASLESIPSLVLR AMRARQASSKGHYAFRNIANGFRNQRYDLINRPSNFVVTVHNGVDPANAKKNASLRVW EPTEDPQTFTTYTVSMPAVDSVEENSKEATSGVTTRYNQISNAMRTCQWTNSVAERVT IETIEPLPESHHGHWSSVLAIASVNNVKKVVVLCAEYGRNDSASSQRGAPQVVYAHVP ALGTWARYDIYSALSFVESTREDYQAAATAAADSRLVRAPMPCKVLRRLKEEGAQVQK GEHVMVVESMKMEVSIVVQGEGKLKINFDIGDSVDEGVVLCEIL PFICI_11097 MTMTTTTAFPVQKSALDLRQSQYDENRQSWSVLLDKFEHALSKT SSEGSPEATARHMAKGQLLVRDRVSLVLDQDSPFLELGVFMGYNLKDSSPCASLIGGI GNVCGRPVMILAHIPTQSGGAWNEMTVIKQNRITEIATENGLPIIALVQSAGVFLPQQ FRVFHKGGQIFRDLALRTQKGYKSCAVVFGSSTAGGAYHPALSDYTVFVKSQAQVFLG GPPLVKMATGEIIGAEELGGADVHATVTGLADQIVVDEFEAIRSAREWVATLPTSSHV PMGVTAPVPPRYPASDLLALVNPDIRKPFDMSEALLRIVDGSRLLKFKPSFGRNLVTA WAHILGFQVGIVANQTPVINADEASKGAQFIRMCNQQNVPIIFLHNVTGFMVGSKAEH AAIIKRGAQMVSAVSCSTVPHISIIVGASYGAGNYAMCGRAYRPRFLFAWPTGRCSVM GPDQLAGVMETIQRASAASQGRALVEDELRRNVAKFRDGVERDSECYSTSAVGLDDGI IDPRNTRDVLGMCLEVVSQEGIQGTDSHSSLARM PFICI_11098 MANPALSLVHGPTTTPLWTGTLGSLIKEQNSKHGNKTAIIVPWQ GRRLTYYELDARSEAVASSLLSLGIRPGNAIAIMAGNRFEYIEAFLAAGRIGCPVIVL NNTYTPSELISALTRTSTRLLFIAAKIGTRDMSEHADSVIRQAASDSSAATRHVVLWG ENSTYSSVMAQSYQQFLNRRSEASAFHLQRAEANVKPDDLLNVQFTSGTTGNPKGAML SHINIINNAQFVGSRMRLTSLDIVCCPPPLFHCFGLVMGFLNSFFHGGSIVFPSDSYD ANAVLDAIANEGCTGLLGVPTMFLAQLDVLKSKPSTMENKTLRVGLAAGSMVSPQLLK RLDAEMGLQRVLVAYGMTETSPVSFMIDHDDPPRRRQRGLGKVMPHTSAKVVDAQGRI VPIGSRGEMCVSGYALMKGYLDNPKATGEVMVHDDQGVTWMRTGDECAIDEEGYCEIT GRIKDLIIRGGENIFPGEIEERLLEHPGIIESSVVGISDEKYGEVVACFLRATSSGVR VAHHEVQEWVRMKLGRHKAPNWVFWIGDPAVGDDFPKTGSGKHQKHILRAIGERLRQM NEKPRPRL PFICI_11099 MDVRIVEVGPRDGLQNISTLVPTAVKLELIARLHAAGLKIIELT SCVSPKSIPQLADHDKILSDGAVQALLGRQQNHDDLQLPVLVPNRKGLETARKYGVCE VAVFVSATEGFSRANIHCSVEEGIGRAKEVADLCIPAGIKVRGYVSCIFADPFDGKTS EAAVLEVVERLLDMGCYEVSLGDTLGVGVPGDVRRLLGYLFANGVPAGKLAGHFHDTY GQALGNVWEAFQQGLRTFDSSVAGLGGCPFAPGAQGNLATEDLVYMFEESGVSTGVDL IKLAETGHWISQKLSRRHDSRAGSAIIKKRTNTLPQSTAKTSRGLPSTNWAVQKETEG LKVLCSGRNRKIILSRPENGNALTASMIEQLTTFFDQSATDGSIRRIVITAQGKFFCT GMDLSQQGPVAKDQSASDNQFNRLTRLFEAIDRAPQVTVAAINGPAFGGGIGLAFACD IRIGVSAAALTLSEVKLGLCPATISKYVIREWGLAFAREAMLSGRTIPLSELRSLGIV AATCESTSDLEKLIDEYLLRLRVAAPRASSLCKDLIRASPLGLSPTTQQEAIRSAFDE MMKPGGESEHGLKEFRAGRRHVNWDSYNLESKSKL PFICI_11100 MAHALIFGASGISGWSLLNQTRVYPSATSFARITGTTNRPLSLE QAQLPRDDRVQLVHGVDLTKSVDQVVRSLKDKIADVHTVTHVFFTAYIEKEGFQALKE INTRLLDTAVRAIDAVAPGLQSFILQTGGKGYGLEFPKEVSIRPPLTESSPRIPEPWA SDIFYYSQYDRLAELSRGKSWTFTEIRPDGIIGFTPVTNPMNLAQGIALYLEIYKEVH GAGARIPFPGQQHGYQSTHTDTFQDLLSRMEIHAALNPDKCGGGGIFNVADGKAVTWQ QVWPRLCEHFGLVGTGPDPSSSTPLLEFVDKHKHVWKTIAQRHGLKETLAEEQHWGFI EFMLVQFNFDRHYNLQRSREVGFTEEIDTVEGYKIAWERMRKAKMLP PFICI_11101 MYQALQIFSQQFQQFDFVWQLEMHLRLIGHAYEILSSAAVFAQN EPRENLRERNGRFYIPELHDKSNEKFTAAVNEEVGDSGTWGAVNTTDFTPQGPQAPVK AENMAWGIGEDADLFSFMPMIDPIGTNWVCEDRIYGFTDGESTPRRAAFISKTRFSHL LLQLVHEAQSQHGQWLVSEATMETFALMHGLKAVSIPHPIAFANSNDIMAARKPDQAI HMGPKHSKAGGHNPSLLYTKQGYVAGPWEQSSYWWSGNEAPRIWHQYLGGECLPPMLL HPVKD PFICI_11102 MTNSFQSRPTLALLVIFTTLFRLASAANNTKCYAPNGQEAQYTD VRTNQELFACPAGDDGFATCCVSTDFCHPDNLCYNLNDGFPTVYRQYCTDQTWDSDNC SPLCRTAGEENASVTGAVGLTPCSDGKFCCGTFNDDCCNNHAGLYAIQGTQVGPGKSF TTTATSAATASSASSDTISGDVTASASPSSSAESTSAGISSGAIAGVAVGTAGVVAIF ALAGVLFWRRRKSRTSPQDPGAAAPSLLPPSTHHDSYPPSWSPQHSYQPTQHYMEAAK PPSDVYGHEGMGRRRTPEMEEVPVRHELQSH PFICI_11103 MVVELLPHETTKTSAENLRRFIQELHDESDLVSVNVEVDPDFEV AAIARRVYETGDKAPLFNNPKGWEGNGLFRILCAPVGASRLPGRRFIRIAKSLGLPST ASGHEIIDKLNTTKRLPGVPPKQVASGPVKDFRLLGDEIDLTKLPIPYLHQDDGGRFL QTFGMYVVKSPDGSWVNWSITRSMLHGKRSLVGPMMPRQDIGVIRQMWADLGQDMPFA LCFGVPPAAIMASGMPLPKGVNETDYIGALTGTPVEVIQCETSDILVPADAEIVFEGA VSRTETASEGPLAEYHGLIFPGESKHCPVQTINAITYRKDPILPICVTGRAPEESETV WGLTITAEVLSICQQAGLPITMAWNPFESHCMWWVLQVDRRRLRALKTNMEDFSQKVG HTVFGSKPGYYIPTVYLVGDDIDPTNLKDVIWAASGRCQPRENEFFFDQYPNIGLIPY VSHGGKVGEHHTKVVRCCLFPEEFSQEIMWREASFRRGYPVEVQEKVEKQWISYGF PFICI_11104 MSTTTLNEVAVVQPNNQLRETPKRRKRIIVALTGATGTVLGIQT LIALRRLNVETHLIVSKWADNTLKYETDYTPSSVRALADHVYSNHDMAARIASGSFRV DHGMIVVPCSMKTLAAVSAGYCDDLIARAADVVLKERRRLVLVTRECPLSGIHLQNML TATQNGAVIFPPVPAFYIRPSSVNDLVNHTVGRVLDLFDLDTEQFDRWEGFQKAER PFICI_11105 MVSGAVRRALLPSSGANFGFFFKQAPAPGHLGQPGANVSHALGQ RAFGTTPNRFVQQHDGRLTIRSLSRQTTTSASHWQPRAHLAQWDSPVAILRQFSAGRT LREQQNTSVGKSNDILPAKQPSTVSEVAAINDAQPPSTGFEKSERARQAAQVNYSARL SKDKDKNKKNLSGSRSEVVRLLKLARPELKFLGLALLLLLMSSAITMSIPKVVGSVMD AASKKSLDDAKVFGLSLYQFFGVFACVLTMGACANFGRIITLRLIGERVVARLRSSLY KRTYLQDAEFFDANRTGDLISRLSADSIIVGKSITQNLSDGLRSLISGVAGITAMAFI SPGLTSVMFVALPFIGTLSFGYARFIRRISRDIQKNVGTLTKIAEERLSNVKTSQAFN GERQELHRYNGQIRRIFNLGMKDALYTASFFGATGWMGNMTILAMLWFGGGYVASGAL SLGDLTSFMMYAAFAGSSMSGLSGFFTELMKGVGAATRLFELQDRDPGIPPTKGIRVK SAQGPVTFDKVSFAYPTRPAVPIFNELNFTIPSGSNVCIVGPSGGGKSTVASLLLRFY NPTSGVIYINGIDITTMNVKSLRRRIGVVSQEPVLFSGSIRDNIAYGRPEAPESEIFK AAQEANCQFIKDFPDGMDTQVGPRGAQLSGGQKQRIAIARALLLNPDILILDEATSAL DAESETLVNSALVKLLRSHSTTISIAHRLSTIKRSDQIIVLSNQGTVAEMGSYSALSA NPNSAFSKLMEWQMSGGEVPQVETPRHIPIDEVQALDEEMEGEQHEEQHDEDEAEANQ EEKEKPRN PFICI_11106 MVKEIEGTFEAGDMSLYTKSWLPDGPAKAKMIMFHGFSDHLDRY YDLFPTLARGGIAVYGLDQRGWGRSVKKTSQRGNTGPTSQVLADMAAFIKSQLPSDVP VFVLGHSMGGGQVATLASSPQYAELTGQVRGWILESPFIGFTAEEEPNWLTVFSGRLA GKLMPHFRLVRPIAPEKMSRDPAVVESVRADKLMHNTGTLEGLASLLDRTASLSKGEL KLNDNIRSLVVMHGDADRVCSFDTARKWFESQSVPDGEFRVYEGFYHQLHADPGRERF YDEVRDWILERAEGGAKSGTEVTKTKSPVVEGRAEAKL PFICI_11107 MSSLKQFIRNVRAAKTIADERAVIQKESAAIRASFREESHDHNI RRNNVAKLLYLFTLGERTHFGQIECIKLLASPRFADKRLGHLATSLLLDENQEVLTLV TNSLQNDLGHSNQYIVGLALCTLGNIASIEMSRDLFAEIEKLVSTNNPYIRRKAALCA MKICRKVPDLQEHFIEKAAALLSDRNHGVLLCGLTLVTSMCEADEEEGGEEGIVDKYK QFIPVLVRTLKGLATSGYAPEHDVTGITDPFLQVKILQLLRVLVRGDPEGTEQINDIL AQVATNTDSTKNVGNSILYEAVRTILDIDADSGLRVLGVNILGKFLTNRDNNIRYVAL NTLIKVVAVEPNAVQRHRNTILDCLRDPDISIRRRALDLSFTLINESNVRILIRELLA FLEVADNEFKPTMTSQIGIAADRYSPNKRWHVDTMLRVLSLAGNYVKEQIMSSFIRLV ATTPELQTYAVQKLYMNLKKDITQESLTQAGSWCIGEYADSLLRGGQYEEEELVQEVK ENEIIDLFSTILNSSYASQVTTEYIVTALVKLSTRLSDASQIERVRRLIQQHQTSLDV EVQQRAVEYSNLFAYDEIRRGVLEKMPPPQIKESSRVLGEATTKSKKAANRRSKLVAK PSTDQDLLDLMGDVTGGPALASPTNGGSSNADLLADILGGTSSPPPQSAGSPPPGSNV ASIMDLFSQGGTASPAPAAAAPPASSGLDILGGMGTPPPQAQAPAGLPCYDANGVNVT LALQRNAEGAVQVTGRFRNNSGGPISNAGLQAAVPKSQKLQLLSISNTDIPAGGEATQ LMRIMGSKGPLRLRLRVGYTHPSAGQVMDQVNWTEPS PFICI_11108 MRAIHALTVLATAVAALPTSPMVVDSVVIPRKLTGDVKVDAAIE PEVDAELLSGKIQTLFTATCHNICIKIYSQPGALRDKCMDVCREYTFFPGFPND PFICI_11109 MTSLAPGWEADYDGSRWFYRYKSTGLTQYTFPKAGDEFPEHIGA GSESFGLAPEERLASDRQVKKRGSSDSPQTQGPTEVVGGMSATGYFDPLAWHDDFSTS PELEAPATSESKDTGPTSRTSSTVPSLTATPTAAAPAVLADGSSPKAGELRTDDESGS VSAVVEEENDVHMLDGQPIYQELPATTPAHMINPTPSSPVGKVAELASSDTVKCADEL APIEMDGASSIPGFFGTHVNPYTPAELPNEEMPMKAQQANTGKTSPGALQANPSDPPG SQQRSQLYDSGAPAGLTNDIKAWKPAARMDVDADYPRPLSLKSSAANIPGTNISQSRN SELTNTGPKRHSVPATVPSSSTSVQRHPTVLTPAAVPKTSTTLKSDTVAKGPLRSPIP SILRPARGIASKYHVPIPGTNARHGSIQAEAQPHTANPPNPAMTHVPSVLKPAGRKMV NGHHSHPLHPARPENRPRAESLEAQPHRASFSTEKIYQRRPVSMLIDQKSASFGFERL SVGQTTAPGPSTLQYVSELHGDMMPPRPSTTTPDQSRRDPNYSTTVPEPNSWSKSQTH SGQLAELPATVGVNYPIQGLAHSDSSAQEVFDISRPTSTLPSEVSSPTVSMLSVHHTP KLAHSQVVNGTQANSSSHSVAVEENQTHEDKDHGLVSYVTDGHSEKVPCSQQATAKKV DTNVEVAPIYTVADSKHDTDAVSMLSDREWPRAVIDYSGDSWGDEW PFICI_11110 MVMATVAVDHIAPVIFENNHNFDRVKKNSFFDTQQNGALLGKHL IGDALRRRVESIDHESCDPGDEDTFFVGDLGEVYRQHMRWKKNLPRVKPFYAVKCNPD PQVIKLLAGLGTGFDCASKSEIEQVLDLGVDPSRIIYAQPCKTNSYVRYVANQGVKQM TFDNADELRKIAKLYPGAELYLRILTDDSSSLCRLSLKFGASLDSTEELLALARDLGL NVVGVSFHVGSGASDPLAFLKAVQDAAHVFKQAATFGYDLKTLDVGGGFCGETFEAMA HVLREALDEYFPPTVNIIAEPGRYYVSTAFTIACNIIARRTIMNPATGEASYMAYIND GLYGNFSSIMFDHQHPVAKVLRTGRQTLYDTSLAESYPEGAGEGLEYSIWGPTCDGID RITESIRFDHELDVGDWFYFENMGAYTKCSATRFNGFSDAHDVIYVCSEPGARALMNM PFICI_11111 MLRNAANAAKGAAKKAVTELSQYPKPGEKVHGFTLLRTKHVPEL ELTALHLKHDKTGADYLHIARDDSNNVFSIGFKTNPPDDTGVPHILEHTTLCGSEKYP IRDPFFKMLPRSLSNYMNAMTSTDHTFYPFATTNEQDYQNLMSVYLDATLNPLLKKTD FTQEGWRIGPENPRAAEQAGDAKPEDSKLVFKGVVYNEMKGQMSDAGYLFYIRFQDHI FPDINNSGGDPQKITDLTYEQLKKYHADHYHPSNAKLLTYGDMPLADHLREIDAQLAA FEKIEADIDTKRPIDLSGGPRNVTLFGPVDPLADPDKQYKTSVSWITGDTSDIVESFG MSILANLLTDGYGSPLYRGLIESGLGTDYSPNSGYDSHTATGIFSVGLTGVQESDVEK IKPEVQKILQDVRQKGFDRSKIDGYMHQLELSLKHKTASFGMGLVHRIKSPWFRGTDP LDSLAWNEVIDAFEANMAKGGYLEGLMDKYLLNDNTMTFTMAPSQTYGADVAKEEDER LAAKIMEATQEAGSETDARKFFEQRELELLEEQGKTNTEDLSCLPTVYVRDIPRQKET VSVRDEVAHSTNIQWREAPTNGLTYFRAINTLENLPDELRVLIPLFTDSIMRLGTKDL TMEQIEDLIKLKTGGISVGYHSTSSPTDFRQATEGISLYGMALDRNVPEMYNLLQKLI LETNFDSPEAPKQIRQLLEASSDGVVSAIASSGHSYAYKAAEAGLTRDAWLGEQVSGL TQVKLTAELAGRPETDRYEDVISKLKQIQQFALAGSNMRVALTCGADSVAANSNALAS FMSARPSEALKFPDSQPSALPRDIKAFYPLPYQVYYGGFAIPTVSYTHEHGAPLQVLS QLLTNKHLHHEIREKGGAYGGGAYMKGLDGLFGFYSYRDPNPQNTLNIMRNAGRWAVD KKFADQDLEEAKISLFKNIDAPKSINTEGMDRFRHGLSDEMKQRRREQMLDVSKEQIR NVAQKYIVEAIEKGQERIAFLGERKEWVDDSWSVREMNVSGSASAADEVD PFICI_11112 MATANHSGNSATPAPLASNPTTNGAIPSDHYHHHDHRPAPTPAS AAIANKKAKSKKAMDSSEASKLVAARISQLEVDNAAEKEQQEEIDREVKKHSRELLSS TNKMNDLDKIDHLTKKCNELFTSMKRLERESAKNKKRADQVQKEKDASRNEASKQTGL KEKLEKLCRELQKDNNKLKNDHRSLQDQHAKLKRDGDRRVEEIFKTLEGYQEEKDNPR KPVLNGKIEDLYVQRQPRHVGTAQVIDTEVRIKARFKSLIDQYELRELHFHSHMRTKE IEVQYYMARYDQQKKAAETEARKSSQLNSQVNTFHKTEMELRNQLNVYVEKFRQVSLR NTPRAKGLGLTCASLRKVEDTLNNSNDLFLTFRKEMEDMSKKTKTLEKENERLKKKKE VLESNIAKLVHDCDQRQKEIDDLHKLNGKLKNIIKQMQEQGRGIPHGMRGEADMGEEG DLEEESDYEDDEYGEGEEEGSEGEGAYDDDTEEEIHEPPAPRSTPFGPQPPPVRMAQK PITAVPTNGHV PFICI_11113 MPKKSWINKKTAQHFTLVHRPQNDPLIHDESAPSMVLNPTNTPS SSKQPKSKHLDDLASELGVDAEQIRANEGEAANYGIYYDDTEYDYMQHMRDLGDGAQG GTVTFIEADSTGSNRNKGKAKQQSLEAALRQLDIQAEEDKKRELFSDDFLPSKNLTRQ TYQDMQDVPDAIGGFQPDMDPRIREALEALEDEAYVDNAEEDDFFQELTQDGTQVDEY DFEDIGDEGWETDDTAKPGSEYRVATEEADQVPELVPAMSAEEAAEAADKVDWMEDFK AFKKDQKATTSRKLGAPPSQSDLQSSIMTTTTNGGRRKKRKGALTEASSYSMTSSSLV RTEQLGILDARFDKLEQEYQADFDDMGSVSAVSTTSTVDGPVRGDFDSMLDDFLEGHS TFNKKYIRKGWTSGTQQLDDIRRELGPARIKGKPRTGKAGGAR PFICI_11114 MPLAKSKAAVGLGNSLMNDRFGKGKGSDRRKGGGVTRTNHATGE QYITNEKKEASWVKMRSVTQQSAMDEFLATAELAGTDFTAEKMNNVKIIHTDQRNPYL LSSTEESQVRGKHNKHKSRLTVPRRPQWDENTTPEQLDVLERESFLNWRRGLAELQQN NDLLMTPFERNLEVWRQLWRVIERSHLVVQIVDARNPLMFRSEDLEAYVKDVDEKKEN LLLVNKADMMTTSQRKTWAKYFKSNNIAYRFFSAHLAKELLEAEDDEDEHEDGIHQGQ SSSASQKPASKKTSDESSEDESEDEDDEDHDETTGASLDQDLEDEDIRILTVEELEDI FLAHAPEPNPDNPDEKLQIGLVGYPNVGKSSTINALIGAKKVSVSSTPGKTKHFQTIH LSDKVILCDCPGLVFPNFATTNADLVCNGVLPIDQLREYTGPATLVAHRIPQPFLEAI YGIHIKTRPLEEGGTGTPTSSELLSAYAKARGFQTQGVGQPDESRAARFVLKDYVNGK LLYCEPPPGYPDSAEFNHELYDSAHLPEKRRAQLRHAMEAMELPDDMSVADSEFQPLP TGAKSQRIDKQFFTKDRNNAGHLNMPFSYKYTQQGQAKVAQGKHLSGRKAKAMIALET GMDPKDIQIGSSKKHFKGGAKGKKKKAADEDD PFICI_11115 MGFDMGSEPLRAMPPDIVPRSVGHLHLELEMGSTKKIAPYGTWS SDITKEAVFSSSRTQISPRVDRRTGRAFFCEQRSDGDNHLVEIRNGECVDVLPQGFSV RSRVYEYGGGPYAILANNRIIFSNFKDKSVYILDVDSGSVRRLLECDTLRYGDFEAHP GNEPWVLAVQEDHEIDEPEQVKNYVVAINTETAEVTRVVEGADFYMFPSFSPDGTKIA WEQWDFPGMPWAGVTLHWADWSEGQIVSGTVEHIAGTESSTVTEPRWSPDGNLYFAEE RTNYYQLFRQKPGDSEATAMALPGLENVEFGSAKMTCGSHTYTLLSETTLIASVKDEG WDRLVSIDLINLTYMQLDLPFASVLFDGVERLSDDSFLLIANSPVTPDVVCEVKLTGG DAQYKVIRTSTNVEFPPSLFSKPEAIKFPAKNGPERDVCGFFWPPHNPNFQAPRGEKP PLIIQSHGGPTGLTPPALMLSLQYWNARGYATFAINYTGSTGYGKKYRQLLNGRWGVV DVDDVAECVEYLVETGRVDGSRVGIRGGSAGGYSVLQALCNYPNIFAGGVCLFGISEV KLLLESTHKMEARYVDMLMFTEGMTEEDKEKVMKDRSPVYHAGNITAPLLILHGTDDK VVPISQAYTMYDDMISRGREVKLVKFPGEGHGFRSGANRLLSHEEEESWWRKTLVKS PFICI_11116 MASSFPQFGLLPLELQREIWQAALQVEAPGLCITFGGLPNHRPR PADVDLHCLMHVSRESRAMAMKHFRYHLRRDAGDHGGSSNDDGGDGVLIGASRAFRPD LDVLFIPTDRYDGFFDWHFLDSWPESLQPHHLALDGHEAQLSRGGVGRQVATLVASGR LPDLKSVSLIFSAEPAPVPVYSSMRAYKLDDLGQDDYVWCALPDGCKYEATDPFLIAD WWKASILEAAGDSDMRHLQVIPRRINPRRYVDPPSKGWLYSTFGIPARLRQMLP PFICI_11117 MASAKSDPENPTRQEIVRLKRELVNDDFQGHSCEQCEHISTLLA NPPFNGMTYQFEVGPMFRLDATPAKICGLAASGCIFWIIIRNRLASIARDDEAHAAQG LEKHKRRWTEEQHRILAASSVEDEVDAYVHLESLLDWEKVHKSPGIGLHGIPIGHVGV IVQTNAGFPKPDRVVVRVLVPQRSIDTDREGLELEWDETSADFWMLSPPNYGEMSWMR PRHAPINLNPGSSTSMELYRHWLKWCEFDHTCGIRDPPESMPSLILDVAESGGVKLIQ VPATMKEPYVALSYCWGFDRQKTMLTQINRSNLLAGIDLEALDLTISDSIMVTRELGF RYLWIDALCIVQDDEEFKARELEKMGDIYQNAALTIIASGAKDVTEGFLHRRTSNLDR FSVFPGYPQPIFKLHEKGAQTPVVLVPKKDDDEEPWYQRAWTLQEMLFSKRRLQFGLH QTTWLCHCCLGKTKECDGWSEDWHFKPSAYMWFYLPSGTEAELNEEMVLASWIFLICR YSSRQLRYRRDRLPAISAIAREASSILGDYSCGLWTSHLPMCLAWFREPGNVSDLKGD PSWSWASHEGVAGWIFEVNEDTSPNQDFMLLSHNVDLVTPRDPFGEVRRAELHVRGLL LPTRGVIINESGSQLVKLADQMVYVNFVFDYRDMPREQPGTRYALSLLVLLQRNKLGS TAGIVVLEEAGNRYSRIGLFQIDNIRPSTSINEEDESDMAEDKSIVEEGGLGHRLRQL GGGEKAIREFVLI PFICI_11118 MALKLRLARGPPGPLPLHQASAPHRCRTYHSCDCCYRHQTFFLI LPTVLLLLQFGSNGLRGLLLAIFLAFVLLLPLQTTSEVERYLVIRQPPLGSSVPLHQG ISTENSQTGGHLAIIQAPSQRPPPHVLPGHHLYGRKQLKSERLYLYMKADSAKPSMDE LAGRRSVVSADEDGLDVTTLGGKNIVSTPQQRSQVLDVPSGSFTPSYPDPRSGTRGRL ASELDFDPRSPSLKRLKTATSSGSVSPLENSRDIHTQAHELSTTMSGMENHDDEIPDS PASDDNSKKRQSRRRAGQIIPRNQGHAVAKVAQNTSRRKRASANAASTRFPRRSPRLA KPLTEFPKYSHLPAEIKLMIWKSAQVPRLVYIRNRAALGFVPKVQTKPPKWLMTDRCS LDVAVKNYVNMFSLHGGFHCVRHQFSSEDKRLVRFLAVQNESPHLPPSTRPCWETLSD TFPNVETLYLLKSPLTGDITEGQALIRAGADDREVALQKRFAEWKKGAGKNKALTTLD FAIATNKEPDTIKAGERYRYVEGRETGLAKDIIVD PFICI_11119 MAHKHHRRSHVRQDTGDERLNTCDKKLEHGDDAEFGTEPTEESS FDMTWSHPVLDPSGRFFYQAMRLSDGSLKYHIEGLQASADPIEASQRYRNHLSLQGLD DRNQLENLQHSISVEQQQLGINHSPPEAASNSSKVAIENCAGNANSHDTPKVASVSKS RSTVVFVEAGSIGKIQQVKQVPRDRKGRKAAAQEITVQQKRIKSQDRKYQERQAQKGA RSRQIVYHWLYASEP PFICI_11120 MSVLNRLTEFYRSCLFQIIIVGLVSLCEPGIWTALNNLGAGGQA SPFLNNAANALTYGLMSVGCTIAGGVSNKITPKWTLVLGAAFYTPYAAGLYCNNRYGN EWFMLLGAGLCGIGASLLWASEAAIAVGYPEEAKRGKYISIWMGIRQLGPLVGGSISL ALNVNTKSTGKVSYNTYLGLIAISSLGAPFALLLSQPQKVVRSDGTKIEYVRQTSIGV EARAIWRQLKSAYLLLLIPVFIAGQFGVTYQSNYLTTYFTVRSRALASFLTAIVGFLA NITTGFFLDMSSLSQSAKSRIFYIGVVIFITACWIWNAVVQVALVSQPDTTFDLGAGP FAGSALAVYMCFRFFYEALQTYLYWLMGEVGAQSGQEGRRLGDVARMTGILRSWESIG STIAYVVGATHWSNMNQMILGFVLWFVTVPFTLAALFGHWQPEVGVVSEGATTDNSSV EEQRVEAESKSAY PFICI_11121 MTSTIGIPIKLLNEAQGHVVTLEITSGQTYRGKLLEAEDNMNIQ LKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLKNAPMFRSRNARGRGVGLARGRA TVSRARASGRGGR PFICI_11122 MAAEPEAPTDVAPAVATDPTAPATKKQLVDPWNVAGEIGEDGKA KAIDYNKLVDEFGTKLIDQPLLDRFEKVTGHKPHRFLRRRIFFSERDLNLILDRYEKK EPFFLYTGRGPSSDSMHVGHLQIFDFCKWLQDVLDVPLIIMLTDDEKFLFSEKKTVEE VMGYSRPNAKDIIAAGFNPDKTFIFSDYEYMGGAFYRNITRFSKCVTYNTAKAVFGFN DSSNIGKIHFGSIQGATAFASSFPHIFGTDEKKTSKIPCLIPCAIDQDPYFRVTRDVA ARLKIAKPSLVHARFLDALQGPGSKMSASIDTSAIFMKDTPNQIKNKINKYAFSGGQE TVEEHRRLGGNPDVDVAYQWLNFFMEDDEELKKLGEDYRKGELLTGELKAICIKHLQE YVGSFQERRAQVTDEVVDNFFAQKPLQWRGSSTGERVVPIVQDGASQGAEGSGDGKMT KNQLKKLEKEKQIAAKKAAKAAEKEAAKASA PFICI_11123 MGNDANGYLLPSWYVPEQPTLMDLNVVSIIWGFTLATTVFTFSK AIQQSWKSYKRGKLFNAYIIMVWAEWLVCVIISIVSWLFLTPSLSILPGFWLFFGLLC LWVVQIQCILQIIINRVRLLMVDQHKADILKWSVAAFIGLINISVFVIWIPARLQISD RWVHINTYWDRCEKSLFALCDIGLNFFFLYLVRSKLIANGLHKYNKLLRFNILMVCVS LSMDGILIGMMSLPNSAVYIQFHPLTYLVKLHIEMNIADLISKIVKATNQLDEYRTNK HGAPHVSNSAAGTSSSGASKQPSKMSSSFSRTQQQQHRNSNLKRMDSIDLALHGFALP NWGKECGPATSSTTHGVYESGPDLEAGGSEKRDFETTVGEDEGVDIVQQGTQPTTHDV EKNEKSNRSNEKAVGGTC PFICI_11124 MAETIPVTSSDKILLYFPEDPHEDWIARVKAAHPGLQIEWYRSI TPEGVSVPFEQIPSHVWEGLTMFCGFPAPPANLLRSLRFVQLTSAGADKWVQHASYKD EKVMFCTSNGIHGPQIAEWVIGTWLSHQHHFSRFEAQMKRAYWEPVYASKIEDSTGTR MGILGYGAIGRQCARLADAMGMEVYAYTRTEKATAESRKDDSYCVPKTGDPDGLIPTK WFHGDSREAVDNFISQDLDILVVSLPLTESTRRIISSRQFAIMSKKKTFLSNIARGGH VDQDALIHALETGLIRGAAVDVTDPEPLPSDHPLWKAPNLLITPHVSWLSNHYWDRIL DILEINLGRLSRGEALINVVNRQHNY PFICI_11125 MGGTAFSHIDPLNLFTPRMPPNVYHRVRDDCHAALRQRFLAVAT PIQAPGKKNFGDVDIFVAWDTEQSSKVDFDKFVDSIRDLLGATYSRYEGLVNCDAQFA VAWPDDPYGLAYSDSEENPRFCQIDVHICNSIEAFHWNMWYYAHGDLMPMIRNIMRPY DLVINARGLFLRIPEIRDGAKKVFVTDEPSQALGILGYDPMAPVYQESFQNVDELFVY TTKCRLFKGFYGTDLTDEDGRSMSEREVFKTWIRDFVPTCIDGYPKEEISKDAIRNEI FQKFPATKAEYDASLLRNKKRKQRDFISRTIESSLDMVKGVTSAWRKAASAALKEIIL FSDYSLGFRPLEDLKLSNGLYKEDEVKMFIEQALEVVGEVAWERQCCNDHMGDISQAQ QRTRIEEEDLAWSEGEDEIFMPKYREGYYQNHASDCDLNYI PFICI_11126 MDAIKLHNSLKPGPPVPFKPIEAGKISWYACGPTVYDQSHLGHA RNYVSTDIIRRILRDYFGFQVKFVMNITDIDDKIIIKARRQRLLDVEKKKGHSEEKVN ELAKAAFQAYAKSNLPLLLVEDGPELDTNNYIQRRDAAYGSVLAGGTLTGEGKPGDNE AKLKMHISNMTQAVIAINKNSVFGMADEILLPYLDSLHKETIDTSDQTIFTDLTQYME KEFTDDMDNLNVLRPDVITRVTEYVPQIADFVERLVQKGFAYEAEGSVYFDIAAFEKA GNPYARLKPESRNDKALQEDGEGSLSKNLGGKKGSGDFALWKKSKAGEPYWPSPWGNG RPGWHIECSVMASDVLGGQMDIHSGGIDLAFPHHDNELAQSEAYYCEGGHEHHWVNNF LHMGHLSISGSKMSKSLKNFQTIKDALASTYTARNMRIVFLMGRWNDGVEISPDMRAA ADSWESTVNNFFVNTKALIFEARGTASAINSQIKNISVVDGPAEGLAADLEQAKRDTE AALVNSFDTPAVMRAIGEVIRKANIHIGEHKSDADLKSLEATARWATKIIGILGLDAN ARAPYDGLGWVSAAAAANLEPAAAVAPYKAVYETVKKDVQALNLPNSDGITSLLTQNP DEESESFAKAGNRDPEQLAYPYLLGVSKLRDELRRIAPTAAPDAKKSILTLSDRIRDF DLTNLGVYLDDRPDEPSLIKFIPTSELIAAREEKAAQAAAKAQAKEEARLARERAEAE RWEKAKVKPEEMYKTGEAAEKYSEWDGEGMPTKLKDGSEVPKSQLKKLKKDHDKQKKA YGEWLTKFGGAAS PFICI_11127 MSKLLTPLRLGRVTLNHRVALAPMTRTRTDDKQTPLPSVKEYYG QRASVPGTLLITEGTIISPEHGGYPNIPGIYTDSQIAAWKEVTDAVHDKGSYIFLQMA ALGRSANPGFLAQQGYQLMSSSDVPMKSPFSNEMHYPVALTEQGIRDTISAFAKAAQN AIAAGFDGVEIHGANGYLVDQFIQDVSNTRVDDWGGSIEKRSRFALEVTRAVAKAVGS DRTAIRLSPWSKYQDMGMSDPLPQFAYLAKELGKLDLAYIHACESETRDGPSVSFLLQ AYGNASPVVVAGGYNTRTAKQAVDVDYKDHDVVVAFGKPFISNPDLPHRVANDIAFES SDPATHYGQTPEGYIDYKFSSEFVAVKG PFICI_11128 MAITVGIAGITGKFARLVVNHLLKETEVQIRGFCRNANKLPEET RTRPNITIIEGEATDVDKLRQFAQGCDVVVCCYMGDDNLMIDGQKLLIDACEVENVVR YVASDYSLDFTKLEYGRHPTKDPSKHIKEYLETKKTIKGVHILIGAFMETFWSSYFGV FDAKECTLSFYGSGHEVWESTTYDTAAHYTAAVAMDPGATGVLHFLGDRKSIHQIADE LEQVYGKRPRLEHRGSLEELYTTMQAIARKDPANVYAYMALFYQYYCTNGQTYLKQEL DNPRYPQVSPVTFKAFMQSHNLETLNEEYQTVGTGV PFICI_11129 MMHLRNLAIAIGALATIAHGAKTLQTVTGWGSLESSAKVTVQLY VPDQVATSPAVVFALHGCGGSGSEYYQREANYGTYAEEKGFLVVYPSSKNDSNCWDVS STSSLTHDGGSQSQALAQVASYLQEQYNADPDQIFVTGSSSGCMMTNVVMAVYPDVFK AASCYSGVAAGCLAGSPGNSPADSNHTCPDGDVHKTGAQWAEQVRAMYPEYNGTYGRM QTWHGTADNLVFYANLAEQLKEWSALLNVSFTKNVTDYPTTGYTRMVYGDGTTLVGYS AQGVGHTVPVHPKLDLAWFGLS PFICI_11130 MSKSIVNSVPYPFHFGKNQVQKPGKAGELENVNVALSNVRGRTP SIQASRLRTMMIEASKSPEKILAHCCTYDGLTSRLVEEAGFPAIFLAGYAVASSYGLP DTGYIAMAEMCDKIQEAARQTTIPIMVDGDTGYGSPLNVKRTVQSFAKAGAAGIMIED QTWPKRKSHGCGHTKGKSVVSRGEAYARIQAACDARDEGQDIFILARTDALILGWEEA ITRGLEFKRIGVDAVFVEALPDRAAMARCVKELDMPVFANIIEGGQTENLSAKDLAEL GFCAVAYPWTLVAAHLKSVREALEALKQSMTIGAPPMILSYSEVCEGVGFNKYWDEEE RYKFDDDDLFSKPARRPAH PFICI_11131 METINIDSLVVGAGMSGIYATHRLSRMGLSVKCIEIAGDVGGTW YWNRYPGAMSDTETYLYRFSWDKEDLQTYPWSHHYVYQPEILKYLQHITDKHGLRQYM QFNTEMTAAVWDDTAKRWQVTLSTGQVISARYLITCLGILAKANWPKITGLSSFAGEL VHTSRWDTNINLEGKRVGIIGNGSTGVQVMTAIAPIVKELKSFQRHPQYSVPSGQKQV PEGYRDTINANYEKIYDELWNSAMGFGVPESTRKTMESTPEERQKAFQEMWNQGNGFR FLLSAFGDLLWDREANEEACKFIRSKIDEIVTDPRKAAALKPYELYARRPLCDAGYYD IFNHDHVDIVDLRETPITEIVPTGIKTSDDRVHELDVLICATGFDAVEGQHLRLRIVG HDGYTIQKHWENGPTAYGSIACPGFPNMFIVAGPQGTFANFPPVIELEVDFIMSCIEH AEKDTASPTAEANGKTANGASSDQRRTIMEALPDAEKAWVKHCEDLMAGSLLKEVPSW INGVNVAGRKATTNFYFGGLKGYSDWMKKEIADGLKGFQRI PFICI_11132 MANTDFKVIIVGGGPVGLTAAHALSHAGIDFVVLEGREDMFLDS GASLVLNPASLRVMQQLGLLPSLKAIGAEMHHIKGYDLEGNTFEDHYGFNMVKTNLGI GPFAFHRSQLIEAIYNGLSATAKEKVLAGKRVMDIETKSDGVRVHCSDGSVHEGSIVI GADGVHSRTRRAMRKLALEEDPLREWDAETPFLTSYKCVWCSFPRPDGSVPGDGFNTH NTDKSTMYISGHDRSWIFLYEKLPEPTRERRSYSEKEAEDFAATFADYPITDTLKVKD ALPNRMTAGMANLEEGVLKHWSWGRIVLAGDACHKFTPNAGLGFNNGVQDIVVLCNRL QAAQSDAPLDADALTKIFKDYQTERIAFVTGDESRSAHITRFHAWSDRIHYFISRFIL PFHFVQRLLLNYLTRKVASTALVLDYVSAEESFVGIFPWLHKMPKYVSRKLA PFICI_11133 MKLVAQTLVIAAVASAAENPIVALHNGPVRGTTSDYVAGVNVFK GIRFADPATGEYRWAHPPHPTSWNETYDAFEFGNECAQMGRDGTVTGSEDCLFLNIWT PEDFTNTSSYPVYLWSYGGRFSGGSGSDPAYDGSALAAKGAVVVTYNYRLGVLGGLAH PELTAEAEGNSTGNWFLQDQIACLHWTNENIQLFGGNPGQITLGGQSAGSGISLAMVY SPLASGLFQGAIAESGARAPHDPLTGSLATSHRNKTEAEAQGVAYLESLNVTSIEEAR QLPLETLLAASPQSDTIFVGTPFENNSAYMEPPVFRPVIDGFVLVDTYAGTLASHSQN DVPILTGNNRDESGASPDPRTLALSDYNASNNAIFAPIGLSDSYFQLFPAATDAEAGA QTNNFYRNQSLVSGWTWASAWAAGGGNSSVYTYFWTHAPPGQSSGAFHGSEINYAFGN IPYAPTLQGQSLNWTAQDYAIQEQISQYWYNFIATGNPNGGNLTAWEPSTSESKSTMV LGDSYGTIPIASDDVIEFITDFFDQEIAW PFICI_11134 MRSRSIIAGVSTAANLAVAAQSTVRNLYQGNGSTTWLENLRLRS NGNVLTTVIGPPANLLSFDPIQEDPEPVLLATFPSILGLSGITEVSHDVFYITGANTT GENISDPPKNATKVWKVDFNNVNGSIPTIELIAEPVLPLVTDFNGLTTFNESIILASA TFEDTVVAMDINTGDYWTAFADAQMSSINGIKVGQDGYLYWTASSGLVRAPLYDNLTL GTSEALYSGSYDDFAVSYDGFALAQNGTRYAYVSSNTDAIQQLVFDDQTGNVSSASYV AETTNTTTWGKATGCDFGRTAWQKNKVYCVTGGSLTTGSTLGGQLFEITLY PFICI_11135 MSPSWILATAALPAIVRAATLDSICNVDYAVASLPEDDFYPGIT IDKASVSTAATTGYTAESEWFPTTTIDYCNVTFAYSHDDIPGDVVHVSYWLPAPDNFK NRYISTGGGGLAINSGTSYIPSGVIVGGVSGITDGGFGSFNTQYDAAFFLANGSVNWE AVDMFGFKAHHELATLGKEFTKNLFNVTSCTKLFSYWQGCSEGGREGWSQAQRYPEQF DGYAIGAPAFRYGEQQVNHLTSNVIEQTMDYYPPTCELDKITNLTIAFCDPLDGLTDG VVSRSDLCKLAFNVSSTIGESYSCEASAGTPYSAATPAQSGVVTAEGAAVAQAILDGL YDSDGNFVYFSYQPGSTFTDAATAYNETTDSWGLSISGLGGEWVARFLEFENTTTLDS LDGFSYDTLRDLMIQGTELYNTSQQTTYPNLTSLNTAGVKILHIHGEQDFSIPTASSV RYYDSVREYIYPQLGYNESVEALDNFYRLFLVPGGSHCTSFSYEANGGWPQTTLQTVI NWVENGTAPGTLDNAGGTIDSICKWPLRPLWDADGTMTCVYDQASLDTWTYDIDAFPF YLY PFICI_11136 MLTFGRLRMYKKQLKTWNYHKNVRRQDIDAMIHAQNCREGAVGK GTTFYVSGKEFNLNRRLGSSQVPVTGLATIGSGDVHGMLPAWITFRTPSPEPYPPRLP DDLKLKEIVLHWFDEPEGKTIVSLNYPIIRTYHQGLRYFSDQEWEKGGFWLRLAFQSL PELISAPSPIIIFKLACLSVSYPDPGVYIHFWKFVASYMTIALPEEHYLRRLSAAAMQ CLDSMDVANHIQLLSDTMSTVLSDNPQLIFPASLEHAWNAPYPGCPGKVIIRCPEKCS LEALVQASIRDSASLLGIIRHRISILEGWGRSGGWYSDVIYRAALALLRLMENMDYTS GLLRRSQHCLIIVALHHKARFDANPSTNNPRMRLAISFLERAILLEPEYDNGSFDLQD QIQLLKAWYQAIDDSGGVQRCRDMQERYEKKLLEQMAEGRTSL PFICI_11137 MASNSHQTAGNDVGNHTSVHTPSGRLETTCCDPLQNATSDGGNE GGIFPGNHHHDAIKADPSLPAHPHIQSDFKFPHHQNSSHQANQPRQIPVRVEGGDVSK KGFQIHREEIRSLYLDQNLTLHEVMEHMRRKGFRAT PFICI_11138 MMSTDEAISRLESIGADSFKSEPERLQLANALLAALRRIQTPWD IACAHGWIEMTTVAAVRTLIDVGLFTKWAAHGSKPLTTNEFAKLTGADAVLLGRLLRH VAAQHFIIEVEENTYASTPYALSLGKDLALASIYGSFYHEVQLPLAVSMPLFLAETGF KNPTDLRDSNFQRVHGKGSNYFEFIASSPQRSLDFANAMDYHGRGNISQWTEVYDTDN IIKGADPDRPLVVDVGGSKGHDLEKFHQRHPNIPIGSLALQDLPKVLDGLTVDPTISI HPYDFFTPQPIKGARAYYLHRILHDWPNDKATEILRMTAEAMEPGYSKMLIHEDIVSA REPSVQTTMADITMMMSFSSAERTEQEWQTLIGDVEGLKVTKVWHKPHTIGGIIEVER V PFICI_11139 MNQDSRLHSRRLHYDRPFQRYERDGPLQWEWFRSRLRDAPIKVP QLDPIPRGFRLIDVEEKKVVRASGLGPIEYACLSYVWGNNEEFQSTKDTIGSFGEINS LGRDSVPATIKDAITICNNHLGIRYLWVDRLCIVQDDVGPDGEKQEQIEAMGRIYNHA AVTLVAAEGDASAGFPGVTRPFVPSRRHRSWNIIRPSRWAQRGWTYQEAMLSRRMFIF ATEGIYFEREQLGPLQPFTAWRPRDDYQPLYHYKTRYSSIVKAYTKRTLGNEADIINA FHGICCHMFDKHHRFGIPTNGFHDALLWFPSTEGSARERRPPQGCNVFPSWSWSSVRG AVTVHDEQTPLYHVAAWAFADKIDAAGNISMTFAKPRHFLSVAKKMLSQSSFIKYTMK LAALASRYGCTPATPMGVDDPHLNNEDQFVEMWPSSTDLWRASHGVDQNERPALAEQI PPEKKQLAAASGGHLLGFSQTLRLSIAPTSGGLDGGPSHCAVYIDNKLVGVLSFDDKT YLAKLRERSDKIQCIALSTMDNTWLGVKGQHWHTFGQGIIEALDKAAKAIGRYRYVFM CFMAIESHNKGLSRRVGIGFIPLDDWVQLKPTKTFFVLA PFICI_11140 MGIKLKLLFTCLWLGLATSQEYSPTPTICTIEANPSYTKATKLP DPFLLSNGSRLTTKEQWACKRSEIREQLQKYELGVKPSKPTVSATFSSSKITITSSQG DKSAVFTVAVKVPSGTGPFPALIAYEGASIPVPSGVAVLTFPNHDVAADDPRGKGKFY DLYGTSHPVGALMAWAWGVSRLIDALEQLGSAAMRIDTSRLAVTGCSRNGKGALIAAA FDDRIALAIPQEGGSGGPGCWRIVADIKKNGTKTEDATQIIQGDSWFATAFSQYAPDT SQLPYDHHMLMALVAPRGLLVIENSGIDYLGPASTYGCSVAARMVYDSLGVANNMGIS QASHGSSHCSMPASQNPEVAAFFNKFLLGVESDTNVVKTDGKFTWWAPKWIAWSLNEL I PFICI_11141 MALEGGQIATIVTSVIALVVSSTALLWSTLQLFHSYLGSAKGYS RINAAVMPGWVAFKRRVFHKAEFRFGVKYDTPVFIVCSVANENFPMPNQTSLFIGDDR DTQSQAEKDPEQKDVKKVPKKDDVSLTRQGERVQLIPVESQPQKGVHTVNNEQATWIT LLSALDKMEHESRKWETEQPAKNTPECPQPPPFHTRSLAVAIQRKTRSWDNMPSSITK PYATTTICHIMEMAAMLGLHWKEFDRAKENFLAEGNGFVLKSTSVSELGTVFTFQVRG ASKFEGNRTIPVHQVKDLAFGLVPTIYHKENSVDESRLTALSADEFKDLKYLQMGSAN ELAETLISFGCSTEVSELIRKNDTNQRHLYPLAFEVLGMLGKILHVKDSFFRTVPNPT FYQWDVRYFNMSEALKAFSAEIHTNPSLKQFNPSLARLRTQVENVKTILEKLGQADRQ QKVVNAEYLQSLHSALETCDEYLEEKQPNARRILSKHIQIILLCLNPKYGKDEDKANH EDKDVIDAFTKLNSAQPGDKEKELIRLYFSHVLRKIRGNGDASEHSVVVDTEMWCTLV FRSLCWLALHDFHKNDRQPKGKSELMGSRLPVYII PFICI_11142 MHSLTALASLLLASLAVATPATSPKNVTELYRFSNATETELENI AVRSNGQLIVTCANMDAIFIFDPSETDIVPKTIDTWPSGYSALGITEVYPDVFAVNVG TLNSSNLTTVGPAEGTYSIWTLNFTTSDMPVAQKFIDLPQAGLLNGLTTVPGSGVIFT ADQINGTIFRIDMATAEISITIDSPLLGSQPYYNDNKLALNGVRYHGQHLYVTDSSSA IFGRYRLNRDEWSWGGLELLGQIQQNGTTNYDDLAVDDAGNAYVCIHPNALSLVQPDL TQTIFYIDETEQFNTPASVAFGRGIQANDTLYVVCSGSIADDGAVVGGALYRIDLLPP SISSEVCAA PFICI_11143 MFFVLNTRTLMEVVIDMDTGKEISVEEVKDHHAPLDRTEMSEVK ENIARDPIFLEAIKELAVPKELIVLNPWPFGSEDKNPASRKVFCLTYHRNPATNDPES NYFAYPLPLAFYYDICEKKITHTHWCYTGSEEDGMKSRTGPLVYPTKEYLPAEILPSL REDGERTDLKPLIVSQPEGVSFTVNGRLIEWQKWRFRVGFNYREGPVLHDVTYDGRPL FYRISLSEMHVPYADPRPPAHLKQVFDFGDIGLGRSANSLKNGCDCLGTMKYFSTVEV DPTGFAKKISSVICCHEQDNGILWKHTGATGVATVTRQRLLVLQTIITVGNYDYVFAW QFDQAGAINLEARATGILSTAAIDMGKKSPWGTVVAPGVLAPSHQHFINVRIDPMIDG HENSIVQEDVYGLPISELNPHGNAFHVKQTPITTAGFANASPSTNRSFKIYNPNKINP ITMTPVSYKLVPHPSQLRLADPDAHMTGRAPFTQHHIWVSSYRDRELYCAGKFTNQSN GNAGGVEAFVARKDNVVNTDVVLWHTFALTHVPRIEDFPVMPVETHMISLKPYNFFTQ NPALDVPLSTQAFNKSQYVDVEEDDGCCAGNGAL PFICI_11144 MLAPTSNRDMDGEIAAKDKPLDKAGDDSSIRIVTENNKNPSGAE DTEANEVFKLNGQVNYRTMGWIKASIMFCKFQIAAGILSIPGSFHDLGAIPASILVFV WGGLSLYGALLMGQFRLNHASIHSVGDAGTLIGGKILGEVVAWMYFFSWVLPAGVTML SVSIAFNTLSDHALCTMTFTAIAAVLCTIPALMPKFGQIGVLTWVGFVTLYISILIVV IAVSLQDRPAAAPTTGTYDLEFYLVNKPTFSVGLAASNLILTSYGGTTAYIPASSEMR DPKRYFNRAVYIALTITTLSYFILPLIVYKYCGQYVASPALASAGPLIKKIAYGIALP GLAITCTLFLHTCGKFIMVKLLRGTRHLQEKTWQHRLTWLCSVVSITIVAFVLATGVP FYSELLSVLVLFTTPNTISLPMLMWFYDNKHFKRKTTWYASVIHGIFLVAGLFFGIAG CIVSCLNINDLYKEGKAGAAFSCADNAV PFICI_11145 MVSSPETRSSTSSLPPHNPTLPTTRRIWSREEDEALIAAVAHYG TSRGHRSNWPRIAAADCSKRWFNSLDPAVKKGKWSQEEDDALRRLYAELGPKWKSISQ RLPGRQEYQVAKRWGDVLSPDLASKEPWSPLEDALLLQLYETHGSKWSIIAESLTGRS PVACRNRCRKYHGQPPAKRNNASPRTLDVGTSSNPDQRCSPIPKVSTTTTVQETSSMT STTTAPPVDPPMPNDLESPRDWQIGYPVCESTQAAESPTGDQLLNRWLVDIEAAITKN GMGEAPLFDTASWDQTSTHIENSESAEQLHAQEINMNEEVNTITTGSTCDTNDKMIQL GFSNVDSSIPITTLEGHYNVLSPSNEVMAREVSISSDARKPLLPPSTPRGRPTTLINP VDNVWSLALALQTSQTSIHISTKLLRRLVHDAAYTLCAADTSDVSGEAVAQQ PFICI_11146 MPVVQPGGLVLITGASGFIAAHTIGVFLDEGFRVRGTVRSQAKG EYLKNLFKKKAGSFDYCIVEDISEVSSQVESTGIGKRGKQEQ PFICI_11147 MSCQPKSNIREIPTIISVDPSKPPLTEKNIHTRWHPEIPPIATI QSGDIFKVECMDFCGNAIANDDCADDIQNYNWHQDHHLSGPIRVETAQPGDVLAIDIY DVVPFPDRMWGFSAVAPGKGPLDHKETRVAKSIWDFNGIKTTSRHVAGVEFAGRPHCG VFGTCPSYALMDEWNRREKVLNEREGHGEGSTFFAELPKEYGAYVGQDLPEDLMTRIL KEGARTKPAREHGGNIDAGNLVSGSRIYLPVYIEGANLSVGDIHFSLGDGEPSCAIEM AGIATLKVEVIKNGVELFDMRARIVIPSPTEVQYRSQVIFHGLSVDPYGEQQKANATT SYMHAANSAMNYLGKLGYSHEQLQMLLAAAPIEAKILATPNYPHANVSVGIPIEIFED DIRPSANGLVKKDRGSCAYMTPEKEAEWKKTFRAPPNCYSTCEDQPLATRLR PFICI_11148 MPDDSLFAPLTPTGQSEPGRSENHLDSQGLSRLRHQLQAVHIGG ALTLDSLLQYCFILSNGQEPEPPLLKQLLDWIAASAEISVLKNFFSIKSHTVLAVWEA LMKSAINLKRQDVVMFSSMYQY PFICI_11149 MCSTTATTGGDTNTKKVKKRRSKANRTTSITCEQATQDHEIRAV GAQFTYYGPNSPGSCHDLPELDTNICLDKEDDLKFSSDTYDGCF PFICI_11150 MQINIDQGSDKWIMLQFPSHDSQKHFGFPGIPRLVIGWRVPLPA EAGETMQPELFLSTLLDVWIPEDDIDLFQQFLQTLRGRWYELCNLVDERFVQCRSDQL QAEGRSPEMMRRLAKDAQRLANLRLAFRGHLKTSRDYILAKGANSRHSDSARELLLDL QDKIGGRLTDLESTIKELLQIEFSWAAITESRISTNLGQNVMLLTYVSIFYLPLAFCA ALWAIPNLSERATLNSFIITSVVVGVATLLIAFHLENIASLFRGKYSQWREKVVEDMH KDVRWETRADELQNSLQTRSSPSEWLLVIFLLSQLIRKLKGERNTQKGQPQSV PFICI_11151 MTRLNTAAFNRAIREKEFGKARLILNDWEGSLDEVDWDDATKEG TKMTALSCAAEAGSMELVNSLLERGANVTADIVGRGDGEGPVVKAAKNGHIDVVELLL SRGVNADLASDSPALEWVAKGLDYMYDRATEARSYDMVRLLVSHGARVDNSRGFKLLA EASAKGHLDIVKLMVEKGANVERDNEFVAFTPLSSAAKFGHLDIVKFLVEKGADPNCH DYAFHTPLFLAAEHGHFRIVKFLVEKGADPNGHKHGYGTPLSSAARSGHLEIIEFLIR KGADPNHSSAYGSALSFASQSGHLDVVKLLIEEGANPDASNNHDQTPFSLAAKYGHLE VVKFLAGLGASSDRPDKSGQTPLSLAAEYGHLEVVKFVAGLGASSDRPDNSGMTPLSL AVKSGRFEVVEFLAENGADLKSSAGSISLFLAAEKGYMKILQFLVGKGAILDSVNHDT EQTPLLIAVVKGRMEIVKFLVQRGADPNLIDRSGQTALSIAVENENFEIVEFLVQHRA DPNLQVAFEETPLYVAAKSGNAQIAKFLIQNNADPDAICSVERMPLRFTDSADYNEFV QLILDQIHGRVPGGTTRPPEGSLINKYKDIVECMHNGITPSSGYNIHSWLLWGARYGQ SAVVDSAINADVQLDICNTHGQTSLSFAAKYGHHDIVHILLDRQARVNSICTSGENHG RTPLSFAAEGGFLSITELLLSKGADPNIKSIGKNWMGQNPLSIAAARGHWEVVRELLS AISPGLDVADAAGRTPLWWATSCGHAITVERILHHGVSVDLQDKDGVTALSISAANGH DEVVRQLIGRSNLSIKDNEGRTALWRALVNGHTEVAQILIKKDDITLHCLVQAKDLTS ARVLLENGYDVERVNSEGLTPLRLALCLRDSDFAVLLLKHSASSRNISVKEWVDTFAG NHSDIPELSVLQDGSGQLRLLQDNSSTTPTNAKKTIK PFICI_11152 MKSAIAAILLPLALAANINSRQSTDIEFEISGFTASCMKEQDYC DYGLGKIVSSNNPEFGVGCDVPGTSANGTLPAVPETQCGTYKVTVDRADDGGLLLTVY SDKDRVSGTHHIVASDLTIDVYQSYTGATSFTIDASSSGSSSTPSTTITVATTTSSTT AVESTTTSATTTESSGSATGTTTSSSPSSSSSTDTSGAIRKRIPAGVVGVLGLVALMF PFICI_11153 MVNQQAQEAIQKNLDGVTGDAATGIPGLVFVAVGKDGQQIAAVS SGKKGIAHDAPMDLDTVFWLASCTKLLAAIACMQAVEEGAVKLDDAEFVYQHCPELQK VQVIGDDGKLHPKKTDITLRMLLSHTSGFAYEFFNTKLRDFGRPIGYDVFHGDERDIL RMPLVHEPGTTWEYGVGIDWAGLVLERATGTRLNDWIQTRIMQPLGLSGINMFPTDDM KRDLAYMHQKWPGSSSSQSSAGAEERDHMYREPLLAETEADKARLLHSGGAGAFGRPR DYVQVLVALLNDGTHPNGAQILKRETVEDMWKNQVPDLPNFARQGIPAAKSEQTNPIP ELYPQEGNPPQGHGISFMLTMEPGMTGRGKDTAWWAGIANLFWWCDREKGVAGMIASQ VMPFADGNVLGQWIVNEGEVYKHYKS PFICI_11154 MGLSFLGLSAVGWHDMTDSWWDQLIAAFLLATFVLGSGYIIFNV IRYFMRRPSGGRMTAVSRPVARYLQRMRKDYPTKSASLAKSAEEEELKTFGVFLGGFT SPPTLAQQKILSKWQVLVVDPSQPGVVDTLSSYPSTAKEILARVDVSAVVATDASISS ETVIRNLETVIQTLRNAATGPFTGILLANFRKHFHPAVVSELARFVSTLNSELWLELS YPEYLSEEEATATDMNFIRGVIYRNGTMRPDGDRQNFFQMNEMRTCMRAVAAQRAAHG LLLIMWETVEDEAEIQYAVATRTYNWCVFNSALCWVGHNDSMFNADIAAARSLEAKPL GALMWLKNEENMKAHDTWRVNDTIAHTSQNHEELYESLRDFIPDISARLQLSAPNKLR PASLESTVSRRNAPASVLDVKTARLAVFKDEAGTGLGCFQLGHEANFADFDELRRGQA KLKELDLLAKVEGSELEMALAEIQGLHGSERLSPTASQAVTALIELLSASIAQPENPR IQVFSGLHSGFQTDAGTQHWGLYDVEPESDRVVLYLSNKAKDRAATILHTFLSSRQCS RIECFMAEQSMADRNGKLSPTWKLPERIISDLQQLSPAETVQLLMRMQSDKAKSKFFA SICDCMEYQLLDTPMLAQQRALNSVAYLNGDVSIEDMVTSRVNWLAEKGCHVPDTAEA IRLFQDVDTRLYSVLIEVESDIYSRLSNVMQRLFHADVIDAGADILALAVFSAFRKLA LDEVYLEVMDRNVYPNHASDQAACFAENFALGSRCDSFFDMTPRAIGKIIAERYRSYY SKYQPPRRDDVFTELPTAYAAMQADIDPEGGEEKVSFTYRVTFFGIFAVPALIDVLLL TTIGRGLYLTTFMTSEEKTMATRALMFALLLSGAFGQWICSGGSYYFYANGFPAMNLF ILTRFAAGVAVCILGAIIGFIIVISSQTTTAALIFLFYFIMLSLYMLALNALSIYQMP GSEFLSGRTTIITCIPILFISPIVSMAVDNDITVYLPVLSGFLLILLWRAREVISQWS SWYLKIPFVKDSEVISWYREHLGSEAHKLDGLGDNQVMPMARTAIHGVVLKEVNKWFF TKSTKDPLIKKLADGYNSTMFLMRWYCRHKRSWMPLPYSTTWNLTLKAGMENMTNMQK GLKLHSAFLHWRSTGKDIWSGLLYFVVALLDKWAALVTGGNLVGLSAASSEVFRLGVG FGLCYYLFGAVALDTVSQPLWTAANEKINKPITSLESLKQANLDDILARRRLYWRSLC KFFFLHLWGASLFACLMWVFQSSKDDAIMFMAYIGAYSGLLWYQYNKIFCGMDGAKPL AFASIIGLPIGIALHLKLPEWAYSGVASLAVATWAACIYSLWISNIGMPTFIDWKTKY RSLKTDDTSSLVITYSASSLEPQPDLSQTTLKQLFQSFTSLDPEDRYTLDPAKHPGKR ILELLSLQERSRTPPVLKASFQSAQRYLACVAQSWLAGDVVIELVSSRHMAAGDPKVR SISRKAGDVIHIIVILGPDRVNDEWTLNVQRHWRVIAEAVVNSFSELQLGMTRGEATL TELLVTDHSSSHELSIPEGVKRQLEVCPLERARVLAAGETTLLRYVLLGIDCEREWET LPESVRSFLLKRCCGSVGTFTNEVENWIATKINSQDPRDIDSHIARCDLGAALTKSVL AYAKAVESRGFDREESEYITPSDAKKLFGAPVVPEKKSVFAYPKKVVSTFFRGLNLCI KFLIISLTADIEYQRELDFVLRDAHPVVRWPIMVFLNTIWSYCRILQTIIVPPVLFHR HEHVSKLEQLMRGMTTVLEKNRIVTETFSGPSSWFWSTQADGTLRVAQYAGKHDSEPT ESKNLKAVNTYNNKLVLQEREIYKKGQVANVYRYDYDTPSSKLPLQRECIRGDLDGEI VQYDHRGYIASGSAMRGENRVNWKFWYRKNAKHEDELLWAEYTFPHITIKVLWSMPPR NPQKKLDQWIPFSVVTEATFIQGEDTYHASWDFEHKFHPEVSVTLNGKPIDTPAMIEE DWFHVLKKPENCSFLSENPLISFSSIKTNPVSRMLGLNVKRYPIPTAVARTQLWKAWK DGREVDAISARWLDEKLLRADRIMRPYWRRRDFGRLEAAKTYIDEQADTIMARVDVDP QISSWVHIAFKMSDLYSFGQGGESRINTRHLSSQLIDGEYDLHVLCMDTSTWPNDPGG VSACRRDMVNDLKTIKWHVLAESAHDYGVPRFQIERNVQSLTILPLWGLDFLNPIHGV LESSLDTAVVQRSFDTHTDDIIRNFLPILSSLVKCSRTINLTREHVEEATTALLNLNT YFETSRNWNDVWSHPVVKEKWRELWLSEDVTDALNISSWWEFEMPTIKQLDDALNLWC RYLFIISLPVPEHIPDVFQASHHFTGATYGIVCKQKRNVSLHIWDHCISFREFTTFMS SAVSFDAPFVNSSLIQLTHLSCVLLEHHADVVLPCCDYFNPGWEEELGTAEGAIEHRR TFKRKIDPVVNGICNMEKFEPTKEIKTDQPTVVMLSHVQYAKDIKNAIMATDLIVNKW GFRDYRLHIYGDQERAATIATECQELIAAKNLQDHVILKGLGSPSVVLQDAWLFLNSS ISEGLPLAMGEAALTGVPVVCTDVGASYCVVTDRATGNRFSEVVPPNDSESLARAQVN ILALLGRWAEHADDAPGDEVPVLEYPVPSPESVKKVSERMYAKRESRRALGMLGRQNV FNNFSSDRYLREHEQMLWIGKLRSPAHRARTNPGGAATPSIRSADLWFTKEAKSPYAS WSRPVSRITPESWISLTSEDTRRGGWLSASSSTYNLSMKELFTKEKPGKSNLSVVELG DTL PFICI_11155 MNLQDKLPKAWRRKRWFWGLLGAILLVVIIVIVVPIAVIFSRKN HKTTYGAMLIVPLYIYPTDASTWQPLYNAVESRSSLNFTVVVNPASGPGTDLVPDEQY YSAIQKLNSYSNVQTVGYVRTGYATRDIDTVIEEVKTYAGWSSNSTSIAMNGIFFDES PHEYSEDAVEYMKNISSEVKGADGLFGDKTVIRNPGTVPDSRYADDNVDIIVVFEDNY DAWQSRSADVEAAPDDRSEKSIMINSVPEMSDDDMKTFVNDVASLGEHLFITSNDANF YESWASDWSNFVDATQSTN PFICI_11156 MSTTNSSKAWVVMPLYYYPLTNTTWQPLYDAIAAHPDTNFLVVV NPNSGPGDLPLPGHDYVREVPRLNAFANVRTVGYVRIDYCRKPLRESMAEIRQFADWE VGHNIPGLHVQGIYVDETPNHVSKERSEYLDALRLYIKHVNGLMGDRTVVHNPGTPPE GDLSSFGNPDLVCISEEPHQLYIGEGVQKRLAELPLDRARSIYQISGIPREKIRDAVH ELCKRGQYVFATDLVDDFYESFGPSWQDFISAVDQSEK PFICI_11157 MSSIPSSSESSPKLRSHSPVHFPSTPATEVELDHFHGGFDDVVF RARANQYVLVTGGLGFIGSHTSVEILKEGYNVIIIDDLSNSRQDALQGINTIAQRHFK HLPNRKCPKVELHSINYRDDAAMRDILDAHSYKSPSGVIQRSNIVGVIHFAAYKAVEE SIRFPLKYYRNNINGLVDFTTLLEEYSIKTFIFSSSAAIYGSLAEAGVPLREENCVQQ CEQGREEPGYENLPQAGCIDITNPYGRSKFFGEAVLSDLVRSDPSWNIVVLRYFNPVG CDASGLLAEDPRGPPSNLLPVVTQVMTGKRDVIKIFGGDWNTADGTAIRDFIHVSDLA RGHTAALSACRHGAILDGYRTYNLGAGQGNTVLEVVHAMEAASGRSIPYEIVDRRAGD VEYSVAAVSRAYKELGWETKETLDTACRDICNRLNIGP PFICI_11158 MASDLSILIIPMFGMGNLHLPLKKKLLAGWVFAIGIFAMIAATF RFYYGIKLVHIIDATWATMPMGNWNCGELMAGFIVACAPFIPRFVDQVFKRKHPDASA SLQIHVQSVFHVATNSATDQQLSHWARLQLDRAQRTRRRAL PFICI_11159 MSSDQTTPAMKAPEGESYNLVDPFSLRHLRFVVGGVSLGVAFIF VFMRTYVRILMKQLHVEDCMYYMDKRLSLIEK PFICI_11160 MTTNGTNSYGRRLLPHVVDELRDSNPTRVYTSYPKTADVRDGFL DFTMADFGRCIDYMAAWIENKFGKSDSFETITYIGLSELRGPVTFLAAVKAGLTNTFQ MLIPSPRNSAVVNQSLMEQTGSTKVLFAQELAPLIKPLQDLAPSIRTETLPSLQEMLD SDPPRYLFSKSFDDARNDPIAVLHSSGSTAGMPKPITMTHGSFAAVDYEHNVPAPPGR KKRDTTFFAFEEETRMFLILPFFHVSSFLFTSSWFLNVTFVLGPPHIAPDVAMLKEMA RQQELKGVMVVPALLQAILHDPDGMDFLRSLKFAAVAGAPAAEAVGDKASTAVELFNW IGSTETFPLPELHKPREDWMYHELAMKHEMQLYDSHEGTYELVILADETNKDMCPLYH NLPGVSPYHTKDLFVKHPTKPNLYKYFGRRDDIIVLANGEKVNPIPLEQYIQGHPSVL GALLVGNERNQTALLVEPKESLEKSATESFLQTLRPRIEEANARIPGPGRVAPGKVIC ATSEKPFARTAKNTIVRKVTERSYESEIEAAYSATPPQPQMVNVSLESTVKTVYEPAK LLNFLRLILAPSFPPASTIGEDEDFFAYGLDSVQTLEITASLKRNLQAQTSTSFAWLS PRVIFRNASLTDLSKVLVAFLNDNVLPLEDAQAQKILDIDNAVARHTSGLPSRLAKQS NSGKISTVAIIGSTGYLGSYTVAALLKDVDIAKVYCLNRSVNAKEKQNAALVALDGAL EPFLNKLTYLKVELGKPLLGLSQDQYDLVANEVDAIVYNSWRLDFGIAIRSFEPFLRA ARDLVDLSISSKGKLRIIFISSLSSVENLANETFIPEAPVEDARAALNTGYAQSKLAA ERILITANRQTGVPVSIVRVGQVGGPSKASTGVWADQQWISAIVRSAKNLGSFPGTVV PIDWIPVDTVAAMLRGFMIADGQADVQVFNVCSDKPQPWSLLVDIVRETFGITQIVPL RDWVSQLESIDSPSSEDASRLPALKMLDWYRTLGDGTDTINIATSRAREISKVEIPAI DKEVLTSWLKGWNL PFICI_11161 MDSSCNECIKGSIHKGQPVGQEEVIHGLNTYVTGNRTSARGIIV MYTDVFGLALPNNKLIADALAKSGEWLVYMPDFFKGDPVPLKMADLLIPVDAANQSTL CKYTGLLASAPSFVLWMTRHKAGPTDKTCMDFLRSLRRATPRTQKIGMVGFCWGGRYA IRAGLTSNMLEMDGAEKVPLVDAVVALHPSNMILPDDVAALSVPVSYGWGQEDTQVSI EMKGKIEKIHASAAVPEMEHKVYKPGRHGFAVRGNPDDPQERACLEDSITQILTWFGK WL PFICI_11162 MRTSILFAVAAAAGASAQIRLPFSRQSYPRQPSTQKRTVTAELF SADSRYVVNATVGTPPQHVSFQLTLSSDQSWVPDAQYCNTDSYYSYYSKYEGCIGGSY NHNVSSTYVNGDEDDDTFYVYDLSDRYAYGKTMNETIGFAGGQNVSNLNLGRASEADT WGGVMALGYNGTYSYNPNILDRMLALGFINSTSYSLWVDQEDAETGHVLFGAIDTSAI DGTLKRFSVDRSHYDESSYSWSYTNTFSASIVGLNSSSSSDGSFAPVISNTSALPLVT LDPTSSLSVVPEEVAHAIWDLTGAHYDELLDIAIIPCSSRDTLTEELAIQLSSLDEGP VFNVPLSDLVMADNSLSDIIDYYYYYSTDEETDYSDYCLFGVQMTNDSSYTYSRYEDN WVLGGGMLKRQYMVFDLANEDVAMAPIKFGGSDGSDSSDTVIAFSMYGAKIPESTGED SKCYADDEECNGSSSGSGRSGSSSSSSSSDSDGWNEQSDYIGLIVGFSILGAVMLGIA IWGIVKCCRDNNRHGTDALAADKETASPETAPAAASPATQQVAHNTTAPAV PFICI_11163 MASLKKVIGLVLSAAVGSIAKYNHLPQARSSITLPSQNRWPDGL HLAVDYYPSQWPESSWESDISQMRDNNISYVRVNEFDWALLEPIEGQYNFTVLDKTLE LFQKYGLKAIVGTPTASPPNWLTQKYDVNFVDRTNTTLIFGSRRHYSFSSYDYRNLSQ KITQKLAEHYGNHSAVVAWQIDNELGCHDTTRSYDHNAIARFRIWLQEKYGTIESLNQ AQGRVFWSSQYESFEAVQPPFLEIYTNNELHTLDWYTFSSDMVIDFAKEQVAILRQYA PGQAITTNFMMAFTDFDHYKFARETGIDLATFDQYALNGPSTFSWLSSQELFDTLRTG LPDWQAFHHGLYRGVAGAAYNTTSGPYGVMEMEPGVLNWGQYRVSPWEGMVQLWTLET FAASGSMVNYFRWRQVPYAQEQTLSGLHTSDYVADDGLLEVQQLVQEQLPTLRQEVTQ EEAQADVAFIFDYASFWTWQIEPYSGSWDVKSAGYTDTVVSYFDLIYIFYSALRRLGL SIDVIGPDQPLDGYRMVVAPSLPIVPEAFQSALKSFDGPVIFGPHTGSKTAEFANTPG LNPGDGVLGDRLPLRVTRVETPPSYAGSGVLYNGANYSIDGREEWISCERANLTSNAT ISYTSPHRPGKPAACEKDGWHYLAFKPSSDLLVSYLGDVAASANITDLLGRTADKAND LGSSLRLLRRGDLVWAFNYGTSPVSPPSIGDAQLIIGSDGEIPGAGVVVWKLAS PFICI_11164 MGSFKVIIIGSGLSGSLLANGLAKHGIEFAVYERDAQDSKRAGY QIRFGENALIGMRACLQPEHLAAVVKHIGPNSKVPILFDKSFRKFLDLNQLPTYSKSV PIDRIVLRDVLADPITKLGKLHYGKKFVDFQIIHEGDKELVQVSFDDGSTDTCDLLIG ADGNRSKVNEMVGLNNIKPVEKIRALLTKCDLPLSLYNTVDEELLASPIATLQDGKLM YFCAYLPKDYSSKRDTGDTAKLDQEHSSCMMSLCWPESMSDALETMTLDQRWEAIARV YSDWSPKHQQLLKLCYGREMFILSPRVGSKPSINWRREVASPKTPTAGNAHVWMMGDA IHPMLPNRGMGGQQAMHDTADMLPLIRGLAEKASTPQGLEYKDFEAAVNQYETGMIPR AFGWVKKSGGANFVPLNLSRLIDRIFLRLFSYTIPVARFGHWFLSFFIETRNLSDAPE FA PFICI_11165 MRQCAALRRSSATCRHEFIRLYSSATQPPQHDHDATNERSESFS IDPDGKTVKTAVGDLPLSPVMDPTFWEARDRFKQQKPKPGKLRNVFERRFQKNPFAQA LATPVRYDSMTRLRLPSFFLQDFNVVLHPETGKPWWVSKSLALHQPPAEDAAAEADDA VAEVTIEDDMGGVAEAAVDLSVKPVDLPGPQDAVSSATQSNEPPESTSAGPSTYVLAR QDVIKSMAPVKKSRRNTAPEGGNSEFYRKFFGSSNSKFKPLGAKAIWREDMDQFVLQQ MREQVVQDILYLSMMCETDKRYYIVKCFGWDDVKFKHKGAVLWFGHDSEQVKPGPFST FDLSSEKGKVSLVVHNMPMLLGQELADKVKMQGGVLQDGSIFMLAGRRTTDLQLRLWK LQGYIYDFNGLLMDASLPSRAD PFICI_11166 MICQRAIWRQCRQVASRQVRNFSQFPGGPSYPPSAAIPLPYITE VSERIICLNGPVDDTVSASIVAQLLWLESDNPDKPITMYIHSPGGSVVAGLSIYDTMT YIRSPVSTVCLGQAASMGSLLLAGGEKGKRYALPHSSIMVHQPLGGTQGQASDILIYA NQIQRTREQLNEIFRKHLNQAHGTDKYGPKDMEEMMDRDRYLSAQEAKDIGIIDEILT RRPSEKKEGDKDGPEKS PFICI_11167 MSTTTLTLPSDTAEHGNLKQSHRIKNASFNYYSLDTRPELDDLS IFNGTSTDTTSRDLPVVDLRSLVEPLSSYQHETHGFQILHQPLPIEASHASVHDASVM SSQYFPAMVSLLKERFQLRSAVIINHTLRDVSSAQEDHHVDPKNPRPKGKSLAPFFLA HSDYTPAAARAHFRAMTPQWFAETDTEVGTTQAERDEFFRLREEIISAEDAAMRESGL TPDGTDGVNGKGGHWEWDGTGYTGPRYAMFSIWRPWETVYRDPLAVMDTRGLDLQYVA LPRSYKHRPGCVKEYYNENVLVKPPEEGDISSHRWCYISEQKAEEVLAIKFYDSEVLR SSAEKPLMCPHTAFHAEGMEEKPLRRSCELRVWCIW PFICI_11168 MAASSRESTATLRQESQGTLYQDIAPDEVSVELLNDDSDHPSSR RLIIAVDFGTTFSCVSYVALEEDDATEYLPLDRIKTIQNYPNDFDNNNDDPMSKQVPT EILYTLNQTCRDTSRADNPSSEERMDDLTNEENSSSSDAPVSPMDVDEEGNDVSMGWG YDVHDDRRTSAVHNDPNKKLLNRFKLLLDQSPDTDYIRAELRETLTLLKKKKIIKGPL QVIADFLTCLLQHTKSELLQEGFDDNWRKEMVLCVPAIWSQKACRDMQTAMAIAMERT NFGGVDAQNNSIENLFIVSEPEAAATYVLASDPDIQAGDTFVLLDAGGGTVDANTYTI SRTTPLRLTREVVEPGGGLYGSSYLNEGFKRLLHDILKDEEYLEDAHERDNSRETIEG IIEKITINEFEYRIKRNFNIYDSRINKWRRKFDVSSRLRHNPVKHFSHGGMELPMITI KGLFRTLLEGIATVMEDQINRALQKGCRVEKVILQGGFAASKSLQEFIRRRLADLTQR TGHTIKLTKSPSSANTITAVASGAVLRAFNKEQGPRRLARSSYGILRTEPFGDYPDEH KGVKPSYDRHDGLPYVKRTIDWVLSMGSEVPPIWTCRPFLCFHTFDCWPVRDLICKEE LYVIDRAPRSHYRLSHEMNQGAEKVGEIVVDFTFLRDQGLIQPIEPVANPNGRIVGTR HYKVNYTMVIRVVDRDLQCYAIYSGRVHKRCRINIASAFRPGVK PFICI_11169 MVGTSRSSQSGSHQRLWDEEYVIQLEAWLDYCLLWGIDFKSSII SHLEAQTHRTFTLQQVHRRLTLEWNHLGHADSDSVDDLYRQGTACLAYLTDSERAVIE RAVNDLGPPKTESLLKDTSSTLRSNLGSVPIDHQSSHDSTQPNVPVVATTSTAEDRLS DTSSDLSDYDERYLQEDFLKPQSIRGNSMPFEPRKVGTEVSRTSSPLFLQVQLTEPTE AQNVGFPEVKTEVSTTDRFLSTRASPTSVGNPEPEIATIEQELSKVKEKLRASESKVN TLQNQVFDMTDRLYRAQIEMEELQHRNRAAVDHRDDAGWPVILQEENTALRKHVLAMQ ASREDIKKFECNGLGPSDTNVEKQLSDLCSNIRDACNSFGPDEVVVDEPLRETEFDPM SFIVSNWAETASGHDLSRLLRQSSELGVYRSDVLRSLVAAGICKLVFESPVSHLSITE SPILRHYRKQLLSRGKFSQLTVTLDLADKEDFRWS PFICI_11170 MCRAIQIALAPVTATSSTESQPSSNAIITDDEVVVDTLPMTLLE RAIQCAIRLRLDLLLSGKYYHWVFFKPGTNFDFKTMSTNSTPNNRSYVYTKKNKSRKR QRSSDDLRVGGPIKLCIFPALYEDDSAKHLTSDCGLLFWDSFDMRQHSSVDLQGSRLI SPAVVLV PFICI_11171 MHSAEEWLAMAPVIKKLYVEEHRKLNDVMVIMERQYGFKATEQM YKKRFTAWGLSKNRKRVPRAATGPDGLKVQQYNRTGLMRTTAPGEYFLTLDKELLGHY PAETALYSVAAWANGNFDGTHWLQTNKQLSLWSASANGPATYPSTQMFQDIALSQALL ERRQGRLAGLAVRKAFWQLEEVIKIGDPALLRNLVDIVFQMIQMKHDTLLRMLLSQLT SLANHHLPSLHPLVQFFRQIDKSNNDLAILIKMAFRCFVENFHQRMNDNFYWMYDNWV WDSSIRIIDSDPEGDYKRIMEAFQALALNTEAVESSQVLRSHLGFLTKTRLMRSDGFE KTSATDVLKILNQEEDELDAAAIPKDPRVHVYMRTAAIKRAIDKQDWDKVSETMQSNI KRLEMIYGFESREVIRELWSLEKVMRKAGNVEEADKIEKDALRRIGVYLSEVPGYMQ PFICI_11172 MAVNGEPQSQSRASRAYSFGQRQVDRVISPQSRERSYDAVNQFA TTRPILFAFIVSQILFSTLPLALFISFSLSTAAFAIASATLFSVFWIGVGLLVLCPIL FITSGIAILVWAWAIVTFLVGRKVYQMLPVSMQGDMQVKMPNGKKVIFQKERSNDSNG LGFDNIDIKEEIAEVKE PFICI_11173 MGGGNLHPGLPVPNPTTSYWQIPPHRIAEHRTTPELPSDALDYV IIGSGITGAAAAYKLYARDPSASILMLEARTAASGASGRNGGLCRAGWWLNYQRYAQA FGEDEALKFARLEEQNVADVAAFVREHQVDCDFEDLDTADVYLTEAAWAEIKAVLELR REVQQRRPDDAPTGLKEVFEGEAASRRVGIPNVVGAVTYPAHTQNPYLLVCKMLELSL AKGLNLQTNTPVHEIIESPRRDDLASTWTVKTSRGDVKTKQVILATNAFTNSLHIGLA RTGFMWPSRSQVTAIRPGSKVADNPAMHMNICLNDAHSGDYFHTRAPHLRGAGDILYG GGRFLSPSREKGVTDDSKVNPKIAEYLRHAAANYFGSEAWGEEGAHMRDWSGITCYTP DTYPLVGEAPGQKGLWMSVGMNGHGMAMAFRSAEALVHMITTGEEPSWFPKTFRLARA WSNSTIDLRQMKVDEDKASYASTTTEKKVQI PFICI_11174 MTPGILPGPPPDGDYYWWIGGAMWGTLLDYRYYTDDKSYDDTIM QALVHQSGEQHDFMPKNWSASMGNDDQAFWALATLVAAETGFTDPAADTDLDWLALAQ AVFNEQTDAGRRTYTGSCSGLLRWQAIQYNNGWDYINTIANSCYFNIGARLARYFQND TLANVVGETYDMLVGVDYIDAEGNIYDGGHEEEDCKDINKAQFSYNAALMIQGLAHLY NMTEADTWKEKIDLLLPRTLEFFFPNGTAVEPACEFGGTCTTDMLSFKGFLHRWLQST AYLASYTAEQITPILKSSAQSAVDQCTGGTNGRMCGFKWSSGSYDGTTGACQQMSVLA SLISLLPTPETGILTNTTGGTSKGDPNAGSDLDSHFEALAEITTADKAGAGILTVIFL GMGLGAYVWMSI PFICI_11175 MGREKKKDCEAPLPACPPLPLEVWIDILGYLTDKAHLPTSWQSC RRVNRLLKTAAEKAYLYGRIPKCKVHLQIGHRRIPPRQGNFVERHRSVSVPLRFDKMS PDGQRVYFCDPDMSPPPHHRGDLDDFAGDARVVAECRRSGSMALAIGRQAHGISTYEP MLNIPEGEIPGIAMEIDYERRIVSYLWKPLLSTFLCNAHDESPYVFYGKHGKQIPGRV IRGI PFICI_11176 MGSLPVRFPASEERIFMLDLAHGSKETLVDDSDWASSYDSTTIN ESDDDEFFTGKDVQVSFHKETVMKKDGRMKSLLTMALAGKNNVPTGENEAAPLIKTPA KKIVSKPRKVRFSEDLPRPDNGPEGGKKAVPSPNEIASRPRNFQSSRESAKPDDSKKC YEHSNLQPLGFVDDKTCIYEKTPRCLGLSKVHHSRCLCDKRFVAPCATRLRENKSWYD LIEQFGGTTF PFICI_11177 MSWAGFKKNVNRATTQVMMKTGHVEKTNDRDYEVEERRFRTMEA ASLRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGAKDGVSRSYKQAVEDLDAET IKALDGPYRTTVLEPINRFCAYFPDVNECIKKRGHKLLDYDALRAKVKKLAEKPDKDV TKLPRTEKEMEIARAAYEQLNEQLSSELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDADTRDQYANGQLDGRVEQVLQEIRELSISGTV PFICI_11178 MAPPRFLICSLGNPLPAYNSLHSAGHFALQSFQRFLAPTQPPWT QAKRGGLECLESHSASYSLMQSPTLMNSSGPWVANVWQEMLKKEGLETRDLGLVVVYD ELEDPLGYTRLRSWNTSHRGHNGLKSTKAQLKKEKYPGARWFRISIGIDRPSSRERNV VADYVSRKMTDEQREIIQDNTGPRISACLGKLQEGWKH PFICI_11179 MTAAAMSLASLTRTYENTCPATESGTLRLRGAPEVKDGHLVIKP VLVSDNSVFGAEVSGVDWSQPLSKDTVDQLVRVQDRYAVLIFRKTGLDNERHIAFSQQ LGEQEINPFFYGRENDRIGEPFLWDVGNINLDGTLVQPDQRRWQHSLGNALWHTDSSY HQQRSKYSLLLSHGNPVKGGSWTHFADMRRAYADLPQSKKDELEGLIVEHDLWHSRKL AAPETFSNPLPHELASKPPAYHRLVQTAPNGQKTLYLAAHAKLIVGRSLEESQKLIWE LMDHCTQPKYVFSMEWLEGGDMVWWDNRQSMHRANPYTTSMTARDVRRATVIDDGPLA HGVSVEERTAALKGN PFICI_11180 MSNQVIITAGPYQFLAVFESDAPKTVQMFRDLMPYRQQLIHVRW SGEGLWVPLGEKDFGLSFENHTSHPSSGQILLYPGGYSETELLFCYGGVSFASKMGPL AANHFLTIVEGNENLRALGELVLWKGAQNVLFELADDKKISEFRKAREAPSRAKL PFICI_11181 MDTTPEVRSSSSSTEASRAAERRVARANRACITCRARKQRCIPP AVNARPQAPCQRCMRHAITCSFETDRPPTVPEDIGPSQLSHIVIELQRRINTHEARIA ELEKGSLYTRTQSSHERSLGHVEASSASERDSKNTEEPVSGIKDPAAPSVQSDRTTEP QASRYDINSLELVSPIATLRSLGALDSDQENAFSPFDPISCRLLSFQEAQDALHTYID HCHPWAPVLDTGLRYSGMSLRQSSPALFLAIVAVGTRFWHGGSVHPRYFEIIDLLDKT ISRLLLSPTPSDASVATIQALMIYLQWMPCVRKDNLSSTPSEHGLSQQQRTEIKTRYN DMSAWAVFGLALRYASFINLERLALAPFRGAAKVAVTKDDIARMRTWLNLITYDSSLT LTSGLPSSVDPLPAARVVQDFYLHRTAQDPGDVRYGALIELTCMVQRLKATDVGSSGR PPSVAILKKVNIEMEEWERHWLPKLRHTPLQHIQMPFTSLRWYRLSLNSSLLRPVLSS STRSQTDILHVWTLGFLETSTTAASQILLSLATGASSFVWHVSSQNLTTYPADEFSID PAARASLHHAVDATWISSTFALTFLVLCYIRRTIDDDLHILSIPTAESSASRAPAKPR SHSLLARLTKFAIEIFECESKGPEFRSGGGYEAVIRDAASIVLDDESSSQAAPIAQDT LDPAIQSLFDLMDDTVYEWPSVYEDDFMQPSSNFADSWGPR PFICI_11182 MEKDTKYSSENVAAADQVQSDDSVGEVKDHAMQRGLRPRHLQMI AIGGVIGTGLFLGTASNLQNGGPAGLFISYCIMASLLFAVMVALGEMIAEFPLAGGQF ALAGRFVSPEMGFAMGWLFWYNYIVVLPAEISAAAVLVSYWTPAGQTDSTCTSAVCNN ALWIGIFLIIVYAVNYAGTRVYGEMEFWFCSLKVITIVGLIILSIIISAGGGPNHEAI GFNYWDETGGFTQLNGIPGAKGRFLGFFSVLISAAFAFIGSEITAIGAAETANPRKAV PSAIKGVWIRLVLFYICSAFLIGLLVSPSDPSLDLGSTAAKSPFVIAIKNAGIPVLPS IINAVLLTSAWSAGCADLFVSSRTLYGLYTRGHAPNFIGKVRSDGLPWVAVTIGAVFA LLSFMAGAKGSAGTVFGYFANMTAICGMISWSCIFFTAIRWQKGLKAQGIDRNTLAYK APWQPYLSYYGLFMCVIVLIFGGFTAFLGTFDTSSFITTYFPIPFFVVLFFGYKFVKK SKFIDYMDMDFKTGSSAVLPSEPPKKGLFARIADNI PFICI_11183 MGRTLRPGVYGPLPTFFTDSQEIDYVSYKKHLLNLATLGIVPVC AGSLGEAVHLDPEERVELIKFIRNTLDENGLAETPIVAGVGGSSTRETIRLATAAAQA GADAGLVILPAYYAASLNTDHEQIIQYYVDICNASPIPLFLYNFPANSAGLDMSSAII EAVILQTSNLCGVKLTCGGSIAKLVRLKATIDSTPSINSSRPYPFLLLDGLIADLTPW MQCGGHGTVSGIPNFAPAASMRLWALLNKASLSPDEQREASRIQAILSNADVAAVPAG VRGMKYVLNKRHGYGISPRRPMLPLKSEEGEGLMSQFAQMFELEAEYATR PFICI_11184 MADKPYLEQPELHAKAPFRAALLTYPGNLREALRQAQEDPTKTL LGVAHGIPSVFVTKVMASTKPDFIWIDVEHGMFDRLTLHDAIHAAQHHSEGKTMVVVR VPKHDEVSLSTALDAGAAGIVIPHVESADEVRHFIKECYYPPIGQRSFSPWTFTPGIS DASLYSGDPFNMQSSNRHIVLIPQIESVKGVENVEEIAACEGISGLMFGPGDFMADAG IPLKLGGPPHPGLMEAMGKFGAAAAKNNLPLFGGAQTPDMVPMMIKSGYRALAVTFDV WGIANMVKDGMTKARALAQSVNDPPSEEKEVTLMRKNSSILEFQTRYAVMVFEALFVF HKQT PFICI_11185 MSRHDSGPGSLSKLGNNPGASIMAYCLASISMTLINKYVVSGTF WNLHFFYLAVQSIVCSVAIIICKQAGLIKDLAPFDVGKAQRWFTISVLLVGQIYTGNK ALQFLSVPVFTIFKNLTIIVIAYGEVLWFGGRMTSLMLLAFGLIVLSSVIAAWADIQA AVAEASGNASQASATVSTLNAGYAWMGMNVFCAAAFVLGMRRRIKSMGFSDWDTMFYN NALTIPVLVVGTVLTEDWSSENVARNFPLESRNAMMIGMIYSGLGAIFISYCSAWCIR VTTSTTYSMVGALNKLPIAISGLIFFNAPITFGSVSAIVLGFISGLVYAWAKIRQKEE TKMTLPTTNPSTSTEGRGKKDDA PFICI_11186 MTSSSRPPPTLPQDAFVDRSRSTRLAPDALPLLNSPDPAVLGQT VEDINRRNIHTNQSLPLSSYANFHHGHVEQQPLPAAGGDTMSPKPPTHLVAQHQFQSH TIAPSPPLSEIVAAENPIPLYSERLHKSPPSSADLDGRKSPLQITTDVRPSMPSRNDS ANSYVHSNLTPSGYNPPGGLSVQRKTSSSSLRPISRTPSVKQAIANSIGSASSSAVPS PLIAAMGDLTPLPSPLLMGDSPGPWRRLGARPPSREAAHTATMPDSVFVTTNGESVAA ALANQTKRKAYVGLKGAEGTAGEHTHGRNRSVSEYIPDPMAVPKRQTTVSGSHARAES GRTATTENPLRREPNLGAARGLVPAQQPPTPPPSESSHSNDGSVSQSKAPKAEYFEAY GRHDNKLRRWRAIKQLGEGTFSRVVLATSQVNIDDHDTTKSLIATEPDLKTLVAVKVC EHGPRGGASEDRIEMSLKRELEIMQTLHHPSLVHLKAWNIEQTRALLVLSYCPGGDLF DLAARHKELLTPSLLTRIFAELVGAVQYLHDRRIVHRDIKLENVLVNVPVEQLANPNQ DWATYPDSVITLTDLGLSRRVADDEKLETRCGSDDYAAPEVILGQPYDGKAIDAWSMG VLLYALLEARLPFDPPPGASDALRMRSRTSHRIARVDWRWIKYAGDDGDHEGDEAKFE AVGLKGAMEVTEGLLKRARSRWTLDKVASMEWVRNGIQVEGGPKFKEEAEAEEVS PFICI_11187 MEAMQSAPPFKLKDDPIENQRTLKVRVIGAGYSGIYLGIRIPQR LRNIDLQIYDKNDGVGGTWWVNRYPGCACDVPSHSYQYSFEPNPDWSNLYAPRAEIHA YLDRVAEKYGVKRFVKLRHEVLSCEWDASSQKWILDIRRLETGEVFKDEAHVLISAKG NLSDPAWPNIPGLDTFDGEVMHSARWKEDYDFKNKSIGVIGNGSSAIQIVPQLRKIEG TQLSCFVRSKTWITNPFGDVTMQKLGLDPAVLEFSKEQRNEFATQPEKFLAFRKAIEA DGNTIHAVTLKDTDMQRTAIEFCRAAMSGRLSAKPEIADFLIPSFGVGCRRPTPGPGY LEALVESNVDFITDPISEVTKNGIMLKGGRHVDLDCLVCATGFNASAPPPFHVKGNGI TLKERFTPFPEAYLSIVVDGFPNFFIMLGPNSAIGAGSLNVILESEGDYIVKCIRKLQ KEDYASMSIKKARVADWSDYCHTYFKGTVYMDQCNSWYKSAGGTGDIVTGLWPGSALH AVEALRAPRWEDYEWESVGGGENQMRWLGNGWSLTHTRVTPDEYGGDPAWYLEPRFQS VPVPGFPEDDAEFKSKPFSH PFICI_11188 MSNRTNPTAKPPPLGRNAIAKTKPTLDPSRSPANPIQPVPPAAV LTGRPPPAKRKDEDKTGTMTFEVEIEFIAFCREAPAYDATKDKECPYVLIPGTQIRIP KSSLTGFRGAPYTLPTRHRALVPGQPFEDLGLDPDNPNYIPIRRGGGAMDGFDEMLKD LESRRVDIVHGGPRTRLPPGMPEIKDPNLPRFAANTDNRLHHHWVLEYNPSAALDKED AHMATGEIHALPLRLTTPAMTRRNGDWAELFTVWNLVKKHYRIHINDSCGINVNVGLP KKRFSADELRDVARLCWATEGIMLQIHGPQRYQRNAFPLRRWSALGRGMTAENFGDDQ KTEFTPRRGITLLEGDAELKKCTTEAQVSGLLQHSAKQLSFDFKNYHPDYLQSQELAN LVVDHTIQFRQAGASINGEWIAHWTNICVRSLEWLFEDENRSRLDTIADGCLLGENGS EQDAVDVLKDFLKVTGCGEQSEYLLRTDLEFRTYGGTPTLTPNPDSATVAAPSGPTS PFICI_11189 MRLFTKVFLCLLVIGLAKLNAYTKSSQVTPAESHVLKTITYGVG AGLLVNTVCGIAAPSLDPDIPASESFMSCSPFGFAGSLIVARVYVFTTGGWGFEQWWY VNQLPRVAENGLRDALGFAQRPLPSKPVFPTKPGEFTERAEL PFICI_11190 MASTGSEATAVNGQQDHILRPRPRKPQHSQVSDLVRENSSGSAH GLLAPGGEDIPSGATSGRSTPIPEDAPQSTKALSSARKQVRAEQRRRLFPTVDFTSRL SHFDPDSDYRDFHGFFNLFWIGLAIMAITTGLRNIKDTGLPLRVQIWSLFTVKLWHLA AADFFMVASTAVSLPLHKLFRNSKGGMTWAKGGMAVQSIYQVVWLAFWIAIPFLFQWT WTAQVFLVLHTMVLLMKMHSYAFYNGHLSETEKRLRDLDNPSTASKAPAYQYPSVENP MGTLAHDGKPHDDANSDSDGEGVSQLREDLARELTSPIGNITYPRNLTWANYGDYLLC PTLCYELEYPRTTAINWTSLIAKIVATFGCIFLLTIISEEFILPVLQESRVRLDSVAS ATETLLILAETISWLLFPFMLTFLLVFLVIFEYVLGAFAEITYFADRHFYADWWNSTD WMEFSREWNVPVYSFLRRHIYATSKPKIGRGMATVITFLISAIGHEIVMACITKKLRG YGFVCQMLQLPLVVLQKTRFVRNRKTANNVVFWASMIMGLSLICSLYVLV PFICI_11191 MFTLPENPYAILDVAKNAQIPEIRLAYRKLVLKCHPDKVTDPAQ KAIKVEEFQKVQKAYEILSDENERQKYDDMVRANELEKENAERRRQRDREATPGRTPP RSYDSDYGRSPQFTVHVKHETPYRTRTAEPPLKKSQTWSAGSPYTSTRTPPRSFEEMP QYTTYTAEPRESRESRDSRRSKKASFPDEKIPSRHEDDRRSRKKEEENARMQEKIDRA RRKQEEAMREAEEKERQRKEKERRKEEKRKAEKVRDSERRRDAEEKRTRHKNVYVEED SDTPPPATPITKEKKSKSSSRSKETPAQSREIREPRTEDKHDHQYAFAKTYLEASGKT HRVQTYSSTLPPVQTPPPAHHGVAPPPPPMANMAEKLFSEEDEEPVPRSAARRRMSND SPRVRDKVSSSYKKSREPEYMAEPIRPSPPKMHRAHTEYPAPGVSPSFARTTTWNGDE FRARRDYFDEGSDDDARYQSRRGRRARSPENMTFTYKVDANNRTTQPKVRTMYADEPP STRRSGRSSSHYAEANMGRPLEHRPTMPPSHSFSGQQFYRVKQSKTYDSADVQYSPHA PQIYNTREPYAGVAS PFICI_11192 MAAMSSAAPGATTLTPPSSSHGNEPVWKSSYTSGYDQDSMQDRN FASGYETTNGAVHDDYDDIDPFARQDPGEPFPATKVRNGGRSTSRPDNKRGQSHNSDP GPTEDSRWIHRDKLAKIENAELQAAGIVLPKPRSQSRPRRERSTEKLGGRRPTDASEH QPLPRSRKNSTQASISGDRHPEMDNPAWDLRLPQEIAESPDEYWISSDTLAGKGSRIP VARQSPGTFPIDSNDRNGTGARKTGGSVSPDDDFSLAVSKPRSRSGSTTLNATTGNHQ PKRSATDNSPKKTPSTGTRKPSAATAKSTATTRPKTRSGSGKDSGNAARPTTRSGELS PKAPEGDPPWMISAYKPDPRLPPDQQLLPTVAKRLQQEKWEKEGKFGNVYDKEFRPLN DEGFSEPPPELPKPPVEDKQDEWPLRADAKSPTLSRPGTSSYSTMPRIQDTPVTPMAS PRLPSAPPQPQPQPIQVTRVPTAPEEPEPQQPSEKEKKKEAGCGCCVVM PFICI_11193 MSTQNYKFEGWVGHDPSSAEGKMVWTEFEPKRWEETDIDIKITH SGMCGSDIHTLRSGWYPAPYPIIVGHEIVGEVVRVGSKAVGNHRVGDRVGVGCLTDNC EGLPGKPKCDACVAGEEQFCPKARWTYPGPHHNGDKGYGGYATYHRCPGRFAFKIPDA LESGQAATMMCAGITMFSPLKLWGCGPGKKVGIIGLGGLGHYGVLFAKAMGADQVIAI SRRESKRQQALQLGADDYLATEEGDKGWFKKYYGQLDLLISTVASSKSPMKGYLALLK PKGTLVHVGNPDDGQFVIPPTPLIMKSANFAGSTIGSAGEVREMLQLAADKKIQAWVE QRPMKEANQALKDMETGKPRYRYCLVNEAGSKL PFICI_11194 MEGGAVLRTALTALALALPAFYIRRQLSARLRRRDRRLKHDQER VLILGASSGVGRAVAKQYAERGARVCVVARRAEEISALAQECGDHCIWHVADFSKAED MVQVRDRLQGEWQGLDTLHVCAGVSALQPVMALTGAQPGKDATVSGIDAAARIAGRAV QGNFDGPLVSALTFIPMLTRTSSAPAILLVSSVAAVIPAPTRALYASTKAASLLLYQS LAIEHPQIAFTFVLPATIEGNFRASAVDAGPVREEDPNKTGLKIGYVAQRCIDAVDRA VTGNVILPWFPYSIGQYLYKIWPAYVEKRARQKYNFKA PFICI_11195 MAGQSKSSIKVLAVLDKTRDGNGFIIRAIRNGQVLYILVPADIF PSGWDKASTSPEPDLSIIPESDNCKVWTLSASSGIGCRRVIQARGNGIPKKPLEGVTG IDDIRGSPNLMTAEYSEFTPVKTWPASGGRRTDRVQAAKHPKLKDSVVIKIVEIPDHL PIGENDQIPLGTGEAEMRTEITNHYKMSSLGLAPKFIGLVTEQGRGVIGYIMENIRDC QSYEEIKAKAKGKLPPAEIKNVLAVVDQMHRLGIYHGDLHLGNLLKRADGSITIIDFQ HAQPLGPNGHVEGKPILSAENEREELEEELDEIGVRR PFICI_11196 MSETFEFQAEISQLLSLIINTVYSNKEIFLRELVSNASDALDKI RYEALSDPSKLDSGKDLRIDIIPDKENKTLTIRDTGIGMTKADLVNNLGTIARSGTKQ FMEALTAGADISMIGQFGVGFYSAYLVADQVTVISKHNDDEQYIWQSSAGGTFTIKTD TEGEQLGRGSKMILHLKDEQSEYLNEAKIKEVIKKHSEFISYPIYLHVTKETEKEVPD EDAEEVEEVDDDKKPKIEEVDDDEEKEKKTKTKKVKETSIEEEELNKQKPIWTRNPQD ITQEEYASFYKSLSNDWEDHLGVKHFSVEGQLEFRAILFVPKRAPFDLFETKKTKNNI KLYVRRVFITDDATDLIPEWLSFVKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIVKK ALELFNEIAEDKEQFDKFYSAFSKNLKLGIHEDSQNRQILAKLLRYNSTKSGDELTSL SDYVTRMPEHQKNVYYITGESLKAVQKSPFLDALKEKNFEVLFLVDPIDEYAMTQLKE FEGKKLVDITKDFELEETEEEKAAREAEEKEYEDLAKALKNVLGDKVEKVVVSHKLVG SPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELKKKVAA DGENDRTVKSIVQLLFETSLLVSGFTIDEPAGFAERIHKLVSLGLNLDEEVAEEAAEA PATADDAAAAAGDSTMEEVD PFICI_11197 MIEEIPNAAVEGPKIMVYCEYIGISTGFIFIMILLFVSGGQTNT TDIITSPAGPLLQILNLATDSRAGAVCLLMFPLMCLVFAGTACLTTSSRMIFAFARDG GLPASRTWWKTHPTLQVPLNALYLNALVVVVFGCIYLGSTVAFNAILSATVVALGISY GIPIAVNLVCLRRKLPERSFTLPAWLGWTVNIIYWFGLYCGYYHIFRVSSGSPSGRFI DELLYCCFCRSHNNISCPVANRRQKEL PFICI_11198 MRDHINKRPGENDHDERRLAELGHAPAFKRQFSRGTMLGLSFAI LNSWTALATALSVALPSGGPVAVVWGLVAAGFCNLALAASLAEFLSAYPTAGGQYHWV AVVAPRPLQRGLSWVTG PFICI_11199 MKILTVFALSASVAFAAPSSPASPPTPSTPSSPSSISLGHDKTN SNTLTSCTPDSIAQCVASLGLDGISCFAQVCTTMGATELRRRQTSNATAAATDDLDET DGDDDDEDVEDDFRVAAHDDTPASCTEDNLLDCAVAQWRNPDICFQQLCL PFICI_11200 MSEAQTPFQVEGWVEWSLGVAIILLRLYARWKAVGFKGWKGDDY FSVLALILWTMDITVVTLVGKYETNIGVTTEMVEQMSPEEIHDRELGSKWLFTGWNTY VALIWTLKGCMLFFFNRITLGLSQQKFVKWTSVACVVTYLIMFVIIFTQCTPVSDNWR VAPIPGYNCSAKPANYISVAVLNVATDIVIVGIPIPLLFAVKLTVARKLAIGALLCSG VFVMIATLLRCVLSLQNVELINLCTIWAIRETFVAIIAVNAAPIKPLFSSAKWLKSTS KGGTDSHTPYNKRSNVYALSGKSKGSQLRNVLPFHNNPAGTQVLSSSEENIMRAAAGG GSSTTKSQISQTSTALDSVDRDNNDRNTQQINDGGIVVTTTYQVRDDGSNIEAHDGEG KW PFICI_11201 MRQSIALAAAYVASGALGARVFINEPDTGLLDKIGADFPTGQLP NLTDMVGLPDFEWAARNYLPIVNYTYYRNGAAGEWSYRNNLEAYNRYRFKPRMMVDIT GIKSTFQTTILGYNFSAPFYICPCAKGEYGNPNAELGLVKGAGTGEILYIPSRYSSMT MEEIAAEAAPGQTLFAQLKLSNDDDKNQKLFDRAEAAGYKAIVWTIDAPGGSSRQRAQ RFDVGADEDFVRQTWDDLDKYRNMTSLPIGIKGILTAADARIAVERGVPLIVLSNHGG RNLDGSPSPLEVALEIYQTDPTLFDQAEFLADGGVRYGTDALKLLSLGVKAVGIGRPF MYSNVYGQPGVEKVINIMKTEMAGDGANIGLDSLKSITPDYVNWNPNYWYS PFICI_11202 MQFSQSERAKVSIMENEPPSIASGSSDHSSGSLEASILSIPESR PRRKHHHVTSGNDCHANRAMTAGLTQHKRQDTRYPVANIPCFRQQTAALTGIPHGWPT RRTRYLGNVAPMKVLEKLLFSTRSYLQNRAPLDTYPAPVSPSEDIVLFHGQLGNIEAW YSDFNPAFKLLEERKFRSAFRILQKCFEKTGTIVKSQNSFTFIFLCHQVMRCIYYDKS GHNLSRMLLRHFAGLCQAFLGADHPLCTMTDALSRMDNIEFASHIEPLLACYCEVLEP SLWRSPEALTELMQGRGLTISLMSSAGMIGYEEARLRLDHIIQTAKDCGISTMQLKIE LACLLRLHNRFDEGLTLALEARDSEEARVSPHQYCYACINVIGLYAAMKDYDSAIQVS YELVDFLDTPPASATHGQTYSSMTFLETRENTLMMTFVWLENYLRKSSRVEEADRVQA RREGL PFICI_11203 MLTVEGFPYHSLNLMHTYWVKDFGYLIHPRIPTDGANLRVADTV LFVGLDISFEAAPPPEALPSSIRFQKWDIHDPVPEEFIGAFDLINIRFMIFVVYKDEV SSVVDKLIKMLKPGGYLQWVEPDNQTVRGELTRPENSKTSIEQLMSLLRSQDPRLNPT WVPDLPKIFSHCGLQDVDADVHVTPPHWAYLMHECGLIMHELIARKTRNEQMAAELKR LVPLAVEETKKGAYLATTKYAITGRKP PFICI_11204 MKAVQFLAVLGASLAAATTDMSVSTTVVCHSCPNVTSTAADIVT RSPIPTTPCSAPALTVISSATGSGNATATGTGSSSTMVPVTVSGGGKLVESFSGALAL AAAVAYLV PFICI_11205 MYVSKVALAVTGVSLVTAAPVIEKRAEITDADILNYALTLEHLE DTFYREGLAKYSEQDFVDAGFDATFYANLKEVSSDEASHVKFLTAGLTAAGATPVAEC TYDFGYTDVKSFLATASILEGVGVSAYLGAAADIMSKTYLTAAGSILTVEARHSAYLR SKLDKSPFPQAFDAPLTLDEVYSLASPFITSCPASNPALPVKAFPALSLDPSTAQPIK AGDAVTLLTPGYTVQGAPVYAAFIAVTGPTFVEATPVDGGFSVVIPEGFAGQTYAVLT GCNESVTDETVVAGPALIEI PFICI_11206 MEQPSEAQQPAETLPPLSQSQPVDTPQETPSVPSKPIHSLVIDT GPLIKNDPPISTLLAQAEELYTLPSVIPEIKDEATRIRVQTQLMPFLTLRSPKPESIT FVTNFARRTGDLEVLSKPDLHLIALAYELECERNGGDWRLRKEPSQKRVNGKSPAQIA KDEAEAAEKGVSNTEEAANEGDKEATITEVDATSTEQQDSTSAETTAPDNAPIDGPSP AEQPEQPAEESTSVSEDAPVISQEAITHQLDTLTLEPEKEIIPEQEAPTEEQDPAQAQ EDDDDEDGWITPSNLKKHKQKDQQAAPEQPIQRLLQVALLTSDYAMQNVLLRINLNLV SPSMARITRVKQWVLRCHGCFQITRIMSKQFCPKCGQPTLTRVSCSTDQNGNFQVHLK KNFQWNNRGAVYSVPKPVHGTSNGKYRGQGGGKNGWGRDLILAEDQKEFIQKTDAERR LRQRDLMDEDYLPGILTGYRQSGTGKVRVGAGRNVNSRKRN PFICI_11207 MHLMYVLGADGKRTYTLKKVLSGEVTKSAHPARFSPDDKWSRQR VTLKKRYGLLNLDDGASKKPATLS PFICI_11208 MSASRLFEPLKLGNVTLQHRIAMAPLTRFRADENHVPLPFVKEY YAQRASTPGTLIISEATLVSKKAGGYGLVPGIWTKEQIAGWKEVTDAVHAKGSFMYLQ LWALGRVADPNNAAKEGIEIKAPSAIPQAEGATVPQELTQDEIKSFVADYAQAARNAV AAGFDGVEIHGANGYLIDQFNQDTANQRTDAYGGSVENRSRFAVEVASAVVDAVGADK VGIRLSPYSTFQGMRMADPIPQFTDLARKLKALGLAYLHLVEARISGNATIETTEKVD FLIDEWDNVSPVLIAGGFTPESAKRAVDDEYKGKDVVIVFGRHFISTPDLPFRVQKGI ELTPYDRNKFYNAGEEEGYITWPFSEEFQSQQNAKI PFICI_11209 MATKEVPASELGHQDVKLPDPPSSSFFTDAQWKTWWALMDTVIP SIGPQSAEVQGRYEVSPTQLQSYYEACKSKLNSPPSQAEFEAYLSEKPSDNEAFRSHM YRTLSGLPKEQREQLGGAINMLGTRFGSLMISGYMTPFHDLPAEAREKIIQAWQASYF TKFHGLAKSVTALATNHWIQHSPGFRSLSGWKDVPEGYKAAKVQDYTFKQFEAGDDPL TIDTDVVIVGSGCGGGVCAEVLAKAGHRVLVVEKGYYFPPSHLPMREDTGAYHLFDGN GIITSEDGTLSIMSGSTWGGGGAVNWGVSLQPPEYVRQDWAKKHGLPVFATQEFQNSL DRVCEFMGVSDATVQQNHRGQVLLDGAKKLGYKAKVTPHNNGNAEHYCGHCHLGCGSA GKKGPAVSWLPAAAKNGAEFIEGFQVDKVTFDDFASGKKASGVKGKWVSRDAEGGLNG PLGKRVTRDIEIKAKKVIISGGSLWSPVILQKSGLANPQIGRNLAMHPVTVIFGYWKQ DVNPWEGCAISSVVTTFEDLDNEGNGTKLEALSMVPSIVFPQYRWRSGIDFKMSALRY RNLNAFFSMPRDRDTGHIYTDPETGRPRIVYTPGAFDRANALEGVIGLLKILFAEGAE EIRTSMKGIDPFIRSTDEDEKQEAARFEKWLATVRATGVSASDGWGCAHQMGSCRMSK SAEDGVVDAKGKVWGVEGLHVADASVFPTATGVNPMVTTMAVADMIAKGIAADLDGLK A PFICI_11210 MAVGNAVSSTDEYDLIIVGAGISGINCAYRLQTRLPNLKFAILE NREDIGGTWDLWKYPGIRSDSDLHTFGFSWQPWPHESPIAEGPLIISYIKECIAKHGL DKYINLRHKVLSADWSSKTNRWSLAVDHEGKRKNFTAKFTVLGAGYYDFEQPLKVEIP GLNRFKGKVIHPQFWPEDYDYTNKKVVVIGSGATAITLLPSMAKKAAHITMLQRSPSY IIAASQKWQGMIPRAWWPQWLLSYVERWWYMAYAQFSVFMCEWFPKLVRKFLLKETTK LLPTRIALDPHFSPRYNPWDQRVCFAPDGDFYQCLHTPKADVVTSRIRTVTEDGVDLE IGKKLEADLIVTATGLRMQWGGGIPLRVDGEPVDVGGRFIWNGAMIQDMPNLFFMVGY TNASWTMGADDTAFIITRLIKHMESRDLHVSVPRVAPRDARMKKSPYWHLNSTYAKEA EARNPKYGDRGPWRARNKVIHDYLHARFGNYTQGLHFVS PFICI_11211 MAKSNSWPWKAYLPFRLVWQLYYLSALLARLPLWIFKFGLFRSL RPHPEWTFEQALKAQLIRTVVDAQSKIGISDPISLRPGAEKERFKTITPFSKNLYKGP LESNVTPTTIGGTWYPSFKTARDIGPQGTVVLHIHGGAFVTGDGRTASLGFLSNTLVK YARVDAVFAPQYRLSGYGDTNPFPAGLQDVLTSYLYLVRTLEIQPRNIVISGDSAGGN LAIAFLRYLAEYGNDLGIPNPQSAVLISPWVSPQNSLGSDITYMSNPNYPTDILPPEF TRWGAATYAAKTPVTDPYITALGNPFATRVPMFVTQGAIEILEIDGTQWVKEMSRVEG NKIESVYEPLAIHDTLLIGDRSGWVESARTVAGRIGDFIEAAGRPEDTSRSDIPLKL PFICI_11212 MSNGKTPVVAEAHEVDTFHPPQKMLDKHPSKPHIGSLEEYQKMY QESITNPDKFWGQKARELLTWQRDFQTVRTGTLNDGDSAWFLEGQLNASYNCVDRHAI KNPDKVAIIYEADEPQDGRNLTYAELLREVSRTAWTLKQMGVRKGDTVAIYLPMIPEA IIALLAVVRIGAIHSVVFAGFSSDSLRDRILDAKSKVVITTDEGKRGGKLIGTKKIVD EALKQCPDVTNVLVYKRTGNPDTPWTPGRDLWWHEEVEKWPSYIAPETMDSEDPLFLL YTSGSTGKPKGVLHSTGGYLLGAAMTGKYVFDIHDGDRYFCGGDVGWITGHTYVLYAP LLLGVSTVVFEGTPAYPNFSRYWDIIEKHQVTQFYVAPTALRLLKRAGDDYVKHKMAH LRVLGSVGEPIAAEVWKWYFEVVGKEEAHIVDTYWQTETGSNVITPLAGVTPTKPGSA SLPFFGIEPAIIDPVSGEEIHGNDVEGVLAFKQPWPSMARTVYGSHKRYMDTYLNVYK GYYFTGDGAGRDHEGFYWIRGRVDDVVNVSGHRLSTAEIEAALIEHHAIAEAAVVGVA DELTGQTVNAFVAIKDGNEASDALRKEFILQVRKSIGPFAAPKAVFIVPDLPKTRSGK IMRRILRKILAGEHDSIGDTSTLSDPDVVNKISAIVADSKKK PFICI_11213 MALIVDKHRPRSLDQLTYHSELSERLRSLAQSGDFPHLLVYGPS GAGKKTRIVATLKELYGPGVEKIKIDARVFQTSSNRKLEFNIVASVYHLEITPSDVGN YDRVVVQDLLKEVAQTQQVDQSAKQRFKVVVINEADHLTRDAQAALRRTMEKYSPNLR LILLANSTANIIAPIRSRTLLVRVAAPTIDEISSALAVSAKKEGWPIVPGLHKRIAEE SGRNLRKALLMYEAVHAQNEKVTDTTPIPPPDWEALIGQIAKEIMDEHSPARILQVRA KLYDLLTHCIPPTTILKTLTFKLIALIDDDLKWEVIKWSAFYEHRIKMGTKVIFHLEA FVAKFMRILEIYLMQMDM PFICI_11214 MSFNARKFSMNRNTGVPRPARRFSIGETGAQETSSKIHRQFRAA HEGHLPHAGLDATRASTGVVWCTERASEYGFLEEPEKWANLGQGAPEVEDDIEGCFQR PSTIDVTTAAREYGPTAGIKPLREAVAHMYNEMHRQGKESQYTWENVAIVPGGRAGLI RIAAVLNNAYVGFFIPDYTAYNEMLSLFKNFAAIPTPLSEEDGYHIHPDIIAAEIARG TSVILTSNPRNPTGRVVANPELTEIQDLCRGRATLISDEFYSGYNYTSNCDGTTISAA ENVEDVDEDDVLIIDGLTKRFRLPGWRIAWILGPKEFIKAIGSCGSYLDGGANAPFQE AAIPMLEPSLVQKEMVALQRHFRDKRDYVIKRLRDMGFVIKYVPDSTFYIWLNLEGLP GPISDGLNFFQACLEEKVIVVPGIFFDLNPSRRRDLFDSPCHHFVRFSYGPRMETLKL GCDGIERVVQKFRKSA PFICI_11215 MQPPKKPHRLARIRALQNGKESSIDMSISRYLLEGNYRETAVKF QKEWHKTEPHRQLDFARHVKSHALINVLNKGLLYNSLERDFAHSQQQTQQQPLQLREK ENAAAVAEVPQVGVFGPLVAPPPHPGPAAGITAGAGDGQTEVKEHDPDEDAEGEEETI EEIENSRKRQMEGSQQALVNGGGGGSPTKRPRLSNGYENGVGVDAATDPMELDGQHGG DNHAYPSPLEGEQAPTPIPRTDGPEQGTQVEKVQELTTETIFIPLSAEDASVSSPSLT TAAPRGGVNGENAPVLLHCQWNPKDPTILAAGGTDALARIWTVSRATTAADQHQDPAS NHVNGTIPPFHSLIMDGISHRANVTAMAWSWDGKSIAVATDADGKGKISVWDVHGSLV HHYEVPEAPVIKLRWSPNDTSILAVSPEGNDALITVYPITALSTMSYVVKDHNTMLDV AWVNENDFVIAGGQLLKCLTCDDTSGSIDIKNSYEPREGDNLTQVHFDWRTSLAATCG DQGFVEIWDSTGRQREIRTHDGVITALAWQPLQTSPPDDERLIASAGEDGAIFIWNAR STDGKPKCSMTMGDPIVALSFTPDGAFIAGATHDKILIWKVGDHSIPRASWERIPHPS SPRLQSETDEEDEHCLSWDATGQRLAFGVNSRLAIINFR PFICI_11216 MPPRINIPPVTRVLLIALLLQSILSAAVRYRQWSANSEIVVEWL TLVPQLSLFYPWVFVTTTLVENNIFTLGIAGMTIFQGGRYLERAWSSREFAKFLLVTA LIPNALSFLFLVMMFTLTRNERWTLTTVSGTISLQMSFLVAFSQLVPAHTVTLFRGIL SMRVPRFPLLHLAVVTILTMTPILTVASLLLAIFGLLTSWTYLRFYKTVFPDLDSSQP TALRGDASETFAFSEFFPGPAKPVVAAFTEQVFNVLVAMKVCTPFSQAELSAAQGNNY LPRGAPGGVRAEAERRRALALKALDQRLHAATGAPRSQSQPPSHINGPTVSTQPQTSA QTAMTSEPTAMLGETNYVPDQDHHDKDGA PFICI_11217 MANHHPSPQMPMQMHHGPQGPPPPGAAHYPPAQPQPAPSRQIST VNELLWLQIGSFSELLGSLDDAMNAYEHALRANPNSVPAMNAISLILRTREEFHKAVE FLQAILKIDERNGEVWGSLGHCYLMMDDLQQAYAAYQSALVNLQNPKEPKLWYGIGIL YDRYGSLEHAEEAFSQVMQMQPDFEKANEIYFRLGIIYKQQQKYGQSLECFNYIVTSP PPPLTEEDIWFQIGHVHEQQKDYDSAKAAYGRVLERDPNHAKVLQQLGWLHHQQSSSF SSQERAIEYLEKSVSADNNDAQSWYLLGRCYMSQQKYPKAYEAYQQAVYRDGRNPTFW CSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQITDALDAYQRA AELDPSNPHIKARLQLLRQGQSTGHLPQGPAPVPTDVHPQTYGAQGPQAPQWTGASAA PQQQQQQQQQPQQQQPPGRPPVGPGGANGWGSLRDVNPPQPPNPYDQREPFRGPAPPM PRQPSPRAEAQMRPYGDAGRQVPPPGPAPIRRGPSPGPPHYGAPPPPSQPQPPASAGQ ARVSNPNYTPAPTASMSQSSNGPPSGPPNGAAPPPHLMPYRAGSPRPDSRPTMRDNRM PSPKSAYPQYQPPPHGHHPEAGPSSMDNGLPTPLKGPMGPDGPQHRADNRPPSVGPKR MREWEDEPAIKKPASEENRALIHDMRHRRPSTPLRGGPEPYRRTSPEQHRLEEQRRLD DQRRAEDQRHAEELRRADEQRRANDNYHPSEAAHHQPAHPMSTHLPPMQQGPSPMQGI IHDGPSHNGPGPVQAKEYPQEERPRNEHPAAPAPAPTPTPQQVNEPERAARKMDVDED YDDSGEEEKKVNVTGPASGPASATGELKTSTPTSAGMNGLMGPAPKTEGTA PFICI_11218 MSHRKFEAPRHGSLAYLPRKRAARHRGKVKSIFARHGLWAIGHQ QEGCIENSLCAREKEEEQEELYNIAVDIAHTILESRIANSLCFTSFPKDNAKQPVHLT AMMGYKAGMTTIVRDLDRPGAKAHKKEVVEAVSIIDTPPMIAVGLVGYIETPRGLRSL TTVWAEHLSDELKRRFYKNWYKSKKKAFTKYAKKHSEAKGASISRELERMKKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQINGGSVADKIEFGHGLFEKPIEVSSIFEQDEMIDV IAVTRGHGFNGVTSRWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQMGYHHR TSVNHKVYRIGKGDADNNASTEQDVTKKTITPLGGFVRYGEINNDFVMVKGSIPGTKK RVVTLRKSMFIHTSRKALEKVELKWIDTSSEFGHGAFQTPAEKKQFQGTLKKDLVQSS PFICI_11219 MASDEDYLAFLDKANKDPNEGQTAKSASSAKQAFKTTDSGAQVP AVLKSAVKDAFYTSDADEPFEPVCLKWNEDGKGLPDEEEFASLISHPNPSSAQIEIQD PADWDTQGQYSDLLDAVRQAGKGNDVRVYRIRKDGTRVEYWVVTTEGKGKDAKLVGVK ALAIES PFICI_11220 MTQADTLLPSLDTIQELLSKPQKGTKKANLVPVYGQISADLITP SAAYLKVSAHSKSELSCLFESAATERVGRYSFVAAGPRKTITTGEGHGESVDPLPGVE KELAQYTVLEVPGLKLPPLSGGAVGYVGYDCVRYFEPKTARPMKDVLKIPESLFMMFD TIVAFDRFFGVIKVVTYIPISDNLDTLPSEYEKASQRINELIDVLNAPEIPIPEQEPI KLGNEAKSNIGQQGYENHVTELKKHIVKGDIIQAVPSQRFARPTSLHPFNIYRHLRTV NPSPYLFYINCKDFQIIGASPELLVKEDEGRIITHPIAGTVKRGKTPEEDEKLAEELR SSLKDRAEHVMLVDLARNDVNRVCDPLTTRVDRLMVVEKFSHVQHLVSQVSGVLRPGQ TRFDAFRSIFPAGTVSGAPKVKAMELIAELEKEKRGIYAGAVGYFGYGSVTVNGEEIE GAMDTCIALRTMLYKDKVAYLQAGGGIVFDSDEYDEWMETINKLGANTTTIKTAEELY ARRVGTA PFICI_11221 MSSSEDSKPSETYQCGCHCGYIKFSMTISPPLTGQPAAADGSTG NYKVTECNCSACARFGYLLVYPPATAVTWSPGHRERCAEYEFNTKSKDQLFCPKCGAS LGIDFRAGREPHTYGISARTIYGINLDDLTLKKLDGIKKISPAGDLSGNWWDEEKQEM K PFICI_11222 MDAPPVKLSELLRHPDDLDKLPALKLEFTRKKAAVDSQLRGGLR EQLETTQAGMSGLTDGQKTVQAIKEEMIKIDRLCSESQNMIKDFNAINLVSQAHRNFG AVEAMRKNLEMFNERLNGVEIMLRGDEEDKETMPNLLATHYELTQLRNIRDDAMEQIT RADDASLLATLEDYFSRLDEAIDWFDEHIGLIALNQINLLIADNNSLVVRLAIIVEAE EKSDQRVLALQEALKDHKEMATRFQAITEGAKKVRGYKEKFLQAISLNCEQQFGEVRE EFLGDPDGLSKMMKWYFNDLNAVKQGMVPLMPKKWKILKTYGDIYHQLMHDFLVGMIE DPEFSSAHTLAIINWPENYYKKMKKLGFPEHELKPHVIDNREGELVKGFRDLIIKFLD EWIERIFAQERKDFADRMVEGSNLDADEYGYFRTRNLVDMWRMLREQVDGAGNSGRTD VAEGVIDAMFLRLRGRQQSIQKMLEDEVLKYESNKLPDLEGMQPLQDWLVATANDQIA CVDDIEEEQRFGYLTSFKQKFEPLVTPAYLERAEGEFEQLQGNYFDLSTWCLSKFVRL VFAVDFKSAMPTFFTPEWYSKATMKQLIATFEEYLGEYRPVLHHALCDTFVEIFADEL LARYLMCVRNKTVKFRRTDPFQDKVFDDLKTAFEFFRATTNNSDTIMQTWRVTEPFLD LLTAEKEAIPDVFEGFKLRYWDLQISWVEAVLRSRDDFERSMLNAVKARAAQIEVTRG PETIMAKVK PFICI_11223 MTAGSDQLVIDEAKPPKSERHPVKWNRSTFYNMTILGLCNLAAP GIWGAMNSLGAGGAASTELINAANALTFCLMVVSCYFSSVIVHYIGIKGALLVGTIGY APYAAGLYTNNRFGNEWFVLLGAALCGISAGIFWMAEAAIAIAYPEPWNRGKALGYWL TYRLCGQILGGAINLGINAHRDEAGSVSYTVYLVFIALQATGPFVALLLNKPAQVERQ DGKKVSLAILENPWHEVKETTKDFFKPKFLLIVLWIGQAVFSEAVFFSYLALWFTVRA RALGSFLSGISAIIAGNLLGNYLDHTKFSLKLRTRSTFWFLVVTQGAWWLWITVLATR FRVEKPVYDWSTPGFGAAFAVYIMHTIGFQINYLFLYFIVTNLANGEAEVIRYAALLR GTESAWQAVSYGLTSIQLFAQVGAIYLNFGFWALAILPAWFVVRHFGQSGLTAPDEPV QTTETAIKAGSKGESD PFICI_11224 MAGPQATQFLSLAKRTVQNLENTAEEYDLMNLENLPIAFLEVGK DDSIYEDAIPLVGECKAKADRLEQLFSRVVPSPPIQRLEVYRGTVRELGRGNRVETLL KSIMEDIKVLLLFDKDMKSALENQVQALVEAIQKVAAIPPSLPDETEGSSINNYGTGF HNVNTGTGPQNNNNASGQQFIGGTFSGFNPSSPPSKSDENKSREHN PFICI_11225 MSGAEIIGVVSAIIAILDAAVKVYDTVSDASGLPLAFRDVARRL PLVTETMQTVRQHLDSCNPDESVYKAVEPIADSCKDKASRLERIFREVIPQESASRMQ RYKLAFRTLGKGSLVESLMKGILDDVQLLAGNHIMKLGTEADLRRLAEASDEVSTLPP SLPSNTWHGSINNHGSGFQNINTGSGRQINNNGAGQQFIGGSFA PFICI_11226 MRLLQLHDGELNLTPDLTRDIPPYAILSHTWRADGEEVTFQDVS QKKLGNKPGYQKILFCGQQAKRDGLDYFWVDTCCIDKTSSAELAKAINSMFRWYQNAT VCYAYLQDVSAMPEQNGQTLHPWDSQFRGSRWFTRGWTLQELLAPKSVKFFSSEGILL GNRMSLENVIHTVTKIHISALRGDPLDRFPILHRMQWSSGRYTTEEEDAAYCLLGIFD VYIVPIYGEGKANAMNRLLNAIQERTGIPSFPAQPKQSESSSSSPANQEAHFPLPSGR ENEVMGKKLPLDDLVGLKSELDRRTSLLEEMTKEVSSLRELISEKDETTHNLKEMTKQ SGQKDCEPSYEDIVNRILELKAAIISFCRKCLPLGVKFQSRKGSSPDMSELLMRSNVA QEIHWVFFHERNQLFGHLDNQQYREESKDRSSYQKIEGIFTKHSDSEVLGKLRKRMNY DGEVLTSNSEDEIKKWRISTINLAKRISRDQNAYPFFQARKIWPRLYERSAHFQSRSD RRDQPPETLFELCKIAYDLALLFRSSYIDYKWQQDIGLMAIQPDDAEVIGSTGVLYSA ADDGYRVGCVVFGGVVRGSRSTGKIRDGPITLTRPAVVIDYVKKDERRLK PFICI_11227 MHVRDIFLTGALLLSSSSAQNSSVSLIVNATATTGVQLPNNASK ALKPLYQPYSPETPKFNNESLIQALSSPGTASNFFLLQESTNEVPVGELPVGTCAPGI RCTNGAYCSNTGVCGFAPTSCGKDVCISDCKAKAPCGQYAHPENATCPLNVCCSQHGF CGSTDEYCGAGCQVGYGGCGGAPTPSCSGNSAITRRIGYYESWANTRKCDVRSPEDID LTGITHLNFAFAFFDPKSFEISPMDSNSASLYKRFTALKSKKASLQTWLSVGGWSFND DTNSPNTRTAFSDMVSSAANRQKFISALQSFMQSYGFDGIDIDWEYPAAYDRGGKQSD FGNYLELVAEMKQSFGGSYGISATVPSSYWYLQHFDVKTMQEYVDWFNFMSYDIHGTW DSSNKFTGPYIRPHTNLTEIQDGLSLLWRAGIKPEKVVLGLGWYGRSFTLADPGCTTP NKVCQFTAGANPGDCTNSAGTLSNAEIKRILKRGAATEQYDKTAAVKWMTWNTDQWVS YDDGVTMQQKINAANELCLGGTMIWSLDQDNSDGDSMSDLLGVGQANGVSAEEAKAYK AQMANAALQNDIAASCYWSLCGKPCENGYFDTTEAKGQVASVQQNSICSNGEVQTLCC APGTTMGTCSWQGFRGVGLPCTPVCNNTSDIVVAQNSNSYQENEAGQVADLTCNGGYQ AYCCNGFIPSSKTNTGNIFLYGQGVFTKRNLVGDLDKRGKPASVAAGVLTAGLCSAAV AALIAEAPFTFGISLLGIPAEIALCAAAGIVVTAAGFASRPAAPAQPPPHHPPPVAQP HTGVPTTITVGKTARASYGQWPILDFGSVSQSSYCDCFVTYTCRYGLGWDEICDNQRW AIDKMLNGQTVFEVRPKGRAPNRNQELWANQRKDKYRTLVQGSRRVASARCEVDEFPM GNLVDSGNNNPQACRLVNKPANGAQGRDFGFWKTAQWTRCSSFRKTICGSLDAPPATW KFGPLAGNRGVGAGKHFISAYGFDSQTPDSLCFASYTYTDAKGAKQNTMVPDHGFRAL DDDPMFGNPYKWPRQNWKIDPAPAANAANRPVSINSAAFQKRDGAQELLSNITHNVTS NQDHNSICHVAVGEGGQLDEVEIILDDHIFEDMDGNLVNGRACSIIYDDSREVQLLIN GDGNVEYATQDEDWLPKEVPITKEEELSATYSTELAKPSESLSIVPIRTETSGGSSGS IITMPPAIPSS PFICI_11228 MDHARLEAHFEFRNTTVKCDERVSTLIGSGIDNNYWYMDNLTRL CTADCQASLHSWGNSVESACYGQTIWQSGAQVQAKALTHSFTHNADIACMKDSHSNWC FFESQSWQGSDYIRWDPLLCADLEDLPPQCNDSTFDPDEISPDMSALTNLYDSKLRLL DPWLSQSNFTDYLIGEFDDLQRKCSTTLPYSTSSSTLYIGSATSTPTTINSTTGAPSA TPTCIGQLVQPKDDFLTCNDLSDTYGVSTGDARVATGDFVCQFTSTICLPLPCKLDTV WDSPSCSDLARRYSNSTYNVTQAQFLSWNSNIQGSCDGVALGQRVCKGAPGGTFPQPR PSITAPGATGTAVYYTAATAAYPTQIGSIKECGNYYLVQPGDNCQTVAIQFGLNFSQL QEYNTYLYNNCSNLWKDYDVCVAPVMPQTVSQNGTCPPGVTCVGSAFGECQFADQMPD AVPHLAIAEATPAIALHREMARRRLMVRADRITGARLAQTRRLEIAAASTAIVALGLT SVVLAIATRGTAPQILAALRQMVNVALILQETRPAPEHSLELVAQGLDTVVLRMIIV PFICI_11229 MDLTIPIDVDEFIVSPQRPARTDGSLDVARCIALHNYLVQYGWQ SDGRALQDLQPQNYFEHHGDEADQVRDRLDTSLIAFLEAAAIPSEPLPLYFWVSGLCE PSDVFVAQEMMPEDEEDRFLTLYATNDGMGEHPAGLIYDQRRHRAAMSLGIEDFEYTH PVEEHEELWHPLETVLSQWISLIQLGKITASQDEAPNEKYGPWIWQPYSMAQVDDAVA SFHRLVAAIEQRMPIDSPIDAQTSRLLFSEADLDAALVPKDCFARHFLARLASPRFRA IAPGLIIPHDAAAFEASQKFTKMDATSEYGLVVPPVLLFAAADGATVNFDSLNRYVSL NPFCKAFRDGVSHGDHSIPAGLYSESVERFKIDNAEEGFRLLLPFSLREHGEESGARK SDGTLMEEGSIAELFQHGYKPFGGEWWRAQRLSRLFDLWTSLINDGTWTVGPEGVEGT IDLFRDAAYGSTRNYMIAPSW PFICI_11230 MLDSSGDIYNAAFSLNTRLLAVARERLNHAGGTISILDATTGQC RQTIYGHRKFVTDIVFACDSQLVATASADRTVRIWDATMWLPRQTLSHSDYLTKLRFS PGNDLIAAASLDRTVQLWSSTTGMHLQSFCYDKGLVHEISLSENELVVSVSDDDWEVR WSPWRSMALKVHTYGIAAQQTVTPNLAVRGLGLDADQTWITKDSERIVWLPPEYRPNR RQAWARSESMIFIGHRSDQPIRFRIS PFICI_11231 MSFQHKETPILCSRCQHIDFRTVLELESSILKDKWKHGVLVAKI NTDNHESQLHNCQLCWLFGLMLNTTARPLSKDWELRAYSSFQGHGYSVVQRLPAALRA KDLPRLALVPSSSTNLDSLKPPVSLLYCIPSEYTYEKIFMPRPIDQKVNYRILQTWIE ICKEKHRTLCHSRPNETPGLKVIDCRSPGSQLAIVLAPPGHAYIALSYVWGVPQKQTK DECRDQASKSSYNSDYQTVIRDAKEVVLQLGYQYLWVDKHCIDQENDTEKEFFVNSMD KIYSGAELTIVAAAGIDGDFGLPGVGSRQRRLQPTIQLSDAQLISCMEHPRNSIMASK WATRAWTHQEALLSQRLLFFTEFETYYECHSMQCRESISADWSRTEFPFSNYGGYHSF LR PFICI_11232 MRSGLEGSEGPKTHDGVPESQPHKHKRAVDMPDKVPGIAPEEYT VGLVCALPLEMAAVELMLDQTHPEIPEQDPADHNSYTLGQIQGHNVVIACLPAGTYGT TTAATVAKDLLRTFKSIRFDLMVGIGGGAPSRIHDIRLGDIVVSQPDRTNGGIIQYDR GKIVKDGEFQRTGSLNAPPQTLLTALARLQAKHMIEESRIPQFLDDLVTKVPKKAKKK FSYQGAIHDCLYLAEYDHIDAGSTCEECDRTRTVQRDERDDTDPVIYYGTIASGNQVI KHGTTRDRLSKELGALCFEMEAAGLQDFPSLVIRGICDYADSHKNKMWQEYAAAAFAK ELLSYVRPDRVLQEKPIPQLVPIAKEQLQASKKHLDVSTEHLEEHKRTNQMLENRPLD LHTVHKARYDSVDVGDSPRCVSDTRLRIRQAISAWADQDSGESIFWLVGPAGTGKSTI MRTVADSFTKNKRLAAGYFFKRGEKGRNDTSRLFATLAMQLADAIPSFKNCLRTSLGD LDGDAVEKKGLAAQFEKLLWSPLGQLPPANTSEVPMVIIIDALDECERPEHTSQILTL LSKLPGITTDRNLLRVLLASRSASEIVEALEPLVELATKPEPLFIYAATLCRYVYDEQ HPRDPERQLKLWFKQCEENKSQLHQMYDPIFSQLFFGNKNGESSRQLLFLYALTLLAA PLPAPALVALLDLDMDDVYWWLPELHAVLDIPTDSQKPMRVLHKSFSDFLLDQENLGP DNDQVEAADIHALLAAKCVLLMTAELKQDICDIQKLDATPGAVDEKIMNQRIPAHLGY ACLYWIYHVEGSERSPENYVYTFLFQDFLHWLEVLSLLGHLSIGTSAVRRLPKLFKVF ASELRQRYWSQRLPSLNQVQGVKARWDPYLQTLEGQHDYVSDLAFSPDGELLASATDE GMIRLWIAATGSHLLTLGGYGGPAAKVIFSPNSQYLASVSDSKTVKIWNVMTGTFMHT LDGNMSAAVRQGSADSSRGS PFICI_11233 MQLEYTRCFPPAASDFPDSQLATLAESWVRDCLQNHAECTLAKK DFRPKRLVQILNKDFGRLVLPRELPPKKKIDYVAVSHCWGDPRLLKKLTVDNEHELQD KIKVADLPATFKEAISACWKLGFRFIWIDSLCIMQGDQADWQEQASEMGSVYGNAVLS LCMAGSANSAEPSLQSRNTDLTLPLCITLTGGNGEKTTLRLVCNRSFEDDIKACPLRK RAWVFQEWYLAKRSLIFGRMQLWWHCCEKLACETFPDGISGSIAFKSAIGITDAETMK GKVSKTLQSPQFAQKLWWDLIKQYAKTKLTKEAEDRVIAFSGISKMFGEFHNIESQYT AGMWRCHLPRALLWYRYCGGETFRSLDYKAPSWSWMSLDGPITLEDDSDGQSPTTPLI APHYCCSVDTVYLPLEDKSNATGRLQGGSVRISGHLLELTERDEDTLPNHISLKHFGE SSGMEEIRWDEEDDKGNKIVSYLDNVVMELCQGGDITGCSRTRVALEQATGSFFALPI IHQTDDDNLAEVIVAGLVLYQPPHLPDIFYRVASYQVPSDSEWDFTAFSDRLHAHFPR RSIFIL PFICI_11234 MLILSLLALASAYIVWSFVCLETNVRNARALDFPVVRLPIDANN VFWILLQPHVWTILDHLPFNWSSYPRFVRYSRRGWYVAERADAHVHLGPVWALVSPVS INVHVADPDTIQDIVTRRGDFQRPSAELKILELYGPCISTARWPDWPRHRKPMATPFN ETIMASVWGESLRQARAMVQTWVGFSDAGIQSYQRDTRALSLNVLAGAGFGKPYDFRS STEPVTDEIGEYRDSLQTVLDNIILLLVVPFRVLTMVPGRWTRIGNAGISFKQHMVKM LEDETTALSEGKSGSGGILSGFVRAADLYHRDSVVDPDSTGGKKGLSPEEIYGDLFVI NFAGHDTTANTLAFATLLLAAHPDFQAWIAEEIQAVTQGESVEKWDYKELFPRLNRCR AVMYETLRLFPPVPALPSITCDHTQEFQVGDKTYTVPVGINFTANLRAMQTHPQYWPD ANEWKPSRWVLNAAPGRTPSFAQVGQESFFVPSKTVFFPWGEGPQICPGKRFAEVEAV AVIACLFKAHRLQIKKKQGRE PFICI_11235 MPRPTSRTLFVVVPGASQNPSHYGYLLHLLQSKGYPTLSALLPS TGSSENVTAQDDADYVLKNMLLPVLDIEKHNVILVTHSYSSIPGSAAAMGLSKAERAA QGKTTAVLGQIFIAALLVAGGDGKDVVAAFGGHLPPHIGIGDGDHAGLLTCDDPAPPL YQDVEPKLVQDAIVMSTLCPSFASFHSPCPKASWSSEHYKGRIAYIRTIKDVGIPLEV QNMMLQGTGMEWIEKDIDTGHSPQVVQPETLRDIFIELAEQWERL PFICI_11236 MAKSACFDFCPKDSDNQLYPRVHFSCRSFDFTLLFQDVFFIAVP ASLMLIIIPIRLRALWTAQVQVKLSALAIWKLSLYILLFTFHILFLALRQRAPRLVTT MSIASGVLSAAAVLTAGILSFLEHQRSSRPSDLLVLYFSASAILYIPTLRSLWSMPCH TQTPGVLWVVIYVGTILLAAIESARKTQSLLYPPEKDVSPEGFTGIWSRGLFAWTLPF LRLGFSDVLQLSQIPQVGSDLRAESTLTTLRGPWQIHQGNYRLIRAVFSSNKWLFLSA VPPRLSLSAFMFCQPFLIEASVSYLNSTPDEYNKYYGRALVGAFVLAYAGVAISRALY MRQTYRLIARIRCSLVAMMYQHTTGFRAAELQDSSVVTLMGTDVERIAQALRLIHELW VSIPEVGIAVWLLARQMSWASVVPLIVCLVSVVITSRISASFGKAQVAWNERVQKRVA TTAGMLTNMKAVQMLGMSNIMNEIVTHLRMIELKTSERFRAFLIWQILLGNAPVTIAP FATFTVYAIIAVTTKSDTLLSAQAFTALSLISLMTTPLIQFCQALPYCVQSAACFGRI QEYCLKEQIFNTMDNPLSSSSELIPLRSIDTLKNREGHLIHYYHADIAWSSSSEPILR DLTLDIGPGLTAIVGPVASGKSTLLWSMLGETALLAGTASHFTAAAFCAQTPWIMDET IQHNITMGLNLDREWYNFSIYCACLYEDLTRLPRGDQTLAGSNGASLSGGQRQRVAIA RAVYSKLPIVILDDVMSGLDAKTVNAICSRLFSHFRKAGISAIVATHTRSVLPHMDTV LALESGHMVQVRDLVGVNIQSAGVVEQVPSATESPALESILQNNNDNLDIPQDSEPPN NGSDSEESESVPLDSERQRGNWAVYSYYCRSAGLLSVVIWITCSMLAAVFTGVTTIWV EIWTDENQKHPNQRLGYYLGIYALFMVLSNLAMGGELWYSFIKIISDTALHLHTDILD ATLRAPFQFFQEVDVGSITNRFSQDMDLIDMVLPSQAAHLMIFSFSGAASCLVQLIIL CVLGKYLAATIPIFLGTLFILQKCYLRTSRQVRLIDIEAKAPLYKQFIETVSGVTTIR AYRRSPYFRHRNAQTLDISQGPYYMLFCVQQWLVLVLDLIVVTLAVIIVAIALTTLGS ISAGELGVALVLILQFNSLLSQSIQAWTKLEISIGAVSRIQQYVRDTPSESAGDPAPH QWPSQGAIRFDNVVASYAPHAPPALHGVTLSIRPGERIAICGPSGSGKTTLLMTLLRM TALRNGLVSIDGTNVSTLSPNDLRAKMNVLPQEPFFMPGTIRFNLDPRQCSSEHAIRA ALDKVGLLTKVESNGGLEAGLDSRWSQGENQLLCLARALLVPSKILILDEATSNVDDQ TEGIMLDVIGQAFKDHTVISVIHRLSKIDTYDRVVVLKDGRLIESDSPASLLEKDTVF RELYQAYVSGH PFICI_11237 METRDPDEETRLLDPEPPASQSPGSKPSSGPGFWQTILSATAVL FILEIGNQLSLAPSTAILEATVCQKYYAAIDGHLGDCKAEPIQSEVAYILGWKDVFEN LPAILLAVPFGALADKIGRRKIMILATAGLVLNDTWIRLVLCFSHFIPLRLLWLSGLW QMIGAGVATLSSIVYAQVSDVCPAEQRTTAFSFIQSAGLVSRVVFLPVGAGLMSIDPW IPMVTTSGLGVLSFLVALLLVPETLQLDKQSSDERGDTEQLLGARSNGQDKVGLHPRL KILISRAVDLNHWIMGNARVVCLLICLFTFYLGQQSDGTILLQYASKRLHWTLGQASL LLSLRAGVTLVLLAVILPALSSFLLIRLDLHESIKDKRLTQACGVLLAAGSSIIFFAT SWEALLVGQFLFSAGCVFGVPARSLAAGMVDQKHVGVLFTVVSVAMQGGFIAGGPILA TAFKWGMKLGDFWMGMPFLVALICFIVGTLSISAVTTKIQISNGDAGIEIEN PFICI_11238 MRLIDIETLSLEDVGWPAPPYAILSHNWGDSEVSYLDFLDAATR ETREGFTKITYTCEQARRHGLRYAWVDTCCIDKSSSAELSEAINSMFKWYRGAAVCYA YLRDVPGDDDPPSSADSSFRKSAWFQRGWTLQELIAPARVEFYGATWSFVGEKADLTE AIENVTGIDADILRGGDVATVSVAQRMSWAAHRKTKREEDIAYCLMGIFDVNMPMLYG EGRKAFIRLQEEILKESEDQSLFAWRATPESAAEAPYRGLLASSPDEFADCRGIVPFR NLSARDILASLTSRGIPLTSSLEFDPTSDGNTAKVGLNCRWGSDFKNVIGLEITCEGG DQYVRSKPSELLSCSSHARQETVYVRKSLHAAVVQSVPELERQHAIYIGTLPDGVNVR GLYPANVRYSPKFGLLHLGSWISDKAIIEMELPWTTDRLLICIWVVQAKDSRDYECFF MATIASSIDAVKDLRRPKDLASQRVATYSPSNTAVVVTLKPGKIQGFDMFCIDVSVQS DKRLIGRRLRSIYGASRV PFICI_11239 MLNLLLIPGFCCFIGGMVNLRDVYGNGYEQALSTQGLEACYPQL MLATAVLLVHEFSFHSGDTARDDSVRVLSLIAAAALLTTFVFYLWVQLKTHDSVFNNY STQPPEEDSVIAYQNSEEDEEDEEDATLLSPVAAWIVFLMMTATFAFCAQRMMSAIYN SPSGHYVNRNLLGFIVIPFIRYFTLGFTAVVVAIKDKTHLAIGLALGATIDMSSFETP ILIILSQQLSHKPLTLDFPACM PFICI_11240 MRTVGPSFHHQVRRSFNKSFDERLQSGGGTDEQTPLIGNGHSNG VVESTWHEILSDITRTPATDSPDLFIRWIAHAWHVVKVTLISGKVYASWACVTEDCHC PFICI_11241 MASRSFLKPAAASLRAAAGAVRSSAPSVPSRWNSSSSTSAMAYK ASRRRSQPLPTSDSPSPWSAQAAVSNILYETPTPSTVPPKRHILNCLVQNEPGVLSRV SGILAARGFNIDSLVVCSTEVEDLSRMTIVLTGQDGVVEQARRQLEDLVPVWAVLDYT NSPLVQRELLLAKINILGPEYFEELLAHHREITQESPDGAALEGDRALADAAEDFHPS KLVASQALRLKHEHLKSITYFAHQFGGKVLDISTTSCIVEISAKPVRINSFLKLIAPF GILESTRTGLMALPRSPLYGPDEEALQVKDADEIVDASQLPPG PFICI_11242 MRYSSFRLAKGVFGNIQSRSYSSLGGSTKSRHLMSIADLSRDEF SQLVRNAAKHKQAVKSGNVPQSLSTSLAGRTVAMMFSKRSTRTRVSTEAAVALMGGHP MFLGKDDIQLGVNESLYDTSRVISSMTACMVARVGPHTDVTGLAKDSDVPVINALSND FHPLQTIADFLTIYESAPGTASPGDLVPSGLKVAWVGDSNNVLFDLAIACVKLGVDIS VASPRGYEIPSNMQQLIEAQADGVAKPGKLTTTTEPASAVRDADYLVTDTWVSMGQEA ESRKRLQDFAGFQITNRMAAEGQAKSDWKFLHCLPRHPEEVADEVFYSDRSLVFQEAE NRLWAAVAALEAFVVNKGKIL PFICI_11243 MDEGERRTVKRSRFDQTEPEPRRSRFDRRSRSPPPARKQDSGRD RSPLKNDADGSAESKRPNAVDAAAAAAAAAAKINAQIQAKKGIQHVDVPPIRSTSTES PGPNAGNPQPNLNGEVYVADGDYIKDMEVNDLRNRYLLTKGSTQKMIKEETGADVTTR GSYYPDKSMATAANPPLYLHVTSTSKTGLDQAIAKIEELMKQELPNLVDERRFRRRDQ EQVERDEFGRRKWPDAKIPIDLEPVPGFNLRAQVVGHGGSYVKHIQQETNCRVQIKGR GSGYLEASTNRESDEEMYLHVAGPDPAMVDRAKQLCEDLLASVKEQYEEFKSRPPRQY GRHDGHGGHGHGGHGGYGGREDRHHHDRSGGNQGYGGYGNNNNSNYSNSPAHGANSAS PAPGAASAAANPNDQYAQAYAQYYGGQADPYAAYGGYAAYMQYYQQYYAAAQAQQQGS PAPPAPGQSASPPPPPPPSEAPPPPPPGGDPNPPPPPPPSGGYGSVPPPPGL PFICI_11244 MYSRYVPAPKGETPGQPSPQPSRVVPTSHQTEPARLGSYSRYIP PARPAAEPKKQFHFEQEATDTLVSEQPPTKRAKVEPPLRPVPEQIIEPIAELSEKTDR KQKKTKKDRRSKRSPSPEQVTASPAPSANIPVVDAEIDPTESTISTERKKEKKKKKKA QDPTPKDDQDAASEDDETHRRHKSVFEKKRKSMKAPETVAAQVDGLAGEDIPMEDAPE PVEAHGLEPLPQPAPVPEDTSPPDFETLPPWLAEPIRVSRQAQAPFTDFGLSPDLGIS PDLAARLAQKGYKEAFAIQTAVIPQLLPHHCRTMQSDILVSAATGSGKTLSYAVPVVR DLSHGHRHVTRLRALIVLPTRELVRQAQKVCEECAGIFSLDGKKRRVKVGTATGYQTI QEERRVLLEREDRYDPEAYQAKKKRLSSQTWSSHDDVDEKDEELIEIRRREDEKETLP DYVVDYKSKVDILICTPGRLVEHIKYTPGFSLDYVRWLIADEADKLLGQGFQQWLDIV IPKLHDDNLKARHHKQSHLTGIRKVILSATMTRDLDLLEGLRLRRPKLVVLEGSGAGV EYALPEKLQESALKADATLKPLFLLDLLQSSYLCNERIPNEQSEDTSSSESESSGSDS DSDSDSDSDSDAEKKTTNAPTRQTTQQELPRSTLIFTKSNQAALRLSRLLGILSPQLG EVTGLLTSETAYSTRKQTLQAFTNGKIRIIVASDLVARGIDFEHLENVVNYDIPSSLA SYVHRVGRTARAGRSGHAWTLFTDAEARWFWKEVASEKTVQRSGKVERIRVTEEKEAE FEVKKERYEKALQTLGEEVMDKRRGR PFICI_11245 MARRTYSIAMVCDFFFPQPGGVESHIYQLSSKLIDRGHKVIIVT HAYDDRKGIRYLTNGIKVYHVPFAVIYRSVTFPTVFSFFPIFRNIMLREQIDIVHGHA SLSSLCNEALLHARTMGLRTTFTDHSLFGFADAGSILTNKLLKFTLSDVDHIICVSHT SKENTVLRAALDPLMVSVIPNAVVSENFRPLNYPADPPAHGTGFGQPPAPPNQLGPHD PINIVVISRLFYNKGTDLLTASIPRILENHPNTRFIIAGSGPKGIDLEQMIEQNVLQD RVEMLGSVRHEEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTAVGGIPEVL PSHMTVFAKPEEDDLVVATGKAIAALRAGKVRTEKFHDQVKDMYSWSNIAKRTEHVYQ GISGDISESEFYGYDMSDPATWATSRAGVRSFALIDRLKRYYGCGIWAGKLFCLCVVI DYLLFLFCEWLWPRERIDIAAEWPRKHFVENDED PFICI_11246 MAIPDKRDNARAYRKFMRTKHYTGCSDEDRHQAVNHAIYSGFTR PQWLVDVRPRYFVTLIEQKTLTPNSPMFKATSASGSATTGTTDTTRPLPDTIAPSPGD VPNETDGKASLKKKTKKNKSANTESQNPENTDTIVPSRKRGRPRKAPGAPKAPYNRKV PMAPYNKKTPKPSRGSTIPAVQQPLPPRSPSSSEEEPQKKRVAK PFICI_11247 MLTPEEREARRYRKALRTKHHTGCSDEDRAKSVNHAIYEGFKRP KWLIDNTAVPKSTILQSSSSSSNLSPTSSTPASSNKRQRSDTAASSQDDPTRNTDDSR PSKKAKVTVNARQDIQEVAPPRQRGRPRKAPGAPKAKYTKRQAAITQQPSPPRSRESS TRRVVMPVSPSQDVLSQDEIDSLEKELEEALEDDSSLFGEDEPEQASDQINQSSLSDQ DLLSLEKELEEALEDDSSLFGADDSSLFGEDELQQAPDHMDLDQSSLSQQDLLSLEKE LEEALEDDSSLFGEDEFEQESDQTDQHSLSHQELLNLGKELEEALEDDASCFGGEEPE EVSDQEDEPSLSHEEIASLEQELENALEEELEENCNNDGYESEVSEEE PFICI_11248 MPVLEGIVGISATILRDGRPVGELNDHPWIIKTPMTATRHIEAD TGLEPWSIFMTVSKDYDFSAGQMHSLSFSVYVDGFCIGRRVCKPFDVDSCPWEETMTF GWYEIGSCHWPQLGKEFNLGPQRPNDLKFANFQLPRSNNPEKGTLEVRVHRSLDPTIV GENIAKAFDEDDETFFQTFHRNSAADMYPGEAIRSPFASFKFIFKDLDDLIAEGIDLQ GPCMYQRPCVKGDYQNQIEKYGAIDVHKTWPQEKKGPSGWLIAQMEHIQFLEDSHPVR APVAQMDPDANPPLENDPMYRAGWYAPTLYNQAESDSDDELKDDGDSRLSDPDLTCSQ EVEDDDDYSDQESDDDEQEEEEDYSSSEDDDQDFYMGDLDFTYQTESDDDDEDEFLET NDEPPRKKQRMF PFICI_11249 MEFIKDSSIGPGSPEDVSSLSSTSYPSTPINENAVTTVVEEVAL PRSPPVVGDRDVQQEAVLHARAYQTEMLQESLKQNVIVAMDTGSGKTQVAVLRMQAEL ERSSPDKIIWFLAPTVALCYQQFNVIRSQIPRVVTKMLSGKDGPETWSSAQTWDKFLN NVSIVVSTPQVLSDALQHDFVRIDRLSLIVFDEAHNCTGKYSGVKVMNVYWKIKQAGL PVPSILGLTASPVMNISRHGLEIIERTLDAVCKTPMKHREELLAQVSRPELICVSYKL IEEAEATISTAAMNSVRHVLIGLDIREDPFILRMLHENTERSRNELLIAVQGNDTPSI RQLTSLYRKSVEIRKELGAWAADFFVFTAVSQYLEHAAKGEISHTAWHLAEKDYVVGA LNKVQLPQLCTPDDLLISDKVKRLITRLSNCPENTLGIIFVKETATVSALHNLLSKHA ATRDRFRIGTMVGISRSVARKREVGEIDREDSLLNLESFRSGHLDLLIATSVLEEGID VPACNLVICFDRPSNLKSFIQRRGRARMKNSTLLLFCEEGGDAQTTWEDLEREMKKQY EAEDREKLGLAVLEESDDAYEYTFSTRSGNEMDIDSAKAHLDHFCATLFSKQFVDCMP YYLFETIYTTGTKLEPPLFRATVVLPNSLPTELRRMQSASTWYSQKKACKDAAFQAYK KLYEAGLVNENLLPFKAEELATGPDIRLPEVEVSEVWNPWPSVARAWSHGPRYRHTVR LSDHCRTICEFELYAPTRLPSIPPFNVFWSSETWMVEIGPASPNTDIVDKLGEETMGL ISLALGYRSPVKEGGQHVLMFHSKLDSSKEDRHLSFAQLENWSAAEPLPYLIRNTANG APYFFEKWLTSRPPAEIVRKKMPKYYERIIEEQGGVDGPWLALRRWPRRQDFLHKIRQ EPAQPTSSKAYQTVWPVSCCRIETAPIPHVQFGALIPSITHMIEIYSVAQDFSERILK DLGFQDLSLVLTAISASSAREATDYQKLEFLGDVLLKLLSTISVAVNHPQYPEGYLSV MRTRMISNTRLCRATKDSGIDKFILTKEFTGHKWKPFYVDEMAVTEDVSGTRRMSTKV LADIVESLTGAAFLDGGQGPRGMEKSLACLRMLMPDIKWHSMDEGRNVLASLKEVKSE LPSNFQLCEKLLGYSFTNKTLLIEALTHGSYNLGSSDDRSYERLEFIGDAILDYIVVL KLWERDLPQSLMSPLRAACVNADLIGFLGMEWSIPQETSEVVDRKPVSSTMEIPFWKF MRHASSEVGALQKDAEKRRGLERGPIMDAIENSSTYPWALLAHLHIPKFFSDIFESIM GAVWLDSGDLRDCAAIVERIGILPYLERILDQGIDVFHPKNKLGEIAEQKKVEYRVEI QQGGGTQLSCKVYVGDEMVVEVGEGLNKDEIITKAAEKAYMILKARKDGNVGINVDSM DIDQ PFICI_11250 MQLYTSGFNAWDQLNFGKGEQKSEEQPSDYRDFVEVFADDRIDK VWASLTAVRVESSNGTHVAGCLEDWCDTQSDIFSPTVAVTGAYWLAAYGRNTKTVHQY QSPNHYLEGQIHDIFHDLGNIIQITSYETGFVALSDEGKVWSWGDGRFSACLGREVTD EFPANAPGLVTDLVELPTGKITKIAAGGYLVMALTEGNDLYAWGGHPGLPAMFDGLSE SPTPVDVDDSDVVDFSVGSSHAALLSKDGHLFAVGDNTNGQLGLPRKEKLHSWTRVPI ALGPSKTIISVVCGPRNTLFVVNSKSTQAAS PFICI_11251 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLADASSLSKISLITPNIGMVYSGMGPDYRVLVDKARKVSHSGYKRIYN EYPPTRILVQDVAREMQEATQSGGVRPYGVSLLIAGWDEGIMPDEEALVQGEIIDADG NKKKISSKTGGIHKGGPMLYQVDPSGSYFPWKATAIGKSATSAKTFLEKRYTEGLELE DAVHIALLTLKETIEGEMNGDTIEIGIVGPPADHLLGVEGVEGATGPRFRKLTSQEIE DYLTNL PFICI_11252 MDYSEQTYDFGAGADEPQPQPQPRGKPAECNICRDVVYPTYKEP EILDKIRGRKPRKVYENEEGRLICPCKCSGSIKWIHESCLQEWRYSQAGTENQWRCSR CGYRYQFERMDWARRLRSPILAFLLAVLIVITTIFLLGFVGDRILDLWLDPVGTVYEA LGGESDEWDLDVDLGGGLGIPIRDIDEGSWSFHFLKGLFSLGLVGFVKAFLAMSPFQW WNLRQAGVVGGGARRRGTGRDRLEDVNLMLVLIGAITFFWAVWKGTRRWTQNALDKAS ERIVNAQPVDDDDDDEDNNDNDERRDDFKAEEATDPSMDELHEDFIKTEDLPSESHLR QRI PFICI_11253 MHFAYPPRKSSNPPPFRPRSSRIPTIRRIRPKTLAIGGLAIMFL IWLFSGSSSKSSSGRRGRVISGDPPVVMVTVFDEKLWGGSPDYLEDIRDNRMQYAEKH GYKTMLVSAGGYDIGSDPMSWTKVTATRHALAEFPDAKYIWYLDQHSFIMNPELDIVD HIMGTKNLEKTMIKDLPVVPPDSIIKTFSHLRGDDIDFVVTQDKDGLSAGSFIIRNGD WAEFFLDTWFDPLYRSYNFQKAETHALEHIVQWHPTILSKLAIIPQRLLNSYNRPKHG EVYEDGDFVLRLAGCIKGNGDNNCAEEAKNFAPKWKKAFAAA PFICI_11254 MPGSSRMPISLRESFDSIKKNRPKNPWSVVNLDLLRNIVFFFFV LRLLRRSFWQLKGRGLIGTVAELYTDARRILYGYFLRLPGVRTQVRKQVDDALTKMSG KLVPANGTRHLSLPREAWTDEAVRTELEALATMDHTRWEDGYVSGAVYHGEDDLIKLQ TEAYGRFTVANPIHPDVFPGVRKMEAEVVAMVLSMFNAPSTAAGVSTAGGTESILMAC LSARNKAYAERGVTEPEMILPETAHTAFRKAGEYFKIKVHLVPCPAPLHQVDVHRVSR LVNSNTVLLVGSAPNFPHGIIDDIAALSKLAVRRRIPLHVDCCLGSFLVPFLEKAGFE TQLFDFRLKGVTSISCDTHKYGFAPKGNSTVLYRTAELRTYQYFVSPDWSGGVYASPG IAGSRPGALIAGCWASMMRVGEPGYVDACSKIVGTAKKIAEHITTAPPLSAELEIIGR PLVSVVAFTARNLNIYDIADGMSSKGWHLNALQNPPAIHVAVTMPIAKVWEKLVADLE AVIEAEREKERVRAVEGKGTRGKAMGDSAALYGVAGSLPNKKIVVDLANGFLDLLYKA PFICI_11255 MSWKASERLMDTIRHYANFPATGVSLRQMVQFGEKPSTGTLFRA SQFLAEELPIRLAHRVQELHTLPDGLNDMPSVKKVADWYAQSFEEITTLPRPELSGQV RERLLRPSSFNNNGVAKMLSEAIPNPSLDEGQYASFNNGNGSSAGRKQQAARRYFAMV DDSNDWPPDLKAYNQKFSQTLKTIKRRHDGVVTTMAQGILEYKRKRQRMQIDHNIQSF LDRFYMSRIGIRMLIGQHIALTDQSHHRDPTYVGIICTKTNVRDLAEEAIENARFVCE DHYGLFEAPKIQLVCNPNLNFMYVPGHLSHMLFETLKNSLRAVVETHGQDKQEFPVTK VIIAEGREDITIKISDEGGGIPRSAIPLVWTYMYTTVDRTPNLDPDFDKSDFKAPMAG FGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ PFICI_11256 MSGSPTEELEEPQPSSPDYPGRQQPGDSTPTFSSPPASPSLSFH FLGRSPFSPLRHRSSSARLPSPTPPSRSADELLDVAQDSKDVLIQRLNDLAARLSAED EVQGDSVDSMHAKVDELEHALAHGWANGSHRHRRNQSSLSLSAASGDLSSGTPRSSWL KSRLSEMTLPKPTPEMEPPKEEADIERTTEEDQQSDVDARSQYADQILAQAQMLQRSL ESIVANLQARQEEQDHIHDLLITRAERAAQRIIHLEERINELEAERNDGEMEVLNLQI QLKAIEVRCLAYVPKDADPDLLQSIDTWKAEWSALKRKKARKKNDTSADHLPQTPRSF RRQVLRSQTVE PFICI_11257 MSSPPPGPTGNEKPTRKDSEHTEATHSELEHTSSRGASNDDHNV GTNPHLDAEEKKRLEASAKLENPLAGLSPSELARRGEEFSAKHGFTAEEDVRAFRLGA MLAGNMNKYDTIDGLTEREKDVLDREITHKWSNPKMLYAVIVICSLCAAVQGMDETVV NGAQIFYKKEFNIDDDKESVPADLAQRNSWLVGLVNAAPYICCAFVGCWLTEPMNKIW GRRGTVFVSCLISAAACFWQAFTNTWWHMFIARFFLGFGIGPKSATTPIFAAECSPPK LRGALVMQWQMWTAFGIMIGYVADLAFYFVPDMSGIIGLNWRLMMGSAGIPAVIVCVL IWWTPESPRWYLTKNRHADAYKSICQLRYEKVQAARDLFYMDTLLQVEREAMNIGRNK FLELFTVRRNRNAMIASEIVMFMQQFCGVNAIAYYSTQIFIDASFPQTSALAASLGFG IINWLFALPAFYTIDTFGRRNLLLTTFPLMSIFLFFTGFSFWIPENSTAHIACIALGI YLFGIVYSPGEGPVPFTYSAEAYPLYIRPLGMSLATATTWFFNSVLSLTWPSLVQSWT SQGAFSWYAAWNIVGWVLVLLFLPETKGKTLEELDAVFNVPLRSLNSYGIKQFFYFWG HYILRRDIEPPKVPSARDTVEYTERQFTKEKQHEPSARV PFICI_11258 MTKSHELNPADNVQPKTKAHPQNQEQQLEASGLSVIGEQANPDE LYGRLDLVLGLHNLSPPWTPVGSSVSSHQDSLPMTQQAPIMFEKTPRSNTAIEVFDTS LHNPFSSRDRSEKETVSQEVDWLDGCRQLFDEHGVATQSLGKALQRLAKYIIDEYAPK KSLVITPDKIAAFYTAYRQDSDVVPFVVDVNDCLEDFYDRLRIEHLLIQKNICSRPRI PALSVDGFARYLAICVLAYPNEEIRRLDRAFVDLPLALDAVELNERARGMLKTIPEAY LPIKHDRVLRRRLDDAFLMLMTDLKMTKSICRDRQYMSPNVSHRGREAGDYFSIPKSN PLSPKSYWATRDDRVRLYSPRESQAKYIPGTLQTIDDDVGQDRGFTRWNRPQFRWESS SSSRSGASDTSMGGRLNVTSPAARDPPLSPRNARSLDQYGTAVEKVAFGRNESPQLRL DTASVLNIYRRGPPSSAPSSYVCTPSGYSSKPPIDGRRVSTDSLNHKVQERRHDELAI NGPLSPLTGVSPRQTSARPYHERQRSSEIKRDSARGYLGDKHESYIERSSSGRGFGGK HNDSQWAERERKRDTEPERQSSHRRSQPLQRPNVVRTESNRDDRGRSSRDEDAHKRDH KKSEKTATARRH PFICI_11259 MPSLVGNTSALADRSNQNGALPQTFKCSIGGEWKPNSSFSNKQL AKWRSAKRSHNDRVTPASIGLICREHSGEPATGLKCNGPCGLWKARDQFSGNQRRNNL PWCIACTQWQVEQDYDTVPLAAPNEINEAADEHQGFSNAVAGIEIDEDDDDDDDDDQA VFASARSVRTAGTTLGDDDEDDDDDRHFGTDDEDDNYNVPRGTSVTVSNVTAAQTRPK APAMATNVKKAATTATSASRIGSSIPARSQASNAGPSSLMGSSAQSGPQSPEAWVPPH LLEKENMMTLRENNIVERHVPNYSQQASTASLTSYSGQPQPRIAPPRRHAFPTQSGAS ISTRASERSYTTTTGTDYRRRPENIAQGPPGSRRGEGTPSIVSSDSRAGPAMTPNTTQ RALTGNRQATSNQSFQAYDDQGNAHRRIANPGNSGPSAPSAQPARGPVDRVRPQRGNF ARVDQRRDFEIRQRPQTGAGAYHIVVYDSGSDDSF PFICI_11260 MTVAHDLAHRGSAPIHTSSNMALEDRFEVLKEIGDGSFGSVVLA RVRSAGASVARRGTVIAIKTMKKTFESFGPCLELREVVFLRTLPPHVHLVPALDIFLD PFSKKLHIAMEYMEGNLYQLMKARDHKPLDNASVKSVLFQIMQGLEHIHAHHFFHRDI KPENILVSTSAHQDSINSFRRYSALVTPPSTPPSYTVKIADFGLARETHSKLPYTTYV STRWYRAPEVLLRAGEYSAPVDIWAVGAMAVEVATLKPLFPGGNEVDQVWRVCEIMGS PGNWYNKAGSRVGGGDWREGTRLAGKLGFSFPKMAPHAMDTILQTPQWPSSLAHFVTW CLMWDPKNRPTSTQALAHEYFTDAVDPLRPRSSASRILGRKPSEIGRNAKDSSPGPTS SKPSWFRKSLIGRTDSTETVTVQAVTAKDPVAAHPSPPTVSEIPAAKVRPPNGKRTTW TNGPSNVAPIHILPTIKPISPMSDTVHAQASNRTPSYNDSYANGNVRKVSMEEKAAKK IGRQLSVASSTNNYAEIHRQQAERALNGNSGLVSPPSAHKESFFSHLRKRARRFSGRH QTPVSPAYDDIEAQAGCGPWASNRSSMVIDQQPGPVPTKIENYDSSLETSQPPIPPTH LATPAGNLKRHHSLPHHQPRSVDNLIGAARSVGPVSSRTRRAQVPHGVQQYDAPAEED ELLDEVLNSTQHAMKRMEGDGKPGLHQSTSNLALSNPYPTPSPSASGNQVLFGDSHEV VTPKPLDLKKTVHDAQKWPTPPYEESEWASSAAASIWAAGNRF PFICI_11261 MSFNLPVRSSRSQRNQDSRDRYFGTFTPASSAEAINGPVREFAP ISDRLIVGVDFGTTFSGVAAVYTSTPDDVEIIKTWPGGNGITSDKVPTEISYDTPANA NANAAASPASSTTTVGSGSGSVPGPAVKWGFQFKPEESRLRCIKLFLDRSQKLPFYVS PQETATQLRKYNKNVVDAVSDYLTQIYRHTMDTLTRRYGESFMASTKVDFVLTCPAVW SDAAKNTTLQAAERAGMGAKSDIQMISEPEAAAVYTLKAIQPNHLNVGDNFIVCDAGG GTVDLIAYKIISLKPLRVEESAVGTGGLCGSAFLNYRFEEHVRTRLGHSRFDEMKMKK GKTWQMGLRYFEEFVKRNFNEDEYQEVNVPFPGLPDDEEAGLDSGFLVLTAAQIKEIF EPVVKEVCDLVQGQVNTVRGKGGLVSGIVLVGGFGQSDYLYRRMKAHFTSAAPPPYTE RPTHASGAASLSENGSIEVMQPMYAWTAVVRGAVLRGLEGNMVISRKARMHYGTSYAT VYDESKHSVSERYWSPLWERWMVSDRMQWHIAKGEAISPLSPIAFHYTRNFRPGQSLI VTDDLIACEADEPPVAYGRELVHVCTLTTDLSAVPRSLFTRLTTTRGVEFDNLDFTLE MIVDSAGLGFELKVDGVRYGRVEAEFH PFICI_11262 MNSPAAPTDVLTSVPTLKRPYPFDTDEHHLAGHPQSHNSSSDAP LRSQHVSAASNDMACFGSIIDVKVNPHNVVALKQFGSWRRSSVQSFAVIQEGAFLTLR HEQVKFGRLNKGLCRHLHDLVANNRLRFQVFISSKDLFAAMRSHNCETPDHLPAEINI YGSKLDAREIGRILSKLGIFLQWPQHGIGAIEYYNPQIMKIEGLPDRLPPGASQISTS DVHGALDEAGGISAKGQDTTTVDSILDSLSHRANIKEIATVPDIKSQLFDHQKEAIDF ICGRETEHIDSELSLWQYNDKDADEPFYQHVLSGAKRPERSDARSGIIADEMGLGKSL VVIYTIASSLDRGEAFVAAEKKQRLSQPERKVASKATLIIAPSSLLIDNWVEELNKHT TNGALPFHRHIGSWRHKETRYLHERPIIFTTYATVAAEFRRGDNTLSRINWFRIVLDE AHDIRNRSTKQFQAVASIAALHRWCLTGTPIQNSLEDLGALISFLKVPILEQTPAFRK LITNPIESGSRSRFKNLKILLRAVCLRRTRQQCLDIPEPISKQRRLVLTQSEHSDYQD LLAQGRMEIDMAVSRRGKSNINSAFLESLLKLRLFCNNGRVNAVMQSGPTGLPTDPDE ALIYLQQHGQDVCAYCSATIYFINEDPRNDGGIFITGCSHLLCHNCVPIHLSEKKKSC PTCISQPDSVSETTLPSVNMHIETRRRDINNGMGNTVPYPSKLQALLSDVIQDSQHKS IIFSSWKKTLNLVSQLFTTHGIRHEIIDGSVSLGKRLGVLKNFRSPSGANILLMTLGT GAVGLNLAVASRIYLLEPQWNPSIESQAIGRALRLGQTDQVIIIRYIMKNTIEESNVL FRQKRKLELAGGGFDQSEKLQAIRDIFGVDRNSTAG PFICI_11263 MSDNHQQSSFDKAIGEFTQDLRRRDVEDFHSTKLHHLKTTIANL QAEQHSHRRLQDLTRLQRFLEAIEQFGKVISTFYRNNDVLAFVWGPLKFLLQATSIND KAFGEVLSAYEHMGENLPLLVQCQGLFRVRPHMVRVLALMYEGILKFQRIILRYFQQP LWQRVFSESWTTCKSRFSNIFRDIAQHRSLIESQATPSQVEEIQENIQRSRQAQEIEF DEQNERRLGEVHNWLRPADVDVDHATFLKVRADYPGTGKWLLENESFKDWFHPQYAPV PPLLWLSGIPGAGKTMLASLVVQKASELKPAPTVLYFYCKHENPERDNFVALGRSLLA QFLHHNNELLPSFYQKFCRSGQVVLSSPILVEELLTLAFGNCKSAYIILDGLDECPRD QRKYITKWFRKLIEDLPSKEADRLRCLFVSQDDGPARKDFDDLASIKIADEDTQHDIE EYCRVQARKLLENNPSLPGEKVDWIAMTVSKSVKGRLPHHSCSFFFIHSLTRHQGLFL LASLIWTNLSNHTSIERLEKELEPNVFPKQINDAYRRIMVRINEQAVPEAREDSLRLL RWLVCAKRPLKWHEIQVMNSVNRDERRIAFERQSFIKHPKDLLASLVEKRSDGSLDFC HLSVKFFLLEEEGYVEPSSEELNLAILCIDYLNLPAFILPPTKEGVLNGDYGFMDYAA LFWLRHMEVGIALKDGEKEEVMEDLSESLEILIDRHWNSPTIQLTLAKRHSDKLQHFR ELPFYDQLEQAVASTKQQLKKFGNTKMEENALNLARMVCDVRRILESTINDDSQHIDR TFIEERYGTNIYKCPRFSCQFFTVGFISAAERDKHISKHERPFRCTDETCVGYTFGFS TTVEREKHMRENHSEGTVQDEEFPTEQDVYRSILDQQNAAERRKSPDPPNRDDGTGDA TTAASEEALSEAESEVEPQYQPRLKRPRQTEFKCPYCKIVYRKRYNLSSHLLSHASQR SHVCEVCGLGFARPNDLKRHKNTHTGDKSFVCGGFLRNGASWGCGRSFARGDTLDKHY ESKVGRACIQPLLQEQETVE PFICI_11264 MSLFSSPPEARLGSLGVEPLLPCPPLPNIVSEWAAILPLVCHLA GQRDDYITTGEIALMGRLSVGIFPRLGTLSGLARLLDRGTKYLDHASTRGGSSRTVYD VKWGSVFPCANGAACAAISRYLLSRDRQPPQRMPETRPPQPEEDKNEKSSFHQEFKIR PVSSSLRSEVRSIASGEEKTGEDVRRYQVLHVYQLNRKPKQNSLRQCVTRLPLSLPGQ LLWSSTLLCLAILFCLIGCFGPAALVVCNSLSQLVALRVPITRPSTYLRNNEMHDACM LVASHENASEWHLFIGDRGVADTLLNKPMFMVPKGRSTRFAAGWFWFANLFQLTAMTY VAAQKGWDGVWLVALLAVHWALRWSFSGRALARDWLEREGIDANVRSFEFGGRYAMMG AIQVLSKSTATRWMDNILVPHPRREAWLGALGVGEPTGSLNFHDQSWLEYTTEASLAS AEVMKSTFGLSQCQTSV PFICI_11265 MRLLKTNGYQLTEANDIPVPFPQYAILSHTWISPKDEITYQDLK QRKGDIENDIFKQKGWAKLQRYCHRAARDGWEWAWMDTCCIDKTNPADTQEAINAMFR WYQNAGICYAYLDDVDVGRVVSDPDLADIDLDDIAGKNNVTDPTSFPHEALKPFMTKA KWFSRGWTLQELLAPPYLVFVDQAWRRIGTRESWAEQIRKASRIEARHLTHFDPTDFT ACSIAMRFSWASLRETTVEEDETYSLLGLFGVSLPLIYGEGRWRAFNRLQRELITVYS DDSIFAWKIRQPLSGRITESHEKADKPGRGILAPSIREYWDASKIEAFGFYDNSFAMT NKGLEINAKRWRRKDDLSMCLIRLNCGFGASSRLAIHLTHVNDTYDRIQLHQICDMET IAPDEWEEESTGEPTVIRASNYSNVSISSSILILEYPNRIKIGNKYFVDFDTSIINTK LKLWDESFSQQGFTEDEFVMKPNRLAFINITLQDEASRSKFDIIVNLSGKSFPSVGIL SRTQEPWERLGDPLTQASTTYEQLADHLHYKVATDPVYPVNATDEREDAMIGVCLLPR PRKKRPSQRNADKINSATSREYLLKITLQEDGQSDGHMPERSAKRRRTGA PFICI_11266 MSSQETITLRTIGAASTALAISSASLNASLTLFTIPRCLELPPP LNAQIWANMFRVTKWAVPIPMLISGAGYYYLAWTQRNIPSTIVVLPTINTLLEKLASW KDASVHQIQEDEDLGTTAHALIRRWSILNLGRAIPVMLAGLLGLYSYL PFICI_11267 MYRIFLIVLLYCLGLARCLIVAKDTPPLATPAPAFDGQLPRRST TPIDALSFAEVLLTAIPQSLAQIAATDLSAASAILWSDFLDGKKPSWFTSLPDNVQDY LTSEFGPKTTVAVSTTSAESSQSTSASASASASESASESAVASTSASSTLPALTQTSF ITVTASSDSNLATPTLTETSDSTSGFSRADKLAVALGIPLAAAILAIIILVFCLIRQK RNSRRRRTLMESPLQSSDFLEPDMVPVAPTMRRASSSNPFADPPDTRTAAVARQKRGS HGSSRTLTPVMEEARDDDTHRHILPVPLPERSPRRSMDHPPESWKDRQQPVITTTSLS PTQDDASHDWHEQHPALRTRESTSFSRPFGTYGRIGHQQGANDNYNALTSLPRRKPVP QRAQGPMLESSRNQKTVVPGRTDMPWSASYWKVPKRNYDMYENVTMNESR PFICI_11268 MRLSTYFPLLQLAAISDGRVTAPRPRLQARDIPGAVPANGIQAR QFDCTGLINAEAITSIYAQLPPKTALAFMSGSVVGTVSGFGARGVCKMVIGGQDSAEE RCTGLGQGIGSLIFLITGGVLIWASRPRVATVVQDFAGAELPRRASRDEGFATVMRDL LLQRGLEFDNVNAAPLQARDSVQGQTIEILGLRDPETGIAMDHIHHIRDDGRSWARMT PSVGPGSAIAAKRHLGPGFKISYKYSKFNEKSTMKFEEADLQAVGQTFGADWEKRVNE HDDFSRYFGQAKFGDDYTLRFEIIPEEDQYNDDYEKVEQCGIWD PFICI_11269 MKFAYSLLTALALAAANAYTPPADLEDGVYLVDTSSPGDSAKTR RNLEERLVRIGDIIQADPAAGTSENNVKRDVFTNHERGHSCHDANTMMLTDYSNAMAS FKAQCEQKKVPARKKNGLICSGKQGILYAKAGSSIVYHCNVGCSKQNCGGGHIQPFEE WADKTCGRLKGGFFYSDWDFTMGRDEWGSSFCNQVI PFICI_11270 MSRKVVSYLNAWLFATGIGLFLEIGRGETISCKPALGDLEWPSQ DKWAALNASVGGRLIQSVPLATVCHGKEYREKSCKQLQESWIYAAPHIEDPSSIYSPF WLNNSCSPFTSKNASCTLGNLVTYTINVSSAADVIKGLQFAKETGVRLVVKNTGHDFL GRSTGRYGLGLWMHHLKNVSFLDYDGPEHQGMAVRIGAGVEAQELNTACSAQGVRTVT GWCPSVGIAGGYTQGGGHGPLASAYGLAADNTLAFEVVTARGEHIIASRSDHAELYWA LSGGGAGTYAVVISQISKVHPDGLIGGASLTLVHNGSSVFWEEILPKWQLLLLELEQL EGFSSGFTATHTTFDIAFITWPGHTGDEISKQVSPFLRLLDFKGLPYGYTSSTKQSYV DHFANYSPPDYPDFGVVGGRLIPRTTIRNNSVGIALAIRNITSDSDFTAVGGQVNVSH AAVGNQPGENAIIPAWRESLYTLNIVGSWNPTGSIESLQSLQSTMNTNVVQVLRPLTP GSGSYSNEATFDLPTWKEDFFGENFERLLKIKNRYDPDGVLYGPATVGSDKWKVAQDG RLCQTMNESK PFICI_11271 MDDNSDHKNGEAHNAFTMDTRFSSPGTCLSQPDQETLQNDNTIS FPQEIAPAPTHGENSPPESKAVDEEAQPSDTAECHSEDPESNLPRQHIKRSISDAVGR LNICVLFGGAILSSAAVGFLIFLWTGGSPGTDGQNASYTWRYIILGSWLPQVITISTL ALRVSTSAQAAICTSFVAALTLERRPTPLAKAAAISTFRSLNTSPRALLWEMVGEKSV SHPLHPEAFLFILLAVANVAIQFSSTILFADLQSSLVVGFPNQTEKNVNFEDEEIMFL AWSKPPADYSLFGELPTHYSADPNPYGLSDTGIKRHAMLPFTKDRTTVRSYRGSSNVM SSRVACMPPVISGEIISVLNPTSNRRYGWMTGQIMYKDSLERANLGASDDCSSSNCST IVPFKCGIPSWMYDSQPKAAAFCSPQAFDIRLASSNWTLQKDPWTSTATMFLVLSSNF DNDGWALMNNQTTALPESRTLGEWQSFDFGLSREINVTLCFNAINFMLSNVSLSTKTN LVEPKMRYGFNASYTEDIRKFLGADPSVQRLEDRGVLIMDTITDPSDYVVGAIGNAHM LPSSQLSSSMLEFDLVYPSANETIAGCDLCEADNIRISGIAGIARDYSRMFMDILTST NRAAVAIQTIYTMLAQSAHDQMLDFYSVSAPAEIVQTVTSTIPTSYKGLITVVVLVLA NDLCILFLTALYIYHSRYTMIGDFWHAVSQMVGSTTEEILDKGNMSKDCRTFEGFEVN KYVQLERSAESGRVGVVEVGHIINAPSVLHWVSKVYRRTRDMVKRQTSGLSKMTQSKT RSSASALENEN PFICI_11272 MTTIDSLPAVDPSIFTHQKLDLSQQQIRILRLLPGEWSDPIQCS LYIVYMSMHPPYEALSYAWGDATNRRPIFIDGTLCQVTSNLAAALRRLRTPAQDRHLW VDAVCINQMDNTEKSHQVNLMRDIYSNAEEGLLWLGDFEEDHHGTDSTGPVYSISSNE HLSHTQNLSSVSDTDSAPPALGSTIISQAAAAKAFSLIRRLASNDHIPHENLVEETQA LNTLMNLAWWQRMWTVQEAILPRKTTIICGWLSMDWNLLASAASNVSSHNNQCCSRLF GESTFGMLVVFHQRVGTITEFRMNEISMFKLRSAFIFFQNRLASDPRDKVFGLLGLFP SQMQYFSKGADYSLSKQEVYKRMTFHLIKEYGNLFPLLRGDEKKRRRTLPSWVPDLEA KIDETMLDLAMQWLCIYNLFDATSGSQLELGNRILNHFRLKGVFVDEISVLMEFKNLG DAAGVVEQLKGLVTSAFSLQDDYPMGGTYEDAFWRTLRRDYSVESNQDGSGAFRRLRP SEFHDMGNVDIRDLYDNEAFCLTKNGLIGVGPAGCRVDDRVYVLFGGKLPFILRSARR RGKQNCFKYIGPGYVHGIMDGEALETKVDPDDITLI PFICI_11273 MGYLDLEEIWAYPNNQITFAKPSRKTFFAHPLKLLVGAALFLSL LFYTSIPDGFIRGFDRDRSFLGVASRDQSDQLDIMKQHDINAKMLHSKSFANRYSVRA PPSIREIDMTRSNGTREGQPVVAINALNPNNLVFVSTRFYPLPSLSPVGGCFLAYTLD RGQTWVNVTADYPLGDAPECGEPQVFSDANGTFYILNNQVFPDLESNEAAHPQLSKSV DGGKTWSTPSVTPLHMQGAPKLRIDQVTGKVYANGASSWEYPAAISVSSDGGDTWAPF NQVPGPVDVCLDYEIPDLPPVCGFPGRSIAVHDGILVSAAEGLEGHPEMYISRNDGVT WTTLPLTDSDGDFVLNGTGPMLPVSGVGLPSDPTPWVSADPTKTGRFALMVPREYTLE IYVTEDAGGNFTGPTVIETPNAQRPAIDFGLTGVLGVMWRTNSSGLLDVYSTVSFDFG RTFATPVKVTRDSVPVGQNGQPGDRASLIALNGKYAYVAWSDGRDGLLDAVWAEVPLA LFKNETI PFICI_11274 MAEVNPYGYNPNAAAAMIFVVLFAIATLWHAIIVFRLRVWYFTV LVLGGCLEIAGYICRFLAHDNASNLILFVIQTLTILVAPALFAASIYMVLGRLIILLR AEAHSPIRPAWLTKIFVGGDVLSFLIQVIGSSNLSKNFSLAKTIILLGLAIQIMFFGV FVIVAVVVDRRLSRTPTHTAQRLDAENTKLGWRGVLRVIYIASAMIFVRSIFRLIEFC GDSNSPMMKTEAYLYVCDSTLMFGVLAILIYYHPGNYIPSRKAFDQILQSRDEELL PFICI_11275 MRDDAAAVYSTTPVSSPPASSVSQYSSKSLLDLRLMHHYCIFTA PGFAGTFPEKVLQALQVEIPALACRHVFLMDAILLVAMVHLCCTDPASLDNMPVYYYR DQALKSLRHAVANISDDSTDAVRGASVLLAHISFATDRVTQQPGLWVANWMALALGQR NFRGLSWSSNHQYDGRGMYSSRTAPHGSADHLSVATMMPSDIHRALARENNDENPASE SALRTAATELGRLTTIVQLPYQTIDLEKQVKAWSFDLVPTEFHKMVQHRNPKALIILA YYLVLFKLLPESWLYQDLVNHDIEEIDQLISPEWQLYMSTPRLALQIDNKEEVIQLLG SSLSNGIGESEKS PFICI_11276 MKSIALISLATAAAAAVQINYYTDGGCSQFNASPPNVPIDGSCY QWQLSGTNSANIANCDHGRCQCTFYAGDNCQGAQDVATSPGDNCASNFGNGFRSFRCV VSD PFICI_11277 MPSHINRLVLVASLWVVACVNSAQGTSVSEIISSIPKCALPCVI QDLTEAKCSMTDVASLSDCICLDTKLLSGLSECIQTSCVYSDQLIASSAADSLCTAYP KESRVRDVQIAAIVTMALAIPIVLARCAARLQITKKLWLDDWTALLGLVLLTALAAME YISSRMGFGKHYWNISASNGPVLLQLFYAAQILYITVQLSAKVSIALLFFRLFPARWM RLTLKIFTAFMIGHGLIFVMVVIFQCWPIYSIWDKTVSGKCVDITAVGYVGAALSIVE DIFLVLLPITELRKLQVSQRQRVLLSLMFAIGSFAAVTSMIRLKYMVMFAYTFDATWD NVDVIIWSLIELFTAVFLGSLPPLRPWLIRLVPKVYVTWTKTRTNKSTSGFPQSGHNA TTDKDAESKSTAAIYDEPSAAPVYGDRKTSSSSYAMSTISSMRSADWNITPESPPLLP TSKFQNPTVAIPQSVRTHWSGQPGNPTNPNVLTRSTSHHLNRVASQDLESNHSRDTWS NLGGEGWTQSAADRLSSKFAGPRGH PFICI_11278 MAQNPAKSPPQKRPRLAEEGIRKRTPKACDSCRKQKERCEGGVP CGRCIRLRRVCQIQMTSASTDRKGRRLGLTEAVSERLEVMERMLQHFIGHVPSELDEL RRLAETLIAGGQSARPGPIDSGRADDSDASTMSDESFTRKEIAGNAAHYSGELSHWNF SNRVKDEIYRLGDDRDFHEAPSQTQYFRAKHLQISDPTALLVAQCFPPKPVAEFLIAI FFKYGQTNYFYVEEKWVMEKLEGVYKQASHGFPTDSPIWCILLMLMAIGTQFVALDSA RNDEAALDKSINDDSAMFDDEVGISLYQQAAKLIPDMLAIASVESVQAFLLLGVYTLP QDTSGLSYTYLGVAIKMAIQNGMHRTYSGVLLDSHALEVRKRLWWTIYTLEKRICILH GRPLSISRADIDVELPMETPHFQSPKFENFRAIIMLTPYLEAVASIIGRLGDASKSRQ TECLEELVRQSNSLKAWWCSLPSSFRCKDMDPQEDTFRANVHLNLAFLLTQVFMGRPF LFTYNETSASLGPSSSKASRARSTLTSDCIKAAHQILDFCLLLNDHGGLTRASYIEFS SCQAAMLVFIAHSLNENTKHLRDCLKKGMNLMRLMTKGTDPAKLEFPVVELLDRAIRR LHNQLGVQARKSTQQTCAYEQFKNWAQLWKQHSPPGQTYSDATSQLPSGDPTDIDLSE TLWSQAVSFETSFALEPFFPYPHLIGGDTFGENEASSLTNNQMPQ PFICI_11279 MTGQEDAIASKHDSKQTSLNETTVDIAMDKKLSHNNDTDLCTDV NVSVGEVEAVLDESEFTEEEYKALLKKIDRWLLPLMFCCYGIQQTDKTATGIQAIFGL RTDLNLHGEQYNWLTTIFYITYLVGEFPSNFLLQRWPIGRCLTGYMMCWAICLICMSA VKNWSQLMALRALQGFFECTISPGFLLVIGSWYRTEEQAPRALFFQSANAFFLIVCDL IMYGIAGYVTKHGGIQPWRTISLFLGSLTIVISVAAVLILGTPKEVRWLNKRERRMAQ ARIVRNKAGRDTTGLKWSWPQVIEALKDPQVWFSFCNAFINNIPNGGLTSFGSILYAS FGFSNMDVLLVGLPRSVISLGLFILVGQYISRVPNRRMYIMMIGCVLPFIGLLAMSLL PNEPSMKWVKWGMYILTMPFVFPIFLAWSLIPSNVAGRTKKTVVSSLTFLAYCIGNIG GSFVFKTKDAPRYVSGTIACSICFALEFSIILAWRCWYMYENKRRDKAAAASGLTKEQ QEAEGRLLGEQDVTDRMNPHFRYTM PFICI_11280 MASVINFEPKYITFDCYGTLTDFSNMTKLTREIYGDRLHGDELE RFISLFSGYRYDECLGPYKPYRDVLVNAVRRTCATTGFPFSEEEAEKFYHAVPTWGPH PDVPEGLRRLATKYKLVILSNASDDHIMQNVEKLGAPFHAVFTAQQTQSYKPRMQGFE YMFDKLGCTPQDCLHVSASFKHDILTVDAMGMNHKVFVNRKGTADPSRPDYNCYEVRD IGDLATKLGL PFICI_11281 MSSSTPPASPLWPDGAGALPPNPLPSDHTLPFWRTELHELDTHR STPDLPATCDILIIGSGFSGAATAYHLVESYGDESLPSIVMVEAREACSGATARNGGH VKPIVYFAFPRQAAIYGSKIANEIAEFQLQHVLAVKDLVEKEKIDCDFQLTRACDVFI DQEYADKFTAAFEEIKASGATCIRQVQYTGPRDAEQISGVKGAKCCFTFPAAHLWPYK LVMHLLSLVVAKGVNLQTQTPVTSVAETQDAAGLWAVNTPRGTIMAKKVVFATNAYTG YIAPQYTDRIVPCRGICSRIVCPGRAPHLPNSYSLRAGPSNSDYFVSRADGSIVVGGA KPYVSVRKELWYNVVDDSKIVEPARQHFDGYMQRNFRGWEETGAHVDKIWSGVQGYTT DLASHIGEVPGKQGQFIIAGFNGHGMPEILLTSKALATMVKDGKTYEETGLPMVFKTT QERLDDARNHIALG PFICI_11282 MAEPVTCGNGKRQPVVATYPPLGVVTKLTATKINFSCVLKDVLG SQDATWEVKLFYTSIDSHEWDEHNLTPSEARLFQPYNSNNARELSRVFEADIEISDVV RFRLSFRRNLGEPWIKVGAHPESEGILIPTPKANTKTSLDIHHYMQELNPELRSKLLD DGSDTGVVSWMVEAPVEAASGESPYMTQVMFGKPFDGKFLRWSAISRNTTSWMAPRQG RNYFKLDVAAITCSFMNHRGEHLVVLGLSGLEDVMAIFGDNNEGALMIKIRNERHAPG YGRVIVAAGPEFEATLHSVLGQARTIFRSTDRPLGDDPRSQKDFGQDWADNWINGLIY SPSNHLRHKHTEQEITESLNALSAKGITVSCLVLDDAWQNVDPERPSRFHAGLVDFEA SNDTFKSGLRHAIAEIKGRHKSVRSVVVEHPIFGSWGGISSSHDSEGSVQKTYETIDV QRSEAIQSDQKTLLTLSLVAPENAQRFFDDYYEFLSSCDISAVRVDGVYMLETLASAK DRCSLAEVYLDAQSSAALAVFPGGTMSTMSLSPSALLNNLKTSHRPIVKNIGIFPDHR KYVFNNAHNALLSKCIGNIPDWGTIGSTKDHGAFHAAARAISGGPIQISSFDGTDDSD LGIFRQLTAITARGKTVALRPNGIGRATNPYIGFGDNALLKISNTHNVGKMPVSILGI FNVSDGPVSELLQLQDFANIDPNMSYVVRSACGRTSEPVKVDSLLLLSIDVDVSEYAF LSAYPLTALARNDNNEIIYMTVLGLQKKMINVAAIMSSTFGVEDNALSAKTVVKGFGF LDFYISDLPQRSINENTCKIQVQGKSFVPSTDIVCINDRVMTLDLESIWAAQKLEGST DEIYVDVSLYSW PFICI_11283 MVIMLDELHEEVPARPGEICSDSESKLDSGQDDRHTESVVSTGS ECKTAGMKRELTPEVQAISLAFSSSDTAIQATSEAAGREKEMVDPNLVGWDGQDDPLN PKNWKSGKRWAATLVMSSFTFITPVASSMVAPAFDAIGAEFHIVSEIELSLMLSIFIL AYAVGPLFLGPLSEIYGRVIVLQLSNFVFLVFNLGCGLATSRNQMLAFRFLSGLGGSA PLALGAGVLSDLFDSHERGKAVSIYSLAPLLGPAIGPIAGGFIVAKVSWRWIFHATTI VDAVVQIAGFFLLQETYPPVLLNRKRMLLVKETGNLDLYTALDYANRSVWETLQISLT RPFILLFTQPIVQVLAVYVAYLFGLMYLLLSTFPKLWQDRYDETVSIAGLNYISLGLG FFLGTQICAPLQDRIFRKLKCRNGGVGQPEFRVPLMVPAALMVPIGLFWYGWAAQAHT HWIVPNIGTTVAAAGIYLGFLCIQTYIVDTYSTYAASAIAATIVLRSLAGFGLPLFAP YMYKALDYGWGNTVLGLLAIVLGWPAPILLWKYGRMLRERSTFATG PFICI_11284 MCVTNSTSKPRVILGLMTFGQDPSKGGRITSLATYKTFLDRLQN AGYKEVDTARSYIGGAQEAFTREAGWKERGLKLATKSYPKIPGTHKPDALTMEFETSL RELGTDCVDIFYLHAADRSVPFAETLQAVDALHKAGKFVQLGLSNYTAFELAEIVLTC KYNNWVRPTIFQGMYNAITRSLEHELIPACRRYGLEVVVYNPLAGGILSGKYSANDTP AEGRFSNVNQLLGASYRKRYFRDSTFEALSIIEPVAKAHGLTLVEVALRWLVHHSALN FNDGSDGVVIGVSSIQQLDENLEGCQKGPLPEEVVAALDQAWLVAKSETPNYWQLDLK YTYDTTMNLFGN PFICI_11285 MVKSHSKSHHGCRRCRQRKVKVLMRHFSMAQLGQLLMLVYSATR PFRVASGALDVAASAMAPLIRVPTWEPWTCTIEPAKSHLLDLDLVHSFVATTHSTLWS RSEGQLLWRDSIFREALQQPFLMNGILAISAMHRLFMGPRTPSPTATTLAKQGALLRG LLALLSSENDESCLAAFPLAIIVSLWAFASKNLPPEFNIISANTNPQSTQTTHQGGFV STSYLDQFLDLIKLIQPVDAIVQKRLPRLLNGMYSELMRVPDPGQLPELSKDTSNALE RLKSHLQQHEEELANMVDSTSYASLSNMFRLASCPEWSELIVGWAIQLPAPFVTRLRN RDHAALVLLSYWAVCFSVLDGRWWAAGWSKALQSEINCVVKDEWSHLLDWPNSCFEIQ RWPSSPDIQSKTPPAG PFICI_11286 MSTFAKCTSVTPLCPVEATTYGYYPDLGGNVALCVVFGLVLIAQ LIIGFSSRILGYSIVIACGSLLECVGYIGRLKMHHNPWDMSGFQMQIVCLIIGPSFTA AGVYLTLKHFVYHNGPEYSRIKPAQYPWIFVCCDAGSIILQAAGGGVAGAAGKKNPDL LNTGNNIMIAGIAFQVATMTICGLLSIDYVIRLLRHRNHRHDEPNTQKYNKMNKRAHL FHIVVGFAYVTILIRSIYRIPEMAGGWGNEMMRNEKEFLLLDGLMIALASIALTLFHP AFFYPIIKGEKVVMEEHIQL PFICI_11287 MTEEAVGSTLRSGSYSFEWTNLHLPRAETDPLRYEYDTLGASAL AKLQEIAKAKGLTDDPRKRPDLYQLLVEHHDSCEELRKFWEQVHTVPDWVDWKQLERG QRFFYRYAAANLMGFALQGFVGENSAASGVVEVLVRTGGFSTRVLLHRLLETFQWLLQ VTESLSAVKPGGSGHISTIRVRLLHASVRQRILKLVEGRPKYFNVEKYGVPINTLDSI HSIATFCCNHMWLQLPRMGVYPTAQEKEDYIALFRYLAYLLATPDEYFESVARAKAVM ESMLVHELEITDTSRVVCFNFIKCLEDLPPFNVSRSFIEAGSRVLNGDEMGIVGWWPA LPSPSERALRLTGGLFPNGETCFTRQ PFICI_11288 MNSDKYPLARHDDDKVVVRIPDLFVGFLAEEPKVNPLYGPIKLE SEAWINGFCNFDQKMASTINKCDFSYFMAVTAPDADATTFRTLCDWGNWVFPYDDMFD NGVLRNDPKTARKVMDDLMTPMLQGTLPQRSEQERTTILKVHDHVWHNLQSASSIGTQ RRFAEAMSDYCAGALLQVEDSCNDKVLTPEDMLARRQLSAGVSPIYPLVEYACQLYLP DHIFEDCIIKELEQLVTDFVLIINDMLSYMKEEAELVPHNLVAVARMNGLGPQEAFDH IGQMLNSRHSRWQQALERVPRWGHDIDCQVSEYIQGISNVAKANLYWSFKSQRYLGSK GPEIRQTRLVEVLREPGFLDNVSGTNISRRDTPRNLSHSQQSRTMGKALLNGAFMLSL VMLFIRVATTFWLER PFICI_11289 MSQINTDASFEELPVEIVESIVQLLDVADIGSLRLASRPLNSKA TQGHFRSFFFSKRVKVNSCQLEKLEFITKHGFLGCQIRDLTLVGLVYDTKGLKAAVRR HPEDSEKQHDLKELSEQEVDYEDSRDSGLIVRLLGNAFRNIAAKSRAGKLHSLSLEVA VYRLDAQNESRPSEGENWQPVWQGAAETFHTVFSSLRESWVRVDDLNIFNEPYLQQCS IASNELSSVISCTDGLSHTLESLRSLSISMSAPIVNFSQFSDGWAHKSDSFNAEDNEE SEEDEETRITRLVTKAYHEKNFNSFGDLVAACRNLKSLEIHQYSVNRSWAHIRVPIPM HRLFQHGIGTLVTLPPIEECSLNGVFLRESDILGFLQKSTNSLRRLSMKTVTMVKGSY VAVFDHIARKTPNLDYLYLDELRLKYEWIHFTGVGDPKFNTWEGAHGGNTLTREGKEE AQQPIPYHLPTGGRLPYSTPSYHEWLQAQRLEYGPHPRSFEI PFICI_11290 MLSNHMFIILTAVTAALVLGLKFLQYMVRTWNNPTNTIPGPWYS RWSGAILVYHWLRGTRSDYVNNLHQNYGSVVRIAPDYVVFSDLPSVKRIHTVKHDFIK DKWYSELTPGSVSVFTSIDPIHHRERRRLLSAPIDDSSLKTMLPKVDDRVRQTIGRMA EEMDRRGVTDIFKWWFFMTTDVIGELSFGESFRILDQGKKNQYISDLEVVGKTGSIIT TFPLLAQLSRAGVPIPLIQTATSSRHRLLQYAEQSIQRYKKQLLADPHNYPRTLFTRF FDRADSEGLPDLEIRNEAMAYIVAGSDTTSNTLTYLVWSVCRDKSIQRTLVQELQGLP EDFTDLDLRDLKYLNHVINETLRLYPAAPSLLPRVVPPEGETLAGYWIPGGTKVATQA WTLHRLPEVFPDPMSFNPLRWEHPSQAMKDAFMPFGGGSRVCLGVHLAKIELRLGAAR FFRTFPDAKVSVREGMSDKDMDTLQYFLLKPVGARCLLELY PFICI_11291 MEKTASIPQQSAVVSTTPPTDESADDSIEFTIRAPFDDFPDGGR DAWLCVFGGFLAMFCCFGLLNCAGVFLEYYVQGPLAAAGPSNASWITSIQIAIQTGSA ILWGRLFDAYGPRRLIFIGSIVYCFGLMMLSLSTHYYQFFLSQSIVAAAASGAIFNAS MSSVATWFFKRRAAAFGIMNAGSSLGGVCLPIMMNHLFRQIGFPWTIRVLGFLFLAMC GVASMTIKSRLPPRRTPFLLNDYIRPFQDLPMVLTMLGGFFFFWGMFLPLSYIILQGQ SAGMSTTLAEYLLPILNAVSIVGRVVSGFAADRIGRYNAIILVAFLSGAFTIALWIPA KTSATIIAYGVLFGFASGGFIPLVPSCIAQISDIKEIGTRTGTASFLQAFGALSGSPI GGALIDQMHGSYLGLQLFCGLSMLVSVLFYGAARYVQAGFRVERV PFICI_11292 MYVSKTISATSSMLALVAGQATPIWETLPPTPSLPGNAAGSHTQ INGVEIWHAEFGTPSAEKLPVLMLHGGFGNSDYFGDVIEILMKNHYVIAMDTRGHGRS TMDSVPSTYELYASDASGLLESLGISKAAWVGWSDMAMGTYAALMDAQNSTLIDRAFA FGGGHEVASTNASFTSTAIYTEFVTRAQEEYQTLQPNGNLTAFANAVSTLEGTQPNWT ESDFAKIRLGSKVTVSDAQYEEAIVLSEPALLNSWIEGSVLVTMTNVSHFAPVQDPVQ FAAKVEAFLTE PFICI_11293 MPEFNGSTTQGEVLQAFSSQVKGRTFVITGAGQPSIGSQLAVAL AKAGPAQIVIASRSLSKVEPVIRAIQSINGTIRTSFVQMDLSNHASVRQAAVKILQAA PTIDVLINSAGNMAIKDYMLDKQGIEIQFSANHIGHFLLTNLLVPGLKAAAKSNGGAR VVNLTSGAYLVSPVLFDDYNFSNGATYQRWTAYGQAKTANILFAFALTKRLQSHGITS TAAHPGYNGDTELAKHLTWDDFAEIEPIAKQNTGKDFVWEEPRLKNFEQIAATPLIAA LDPDLPAKSPAYLQNSVVTQSDESASSEVNADKLWKLSEKLVNQEFEYKA PFICI_11294 MAAAPIFEGEVDLHVPDAGKPCRTWYKVLGKLDKPTLIGLHGGP GAGQAYLSPLYDVYNDCGIPIVTYDQIGCGRSTHFPEKMGDASFWTVELFVKELENLI EHLGLREKGFYLFGQSWGGILGGVYAASAPSGLKKLILASAPSSMPLYAKGCKELVSQ LPEDVRKIIEDCERRGDYESPEFEQASGVFYARHFCRMDPMPEDIQLCFQNVKEDPTA YMTM PFICI_11295 MSLIRNAVIALGSLSSLVSAVPANGILDARNVTNSNSSSTACGL VNPVVTSLGSPASATAFCSSFFSISTQTFTETSTVTKISNATTTLATGTDVITDPTAT STVVSIVTTTSVVYTTSDDSFTPTVRRRDAKPTKKSCGKVTIPTPLTMLMPAEVSSAC SCLSIPTPSVTTTEIETTTLSLTSTASTYANTTTFTPYAYTTTTATETATSTSTILKS DVLSTAVAIINQDNEQPFCSSILGYTTPVTTAYETATATTVLTESSTVTITNYATITT TAVIINNKKRAPTPITTVDSGAAASIETPTALASYPAEFVTSACSMAVTSPAASTVSS TVTVTETQTSVTVATETAQATTVVTKACAKYPLANGGFEQGSFSSWSPYNPIGGAGGA WSIVSGGDAGNYAAQVTMKNPDTSKYGGFAGFISQTFDTCVGFSYTVQYRYDCTTVNN GLAIYSWAGNGNSGQFVCPSQGAWYSASFTFTATSASTTLYIEGVQNGVTQGVIKFDS VAVTLNQ PFICI_11296 MDWHDTSCRKLDLFECDGLRSCMGCGSTDTKLSPAPSPITPIPA LPPIRRDRKEVRLLRLPAGSFADEIRCHVVVGDLSFNTEYIALSYTWADDNGDDTKHG SILVDGRPFPVTKNCEFALRRARSEIHTIVIWVDAICIDQDNDEERGHQVKLMPQIYS RAQSVLIYVGESTKRNVCDIESLKAFDIRVTLEQLFSRRYFSRVWILQEIALAKTALL VSGNDSIPWARVVEAAGRVIELDERPPVLDLNRQVCLLPRQELRLLDLGRQSQATNPR DKVFALLGLLPNRCIGQVEADYTLTVEELYTKVALELASSYDWSDVLVRAGACQRTIS ALPSWAPDWSFNRLPDPIRWKSNEPNAAAQLRGPWARLGNKGRSLNVRVFRGKNSRMM SPDIGYHETLRWYAPLSCKSRSSTYLWIDKSDIIAKTTMGGLWSLNMDLPQSPIHVYF DQSCVMLDLVPDFDGTWTLWQASLEPQTHYCIPIEDALDLSTLNLTRSEQLKPATFLS PQILSPSFAEAPEEDMWMMRFYGPTDRRGLNLSSTFTGHASVIEANDRFWRYLVRKYL VREEWVKIY PFICI_11297 MDGPAKFRAVIVGGGMVGLTAAHIFSKVGIDFVILEKHKTVLAS RGSDLAVWPQTMRIFDQLGVLETMNGLLDYCSDIQVITCKDGRKIRSDEMLSLVERNH GHPINFMSRPQMVNFLYDSLPQTAKDCILLEKQVTDVEISEDGVTVTCEDGTSHRGSI VVGADGVRSQVRLYSQALRAGCEPKELPQGIKTPFTTTYRMFFCNIPILPGLEPNSTY SGVHQGSSTQLINGSKAATLAVYEKLDTPTSTLKRYTQADSDDLLKRVDHLYVAPNLT LSEALQYRTNEPCLIDLEEGFIEDHWFHKRIVLVGDSVRKYEPHLGLGYNSGVSDVVV LANQLRTLLQKDECPGTLAIEKAFESYQEARMEQTKQMASLSEQGARLLAWLNWKHMV IGRYVLNVLSPLAGFIITKTISSVVSQAPVLDELQEKNLPQSRVSWKFHPSVGH PFICI_11298 MLLRRSVVVGLLSLATPITAATHRAFVGNEGGPDVLYTLEFDDE LLTLELLRNNSLPAASGWLALSVFQGDKKTLYSTNMGDISGSQPYLVSYSVDEDANLE YSGGLSGGSGRGLGVWAGARPIPPYEVHLAYLTAQSVVSVSANGSLVGETQNLTFGGS YLHGGAFHPNSKYLYTFDVDVDTIWTHEIDDTTGDITYVANSSVPDPVSGPRHGVVHP NGKYLYIVTETSNHVAQFTIDNATGIPTFDNVYFSLLGVGQNNSNYWSAEVNLSPSNS FLWATRRGRSSEYLGAVGLHTLNEEGSMEKQNFLIDTSTSGGLSNLVQPTLFSDRWVA LPDLEQGFVEMWELAQDNSTATVIAHLDLADGGCCENLVWLN PFICI_11299 MVTIHRLVTAVAASAAIGVGLAHPGHTYQELSREAELLKTAHEN ARRALVKYSDEPHVIALKARAVERRAATAQRLRAQRSLTKKNMFKRDEADILRYLNIS HDVTDKGYTLDTPHEVLFGTDQLAALAPESDIGPYFASGEFIRQDVTEDQAGIPVHLD MQFVDINTFEPMTDLLVDIWHCNATGVYSGVSASGQAGLNTTWLRGVGGSDDEGVVEF DTIFPGHYAGRATHIHIIATENSTILPNNTYIAGTTRHIGQLFFNDEIVNVVEAVEPY TSNTQSHLATANDTIAYSSASADYDPFVDYVLLGDSLSDGILMFITVGINGTADWSST YRPAAHYGEDGGADTTFTYTPPGGFPTISTATAIATA PFICI_11300 MLQLRSIGTFASLLAFAHALTHQLIIGTLGTKSLYTVEFDDEAS TLKLLANTSVPVPGSWITLNHDNTKLYTNAWATGPQFASYSLSDNHEITHDVTIAAGG NCTATSIFVVANPNPPYSVYGSFFGSNGGCGSVLSVDENGVLDAAIQNYTLSSAAGVH GTALSSDSRFLYSADDSANALWTHSIDPETGKVTFVANLTALSTGADPRHVAVHPSGK FLYVVLEGSNEIAQYTIDQSTGIPSFENVIYPLISSGDNASSYLGGDEVALSSSNKHL WATTRGGMDGTAGYISVFTLDENGAIKSQNFLTHTSTSGGGSNAVAPSPSTDRYAALM DSSKGFVEIWELAEDASNATIVAHLDLADGGCCANAVWLS PFICI_11301 MASIKMRAILLFVALLGLAAADASECTEVRARVPWTSLTTDEKA AYINADLCLINSPTKLRVPGAVTRWDDMQWPHVAQSASVHNVGAFLPFHRYYLTAHER VIRDECGYTGRMPYWDELADVNDLYRTDMWEDQYFGGNGTDDGTSRVVNGSFANLTLR WLADGTYSDHWLTRINNKNSLRLTNQAHINVCNAKQNYSTAWDCWGQNPHLSGHQAVG GILLDGTLSPGDPFFFLHHAWLDKLFWEWQKMDLPARLTDMGGPNIPAFGGSQPGGPA GPNGTGVPSAPGVPANPSGNLLGVGPEFTNYFGDDGGNITTLNHTLWMVDILPNVTIA DVMDLNGPVVCSEYYDE PFICI_11302 MLGFKTLLLSLEIASTVAHPGEKHSVLAREGHEHRSAVAQAQRS LSFKAETSVAVALKSRAVARRAKIAATIREKRAIQNKPLVSRDLEQLEAYDATSHDIF GSNSTTGLTPQAILGPYFVAGEWLRTDITEGTQGVPLHLDIQFVDIATFNPIPRLAVD VWHCNATGVYSGINATLGQGGLNTTFLRGVSITDDDGVVQFDTLFPGHYSGRSQHIHV IGTENARFLHNGTYLNGTARHIGQIFFNQDLISEVETTEPYVQNTVNITTNVEDLIAP DAATATYDPLADYVRLGDSISDGLLSFITIGINTTADYSANIIPASHYYETGGVDTGT GGLPPGAPSPP PFICI_11303 MERVRKVYFDALAMTKAQIYELLETLPSGSAHPFSSERVLLCRT EHGVEEIDISSYPEDYFFNAVDLGAMGETLLKRMAGFKHHLSVFQDFQILQAPASTFE IPIVSDQITILAVSERTPTYYDFCFADASCNACCWLARNTFQGITHSGDILNGNDLLD FVRINKDRLTDKEICVFISGYQTTQITRFQGTHPALLVANHVDKILYIIPVPLDAATI GDATICCPLVIKGNGDSLICSILPTATTDRDMMCHSNKLESPCFAEAIQRADFTISST SESAVLVPRTAIAREAADAPSERLRGLVNKEHAIITTDSAIFPSFVDLASTQMIRFGT GLEFILPDEKSLAKEQSSTVVLPCIFGSLLSGPLLLATGTVPTNVPFRDEEALRSYYE QLKSVVVIVDDRMTDNARNLATSYRINALFIVQITPEGEPKDTDDVLKLLDSVNINAV TALAGPQSLVLVQVFDRYYFYRGLANRAHLNTTKLAFGTDITSIIESAGAEPMTDPRV KRLIDLENANTIILPSTGQLVRVQDLQKLFEDLSVNEIQAMEEDITAAIPQLQILLNQ KDLQDLSQSLVAALSAKIGKAVSPMRDAYVNLVTENSNVADPELVKKKNDTLGLLRYT TKELQKALEPVISSLASIVSVRTTSKRTYDLKRLVRQSQIRGNVEAAKSMTFETLSGY LETYAEDMGVMLLKIKDEPYRELLGGLKNAAIDASPCCDLDSRVLHLQGLDAGIIMEQ SQSYHDGPLRSQLGPNHPLMALANIGQHESGSTLAWVCWDEFVDLESPYTVRWMEKCN ETHIAALRIIMRGTLSHAVASREHNLQPGSPEIGNLMGTLLMTAMSKLAAMRTTTPDL VAKAEDTVTRLMRGLFGNLLTIAGSGVRPLSMVWQLFGLNPQYDLPTTAAEWVWYETV VALYPYTGWPLEQFYNNLEKLLDKAIVRVVTKSEDIKEIKSNRTQSLIRYCKLRNIQL DHSRTIITIFMRMLTEKDVDIAAVATRLLEHLPPTLERQTQSYGKMIKYIKHLSTGGP RRGKDDLVAASIYTKRSAAFSELKTRVAEACQSNDWPAVKESCQSIMDKHAEIAALWN INPQSLNIQNITVYKDLLNMDLGTEDDPNSKAISHELTRLVLGDAERLRLPWQVGKEG QFGDTIESLNEEFLHEILTGEKLEAPSAIDTESKVVEDSITTLALPQTEDEFSRFKSA VQPRFISTMQKDLSPDDVCEILNIPVSAMRVFARALNPEFEWADLGQNFKMVVLDLLR NRTDRLERRPTKMLLGRGSGRVLQIEA PFICI_11304 MYYTRAYAESSPRQLVSGKGMSSQPLSRNELLREFECHSVRHNK EPTALVSVSSRIVDTVNRAYELHWDGEPAARVWIVFISEPAHDTGRASAIYPYAAEAL AKNCDKKEAKLFQYEFLLEWYIPESLVVHRVSLQTLIDRGLDWYQSINSGLALEYEST DDLRARIAGNMWNIDESYGFWDVGIHLGWFAKLFGARAPVNWIASQLHRDCAHAKVTR NGNYSIRPHGREEDYLVDDFAMKDIDDGIDTVLIDWWFTQDEFIIDWEAFKNENREME RNIDEQIEQWNDTWCYEELDERQKAAGDRARIKLCNQFDQQRAALEEKAVKMGF PFICI_11305 MKLNVAVFASLYAVTASAVSSVDSPTATISAGRVIGTSTSVGNG STTVNQFFGIPYAEPPIGDLRFAPAVTYGGQGDIQATAWPNACIQQGSADLLTDQSED CLYLNVFAPSGRAPGCGRAVMVWIHGGALKTGSSAIAEYNATLLAANQDVVVVTINYR LSLLGFSNSPALAVEDRNAGFYDQRMALQWVQDNIASFGGDRNKVTIFGQSSGGTSVS RLVGTMVENPPFRAAIVESGWYDYASIMDIASDVPGLAAWNSIVAQLNCSSSTNSTVA ELACMRTVDSSSIQSALSNASSLTFTPVNDDVTQLTFPEKARRDGRIARVPILTGQTA NEGTLFQDPTITSLDEFVADYPTLDPVESDLASAYPTSDSGYASQFDADGAIDTDAEW TCSISRIAGLTSQLGIPFWRYYFNATFPNTEIEGYGVYHSAELPLVFGTFEASSATLE EVELSTVIQGAWASFAKDPWGTGPGWPRIDTPMDHLVAALGSPNKNETGWTMVANGTI DSKCWIYQSIYDSAAGGTPWW PFICI_11306 MAIFTVSALALAGYSLAVYVFYTLYQVLASPLRSVPGPFLARFT NLWYLYHLYRGDFQVVNQNLHKKYGAIVRYGPNRYSFNSLDAGKAIYWHGTSFEKSAW YEAWKNPKQWTLFADRNIRRHAANRRMYQNTYSMSSLINYEPHVDECADLFCTRLNEL AETGLTMNMGHWLQCYAFDVIGAITYSKRLGFLDHGLDVGNAISALEGFLGYATLTGV YASIHPYLFAIRNYLAGSKGTGRAYIQAFTKECMDKHQANAKVLSKDGKSSSGDAASE EGIDAATDFLTKFALSHTKNPDSFTVYHIAAGCDQNMAAGSDTTAISLSAIVYYLLKN PACLQKLREEINELRTAGTISSNKITFKESQDMPYLQAVMKEALRMHPALGLPLERVV PEGGAVIGGRLFPENSIVGINTWVEHRNPIVFGTDADEFRPERWFTDDAAKLASMNSH WVPFGLGSRTCIGRHISMLEMSKLIPRLVRDYDFELAQELSGTSSTWSTRNYWFVKPT NFSVKVTMREK PFICI_11307 MPVAGEGQEAPVAKPTTQVCQHCKVRHRKCDLQEDGCQQCKDAG IVCVRQSSLKFRYHPMQKALSRASSRLWRPCPLPQGPAQFYDETPELRAFYLEEKELP RTRSSRRFSPSVSHESSNQSMPANNVSEDGVSHNHVISHFPEDSMSLEYPMDDTSPLS EYLIQQSSPLHEQPVDTCPPLTPTEALLIRNFTDHMAQWTDIADPFRTFETVVSKLAL TDLIIRNAVCAFSARHYYRDQVEDGNAIALDYQTRCLQLLIPSMSGGQKITESILTAV ALLRQNEEMDEQDNRFHLEGTSRILNMVPEFSTIGGVGEAACWLCLREDIYISLTTQT PIKTALDCFPDCISIRRNDDYSWASKSILNLAFLLKRAFCEPRDASRLALSEAEIADW DKCKPTSYRPIHVEARSRLEGRCFPKIWMLLPYHAVGLQYYHMAQIVLNAVRPREVVH THAYLAESRAIARRIRHHLFMVLGIATSNDRAENTWFTARHCLAVWGAYLQHPADQTA ALEFLEKWRQRSGWETSVLVQSLRQQWKENNEDD PFICI_11308 MAPSTITEHIDDVSALKARAASKLAEVNGTTERPPVADDYMYDF KFNHALPTTSVLGVRIPQDCDAKAEAEGIVKRLSDALGAGNAEAFADLFLEYGVWRDK LSFTWDQRTFNFRPAILKAAQDLLPTTKAFNFAFLKPAPSIERPYDDFELLQFVVSFE TELVVASAVIKAVLSQDGWKIYTMHTVAEQLEQCPERAPADGHMTGTTSWEAQRAAEV DAAEPEVLIIGGGQNGLALAARCKAINLDCLVIDRDDEVGDVWKKRYEYLSLHFPHWA DDLPYFRYPKHWPTYTPAQKQGIYMEWYASALELNVWTKSTVIEAEQDEQGGWTVTIN KGGKETRTVRPKHVVMATSLCGVPYLPDIPGMDQFKGGEIRHSSAHDSSRDFVGKKVC VVGTSSSGFDTAFDCSRRGIDVTLLQRSPTYVMSLTHSVPRMLGGFGPDAKGNRRDPE EHDRIFFSTPVGPGEELSRRMAEKLEDLDRPLLDALNARGLRTWRGQRGTGNSTLGQT RNGGFYFDAGACEHIINGKIKVEPGYIERFTNDKVILSGGREREFDLIVFATGFSNLN DSIRDTLGPKVANSVGPVWGIDEEGEFKSAFRETGVHNLWIMVGFLPMTRFQSKVLAL RLKALTEGISPPPYKV PFICI_11309 MSATPSFKLNTGAEIPAVGLGTWRSEPGEVRKAVAHALKDGYRH IDAALIYGNEHEVGQGIKDSGIPRKDIFITSKLWNTHQTNAEEGLQKTLDALGTDYLD LYLIHWPVRLVPNETSDLLPTNPDGTRSVDRSWDQGETWRQMEDLYKSGKVKAIGVAN WSIDYLEKLRKTWKIVPAVNQVELHPYLPQHELVEYCKKLGILIEAYSPLGSAGAPLM SDSEIQQIAEKHGVSAATILISYHTNKGVVALPKSVSEKRISDNRQLVELSKQELALL DGLAAKGKAKRINTPLWGFDLGFADWYGPVEAK PFICI_11310 MAVVPRLKLCLLGLGRLGALRAKILAFEQPRIELVAVCDTKPGA DAWAAANLPPSVKFFSTPEDCLKNSGAQAVLISTATATHAALICLALDLDLHVMCEKP ISVDIITTEEVVAKSASKPHLKFLLPFSRRYDDSYRATKAMVENGQLGEIHAVEASCL DPQDKNAFYVTFSQQSGGIFVDAGIHLIDVGRYFLDVENNIPNPKKQINRVIAFGQQA VYGELAKFGDADNAWGLVEFANGKILQVTLSRTITNGFEAATRVCGTKGHSVINGNST LNRVEIRDEYGVRTASTPDAFALYDKTFINDIAEFATAVLEDRPLSCSPQDAYEAGKI ACALQHSFRLGEPVYFDEVGQPILKPLALN PFICI_11311 MDAYKDKSQPDCQVEHNEAPTHTKAHTDLDVLAQDIPYGPSGVK GILSSGPYILSAAFLASLGGFSFGYDQGVMGIVNVLPQFHAVIPQAETAFGKGFMTGM LLLGAFVGCWFYPYISDRWSRKKALLLSSVIFVIGGVIQTAAYDYATLVVGRTIGGIG TGTLALGAPVYISEVSPPHLRGTLLVLESVSIVSGVTIAYWIAYACKNIPSDASWRVP FALQIISALILGGMIQLFPYSPRWLAMQDRHEECLQSLCQLRKLPDTDDRVQAEYRGI LAEVKFQVVMLERRHPGVRGLKLEIVQWLDLFAIKRWRRTAVGVGVCFFQQFQGINAF IYYAPTLFRSIGQSDDMSLILSGIFNALQIVGVCIAFVLIDKIGRRPLAIGGGLGNMT CFVVIAALVGTYEGIWADHTSAGWACVVMAFLFIIVFGASYSSLGWALPPEVFPVGIR SKGVAFAVAINWISNFTVGVVTPPMIESIGYGTYIFFACFCGLAAIWAYLLVPETMNK TLEQMDEAFGDLSGHEEQEVMREIMEDNNIIGVQRTV PFICI_11312 MSLRRESTQKRPVGASDRVRKYDDVQQGLKVVYPAENDNQKSSI DIVFVPGLAAHPLESWKATKGDWNWMESPDGIRANFPKARILLFMYESAWQGRLKIPF QSMENISMTLLCALKAKREKCKQRPIVFIGHSMGGLVIAKAITMMNAQQENFPVMLEA TSAAVFFGTPFKGAPAAAWGSMFAYYAEKFNKAHQSQLMDMLKPGNSALQELRDEFRR VAAKTEYRIELLCFWEEQTTDLAEMARLPDPFGLAKRYTPKDIAEFVSRDSAIFEGDV PNQGLASNHRNLVKFDSAKDLRWNMVEGRLKRIIHGAKKTAKNRLGSARGIDWPAFKS VRGALDGTRLQPDSIRKGLTKRLKESALISQEPDYVEWLANVEKTETDESEAAKVDGL WIRAPEGRGKTGAMLAALSDVENLVEKQEDGEPVLFAYFLCVAGTDYCSAEDCLKSII WQLIDQQKVLATHAKDFVKEKVKNGGAPKSRATPSVENLWKALQGILADEFTGNRVYI VLSNLHLLPEDSDSTLKLLNLLSLELDDGQIMVPGRIMAKWLITSRPTYAIQKALSLE RLRVINLEDQRFGSQFAKSLQIHARSKVGQLKDTKKYNNALAWFAHSLIGERAQNISW IDIACLQLEELPEAESDVRVRRVLEETPNELSALLKNAWLRIFRLSGEQRENIEEMLR ALILTIEEPTLAELAVLCYGSEYHDQEIRELIEMCRPLVLMKDKVGFMNSAVKQHLVD SCEELLGLSAQETARQHGLLSLRSLAYLKDKFGFPETEHPLPSEDLDAEDQGSEAGIA SNTDSDDDNDSDDGTESEADSQGYDNEDTSTEDNEDSEEYESDSDSDPEAQHLKEKGA LPYMVRNWLHHGSRATIGFADDLGLDDEFWKVGSLVRRRWMAEYDRHTTDFKYNDTRS MNALHVVAAIGFRRLLTVLIENGHQDELDVPDKWSFTPLCLACMFGHADMVEELLHRK AEINANEKEMADTPLHLAAENGHIGVMKKLILQGASLNAFSEYSGLVLNSAISSGNLA TIELLVHHGVKLSIDRDGIETPLAQAASLSDVSMLEYLMKEYADQLAPEEYSRALVAA AKVGSLEVLNKLLPFEHGDDDYQAALDMAAKKGYWEITKILLEKRRNLNCDEVFYKAV TRTKDLEVLEALWEYTSGNISAEKIDQSLYKVTDNKKIDRVRLLLDRFGADANAQGDR YGNALTASAYDGTLDILKLLLDHGADVNSDKGWALQAAATEGHIKIVNELLSRGAKVD ARTENPGFPQQTALQGACEYGHTEIVDILLEHGADPNLGGGEDCYPIIAAADNCEIEI IGKLISANANVNVVRGKDGDTALIITAKGIASLEPLEQLLEAGAEIDATNNNGDTALI AAAASTDDEFVNFLLAKGADVMHTNNDGLNALQIASKKDDPEDTLSVLIKHTSTILSG IRKEMGDGHHRIISVVNEARAVAQQDNMRDHNSRVESSREQKEPETDLKLSDTKENGS KPEDEFPMSLSANTTDPLIPTNQTIFEENLWPVDNIRHEIETSNMPQQDQEKLGLYTM PRNENIHLYSAVGMIPADELYQFPTHEPTQRRETWEQQAETTLDNHPLPIWSDHHQAT EAGRNYDELPQRNTTAPVQRKPAPFTYGTQNHSQGSVYSEPQSSQERIMLLQQPKDYV PYNPGNDNTKLSSPPQSPPRLPPRLPPRLPPRLPPRLPPLSSQTSYSSQIDTQSHYQP AHHGSVHASPPSNQFPPGNSRHPQKPPLTQAYSSPAYLPPPPPPSQQERQQQIRPYNA DHSTPPLQQEANPNLFGVNNTLNQTRNRLFSPYRAEDGGR PFICI_11313 MDGSWSQACPFKTRLLEAAKTDADHDDLEVLLPASLRIRDEITI PRLEETRWIKKELDLTRLNQMFGYLWLAGRPMPPRPLHYQLLLGRDIMITEQMDLHLV WTGSRMFLKPLPRFLLEPQLWTQFLCCKQQCNCRGTNKQSAEIQGAECKRSLWRAANG FLFSYAALISYESDFRIAQEKNLIPPEVSWLAWRAFVRELKTEGIYGRVDPRFLYGEL RLGRLSKIHRLSHLSLRGYSQAWNQYASLFETNFTLIASVVVYVAFILGAMQTGLSTS YAENDAFQAASWGFTVFSILGLVIVTVLVFLLFLAMFASNTVATLSYRKKRMRDISNH SQGVSTEP PFICI_11314 MDKNSRVVIIGAGLFGLSAAHQLASEGYRNILVLDRHMPPAPDA SSNDISRIVRFDYADEDYLDIAHEAYQKWRDNPKYKGIFYPTPYILACNQSAYGRAWV EKTTAALSKRNLPFQNLHDGDDARKRYPVLTGQLANPGFVGYTNHQAGWADATKGTTQ LRDECIQAGVSFISGRAGTVVGFEIDSTNKITAVQTMAASTLEGDLFILTAGAWVSSL VPMYGSALATAQALGYIRLTDDEMENFKDLPIYVNCATGFFNFPPHEETKMLKVAVHG WGYTRSPENGDLYNTGKGQDLSMPPLPPRAQRANFVPGEAEARLREGLREILPELGDR SFDKLALCWYTDTPTGDFIMDYHPDYKNLFVGGGCSGHAFKFMPVLPKYIGQAIHKTL PDQLSAKWRFRKEFQGKTDAFLGDGSRGGPLRRELTRHESAKL PFICI_11315 MKHILARDPARAWMAIGTASKLEMLQTATQREIHDDKDLISRCH WSIFILERLFFPQLVQGVSSGSPFQFPQSAHRPPPLSRNVEDIAHLDGSATDDFKPDD LGINAYYINGLSVWADISLYLHKLRTGAIELEQPWLPNSTFTKLSMKVYESESRMSDL HLLRSVHFHKRSPAEVKEQQEYWRPWIVMQLIFHAAPALINHPFVHLSATRRARLPQS RHFLQQTVDNALFHSGWVFRLIETCEDLLFDLHDPLIGHVVAATATIAWLFQFAADSN VSARAKRGFMQCGKLLRRLALLWPHIARKVEIIDALQAIADAGVPDGEDQNRHVTLPL PELWELLDPDAFEISHSSRINSKSSGARGAGEPRDARIRMSTHFVHPLDENSAGQQSR LDGASLPTNLFTPSQEPFEQLCMDDFFFHLQPDQFQW PFICI_11316 MRLRLESPNLYTIAWIAALPIERAAATALLDDRHDAPEGFHQHS SDENSYTWGRIGEHNIVIASLPAGVYGLASAATTALDLIRSLPYIRIGLLVGIGGGIA RPDAGQDIRLGDVVVSQPDGTTGGVVQYDLGKAKAGGTWERKGSLDRPPLVLLNALAS LQAEHEFEPSKVPDLLRAMLEAKPSMRRPKSDYTYQGAENDRLFESQHDHIGGSNCDK CDPTWEVKRDPRESTEPEIHYGIIASGNKLVKDAATRDGLSGDTGHQCLCVEMEAAGL MNRFPCLVIRGICDYADSHKNDRWQRYAAAAAAAFAVELLGFVPARELEATEKVAKAI QALKQKVDTVGTFIRKVDHGITLDRLPVVEEASFDSHAEAHNPTCLPGTRVQLLEDIS HWIDSPNSKTIFWLDGMAGTGKSTISRTVAQRRHERGDLGASFFFKRGEIDRGNLTKL VPTLARQLASRISAVEFAEAVKKTLVTDPEIIRKAVAQQFQELIQEPLSKVEPTNTTP LSLVIVIDALDECEGQADIELLIELLSGVRSTSSLYVRVLVTSRPELPVRLGFRHFND DSDYRYLQLQKIPSSTIELDISVFLDYEFANICKRFNSWAKEELRLPANWPGEADLKT LTIAASPLFIFAATICRFVEDSYLGDPRDLLQKVLETTSNLHTSRLAKTYSPVLEQQI INKSELERHEIIKSFRLVVGTIITLADPLSQRVLALLLDVDVRKVAARLEVLRSVLDV PDSLDLPVRLLHLSFRDYLVTEESEFRVNEELTHQNLAINCLRIMHGSLKENICDLPF PGACRSELDSQHIKSCIPPELQYACLYWVHHQIAAKHNSDNYQQIDDILKNHLLHWLE AMCLIGRSGDILDMFGKLAIWLEERNRQELLAFVNDAIRFTRTTVLAIDEAPLQIYSS ALAFAPSKSLVRQSYDKNVPSWLSVLPQVKEDWDACLLVIGCRERNRTIAFSNDSTMI ASVPDSLPGTIKIWNTQTGKCRKVLEGHRGFVHSVVFSYDSTTVASTSNDKTVRIWNT KTGECEQILEGHSDITNSVVFLHNVQTVASASWDKTIRIWNIPTGECKQVLEGHTNII MSVMFSHNSNKILSASYDHTIRIWNGQTRVCERVLKGYNNWAHPTVFSYDLKMVASAS ASHGSIVQIWNMQTGECEQALEGHSGRITSVAFSHNSRMVVSASSDDTIRIWNTRTWK CEQVLKAHSSTITSVAFSHDSRIVASGSFDNTIRIWDVQMGKSEQTLVGHKRPVWSIA ISPSSEMAVSASYDGTLRIWNTQTGKCEQALDGHSEMVKSVAFSHNSMMVVSASSDHT GRIWNTQSGKCEQVLEGHTSELVTAVFSHNSKMVASASFDKSARIWNTQTGKCEQVLG HSEGVRLIVFSHDSKMLISASADYMLRVWCTQTGKCEKLFQGHTSDITSVVCSHDFQI MASGSYDKTIRIWDTQTGECKQTLEGHTGKVMSIILSYDSELVASVSRDTTARIWSTK TGRCEEIRYVDTCSISDVFEPSSWNVVVDDILSSSTNRPAFFESKTPSQHAKDLKLGL RNGTWITLEGKNLLWIPADFREFRQKVAIYANTVAIGYRSGKVLLLRFSAAQIAKL PFICI_11317 MTSAAETTLYGEGLDRDRKQIRLLTVLQTFDPSEVVRGQLETVS LLEEPEYVALSYVWGDYDAECVDRVVINGQVRDVTRNLASALWHFRGNHMNRGNGKQL RIWVDALCINQRDLDERRHQVGMMGDVYSQATFVYSWVAGPKDISTPHAIAIINEIMT KMDAEEGETMYHQNQALGVDLSPQMVAKFTRVVSETPKLCEQWNNTPIHQLNPTWSAI FALNRLEYWSRIWVYQEMILSSDRIDCHVFICGYSTVTATALYAFCRLTTAMLRHSEV TDLKPAAIAPEVWATLKQNDILLAIQIQKLRKIMISHRHSGTGGMSSSQIFLLHMDCK ASDPRDMMYATLGILPLDIRVDYTLSVRQVYLDWYSAVFSKTDPASRNEPSDKFLSST LANAGALTRETNPHRLPYWLPDFNNASSEDQFSVDFLPKRTCYFDDARHQCFENGVLK IRGALCDTITQCLTLDDSAPLEYFKGPAMHDLKSQFSPALWPTHAFELIFLSTMIWGG LQFAGQLSAYMSTGLPLEELLLLVKSMAAHSSDEDIIAALSSDSRLLGADSNQSKSLE MIGPIMYQLRMELRIKTKGCCLFKTAMGYGGITRVGARIGDTLCLMNGCGSPILLRRE IDDWVHLASCYVDNISNEPLLEIIQRHNLEVKWFDIQ PFICI_11318 MGSFIRCTFRKCAIFLTLITIVSTIIHQLSYLELNDVTDTIKLL DKCNAKGATQLDDYHTIMSRSIPDTIHQIWKITDTTTYSSQASNTFWQDNFGPLNYTV TLWTDQEIQHLIESEYTWLLSTYKGYTQDIQRADLGRLVVVHAKGGIYADLDVFPRNP TAIDCLRRSGTQAIFSPTSSNSGFSNHFFMAEKGSMFLYETLRTAKQRGGSHHRRILL PYLQVFWSTGPIMVTAAFRQYWILRDAPRDEVALLDHEFTKRMVGHAAGRSWHSADGV FLNEFADHIKLIETWVIWSVATITLGIVVVKTCRLLKRGFPL PFICI_11319 MATISSLQLAIDVSKVLQQITQYLQTNSQFDPFCARLQIILDQI IPKLENLQSNENYEVSGLLSGLEALCEFASISENSSAQYPALELVLKDRDYATTAFRN LAHNRPHNNQRTKLVTEVESFLSQSSDDDKAGAMPSHADIQEASGTLLGCGKELVVLH GILSTYCRCAPSENGDSKMVAQFRLRNKKQENDDTNVSFGMLFMAHPHQDESAAGLQP CWQDTHICMRRTVKFLGNKHTDGIEIQSDSVDSFCNYISDQLQSGPTLLHLSVANKRL YFEQHSDQETQWVINQPSVSLGQLLDISSHSTDGITGKQKEVLSWLLAKAVWQYYNSP WMAQPWTKQDVHFLGERRPNPNDIANDIAGIYVNEPLLSVSISASTLKGKMPSLPSLR SLRHKIPKILGLGIMLVEIQLGRPIEDLHTEDEWLKHCLGGRPHLNTNYLICRDLIGK PGFFHDIAYPLEDLIRHCINPQKVFLPVARNDEDVQQALHDLVTALEGYITYTKPDNV KPLNLPISPISSKSSILEPRDSRQSLLPVGNHFCTAPLPAKRTAEWPQGQTMAQTHGG SSGWFERMNSLNYILGRAPNESYEKVRIAVLDTGVEPRNAVADYLAGYKDFVCKGDKK QDESGHGTTTLELIFNMCGPADVYALRVFKADEADKGTRKLTIEAIKWCIDNNIDVIC MACGFYGFDQALYDVIKEASRKLLIFAAPTNVGNAGEITYPAKHHQDVFCMLSTTADV RLSQLNPTPSSLRGSFAIIGENIRTPDGGENSGTSLSTAIAAGLAGRLLDFARHHACQ GAIGDLMPRMQLKDGMSKIFEAMSIRDGIFLCLKPWQLLPKTMRDQVPFKNVDFSSEE IRKARSEICRDICKCLEEL PFICI_11320 MEKCWFVLRQTHYPAPEYKHESMAFGEAEGPVRLGHFIPGPKKI DNVINTGGVFPFPRDMRILRTEIADFCFSNRTEKGLELSGNASVPIASAIGMSIKAEA GVAFTRIMGSEWTVDHMETYIVQPTIHYLEQCRQSSELKAWIDKNKTLGAWKIYMISG LMVARGATRERHSTAKAQQGAGPGLDVPTSATLEVTTKVKDEREATITGQFKTDFVWA YRLSEVSTGIFDSDLSVKTVKPRGKLGSVMAPRPDDVDIVSVLAQEGLQDAQMFEIWN GTEQQFFVTIDGL PFICI_11321 MSHSKEGELGPHYNDSGYTQNNHIAPAVHQQLLTPDSPLILSNH ASPNNTSLTPADSTTSLYLVPSEFGEYDWSNDDPFFGANFDIDRDRGTPSFLEEQSPF NSGSQTSWKAPPTSDPSYGQPDAPSPQGQSAQQVELDAETDPQSSNPDKADSNDIRHR TEACKHLFESCQTLCNDERVDRLCAKFHWWSLGIGASKHGHSSLDYRVRTREDIRDRI VDLLDMLAISLQSCISITAASGKEQITITAGSDTEPDHGHSRLEETMDYIRSNIDYLL RLSAAIRKSGTKFRHKHVDELLGDGKVDLREFGDYLRNLILFVPTQFAVGNAHQAYLE YPRRLGPIQERLIQANLVRRNRFDHYYKMSLKEDAMSLIAGDSRDQGSSPPRKVQKSI GAFSVDRSAISSRTATEIGTFVMPEKPRSHQTKSSSSRVSRSVLKQDYPRCPVTGKDF WCPFCAQPLDSSYSDPKKNMKWRYDLKLNPDISCLTTISGHVSEDLSPYTCIYPDCDQ ADAMYVTTDEWRKHLKSCHSMSRWICDICWLESSSPGDFEFDEEGKWREHSLSCHSGE ISVQDLDDIAEESKRAVVPSIACPLCYDSTVLLQPDADKHIANHLHSFALQALPWTAT VPDDETRASDGSNTGRPLYFEGKDDLAEIDWSAPYILQDLAPLLDESISRCQHLSRSI DFEKLRDTLNSVLETLHNLKQSLSSLSIAQVGEAADPMIRLNTILSRHDDNEFITSDM PTLEILGVDLEEAHGSLQVIVTKSSMKAAIETDATETTPPGK PFICI_11322 MYRLQQSSSNSATIDDVGINQFLKAHHQHRASSRDRHLVPNGSS FSPPPPTQHTRSRAPVVTMTGQYKAAQAHPRRASTATSSSTGQSSAYDDSSLYSASLS PTSDYSVSTAPSLGPDPLGEGDNILPCEFIGYDGCDNRYQLDDTDQWINHIMIDHLGY RLPSRCACWYCDDHVFDVVENHLDVTTNFCERLRHIRNHILYDGYGIAQIRPDYAFLA HLKRLGLVNQTVFDEARSWREGPGARVSDIYDHDWMPPGRQQQYEQQYEQRQDVVIAS SREGRPRRDRERKGRREDGSRRHHPKH PFICI_11323 MTSSDKRRGAAAAAANSSHNTIRSAASSRSSSIIAPPPRAQLGS SSASTSSAADNDDASSIREQREGSIKEQREGSIKDKDYSSSSGSGSGSTAALLREKDE KIAELKRGLVEIEAEFARQVERLSQNESETAAFWQAKHSALNQQFLRTDTELRLLRAE IDVREGEREELKEGWEILKREINARDDEIRRLRTDLMGLKKWLSTSTRTEEQESDDLF AGDMARLGNGLQEWAIQHFRRAKIVASKASESVQDELAQLVPMYADLSKTAKLPLLQS IISTILVEMIFGAYFVGLSKDQARNLKQTEECLASLSGEESSNQWRAVTLTMVRKEAA QKLQAETTVVVEDVISRVNRILVPITDVETTEARDQALRSLVQTSVELSRRLAVQKAA FKVTMPQILPHQKTLFDPSEMEDIGGEDEESLIDREICCVTFPSVTKSGDEHGSHAHF RNVVSKARVLCRPE PFICI_11324 MPPKPFPTHFLCIPLVTATSRHQLAASLASFSADVTSPDSFAVP AGAIRPVGTLHLTLGVMSFPRNEGVDKAVELLQTLTPAKMLSEARAATMSNAAAAAAA IKGQGKVVGGQKDSPAQPLLSVTLKGLHSMQSASKASTLYSSPVDADGALQRFCEKLK AAFEEAGFMMSDKRPLLLHATIVNTIYIKGRRHQGGGKKREKITIDARGILDRYEDNV WMENVPIEKIAICRMGAKETEDGQDQAYEVEAEIDVDGTT PFICI_11325 MGSDSYDGGDASLPDLGDAIDAVTFAQILEMDEDDPTREFSTSI VEGFFEQAQETFEKMDTALEEENLEELSKLGHFLKGSSATLGLTKIKDSCEKIQRYGK KEKEDGSPLDDEKVCLEKITKTLKVVKEEYEEAETVLNNFFKNSPPSTTSS PFICI_11326 MDVAYKQHSSQARRQNRSSTNLNHLTLAPLTSKLPLTDPDALPE FVASPLEYNVSYLQGKSAPTTPRLLSRSPIDARSRSRRSSVHGPKPEVLSKSKSASHL AGFTGGHGQQHHHRGSGTGALTPTSRRRKEEATSLSIEDRNDSDWMLRTGALISTEMR ESKGQAWLATRQSSTSLAGLRDADEEAYARELARERELASRRESRRGSLAIDEITTQP SSRLGSRSHSRKGSRNTARSPLRDQLDESYFNQEIFISDEYTQGPDFVGLDEKLEAIE VDTSQADEATVRRLVKRESTGTGWMGNLIGWSLFSVEEQEESDNGEGEDSDGEEGEIF ISRTSSSRQLGGVAAGAEPRIPPPKADEGGWNDAAWLLSVASKVLL PFICI_11327 MRNLRSIHYDAWRPPTDQVGAEPPTACCWDPAKDEVLAAFGPSE KDGYIRLVRLSEHVTSAKQEHSKIEHNQIASWEALSPNPDLEVDRIVSLHHFSDTLSS VLVLEGGDIVLVKEYDNPEDGVHIEIMGSIDEGITAASWSPDEELLAISTKAQTVVFM SRSFEGITDATMTAEDLKLSKQVSVGWGKKETQFQGRGAKALRDPTIPEKVDEGVLSS QDDGRVTISWRGDGAYVSINTTEPGSRRVIRVYSRDGVLDSVSEAVDGMEGALSWRPS GNLIAGVQRKGNGIDVIFFERNGLRHGEFPLRALEGRDLSVDQIGLSWNSDSTVLAIT FDDRIQFWTTGNYHWYLKQEIITGSKSKGFEWHPEKPLRFTLALTDKIILGEYVFTIS RGSLAPPYDHGAVAVIDGRNLKITAFKTANVPPPMALFELEAKTAIVDVSFSPDHTYM SVLHQSGVDLYQWQLKGQRSLRPDLKASVIFDAPLYDMTALVSAVDVNGSVTVLGHGL HPELQHFAFDASSGLFAALNRTKADSIIGFSSYHENEQESGLIFQDYRRRFHMLHTDG THSEFLLSPTTPHLSVQLPWFTPVRTESTLGKIAVYGLSRNGHLYANNRLLVKNCTSY LVTPDHVIFTTTNHLLKFIHRTDDIDGLEVPADDPEKDERCRSMERGARLITAMPSNM SLVLQMPRGNLETIYPRAMVVAGIRQLIDEKNYGRAFTYARTQRVDMNILYDHQPQQF LENVSLFLEQLDDITYVDLFLSALREEDVTQTMYVNTKPTKGQENSLQNGIEMTSTTT PSTSSKVNTVCDAVLESLRACKAIDNGTLQNIITANVCKNPPALEDGLLVVAKLMQED EQLSEKAVEHICFLADVNTLYDEALGLYDLDLALLVAQQGQRDPREYLPFMQDLHQLP DLRRKFAIDNHLARYSKALVHLQSLNAHEEAHEYTVKHSLYADALKLYRYDASNLAII TRLYAKFLESRSRFREAGLTYESLQDYAAATRSYRAAGVSCWREALFTASLQQPALST EAQADLATALADALYEAKDYAGAATIQLEHLASIETAIRFLCKGYQFAEALRLTALHG RQDLLESTVDPGLADALGSSTEFLADCKAQLRAQVPRILELRRKAAEDPLAFYEGERA GGADIPDDVSVAASSRVSTSASLFTRYTGKAGSVGTVGTGVSRATSKNRKREEKKRAR GRKGTVYEEEYLVNSVRRLVERVEATKAEIERLVFGLVRRGMFERARTVEALMLEVLE GCKKAVGEVFPQAAQQQQDKQAGAEEAGDAEGVVPGEEWRPMGADAVLAGNLEQKWRT QPPPAVTAMERLSLLGS PFICI_11328 MQKILKRVATAERVVAKRKAGRDRQAWNKERFSERDEVKYQRAQ LAEDYGRAKQAIKDDWNLGANAPNVNIGERSGVHGAISEARYQTSMNLKDYQKEARCA WLGGAKNLNLVEGDRVVLLEGPDKGQIGKITNLQKEKMEVIVEGLNKSNVRMPIALLG EGKPPAINIELPIPISAVRLVHPIRDPKTNETKDVIINQLRHSNFMLDKVTGMSQWDR VVPGLNVTIPWPERQEKEAVDHKIDTLRIDVEERTFVPTLLRPPMPEVVLDELRNKYS RFRTRHEPEYIAAREAAEQAEKDRVYLMDSMRTPLQELHRATRDSKKKKGKPRLTAEM LEQIGRVIAKNRERTLNAAGVSDIAATAPSASSIPPESSAEAPTQPPSS PFICI_11329 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRHDNKTIEELRKTSQKPVSPEEGEEVRKKIGAYKYLECS AKTNEGVREVFEHATRAALLSRSSKKGHKGKCMIL PFICI_11330 MAKARTPGALRREQGSQNTNTSSNNALISQNEEEQGLLSFSTDH DGDEAGHEQDDLLVQHAAGEPHSALAKSNGNGTPRTPRTPNRVRFDLTPTNIPPPANG HGGRRRSEEEGDGGRGDDDMELGEHDPLDDGGSFYSPASGRDTSRLPLLTDIEAPSVT VANSWGTEDDVHSWHAAEQSRPKSGMRSAFMNMANSIIGAGIIGQPYAFRNAGLLAGI VLLVGLTIVVDWTIRLIVVNSKLSGANSFQGTVEHCFGRSGLIAISIAQWAFAFGGMV AFGVVVGDSIPHVLEAVFPGLRTWPVLGLLADRRVVIAVFIMGVSYPLTLYRDIAKLA KASTFALISMLIILVTVVVQGALVPSEDRGSFSTPLLTVNGGIFQAIGVISFAFVCHH NSLLIYGSLKTPTIDRFARVTHYSAGVSMAACMLVALVGFLTFGDKTQGNVLNNFASD NSMVNIARLCFGLNMLTTLPLEAFVCREVMLNYWFPGEPFNMNLHLIFSSALVVSAMT ISLITCDLGVVFELVGATSACALAYILPPLCYIKLSSRSWKTYVAWVVVVFGCVVMAI SLFQAVGKMITGGGDPVKCM PFICI_11331 MWQCNESEPAQWLRPDIENVWTVLAFFAPSALAVVALLIQYLLL HEPLAGSVPRDVNPVDVVVLRWVRSGLQYLGFGVHSLDHTGQEDGVRSAFDQFMLGLG DIHAGFGVAIIGHAFASLPRGLTAYDWWLTIGFGWAAVITNIAVLCSLRDYFRQNAVK RAWRLCLVFVMVATLAVCMVPVGRIRHAMHVSGQYNGHDILAANVLCFFPGRGHGVAA KQPSRLVLSWGLIVGFLIVVVALSATVMVLEHPEGVLKRWCRRYWEELEESSLEDPAL CARCEHRFVLLVVRPFIAFWLMVRAHLDVLGSALTEIVCSVALCTWVSLRFYELLQLH PLHITDRLTLVHYIAFATLLATCKPLVEHACMSWRSTPRRDSSRRWPWSRNSESSSPS DDSETGAASPTINARLNTGKSVMFNTSAPNNAAASVQGDATMPRRRAMPRLPMHFKFY LDTAWYVAALPIAAMSCLIQLVLMLVLSTMGGMTGVDVIFKLMPWFVVFAPVQVFFYI IAAMIAEERGSSTQSRQLTFGLLSLGFVTVSTLSVMDTIYGLGGWPMSYLGLGALGLM VVAHVLYGCVSKPGRLVKGKGVNTGTTDEESALLGNNRNQPKIPVRRPKQLLPSRRLS KQGMKTYGTMMKPGN PFICI_11332 MASDSVTTTGPPVVPRRHPDLPPHVVDRVARESPDAVYGLWPIE PTSYQAGFRTITYAQLANVVNGLAWWLEEHLGPGRASQILTYVGPSDLRVSALVLAAI KAGYGLFLTSPRNSPAAHGSLFADLDCKTLITSEPTPAAAIPILEAVQPNHLQVPSVD ALLSKVHPKYVYAKTWQKGLADPMMCIHTSGSTGVPKPLIWTQETILKQWNSAGSPAP EGSQSLEHLYLGKRVMVTPPPFHGAGLGQFFFYAIPFGNVVIAPAAEAIVTAQGLVDA LKETPADVALLVPSVVAELAQSPALLDYCSQHLELIVYIGGDLPQAIGNVVAAKVPLR CQWGASEVGMPQQLIPAELDPKTDWRYIRFHPCAGAVFEEVADGLFELVIRRDPALAS AQTTFTIRGKDLEHLDSYRTKDLFVPHPTVADAWSWKARADDIIVFLNGEKTNPVTME QHVVAANPELSGALVIGAQRFQAALLVEPTTPCPTTAEQAALVERVWPSVQEANRVTP AHARVEKSLIFVTARDRPLIRAGKGTIQRAASLGLYAADIDRIYQEAEDDQSTDVEET VALDNAESVAQKIRDSVNSTTSWSVEDDSTSFFEQGMDSLQALQLTRALRRTLRRPDL GLSTIYQNPTVSLLTAAVMSVQSERQSDRDLMEPLLATYTDQIRQIPRHASGAGLPPS RKTGTDIVLTGSTGTLGTYILNALLRRQDIGHIYCLNRAPDGGRAAQEDRFQASQLSA AAWADRVTFLHADLAQPQLGLGETTYDTLRARVGLVIHNAWPVNFNLNLAAFRPQLAG VVNLFALAAAAAAAAKHVHVVFISTVGAVAARPADAGPAPEHIPESLDAPVPNGYGRS KLLSEKLCEAAAQHLSGHDHDDHGIVTTSIARVGQVSGAADVPGLWSPREWFPSLIMS SVHLGCVPDHLGVFSDIDWMPSDLLSNVVADIATRNLRDGDETGSGGSSSGADSAVVF NLRNPRTTTWEALIPIVKDVARERCGRELAVVSPTEWLERLQKSANEEDESAASVAAN PAVKLLSFYREGLWAGGAAMHPMSVKDAVRASPALRDMPPVQPQWMRKWFEEWLSVVS GH PFICI_11333 MVLLAGDLFHENKPSRKSMFKVMRSLRKNCLGMKPCELQFLSDA SDVFEGHFTHVNYEDPDINVAIPVFSIHGNHDDPSGEGSFCPLDLLQVAGLVNYFGRI PEVDHPKAKPILLQKRPNKAGIWLRPNVQQDEWFNLLAVHQNHSAHTPTSYLPEEMLP KWLNMVVWGHEHECLIDPRFSGSSNGFSVMQPGSSVATSLIAAEAVPKHVAILNITGK EFEVEKFRLKTVRPFVMREIKLSEDTRFKGLDHKKDNRPELTRRLMAVVEEMIKEARD EWLEIQEDPDEVQNKIPLPLIRLRVEYTPSEGGNFDVENPQRFSNRFAGKVANTKDVV LFYRKKKTTKKTTTEIDVPAEMGDAEGIKVDELVDQYLQRQSLKILPQIPFVEAVGEY VKNDNRKAVDQFVADELSNQVTKLLALGGKEDDGLSAAMTRIQAEANRLKNKKPRKLV MVKPKPDNWDSDMDGSWEDQPGVFAYEDPEPVPEPVVKPIRGGKGRPKKTAQVVDEDD EMDDFAEEEPEPETKPKGRGRAAAAKTTNAGKTQAKKPPARAAAKATAKAPAKGRGRK AIFDQDSDEDDVIMMDDDDDEPEPTPAPPKRATAATRSQPARSQPSRAAASQSQPKMK QGTLNFSQKPKGSQAAALEISDDEISDEDDAFESIPVKKRK PFICI_11334 MRRASTDLTDAARNIEESVGTPSAAVIDPEMDLSPPREDEEESR KDRQFTPPSHIAARFYRPTQIRRKDSAASSRRNSISSAHSRSSHGFGGNGDDPQSKYI AQQLRRASILEDRKARLADRAAHAEKVRLRAAMTKASQQRNYSNSEERALAAAQARES KLAEIVAACAEEVKRAKAVAESTREKKEQALRKLKMQMEERLAEAEKRREELRSRHTA KRSRGQSLTTKKPSIDSILEAKEQGTIAMSEETAASTIQWWWRTILRQRAVQEFSNLG LSIDGVRDTSFEKVVELLAEEKVLTTTARVLRICGLQEGAPDSVEEMAAIRTFLSAFV ILGHPTQVLSNKDDKGEQEQVGSVQGQPIPRDDLANPQLQDLVGKARDVLITFENILS RLSSLNNWMTPPAVQEALPEAYATFHNAFIAWKARDSNALIEVMILQFVELDAILQTV KDSTEQAVSETYRQSIKDNQLQLMVRIKRLAGAQQGKKLIFDAVKEARKARAAKKPTG DTRPRVADDSLGRDSSAKDQATAENEVSAQLQTLTPPSTPQSKPNAKQTLMATPMYPP ILPDNRIIVHELAINREYRIEYQHFREQHKMRMDPMFQDLKLGPRSEEKEFRYLIVMA QYIKDRLQHLVKPGSTMHTFIGEMLDTEIAQSQFLAGSFSIEKFFSSMGSLLPKLCAP VRDEEVKELVENKLTEGHVVDRLESLIAFIDTMLYDYANYMLQVAAPNLVEHASAYET KRFAEEVEAGSTSLTRAKTCWKAARTKLYEEAARRDPEGINLAKSRPTPDKIYWQMLL DEFTQPSPPADSIPEPLELDTKRRSRVGSQVLRIVTAGAILLQCKNMLKRDVRAPWKT EAGRLIMVLEALEDSEKPLEQAAGIDGIMAALEGGRSMPVATKTHLRALVTKFLAASA EARRNNMEPREPVLRLLLNRIRSHVLARLQAGSASEKVKATSAAGEKLAGLGLPEFVE KVREIVDELARVGAIDRESHGVWWESIAEEADKEAAASASASTPPA PFICI_11335 MAPEHSKNIDRNAASTTPHYTMKTSTRPQMGSAHSSHSLHHPRL SQSGSQSLRPGNKTTTPMTSPRMAHSVGQPFPPPTSKMSPVVTSEVRPPSSPNYFGLA VEPTEERDSAQAPHNNWSPPTSSVKSFGAAIPMQMPLDANPEFEAFRRQADANRGMSF QLGSSHFAMQPPPSISRPPPARRSTNQSSNPFDAAMARPVLNKAASSRMDIDTDSLHD SAYVSADSKRNSEGSLNPPSFFDMPQHESPLQTDSPFQPVRRSNLSNVEAVHPQLSMP ANRTHSPLSSTKSRAETMPATLEGGPGLITPAQLEVILTTKSEDEYLLLDVRVSTHYA LSRIKNALNLCIPTTLLKRATFDLQKLQKTLQSEEDQNRLAQWQDAKYLIIYDSSSAE KRDAHSAMNMFKKFSNEGYDGNSYLLKGGFDAFSASFPSLVDRRTPAERAGVTAGGSD GRPSFAPVIGGVMLPTGSDNPNPFFGNIRQNMDLADGVGQMDIAVPSNLDHETLPGWL QKATTKQDHGHAVSKKFLAIERSEQSRMKEAYTSFNSTTAQNPNSQKVQLSGIEKGGK NRYKDILPFEHARVRLSHKSEGDCDYINASHIRASRSNKRYIASQGPLPTTYNDFWSV IWDQDARVIVMLTAESEGGTLKCHPYWNGHEFGAIKLKPLSEKKISLDIDKHRTDSNA STGSPERSRRRANTTTTVESAATNASAAKTSTSSETPYVIIRKFAMSHAGHPFAPIRE ITQLHYPSWPDFGAPAQPSHLLALVELANVMQRSALPIDANAIAASALSDKAAQLNWY DEPEHQTQARPMVVHCSAGCGRTGTFCTVDSVIDMLKRQRMAALTSGKRDIDGDIDMH EPVSPSSKARNVPSGGSRRGVFKIGLDRMPSNSSVEIDWLRDDSLDLIEQTVEDFRGQ RLSMVQSLRQFVLCYETVLEWIWRLQERSNPAMTTRARARSGSLEQPMRK PFICI_11336 MALDSYYANKIEAMKLEILKGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMGTKKVLVKVHPEGKYVVDVAESVDIAKLTAGKRVTLLSD SYKLEKLLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRVEGSSGGDSEVQRTMLELLNQLDGFEPTKNIKVIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRKMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFELATAKILNKHDDKEVSLQKFWK PFICI_11337 MDPPSQDSNESNSQSPTDTRTTSSRLEQRDDNLLPESYQSHATV RRRPDITYGTVQTPPPTGATGQYLGDPSSQSQNAHERALGRSSVPVIRSPLIGTGSGR GRPKKPPMPRRASSNVQAPHRGGVFSTDDATEEIEVEAAERQQNFPSSRPQSQKDSRP GSTIRRRTGVPSAAAAPSLSRVQSSGSVGGDDNNGMESRLEEVDEQSNEDQAEVSEAA NQDSEDTVDIDDDDLSDAESFTLKDRQQAINETHPFGIRLWKPALYKKDRSVQKTAEG DIHSSPGGHVSSWLLFFNILWTLMFGWWMAIFAALGAGACFIFAAAPSGREYGRVLWG LARYLFYPFGKFVRLEHDEAYLDEDQGEGRSISEYEQWQNGDLEYGRLFFGPEEHDPQ RSIVGRSRRSIDSEPDETDSLLGRATRQVHLDEHGRRLKRRLFGRGQWNIGRVIFFIF FYGLLTPSLIIVSAICWFLVFWIPMGKVTMLLFDHLRRHPLALSFESDNTLARPLDIP HSASILLCTYRAVGSKYWKYTIDGTNIFLINLMAVVLFVIFDWLVLEGVLHMENFLTH PGFLFVAGLLSIIPLAYFIGQAVASISAQSSMGLGAAINAFFSTIVEVFLYCVALNEG KGALVEGSIIGSIFAGVLFLPGLSMCFGAIKRKTQRFNARSAGVTSTMLLFAVVAAFG PTLFYQIYGTHELNCLSCTDYPDNKSGNAERDCRRCYFSQTPNVEDRFYLEAVRPFCY AAAMFLFLSYVTGLWFTLRTHAAVIWNTEVDEKKHEEVQHMARLAEQNQMADASGADI RDSNLYKRILGQSLKQVGLGSAHHSRRPSGAIPRNGAFTTAHMVPPRMDDDGADTDSI RNNVHVPGFTEQENDNLIRGVTELAATAAAIAARDARNTSRRISSTTGHSASRPVPVR TATAPDMEDAGESGQAHGGHDAPNWGRTKSAIILCSATVLYAIVAEILVDTVDVVLQN FSIDEKLLGITLFALVPNTTEFLNAISFAMNGNIALSMEIGSAYALQVCLLQIPALVF FSAVWPKEAGEGIDLAKFTFTLLFPQWDMVMVILCVFLLSYMYGEGKSNYFKGSILIM TYLVVIIGFYFSGITDEVQMGMNRFDTFNAAEGAWTSYKTIGQSSKGAAY PFICI_11338 MGQQLPPNVVPFFTRALKAFQLSKSNFKVLCTLPPSLDESAASS ESEPAARPPQHGPPRTLLVLDSSFNPPTLAHQAMALSALQQQQQQREGFREGRGEEEQ EEQLATSKSRVLLLLAINNADKAPKPAAFPQRLAMMYVFAMDLLSALREVGKDEDDLH NATTMTPSSAGSRGVEIDLAVTTEPYFHSKSGAIAADPFFSSSSSTSSSPSPPGQTAA MEQVYLTGFDTLIRIFDGKYYPDNSMRRVLDPFLARARLRVTMRPDADWGGAAEQRAY LDDLKSGRLEAAGGRREWADRVDLVEGGGDSRQNAAPVISSTKVRDAVQSENWDGLGQ LVSSGVADWIRREGLYCER PFICI_11339 MQHNWSWLPPQRRTTPPRNGPSPRELEGVEVLEEGGSDLLEASH RHFTRYFAHDNQENGGPQEFFPLPPGASDDDNDNDMMDDSEAETEIASVVDFDDDVEE ENDEDADITGDEDGDTGVEEHGQEEEPPFDPASVGLKEISNLASFTVSSYKPGCGVKE LRDDDIHLFWQSDGPQPHRLNIHFIKRVEIRALRLYLDYELDESYTPTKIQITAGFGP NLTIPFTTMELAMPKGWIDVPIAGAGGGADGNSLCCWFVRVIILENHQNGKDTHLRGI KVYALDDNADTAENNALEDIVDAIDYYDEKERRKTEAGRQTRNLTLRPFTPGDGGLSI PDFMREPEIR PFICI_11340 MDAIGRFALWRTCARRSAAKNGGGIIKKNQRGNATLITSARHGH PQHGGPAKQQQQQQQPRHVSLIVTGTNQQQQWQQSYRAFASSSSSPNNVISAQARLRP FDRESVYSRFKLRAPANSIFGARRRKQQPHSPLLRFIISRTFSSTSSTRCSCIIPSSI MADRDTLPENVKPSHYSLSISSLEFKDWTYQGKVTIDVQIAEPTKDIIINAIELKLAS AKLTLSQNKGTQEFSTANFAYNERQQRATLAFAEEIPASQKAHLEIEFEGILNHDMAG FYRSQYKPVVPAAKSVPRDDDFHYMFSTQFESCDARRAFPCFDEPRLKSTFDFEIEVP DDQVALSNMPVKSTTPSGEGRHVVAFERTPVMSTYLLAWAVGDFEYIEALTDRRYNGK QIPVRVYTTRGLKEQGRWALEHAPKTIDLFSESFGIDYPLPKSDLLAVHEFTHGAMEN WGLVTYRTTAVLFDPKTSDAQFKRRIAYVVAHELAHQWFGNLVTMDWWDELWLNESFA TWAGWYAIDKFHPDWQVWPHFLNDGTELAFNLDSLRSSHPVHVPVRDALDVNQIFDHI SYLKGCSVLRMLVNHLGVEKFLKGVSIYLSKHTYGNAKTEALWDGLREASGADIDSLM STWIKKIGFPVLTVAEEPGQITVKQSRFLATGDVKPEEDETVWWVPLGFQGKVGTSEV QTLALTAKEDTIRDIDNDFYKLNDNGTGFYRTNYPPERLAKLGSQLDRLSVDDRASTI GSAAAVAKAGYGTTPALLSFLEGFSHESSQIVWETALDSVSFVKAVFGDDEAINTGLE NFTLKLINHTIEKVGWEEGPDEGFLTSLLRKKILLTAAANNHSETLEKGQQLFGAWNK DGAELNPNLRSVIYRAGVKADPTAAFDALKREWETTTSPDGKDFALSAIGHIRDTELI NNKILPFLFNTTSDSVPSGDMHALGSVLSTNSVARPLLWKYLQDNWGKATEKMANPVI LDRFVRLTLGKFTESKYLDEIDEFFKDKDTASFNRTLEQVKDNVRGRVTYRERDTATI KEWLEANKYIS PFICI_11341 MPLAAVQNPPAPTESKSAKKKKAKAEQQRTDSPAPTASPAPEKA ASVSGDDAAESPYVKELQKNIRNVAKKISNVNKTVDLISQYKGKSLDELVAAKIINPD QKSQISKKPQLESQLAQLEEQVAQYKKVDEEYRSRGAADKAKLEKELTEKLEKEKADA LVAVTAKAAADIQKAQHDGLLVLSQFLRLAAARRSEDADAGLDENMALEGVLLNVYSG DENAVSTMLKLIEGSEEKTRSVSGDELQTTFAQVKEASTTHAKTFAVEAETEAETEAN AVPEAVESAPAQSTSIETDPTIAYAGLTEIDTVNTSVAPTNGHAEPTSASGIPASANV GDEAANAAAESQWDPASNDLSASVTQEDWVKVPRDPAETETGLEATPAATGPVQSWAD DQPEHATEAPAQADDGFQSVQRNRPRGQGDNNGHRGRGRGDGYRGRGRGDGRGRGRGQ GRGNFGNRGARRGGES PFICI_11342 MAPGQKLYPRATVKKIVKAQSKCNLSKNVDVMIFLDYVLFMQTL VKEAAIDSKQAGERGISAKSVKKVTADTLSKFKG PFICI_11343 MSAEATAAPAPEHAAVQLDVVATEPPHAKSSSPKPTMGLHSPPD SDKLKLDGSDSELSDLEDPEPDPTLDHLQQPSQPSEPVETAHDAAPDDNHKVEPDAEP EPVEDIGEVLPDHWSGTVPVFKPDMRQFKDFKLFMEKIDHYGMKSGIVKIIPPADWKE KLPDYHELVKQIRVREPIKQDIMGSNGTYRQMNILHQRSYNLPQWRQLCDQSEHQPPA RRGERRANADKARPATRSKPASSSGAPSASKGRSGRATRGRGRKAKNAVGQERPMTPI SPKPGEDIMESVEQDDPDASMDVDEEDAPRAPGRMGGARQPKPQTQSTSARRKYSRRE ASARIDEEAFKDWDYNMDISDYTPERCEELERIYWKTLTYAPPLYGADLLGTLFSEDT EMWNLNKLPNLLDVLGSKIPGVNTAYLYLGMWKATFAWHLEDVDLYSINYLHFGAPKQ WYSISQGDARRFETAMKSIWPTDAKACDQFLRHKAFLISPSHLQSHFNIKVNKVVSYP GEFVVTYPYGYHSGYNLGYNCAEAVNFALDSWLPMGRIAKKCECAQAQDSVWIDVHEI DRKLRGESTDYEETEDEEEDEDDEVDDEQDTSQMSSTIRIKQPNRKRKRIAVDKGDRQ TKKIRLRVRVKTQIEPPCCLCPNTVLDAVLLPTDDGRKAHRMCALYGPETWIETVDGQ EIVTNVANISKARLELKCLYCRSKRGACFQCSYRKCARSYHATCAAAAGVFVEEGEVP IFGEDGTEYKEQAFEFSCRFHRSKRDKKLDGEALDDCKKIHDAAASLKQGEIAQFQLY RGDIFAGVVVENRTDEQTVLIEVIPNGDRVEVEWKWLLVPEPSDFRLQKASPNAIPMP TSRQAKEKINATKRAAEELPRAQDDFVDGFTWAEFHTAKNPTNKVQVKVDFSKEMQIW HYLGRTSTEARAQYTDDVAKQVHNSKSNFLDTIPRPPAPVVTRPWPVPYNTPAKVDRP YQYKPKTPVVPEYSPYHNQQQSSNQFFVSPHHGTQPQTYSGQPYTAQQFAPNTLAAKP FPTSTSTFAPQPGQGAFYANQSTPKTLPRQPQATPNTAPQQSQHRPGVLSQSTPRSTQ PQKSSTPHSAPAHSRNKSLPFGTDPRYRTSSTYGSARYDKGSSSPFSYGIMQPPGLGA TPSPQNGQVFQAQARERSSSAASAMSMPMTSSVIGPSASTSLGSGGQPQVVKLPIPPR PQPPPPSVVQKYAYFQAHHNRDSTKYRTPYAPWGGFTNGYEGNLRAHLMKTPDALFSY KQSPAIQPSATQTPPLHNSANAQGVSTVAVDRMSHTPQHDAPARQLSSPAVAPAQATP GPQTPSSGGSNILQTASGPYDPESVLTKLHPAIRAQYAAMLQRKQAEEQMQAPAIAQG YTQNSPPSSVSAELQSAQQDNVQNSRSGSISGFPRTSYEHQAQHYTPSPQSSVQHTYQ ASGDAYQNPPVASHHAMDMGPSVETSKQPIKQQTPIPEQRPVPATPVAQPRPVVIKQT PVPPPRPWEQLQRTTPKSSPALPVSPPAATTTPATTTANVESKVLDPVPDSGPQPINS QPAEQLRSIPVHQLSVDTNQEPESPHALGQTFPEVPAGSFDLVEEIIRNAKRASGSDF AHL PFICI_11344 MAGDYSTAPAKGDRKRSSDASDTLATAKRIKKGESSDDQAIESN PYLAHLKQETGGNSGEMPSNSLLHKFNRRETTARQAAEAEDGNDNPFTGEPHSQQYFK ILKGRRDLPVHKQRQEFLNAYHSTQILVFVGETGSGKTTQIPQYVVYDELPQLNRKLI ACTQPRRVAAMSVAQRVADEMDVELGQEVGYSIRFEDRTGPRTMLKYMTDGMLLREAM HDHMMSRYSCIILDEAHERTLATDILMALLKQLAKRRPDLKIIVMSATLDAQKFQKYF YDAPLLAVPGRTHPVEIFYTPEAEKDYVEAAIRTVLQIHASEPEGDILLFLTGEEEIE DTCRKLSLEADEMVREADCGPMAVYPLYGTLPPHQQQKIFSAAPQPLKKGGRPGRKVI VSTNIAETSLTIDGIVYVVDPGFSKQKIYNPRIRVESLLVSAISKASAQQRAGRAGRT KPGKCFRLYTEEAFKKELIEQTYPEILRSNLANTVLELKKLGVEDLVHFDLMDPPAPE TMMRALEELNYLACLDDEGELTPLGSLASEFPLDPTLAVMLISSPEFYCSNEMLSITA LLSVPNLFVRPANKRREADQMKDLFKHPEGDHLTMLNVYHAFKGEQNKGEDTKQWCHR HYLSHRHLTSADSVRAQLKRIMETQGLELISTDFNDKNYYTNIRRALVAGFFMQVAKK ESSGKIYRTMKDNNQAVMIHPSSVLQTDYEWVLYNEFVLTTKQYVRTVTGIRPEWLLE IAPVYYDVDHWDGQKDVKLALKSVQDKMRRRAAMKAGR PFICI_11345 MSYKRGIVASWLFATVAVAAPAPEPVPHPMITPAPVLRRDYQRR DFDPASYVDSVISGIGSDVSSYVASGIPQYFQDLPVGDSVESSLSINDDDLKATPTQV LNIPGYANWTEQGWNVRVHGNVFKQPNITQEKVDDLANVFLIDTDIDSLPADQQAQAR NLTREIFVVQQKDVNVTINFVNDVTVEPDASGGVINAEGGAQSIQLPYPTTDEGDFDT FVVLANTTGPNGGYMIAGNATDSIQSLNMYANGTDTGNATAYLVPPNGLTIISDIDDI LRITKIYDPKEGLLNSFARPFTQWENMPEIYANWSASIPNFHFHYLTTTPEQVTRNYM EFIYKTYPLGSFDTRPLNFSDVSATLQIRRFLLDKIFQTFPDRKFVLMGDTTNSDVMK AYPALAKDYPGQVQCIFLRNTSATDSTDLFPYDTSGFEGLNQTQYMFFLHANDLTNLD IVGGNCYNSTIPQNVTFGYQGYGFGNAATSLGFGGSSAIALATFWFAVSLLLI PFICI_11346 MNRRMLTKEEEGAGDEVEVRREDQDKINKFSRLHQKELKIQEAL NIKSKEKEELDDITTELELADEDDLVPYKIGDAFFHVSLEQAQEMLATSTSNIEEEVS ALEDRMGTVKDEMTQLKVELYARFGRSINLET PFICI_11347 MAQSSYDQGGEDYDESRPIFYIGQHDSARHETLTDLQYGQVLNA GFSFVTTPVTNENFRKRVTELAAKSCAELQSSEIPSCHPDPIVPPLTTEDTSLFPGPA TSGLIAYSSPWIDLCSDNPAISSISRQVLNLEVAYANFCGVRTIIFPGPRQDAVQANG SRGVAQYARAVQEAMVVGTRMNFVIHLPMYREPGLEEATSTLTSELESSKETAASGAQ DNIDIFSSWDSWHVIRSTCNYDTRLFVAIRMPRLMPSKELQNRWFSEPVQYLTIGPKA FQANKGGNPSLSRHHQEMIMSYMKLKVAPYFLLCDVGPEVKDVEEPTEAPKTDEFPTL AEAAKQPKKENQGYPVHNRYSSYLRHLERQQEPHSVLEQATLTNFQDWLQSPLQPLSD NLESITYEVFETDPVKYHQYELAIAQALAEWSHQRKPTSSPTGEVVIAVAGSGRGPLV TRALRASESTGVPVKVWAVEKNPNAYVYLLRQNQKVWNGRVTVVKTDMRAWKGPIIGG TANEPEYGNVDILVSELLGSFGDNELSPECLDGIQHVLAKPHGISIPESYTAHLSPIS TPRLHADISQRAPLDEHAFDTPWVVRLFQIDFVSQRVPGHGRFQQAWEFIHPLPQSTM EMIEARRSGGVVGGGGGSMAGGVGANDHNSRHCHLTFVCRDRGVIHGLAGYFESVLYR PRIEGKELVELSILPDNIQRKSKDMISWFPIFFPLKKPLYLPPDAEVEVSMWRQTDDA KVWYEWMVETYMWVSETQRIKVGESEMCSSRKIACVM PFICI_11348 MSFMPINPRPMLQDLVNKDIVVRLKWGETEYKGRLVSTDSYMNI QLTNAQEFIDQKFTSDLGQILIRCNNVLWIKAADAGEGDVKMEG PFICI_11349 MAIRVTNLRPSDTEENPFWYTFTVQCTSCRETHSNTVGVSRFEN NEMSGSRGEANFVWKCKNCKRESTASIKTAPKAYEQGEPPKAQSIIEFDCRGLEFTDF HPEGTWLADGIDSGTKFTEIELTDGEWFDYDEKSGEEVIIKDLKWNIKRA PFICI_11350 MQTLWSRVAQAQSACRCRVCLHPTNALSRRATTAAPRRRVTGAD LFTACYTTILGTAVVIDSQRKEVRRAELDAKLERARASLSTLAVQESPIAFEADETQG SWDHEGSTLPKTFSPEGGATKDGSVLLGELADIGTMTFAPQSRSTFPRHEVNWEQVEA AISAEEKSDNWIRLPANENQLEKTTKTVEQLVQTLLWQARSTSSAGQSADQTSTGDEF LDQAEDLLGEYPMYRNPHVEPEASRTARFDLSENFRTLFSKNPNVKEAVGKICFNLLA SPAPPNLHNFMTLIAGFHRVQRPDLAGAVIDSYLMSTDWPATQQTVVCLLSHAIATND VELFREIVLRMRGYIGDGMHIRRLFQRPSDEIANTKVDHWRLKDFVIRKFTYISRFDR RHEVFDTLTRGWLHFNQVQAASRSFIGALCSENLPSVDTIHELLKTSLESLNQTNARY LVKKLLSHTERVDYLMEYIIAQSTAQIARRIGELLYSLLSLADSGHIEALAEARLFLK QLLDSIGGRPGEFDLICKSAALVMKQQRLEEKTKRIEAHIKVLAIKHETGINLDYVDV LPPIEWNHRNLEKYPAVFHALDAIDLASGISTGIEIKRQLLRGMPDQTIARELDAAAG NLDDVTFQALISFYDPRQQTDSAQMETSTDVVGQLEGCAREIEGRIKAILFCYARKYH QWSCRRAHLDWHSMPVDLLFRYTGEHLQYQLKRRQTKRHLQEDAEQSIVAALQDEDSR TFDESSAPHRYVDMPASVSGAKSAATESNQRHVVLWTDGQVDPSRYAEATAW PFICI_11351 MKFGRTLPRNQVPEWASSYIDYKGLKKLIKAAAESSAKLGKKAD LAEFFYALDRNLEDVDSFYNKKFSEAHRRLKLLQDRYGRSPDVIPDLDDDEVEELMGA LLELRNQLRNLQWFGEINRRGFVKITKKLDKKIPDTTIQHRYITTKVDPRPFAKDTTI ARLVTEINKWLSVLGDSQNLDDSKSDRSARSVRSLGRASAKAMLSVPQAAYDALEQAI RNDDVEGLKAALHEANLVSEDPAAHAMLLNCLQRSISSRSKSCIALLLQQIKSLDEVD DLNERNCIHRLVIHIGRTKSATEGDLEPNAAPFPVSSHYTSHYIPPNPLPQNGATKLL GKDDKAVDVLFFLLDNLKPEQRVALSKRDSLGRLPLHYAAQFGFVVVCEIIMAKMQEW DQFHVGSGIDGSEWQDKEGNAPLHLAVIGGHPLTTKALLRGEDWRGEAGAIVKHRGPV SQSGVVLAIATKSNFAAIVQMLVDAGININWQDETGETALHIAARFGHLECAKILLGG SASQTVNLELTEKSFAWTPLHIAAVDGHLEVAQLLLEKGAEAGKMDSFGWTAKEHAAL RGHMDIARLLFTYTKEEDFQPEDEEEEPGRSPSPTEISSLEDRRSNGSTRIADPIKSF GHRYLKDTSLVMVSLGSMDMRKNTEAVKLDRVPLAEAHTNQLDTALSVVVSASGAQGE PSIVDLPVHENISTEPILFHTTDISKVKLMFDIVPTYSGNEKNKVGRAVALLSSVRPT LGTNRMNLQGDVCVPIMASNLEVIGTVNFNFLVITPFSHPRMEINSQQTYWKKMSSTM VIGHRGLGKNLVTNKSLQLGENTVPSFIAAANLGANYVEFDVQLTKDHVPVIYHDFLV SETGIDAPVHTLTLEQFLHINPERSDMTPEMVRRDINETRNNQPGPRIRSQSMGFAGD GSTDLDERMKHTRDYKAKGFKANSRGNFIQAPFATLEDLFKNLREDIGFNIELKYPML HESEEQQMDTYTVEINSFCDTVLTKVYDLAKNRNLIFSSFNPDICLCLSFKQPSIPIM FLTDAGCAPVGDIRASSLQEAIRFASRWNLLGIVSTSQPFVNSPRLVKVVKQSGLVCV SYGAQNNDPLLVQRQVQTGIDAVIVDNVLAIRKGLTNDEEKRLQKKAEKAVLTAGTAH ITEPRVQNGAQNGDTNGKSG PFICI_11352 MALHASALPSIAAVTADIWPSMFNTLTMPFRLPASASRSQRSAR ISQSPETAASDQAQQTAPPAKQQSGFDLPEFLRDSRHDSAIVSRLRPAAMHISTPFNI RTSISSMSGAALLASNPVARRFYSQSPVSRSLSTFSATRSAFRTPLLNRPILQQRSYQ TGGLSRNLLAHMEESANRNPGSATAQNAFYQALLKANMPAIIVERYRSGRFATNPAAD EAYNKALALLTPAVAQPVQGNFEESLPSDLTPTQLQAVKQALAAHSRGQNIAITKEPS AGKGGPLHVVVDETLGSSLFRWVKFILWFCLFTYLSLVIITMLVESLSVLKRPGSGKV DSEAKAENQKTRFSDVHGCDDAKEELQEMVDFLRNPDKFSTLGGKLPKGVLLVGPPGT GKTLLARAVAGEAGVPFFYMSGSEFDEVYVGVGAKRVRELFSAAKSKSPAIIFIDELD AIGGRRNARDAAYVKQTLNQLLTELDGFEQNNGVIIMGATNFPELLDKALVRPGRFDR HVNVDLPDVRGRLAILQHHAKKIKSAKDVDFKALAMSTPGLSGAELENIVNQAAVHAS RAKSQFVGLNDFEWAKDKVIMGAERKTMVITPEEKEMTAYHEAGHALIQLFSQNAGSS LYKVTVLARGGSLGHTAYLPEMDKHSYSMQNYAARIDSALGGKLAEEIVYGLDKVTSG ASSDLQAATSVAYQMVAQMGMSPKLGHMEYATRYTELSSETRALIEAEVQRLLNESYE RCRKLLTDRRTELDRLAQALVEYETLSKEEVEKVIRGEKLADRLPAPKGPIRVPVPDK PGPVAVPLPGDDGPSPPPAAPPAPPPAARSGDSSS PFICI_11353 MDSNDSSEPTLPPAEQMRQKRLAMLSKAAASPSSRTPTGSEPSS AAATPKSASPAPKEEKENKPPPARPTQDIQSTTSLSGQKNTAEHEAVSAPPAKKANTA VKEEPIEDWSDKVLGDIFRITLDESRTQTLDGRAVKTYLPNTAEETSQEGAPLKLTIQ NIDSALLEATSAQPASKPLLSYLLPCFRRIIKIKSSLRRPSAEKLAVLDEAKRLCMSN CVFALTMPELFSREPNPSHDTLIPYLLRHHDNEDGICLEFYDEAVARMADDESIGAVF SDTMAQLSKKLATMSMNDDFKPYVNCLVTFSRYPPLLDALAQHPDFLMTPTSDMTKEQ IAVSSETQTILGPFFRISPLQTEVTKTYFSSPRTIDQGAIRTSQSALQMTLKTHQGDL TSIVNAFVRSGATARNRILDWFAFIMNTNHKRRALHVDPKEVASDGFMVNVTAILDQL CEPFMDATFSKVSKIDIDYLRRDPRIDMSDETKLNADQATSDAFYSKKADGTSNFISE VFFLNLAAHHYGSEATNSKLKDLDREIKHLQKVEKEVNEQIQKLQGNPLQLAIAKRQQ DRIVNVIDKTMALRFSIEGVLTDKSMQTLSLQFMRYVTVWLLRVASGSSYVPGQKLQL PLAAERPEAFSCLPEYALQDVVDNFKFVLRFMPQIMMSAVGDEIVALAITFLRSSEYI KNPYLKSSLVTVLFAGQFPMYHLSKGILGDILMGSELANQHLLHALMKFYIAECEHGT STAFYDKFNIRYEIFQVIKCIWPNNVYKEQLDQESKINRGFFVQFVSLLLSDATYLLD EAMSKLIKIHDYQKQLQDPSLSQDDRAKIATDLDQAEGACQSYMQLVNETMAMMKLFT ETLKDPFTMPEIVGRLANMLDYNLDTLVGPKRGNLKVEDAKKYNFDAKTLLSDFTDIY LNLESKQPFIEAIAADGRSYKPSNFDEASKILTSRSLKAPEEMAVWERLKKKVKSAKE IADQAELDLGEIPSEFEDPVMGTLMTDPVLLPSKVIVDRSTIVQQLLANPLDPFTRSP MTINDVVPDDELREKIEAWKAERIAAVKAQPSGDAMETD PFICI_11354 MDSHSPDFSNSSSNDLNNAGKIAVGICSTIAAMAVIFVIWCLRR RKRSAKGYMQTPPPMTRAFKAHYQEPSDSHASLITTTTTPPPPPPPPPPPAATASGAK SPQPLTPPMRLSDRRFLPSVSSPASIRSSSAPPYSSQPDLVIPEHPAFPSSTHRNSPP YCHHETTSSMEEKNTQIYNSHNINIPRSSLSSGGTASTTGSETTLTSPSSPSRPRRPH ETPLLVPGLITPAGPPPERALPAAPLLPQQQQQQQLVLASSPLLKSSSRSPASSSPVA PVSPLSPTSPSMQTTLARSVSPVPSPGEIGLAIGTAQDDGVSAPSQVHHARGRGNRGT QDSGWGIWMGGSGNKDNKDGDATMQRQQEQAGSTEHSLRLADRKNDQIGVDIVLRELE MAKLSGSC PFICI_11355 MTKVLLTGGSGFIAAHILELLLQRGHEVVTTVRSEDKAAKIREA HPDARLSIVIVPDIAKPDAFDEVVKTPGLEVVLHTASPFHYKWSDPKTELLDPAIIGT TSILKAIKRSAPGVKRVVITSSFASILSDAGLSDPKTVFSEKDWNPSTYEDGLKEHKP TSYRVSKTLAEKSAWEFVEKEKPNFDLATICPPLVFGPVVHHLNSLAAINTSNERFVD LIHGKWKDGIPDTGVWFWVDVRDVALAHVEAFERPAAGGKRFFVLSGKFNNREIVAAA RQNFPELRDKLPTEAVQGGGYPAEGTYGYDNSRATSELGIKWISIEKSAVDTIKSLLE VGA PFICI_11356 MELMELVENEPTARPFQCDWQSCDKSFNRKSDLQRHYRIHTNER PYSCATPGCGKSFIQRSALTVHIRTHTGEKPHQCQHIGCGKRFSDSSSLARHRRIHTG KRPYKCAHEGCLKSFCRKTTMVKHQRRSHQRGIHSSDLDDCTSDSESGESPTTPRMSA INWPHVGMGGHPGIHGHSIHRAASFADFGHAMNQYNMQQHPYGHRHSVSNSVTHEFHS QPVQEQHPGAQMLHRTASMPQHSYYVTEHANPGVATMNTNPMPAYHVPRQHVERPMIE IPYNAAAMTGSLQSSPATFSPASGRSPSVHQDGFYTHQPAQQATYALQTTSPIESQPQ AMVQYVPQMQQPIPTTQAAPAQVHIQEQFQPAPQQDEQWFNGMPYQPPVEVATIGSLP SYGSGGVYDPWAIKADFEDPSMQLPSARLESM PFICI_11357 MPGQQGFTSISSNNRPGQTPSVMLESYLASELTVTERLAMGVTS FSSGNNTSNRDTTSGQVSSSSQTGQGGGQN PFICI_11358 MEKQKSATAAKKTASRQSDSKGHNIRPPNQYIAVKRQEAIEAVM SAFNMWLHKQLSVVSCAVEATDGSDPTPSGSNTRDSSTSKSSGTSGRSRSKRQLSDDG DDKNGASEGGDGDKHDGDRGGNKRAKKDVGAKLFACPFYQHDPTLACCSRACAGPGWP SIHRLKRQYRLTPTDLREHLTRVHRLPKYTCPRCCDPMDDQSKLNDHPRSDTPCEKRD VTRMQGINDAQDKKLRECRKTTGTLTEEQKWLDIYMILFPEANPKALPSPYYSRGHLG NSAKSAAQWKKMKKHIEEKLPLAVRTRVEQRFAGAQVSNSLSPTH PFICI_11359 MSKAEELMRRVPTRPLITRYSLALCAALLIYCLFLYPALHHHRG PHLQQPNADQAANAADAAVPLTGPVNDGQGAVAGPQQSPTEDAAPREKVYKPMPLGYA KIEDPFPWLTVNKRAPPMLPDNLPPNPHVPEHTPLFIGFTRNWPMLLQCVASYIAAGW PADDIFVVENTGTFRANKDAKLTLQNPFFLDHAQLKLLGVHVITTPTLLSFAQLQNYY LNIALQRGWENFFWSHQDVIVFSDEEVQKKDRDHDYDQDPYATIYERAVGLLRYLNGP DMPPWATHFFAYDHLTLVKRDAYLEVGAWDTHIPFYGSDCDMYLRLHWAGYWQPQSEA GLVFDVNSRLDDVGALFRIPRAHASFEGDPLFNDPNRPMLEAEQKQEYEVRAWTEKEG ESFQHLVAVAERMQEAKWADDGIWRNTWQAKQGGGAGEPFYRDPAGFEDGVRTMIDAG RRVFADKWGHRGCNLIEMGIEPQDAWRLEPDWDPATEGNAAEGGDWGKDWTGID PFICI_11360 MPWQPLPRIAFAVATYPFSTQADNELPLEIGDDLYIIEETPDGQ WLRGYLLAPPSLLAGLTSSKGQTLEARVFSGIFPRSCVEIREVLGESDEASTDDNASY AGEEEAARFGSDSGKSGVASIEKKRTRSSPGKSKNNTGSSSSPASPISHRGGTPVGLS VPATREPGAPKPPAPVPMLKIGDETPTSISEPLIDEIASCLREWHSTNLHELLLTRQY AKLEKVSQLINTLDLSRRQFLHNVLTATEYDALREKTVWDLVKGNKLCGGEVIVRDPS QRGRVLTGDDSVVEITKLQSVMSLLDEPPQPNVELTALHHLLVDVKSFAGSSNEATTL VVYLVSKPIGGFPVALSESYVIEVPAGGTMGHMARNTQMRTLFADLASSEIGDLPSAD SELYLVVKVRAPQQVVVGKPPSRSGTVAQAMSGFSKEKTPVSSGGSKSSRRSMVWGST RSAFSRGGMKLDALSEQEERPNTDTQESRDGSLPPGTANSRTASVSAEGSQSVNMVAE RMVGMGVLKLNQIMKQPDESEHVMTIWSPSTRFTAEQPEHGDDWDDLINHLLDSKSGQ YEKSRRADRLQVQLKAFDSADADALIKSTPTLLSGITKTNKMGFSGAPTKPRSDIYIT LDQALLSRQNLISRFGGSATSISGNVHGGNLVLSLEVRRPSGEKIENCIFPSSNGEVL TTWRSFAVERGEPWRQTLRLSLEPSDVMSCHVLMTLSDLPNAPFAVSYIPLWDQQAFV KDGPHTLLLYKLDEFTQTPHAGPSGRGGYLTLPWGTRNEPSDVTGPLAALRVQTYLCS TRFSQDRTVLGLLRWKEQPKEDIPHLLKQLIFVPEIEVVKLLSDVLDALFGILVEYQN NDEYEDLVFMALVRVLGIVHDRRFNLIPLVDQYAEKKFNYPFATPCLVRSFTRLLSKP TEPESARKLRATLKVVRHILKFITHARGQQKVKEADIGITSTNPGFTRHLQSIFKALD AMMRSNKAVLVGSQTLAVQHFHTWLPELTGLLTTEEILHIAIDFMDSCTSVKGKLVLY KLVLIINYSKLDLFSNPEQRQALCANTVRWIAPHWGTPDVVSDQWKEQVRLCCSVLAS QVYHLGPEIPDYIPKILESYLAIQQTELKPKARLSLLFPSSYPFPTKAINGTAQFDEA LIELSAVLSALSNSPSGMQLELADGDVATHVHNALQVHMSILQGEAFPSTWLSVHIFH HKSVMKSLQYLASILLDSCLPDPDEAEGFNTELWKMFFTTLLKLVSNGCLALETFPEQ KRRAVWKIAGDVREHGAELLRRTWEAIGWDATPDERTRYGLDKMGGYQVQYVPTLVGP IVELCLSVHEGLRKMAVEVLQTMIVSEWTLSEDLSAIQMEMIDYLDFYFKDKQITESV LQKLFITELLDRFAPLSQVEGEPLYTALQDLIGTVDEFLDLLVAVHSGEGGSAASQLI SRLRLMEFLRDMQKEEIFIRYVHQLARLQAGARNHAEAGLALRLHAELYDWNPVKITS ALSDPAFPAQTHFERKERIYFDMIKHFEEGEAWSSALAAYKELQYQYENNVFEFAKLA RTERAIANIYETLTKSDKLVPKYFKVVFKGLGFPPSLRDKEFVYEGSPTERTSAFTDR MQEQYPAAQVLTTGDVDSEVEGQFLVITSLSPHRDLTHHVFQRAKVPQAIRDYLLSAH PQLFSITSKRNTSGPVHEHHAEKILYHTAESFPTILRRSEIVQVEELQLGAKETAIER IVRKTQEMTTVEKRLSEDDSVAQLLVDAIGVSINPESENSVVCYRQLLPSPDTDDEDD ENGEVDEPELNEQETAIKMALVDHAIMIKRCLTNFSQSRNTILTNGYKDLYPLFEQTF APEIEIFSPTQPVRDVNSSVPSPTWRKASPVNESSPIKQQGDGNAYVLGGGVVEEAAT VQPISVKQRGTRLSFLGGRRKESRESNGEGVIGLHENDSNTTPSSHPGSQRSMGKENR RSFFRGPSSHETIISAKPFGHPHDSPEHGTPDWAHDIPPKSSERVGVAEKGSEKAFDS GTPRLGSVRKRLSLLKLGGSKRGKPNGFIGGVEEE PFICI_11361 MRFGKTLREATYGPWKDKYIDYSKLKSLLREDNRDDDTPWTEED ETKFSDEIFNVQLEKVCTFQEETVQSFQKRIDEAFDKLKELAPADAKAKGDVATQPLK DLKAEIDNITNEIEQLKKYSSVNYTGFLKIVKKHDRKRGDRYKVRPLMKLRLSERGLN AEKEYSPLLKKLSTIYYIIDSNLEAGGEQTAPPDLEHQEEVKNGERYTAHKFWVHQDN LLEVKTYILRRLPALVYSDSSAKELDGNEDPSITSLYLDNSKFDLYMRKVEHQVDASS LRLRWYGQLSTKPDIFLEQKIIYENGTSEEKKINIKDKYIKQFIDGEYKMEKTIQKME RQGQQPETIKAFKTTAEEIQDFITKNKLEPVLRAVYTRTAFQKPADDRVRISIDSDIA FIREDTLDRDRPCRDPGSWHRRDIDDSNMSYPFKNINQSEVAKFPYALLEIKVKEDAN RRKPAWVEDLIGSHLVHSAPRFSKFVHGVASLFEDYVNNLPFWLSDLERDIRKSPKQA FDEEEQRKARRAEDAQVVGSFLGKGSASYKAAAAAGSYIPATSSPVGQSYLAQRVQAE DQANQGRDQPAPPADEQAEDDEHPDGEENGRQNYGTLGKILPSFSIGSYARLRRDRVK LPEGVIEPTAWIKNEGELKVEPKVWLANERTFLKWQHISVLLGTLAVGLYTAAGKNFL AECMGIAYVAIAAAAGLWGYTMFHKRQSMIIERSGKDFDNMIGPMFVSVALMIALILN FVFAYREAFEKLHDGEGAGNGTAALPVGEL PFICI_11362 MTSNNDVTCTKDSRRPPGTVPLERDNLRRIAVFEILESILYSRI HPLHSIIYHPKYSYGRSHPGLMTRLSYWSDWETKVLDTSPLEHAAALNSSQKANYGQN HTSLRFATSALLWVVVGTYVISFLICLGTSFRRPHGQRVFHYIFTISLLSAAIHYFTL ASLFERISKLYWPNLAALGGARRDESNEMHMAESAYLFKKVTYGAMVLALGLLSGVPW AMIIYNIALAWIWIHSYLVFLTSNMWDTWPQTYLESFAWGFFAFGTIAWLILASNILG HGRESAVRLGGHRHYDFLSIWAVTTWFLWNLTIVSSIRDTGISLDVMDCFFGLAFVVA DIMMTPIAAWLFCHSSHTLDHNKRAISDRVHDDGRDPEGSTVAPARGTDHQPETSTPF TLRQYFHRHPPTILS PFICI_11363 MTSGVFKHIDTSAQPPNTKPWTKVDADATSFKLLEHKRSVHNLR GHESEFTTDNSGFAVLKSPSQESSFTDEAAVRGPYYQEVEALLRKQLPGIKKVVIFDH TIRRRTPGSARAPVQQVHVDQTPGATEVRVRRHVPKEEADELLKGRYQIINVWRPIEN PASDYPLAVVDWRTTEPKDFVPVDLLYPKRQEAQRHDDDDDRGKEVRPDESVWYSTEG YEVRGETMSVAPNPAHKFYYQKDMTPDEVLLLKCYDSFGDGQPMGKDGIAVRTPHTAF IDPATPADAPGRQSIEVRCLVFYE PFICI_11364 MSSRFGGSNLHQRDSRSALFEGYKGGADSTRRQYSASPASVGGG YGYGGGYPGSNGSGGQLGVPDSNKGFRPATPNSRGQYDTATLDELESQNDQEVTGIMN KVKMLKTLSVAMGDEIRDSSAMMDKMNDTFDNTRLRLRGTMNRMMVMAERTGVGWKVW LGFFAAVILIFMYVWLF PFICI_11365 MFSLLPDLAPRDSHALWYTSSRSPLSSAAASHDLHQSFPGASEQ QQQQQHASHHHAANQQRRNNAHIVERSPLARLRNDEQNAERRLHNVASFGSGWLKPPG IPKTLHQLREERREQEEHQEAMRREQLAQELAEAEATGGGADADGLEGPSGLGADAVM RDVAGSDAMDEVQLDGTRDLDDDIPEAEDNFMSGSDEESDEEDSEESSEEELEPVGTP AGALRTARLQRDIMTQMMRRTHDQLEDSIAGEEEIDDEDQQEMIEEGDTIGEGDDLGM GVDLDDDIPEADSAGGYEHTDTEEELSSSDDDQGEVSFAAARAPQSVRYRHSLARSDA TRNSLAFSELLSADGSSVLGSSPHAPRRV PFICI_11366 MGVPKEFDDRQTSLESEAETYHPYEYQTENNGSWAGALPVKQGL YDPSLEKDACGVGFACHIKGVPSHKIVSDARNLLCNMTHRGAVGSDARDGDGAGVMTS IPHKFFIKNFEREVGIKLPPLGQYAVGNLFFKPDEETLQESKRQLEDIAESLGLRVLG WREPPVDSTLLGPAAKSREPIILQPFVVLTSAYGSGDAPEITDPEKFDDKLFERQLYV LRKRATNTIGLHNWFYLCSLSNRNIVYKGQLAPVQVYQYYHDLVNADYQAHFALVHSR FSTNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGLMQSDIFGDELEHLYPIVE DGGSDSAAFDNVLELLTINGVLSLPEAVMLMVPEAWQGNTAMDPKKAAFYEWAACQME PWDGPALFTFADGRFCGANLDRNGLRPCRYYIMDDDRIICASEVGTIPVEPERVIQKG RLQPGKMLLVDTQAGRLIDDAELKAAVSNRNDFQSWIDNELITLPKVLSQATESGLDI APKPDASKLQEDPLLLAFGYTHEQVSLLLAPMASDEKEALGSMGNDAPLACLSQAPRL LYEYFRQLFAQVTNPPIDPIRESIVMSLDCYVGPQGNLLEMDASQCGRLLLPSPILSI PEFNSLMNMSKAHPGWTVKTIDLTFPKSEGVAGYLKHLDYICNETTAAIEARDRIIVL SDRKTSADRVAVSTLLASGMVHHHLVNNKWRSLAAIVLETAEAREVHHMCVLLGYGAD AINPYLAMECIIKLNREGLIRKKLSDDSLIANYKHSCDGGILKVMSKMGISTLASYKG AQIFEALGLDDDVVEKSFRGTASRIKGATFEIIAEDAFRFHERGFPSRYTVGVTGLPE SGEYHWRDGGEAHINDPTSIANIQDAVRSKNDKSYEAYSRSEYEQIKACTLRGMLDFK FDEYTPIPIDQVEPWTEIVRRFCTGAMSYGSISMESHSTLAVAMNRLGGKSNTGEGGE DPERSQVMANGDTMRSAIKQIASGRFGVTSAYLADSDELQIKMAQGAKPGEGGELPGH KVSKSIARTRHSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRSRVSVKLVSETG VGIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRG RVVVQTDGQLRTGRDVAIACLLGAEEWGFATTPLIAMGCIMMRKCHLNTCPVGIATQD PELRKKFTGTPEHVINFFYYVANELRAIMAQLGFRTINEMVGHVEALKVRDDLRTNKT ANIDLSLILTPAHKLRPGVATFNVRKQDHRLYVRLDNKLISEAELTLDKGLPSRIECD VVNTDRAMGTSLSYHISKRYGEAGLPLDTVHVNIKGSAGQSFGAFLAPGVTLELEGDA NDYVGKGLSGGRLIVYPPRNAVFKAEENILIGNVCLYGATTGTCFFRGVAAERFAVRN SGATAVVEGVGDHGCEYMTGGRVVILGSTGRNFAAGMSGGIAYVLDINGDFESKLNME MVEASGIDDPTEIAFLRGLIEDHHHYTGSELAARILVDFNRALPRFVKVLPVDYKRIL EEEAAKAAEAKRAEFNLPVLPGVKPKKEEKGAKLQDMEEAVGDNAAEKKRALVLDKTK GFMKYQRRSEKYRSAKTRTKDWQELSTRLDEDELKYQSARCMDCGVPFCQSETGCPIS NIIPKWNELVFQNQWQDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINEDPVGIKSI ECAIIDRGFEMGWMVPRPPKVRTGKTVAVIGSGPAGLAAADQLNRAGHLVTVYERADR PGGLLMYGIPNMKLDKRIVKRRTDFMAAEGVVFKTGVSVGEDVSLLDLKAQNDVVIIA TGATVARDLPIKGRNLEGIHYAMEFLHKNTKSLLDSELQDGAYLSAKDKHVVVIGGGD TGNDCIGTSVRHGAKSVINFELLPQPPPQRGRDNPWPQWPRIYRVDYGHSEVKQHMGK DPREYCIMSEEFVDDGSGRVKGINTVRVEWTKSASGGWDMKKLEDTKQFFPADLVLLS MGFLGPEARVLGDDIEKDARKNVKTPAGSYATNIPGIFAAGDCRRGQSLIVWGINEGR QAAREVDLYLEKVTNLPVTGGIVKRTAQEIFSVNAS PFICI_11367 MAVDILSNLAPHVDVAVRLDALRALKNDIVGHPQRKQECVLNGA LKPLVAILDERSSDYRIKLSALQLLASFASAGSAFVYPIHKSGALAAAVDCLKDERPQ IVLAALRVIRDVADGCTLAPPFCAFGEAFLADILFNNKACLKSFATILAQPDSSRTIA AQVNIVSRLISTLCREKEHQNALVKYKVLDALATKLASFAVAEGQVIPCAEAIATSEG LLDFIPPAARPDGFRLSDILGAISAVITDSAFRSAQLLYSHSILAVFPNTDVDLSISK PEAQLAGLRPTKQKELEPMDLLLPYLPSQSRSQLLPARAATEQEDEEPESPLIPWLIS MVRSRTGNEALMAASVLTSLFKCGFAYKSREATLGLLVVPKLLQLLSTATENLNQTYP ESCHWDIIEQGPTILARLVTDSDALQKAAADSNAIKILAKLLKSTYDLQVPAPTPFWS PEDAQPSMSNANDSRLGKTGLHPRVAHRVRVRISTLKSLGAMASFKEEYREAIVAQDT VPYIVESLNTTTGGRQRLGGEADENDQLGNPQQVIIAACYATRMLSRSVNILRTALVD NGVATPIYNLLNWPIVDVQIAATAAICNLVTDFSPTRDTLIRETANNGSLIKTLCNHA RSQNAALRLNALWALKHLVHMASIDLKKTCLEELQSGWLVQLICDDTEDEALFSSRSR DKQVSDDLDEEMEIEDESPGPSFPKATDSHRLRQLAEARLAGLREAEGNDVRKARQDD LAIQEQGLGFIRNLIGSSHSSSNADSTNDTAEMIDYLFNTLGQDRFFNILATKLRIKV LHAFSGRRGSSGNETRVLYPQARIIEAVIYILVHMAASIPRHRQLVIAQTELLKLLAG LFNSRDREVRVALCHLLNNLTWQDDANDAPACSQRAIELKKLGFLTKLESLGHDEELD VRERAKSALWQLKHG PFICI_11368 MLTSSPIAPRSTSASPRTFHYHAPHNLSPHLSPKVTANLPRRHS KHSVVPYQHQHQQQQQPAALGSSLKSPPQSQLQHCRPKQYVGVDAATQYSPMEPFDPT VPVQNARPPPLSEALPSSSAAAANSATIATATAHSTTAAPSTYVQSQSATPKDPPEPN LSPKRPDHVTSGANPLSPAKRRNSQDLAQATPEPGSSSAAAISKNGKSKSSPKRSRTD QGPAKLLPLKYEFCDVEDMVVLIANMLSELIETNDSLAMKSGHLTRFHSRTAPGISVL DYLHRLAKHATLTPPLLLSMVYYIDRLCALYPDFTINTLTVHRFLITAATVAGKGLSD SFWNNSFYARVGGVKIAELKLLELEFLYRVDWKIIPNPEVLVAYYKGLVERCDGYTLQ KDEASSDDGGSSEAFEDSDDIGQSDENEESKA PFICI_11369 MKLPTLFSGLLLAGLASAAERTAAVYIQPVLSSAPAPVLLAQVT YDPYLPSEASISNFEFPDFEESGFGEARSVRVGVWSPSTQSWSGSASVASIQNFGKGY SPHVILHVNQGSGDVVGAALRGVRIDAGQTRDFGPKAVVNVVGKGEQVALNAPVKLTP DGKKREEETEKTFLQKYWWLIGIVVLLSMTGGGDGK PFICI_11370 MSAENGSTTLPVDDPSKVAEAPIETKGKGKATATEEPVDQTMDE DDDSSDDEAENNGAGDEEAEDNLDEIDLNNIVEGGRRTRGKVINWAEAAKNVPADEEE EDDEDFEAGGDEDEKMDED PFICI_11371 MATHIHKAGDPLSHQQWSRQTRAGKRIHYDLMVIQQPERARACG SGPKSSADRRPVDPPPIVRLTVWEGETMAEAKDVTMDYNSDFFLFVSLENARPMAHGR VQTPAATSPPVLTGVPVSACCYLDRPSPAGYFLFPDLSVRHEGRYKLIFRLYERNKDA QDLNPIDQGDNMEDEDGLFFTFLNEVRSQPFTVFSAKKFPGLAESTPLSRTVAEQGCR VRIRRDVRMRRRENKGAAQTNDYEQRDEEYAKDRRTQSPADAYRNRSLSSASDRRASG FDHHPPPPALAPSQRLLSFAAPTYNKPYQPAPPAPALHSEPVSPAAHTPSYRHNSFAS SSAYPPTPTYGHPYGDRTASHVVEDRRSSLTYPSMPAQPVSAYGPPLQPRPPMPPIDT GFRRDSQLPPMIKPELPSPTTSLIPSSSSSISGTIISSLKRSYEESNDGEYSVDDLKS HLVYKRAGGNGSEKESPGYMGDDLRVAIDPQLRAEDERDSMKHSRKRSFRSLVDNELR PFICI_11372 MTTTTAGVVPRPSADGGRPTSRFQEGSMNDRCSAAPPVQFLGPE EAAEYEKQFYGQAENKNHVAQPPQRAPRRVRSISRRRERPMSAQAQLQTLPYDTLRLR QGQLEQLEQSQDLKMEDVQKKPGLLGRVREVLFGKPTGTKSVLSNVQNEMDKRTSLQQ PPTSYTQQQHQPMLLTGAMPAPARPIPIPVQRGGMAKSQSSSQIPQLPASFHGSGGPN MSDRPTKEEIMASYNELMATGFFKAHAIQSTRQPAPGSVPNHVSRGLPPLSTIPSLDR PSLEQASKRSSFTMPPSPGIREFAIPPMPSSLPPPPPLFPETNQVKVKPSWESFRKGF RGRKRARGDSWDDNVSEVASMVSQQLPSSSTAEHIATQVGGISRRVSKKLRKMPSALA SQLQTKSDGMIRIVPTVADGPETDSEEKNVYFSPGAPGMETGLRVRSVSKRLQKRNEN GKGPSRPPVTLDKYLGSTAIRHSEDHQYGTTNWEPMDVDSGTHSRSSVDSLQPDHHFE SAYHTSSDGQSVLTAEPLCIVPDLNKGIPSVPSIPKLYKHERMSVDENEIIPITYGQA L PFICI_11373 MPSSAFNFGRTFESDTGLPGDAYGGEFMNDDESSGLYPYVDTDM LQLDQAAFTDHKTLGATSDRATEHTHLGAPGFSPELHRVSSSSGSSRSDGSNSSRTGS RTSPDIMMTKDMPFGNGKTPLTVIGNGGFGIDGNTDFLVDDTQVPSHFDNNYFDFESA SIESASSSPSAAPVADGHQSMSPEAHLMGTVAPIGSPNAKRTKTHVKAQSQHSLTQGF QSLRTNRESMSPMRNDRITRGPSMSPMYVSNEVSPATGLNTPSPENKFDFSAPHNGLP TAQSWGLGYPQPGPFASHGMGEVPAYNNHRMSNLVPPPQQPFPTSAPKLVIQSTPLKS RVETQIPIKMIVYNMPPMYKRLHLPPHTISKAKLLAKQAAAPSPDMLELYTMLVCTSA MRDEEKLKKAFARAAEIGHPKKHEADPADDDESPQNGGEVRICAGCITRERKRAGRKK NKKPEEDELWDAHQFHRAIVFNTNEVKEWQAVAEPAVVGVHIPPGTMQVDAPMRIACY CRHHAEKMGFKVIFTIKDFQGRVVAQEISSSIMITDDHKTHVPVSAQASSGTGNPENS MPSASIEAPDGNSLETTLSYQPATQPAPDFHGLQHVAQAPYHQLLTNPQSSQGASVAP TPHSQSRQVSPVSPSGPASKKRKASGSVKVPSELAMTRIEAAQPPPNMPLANPPNSSA VSAAQSPFSPPAQNYNLQGNNNGLFANGTQPGFGSNAMPQHFTTGSVTPNNTNGNDQV LFSGANRNMSLDNLNITQSMFSAPPSAHPSRAPSPNSLRNDAQQSPLSQALYAQASMN MNVNPPAVPTIHKIIPNEGPKSGGIEVTILGRNFTNDGLEVMFGEQRATTTTYWGETS LVCLLPPSQITGPVVVSIRQPRSAEPPTLSKNPPIFRYHDDNEDQLMRTALAVLGTKF NGQITDVASIARSIIQARSGGEPVWGAPAGSNGQAPGGYSFDHAHTLETALLQILEMI DLDDSIHKVRINLRRSTGQTMLHLACSIGMIRFVAGLLSRGANPGARDKGGFTPLHFA AMNNHPEIVRRLIIAGADPTMRSLSGLRPVDLAPKDPTQSRDVVRALRRIQTHERSRS GSSLQSRANSATSLRSLWDPPAAIRPQEFMSDSSDRVDSSDSYEDSSEDEKPTAESEW LNMRRASATHGSKPPRAVASPPATDIPAGMASPTAAMTAFRDQLTAQMQQFQQSMAMH LQNWPQWQMPQMPQMPQMPHMPVLPDYHNYLHNAPPVMQRIASLVPAIGAARPDSAEE QVPGDGGRQRWEAPSALSANHASPPAYDELFPQKQAKTKQDLKQANIAAATTAAAECA LDEKCATLYDQDQVAVESSRVRERPALLQIGHKNAITKEQQENLQRAHAERLKTGSSD KMLWFVWIPLLTLILGFMLFNGALGFVSGTGSIIKSTATLVASPGEALLRMREEAPA PFICI_11374 MPTLAVTNFNIVVSFLGGFISIFGLVSYLLKENFYMSEALISLL AGVAFSPHAANLIRPVEYALGSEDNVNAITLAFSRLVLGVQLVLAGIQLPSRYLKKEW RSLSLLLGPVMTVMWLITSLLIWGFVPNLPFLHALAIGACVTPTDPVLSNVIVKGKFA DSNVPKELQKIIIAESGANDGLGYPFLFFALYLIKYIGDGGQAESGGAGLAMGLWFGE TWGYTIILSVIYGAVVGWLAKELLHYAESRKWVDRESFLVFAISLSLFIVGTCGMIGS DDVLACFIAGNSFTWDDWFRLETLDEVSRGDFASSLQPTIDMLLNVTIFLWYGAVCPW TIFAHNNVIPIYRLIPLGILILLLRRPPIILGTRKWIRQLQDFRESLFMGFFGPVGVS GIFYLYVTLDFLDTLKVGDEQRSDVANMGETVTVIVWFVAICSVVVHGLSIPLGKLGF YLPRTISRSLTLDNQQPDANPSFNFRGRASAFLPSFQSRSRGGTEPSSPSASRSASAR PIHRIGGTVIKDNRQTGGDDTQTFVPASRFEVIGYGSTHENQPQSPGLPNRTIRFGDE GRTNSEAPSAS PFICI_11375 MPPSKKRKMDDDVPKFYAVRSGHEPGVYLTWPECQEQISGFKGA QFKKFDNEEDANDFVAGRPVKNTAPDPKKPPKFYAVAIGRAPGIYSEWTEVQKAIKDW KGVKQQSFKTRAEAVQYIQIYGDEAGQKSIENEVSIEPPSKKSKTSKTNKPIADSVLH IYTDGSSRGNGRTGAAAGVGVFFGENDPRNISERLRGEPQTNQRAELTAILRALQRVS VDQDIQIHTDSKYSLNCITEWYRGWVTKGWKTQGGEDVKNKDLVQAIRAKIDDRDASG TKTLFQWVRGHGITTGNVEADKLAVRGSGFAAVD PFICI_11376 MGDSMKEVVETLDPVARQIIPQNIYTGTLVPLKTTLELRDNLSV GYAYVTRAPTRFTNDVVNVVRSLVGDDQAKNLPHLRRCTKPTDLPSHLKNKFMNDGTT GRTIHTGKSQYLYILLGPEQEMNYEDLLKGLSAIPGIGDDIFVGLIPVPLLAPTSQVQ ANLWSQQFWQCVYRKNNPLGPHPSNICRTTSVVARDASVWMNLAHQIARKTQKAGFGE PIGAVIIKRTIPSKPDQIKIEGNKPDGNASDGGVEAIQGDTSDTGETKSEDGRKPDRS ADDDLREGPEEKEKVEIVALAGDARWHQQGRTGRDGNPMAHAALRAISMVAQKLVRAE KREPAQSNPILEFEAFQDGPILSDEQVVFDADHPSPDGYLCHDLELYMTHEPCTMCSM AILHSRMGRIVFRHRMPLTGGMGSEDRGYDLCGGDNTCENGPCGGGQGLGLHWRKELN WSMLGWEWETDEADSLPVNSHLHA PFICI_11377 MSQPAVARRIGHHHHLNTPEQRQQVFGLSPGIEPSLPSPYQAHK LTADLQAARRQKTPQLLTQTQQAMANQTDKNLAPTSHAGIPRSIPESGSRSYATTPTN LDTGYVSKPNDVIKDGQQRSRGTSISSKTSSDSTGLMAPPGAPPTSAEHRPPPNPASL AQALRAVNDGTNELSLKDRLPPNDSPYTKSISSTAPASPRIPPVRQNSGTQTPRVRPH ATTLNIPGMTKSTISPDGKIADRDVAAKLVIIMVGLPARGKSYITKKIKRYLSWQQHN TRIFNVGNRRRIAVDVHSPVQQKHENPMDTHAQVAQILLNGKEAKTEPPPQEKTHTEP SDWSLDDPKNASRVDQSANFFDPKNPQGSKIRDQLAIDTLDELLDYLLTGGGSVGILD ATNSTIERRQRLFDHIKAREPKLGILFIESVCQNPNILEANMRLKLSGPDYRDKDPVK SLEDFKARVKQYESAYVPLGDYEEEHEMQYIKMIDVGKKLTHYGLEGFLSGGIAHYLS SFNLAPRQIWITRHGQSVDNQLGKIGGNSELTERGHLYAHALYKFITYQRQQWLVEAK NKLAKSTFPPLPGDRSPPYPEMNRELDEKNTCVWTSMLKRSIQTAEYFEADDDYDTKA FEMLNELNAGKFEGLTYEDIARSHSDEYHRRQTDKLHYVYPGVGGEGYLQIISKLRDM VREIERIKDHLVIIGHRSVCRVLMAYFMDLTRDDIADLDVPLGMLYAIEPKPYGIEFH AYKYNEQQGWFDELPNYKPAKTVDQNA PFICI_11378 MPQPSSKSPQSPHRSLPAPHDDTESSHTIADHNRRTYAEEYRDT GCNVLVEGMPHFPRPPSTSGHQYGRETGHVPLSLRGGGGSSSNAPETNDASSPVSKSP RIKQIMRSDVLDSPKSEKKQGKGVSIAEGSPEVIDSQSIINQYKERLARDIARRELVA RAPQSPKRVSPIREELSLSPASGSVSSSDIPTASTESNKTIRGGMTPGYTPGLAARTP SYPFPRMMTPHYFPSALNRAASGQSYHGGHFDSMVLHDQLRSDPSTPASTMTFQPAGA IPPLERDFPTPNLYELALMLSAEPGLDAWWSTVIQIMKQYYRAERVTLSVPADATDLE NVPWGQKATYNAMEEDEFSMEYMGRSSLFPGSMDEMSEMASNVEGSQLDDKVLRPDLQ SRHSFTSYENKKESHTVQAAETPNAAPRRPAAMPRSKSYFPSAERRMPGTPRPALNKQ ALEEHDAVEGQQEHIQTWDAPLVSRPEVKGRVLPVLQGLDLEADPLIDHNGIKGVIER GKVIALTRSYPYLEPVVEEKSKKKPKKPTRPEMTTKLSSFLGTAATGSRPPIHSKKTV GGDRISKRADLLSVLNDEEPRPPTPRYEEYEQAPPSPWSQSPAPSPAVRADPAENPFF TDAMVDEDSFNPGPSPHDYTDIQPPETIGVDNSWTVLHIPLKHILLSKPAGTFKLSSA ALAQKTATRGRQDGTDSGFSERPASADSLKDKRQSPIAILSILSPLIPYPSNLRYSLE HLAPHLATSFSLCRHYTNLETEVAGLQRKRPPTTGFGALGPDGRPLADPVLFSRLKYA SSEHPSQFSIGGSITSPSDTSGAARSAANSPGASSSWDPSSFGLVVERRTASNSPGLA GGEGYFGAVVHSNTMGHDGTPRTPGLRPARTIKDTPLSNERSQGAAGGSANIRPSTPG AGGIADLSSIMHTPDEKTPQLSSAPRTSTKAGGQLDMSGERGQSSQRHTKLHSYGADF ATTFQSLPSGTYVNQTPGLEDKVSMLPPSDRLKGLMLDSLPAHVFVALPSTGEIMWVN SRYLSYRGQTVADLIVDPWGSVHPEDRDGYIHKWTHSLRTGEQFAYTVRLRRFDGVYR WHQARAIASKDKRGVIVQFIGSYMDIHDQKVAELVAARQEEIEASEAKHRLLANLIPQ IIFAATEEDGITFANEQWLSYTGQSFEDSLGVGFIDFVHPEDLAKCRLPSEKHTAKYF ETSMPGAKTSATATPTRAGSFGTHLTQGSLSRKNSSSGSSSSLSSTDLDELARKGIIK VATDSTGRLSYTTELRLRSKTGEYRWHLIRCVEINNINFGTGESSYFGSATDINDHKL LEAKLKEAMESKSRFLSNMSHEIRTPLIGISGMVSFLQDTILNEEQRDYTNTIQTSAN SLLMIINDILDLSKVDAGMMKLNFEWFHTRSLIEDVNELVSTMAIAKRLELNYVVEQD VPSWVKGDKVRIRQVLLNVIGNAIKFTSQGEVFSRCKVIQRQERDEHSIILEFSIIDT GRGFTKEESELIFKPFSQIDGSSTRQHGGSGLGLVISRQLVELHGGKMDGSAVPGKGS TFTFTAKFGLPTASDHPGVPLSPPAAPVQQKTAEQHVSPTLTAQPFSSQKIAELSSRD SPSSDASLVSPELTSSAGSDPSIRSARSQITTASSTSSANAGLAHFSEAAKASGQDLS QMKLEMPLAGLSISDTPTPDLLRFSAKIDQFRPPMYSILIICPQTFSREATTKHIEIT LPKDVPHQITALATVEEARKLIGGEESVTFTHIVLNLPSAEEIVRVMERIKASSSGKT TVLVLSDSLQRQAVIKLASENGHPELLEGNFATFIYKPVKPSRFAAVFDPAKLRDLSI DRNRSSAAQMVETTRQSYIDIEKRIGNKGYKVLLVEDNPVNQKVLVRYLKKVGIVAEI ANDGVECTEKVFSRPPGFYKLILCDLHMPRKDGYQACRDIRAWEKQNNHSRLPIIALS ANVMSDVQEKCVEAGFSDYVTKPVDFVDLSTAMSKFF PFICI_11379 MAAVACSSSSSSTRDQQKEITESASETLTSTISTGNEARLACRN KSLTTVTSGLAPGYLQANLIILPSRYASDFRLLCARNPVPCPLIAESSAPGAYDQLRS YIPGSAVAADLDLRHDAPRYMVYRDARVARSHVLDVAAEWTPDHVAFLVGCSYSFESA LAAHALPPRHAVLGRNVAMYRTAVPLCPAGVFTGGTYVVSMRPYPLRDVDRVREITRA YNATHGEPLDWGWDTLARLGIASIDEVQWGDAPLAADGETPLGALFGSEDEVPVFWGC GVTPQEAVVKAGDKIQGTVMAHAPGHMLLLDSRDEDVVKSSYD PFICI_11380 MHQRPVPKSYVQALERSVASLELFIQQLHDADHSKRDEMLKGYV LKLEKPKPNSPPADGRMDPSTEIELALARARAGQLRKLRAGNASQFFGGTSLFQIHGD EPPATQPQHHQGNEVLSPSFAQTQAFSPSAVSDPGQFPYSAHDDMCQNLMAHFFRSQY PYNMCVYREFFLRDYDIGVGRYYSEVLLYAICAMGALASADPSMLAISEIFASQAEFL VYTSLDKPDLTLLQAMILLGYRFIGHGKASKGWLFCGMAFRLAHEMGLHLDPNNWGQS EPVLDRDREISRRVYWSAFNADKQVSLYFGRPPALYPHESDVRNTIRIPYPDDWQGLL ETYIAKNTSATAFEDGIALSGSFIYRTELYKIIHTMITDLFENRRQNADSAVMAATAQ RIHVSLHKWLATLPSKLYWNQWSSGQVQPFVLHLHMLFHTAMIILHRPPRHLFSKPGV AESEDVEICYESLQALLRLMKTYARFYQYAALPLDFVHTLSVTAGTLLMKRYLENAAQ EDSGIARAMQIVLEAMDAVKYTWPCIVEIKESVERAMEAQPRSQSEMEGGARDPVLDF GFLVDFGGVGNGGDGSSVAGANRPDFQISDADLGLLLDEGFAMDQFDFNDPLTGQTLT LDENT PFICI_11381 MDFGDPDGGGFGDEQPKKPRELPADLPKSLDDRRHVPTEMVRET EFYDGWQGQSQFLTSPMLAKPLQFNELSLDDPKYEQDITKGIADSDARLMEMLAAQAQ QQGVGGVDADAIASDAKLSDDEKKDILQRSLAMAASNGDLDQVNSILGGKGKAIVNVN AVDDDGTPPLVYASCFGHENVVQALVDAGADVDKQDRNQWNALMWAMTNRHKGIAKIL LDNGASPDAKTSSGRTAFDFIAPDSDMSFYLSNNGYNIGNAGVDDFYQPGFEDQIEAE LAETEMRRRMMMDSARDLEVDLGNVGMDDQPENSDDFEEEAQEFDWSRCLHDQMFVFQ ENQLDRLLDVIITNMQPQRSPSQKPVPANMIFLSARYAHYHASPELLAELLVRAQDKI NDVIEQHQWDMTILAFWMSNATLLLHYLKKDAGLLEATTEFQAQLAELINEIFILIVR DAERRLDKVLDVAMLEHETIPGFEDVTFQHEWKLFKRKKEVKAEPIEKRMRPPSPKQK AKPAPRNVTSLLSSTLFVLDLYDIHSVITAQIVSQLIYWLSAEMFNRIMNNRKYLART KAMQIRMNVSVLEDWARTNNRKPEHYESGEMTATGETTIEAARRHLAPVIQLLQWLQC FSSLAPDDLEALVGTLQSLPRLSPQQLIYSAKYYRPEVGEKGLPKSALKYLEAIQKEA AIKRDRRRTVSPSRLSAPATPATPARSISGHDFDVPGSVQSTPGGPLDEEEDLPDGIN LDPSFMLPFSLPSSTDMLVSYGAGFGGTNRERARKYIPTIPPEFLDKLIEPGGRRMFG EKDWENEEV PFICI_11382 MIEDIEYKLAPVKATSVATDDIDKTFRSSSIDLISGTLGGKILG FSDEWFADALNLITPTPPIRQPGKMVYTGAWYDGWETRRHNTEPFDWVVIRLGVASGT VTGIEVDTAFFSGNHAPAISVEGCFSQSDDEVLSWKGGKGGWEPILDIRECGPSQRFG WKLDEPTSKQYTHVRLNMYPDGGIARFRLFGHAVPVFPEDTEAIFDLGAAQNGAVAVS CSDQHFGTKDNLVLPGRGKDMGDGWETARSRTKGHVDWAIIRLGATALIQSFIVDTAF FRGNFPQKTKLDAIEWKGEGEPSADAEGWTEVVAPSKCGPDQEHAFDCSVKDKPFTHV KLTIIPDGGVKRLRVLAKRFV PFICI_11383 MASATGIPNDPVVDRESAGADDMEPLLGRPGDASQRENAPIAKN LILGTGIIAEGASLLLIASVWAAVFLHGPLILFSVHPLAQSLGMLVLVQSILILQPTH SGKQKQVGQKLHAGLNLLAFLILAVGVISIEYNKIRSNGAHFKSLHGYLGVIASFWLL IQYLVGFTMYATPKLYGSEAKAKSIWKYHRVSGYVLFLFLQATVISATQTDYNFNVLG LKLWAFILISVLIIIGVYPRIQKQKLGL PFICI_11384 MPFRDDTSLVAFRYDQAAQAEPPIPIPPAKSPLRYQRAAAARAR AATAGAAIVTAAATATVTAARPISCISTPVPAVPPTILARTPQSSAPVSGPTITASPT IITTTSTFPVIVAPPHPPPSGPLPLPPGTEEHLHPALRSGAPRSSDPDDLKNKRDSGH APTTTSILTSTSSSSQARTFYDESSEEEEGEEDEDPFPYEKIQSARRSKFVPALLVPP LRIRSSSPTSLYAQTHNILGHGDDLDLGGTNNHSVSSIPLHSPGLSEANTVSPTSPTT PPPNFPEKTSASSSIFSRRSFSFRTSGSSSMKSSKRLKKKKQQHQQQQRRPLSESPAE ARHGEPSTANPTLSLDGAKATTSSSTAPVTVVAPPRNGDEQSSRDHYHYTRLPNSPKL VTDASAHDGDDFADFVQQISFSKRGSIMLGGMRPSRHAANMSDDSGFAHRAAAAAAAA ATTPASPSSPEQQRPQRVFPLASPPRIKANKNNAAHSNVADGDRKSGDTRPAPPSGRM TPPPPLPPPTPPSVPYADAPTTPKSARRAPSIRLISVEVERESQKVRSLYEPREGLRW EDGAPASSHGERLEPTVEVPSDVDENSSKPSPSTNTNSNPDDQPGVPQPATGIPESAG PSASRPDEQVHHTYERVDRIEDWEDVEFENVDRFGFIVPKRPMTPSSAPATIMDSPSQ STPRSKHRSILGRRGAASHSQYLGAKQGPGRRASARSLHTQTSGLSTGSKRSTRSALG QAANLLPHNKDRKCMDEAGEMLAQEPGFSGIGEDLVAGQLADEYRRKEWQRTEKWRKM AKIVKPGKEGEGMVFEFDTKHPKIVERTWKGIPDRWRASAWYSFLATSARNSKKPCAT DEELSAAFFELQGQSSPDDVQIDLDVPRTISQHIMFRKRYRGGQRLLFRVLHALSLYF PLTGYVQGMASLAATLLSYYDEERCFIMLVRLWELRGLNRLYSPNFTELMEALQDFEK YWLAEKKNVAKSLKELCIDPTAYGTRWYLTLFHLSMPFSAQLRIWDVFMLLGASPPDP PAPEAPNTKQAMQISSKGLETIHATSTAIILALSEHIVDSEFENAMKALTSFVPVKND DLLMKVVHTEYKQYMNKMKKF PFICI_11385 MMLTLKQPVHYGYRTVHDLPTPPSTSRPSPPVTIQENPQKPLPP LPRRNSPSTRDMSAPHRGLPLPAMTLPQPNPPPASSHPPGHGPGPGPGPMSHVQQPQS QPPLPPSSGHSLGALPMAPQWQGQGADEPMRTWLVAKAEEEKRKQEEEKTRQETLRLE KRRLEHDMLRTCLDRGVPPPMVPVMFLGMAGGMLPQAALEQAQQYLAQLGHQPQLPPA PGQISPDHMRRDSQSHGYGPYAGSAGVPSTPGSAPQTGGFVPYQGPASPTRARAHTLS VAGAIGRPLGSTLPRLATGELITGPQAGQPQVHGQQLSTSQSETQSPSIYFHHWQPPS SQGVSTQPATPSVDSPRKRKATGPQQAAPPPNTQASRRSPPFGHSSTLANPPQGRRRG HSRQRSDLSSYRSAAGGRGRGDSFGPSRALSPGLGTPVEGVPLESSAQVRTGTHTVSS MLSEQPSPPYTGESRTQQHQQQHDQTGESDRRRSPNSADEQTRTGGAARPASRTRDDE PFICI_11386 MAIPSLEVSFIQERAGAIDPAFAMPSTFDEQRAIVGPKVQKHAL KAAMEQYQALDCRPKLDLDVNNCSWPEVFKLLETVQEDYAAKARKGLKGAFRRMGRDA GENSDTIKPLLDIIPDEFGGSVIRSALGWMFIVAKQSAQARTEIFELFAEIPSIIMEI GEARKDFPRDDELMRLADLAEAEIVNATLKLIKFLLPEHHLVKKVFTTFSDVPSTSSV SDIVSNVRARAQALKRGSDVHMKGVGIDTNRNTRVLIRETQTTRYNTFMINGKVDQVG QQLQDIRRDEQNMLDRLTTAIEAQNGLFRFLREMREAYQQELESINSRLAWALQMSVN LERHRAQTVPVTLLSTDQLLLDLNVTSHQLVVDLEQALRQGSRLDRLAMGQAQQLLKM DRFWSWYSRISSDLITVHGKFTNEAIHSRISPLSATSATLVTSILRERQGDIALYFFC GQHTSSQDPLAGPRGVMRCLLARLLLEVRARCQFDIYLEPISANAIVEGNYSFLHRRR NVMAGSAQSCSPYKSNDSALQVTGDRPTIPVHLEIHVDGAVVPQLI PFICI_11387 MNSDWGAERRLREQKLKQRHILAERKKIESLTAPKNGQIRKVNF DDFKNHYGHKHHDAPIEVLVAGPDLMSEIREEMDFRRSHSHNTRHGFNQAIFSDEVDA ALDNDTSHTQAGDEYETVEVEGDIQRVRIHSLTLLACLTFELNVHSSWYWPRTFFRPF KALVYLQPRLKERLAKWEAQWPRADYDQNVVQSSERKDSEPRNLKVPIKGIPQGDTKD KSPAPSFKSLPLSDNHRLRREDYLGTVEAIDALRVYLEFVDKEIMPLHDRFKDENVKE VAFDDLWSLFRVGELLYVPSQDKSDDCYQELWRLYRVKTSPPVPAERHNVHRKDGGWP RPPKEDADGREIPPPIPRGDGRRRPPPLPRAGPDKLSEQSFTLYCYYIDHDSHALGAV RQKFTIGYFPGERPIDSLAIFPFRYLPDCESKRVSLKAQGQKFMKLLEGASRHQSYSG WTFIRNPPSDEENPWEPEIPIGHNGEPLRHPEFIESDVIVDSAEAFQTVPSWKPEFHK PTTVKPPPTASEEDRLSIGRWDRYSKDVMVFDTPEFIQTIDGIEIRQRNVNLGEDSFL KSRNRSLGPGERRDNTLTDEDFMLLPKRVFAYSLRDRKFVIIDLNFLEDIKHDTEVFR NLQIPDAHKKVVQGLVSSHFEGKELERRLLMHRRESISQDLVQGKGKGLVMLLHGPPG VGKTATAEAVAMENKRPLFVMTCGDLGLTPEEIERSLTRIFRLAHLWDCVLLLDEADV FLTHRFLNDMKRNAMVSVFLRVLEYYNGLLFLTTNRVGTIDEAFKSRVHMSLYYNTLS KEQTREIFRYNLDKLKAIEKQRSQVMNKPELQIQHEEILQFVELHFSESTANEGRWNG RQIRNAFQIASSLAHHDYANQCDRARLNGNQEPVGPVLHSGFFKEVQTATQEFNKYME ETIGHTDAKRAYLQNERSDDFIVSGPQQQSMATQLATAIGTRTTEYSSNGPRYDHHSS ANPQPNVASTSPQYAVLGQNPGQTQYHAYSNYPIHSLPLQTQTNAFQPAQSYMTTLMN GGQSIGVQSAQTHFQSPTQPHLYAQPSMQQAQPHPFGHPQTSTSQSMPIFMTAQGLPQ GQSGQILSQNQSERQQIPNDVPSTTPSTQPYGLSMTG PFICI_11388 MDSSVIVMDDQLDPPPRIFERLRQIAGYTWDDTQRPFHSTYDNW HVFGTRFVSPYQDSNSQPRDVSSPPAFLHKLSSSGSIQHSDGSSDRSATSPPIITHGA SADLSNLEEQQVVARVSYHVLREERAFHTCKNIIATADPNGEHNVKPIDLIRLASHPE DRGPIIVVIYEFPGYNYLFRVLDLGPAFYSAKKVENKFEPDQQENYELEKPISLQHFL DFAIGATQCLEILHHRHGSVHGEIRGDAFHFNLETKRVKLSSFGSGVRSFEHGLTSTG WSTLSQELGAKNKLVYISPEQTGRLPAEPDTRTDIYSLGVLFWQLLTQQPVFTGATPL DIVQCVLGRRIPLVSNVRIDVPEILGKIIQKCTSKNIQDRYHSVSGLQYDLQMVQKFL VDGDQVALKAWHIGSRDVSSFFMLPTAMVGRREERDRLSRVIERVAKTHDLVSSATNR FSELSLSNDMLDTADASSEGASSVEGQGGTRRSGSFTQTVMQDSRLSRSSFQPSLNGT DELTISGETVSSGNSGPRLTRPWERNHSVSLETRSLVDGFSERQPSRHSAIESTGSSL SRQLGSARFRRRGHCEVVTIEGAGGLGKSFLVQQVLADARRRGFCATSKFDTARRYAF APLLKLLSSLIKQAWGERNTETPFHSALKDYLRPVWPVLHKTLGLPEFLLGPRDGTTA TVVRSASSAQSPAKGQGVRSSIRRRGSSPGSSPSGLLYPNSPRISSQSSQDFLRSGGT TKTIRLMNTFLDILRIFSSYHFICFCLDDLHFADSESLELITQMIAARLKMVLIITFR PDEMPQEQVQRILQPADSEEHSIMSAPRITRIILSPLNEPEVLQFVASTLCRPPEEVA PLALVIQSKTAGNPFYMREMLQACYRSKCIWYDHRETRWCYDLDMLFEQFKGEHGYDV LDNDFITRRLGELPSTTRSILAWASLIGNSFSFDLICQLLNGEFDFVDDTTCEDQTLL PSKYSKADAIAGLQAAINACILVQGDSDERFRFAHDRYVQAASSLKECNVRKQHFIIA QTLLKYHGSELRWQENIAAHICESVDLVKHRIHIRGQFRKHLMSCAVTSVENGARPTA AKYYSAAVKLLQPEPWVDGEDSSYQETLDLYIRAAECSVFMSDSHPAYTYVNTIFEKA KSPDDMAPAWLMKSRIEAQGGDSVAALNTLKESLTHLNVDFDACPTFAKCDAEFRRLS SKVMSMDRSEIMGLQTIDPQMVAVGAILADACSAAFWSDVLDFFNLSLTMLRIHLEKG SFPQSGMALLHIAFIAIARFNLIEFGSEMGTMSLELFGRLRDPFSSARGYMIYANFIS HVQVPINLAISQFEQVVEYAASASDRISVILSFGLSAQMRFFASENYADLEAICQYCC EEVQGWASDTRGGTFLVSVLQVSRALQGKTHHWDPMEVLTDDHHSTSGYKQWLSRTTH NGARSLLVYETMEIIPLYLFGHYEKACEVGQRCIDNMQRIWSARNTRAAMLFYGLSLA GLLFRKLNDPRNKGQDMSSEIEATVLVLDDFNNKIKDWQVVSDVNYLAWTKWLDAQIA ELRDDHGFAIQQYETALDHASEHSFTFEEALGNFLMAGFFIRKAARRSARAVLRESIG LWQQLGAAGMARRIEEEHSLLLHGPTANTRAVEVGVQTDFTTEMSYGEGEELGQPGAQ VSTSELQHTRMAAWRGSIPQSGAGLPALDMIDLHAILVSSQVISSVLQVDVLLKTMCD VVLQTCGGSATQAAIIVQDQELGDDTYCIAASGEPERGAVSYPPPGKPLAGTSLVAEN VIHYCVRFQVTEAIFIPDLIQDERFGNVSESWLRRNPLSKTVIALPIRYGSRPLLGVL YLEGEPGTFTTRHLTVLQLLVQQIGISYSNALSMRSIEKVSAENEAMIKMQKEALAAA KAAELKAKQAEAEALRNVKIAEEAAKAKSVFLANVSHELRTPLNGVIGNSELLRDSNL NKDQLEMADSIRVSADLLLTVINDILDFSKMEADKMKLYIIAFNPEEMVREVVRAVSY SNREKTSKKNVKIIQDIHLPPMLIYGDPIRLHQVLGNLIGNSLKFTEDGSITIGARID SENEEHAKLTFWVRDTGIGIPQQQLTKLFKPFSQADASTARKYGGSGLGLSICKSLIE TMMKGRIELESEENVGTTAWFSVTFDKAKPEVSAGDVQQVHMPQIVDKQQATREAPRR ASINPFPDLTQIPKDQLRICIAEDNLINQRIAIQYVRKLGYTKVDAYENGLKAVEGLR EQASKGNPYHIVLMDVQMPILDGYEATKLLRKDAIEAVKKVLVIAMTASAIQGDREKC LAAGMNDYLAKPVKADLLKRKLDTYTTMHLPPSPPPRGSPVSMASGARTGSSSDITVP SPAPSASPATVADHVPRPGPTPTSNTNLPSGQPPPQVPSRLSSDNHSSVFDMASIAET TTNSTVSSKDMKRQPKKLTKLRNNSDKTDKSEKPKAVLRKPLPSSTTSLSEQLATEGH DGHSGDGQGRPASLHSTSSLASKEAAPK PFICI_11389 MCADINVDVLVIGAGPTGLGAAKRLNQIDGPSWLIIDSNEKAGG LASTDVTPEGFLYDVGGHVIFSHYKYFDDCIDEALPKEDDWYEHQRISYVRYKGLWVP YPFQNNISMLPKEDQVTCLEGMIDAALEHRVANTKPKNFDEWIIRQMGDGIANIFMRP YNYKVWAVPTTDMQAEWLGERVAAPNLKLVTKNVVLNKVAGNWGPNATFRFPARDGTG GIWIAVANTLPKEKTRFGKHGEVSKVDAAKKTVKLADGTTIKYEKLVSTMAVDHLVEA MDNKELVSLSKGLYYSSTHVIGVGLRGERPERIGDKCWLYFPEDNAPFYRATIFSNYS PYNQPQADVKLPTIQLGDGSKPKSSDAKEGPYWSIMLEVSQSTKKPVDEANLLRDCIQ GLINTEMIKPEDEIVSTYHRKFDHGYPTPALEREGVLKELLPKLQDMDIWSRGRFGSW RYEVGNQDHSFMLGVEAADNIVNGAVELTLNYPDFVNGRKNEERRLVQGTLATKKSSS NGALPTRKA PFICI_11390 MSTEEKTRVSGEVSRPEGTILPTVNPDTQKPEPPKAALHPAFYV ATWIGLSSSVILFNKWILDTLEFRYPVILTTYHLTFATIATQLMARYTSLLDGRKTVK MTGRVYLRAIVPIGLFFSLSLICGNLTYLYLSVAFIQMLKATTPVAVLLSSWSMGIAA PNMKILMNVSAIVVGVIIASFGEIKFVWIGFIYQIGGIIFEAIRLNLVQALLSSAEYK MDPLVSLYYFAPVCAVMNGIVALLWEVPKVTMPEVYHVGLSTFFLNGLCAFLLNVSVV FLIGKTSSLVMTLCGVLKDILLVAASMFIWGTPISGLQAFGYTIALCGMVYYKLGLDA IKGYAAEGVRQWAEFGNSRPVLRKVIVIVGALFFLFALFGGLAPSYGADYRPYLGETA AKYGIGS PFICI_11391 MKFLKVGRVAIITRGRYAGKKVVIIQPIDSGNKSHPYGHAIVAG IERYPSKITRRMSKTRQEKRSKIKPFIKVINYNHLMPTRYTLELEGLKGSVTNDTFKE VTQREDAKKNVKKVLEERYTSGKNRWFFTPLKF PFICI_11392 MDPITLSRRDENVLEKMKDPESDPSTSILVDSTLPKDPNVTEPS IYERVSKREKDIVLSMQQLEMQLAGIKVLRSVQDPVQEYAACVSHLGELISEFPNYAS ARNNRAQALRRLHGDKLLSKVLDMQALKQDASNEERAQAAITLLGDLDEAISLLTPKS VFGSISPVAGRTLSLAHTQRGAIYHMTAKSASAERQDLGERREAVWSIVDFETAASRD FALGGKYGNDIAKGLAVATNPTAKLCGQMVREAMKKEYGPAFEGL PFICI_11393 MAPSAISTSPPRDNAFFQTTETNGHSELDSFAVQSGVPQFQGYD HVTWWVGNAKQAAAYYNTFFGFKTVAYKGLETGSRYFTSYVVENGNVRFVFTSPIRSH RHLPKDEPISAEESLLLEEMHAHLERHGDAVKDVAFEVDDVDAVYSRALAGEARSVQE PTMHHDKQHGSVRTAVICTYGDTTHTLISRKSYTGPFLPGFRAATPAPSSIEAPPTNL ARIDHCVGNQDWNEMVKACAFYEQCLQFHRFWSVDDSQICTEFSALNSIVMSSPNGVV KMPINEPAPGKKKSQIEEFVIFNAGAGVQHIALLTHDILHTVAAMRARGVEFINVPPS YYTTMRERLRTEKRNWELKEDLDAIERLNILIDYDEQGYLLQLFTKPLMDRPTVFIEI IQRNSFEGFGAGNFKSLFEAIEREQAERGNL PFICI_11394 MADSDGEYHGASDDELQVNSSHNARGKSKKDGRKTQAAWENVTR TWDIVEENEDGTIKRVETAEAKKRARLMKDTTPLQRGIIRHLVLVLDMSFAMAEKDLL PNRHELTMAYAGEFVREYFEQNPISQLAILGMRDGIAIRISDMSGNPADHLERLTRWT KQEPQGNPSLQNALEMCRGALYHTPSHGTREVVIVYGALMSSDPGDIHETISAVIKDR IRVSVVGIAAQVAICAELCAKTNGGDDSSYTIALHEQHLRELLLDVTTPPATRTTEQS NASLLMMGFPSRTITTGETISYCACHNRPNREGYTCTRCSSRVCRLPAECPGCGLTLI LSTHLARSYHHLFPLRNWVDVPWSEARKSTACYACLSAFPQYDKKHVEQHGAPIGLSE SGRYACEVCGNHFCIDCDLFAHEVLHNCPGCQSDTRGSAMSSQELETNGDANGRMNGT AMDIDP PFICI_11395 MTSSSAEPKTATSSRPILGSLNEICIVTPHLYQTLDNLGRLGLG PFRVFDFNSETVPEQELRGKKGSDLFTLLVAFAESPDPREPVLEIIQPLTGQTSMQDY LDAHGNHEGVQHIAFDMDNLTMDERLGLMAARGVRPVMQGVWKGSKGVTRFCFFDTVE QGMATCFETIAPSEDWQEPECRWYPSPPTIAKAVDETLPKKSVLSAYAGGLEELLQ PFICI_11396 MRSPALLAVLLGLASASPTPTQDDVLDARTIAKRATITDACTVG FCTQNGGTTGGTGGTTTTVSTLAQFTAVANASGPYVIVLDAALSGAAKVQVGSDKSII GQPGSSLTGIGLTILGQSNVIVRNMKISKVLADYGDCITIQASSNVWVDSSDFSNDLD HDKDYYDGLIDTVHASEWVSITNNYFHDHWKGCLVGHSSNNEAEDLGHLHVTYAYNWW DNINSRTPMYRFGTGHMYNSYYSNLIDTAINTRDLAEVLVESSVFENCPRKAIFTDDN TYEGYAVVNDVDLGGSENLAPEGNLTSVPYDYDLLGSANVKAYVTANAGQLLTF PFICI_11397 MFSECLRKCIDASRFLAQSQSRLSSFGQPDGEPSNRQPQDGASR FPRHPARSTYQSRLGNPYQSGSRLGAFGSRYNTSQDAAPLFHSAVNEFQDEDEDDARE ATDFRALQRSRRVFISSRLDESSASENENSHASLGESAEQGCRLYEEGGRPMGIKSSW NGDSSFKDRPTRQTLKENMKGSAVARAARQDSDSSGDGKMVDIGLESAVLDNEVPEDL LQETSTDADPPAFQQFRDPKASTYDPRTLRHQTIMEEEEPIEDAALEAPSVPMYTELF QNDQFFAFIYLIAMASLFSTFVLVWLHTSTPSKKTPLGDTIYTTLHASFYLLAVDTVI SVIVAMVWMALLRSFARPLVVLIIVGSPIVLMSFSLYPFISSFQGGSKRLALQDTVMR WLSLIPAVGAIVLVYLINRSRYQLHKAIELLEFSTRILAANPALVLLGFGSLVFVVGW TWIWLGMFTRVFLSGYFSKSLSAFIIGAATWWIGVYFFLMYLWTLSVGTGVIRATTAG TVSNWYFHRNRQPPTPSNAVVMDALGHATNSTFGTICAATLLQLGVRAPLLILPARVA RIVNLAAYSLIPASITLLTNPLVLTYAAIHSVPLMESASRLSRMPFLNINEGATTHLM PGTFNRRNHNVSGLVPYGLAKLLLNATRMVMSVALGFAGWVMTAHQLQGQRPDGMGYR GSAYAYVVGLVAGAIGFSILGSLESILVGILDAVVVCYGSERRLGSGRMGYCMEAAHL FGDSDDGREDV PFICI_11398 MSSSTSSPPWDYIAKLVCIGDSGCGKSSLTIRLCEGRFSPQHDV TIGVEFGSRIVPVGPPHSKTLGTSSAANGDGGLPDPPKSDAQQKHMKLSLWDTAGQET YKSVTRSYFRGASGALLVFDITRKQTFAHVTDWLNDLRQIAEPDIVVILVGNKADLAQ GEENKREVTVAEAEEWASNNGVLQYVETSAKSGEGVELAFMKVAERIFDNINAGKYDL NDRRSGVKGPTPGMGGRNQVKLGDQNKGSGGCC PFICI_11399 MADTKATVASGADPNGQQLRQRNVPATAPGAAVPYQQDEQKLHG KKKKICERQEPSFLEILDEWEIVIAPIIFTAFAIFTRLYKIGLSNIVTWDEAHFGKFG SHYIKRELFFDVHPPLGKILVGVSGVLAGYNGSFEFKSGETYPEELNYTFMRAFNAFW GILCIPLAYFTARELNLKRPAVWLVTLMVLCENSYTTISRFILLDSMLLFGTVATVFC WSKFHGLQKWSFDPEWFFWLFMTGLSLGFVCSIKLVGLFVTALVGIYTIEDLWNKFGD TKMPIPELAAHVAARVTGLIVIPFLVYLFSFALHFAILTRSGPGDAQMSSLFQANLEG SEIGRNSPLELAIGSKVTLKNMGYGGGLLHSHVQTYPDGSNQQQVTCYHHKDANNEWW FYPARGQPDFNPEEDPRFIGDGDVIRLIHTQTGRNLHSHDIPAPVSKSQKEVSCYGNL TVGDDKDHWKVEVVSDVASRDKSRVRTLTTAFRLRHPVLGCYLRAGNVNLPQWGFKQI EVTCDKSNNPKDAFTHWNIEAHQNDKLPPGDPGKYKSPFFHDFIHLNVAMMTSNNALV PDPDKQDDLASQWWQWPILNVGLRMCGWDDKIVKYFLLGNPFVYWASTLSLGAVGLVT VWYILRWQRGFRELSQADIDQIHYAGLYPILGWFLHYLPFVVMARVTYVHHYYPALYF AILSLGFLVDWTLRNQQKAIQYVVYGLLYSVIIGLYIFFMPICWGMTGPNKDYSYMKW FDTWRISD PFICI_11400 MRSAKKPGKSGVPGGWLQAEKRGDDCSPISSKIPPPNQSRLPSN IPLRTLTSRPEHHGAQSTSLLAGSRVDDDHHHDRPSSDSADSEFDSWTDTGDIAEQLA DEEDPLRIRLADTSLKDDGLLAGVVDKKRSKHKRVQFRRSVSEHSPQRSSHLHADVIS KEAIEIPDVPFRRPSRATRLLSAIMPGTGLRGLTGKSLIYFTSIFVSLGVFLFGYDQG VMSGIITGPDFRNYFNNPSHAEIGTMVAILEIGALISSLAVGKIGDQIGRRKTIRYGS AIFFVGGALQTCATNMPMMMLGRIIAGLGVGSLSTIVPVYQSEISPPHNRGKLACIEF SGNIIGYTTSVWVDYFCGYIEGNYSWRLPLLMQCVMGALLGVGSYLIVESPRWLLDND HDEEGIVVIANLYGAGDIHNAKARDEYREIKMNVLLQRMEGERTYSEMFRKYKTRVFI AMSAQALAQLNGINVISYYAPYVFESAGWYGHDAIKMTGINGITYLLSTIPPWYIVDR WGRRFILLSGAVAMAISLSSVSYFLYLDIDNTPTLVVISVMIYNAAFGYSWGPIPWLY PPEILPLSIRSKGASLSTAANWTFNFIVGEMTPILQDWIQWRLYLLHAFFCVVSFITV YFIYPETSGVRLEEMDSLFGDATTAMGTPSLRSETGSIYRASSPVPSLDLRGRPGPDS AIPPLDIDPPHVKIVDGKPQIRSESHSRGRVGDWLNRVTGRGESGSRGPAYERLDQRD D PFICI_11401 MGDTQFGNFEAFCRDSTLPVCNLLTTTHNQTGNWGGCELTGIPL SNGRHLGNLGSILLCGIAIVISVLLLLRSERKKAAVGRREMQLFLLGYIIIEICEIFS VGEFPLNSTVRIAFSAIHIGMIIATTWILMLNAVVGFQIIDDGTPMSVGLMVISGAIL LIGTGYIALDTGLGFTGFWASSYDAPNRNIALYVLYQLVPLIFLVAFFVLESVLVLRI LGEVRPMAYLAAAAVLFALGQIFNYTISPHICNGTSGKIDGALFETLFTLLSVVMVWI FWSSITEDDWPITSGPTGYP PFICI_11402 MSEFVINDQDLTGLKGKVVIVTGGSSGIGLATVEKLLLLGASVI NGDIQPPPPPPPLKQDGHVSSDNNDTSSPPYTFVKTNVAVWDELVALFKRARELHHGR LDHVFANAGIGPCADYLALQLDHDGDPREPAYDTLDVGLWGVMNTATLAIHYMRRQQQ DEAGGWSGSIVLTGSVVGLQRLRAVDYATAKHGVLGFGRGLTTLVKTAGLPIRINTLM PSWTDSNVVPNLKRSMDRIGVEFQPTSVVARAAILMMADTTRHGHVTYVGRGRYKEID EAVLLPAYASIKGDYPWEDDVFRRLVEIATQESS PFICI_11403 MADFDAPSGPPPPKVPEGWVARWNDQYKEWFYVNTFTKKSQWEK PTAPAVNPNDDSPAGPPPGYTPGGGPTPSDAKTNPFHANDASRGNGGPSHSESEDEAL ARRLQEEENARAGGSGAAASYLNAAPTPPQSHSPYPEQLPPRPGDVRGSGSGSGGDKA KGFLGKLFGSGKNKYSGGGGSSYGGYPQQQQQYGGYGGPPQQGYYNGPPQQQGYYPQQ QQGGYYGGPQQGYGGYPQQGGYGGYQQRPQKSSGGGMGMAGGAALGLGAGVLGGALIA HEIDEAQEDAYQDGYNDGQDGDDFGGGDDFGGDF PFICI_11404 MRLTLPALLSALLPALGGLASSSSSSSADNIGTVSLTLTVPPAQ HLPNPHVLPASTHATLTALGHPRRAAPLTVSNTFVFHNVTPGSYLADVHSAAYGFVPL RVDVLEPAAAADANGGPVVKVWETYRGNEWGNKGEEVLNTGGGGGKAASGPSFAVRCL GKKVFFQERGKFSILTILKNPMILMGLASMGIFFGMPKLVENMDPEMRAEWEEQQKKN PMSSIMGGGSSGGNPMSSFDMASFMAGSNNNNNNEGSSGNGGKKGGKR PFICI_11405 MAEQLSKNFETLQLHAGQEPDPATNSRAVPIYATTSYTFNDSAH GARLFGLKEAGNIYSRIGNPTVAVFENRIAALEGGAAALATSSGQAAQFIALTALAHA GDNIVSTTNLYGGTYNQLKVLLPRLGIKVKFVEGDKVEELVAAIDDKTKAVYLESIGN PRYNIPDFKALADAVHEKGVPLVVDNTFGAGGYFVRPIEHGADVVVHSATKWIGGHGT TIGGVIVDAGKFDWGKHGARFPQMVEPSEGYHGLKFWETFGALTFIMRARVEIMRDLG ACLNPFAAQQLLLGIETLSLRAERHAENALKLAKYLEESEYVSWVSYPGLSYHPSHAL AKKYLRRGYGGVLSFGVKGGGAAGSQVVDGFKLISNLANVGDSKTLAVHPWTTTHEQL SDEEKISSGTTEDLIRISVGTEHIDDIIADFEQSFKAASATTKDGAEGDAPNAKKDEA PVVV PFICI_11406 MPSMVILGLRAVQLLFGFIVLGLSAYVAHWYNADTLTASPSQID WLLFCSLFTILSVVYLEVAPRAMPKIVHPMAVVAVELLNALFYFAGFIALSVFISKLL FCRGSVCGSARADVAFGAMEFLAWTGTAVFSVRDAMKGGFNLRRRGGASSSAPVGGSQ MKEAQLP PFICI_11407 MSGPNTEVKDWLSKTASPPPPGSPYAVPVPGSEREGRSAVYRHW RFRDQPLLSTYDPSVRTFHELIQDTVRRFPNNRCLGTRHWNPATKSWDNKYTWQTYAQ VAERSKNFGSGILELHRRVGVTADKFGVGLWCQNRAEWQITDIALSSQSLFTVSLYET LGPETSEFIVKHAELACIVTSLPHIPTLLAVASRLPQLKLIICTDSLDAGEPEGYSKL AVLNNIASQHGVQIWAMEGVEKLGKEVAHPYRPPQPEDILTINYTSGTTGDPKGVVLT HGNCVAAVTSSRMSGNMSHKDSSMSYLPLAHIYGRVCDQTAFAEGAALGFFHGNVLEL VDDLKVLKPSGFISVPRLYNKFAMGIKAQTIEAEGFKGALSRRVIETKKASMKLPPGK ANNTHLLYDRIWTPKVLAAVGLERCHSMVSGSAPLDPSVHEFLRAAFGNYITQGYGLT ESYAVASYQLRGDFTTGNIGPPAPGTEICLESLPDFDYLATDKPYPRGELLLRGPTIF REYFKNPEETQKALESDGWFHTGDVAEIDEMGRIKIIDRKKNVLKLAQGEYVSPERLE NVYMGNSSLMTMAFVHGDGTQSHLVGIFGVDPATFGPFASKVLKKTVAADDLEAIKAA AKDPRVIKAFVKHLDDIGRKNKFNGYERVKNVVLEIEPFTIANELLTHTLKLKRAQAA KKYRSEIDRMYVEINAEYPIKSNL PFICI_11408 MAQTEPIDLTIDDEDNDDLIELNSEIKSLSSSLPALPQQRQQRI PPRPPLKQQLRAHRAPDAPKISTNRVYDHSSDDDVNGFEQPPSKRQRTDSGIETTGTP LPDLNRRLFNAYLESSLLPAIEQTIARLAPDLDAEHAEKLHFTVLSHVTDNAFEHEWV RSKFRPSAAFEANLHARIKRLVIQLRSKPERLKGFQAQKPARPAIIPPIEDIDDLAVY TSAPAQFTSVSEFEQEPEERNPVGRPRRDRYGNSGQGGRQHAGQKRRVKRASPVSPQR LRARVKAKQWQSGRIVDDDNGAGSKSGNKWFSLSSRPYLPWSERQGVLEPVHMLRLDD SELRQPSTIHVDFSEDEIQFLQTVARRLYGSKIQGQRNSLEDLRHLLKKAKKAKVGHV YTDLFKVHNNGYSSFGNPPPALLSRSMTDLDNFANDICRRKLRPGPHQTFTISRDDLS NRVTRINRAPSLLFAREIVGNRAFNSTRRYANFTTTFKSNHEDAMEPQIEWTNCAGDI MTLSWVSNTQFICGTTTHSDSHNQQYNKPGNLLLGSSSQSTLRAFPDHRIPRPVVLHG DNALDAMVESQDPWLFSSVVDSDFDPVQKLAFTASFDKTVKIWRVKDTMELIGTWEHG GRVNFVLASKHPHGLVATAVDVATNAVRVYHTATICSNQSFDSYSCTRVDEEDYTPSE KWAYCPAAIRWGLAPGLQHLLLVGYSPRSMTGDDHDIPLDKQSTGELCLWDTLQRQQI KVNSLATQNVFEVAWHPSRNAFAVATSQAMSIERNDHNINTQIRIFEINPDTGQYGAI KTLDCTAIDINELVIRPNSAAYSYVAAGCTDGNVYVWDTAGSDLPMCILRHGEPVEEL LGEKELEDVGVKFVSWGTTADRLYTGSSDGVVKVWNIRNGKAKHLRDLIEVSGPITAG AFSPDYTKLTVGDGSGRVYLLSLEDDDDEEGNQAAKNNSDLLRMQLGGKQRAIRRPRP FIPHPEVPPPEGLAGPDPSLGRQRAGEYLQRGEIVLNPHPCIGAVQGPRYAETRLFRA EAHMDDDIKKPLLAGFESQQQENTRFADHARPRRVRPIKGYESETASRLLHENTWAEI SHGRNLDEYTRAQLEAEGAECDSDYDFDYESS PFICI_11409 MSSPVADLESGLNVMLGNKPPGVSGSQIKNITALCVNNVQSESV LIQKIFTHFKKTPGTHKLGVLYVVDSVTRRWIDQAKQQNQTINSSAPDGTYAAGVHRV TELIPVLMNDILQSAPEDQKEKIKKLVDIWEKGQTFPATLIESFKQKLNAPKSKLSSV LLGDTQRDIFIVAHVDRLSNLCGLPADESTTPIGSPPPGPGLPGLPGFNVAAANDKPA AFGAPSDIMEALKKIAQQNSSVAPSNSAVPALTPASDSYYVRSASAQQNGAAQQQPPP LPVTQSQPSFPFSAAPPPSVNPAMPFAYPPSAPAPAHVPAYPVTASSQPGGFPGIAAI PPPVPGVDPAAAQQVQLIQLLAQQGIPAENIPALLAAFQTNVPPVTQSAPIPAAPAGP LPYSNSWGQDQSRSDMSQNRNYGGRSPNRYQNRSRSRSPTRHWGSRDSPRGRSDRGHG GYGRDSPAHGRDDRSGWGGDYRQRSPPGRRGRSSSPQRFDQPQPGQKWVEFDRSLPSG HIKVLSRTLFVGGVTCSEAELRSMFNRSGQVQTCIVNKDKRHAFVKMVTRKDAVAAKE AMENSQYRTRWGVGFGPRDCSDYSSGISIIPINKLTEADRKWMLTAEWGGSGGRSIES GMVVEEPDIEIGAGVSSKAISRRMQTDRGGKHGPKSSRGDDEENLGRWRRNKENNPRR EDQRGDNREFGNSQQPMVPDFPYGIPTGNNGMPIFPPGFAFPMPPSQ PFICI_11410 MASALRPALRASALKAPAFTARNTAFTAARCYSAKTQTLKERFA ELLPEKIEEIKTLRKEHGSKVIDKVTLDQVYGGARGIKSLVWEGSVLDSEEGIRFRGK TIPECQEVLPKAPGGKEPLPEGLFWLLLTGEVPSEQQVRDLSAEWAARSDIPKFVEEL IDRCPSDLHPMAQFSLAVTALEHTSSFAKAYAKGMNKKDYWGYTFEDSMDLIAKLPNI ASRIYQNVFKGGKVAPIQKDKDYSFNFANQLGFGDNKDFIELMRLYLTIHTDHEGGNV SAHTTHLVGSALSSPFLSLAAGLNGLAGPLHGLANQEVLNWLTEMKKAVGDDLSDKAI TDYLWSTLNSGRVVPGYGHAVLRKTDPRYMAQRTFAQEKMPNDPMFQLVSQVYKIAPK VLTEHGKTKNPFPNVDAHSGVLLQYYGLTEANYYTVLFGVSRAIGVLPQLIIDRAVGA PIERPKSFSTEKWIEICKKL PFICI_11411 MSTTAAAMPPPLTEKKLEKKPIKFSNLLLGAGLNLFEVTSLGQP LEVVKTTMAANRGDGFTTALSRIWNRGGVFGFYQGLIPWAWIEASTKGAVLLFVASEA EFYARNAGASEFGGGIIGGIFGGVAQAYATMGFCTCMKTVEITQHKLAASGVKPQSTF ATFMDIYRKEGIKGINKGVNAVAIRQMTNWGSRFGLSRLAEQGIRKFTNKEHGEKLGA AEKILASALGGGLSAWNQPIEVIRVEMQSKKEDPNRPKKMTVGNTAKYIYQQNGMKGL YRGVTPRIGLSVWQTVCMVALGDMAKTYVEKLTGDKVTAKH PFICI_11412 MAESNAAAPALSTNIESGHFDEKTHHHQSTEATAEKKVAAEEED DDEDIDALIEDLESVDGGAEEEEEEEESSPHTGRVVPEELLQTDSRIGLTSDEVTARR KRFGLNQMKEEKENLVLKFLGFFIGPIQFVMEAAAVLAAGLEDWVDFGVICALLLLNA CVGFIQEFQAGSIVDELKKTLALKAVVLRDGQLKEIEAPEVVPGDILQVEEGTIIPAD GRIVTDDAFLQVDQSALTGESLAVDKHHGDQCFASSAVKRGEAFVIITATGDNTFVGR AAALVSQASAGSGHFTEVLNGIGQVLLVLVILTLLVVWVSSFYRSNPIVEILGFTLAI TIVGVPVGLPAVVTTTMAVGAAYLAKKKAIVQKLSAIESLAGVEILCSDKTGTLTKNK LSLSEPYTVAGVDPEDLMLTACLAASRKKKGIDAIDKAFLKSLKFYPRAKGVLSKYIV REFHPFDPVSKKVQAVVESPQGEIITCVKGAPLFVLKTVEEDHPIPEEIDAAYKNKVA EFATRGFRSLGVARKRGEGAWEILGIMPCSDPPRHDTAKTVNEAKTLGLSIKMLTGDA VGIARETSRQLGLGTNIYNAERLGLGGGGDMPGSEVYDFVEAADGFAEVFPQHKYNVV EILQQRGYLVAMTGDGVNDAPSLKKADTGIAVEGSSDAARSAADIVFLAPGLGAIIDA LKTSRQIFHRMYAYVVYRIALSIHLEIFLGLWIAILNRSLNIELVVFIAIFADVATLA IAYDNAPFSKSPVKWNLPKLWGMSVCLGIILAVGTWVTVTTMYAQGEDGGIVQNFGNM DEVLFLEISLTENWLIFITRANGPFWSSIPSWQLTGAILVVDILATCFTIWGFFEGGE QTSIVAVVRIWIFSFGVFCVMGGIYYLMSGSQGFDNMMHGRSIKGNQKQRSLEDFVVS LQRVSTQHEKSA PFICI_11413 MVYTLTVHMYAKDDADCIERLKLKLIEAARIYRNDKETVDWFVM QDVHDPRAFTVVERFEQESSQKYHLENPYWKTFDPYVIPLLAKPMDLRRHEELDTSKD VVVPQ PFICI_11414 MSHFMPQIPGYYYDATRNRYFKVVNHPTAPAQSAWSSDAVKKRK LEDDKMQRAAERMKLNKNRVKRSPALMRPLHGGDLSREIHGSVQQDMGPTAIAANLVH RGSILFNDARWGASQNMSCMSVIGKDKFTDLGFAYISPDGNSIASSYIPRDGKGQVHR RLIVDGGYSVPGNRAAPYHEGEHEQVSAIKFDHHRNFIITASSRPEPFIPLRVHRVVT MHKLWKDCKRPFVRLGQVSNVGTPISIPASPEMSAYHHPFGLDIQQPTDDYYEIHALA IPDIQTRVICAIGSNRGILRYDESLITSLSADSMAYPMTTLANPNQGLHWAAPSPLRP TSFPPQSCRDIFTVDFLRGHDMIILGGGRPGRCLVTDARVRDDHWQSFQHGTSITHIK SLNQHHVLVSGTRNKMCIYDLRMVDREHRTTSDGPDKWKSLHSKQEPALSLVDFPEYK NNAHIKIGFDVDIEAGIVATAHDDGRVALHSLQSGNQLESPAIDMVKADVESRGPIKT LQFAKMNNDAHSSLFVGAGSTMKVFSYGPVMADDDEA PFICI_11415 MAEPKKGFWSGLFRTKSTAQAGRLSKEHGRRASDGDVSYGRKPL GGGNTRRRPRRTPHDTKGKSRAEPNGHGYNDSPLSEWPPSGMSSEEELPLGRAMELIS RGVPAHKGHKRPVPHRSDQYYALYTTTAGNHGGETDAADQHDSMVQLTTLRILGNSNP VRPWETLEQPSLAFGYGTRPGTITLNHWASLASIIPPAIELRDPGIKPREVGLVEIFE RLLELEDGLEYDNADLMYRNLYKRFLKDPDKVLNPHKSMDKQITDLIMVLSSPDWIDF TIPKNQVVTRFIYDTRPENHELYLRFFHQLLLSLELDLRICAGIHNDVSKEKLLAQIP PKIQWNLALARRWRDYVRVAEFGETAEKVRLRFKLRKRQAKMLKRFAQMMKWPNLDET LDALKQNEIEGNLLGLSSHTMAFFSGLVLPGPTFPFLLMNTLVDIDPDEATDDLALLT HLHPQCGFQYRKSYTYWSASCIVGKVLAPTCLEVGGWIGPARPTTLESSEIARIRCRK PRQRITPEDVTSMSERSDPLGPPAEVFPVSEYKLVTPDVDDYADAVRIELLSLTSFLP SRQDHGPRWFDASIQFAIDGVSWPLRLTHDVSFINAWPCSSGPHPLFFDYVYTIIKAD EIVNMRDWGNPNAFIERSFNSTPVGGSKAAKPMMDDDDAERVLVVEAFGAPDNEVLAR AWCSHWGLSAVVADIRKTCVACAIREAYAATLTVVILVEDRERALSE PFICI_11416 MAIDKILQTHYIGIDVGTGSARACLIDSSGDIKSLASEPIKLWQ PQTGYYEQSTSDIWSCICTCVHKVVHQSNTDPNTIKGIGFDATCSLAVFSNVTDEPIT VTGPNFEDDGNARNVILWLDHRPVEETEKINATNHNLLRYVGGTMSIEMEIPKVLWLK NNMPPELFDQCKFYDLADALTHMATGNDTRSYCSTVCKQGFVPVGVDGSVKGWQEDFY ETIGLGDLVKDDFKRMGGVDGVNGKYASAGELVGTLSDKASRELGLPVGIAVGSGVID AYAGWIGTVGAKVDTEYGQSDETHPANDISQAFTRLAAVAGTSTCHLAMSEKPVFVNG VWGPYRDVLLPDYWMAEGGQSATGELLRHVIETHPAYSSAKTMAEAMQTNIYEYLNTH LAELAEKQGAPTISYLGRHFFFYGDLWGNRSPVADANMKGAVIGLSSDASLDGLALYY YATMEFIALQTRQIIEAMNESGHSISTIFMSGSQCQNPLLMDLMATACDMPVLIPRYV HAAVVHGAAMLGAKAASADADGKTENLWTIMDRMSKPGRLVKPGTDEGEKKLLHAKYE VFLEQCRTQQEYRRKVDEATSSWAKAFGK PFICI_11417 MSLFGTPAAGAPSSGGGLFGTPNTNTAAAPASTSLFSGLGGQNK PATGGLFGGAAASTTPAASTTQPASGGLFGGATNTQTNTAGTGGLFGAANPNATSNTT GNTGTTGGLFGGATPAAQPSTGGGLFGGLNTQNKPASGGGLFGNSTAQPQSNTGGGLG GGLFGAAPASNQAPSTGALGLAGLSNNNQAGQSLLGLGASQNPNAQSQAAPMGYFDSL LSKSKKRAEGEAPNDDLPTLQLGLGDLRQKLRKLGPRSTDPLQSARAQYTLAASGMDP GAAVRDLNYFDIQAGRVERPITGPAEIDVDTYLANLQQKTTLSMIADGLERSVRDFDN FLEDNVTEEWEAQRKRIYEHFGIKPRDSPTDAPGAPRESLGGFGRSRRGKAGKSTVGG EKNSVFGRSNLQKSVIGTPSKIGPHQAEFTDVENQSSKTNAISGSGSIDDRFLREKQG KLAERIRELNNARLQQRPFSILHELSQVESASGDRHAQNLVDAYHAVMCMTGEDPDAE SFAENSTARERQFQKMYLDLQSNSPSSIQWRKRVLKGSNEFLEKKFFDEVEALITKYP REANLGGRPDVVSKIKAYVRLRQNRKDLVPDNTQLQEVNKEYVWALVYYLLRSGHVTE AAEYVKEHATTFRSIDRTFSSYLLEYASSEDRRLRRQLQERCNNEYTQRVRNAPENSI DPFRMACYKIVGRCEVANRNLEGLNTDIYDWIWLQFNLARENDKLTEMAGESYTLANL QETIREIVLRHFPKSPADDSNGQFGMSFFLQILAGMFEQAIAYLYPFSYVDAIHFAIG LEFYGLLRPSDSWGNANELLTYNTRSQPQISFGRMLGYYTRDFRAADVASAVDYFTLI CLNADLEGEAGRSQVELCHLALRELVLETREFSKLIGDIRPDGHRITGLVEERGPLIG LREEDDFIKAVTLQAARYADENGRTTDAVLLYHLAGDYDSVVTIVSRALSEAISLDIG EDPMRLQPVKPRAAGKDDVQTGSSLSLAAIDDPIDLARTMMSMYEKDAMFYTKIQDQN RSACHLLLQMSEIKGMVEANQWPESLDKIQALQILPLDARGDPSIIRQYASKFAGLSQ PVAINIPNLLMWTITCCMNQRERLLSGQYSGNEGTRRIMVDNLKQISMDLTTYTSQLK YRFPPHLHQALARASAD PFICI_11418 MGPARKTATNTSAGSSSSNHNTAAAAATATATAATTHHPDNSSS HNTNASASTSDPILPSSAPGRTHSHARGLSVSHDVADSPAESQASPDDGAAPEDQRTK KRKGGPGSRGVANLTPEQLAKKRANDREAQRAIRERTKHTIENLENKITELTSQQPYQ ELQAVLRKKEAVEQELLDVKNRMASIMSLIQPILGSQQGVYASPGPSFLPAQPSATGP VSTGTGAASTPPSAASPNSAATTTWQHPAPQSAGSSTQTSPHFDQARMITQQRHNSLH ALDLGPGGEQLKLNFLLDGLTMPGSRMQAGDGGAQDAPGYPHMPLKHDWNGFAQAGQR SESYNQSSGSLPHSTQHHATFASGQTGLPSAQGNDSWIGVQAPINNCGPTCPLDSLLL DFLKERRQLAAEGVPTQELVGPRYPSVKSLLNPQQSQQSHPVSQVFTDILRAFPGISK LPEKVAVLYVMFLIMRWQISPTRENFDRLPTWCIPTHSQIAVQHPAWVDHLPFTEMRE EIAKSFRYAPAAQVEFPFDDFFVPFTNTLSLNWPYEETDVLIENPDGELLINPVFETH MKRLDNWTLGDQFDRTFPQLRGTYKLKKSSEANQ PFICI_11419 MKTSTILLGASAAVLAAAQTDFPNGFPQCGLILETVETDKLSFQ ITCITNMLAKSGSEFNCSASDLQCLCSNVNFLYGVRDCADQACSSSSEADLVKQVGVN YCANAGIAVSGISVDSTLPATVSATTTVVADAGAATTGADSTTASGTDATSAASAASS AVSTVFSESTDSAGSVTSIAVSTVFSEAAAAVSTVFSESTDSAGSVTSVAVSTITSDA SAAGSAVSTVFSESTDSAGSVTSIAVSTVSASEVESTSTGTTTGTDVNTVSNESTTLT ATSTHTGTTLSTSTSTQTGEGSASSSSSSGFAAQQTVGPVGLIAAAGMGLALMI PFICI_11420 MYVKKRDGRQERVQFDKITARVSRLCYGLDADHVDPVAITQKVI SGVYGGVTTAQLDDLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQWSSVVSDLY HYVNPKNQKASPMIAKETYEFVMKHKEELDSAIVYDRDFNYQYFGFKTLERSYLLKIN GKIAERPQHMIMRVAVGIWGDDVEKVIETYNYMSQKFFTHASPTLFNAGTPQAQLSSC FLVDMKDDSIEGIYDTLKTCAMISKMAGGIGLNAHRIRATGSYIAGTNGTSNGIVPML RVFNNTARYVDQGGNKRPGAFAIYLEPWHSDVFEFLDLRKNHGKEEVRARDLFLALWI PDLFMKRVEKNGDWTLMCPNECPGLADCYGEEFEALYEKYEAEGRGRKTIRAQKLWYA ILEAQTETGNPFMLYKDHANRKSNQKNLGTIRSSNLCTEIIEYSAPDEVAVCNLASLA LPAFVDYNSGTYDFQKLHEVTQVVVRNLNKIIDVNHYPVQEARNSNMRHRPIGVGVQG LADAFLALRMPFESPEARQLNTQIFETIYHAALTASMNIAKEQGPYSTYEGSPVSQGI LQYDMWKDVTPTDLWDWESLKAQIKQHGVRNSLLLAPMPTASTSQILGNNECFEPYTS NIYSRRVLAGEFQVVNPWLLKDLVELGLWSDSMKNRIIAESGSIQNIPSIPADIKALY KTVWEISQRTVVQMAADRGAFIDQSQSLNIHMREPTMGKITSMHFAGWKLGLKTGMYY LRTQAAAAPIQFTVDQEALKVQESQAMKPAALKKRVAPAGSYSSSAAAAVPRPMYREN SNTNLTASSPHGVPTPSTTPPPTAKVAPSPMKQVPFKADQDEGESPKALPTEPVETPN KIEELPDPAVETKQTPSQSEDSDKVSKEREFDIYNEAVLACSIENPESCLMCSG PFICI_11421 MASENQTNASSPEGAAINMYNPRYIDIGINLADPIFRGNYHGTQ RHPDDLDAVVSRAQEVGCSKLIITGSDFTSSRHALDIAKEYPGTVYTTAGIHPCSSAI FSTANAHEDTDGHTMPCDPDPTQPIPEEHEPDLEKTSRIIAELKKLIEDARSQTPNAL IALGEFGLDYDRLHYCSKKIQLHSFAAQLDLVLAIKPQLPLFLHSRAAHRDFVDLLKA KFGDKLEKLEKGGVVHSFTGTIDEMRELMDLGLYIGTNGCSFKTADNCAVVKEIHLDR LMLETDGPWCEVRPSHEGWKYLIDSKPPTPAPTPDPAAAAAAAAAAVETSSAPAAAPA GVNGDAQQQQQRKPQKQVQKSKKPQKKEPEVPERWKTVKKEKWVEGAMVKGRNEPCSI ERVGKIVAGIKGATVEEVCEAAWRNTVKVFGIDD PFICI_11422 MPRLTVPTTARLPSSLRVESSNTAVVKLLNRLSRASLLSLVLDW LSENNQTLCPPLLRRPDDEDDGEFDDDFYPPATSLEELRSLYAGLQAAKGSRRDVVDR IVDGDWRHGLTLYQLAMADLQYLNDHPTSLKWSAYKIEPLKMPRSEEDAEGPVRPDKQ TLNVPRFHPSTFLQNLQAEVLPDVKAHYNFDRPRDLPLLLLRIFILESPYNTGLAVSS RIPGGGGSHNITPATSFEASRTIYIAFPDSSPHIYISRSQSTGATTAGDSRSLGRVVL EGIPKALSRPRERYALRATNLVSKNLAALLAARGAGRTNAAQGGWGIYVEDDQTTGAS PLNTVLPTRRPLADVSVNEDRRTPAGGGKTLKRGFQPEKERKDREAKRRKEVAQARFG NSAEMEDEKGVERLDVMIEDSLPGLSTRNARPVGGAEEEETGSRRSKRSRGRQSDMDL ELNKEQEDEEDVEDGISDTESQHKPRIRLTFAGSHVFAGIRKLVEAGIIDGERMPGWM TGEEGVTSAIIRGGRIRGYKGSGM PFICI_11423 MASTQANGRRGGQVNFSNFIQDLNVEVPSQNTSPVSEDWEKDLA MFTNTNFFEWDQASGNAPADFTLPEPETKPAVAAPPPAAAAAAAPATTTTTTPREPAS ATSAVGDFDFNLPGKS PFICI_11424 MPLDDFNSFDFGTFATPNVPSFPDGLASLQQLQPGQPQAYAPQQ QGPYAVPPQAGTKRPSDAGHSRQISFEDQSRLAAEEDKRRRNTAASARFRIKKKAREQ ALEKREKELNDEVTQLKNRITHLETENKWLRGLVMEKTGAKESKFDPKLAAALKVLGK DSDSEDDKSAKSEAGEIE PFICI_11425 MPNISKVEKALEAVNANPEAKELVLTFGDKQVKRGEYIGRAGAQ KTPSFAWSQAAPGTTYIMLALDLDAPFPSLPVLGPILHGIQPGLTVSAAAGVGGGLTT STPPVAGYIGPAPPPLSGPHRYVFLLYEQPSGFDAKKFMPQAEGQEFPMMQRIRFDPD KFAKEAGLGEVLAGGWFESN PFICI_11426 MQNSVLSIPFRKGTQLSLSTAVRQYISTKYDQHPDMFRQDLEVI DSLRRDAVNVREAHASGIKKLQAYAAQLVWISGKFPIDIGADFTWYPSLGYNTERPIV LNNLKFELANVLYNLAALYSQLAVTSNRADTEGLKNAASYFNQAAGVLKHVKDEIIPE LRTDPPEDMDEHTLESLLQLQLSQSQECYWQKAAMDGYKDASIAKLAARVSDLYNLAG EAAMRSEAISSSWIHHMSAKHHHFAAAAQYRAACDCLEKRKYGEEVARLQDAVACVNE GLKESRGGYLNKVVVNDLNGLKRRVEEDLKRAEKDNDLIYLNMVPAKSELKVLDRANM AIARVPPQVSAPIDHLSEKGEFGPALFTKLVPYSVHIAVSIYEERRDRLVNQKIIAEL EALNERIHEILSELNLPGSIQAFEKPLGLPGTLVQHAEEIRQADAISRLQRSFVDIDK LRASDLSIFEEGKELLEAEEEEDNRLRRRHGTERWSRHESRAEPIQGAKLWNQVKDAG TWFETSASSDAVVREKFYAVEPLLAVLAGPDRGIMDFVPSSRRTEIPETLRPAIGRLR SSYNDVTRLESRRRRKAEALREKAKSDDIKTDILSEAARLERNQSVATAIVPAHFEEF FEKRLDSLYEPELELVQKEATEQEKLLSDLIRVNREFESQKKVVGDKGNREREQALQK LENAYYKYKELVSNVEVGRKFYNDLSRIVGNFRDGARSWVTERRREARMLEDELAMPP LHNLNLNQNQNQDQASQQSYNPAQNQSPQQHTSSAYFSPPRQPQQPVRQSVHSPAEAQ IQSWAPAAETVAPQPQQPRANPMQAMWDPSMGIKFSGSPAANAGAGNKPPQTGPWDPK SGIRFG PFICI_11427 MAAVAPPAMQPCQTCTTTQNRTYTCIQCNNLAFCDTCWPKWVLH VPGSVGWNGKPHEKADPFVLHRMREILDPSRTETQHEAELREDEDTSWFGFGRDPTGQ PIFHDYGRFGAIMADSASEDIPERHPQLASFIGETGAGKSTVIKLLIDRQDMTTMERS RFYSPVTSSTHDHIPTTGDVHLYADPATIHTSQPLLLCDCEGLNGGEMLPKQLKHRAE DLASGYKTSQSRRINWAKTPNTKKREFAVAQLYPRILYTFSDVVVFVLRSPRSFESTV LPKLLKWGAASIDKSLNQPVLPHAVIVLNATEPGIDEKEWDPTVATQMLMNDIAGAVT REPIVQDYAMQWRKRGKVVTSTKELLECYYASVTVIRIPYKGQYMLMNEQAGKLAEVI QDRAQLSHLRKRRVRMLATPEKLQFYLHAAFDHFTNDLDTPFDFIKETLRHSPVARNF EGNILNLALAIRDRTSNGKIKNNAKQIFRAMVPIIASCVMFDTVRQNMLGTASRMLDD RYAQPCTAALETFVDMHWPCTYTNPNYPGEMGRCCNVRSGHNPKGHQNLQGKIIGNGD YQSDIDPAEFAPSWNHAIRQSLIQLQSSAFRLSQEFPDMPELQVAALLHRERLNEQYS NILGAATDFISYSACLCCLRELPECALPCGHVLCLPCVQIYGTKTSRTTIEISRCPLH VKDVLCSPPWVINTKPAHAGTRTLVLDGGGIRGIVQLTVLREMERILGPDLPLQLFFD LIVGSNTGGIIAIGLGVKKWTVQATMDKFKDMCLEAFTPREMQSVPIVGLISNLYHGS LYKTQPLAKTLKRYLSDQPFFGVSTRSRQNVSLKVAVTATTSMEHQPIIFANYNRADP SNRKLPYEFARADMPTKEIKIWEAARATTSVQPYFKAFHKDETNTEYIDGGPRHACPV WISHHESKAIWESEAKPDLVLSIGTGRNVGDNRSASSIGRSSQSVTATSTSMMPGGNA VLRQGRGGAGNYKVRGAASNSAMNAAKNGNAGYFHRDPRTPMLISLNNPSAGAEKSND HKQGDTIWNKYISLHVVNEEDRSRYMRLNPELFAEMPKFADVSKIEDLERQTEEAIRR NPAVVMEAVHRLIASTFFFERDAGSAKQTKEGYTCTGSIYCRFRQASPELKALGWLLV SASCLEGDFEPYFLLEEDKTRLPVRQIVLTQTALQDMHFHGVFELEPITINVLDETSE ISLSLAMHTSPYPSGSTLLPISGFPRRIMADAAGDVATPMVPGRNALAPITPTSPPPP YLQEHAASRTGHHNPKHSPIATQHEEEEEHVVEGAERKGIPPAASVIERQYTGSSSYS AQPTVSSPQSMAASLVSSTTSLDVPPLSHMSLREFIEEERAELEGSSPPAELEGPQVD SFTTRKVISMRM PFICI_11428 MADNDITRHLKQTHDRVFEHNKKWATSQAEKDPEFFSKLSAGQT PEYLWIGCSDSRIPAEAITGLEPGEAFVHRNIANLVPNTDLNVMSVINYAVRHLQVKH IVVCGHYGCGGVKAAMTAKDLGLLNPWLRNIRDVYRLHEAELDAIKDEEARYNRLVEL NVVEQCRNVIKTAAIQQSFQKNGFPIVHGWVFGFKDGLLKDLEVDFEAQLHDIQKIYN LTE PFICI_11429 MPAPHQRFFTLRNPFSRCVLLAVALIVFLGLTFRSDRLDDVTTQ IIKAATPKTKGSTYQQPIGGDTPVASEADVVPVSDHVMDCGVNPDYMNELKQTYDLQD GFEYLKRYVKINREPIARKSITKLSQEFLPQGVKPINTNYASSYGQETCLEPLQVPVS QSPFPATGNLSDFMFGVSTTFKRFNSSKTSPVDEWTYWLTDGKGNSNGGKLVLLLLDA EEEQIMAAKNVLDERGIDVDVYHSDSRMEMAVRYLTLVPTLYNHPERPYKKWLVTCDD DTFFPSVHALTKKFEQYDPSEMLYIGTLSEDVNNVDRHGSQAFGGAGVFLSVPLAAQI TNDYDTCKTDEKIREANSGWGPQGDILLRKCIYENTDVRLSVMRGLYQLDLYGDPSGF YESGIKPISLHHFKGGGWHSALPWQYTKIAHICGEDCTLQRFQTADNFIISTSFSVVQ YPEGINFNLAQMERTFAAAPQDKGWNLDYVFDPQRPSLLKTGRKISWDLQEARNNADN TVSQIYVRKGDDWRWVDRNEQPMNKKDGIIELVWLP PFICI_11430 MSPTPANPRWPKPKPKSPAAAASVAPLPLPTPDEITFLTPDPFV PKPLVADILRTRYCIPGSIFLVEGVDTFRAGSSSLSSSVHRSKNRWRGMRLLLGDGEL CIQALLAGDMHSYVDRGDVAPGAYVSLESFTLERAVTEQHGGGNSHEKGKGKMVGDHQ NAAGVVYLAVTNLITVGWNNRLLAMLDAEEVDVGPVTEPEHASEEDAGIPKEAEVKAV VATETEPNPEPESAEGIARPRQHPDVDLLREVADAAEEDEDDDFFEVMEVSQDRSAQK RAEVMAARAASSLSDYSVPVDPDQLPWSSMDPTKPLKLTTLRAIPNLPYKQNWSTNVL AIVASISDVQASPLPPFSQRVARLAHPSTPKQVHLTVFLDAHDFTPRVGSVVLLLGVK NHRFDGGSLKKYASDKLKSGTRWWFDDPVEFTWCDVKGLHTWWHQKQLDEH PFICI_11431 MASDPESSSELLSINNQASPRVYTSLLLCFGLESDFRDYVGLKK HLQSSLDKLAETYPLLTAHLFADANNGVASLTTTKGAKIPFRVVQDATNSSAQSYDEM KEAGFPQSLFTHERFGRDGRLNAEGKPVMYIEGIIIRGGMFLHCEFHHAVFDGRLKSE FLGAFAAITRGDVHDTFLPSNQSFHHTAATAIAPSYAHFDALLEECPEFGMLVDKSGP TFHCYDNEFPYRNLEKTGKIFTINRARMGTLQYMIQGQLAKKGLIAKDKNPSTYSCLA ALAFMCIVRARSIAEPFNNRGNGQDDTAIMHHAVNWHSRALKKHTKNYFGVSTLPAFT AISMAFLKESSGDLNALALIADLVKRDTAQVDDDYVHKRLNLSSPDPRLIGVNYDPRS PNVIAFNTWRHFGGSDDWEIPGTISTRPDAVRRTLAGWGTHNCLILPQTGDDQEILVQ LPEVTMEVLCKDRNWYDWFEVIE PFICI_11432 MKSTTSLSWLATLLASGAAAVTIEEINGNKFLSSYNGQSVTNVT GIVTAKGPSGIWIRSVEKGTDPKVSDAIYVYGSALASNASIVTGDVIVLNGKVSEYRS ASTYLYLTEITSPTVTAILEHGQTVEPLVIGVDTLSPPTGEYTSLDAGDVFAVPNNQS LISAVNPELDPENYGLDFWESLVGQLVTVQSPVALSKPNQYGDQWVVGSWATTGENER GGLTITSKDGNPEAIRITDPLDGTDNPSDSKLGDKFEDIIGVVTQVYGFYHILPLTNI TVIESLSPALPDATTLTSDGVCSGLTVGDYNVENFAPSDTAHVQDVAAHIVDYLLTPD LLFIQEIQDNNGETDDGVVESDVTLSTLTAAIAELSGVTYNYTYIAPVNDEDGGAPGG NIRVAYLFRPDVLQLRNPNPGNATTANEVLAGAELLYNPGRIDPTNAAWDSSRKPLVA AWETLDGSNTFFTVNVHWASKGGSSSIQGDARPPVNGVVAARQQQAEVTAAFVAQILA EDPEAKIIVAGDFNEYPVVKPIEDFLSLSGLQDLDVVAGIPEVERYTYLYDMNSQELD HVFVSAAIAGASTAPQFEHIHVNTWVSYDDQVSDHDPSIGKFDLCKY PFICI_11433 MSLYGHEQDEKRRNQMLEQTPGVQIYLQPIAPPAALGLAGFAGS TWITSSYIANWWGSPDSPTIFFPFVGIFGGLAQFIAGLQGFKARDTLVTVINTMWGSF WIAIGILYAFVAAGALPPHSVHTHFPELASWFVVLCVFTWSSALAATARDVVLSVCLF SLAVGSTIACCLFAYNGGTSPDSGSGDGLSGGVTRGIKAASYFWMLSALCAWWRVTVY LIEEAYGPGHAITRFFVIGRTPMERRAPLIIPGLGEPGVKRGVPKPTPV PFICI_11434 MSVAAEAEGSTSNRSRRPRRSHTVPDRGSLWDVMHDHQGTSLFV LPLCWTDMHTRLLGCRFVRQPSQDTPVPSTHSSPRTSPPASLTLVAIGRDIDTLMTRD NPRNVVPKTRALRNIMSTLFPIHLGKPKANAELSLRFGGRLYQKAARAQVLWKHHDAT MSFDSATTWTSSRNTSQLLASMSVNIASDAPILAYVSRSNLNHIRHNCFRIVQGPNRN PNIPVHRLQTLRSKNLVPSNLDEDPYFVATAISLAQQHFYPDGHRTNQIKQRDVTVRL FTISEEDQAFIVYTAKISSEFLMMFHSPESNPKPDATNFRIEYKHVPVWPILGLKERL GQALGEELVGNIDPLSMETFPDDEEEIFHVNELTPPKRRLDDVFSEVLNASFIEDPEP SSTEEMGVIGEENVAEDAPKDEEEELEEATASEKWHRWAKTAAFKAEKQRTFR PFICI_11435 MFNEDPNPPRLIGNFPLLPLRTKTRGPAYTLPPPSEPLAASESP DPDSDSYDILDEVLSLFRANTFFRNFEIQGPADRLLIYGILYTSEVLSKIRPSHGVRE AQKEVMNTALDLNFSIPGDPGFPLNQMYEPPRDRQDAEQLRQYLSQVRQELASRLLAR VYEEGGDGKPSKWWLSFTKRKFMGKSL PFICI_11436 MHSLVQPDAWVALKLPNGTLRVLQVTPNTTISLGKYGSFPSNLI IQRPYHLTYELQSKLESENFHRLRIVPASELYADILASRDSSNSPDSPTSDPEDDDED GADAAVTSGAEYTLVDASSGAVVAKSDETAVLNDVAAASATQTLSMEEIEALKKDGSG AGQDIIAKLMLSHTALDQKTEYSLAKYKLLKTRKYIRRFTVLPMDVPLFDHWLLEERD STKILEMREEMIGLLGCWANVHWGGEDDRENEAEDVNDDESTEIDNAQGRYLVVDDTG GLLVAAMAERLGILYPSEKRGSLDEYLEAQKTPQQRREERNRTKAAIENDGVTEDLKQ EEDEEAPRAQQQESEVKIEETDEAQPEPEPEAVNDRTETSSAPKKYPSGSGKRGDDGV PYAKSNTLTLVHANSQPNLSLLKYYDFDITNPTQSLDHPLARNLMALSWLQLLEPTAD TTYMAEPPAVSSDELKTWKTNRRANYHRKRRRWARVRHIVDTARAGSFSGLVVASTMD PISIMKHAVPLLAGGAPIAIYSPTIEPLTKLADCYSIARRGAWISDPPEGVAEKTPEE LEHWGGTEEYPLNPTLVLGAALQTSRVRKWQVLPGRTHPLMTSRGGAEGYVFTAWKVK PAAGKVEARGKGLRNKKRKVGE PFICI_11437 MADQGTGGIESRRDRRFSEPNPNAHEDPTIQQPASQVVVEAPTP ETEEQTPSHANEHPRGLSQAAALTRQDSTGSNASDVSYSRTSSSHKDGRRRIARHNTA DMRSHASSSRPLEPPVTKGTLSELDVQKIVHNPKLRHDINFDPELHFRPNLDGEKGKR KQDKANQFWNTLRLQLEQFVANPIQFQEQYHKREWCLPLLLRSVKEIIQTLVPTRDRA YLDEGLNVDLLMQQFNRGVADLEKLASWLSGVLKSHCAPMRDEWVDEMYSQLTSGNRT NNMEELVQGMRSLLSVLEAMKLDVANHQIRCLRPILIEDTVHFEQKFFKKKIQTGKLE PFSAQQWYHAAARDCVPSHDSRASFGEMAVFFEGLSKLVMFSQPESVPNTFLFDEERI LKLRSDMLDAINLEICMRLFEELEKKYRQPAVPTPFLSTPFSDALGFLSTGSDDESDF NFNASSRPSSLVFSVAGSASSSPRSSVILPSSIPTSSPVDLRTKSKNLYNSLTALLST SPTTTRSSMKWKAMKDSLALQIFRFTDAPQEVLMQFEDELELHLSEPESMLFQEVEQH FHARLVNELQKRVKEFKCHTGVNLFSAATGGRAQGPNRTADRDATDSSRDARDEAGVE DMATRLTHLGLLHWRVWSPIVYHAEDADVEMDTSA PFICI_11438 MEPRARAGKNVGKETFPAKDLAALMYAYGDVANPLPETVRVMDE IMTEFLEGICFEASRHASVAGRQKLKFDDFEFSLRRQPEYLGRIRSMFEKRKEITKMR KTFGGDDDAVLQNQGKDDGATGIGGGSSGAAGSNKKRAAADEEELFDDIDDDEDILEA SQQTKKRKVG PFICI_11439 MPSPLSASETSSAKHRAQENGDTVMDLHVNKITKGDTTERGAAA VSDCRQDSLNSFSYDGQPTPTGSPREPSPSHHSRPGPVRKNLKEKIHSFFSSQWQQNG GPFLIILSQFFAANMNLSTRLLEIEDDLHPMQILCARMTATVLITFAYMWYRPVRSAP WGPRETRPLLVLRAFSGFVGLYGMWYSIIYLPLAEATVISFLSPNLAGYLCRIFLKEP FTRREQLGSYLALGGVVLVTRPMSLLSSPPEAPATDATSSFVNNNNNDNNNSTMTMYV IRKGLDYVPTVAERLSSVGMGLLGVVGGAVAIASLRCIGPRAHPLISVNYFSLFCSVV SILTLAVAPLLGYGQDAQGQGGLRFALPSGARQCGLLLAVGLAGFGTQFFLATGLSKE KSNRATAMIYTHVLFAAFFDRFIFGQVMGWVSVAGCALVVGSALWVALGNGATDGAKT GRDETRRSDIESSLPSSTRFVVGASALSGGEDVPILANVDGEDVEYLDENGDIDLGLL RPHGSD PFICI_11440 MDQEPPPPYSPSSPSSASQPPSQINLLASSIRSQLADLPYQLRQ TRQAHNEQQIELDIRILEHVRPTIETFINELSQINPAPSQASLALLPRVAVPADAELS EFEEMLKRGEVGRVVRLDIQRDLKGAGDMKTTTDPSLMIADKSTERYASHGGSWERPA AAGSPHSTLWWSDEDFAKRLVVHLSEESTPTPTPSATPSSTKSMSPVLTAQTPSPRSM VQRFWGLRRQSRSQSQSPTTVYSHTPDGLNQVISAAGTNETPPNNIRMPVMKFVARKV TFRHENDFGIFESTSGWAIVATVQL PFICI_11441 MAEKATISVLCSGNGSNLQALIDNCASGVIPGQIIKVTVDKKDA YAVKRAEAAGIPTDYFNKVNQKFVAAGEKDPEKVKEGRSRYDAALAERILKDKPELVV LAGFMHVFSASFLRPLAEAGVDIINLHPAKPGCYDGAGAIKRAYADFQAGVLPNNTTG IMVHHVIEQVDRGSPILVRDVVIEAGESLEQLEAKMHGVEHELIVEATAIKAKEAIAK RASS PFICI_11442 MSYRIADPDEYLAITGMGIKNVLITKTAWVWPFQRCKRFSVQPH DYAMNLQAMTKEKLQFLLPVVFTIGPDVNARGANAKGAPKHPSQEAGNLANDEDRGDA LMKFAMLLAESETSAKSQNRHGLDQIVKGIIEGETRVLVSSMTMEEIFTEREEFKRRI FRNIQVELDQFGLKIYNANVKELKDAEGSVYFQSLSQKAHEGATNQARIDVADAQLRG NVGEAERHGTQEQEIAKINADTAVKKTERDAERARAVASLKTQEAALNRDVELSRIEA NRATQSKDEDLKKEVEIKRAAAELERLRATDLVKAQIKKESQQQAADAKAYEITVDAQ AKFEQTTRATDATAYKTKIDAETQATADYNRLTKHADASAYGTKTDAEANSFAAVKNA EARLQEKLKEAEGLAAMAEAYGRLGQAFGGPAGLLQYMMIEKGTYVELARANAEAIRG LEPKISVWNTGAAGDGATQDSAATMRNVYQMLPPLMTTINEQTGITLPEWQFGRLAGE MTNGVNKNVSAPKINGSHRSE PFICI_11443 MKFTVSAVASLLVAVASASPIEAELHARKTYGTTSNEYTQNGCN DVLLFFARGTTQSGNVGDMPGQQLATAVSAYYGTSLYVQGVDYPASLDGNFEDGRCPQ AYAAKWGTQLAGAATKCPDAAIVIAGYSQGAAMVHAALKTLTTATTSHIVAAVTFGDT YYEQDGNKIPNIAASKTKVFCNEGDLVCNGTLDITAAHTNYRPSVPSGAAFIKQQVDA AKLW PFICI_11444 MVQISEVKGNNRENRTAAHTHIKGLGLKSDGTAEKQAGGFVGQV GAREAAGVVVDLIRAQKMAGRGVLLAGGPGTGKTALALALSHELGTKIPFCPIVGSEI YSTEVKKTEILMENFRRAIGLKVRETKEVYEGEVTELTPEEAENPLGGYGKTISTLLI GLKSAKGQKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPIPKGEVHKKKEIVQDVTLHDLDVANARPQGGQDIMSMMGQLMKPKATEITDKL RGEINKVVSKYIDQGVAELVPGVLFIDEAHMLDIECFTYLNKALETSISPIVVLASNR GMCTIRGTDGTIAAHGIPPDFLARLLIIPTTPYDADEIKRIVRIRSTTEGVAISDAAI DKIAEHGVRISLRYCLQLLTPASILARVNGRSQIDIKDVAECEELFLDARRSASLLSG ETGKGYLT PFICI_11445 MTNSAETGDSSEAELDLHNQQQSLSKSSSSTGLESSSSIPSTTP PAAQYTSQDTDQRTGSTLAGITMATDDSSRTLSPQHTESIHPRDDYQHLQHHQSGGTV QREPPRRPTPLITSVTGLAGNEQDSSFDHSASTAFPDSNRSVSRKRSAPNIIDEANKY PRNQELNLYTPESSRSLAFAGGPGDPRELICLCTKAPKVPRPRNAFILYRQHHQASVA AQHPGLANPEISKLIGEKWREQPEEVKDSWKRLAEEEKLRHQRQYPDYRYQPRRGGKN GTSGKPAPGASADDPGRCQKCGGRFIATPRTPSTPFSAAMTPAFAKPMAAGLPPGAHV MSGGYVTLGTMVHNSNARVIETDHPMRRGSNTSMMSVDSHGRRYTQPYLRDIDEDYAI MSPTAPPHKRPRYNNGYIPVSPPLTYMPLPPEPRYIQQRSSTTGSPMSTMGYGPNPMS RVSQHQHQQQHQQSSYHQAHMQPPPRPSVSYAGGVPMQISSSHGTIPGFDESLRLPPL QTQMPDSPSMTSDSGAMVTSTQGPTGLGIMAPHTHQQQQQQPPPLSSGPHPPSPGQRP QWLNRLEMLRAISPPLKNPGGSLLPFEVRGPLIALEGASRAILKEITSVVEKALSVSG ECSVKIWDGAESTSLSGSRITGDDASSRSHGESQADFLNFTSPVAKFQVQMLKWHRLS EELVKYITHFPSSDAGQSANGPGGDRDKSSTSSSSAGGSITMSTVNTPGPKHGAHQSV HTLPVAVLSAGYSLKASDRSAAALHIADAYRPDDHWRWVATMWRGIVGPDLTVYIMRC TELEMRVNQVVEFANAGVLVVRIPESAGNETAVVDERLERRLGFEIMEWVRNGQFGRI SS PFICI_11446 MSRFKVGQTVEINADGRLAIVKFVGATSFSPGEWIGLELEDGTG KNDGSVQGIRYFECEMGRGMFVKPGVVTVIKEAPPPRPRPTAARSGRTSVVPQRPSSI AADPTAARRKSINAPSPSPVRSRPSSIARSPTRTERPNVATAASSGSTSRTGTPSNAP ARKLSSATRPAVGATRSSMGPPAAPDPSKRRPSNAAPGASSATRPTPGRPLSGRQSLA PAGRAGTTRSPSGRVRSTDSSGVDSPGNLTSPNKSDEEISPVQARTQALDRLTAGGYG PAAATTSKPRTSTTAGRPSAAANSAAMRENEDLKTKLRILESKRSEDKEQLKQLEKLQ DQITRYQTVNKKVEEKFMKAQQEANHAKQRLQDADARLASIDDLQAEHETTMELLLVE KEVAEEESEHYKLELDSIKSRLEELELENEVLRLENTEFEQDVTAEERTSDNWIALQK KNELYYQAIITLHDKLEQLNEKTSEEIKALKSDLDDFDNVKQEYEIAKEKILETEARC EHFKGQLEDALGAEEMIEKLSEDNQAQSDVIATLRENIKDLEELKEISDELEVNHLEH EKELEKIIESKDSNIFKLTQQIVDQDKELDDLEYTIGRFKELVSNLQKDLEDMEASNA MNEAESEQINSKSRELLDLNQKLQINAAKTQAKTIELELERMQAAEAKLHLEIVTLFL PESYEQDKDSVQALLKFMQMGVKSDLLAMFVKERISGRADAGHEDDIFIGSNAITKLT WVSSMCKRFVNHIKHCSLEEFAAYNGATWELAPVDTKLNSWIDNLQKGSGELQLKDYA EVLSRSTKVLEHMAEQHISGNPATMADNMQMQTTLMQGYLESAATTFAAMKEMVQRVL PQNTEMEIDEPWQSFDVKVDLAMGQTRSAKVIVTKAVRSLEDLQKRSLVLNPEEVSTF EDAASAAQRLAEMAETVAVAVHALTTEADRAESLTYSDISKTIHKVVQSEFKVEDSEL LGSYQSRVRVLTTQVTDLAALCADLSQTQEFDRPESPWKLRAQEIKKLKIKPVDIEEE LQQLKQQYNEARLAIAVREESLSTSTLRIETLEARYRDSQAKAARITEMEAQVLQAQE NMAKLKDDIEKQDRELKALESDRDQWKKTAGDSRVLSTDATSKAGREQAVATQREMDA LRNDIMSLQAAVRYLRQDNQQARTKEQAAYDWLSEPLIKPTPVFEQRRNLVVKEGKDV LNELLRMAGTAKVYDLKTLPEDKMAWRPARITPQHHAAMQAEDFAAWKNWQYSVVEKA KTVAKTQTGRREDADQRALMRKQAARLRIQLPGENGKVIPGRGDVQILGSQEWEGLQG RLAVV PFICI_11447 MSHARIEEVSDSDLSDPSEGDIDDFVDSDILRAVAPKAAPQQQQ RPPPQQQQAQPNNLFPGTTTPGPGIQQSDVAGYQCLYPIYFDASRTRAEGRRVPAHLA VENPLAREIATACAALRVSPVFEAMKTHPQDWANPGRVRVNIKDPNNPYLKDIKNKHH LYILVAEHLRKNPTGERSAGLRNVRIPGLPGHPKDDEKWPKPAVPKSWKINEYVPAYS SAMTGGGVSENALRDMMREMGGAPGGPGGMGGMADMMNMLGGMGGMGGPGPSGSAGQL EEGGKKDKKKGKK PFICI_11448 MARTPKKDPKRFRTHSTPRLRNRVWVRFECGMPAAEIAEKEGIL ESLVYGIRKRFRAQDWGVSRPGRGRRGILSDRDKRHLMVAVGRDPFISIPILRRDVVP HVSVPTVRRFLRNAGIMHRRAARRPFLTKEHARKRLQWARAMQDKSVEYWKNVLFTDE SSVQRGQGQHQKWVFRPLGTHAALIFPLFQS PFICI_11449 MFWAGILYGNRTALVAVPGDPESKKGGASARVYKSILEEHLPTI MDASTVFMHDNAPIHTAHSIVDWLEEMAFTVLDWPPYSPDLNPIENLWFCLKERICKK DPELSTLSANFESKERLITVAEDIWEDIEQDLINRVILSMPRRINAIINARGWYTRY PFICI_11450 MSGAGDGDDIDWSLIGPPPDDGHGHDTHKGDLSTIGPEVVGVVW MLVALATMFLGARLYAKFSAHRGMWWDDHLLLASWFMLLGYVIITTYAVSKGLGTHDG SGLNDPTTLQFLTAISITFGSLGAAWSKTSFAITLLRITSRWVKVGLWCIVVTLNVFL FFNAILPYVWCTPAAKGWSPLIHGTCWDRKITIRYSMFAAGYSAGLDFILAIIPWTVI MKLNMALKEKLGVAICMSLAVVAGVTSIVRCVNVRLLYEEDFTFEAGILAMWTAAELS VTIIAASIPVLRILIRNIVTHKSYSQSGGNGEFQNVTHTSVVTSSKRGIKSGFSDADS IASLALPRQHPRQIVKFETVTVDYERRSVSQGDDINSYAFEMAHVPPRRQNSGRQF PFICI_11451 MRSTLYIAASTMMLLSTGDSHIVLENPLPFRKAEDGPSNPISGA TFPCKVPAGQRLEMDGPPTEMAIGEDQLLTFKGTAVHGGGSCQISLTSDIDDSFQPSK DSRFFVIHSIEGGCPARNQAGNLDGPNTDQYYFKIPAGVSPGNYVLGWTWVPRIGGQP EYYMQCAPIAVTASTTKAKRLPLSERREGLVSKREESFPDLWMADLDDLTGGCTNNEA LVQQVAIAYPNPGKYIDRPTPDEQLFQQVCDGNPRLQGGAPAESSAASSADLSTSALS STVLSSAVSSAPTSTSLVQTPSSTLAATSSATLTPTTVDVVPITSTLLTASTLISSVT PASSTSSTGTVDSSPDTSACQEGYLLCVNGTQFSTCTGGSWTDPQPLAPGTTCVGGAG VGLDIVQPY PFICI_11452 MGDISSDEIFRNDNSGRIDQQHPSDPKNNAEDEDVKEICNDLVQ PGSTSNPPGLWALLTSRRKPADPDATATVRSVFDDANLAGYYLPHKDYENLHRFDPSE RWTYREEQEVRRKIDLKIFLWVLVMFFALNIDRGNLSNAVADNLLNDIGINTNDYNNA QNMYRVGFLISEIPSQMIGKWLGPDRWIPIQIIIWSLASGGQFFIHNRAGFFACRFMI GLAMGGFIPDAILYLSYFYTKAETPLRLALFWFVDSMSGVVASFIAYGVLHLRGVAGK EGWRWLFLIEALISITIGFLSFLFLVPGPTQTKTWWNPKGYFTEREEKIMVNRILRDD PSKGDMHNREPLTLAMLWQSLKDYDLWPIYVIGILFEIPTAPPKAYLTLSLKSLGFST FNTTLLSIPVTVFAALNMLGVTWLTEKTNRIAITGLLAQVWVLPLLVIEYTSVDSLSA WGQYALIFIILGQPSVHAAQASWCSRLSNTVRTRAVSTAAYNISVQLSGIASSNIYRE DDKPVYRRGNGQLIAIAVGTIAAYALAEVYYVTRNRYKRHAWDTMTPEEKATYLENNG HLGNKRLDFLFDH PFICI_11453 MSARQTRRQAAMAAAAETPTKSPVTASSESSQHDNTNGNGAIQQ SDALSSSDRAAPKENIFLFWPNIIGYWRIVLGVASLYYMPLHPRTCSVLYSVSCLLDA LDGYAARYFEQSTRFGAVLDMVTDRCTTSCLLVFLSSAFPRWAIIFQGLISLDLASHY IHMYATLAMGGSEMSHKSVDKSRSWLLNLYYTNKTVLFVACAANELFFIGLYLLSFSS PLLSPQLLETVGEAKAAAIQSGAQVNSSIVRQLFTNPYSAGALEMARANKMDSFWPWV LTGVSFPIMAFKQFTNVIQLIKASKWLAEGDVAARKAAGLPRSIKEKAKKG PFICI_11454 MSADRPQNHTLKASFADRASKATHPLSNYLLRLMELKKSNLCLS ADVASARELINLADKVGPSIVVLKTHYDLVAGWDFHPQTGTGAKLAALARKHGFLIFE DRKFGDIGNTVQLQYTAGTARIIDWAHIVNVNMVPGKAAVSALAEAAAKWRSRVNYEV KTSVTVGTPVNDAFEENGNSEDEDRLAPLEAHNSSGNTTNNHQATHHRDADGRKGSIV SITTVTQSFEPVDSPRFATTTEDGDDLVYAGIEEPPYERGLLILAQMSSKGNFMNAEY TNACVEAAREHKDFVMGFIAQESLNTEPSDNFIHMTPGCQLPPEGEEENGVVAGDGLG QQYNTPSKLVQICGTDIIIVGRGIIKAADPQVEATRYRQRAWQAYLNRIGAN PFICI_11455 MDETTPPNDTSQPIKPPPRKRRRVVISCLDCHKRKQKCDRKLPC TNCVSRGKQSSCRYETGAPISKDGGEGRKGSQPGEDVSPPLDGANGEGHIPIKAVDFG YSNSSGNGANTLGFLKKIEGAGSGEPLSSVGGVGEAAQEGEHFGSRERYKSLVRHLPA RTFVEQLVDIYLREFNWMYYAIHEAEFLEQLEMWYQLPFHLLSNAGPQGIDPTLRSFP ALLFQVLATSLLAVSDENDPRFVSLKYAGNMTFEDLALEYSETGVAILTLLGKRQMTV PTVLAGWVRAAFLKYTGQVTEAWHQVGTAIRDAQEIGLHRDQFDPQPGPNDSTEQALD AMWKAQSRRKIWMVLVMWDLHTGAVLGRPTSVDMRTFKRSSPIDAPIPFDRRKTPIFV RGENDPPTPLTRGLWAFECLRPLRDILDLEKEGPFPKDFSKVEKLHQELLELQERTPP EFRLENPDTRFDSFPECHWITLARPTLPQLISFNFMALHRPYIFTRASSRHEALKASL DMLEAQSIHFKGLDPEQYKTFSLFFGTFDAIVMMASIYILFPKEHPELLNNALQHFQW GVERFEAMADRNRLAAAALGVLNAIYIRLKKAIGHGFLNKLCPRSFTCPKELCQTDQQ DDATKSSDGQTSIAAESSPAVTQSHPASVTPKTESPAVHTDGSSSGTGLTPGAELFPA PDWSFPTNFDFSSLPPMYPMGDVAYNDLTGIRDDGIPPSAGIGVWQGAADGSASADLV NSLSRPIGGEQSEVGYNFGGEFGNDTIWNLLNQFPPH PFICI_11456 MDVTSVKSITDFVPRYREYAAAKEQTETFIKDLMIYAEHIENTT RQEKLALNTQLRHAQFDYEDSVNARRELQARVMDLESQLSFLSQNNSGLKHRNPYVLV LVDGNDLIFQDHLVKQGAEGGSKAAYALRQAVYAKVQNPDDTEIIAKVVANLSGLAKA LRRNENDLKHFMLGFTQAKASFDFIDVANVDTGAHAKIADTARFHLKNYNCTQVMLGV SDPAYVQLLDDVKDEIKSKRITILEGCPVSKEIIGTGVSVASFDAIFRAEKLPLVVDK PVTTASSIASTPISNSATPFTYATITQKASPPPQLILPLAPKTVQSKSAAVRVTTRPV SPPWNPGARGLDPPIPLNQNVLDIVKKRTGSDKLCNNHYLRGPCSKGDACCFEHDYTP NQDEIRAIQFLARLNPCTNGQDCDVDNCIYGHHCPSVKDGVCTHPFCKFHVNEHPPGT KIKASKKPVD PFICI_11457 MEDLGSNGEASDPPGPTALPRVVDITDAGDVVLDCVFENSKATL KSAKKQQASRLAPAQQPAPALKARTRVGFRVKLAVLKQHSKYFDRMLGDTRFKEAKTI EAAFGALSLRKTDPASAHVSDLPWVRIVDDDQETRLAHREGAFGDMLRLLHGTEVATQ SPSLDFVATLAVLADRFDCAPAVSKAMASGALKFKWPATQRKVTVTEDPRMSRALENA LRQKILVSWLLNQPPRFQAATRELIMNGSLKWSSFPEQDDGRDEAMWWYLPDGIEQEL QYRRECVLNSIASIPRHFFSLYISRTRQCKLGYDSSAACDSYQLGETIKFLSTKNLFF LVDFSPSSLNLIADTSLLQVDTILATLRQCPGYQIDKHHTNCGLRTRMIPILDFIKAL LSTSSLPLSRLSWRNDRKRAAWLPSEEDMEGITSTDRPFHFTRGMQSDQRLRFEHAMG AEKFARDVFTATSWNWSTED PFICI_11458 MSRFQRLAGTVRRAALPATAITVGGGALLYYSYRPRNIPGFEAA AVPPPIFGADGTFSLPKFPRVKSREEQLAELKKSAGDGEEYDLLVIGAGATGAGIALD AVTRGLKVAVVERDDFSSGTSSKSTKLVHGGVRYLEKAVWNLDYNQYQLVREALKERK YFLQTAPHLSHWLPIMLPLDKWWKIPYYWAGTKAYDFLAGSEGIESSYFLTKSKAIDA FPMLKQEDLVGALVYYDGAHNDSRMNVSLAMTAALYGANIVNHAEVTGLLKGADGKLC GATVKDRIPERNGKDAQDLTIKAKCIVNATGPFSDAVRKMDDQKCMDIVAPASGVHVI LPGYYSPAKMGLIDPSTSDGRVIFFLPWQGNTIAGTTDEPATVTPNPLPDEKSIQWIL NEVSHYLSPDITVRRGDVLAAWSGLRPLVKDPKAANTESLVRNHLIDVSESGLITCAG GKWTTYRQMAEECVDEAIKQCNLPTKPFVNAPRVSGTEAIDDGAILNGQCQTHNVRLI GAHGFSKTLFINIIQHFGIETEVAKHLTESYGDRAWTVAALCKTTDQRFPARGERISA LYPFVDGEVRYAVHNEYAQTAVDVLARRTRLSFLNAQAALEALPKIIDIMSEELKWDS KRQEREWTDTVSFLQSMGLPEPMLSATRRDVEKRKLDFTSSLEYKMYSRHDKPVEA PFICI_11459 MSTPEQLLAQYEGQIVPRSFNAGFVALSYVVSFIGAASTLELIN RRTSRNGLVNHLVLLSASITMGGIAIWCMHFIGNRAIDLAEGEPALQIVYSSGFTALS FFLPILVLLMAFLAVGTDKVSWWRIVVGGALAGGAICGMHYVGNASINNYTCLYSTVN VVGAALIAVAASIVSLALFFAFRQAWTTSRWKRGMCAIVLAGAVSGMHWCASTGTQYR LIHLNSGGNQLSRNITVIVVICLSVGAAIIMASTAIYTTHVMRNSASKAQQVLLAAAV FDSTGRILVTPDGLLPSESITETYLEKTPSDHFSIAHPLFHWMFRTSRNWSGMSDVIK SMSAHISNLRKGRRDGKVRLIDDNGQLVANYDIIFRELFCVAASNLADRMKEHISNVG ILWDEILPTGTGVPRRQLRQPDDEAQNSHNGRGPSLDADILVEKGEGWRSRQQEYGRG SLMFLVRRLDNSRAVEDLQAANFRFAEINQVSGIIGSSMQIKTRNLSEKLRNMATYAE ESTMMEAGVHLCLFGLRARVGGHGFDVLVQRGARNLLPSMPLPLDHLETWQVEFIRQV NRLSVPLLCQKLDAMRQLSPRQMLFASQLYDTLTALRAWVDDAIFDEAILTSKLVKVP CRAASGSASGSTCTLVALSVVIPIHVNLQSPKCEFVPLSFFKAHQLVYRDSPHHAAFS QSVHRDISPILNAIPPPAVRDTAHNRLPSLLGFRKTKPSKKRPACAVDADGNPIPTDI GAKTNNGSVHSGSMSKLWEGPSSDMPSEPHASSTRAALQRAEAAPPVNSSFGGIMISQ EISVDVREANEEASDEASRYTMPMRGQQTKSNERPGPKSGEGSGEVLTIVSSGHKKAG ATSRSDIEMKSMSEPREDIHSGATATARSAMVESGRAGEPATFVDELFAVCMDGR PFICI_11460 MADPTRRRQKPFYPKADAAVLLSSKSHWPPPARSQTVWPIFDPD TIDEPLTPWSQGTQEAFFPCKIDEYAASPIPGKPLHSLPHRYLRASLAQNERLRLSML WYYTRNIFDESEFLCGLKEKVFLAQESTGWEFAVIGLLDINIYIRLATIGLPLGDLPR GETICAHTVIQPPGSVFLLPNMLEDWRFCECPYVEAGGLVAYAGAPLRLQNEFDETVS LGSFCVASSTAQPSLTKAQQSTLARLADSVVSDIVQCTRIRRQRDRHRMSGLVATFQS KGIDALSQDYIIKVLKDAYPDALISLHPSKALQIHLEGREAISISDIEDGLWEDFEFL DDFITHRNHQDLPCDRVVRLISAEVQETLGPSLLVVASKDVRLVFDDVDSWFVQTCAD TLTQIWKNRLLTEAMLAKETFLRGFSHQLRTPIHGILGSAELLAEELGRSDLRESFRQ ASEALDHSTLGNNSNSTSLCIDTIMTAGRDLTSIINNMITLNKWADIASKDLSSVALD VYRLESELAKETLPMITSDTRYTTSILFNCTPLAASGSFNIDFLLLRDTLLPILVNAI QNSPKGAIMVTISLPPDSQELVIDIEDNGCGVHLSDQQRIFEAYEKVGVYSTGAGLGL TLASKFAALLNGSVSLVSSTIGCGSIFRAKFNATESVPAPRLLPEAETKLGNLPSQFC FEPTIAGATALCKYFTKFLACRGFTPWDKAGDFFTIVEFIPNAERSRERLLQIPQKQV VICLVPAAHIQLSLENESSNVVYARAPFLTSTLIAALEEADRLASAIQVSKDYPGHCE ILSAALAETSDSQSADETNSSLSSTCSTESTTQSSLDSGVHPELTPSPLSGLVSDIAL REKPKERVPLLTPLLTVSKPTALLVDDNKVNLRVMEMYCKKRGLSFFCAMDGLEAIEV FSKQQSLFGSHQGSGIDLILMDLQMPNCDGIDATKQIRLLEEKMGWRKTTIFIITGQD SPKDRVNSENAGADDYYVKPLSMKLLDCGVKAKFPAFDAS PFICI_11461 MRICTLLKLAVALVAIADPCAARNRKPRPWPIGNYCAGYKELAI WTRWQIVIANDTEYNSDCGRGCLDNVRGRCGPVTFWECERNEEGRGHFKFLTNSFCTT DDMMEAIYACTKDEQDTDCTVES PFICI_11462 MRQSRPYGTSARRKRQNAEAQRTYRAKQKERLRVLEQLAVSTLA ASSSDSVAVLNRFLQPATQSCDPEIRQPTSADPGASVSSQTISKNVLEFPIFFSFSRD EINKCLRIMVKEGFGLRHVVKYGLISLGYGVETSLFDQALNISSQRRIELVQLTYGRV DMEQVISCGVRLFAQLPNPPVWPSLGTLKAPCPSPPRTISLSVMTIISAQLLNMPLIG MTPDMLWNEDSESLFFKDGRAAWSARSSLDKDRGQYVIPPDLRPTANQFLVRHHPYID LIPWPTFRANVLAAISQSPPTINEDDLCMDLANDGVRCWGNTGTSLHGRGEGMPWDSR SWEAAPWFLEKWRCLIGGEDDEISRNSAWWRTMQDMP PFICI_11463 MSICHPPPTLTEANLPDQHGKVFIVTGSSSGFGKELAKILYQRH AKVYIAVRSESKARVAIEELRSLLPHSQGELLYLHLDLADLVSVKASALDFISRENRL DVLWNNAGVMLPPEGSKTVQGFELQLGVNALATLLFTLWLRPLLVATAAKSPANSVRV VWVSSMAAKRAPKPPIDFSNMRHERFDEGQQTKYSRSKAGMVLLAAEFGNRVASDGVV SISLEPGIAKTELQRNMPAAQRLLVSVGGYEPIKGAYTELFAGLSPEITLQNQGLWVV PIGRLQPYRKDLLDESLRIKYYEWAELQIRPYMDI PFICI_11464 MKNRRRACEECHRLKIKCDVDTSLGGTCERCTGNGLECVPAAPR LQRDRIRDLEAEVQELKNSLQDQNNGTTLDRTPEGMPESRHHDVLSFLDARISQHAQQ NLLALFKQQAEAVWPVVRLPVDLSQLRAKSPILLLCVLVYTFTREDQGTDIDVHDELV WETMHVLGEEVIGRGQRSLELVKALLVSAFWNKSTRKGQQASCFQLVQLASDIAIDLG IAGYSLQPSAAAYFSRHDDPMSTEARRTWLSCFVALSTSAISMRRRIAIPWDDHHQTC LSYMESSGDPSDILLCQIVQITQLIQEISEHHCLCQLSTFVDGNDYRTHATIETLKNK VDAWAAKMPSDLVFCQTLRVLRHVAMIHIYEVVLHTPTNKASFGAPFIPGRIAIRDFP KPVDIIPPLEKALHVLVQQCHAVIDTVAEMDPALVLSLPTFSFAPTVLYALFVLVNAF VAATDPANTYGQCISTKSFRIEHCVLKLGGLTASLKALDPTTSCYTTHLFEATGWLEG WYNDYMAILKRYETKLRYQ PFICI_11465 MRPSFWSCILMAGSCVAQGDLAALLGSQDDLSTLLELVGLVDGL AETLSSASNITIVAPTNQAFADVPRDIPEGAAIEFRNDTVAIGALLANHVFKGVYPSH VITDTPTFAQTLLDDTYITAEQPFSNFTGGAYNGLVKNGDDVCVLSGEQTISTVTQAD ITLGQGITIHKIDTVLSFGAPFQLFTYRAGYRAMNAAVETAQLNIALGLTGDGVQGAN ISDFTIFVPTDAAFTAIGSVLESADLETVQEVLQYHIIPNNVIFSPSLGNVTVPSLQG TNLTFTVLPDGSAWVNNAKITFPNTILYNGIAHVIDSVLNPEDFDRASLEPAAPASDR LAFPNASPVSSLSFSTTAFAFDTMTYTTTPVLLETVATVATQLSASATATATSTPDGT PSPVATSEGGAFFPAASLALSIAVAIAAFLV PFICI_11466 MVGSTAKVDQLELQQRSGSSSSNSDDSSIFDPGHQLIADGSAKK QSIGLRQKRTWIAIAAVCGLVVIAAVLGGVLGASARRSSKNAGQTTPPDNYTSNPDRA AAVSQAFSQAWAGYYDVAFPSDSLRPVTNGSLNDRNGWGATAIDALSTALIMGNDEVV QQILDFIPRIDFDKVSDVERTVSLFESTIRYIGGLLSAHDLLSGPFSQSYPMNETILT GVLDQAVHLAENLKVAFNTSSGVPIGRLLFEPPRTNDDTQVSLAGAGTLILEWTRLSD KTGNEEYAKLAEKAQSYLLNPSPPEIAEPYPGLLGTYISPENGSFLESSGGWWGSDDS YYEYLLKMYMYDTQRFSNYKDKWTTAADSTIKYLASHPSTRPDLTFLAIYAGTGNLTF TSGHLACFSGGTFILGGLTLDRQDYVDFGIKLAYSCHETYTATATGIGPESFSWKDDG SLSTSAEIAEPPNDQTSFYETSGFWINSGDYQLRPEAIESWYYAYRATGNSIYQDWAW DAFESIMAACSAGSGLSAISDVNAANGGQLLNSQESFMFAETFKYLYMIQAEEAPWQV SASRDNEFVYNTEAHPFRIAGNSV PFICI_11467 MAANLATSWYQWKVYAEETNLTEPYVFHMVNARGTTYEQTQGGF WSTSFYILRNETSSSSSSSSPSTSASISSTIASSSSLSSSPTTQLLPTTSLSRSSTAA IESTSISPMPTDAAPIDLETDHGGLSTGAIAGVAVAGVVVTILLALVGFCYGKRRVRR PQPVVPEPRIDTVEVAPAYWEYHPPPQEMHGAPDAVQEQKAVYELPPGRN PFICI_11468 MLHSPQDPAITSDTSPIHDSATINLSISNLRPHESLLRWVVDSY AHRYLLVGVMDHAATDVPSWLESRDENTGVLYTSPVDTINGSFTFPRQWPLNFRETSL INITWTMKYEGVNLYYYQRGKVAVSTQIASK PFICI_11469 MLGQKGMRRRGFRLMIVSFILTISFMLYLNKFQYSIASFSSSSI IAHGPSPICPKLFMSDNVAVVLRTGATESQEKLPVHFDTVMSCVSDFIVYSDYNETIQ GCQTHDVLSDVDDTIKDTATEFALYKHLHTHGREGLSYKTMYGSGPSGAEENAGWKLD KWKFLPMVDRALQDRPNATWFVFIEGDTYMLWQNMLAYLAKFDAAEPHYLGKHMYINN ILFGHGGSGFVLSRRAAEKVSHHWRQHLNEYNQLTQKEWAGDAILGKALKDAGVDMFW AFPHLQGDSLTTIDWNVTKLEREPWCYAPTTFHHMNEAEFRQLWHFERQWLAKWGPGG GPAPRFRDIFKGLVLPKLRPEIKDWDNLSSGREYSDAVLANWSDEQKTSLHQSEREAH FSFQHCRSACESKPTCLQFSFNNGVCFTSDELKLGHAVDSSCLEYSNAAGKCTKSSKA KVDATAPGKAGIASGWIMSRVAKYVSDLDQSCQNKGEKDWVI PFICI_11470 MDLFNSPEFSSHVESLLEEHHVPGIAIALVQDEHVASKGYGYAL LEPRKPCTADTLFDIASCSKSLTAASVALLVESDEYPDVKWDAKMSDLLPDDFVLSEE SYTKDVTVEDVLSHRTGVPRHDWSYFGIRAAQPPNTRSIVRSLRHLPVAVPIRSKQIY NNMMYTAAVHLVEQKSGLSFSDYLHKHFFEPLGMGSTSLQPSRARDRGFGDRITAGHA WKKDEETYQSFQTPECPEAEGAGSIITSANDYIKWVKAMINHEGPITEDVINGIIKPR IINDMDDDDLDPFTDPGSYAVGWDIFYYRGHKVVTHNGGVPGFGTRHTFLPDHKFGCA VFGNSGSGGTIADIVVKELIDEILKVPKGDRVDWNKREHDNEKKWQSGEQERLEKLRR ELCPGIKESQPQTQPLGAYTGDYHNAGYHGMTVEVKDGKLFIDASDRSMGFFVTFEHV CDQTKYLMHMKDYLDGEVFSKKAEFVLENGKATRMGLLLEDSLDDYIWFERP PFICI_11471 MADIPSSEGHIPFDIPGKSDTPGSTYYKVFGDLTSGETPLVMLH GGPGGAHEYLLPFAKLWPQYGIPVIFYDQVGCGRSTHLRDMAGNKEFWQESLFVAELN NLVDHFKLHDGPGFHLLGQSWGGILAVAFAATRPRGLQRLVLASALASIELSIKSIHL CKEALPADVQKVIDEGRAKGETDTPAYKEASGVFTKTFVSRAPTMAPELMAAFKTMSE DTTVYDTMYGPSLFDCIGTLVGWTGISRLPQITADTLVYNGEFDTSHDIAQEPFFELI PRVRWITFPNGSHFCHLDEGGLRERVLKVVGRFLTHHRVDKKATA PFICI_11472 MAKGLTLFALASCLLSLSCAQTYESVIPPEEAEALSQIVEDDPN DVFRIQKDGESPEYPLIYGVPLPIPPVKQPKKIITNPVTGQDIWYYEVEIKPFEQQVY PNLSPARLVGYDGISPGPTFVIPRGTESVVRFINQAERENSVHLHGSPSRAPFDGWAE DVTFPGQYKDYYYPNFESARLLWYHDHALGITAENAYFGQAGAYIVTDEAEDVLGLPS GYGEFDIPLILSAKFYNSDGTLQTTVNERESTWGDVIHVNGQPWPFLNVEPRKYRFRF LNSAISRSFALYFATSANLGARLPFQVIASDAGLLEHPVSVDELYISIAERYEIVFDF SSFAGQSIEMRNEEQAGGIGVDDDYENTDKVMRFVVGSTRVDDPSTVPAVLRDVPFPP STTGIDHHFRFHRSNGEWLINGVGFEDVENRVLAKVPRGTVEIWELENSSGGWSHPIH VHLVDFRVLQRTGSDRGVEPYEAEGLKDVVWLGRGETVLVEAHYHPWNGVYMFHCHNL IHEDHDMMAAFNVTQLQNFGYNETTDFGDPMDPRWRAVDYNAADLSVRGGVFTDDAIV DKVQRLALEQPYSERIQVEEALTEYWTANGAGNPNSPVKRDAGVPIPRYRRTQHHHSP AVPVVAAA PFICI_11473 MLKSPSLLWAFLGAQVLNMIPFIAAQDAPLFIQGGLEAAVANDD SYNTGGSISINGFDMVVPKNMLVQFPAAYVPWKDFASEVDSLLGYEVNVIGNFVNNVP TAGQIIAYEFFEGLSSGFVESIDFEDGSMKIRGGPTLRISDPNAVFSVGYADAPFFTA DDESPSISSFSGFPMCIPRNATDPLCPSTNRPFQGSGTFAAPDPLSMAPFLPGDFITW QGIRRGNEMICFSIVAQNVQITTTVDLVYVRMELALLGIDNFNTNTELRESRFIGFVS NARATANLYAMDYDPCTGNVTDRLIASVALRNGRNEQNRFEYRADITTGYARDYRAIA QIDGVPKTRLTKQGLLAGAYVQPVNVWVQAEQLIPGTAPPGNDFSQMPWLVRGVGVDE LGNVWGPLDPFPQSGVLIDPIVCE PFICI_11474 MAAQEKNGPQLEGDMSFIQTPPAQPSKFKSTDCGVATTNASIAA AIPNAPLPAEGSGNDSFPLMTLGGLLVGVPISLAWFLGGGFWTSLFFFVVLFVPILVS VWYVTSRNSPRINTKAKLPGRPVEEYLTFKKNEDRARYNTASSKIPMEDFIEKYLDGE VDFNGDALDILEYRHDWASWAFTWNLFKFIFAGFGKSVLFHTREQDEEQIKPTYDAGN DHYSYFLGPRMVYTSGIISDPSKEETLEEMQDNKMSVVCEKLDLKEGETLLDIGCGWG SLARFAAVNYGANVTGVTISPNQAAWGNDGLRRSGVPESRGRILCSDYRDIPEQKFNK ISQIEMGEHVGIKKLTGFFRQCSDMLADDGAMYVQLSGLRRAWQYEDLIWGLYLNKFI FPGADASTPLWYYVSCLESAGFEVKSIDTVGVHYSGTLWRWYRNWVGNAETVRAKFGE HWYRIWELFLAWSTIASRQGSATCFQFVVVKNLNATHRIDGVLSQHGIRGALAAAQAK GRSFFPKI PFICI_11475 MQGDSRRVPTYQNFPGGRSFTDLLDVFPPHLVFRRRMMVSSEDR YEYSSWADHLRKAETLWKMDNRADHNDWALVYTELEQLFNLNPGDEAGQKLFKLWYKS TIAREISLSSLPPMHVAAPLGLCGWAEHLLKKRQADPNESVELFHIVFEGPMAFYPLL VAKNMPMLRLLLENKADINVGKESALPIFLSLMFQDSSLESLRLMLHHGADPTVSSFH GFDAFHLFAAVGDDPEALQLLLDHSPPDHKLGINAAATDESVQNFTPLHILFARKDGN TPINLLRAFVEFGADVNAEDSQSMRPLQKASASGDLEVLKPLCENHKISEIDDGGSGG RTALHTAAGNNHADCVQFLLSLGANATIADANGSTALHVATSESQVDAVV PFICI_11476 MAEIDNDRASLVIDLQHSDTGMTALQRTAESGQDSCIRLLLENG EDFTLLDNSGRTALFIAQQEWSRGAIQHSASHILELVIERDLESAICDDDLKATCAMH DNVDLLERLKQHGADFGGRDSHGWTSLELARAFQQTEVVRFLERQDA PFICI_11477 MSRSLVIIPLLATVAVADLTNMVSGWFETSMTELDKDIRDPVID AKSNPTVTRSVKFGMLEEYWSSLPEDSVVRNSEWTWRVNISDVATPKVEDNDLADPHV VSTTYDFTWPYGDDLSEALDGANSSFCMSVVESLDLPVSVLNGYTEDDANSTSCVPAL GQDCVAAILQRGAISAAGNGSYCSSPAEPWNLLSACKDSLGDAADWGMDGTGYALGGL DASSNETSRPWTNGKGFKYDILRDLNGSLSDDYLLAVNKLHILMFNAQIPTGNGNEYI GGPELHCMRVNTTQLEERDADGDGVAMASENERSLGTSLSGTTLSFSIGFAIVTFAVL KVL PFICI_11478 MKSVFLFSTLLLLAVASAAPEPAPIPQLSGGTITCNIRSTCGSG PVPYRQTAYVNGQILGYYAPGTRLSLSCYKITEPGGCGDSNIWYKEGSRGGYVPSACF EGCTGAPPTIY PFICI_11479 MSTPSETTPTKKPGRFDPPVWRFNEDPMPNVSQTGGCHCGAVRF RVQHPVLTKQPGFHFPVKMCNCSICAHNGYLLIYPERYELEWISGEEVLVDYRFATEK KAHRFCGRCGSSICMDPQGSWSSWAGDVVGLNRLTQVRMLDEWDIADLNFHEKDGKNF IPK PFICI_11480 MSRIFFSLLALAASAVAGDYGQISGWRESALDQLNPKARDAIIQ ANHNPTATRSVAFKPFEKYWGSLAENSKLRDSEWMWRINISDVSIPDADLEDVDEPHV ASAIYDFQFTGTNLSEALDGADGTFCMATLTSIDLPVEIINKYTDDDDSTDCEPILGK SCIDSLLLAGNGATTISDDGNSCIPPRYFWSELLECSDSIGKATVFSTLYGDYPLSGV NRQNNATSSPLVSGQGFFVNVSEPLNGSLSDGYLQSVNRLHVLMINTRIPTDYGFVGG PELHCMRVNATELPENDADGDGDAMTSENIRNVGTSITDPFIGCVMGVFLTLVVCLGV L PFICI_11481 MADNPMHVFGDSIGSWVQNEGTSAILHNVTQLTELGIQSSLVAQ FPHLTEVDELILEGSFANFGGDDFSPVLPNLVQVNGDAIFKRKFSPIKVGDLEVGGNL TIQDNGAGNWDTASVGGSSDGSLSEDYSTYEWNIHHVEGHFIVSNVSNVAISMPNLTN VNAQLTIENCVNSTFSFASTTSIPVLLMQNNPGSLLPGNFRTLQFADTVVLNGNIDMS SNGNIFPSLRLVQGQVTIEAWNADFNCSKLVQQQRDGLIGHLTCNGTDSGNSTTTTSV QPVPSDQGSSLSLGAWVGIGVGIGVLALALIFSFSWTLSHRRRRNMERKINMNAEAAP SPDVVMAHNGHDLPPRILEFEGDTSFRPAEMDGRRSPVEMDATPRPVEKPA PFICI_11482 MTVPFRYTPLKPGEIRLLHHSIRDGIVVWALKTVQIQEQGPNAS VEFDALSYTWGDLGHTFPFRCNDSELRIHGNLKNALPYLARRRSSLPLWIDAVCINQS DGEEKFVQINMMHSIYRQATQVWVWLGCDPEHGDEAISLLPKVSAVAREVMSQAWEFD QASPVDKGLPAFSSPQWGAVTKIINNNYFSRLWIVQEAALARHIRVLYGDGEIPWDVL DDAVRNGPDLRHRLRDANGFKPLPLPGGTEVVFDIRKYTQSLANPQEVPFALMRLLVS TTRNHHCYDARDRVFGILGFFSGQDLQRVGIGRDMDAWELYTKLTRFLLANVNPSAMN WWYLLTLAWSSEKKRAGLPSWCPDYHNLGDSPTHPQELLLPLERHIASTTAKHHYDAS RGKRVPPLPENPRAISVCGKIFDTVETLLPELVDVIKWKDQLTSVEEGKQRFLRFHAW EKSLAKAVLGDQVDNLPDDPTTMCTKEDEGRVRFTLDGYWRSLVGDMTERVRYTLTYE TYRTFKVSLARWAELMEKLDVDFDSLILLHECAFAGPLDPAIIEADKEAMGLIGPNTP CIKYMSDSAFFLMGKRPFMTSMGRFGFGPLSLQEGDKVNPEGANYQIIGQAYVHDMMH GEVENLGIEEQDILLV PFICI_11483 MARPPVIGHWADPDFDPQMQSPLFNILPSEIRDFIFRYALQEYC SAEVQAKLPNLAVRHDHEPVEEEEETPSVDIAVGPSINAARRVARRAPYGYDWLRPDN VEPMTVTTALLRTCRRVYIEAYELPAWQREHRFYMMRGDYGAKAVCSIDTLRTHFEQH LAAGIYWRLSPTAVEDNDEQVRLGEEAPQQQHPRQKFIRRGLIRRVRLFTQLFWLEDS NSPLHFWRLVTETPWLGRVEHLRITIRRGDWWNWESKQSLSINPFRGTVSTRVMSEDI ERAAARAAAGDNDINPDFSPRAWGLAFQHLRCLETLTIDFETAEDQRQELDRIVEWAV GWKLPLAPGLFGRPRFLSAQGRPVEKMSWRGQAWHSQPGLFGRPSTAVGRPPRLYVST VTWVHCEDRDWRRDDNVQGTAV PFICI_11484 MNDEVRDGALLHSYSNEVPHHQHQESAIDAIQMTGQGSTQSAGS WETNHGYRSTTPDRCDARGLAPPTNAPVAPFHLPPVESHDQSRDSDSAKGSSAASGNM GDIHKYPKMYQSAESGSSTWRTGSTSTVKVSHRPALPLKESLGVVGLLSIFGGSLGAL GVLGFLIFLWFGYGTEPEGKTATDLWRILALHNWMTQTITLCSLALRVLVSTQSIVCT SLVAALILEKRSVRKPDAAFFSVFRGVNDGPRKLVQLMLSHRPTAVLLYPEFWLLSLL SLIALSLQFSSTILLSDLHDFTIVGGINSTNVQSLFYVPEGHGPQWAMNFVDLLESTP VLSLFGERRINSSVPPDNNGFSDTGLVQQGLLPFADSNTRTSVRDYRGTGMVLNSRVS CMPPVMEVKYLLDEQLDNPVAPALAWLTGTLDAAASLRNSGQDISNCSAGTCETIGFR CNVPIVRPDFPIANYPQSSICIVSSGNSAPNLDSSMRWDSSSMPWSWGVPLYMAIVSN ANVEDWPADNESHPITDGHRDGEWLSFTIAPDRYVNATLCFPALSTERYVVHLEAETA LKEPVIPWNLTTLQSDTSEVENFLDVKSGNLSHMKRGIFDMDLHQEPDSGPALDVFPP ADPNSTMTIGMLASRIPETLVYWNMGYAIGSNQTIPLCLGCYLAPVPIHYEFVALMGN IITSSGRAAYAVQSLIYMLTTGAYIESLKLNYPAEPVQLATTTVVRTPGPCSQYTCGG FISVATLIVVYLICVAAITTLYVGQVRYSRCGNIWHTISQLLSDDLYTLIANGNNAMD RAIAKDNCYKMKENLVGVEASEMDGGRVKFVNRNS PFICI_11485 MDDPNAPTSVTSLSLFEYDFDTVSPDAEVLRRTETTRTLYGQAA FFSLVNRLNIPVLNNSSNSSGGQDHVFVGTGASYGVTRPLIRHDHASINNSKGKELEK DLLSRKFVTKKIVPILSKSVSDAQQLAAITNEVRILGNRTVKQTKCLVQLLCVAWDEL PRGGRYWPRLLLEAADHGNLAAFMAQSADIADWKVKLDLNLDILSGLAVLHNHRIAHC DLKVENVLIFQEQDTTKVDKSKYVAKLCDFGFSVIMNDYDNDAMFSARLGTEPWTAPE LTFGTKVKVDDLPQADIFSFGLLFSRIYMHGGDPFESLILDKTREMKRDEDAMMRLVD FLKTKIFERVAYTESQQLLINKTLLVTLRYKPEHRFPIRLIGPQLILLGVLFTDHPTA EADNSEPEETHSEKPLAASPQLNPPGGLWWNMVNIASQGFSIIMKPLNVLRGAVKSGL LSVLRLVLKRMGLLKDPFEDLWKPKYMEVQPISRVAGLLDTQRNVASESDNRDFLSAL PDFEYTTKYAHFTLPRGVAKELVEDLNCKVQESSTSVSAEASFQLAIAHFEGIGTSRN VEQGLQWLKTAHFKQSAKGISSLEPVFSSLGFRVPHDIDAITRSKLPDLASNELLVSL SDVHGNKISQPQTFTALRQWIRSNPREYNEYLCSSIYGSLKITCLGIYILAIQSSLEG QTKSEEDRFDSDSLEGYNPHEKFQLSQKASFIESVRKLKCVQKADLFSVTLLQRAAAM GDLELAKTLVLDLGAEVDYVGTTPSYTPLWISCASGNIDVASFLFEHGADATHRDTKT GRTILHFLNQCRDTADLVRLFEISSKGGLVDLEIRDASGNTPLLSTFCGWDFSNGDAA RYLVGLKATAVVKSKDLWTPMTAAAAAFNVDLIREIYQNHDSSLLSGAASLTRPDQSL GEAKVEAFQQICGMNDFLRRRIGGATAMSKLTELVNLLMDPEMLEHFSLSEFSQGTNP LISTCYQGHVDMVSAVLDATNSPDLNEVDDQNGMSALHWAAERGRVEVAFLLLRRGAD PMIQDRVEGLTVFHRAARFFPDLLLKLINAIEDGSLPCPEGMDIYTILNTKSHDDHTV FMTALIEGAKEHLKFAEHIRTRYNLDYDMDLISHEGKMVNRMETTMTFTAYMVENAIM SNLFTLEQMEYLIEMNPRPRFIADSLGRTLLHYAVMGTQHDSMGSNPTGYATLRLLLR TFPGKEYLEIADNTGSSTLHYAAFCSNAVAIEIIRDHFQSIGKELNPNLINKNGSTPL DGLGLQLKRFRFEESELRTITAGFRRNTARSYEYMRNLGAYLSSEQIGIPLWIVTRRT AELPREALIEFFITVQMSMGLTWELVEDDSEKPRDAMSDSIYVMELCWCFDRFILRAK EVMPHTIAAYIQERLAQRSRRTDNSGPWEETTYEETIYDHTVKWTRSGRSWNNDEIST LVQELLVRWMVLIEEEEGLLGVVQVNLGS PFICI_11486 MSGLEIPAFIVGLSGLISVVEKPLTIWKSLAEAKAFGADMTGIV AQLSMEYYRFFAWCRVSGALQENTGDANPNLPSDAELSFNNNASLSLEAPIESAIARI IDILTEVTEISNKYRDSDTSSVNPTTRKPNTSVAHALSTVLPLVGAKHNSGLVSKLVQ QRQAANVLQEKTSFRLRFTFGSKPWGESDKVALNEKVQKLCYWNDRLERIIPGTIRST LSSQALPAHMLADENQKILDTLIEASQNDKVSVRTHGKLWQERLKFEKGVSINASDWE KYRRTNSSIDEIEGFGKSTCSVTLKLFKDGQKPPYICVVEWYSYGTLDWTNDDVQIAT SRIAGLVHMSSQPEIPQSLRILKGLCFVETSDAVGLVCKIPDAANHSKQPVSLSSLMR KETQVATGFKRPSLERRLQLAQDLASAIYSFGLIRWFHKDFNSQNVVFFRDKAEPAAI LFDSPYIAGFSIARPDTAAQKSLDVNREAQAIYMHPDLRRREPNDRPRYHRKYEMYSL GLVLCEIAMWATIDRMASSMLEPEAFKSKITKICEEDMGFFVPRRYCDLVVRCLKCAD DADEAASSLDTLYWSVVLELAKCRY PFICI_11487 MEIHDDNKRVIPNLSLIRTHVFDKVGPMDTTHNISMVRVNLEDG ADTATLTATSLAQHAPPGKGRYPTGPKYTVGGEYSVDLVKDEAGVWKITKLALNVVWT LGDASLMGGPPK PFICI_11488 MSAAVGPFAVDSGMLAITAASATSQDQTSATVRIRNTNTNKIIH AKFAVVDGEAQAHGDFAIDGVAGSSAPVELRFIDPAGSRTGKLFPTGNLVDVIDSVPV TCIDAGNGRCFVGAAALGVDGSISPQQIDDHPTLKDQLESIRRQAGVRMGLASREDEV PGSVPKISVVSAPTGTSLGLANVVVRAMSVGQPHKAVPVTVALALTAAAKTDGTMVSA CSVLSERDNRETVIAHASGTLKVAAVFDTEGKLSFATVFRTARRLMEGRVFWKGV PFICI_11489 MTMSMKLEPVSAPLPGVPTKRQTPWSNLAVGAMIQIFQSSSLGQ PFEVLKTHVAAHRSDTLREAIQKTWARGGIAGFYQGLIPWAWIEASTKGSTLILASAE AEYYSKTYLNASPGAGGVIGGVAGGAAQAYGSMGSTTCMKTIEVTRSKNVQLGVLDRS TTAIFADILKTQGIRGIYRGVNAVALRQITGWSSRMGISRFSEEKIRALRGKKKGQKT SFAEKILASSIGGALSCWNQPFEVLRVEMQSMTKDPKRPANMTMLSTAKYITQTSGPL GLFRGIVPRIAVGVWSTICMVGFGDMAKEAMASLNR PFICI_11490 MSENLLVVRSRLHRPLTYAEKVLYSHLDDPYNQDIERGTSYLRL RPDRVAFQDASAQMALLQFMSSGLESTAKPVTIHCDHLIQASEGGTQDLSRAHAENKE IYDFLSSACSKYGIGYWKPGSGIIHQILLENYAFPGGLLVGSDSHTTNAGGLGMGAVG VGGADGVEIMADLPWELKAPRMIGVRLIGELSGWTSPKDVILKVAGILTSKGGTGSII EYCGPGVESISATGMATICNMGAEIGATTSIFPFTNRMYDYLAATQRQFVGEFARAYA SKLRADEGAEYDQMIEINLSELEPHINGPFTPDLATPISKFAQAVETNEWPDKLHVGL IGSCTNSSYEDMSRAASIARDALDHGIKAKAAFTITPGSEQIRSTMLRDGQLQTFEEF GGTILANACGPCIGQWRRQDTKDGEPNSIISSYNRNFSGRNDGNHATHSFVASPDVVV AMAIAGTLSFNPLIDKLTDKDGDEFVLRPPTDTNGLPDSGFELVHDVYQGPPVDRRSV EIRISPGSERLQALTPFDAWDKRDAKGLPILIKTQGKTTTDHISAAGPWLKYRGHLDN ISNNLLIGATSAANGMVNRVFNRFTGAWDGVPEVARDYKARGIRWVVVGDWNYGEGSS REHAALEPRHLGGFAVVARSFARIHEVNLKKQGILPLTFADPSDYDRVKPDDLIDIGC THLEVGKPIPMTVHPSNGEAFIINLSHTFNDLQIEWFKDGSMLNTLAKHFTART PFICI_11491 MPYFPTSTLSPLRPGSWPASPGRPTTPQLDEDEFTDEDDLDMPL LQRDPLRYFLTPATPEDEDLEFQFDFDAGIEDANKPQEIIRSVSPSTLDGLKSYKAKG KGDKKNNNSQHDCAILDSDSDDDEDYVRFRPSKSLPLGLPDLGIERPRSAGASPGSGS SLESMLSPDSFHVGSLRGRPSKRFAPPPSRRTFGHTRSRSVPLLRRHSWREPSPDVWS IEEEPEKETLSERGLSTEDLEAYFQEHKTAPMQIPTVAGKPIKKVRFVLPGRESYH PFICI_11492 MQLTYTWAALLGLTSLVASAPAERGLKRTSRAQKREPLQIVQEV QQTDIIIVNQNLDQLAAQQQIIEQEFAALVQAEVALVTQLETIKNNIRVNHFKARFNQ VNTVIVTVQTLVDQRSKDASNRYLVKQLLADNGKPESQQVIMVSEAATMTIQAAAQTL DGASILSSPTGTPQIAGFDASVPFGQLNQSVILPAGAQAPKISQVFADPAAIILPNQN SLFVEDSGAFLSDCVQSSGFFQLAGAAIYQSFAQLAAAQAGAIIIIDNSNNGGNNDNK NNDNNNNNNDKNNDNKNNDNKDGKDKGGDAAKASSAATETAAASATSAATEVAAPPAA TPAPEAAPVATAPAEAAPVEATPAEAAPVEATPAVATAPVEAAPAVATTPAAATPAAP AQGGFVTIVPPAPAA PFICI_11493 METMELDNDTRGWIMALVSGIACVLGASVICVDWLVRLLPGKKN FRVQDSDAFLASSLSLSFGVMIFMSLYSMLPEAKSYLKKGGFDEQPAGFLLIGSFVGG FLGIQIISRLCHRFLPSHVVDCDHTHKEQAEDDHSHHNGDAHRHHSHGGSFHRHRQPS HQHVHEENGANGHATESTPLLGGDLMHDDDHDTEQSKAVTEVNDNSEESATSKPARPR EATVNRRPSMVQLITKRVTSFAADSKANCDANGPCHGFSDPCGQECFKAVNSRSSSNL SRPPTFMRTTTETAAQANMQTLREEPNELLAPHQTWAPQRRTSRARSRGPSRDWSNNR VPQDDCVSEDSVDLEAQHHHHVPENAYLSIGLQTSIAIALHKFPEGFITYATNHANPS LGFNVFLALFVHNISEGFAMALPLYMALNSRLKAMGWSFLLGGLSQPLGAGIAVLWFK IAKHTNMTPDNVAYGCLFAVTAGIMASVALQLFVESLSLNHNRNMSILFAFLGMALLG LSTAFTSHAH PFICI_11494 MSKTTFAIIAAASAGAGAAITASMYSLRPERKLETNTISTTTTT ISTGASAPIPIPGKQAFAQPGQPGGLSTSAPATLLGGAPVDPSGVFEYGFPGPVSDIA TRAALVSSFDRRTRNPHWVVEHITPASLAQRDGDRKHSAFVEDEGVPDKFRAKLKDYF RSGYDRGHQVPAADCKWSQAAMDDTFYLTNMCPQVGEGFNRDYWAHFEDFCRRLTVRY PSVRIVTGPLYLPKKDPVDNKWYVRYEMIGNPPNVAVPTHFYKVIFAEAAPGTAAGPV AIGAFVLPNAPIPNEKPITDFEVPVEAVERASGLEFASKLPVARRKRLCADTTCALVI KDYAERQKAFNKSTAAPLPAPKR PFICI_11495 MAYEPRGGDHGPDGIGFVRARGRRPVTDYGATMVHWIRDRRPRY QGSFKGEAERPSASYIVDMLPPLARVTSPADSVPAKHLHSSLNKIKHPVNVVRWTPEG RRLLTASTSGEFTLWNGTGFNFETIMQAHDVAIRAMAYSHSDDWLISADHDGTIKYWQ PNFNNVKAIQVSHDPIRDLAFSPNDSKFVTASDDSKVRIFDFTGGVEERELKGHGWDA KSCDWHPTMGLIVSGSKDHLVKLWDPRAPGRCLRTLHGHKNTITKTLFERVRGNCLAT SARDQTARVFDLRMMKDVCLLKGHEKDISTLAWHPIHPNLLSTGGSEGSLFHYLLDEP NTPAGQPISVSPYESADPSSAPAQTLYPAHKIPFAHDYAIWSLDWHPLGHILASGSND RITRFWSRARPGDAEVFHDRYHIGEAAAEAQGTWHRRDARRQRQEEEEQELEDEAEGL VDQKMPAKSQQPAGLPGLPGLPGLSLPANGVPPPLPQIPGVGASGVVPPPLPFPIPPN LNGAAPPPPIPGMDPNDPEAFARITEMMQKAGVPLPPPPGMLPPGMVPPPNFQFPPGF PPPPMPPNGADGSAFDAGGRRRAPLPSQEESLKAEQKRGNYTRIR PFICI_11496 MESATSTSVETATSPISSRRREVSGTQQQQQNANEPTRTGRANG APTFVRRYRHVAAIHSKTQPSTLSHDAAAAPSFLGFRNLMVITLVAGNLRLVIENLQR YGNLICLNCHGFRKHDVLLGLGLYFVIPCFLWVAHSIELFAAQQAKASRALAVRRGGS ASPTEDQAESFRAMWIRVRWLHGLNATLALSLTSWVVYSYIHHPLIGTATEVHAIIVW LKTASYALTNRDLRHAYLHPVKGELAALPEIYSKCPYPENITMSNLAYFWWAPTLVYQ PVYPRTEKIRWRFVFKRIGEAVCLSVFIWFCSAQYAAPVLQNSLNSMSTLRVASIVER LLKLSTISLVIWLAGFFALFQSTLNALAEITRFGDRNFYDDWWNATSLRDYWNMWNKP VHAFMRRHVYSPMMGRGYGQTRSFLAVFFVSAVLHEMAVGVPTHNIIGVAFLGMMMQL PLIVMTDLVKKFVGEPRGKIVGNCTFWITFTILGQPFAALIYYYAWQAKFGSVSKIKA PFICI_11497 MARIRRLLPFSPSATPLQATTYLLGISLFSISFLVFLNSAVSFL ITDLVDIKTRVGDIVGTLGFVDEVVALVACPAWGLVSDRLGVRWVAVLGYTVIGLSLV CFVQVPQGWAGVRALVAVRILFALGATAAATMVTAILPNLTDDGGLEEEDTGDDGAQQ HRRRARKPNQRHSVAMSFESDATITPQSYSRGLSADGSSSSSRRRGAGTHDENQSSTN EDGRGRKPSALAGFVGLFTGCGALVALALFLPLPTRFSKREGVTPAQAITYSFYVVAL VALGVALFVFIGLKDLKGEEGKGWRMLLGLKRKREAGAIASDDETSPAAAAGHRPLAY RHLLMSSLRLGLTDPHIAVGYLGGFVARASTVAISLFIPLYINTFFISNGFCQGSPND PSPELKKECRTAYILSSILSGVAQLIALMCAPLFGYLSARKWRGINLPILIATLCGVV GYIAFPRLPSPEYRDIDGRGGGGVVFLVVALLGISQIGAIVCSLGSLGRGVLSADLPV AVSPTSSGRDGGEGEGEGEGEGEGENAPLLENGNGHEITRQEDEKLVSRVQLKGSVAG VYSWCGGAAILLLTKLGGYLFDVASTGAPFYMMAAFNALLLVAVLAIDLGNGIRGTRS PFICI_11498 MSIDHKDPSKENTPADSKASTPDHEQSPSTAPEAQPAKRKGGRK PIYATSEERKQRNRQAQAAFRERRTEYIKQLEETIRVHESNLSNLQAAHRSAADECLM LRYKNSLLERILLEKGIDVQAELQAKTGSPNLGPTHMPQNMVQPPPIQRAILNRHHSR RSASSIAPKLEPGAGSLPQPMHGMQSVASPSSRPTPPSHAASPTGATPGFGGSAVSPK TNEPPNMRPSMPAPMRQLPPMQGPVAAAAARQQMMQHGNGVGARPGGFYSAPNFQNHI KQLGKLTQQEYDAPNDMIDDVEPDNSGPGPYPSQFNGNQPPQHQQQQQQQHQHPAQQQ QHQQQQPQHGMSLPPAASGISAQQNATDGHDSTQTTGQGYPSMTALLDPALDWDPFGL SASMAFPSQFSFDTSSMR PFICI_11499 MSVASKNLFDLLGNDIEDGEANKGPVKTVDKIAPRTTKRDVEPV APSKPASANTGRRGPGGNEGAFRDRNAGHSANQRRTTDEAPRGGSRGGRGARQRGGRG GGYPRDRDDRHTKGLASGSEKQAAQSWGAQEGAAEQKDEQAGEEIAQTELKEAIAEDG EGIENPAEPENKNISYEDYLAQQAEKKLALGGSLNVRKANEGSKTDKKWSAAKELVKD ESEDFIAASAGKTKRERERKQKAVVDIDHSFVEQDRPRGGARGGRGGPRGGRGEGRGR GEGRGRGAPRGGRSENPAVNPSDKNAFPSLGA PFICI_11500 MKFETRTTQQAASATSSSHPRQPPRPSLNRENASLESCTSPAPN YPHKTRARAGNIIIACPCRDATVSRTMNSSSSSSKNNDDQEDTNVVVTCSTAAGYMAA AAAATAAAATQEQVSEGSKQLVDDDNDEKGHDADDEWADESFDSDAVPIRLGSRLRAG SWRGDNFVRP PFICI_11501 MKRKTHDDDEEFGLPKRSRRLAAGTSTNVQLANDAYPDFDDGDD ARDSDFSDHDDDLNSASTPDTIATTVTPTSAPSPRKKFPSDLKTIRCTWPGCEKAFNR PARLTAHLRSHTNERPFKCPHDGCDKSYLEEKHLKQHLGGSHSTERKHTCQEPGCGKS FLTSTRLRRHQLVHEGQERFRCRDFPPCNQSFRKHQTLQRHIRAEHLKMPAFQCKHEG CDAGFDTASSLRRHVEKEHADIKFWCEECNKGLKDGASDRVGFSTMDQLQHHMKKAHI SCTFCDQIFPTRDAMETHTELEHASNLQEVRKSVVCTREGCGKVFSKQSNLQAHIRSF HDGVRYVCGQFDVSSAKDLSSWPLSDGCGGGFATKASLEKHIRHVHMDIPRPLPPRAE MPIRQDMQMPRDMLGQLTSTSETARRTISCSWLGCSLKFAQQAEMQSHLHTHFDINMS DTLEQDFSMSSIPDPNLMGFEIPSPFTSNPVTPGLDEHWGYSHPATPHGQNYFPEAEE WRHDEAEMRQLIGPNDLGGLLDPALRQI PFICI_11502 MSDYGGDDDGRDDDVGGDEPMFEDEEDVVDDFDDEVPEEDEYGK TRAADEEENNVVVSGDPSAQANRAKAGEKSHKDKKIPNEERSTTPYMTKYEKARILGT RALQISMNAPVLVDLEGETDPLQIAIKELREKKIPLIVRRYMPDGYYEDWTCEELLQ PFICI_11503 MPFETKATIASCGGKLLKLSHESKSTKTPMDVNLYLPPAAKTQK VPLLIYLSGLTCTPQNCTEKGFFQQAAAKAGIAVLYPDTSPRGANLPGETDAWDFGAG AGFYINATQEPWSGHYHMETYVRDELPEAIFAEFGAQLDSSRVSITGHSMGGHGALTL FLKNPGKYKSVSAFAPISNPTQCPWGEKAFSGYLGTADREGWKAHDATELISGASWKG HEIPLLVDVGTGDNFYKQGQLLPENLEKAARDNGITGLTVRYQDDYDHSYFFISSFAE DHVKHAAKYLL PFICI_11504 MASVSGVGRPFMSRTNTNQSIQQNGHAAPANPRASLSSFPPSRS PSQMSHHSRQPSRSPQPPVHSDLDRISDPSSKSNKTSSIGSRTVASSQHSSLSAPPKR TNPEAHPPRKLRPQYPRGSTANHVEYILVASFDIDRGPVMEHQYPVAITGDEHMLAEL MLPDQAHARNQDWTIFFLHKDSTQEEEEEEANKKKENKERRKRRRDRAAGLIDENDES SADEEIDDDDEDDMNDDDETSDEEPEGGEGPPLVYVLNLVNTKHDKSVKRGAIVKAMA ICTRHPFLHIYKPLLLLALEEYFKSPVPETLSMLYDAVNNMDLSLMPKLSLLERNLLL ASDNKDLFVEKFGQMIKMRMAEDREGSVTEPLDASMSPPKLHGISRAGTKAHLEGSSG YALPRDTHEFESKVLYKGIPIPVKVPTAVMPETVGDFSIIKLIQTFSEPHAKAPQPFP VHPHLTTNGPSTHPIIVLANALLTQKRIIFLGHNMPSGDVAEAVLAACALASGGVLRG FTRFAFPYTDLTKIDDLLNVPGFIAGVTNPTFELHPEWWDILCDIPSGRIKISSKIEP AATTEGLAYFQQQHPAHANLVSGSSSSTDLTGDVVFMNDILKGIAARLGERVIRAKLR DWVVKFTRIAAAFEESVYGASALYIGGDDADTSNIGISGHGYVWADDNAKQRELAGNV SRIEGWRNTRSYYSFIQDLAQIYTIRPLKGLDLHHMHDRLRTQKLNPAQSREIYLAFS KHVFSYDEICLLLTVAPESHAGLFYLALGLFHKDKDVRVKTADLLEKISEHEAGQHWW KGLSRFEKLAYHRIKRDAEAEMRSKLEKDGFHLELSRRVS PFICI_11505 MSAAYKPSPLGYGSPRSSPFRRPESPAASSPLRQSTPPGTPSRI GHLRSPSRLGSDSTPVKAAPTSPDFTRSRPLPQPENMASPRLGSPVSIRSQAPAAATG GNALSQLQPQQLRVLRDGFQILDRDSDGSVNREDVADMMNQLGMTPSQSELSQFFPPG GPQNMTLAVFLNSIANTLSAMSPSSELLSAFSAFDDDDSGQVDLAELRDALLHTAPEP GESALSPHEVEKIMASFSGRRAFSKSTMSAGVSRKKGEVFKYQDFVNSIVGGSGAAEP APSSSGSEQSEG PFICI_11506 MKNIFSSKKSTSPKKPQTGENDENRNPRQASEPSSPVKFASAPP SYSSATALKRERESPRKSTRPASPASPTPREQLPTKPRSSRSFGRQNTDSSSSSSSRR SKKHDPNTHPLNLPPDERDKRLSALSNMSNRSSVDKMDIDSSAPPSSPPQTSSSNGTV PVSSPTNGRKTPPTNGDGPVPPPHRSNPSSPVPSPADEAESYKNIGNKFFKEKDYKNA ILQYSKAVDLVPNSATYLSNRAAAYMSNGQYEAALEDCSRAVDLDPSNPKFLLRLARI YTSLGRPEEALTTFSRIDPPASAKDMAPAREMQHHIKAATDALENGTSGSMVLHALDQ AEKFLGLGAPKPRKWQLMRGAAYLKMGGINSLGEAQNVAMSLLRYNSSDPEALVLRGR ALYAQGENDKAIQHFRKALSGDPDYRDAIKYLRIVQKLDRMKEEGNTDYKAGRWQAAF DKYSQALEIDPANRGTNSKLFQNRALCRLKLKQYDDAIADCEKAISLDPSYMKARKTK ANALGQAERWEAAVQEWKAIQEMDPEDRTIAKEIRKAELELKKSQRKDYYKILGVSKD ADDNTIKKAYRKAAIVHHPDKNQGDPEAEERFKDIGEAYETLSDPQKRARYDSGEDLM DPSDMFGGGGMGGMGGGMGGIDPEILFSMMNGGGFGGGGGGFPGGGGARFSTGGGRSA GGFPGGFHFG PFICI_11507 MSSYPPEISASEGERLTEVAKDWCIAHGLVVRPPPAVVAAGQDP GNILATAVPVTLFPSPFPKLCFDQGAHVQKTYNDLYAAISRDEDFLAQIVKEIGDSDE FTQALWKVHLRVKEEGYVQPLSLGLFRSDYMVHQETPESTPQVKQVEFNTIASSFGGL SAQTSLLHRYLAAAEYGLLANPVIKGATTLPDNHSAKGLAQGIIQAFTAYGEAKAGRQ KCVIFLTQDGERNIFDQKHLEYEVSAPPQTIPVFRLPFSEVLQHTRLAEGDGRQLLYT PPQLSHLTFEVAVVYMRCGYGPGDYPSESAWEARYHLERSAAIKCPTVLTQVAGTKKV QQVLATPNTSASAPILHRFLSPATHTTLKDLEATFTNIYPLDTSAAGQEARKLALDPE QCKRYVLKPQREGGGNNIYRSAIPEFLRSKPEEHWGAYILMELITPPPVRNTILRNGN LESGGVICELGIYGTCLWDQNEKDESKVLRWNDMAGYLLRTKGDQSEEGGVAAGFGCM DSVALI PFICI_11508 MDRAYPSDLYTPLNEAANEIRLLTFEDSSSRPSRIHCRLTVHSL DSISDSFRRHLVAKGNPSQLRRKDVIEWAVQSNIERNDPTASLSDEACHFEWGCYDTL SYVWGSKISRERIVVNGHKVSATENLVGVLRNLSKTGQYRGRHRLWVDALCINQTDER EKTSQIKKMRYIYSVAWRVVSWLGEDGNASNAAFDLLEKFADIARQVGKPAFGELPLP SGLFFGDYFYGLNELMQRPYWSRLWIVQELVLGSSSVVLRCGERVLGWKTFCSGINIL NRADMWVIKDQLLKMEHKARGLGGSRYHAVFGTLYIHLVHKDIQVLAHYEETQGGGNL SLRRLLDIACGSDCTDMRDKVFSLLGMMEPQIASTVVHDYSNPPSTLFATVTRSFITH YNDLEPLREGNPWSRTGTPSWAADWTWDGRLRYSRPETPLWGFWNVWNEQPIDARRLY RAAGDKVAQYTFIDEKVLKCRGFVVDVVTGLGAVGSGYFAWDASATEQCLSWQSIYGG PEETAIALYKTLVLDCVVAGQPAEDRHAAILHLPRKFSLAQPQFHQRQWQWMASQRGY YFRWQLWRAAHDEIVMGNMRLGDFFSDELLDGATEQDYAEVYGGFDRTGKERRFMLTE RGYLGWAPDNIAGGFGEQTRRGDLICIIFGCSTPLIIRPTISGQYLIVGEAYVHGLMR GEALDFLDAKQCHVQDFTFV PFICI_11509 MANASDPWDWDTERVVQELCTDKRSWKPPTNPPKLPNLEKLKDS LQENLIDGESLLGDGSSAPDFFSDIGVRASKHKETIRAAINQLRNRSSKYKLWKTRQD QGDDDDDDDEAGLHEEFLARFKHIDQANRKRKLEQERGQDSDESAYNTPRASLSESPT QTPSPNTTTKKRKIAPTLLNGDANIDDFCNIPQGILVSEVVPEVDDNGLMHGAYLGEE VLNRADINNFDVSGQPLSDNEFDFEFRLAPYPVGLRRQRSRLVKRRLGNRQHVFRPAK SDMVRGGEDPGHYEVLPAYGESDDEYDNATWREIQQEKKERKERLEAEAQRKHSELPL GEKEAILDTIIEKLKEEWKHEKLPGLEYKAHNIWKNAQSVLKQALDQEKRLIDRYQKR LNDIRATTNTVNYSNKAEMKRLEGNLELTVGDLETSRWKINLLKSPNQPNRLPRIHRP QKPRVPKEHPLDESDGETLGSDTDLEEIELQDFIVEDDDEEMAEPLVDKTIHGPRRSG SSSASIDVQMPNAQDTDDVPMGEGELAMDLDAPSPSPDGPPAAEPSESSDLKPGPTAQ DNSSTEGSPGIKPDGVPAAIVNQVLDAAETLDLTQPAMPAIKREVPSTPRSKSGLKID TVQGKETIDLTTPVKSNSPAVSFSPSQMLNVSPIDEQGLSENEKYVLAMLDRLEEHHR SSVVLLASKMESDLVWTGLLLPALRKADYPKLPSSSKEDLDSFRGLHIARLFDAFLGS SPPHLKRWFDAEGRSKLEEKADQFYDFLKFLRKLSLRYVPGIAAQKPPASATPPKNIQ APGHVRGNSIDDETDQDVEESNVDESPTKKKRKSTIVRNQQAQDLRKFDQKRIKEQEE RKNAMRAKLALHGDTQVSPDKTRFIINESKDNDEGYIYVPDNIAGMIKDHQISGIRFM WNQIVTNTEVRQGCLLAHTMGLGKTMQIITLLITIAQSAASKDPSISSQIPEDLKESR TLVLAPAGLVNNWMDELYMWTGETNHHLGEFYKIDASDKMPERQGTVQRWSNDGGVLV LGYNIFKILLKNESLEQILLQKPNLVVADEAHYMKNPNSRLHTAVNFKTHLRLALTGS PLANNVLEYHSMINWVAPNYLSDLREFRHDFANPIEEGLGVDATRYSRRKALTRLKSL KDTVAPKVHRRTIHSLKDDLPQKTEFVICIPLTELQKKVYETHLKFVNSDQSPASAFA LIEVLTLLCNHPSCFRQKLLKEAPGGTELGGYGSASANGNASEAADEEYTSAGATPDD SGAEASTAPIPGQLVSNQLQLIQKNVDNLEGQKESWKIPILKKIVKESRAQEDSVLVF SHSLETIDYLERILKRDKLQVTTLTGQTKVKDRQTMIKNFNAGGPGIFLISTRAGGLG LNITGANRVILFDARFNPQHELQAVGRAYRLGQQKPVFVYRFVCGGTFEEKLQNRGIF KMQLASRVVDEKNPIPKAQRLEEMFQMPSEPVQEDLEPFKGKDKVLDAILASHEGDGI RSIVMADTFEEENLDDEQLTNEEQSEASWLVKMHQDRLTGKPQVPFAPVVTGSHQATG VLSMPSQPHPGYHQPGTHPLPAMHRSYPHPNNSQAVAQQANNTVGASHLRPSAQSARR QAGIQNPFPQPIAQPANPQAAAAHPNQITGKQYLELLDLMAEVERAHLELRRRHPAIP ELTRRHPAALPASTEGPTHPAFQSGTASPVPGVTTQIREATAHEPVDPSALRYWAGPN ILKGELARVLMRNESDDGKKEHVKMVARTISETFNELRSSKDTNELARAKMALVDAAI IPTIAKAITSGEYTPQQLAAFSATDYSHWMQSARFALTMDQANTPSDQSTKQLNSMDE GTSARAIAEMASTPDGRQDGPSTQHDTEINGDTSVQTFVTAIPDEERQGKSPIQQEER APSTQVGANTALSDH PFICI_11510 MRPTQQAPKEANTVFSNKENSAPTPRKSHKNDDQAALMELRARR EAKSKNPRLPNWAKEAVASQGRSTPSTSASPAPAAAPVRRAKNPFQ PFICI_11511 MQPSQTTPLLADDRRHERRRSSLDSDMTDSEDDALRGDAPSTHH GRSWHLRLRETLIFIWALLATAGVLILAIWMSHKSQIGSEKPSGKRNLVFMVSDGMGP ASLSLTRSFRQHVEGLEFGDTLTLDKHFWGSSRTRSASSLITDSAAGATAFSCAQKSY NGAISMLPDHTPCGTVLEAAKRAGFMTGLVVTTDITDATPACFASHVDVREQNDDIAL QEIGEGPLGRVVDLMFGGGRCHFLPNGTQGSCRADDIDVVSIAKEKHGFTYVDDRAGF DDFWEGKKEVPLPMLGLFAPTDIPFEVDRRNMNDVYPSLSEMAKTAIKTLEKATANSD KGFFLMIEGSRIDHAGHINDPAAQVREVLEYDKTFKYVSDFLETSKTPGVLVATSDHE TGGLSVARQNTVDYPEYLWYPAVLANASYSSLYLAHELHQHMSENKNKSEKELIAYIN EKIVVPGLGILDATKEELAPIVQYPLLAQQVFANMVSRRAQIGWSSHGHSAVDVNIYS SGGPGTDSIRGNVENTDVGKFLRDYLNVDVGDITEELQEKMNRKPKSAAAAAADVEEA EQDHWAVHEDTVRQLEEHGVEEF PFICI_11512 MAAYPWCCLGLERTDNGMKQTNWPDVSAINQKNYYTDYMKRDDQ VLALRLQAEANRALLVQTAKDRDRALARGGHGDVALPLPDAEQEESAAANDPNALLDP SKIIVIHPGSQNLRIGYASDALPRSIPTTLATKFPQTESEMHEALPRRKFEGKNQDEI HGEDWSKKYQKLSADLKVDMRANKRKVLPNSKELVTNYNRRTEPEKIPTHNDPLQIEW TDIDTLDDPNSAASAFIGQAALRVPDDSNPKFKLWWPIQHGWLNEDEYATAEHLYDDF ETLLDKAIREELGLTTNSVWKEYSCVFVIPDLYDKRYVEAVLKSCMTWFEFKRICFIQ ESIAGSFGAGYTQACVVDVGAQKTSIACVEDGLMIEDSRINMKYGGYDVTETFIKMML YDQFPYQDINLRRRYDFLLAEELKMKYCTMSQAEISVQLYQFHLRAPNQPTHKYQFKT YDEVILAPMGYYDPSIFDNSTKLRGRRKLIDRSYNHYDVDVPDDPHSAAQLAILALVQ PSVAASHQAPNGVNPSDPNLATPSKEKTSFNFLTRNEGTPMGSTAASPAPEGISTPAP PPFVFGANGTGAAAGSPAPPGPNGRTGTSPAPPAGMFVDAQTRTARQIAAERDAVLPV APLDIAILTSIQNAARGDEKKLRDYLGSIMVIGGGSKTPHFTQTLEEKLRGRRPDLFD RILVSRSARDMDEQVVVWKGASVFAKLGTNDSWVTPYEYERLGSRILHHKVIWAY PFICI_11513 MHIKREHPSQYDHSVGDSQPPARRARLVKHEDNRTFDQLTGRIQ QDHLEPQHQHLFDAFPTENAGDQIPPQQEVLSHMNNTGLLISQQLSNLQLNQQPTQDN LYLWRPDLSQLPNSFVFGPVEQQQPFLFQGSSDQKQEQPLYQDFSDQSHQQTQFQDFR NPIQQQPMPQSHPSEPVHEKIEQGRVKMEETMTFPAAFGVNEDQWKVENPYGNDVLSE LPGAGTLNNGIQQTSGYNVSVRNLGPVQFQSHNSNQNFLNASRAAVGNGLVPQMWPAL EDQAQSANDFFMPSFDLGQTTTGFSEQPRLQGPFNTQAQLNHRFGLSQAPTQSNWATN TQVQGLAGGFQMNHGLSPDAVLFNGIPATGTLNSVINPMTFNPTMGFDISYPEGTVSP KALQINPSPDTPTVPPEPDQSLLMTGNDEQLSSSSSTIKLDASPAPTKKVNAKGRTEL PDKPYGSNQSSSSSSSSDGNRSAKTQQIPQRPKKFSELRPKPARSALLDQSSSAKPQL SPAKLHRQKQNKFLLEKKREGMSYKDIKREGGFTEAESTLRGRFRTLTVAPAERVRKP AWKAGDDELLRQGVRKYAKGADINTAKIPWAKVANYLKAHGASYNFSVGACSKRWEAR EEK PFICI_11514 MPPIRAPANLPSLVKTAFGQAKATGDLTYFPTQVALLKLNSVQF QLRFSPALASKPKGPPPSDEQDKKDEMKKDKKAFNPFENPLPSMTVVKDLAPSHALVL NKFAIVPEHFILITRQFKPQTHVLESDDLAAALACVRAYKEDAAAASDGDGVSKTDSR RSELFVFFNSGPHSGASQPHRHLQLLPVEQMRTGLDGDAASAWNVLAEGSDDQLRDLP FAILREAITPDTTAEELHAKYVSLYRRAARLALAEASNDIAGQGEAQFSYNMAMTDKV IALCPRAVEGAPIVTGRDGGRELGHVALNGTLLAGTALVKTQELYDAIKQEPALLFNV LEKIGFKPREETVLGNL PFICI_11515 MRLITILVGALAVWSAQGLRILHTNDDGWADLSIRLFYDTIVQA GHDAILSAPAIDQSSKGSLDEEPEPRMTRCQFDTCDPCPEHLLYGTNVSDPSGRLNWV NSYPVTAARYGLDQFAPRIWQNQSADLVLVGPNNGPNVASLTEVSGTVGVAQYTARRG IPTIAFSGAAWGVMRYDKPPTYRHSLYNDMAYQFTQALLTGGKPYMPQNTFLNVNFPN WQNNDCNETSKWEWFLTRLDTDREGNMADWEYCGTDQNFLQFDTHCSITITLLEATSK KNAGVEEQRFMRNRLKNMLACPPS PFICI_11516 MSSYPAAESDAKTMLPTPRKPLSIAILLNSYRSKFLPAIQASYT KAIGAVAPDARLTFFEPANRPDDDLPDPALFDLIVFGGANVDARKSHMWILRIHHFLL RLVLCHPNQKILGLCWGHQTIARVFGGKIADMDVPEMGVKAVDLTKEGIGFFSSVAKS SNTLMIQQHHRREVVEPGQGFSALAEGNQILMNQQRTILTFQGHPEKDAQTARLRMHD SQRWFGFSSSDEKNWADLDASLELEHQGDVIWERILEWVREPTVDAEMQMDREPHRES KI PFICI_11517 MPRLERFGFVGPLARFKALPLPVVNIIALLVLVNAVVWAAVGVV LHYYPKMITPAVLSYTLGLRHALDADHISAIDLMTRRLIASGQRPVTVGTFFSLGHST IVIITCIVVAATSGALRDRFDNFQYIGGIIGTSVSAAFLVLLSVGNGWILYRLIVRLR QVLQQPDPHHEVGEGENEDEAAANLQLEGAGFMANVFRKVFRVVDRPWKMYPLGVLFG LGFDTSSEIAILGIASIQGAAGTSLWIILIFPILFTSGMCLLDTTDGALMMALYTSKA FARDTVAILYYSIVLTGITVVVSAFIGIVQILSLVQNVAEPEGSFWDGVSAIGDNFEI IGGCICGLFLVAGIGSVIIYKPWRRRIEQRRVHRVASHDEEDRFRPEEEPVEAEQPQL ITVASTEDNEQPTTSPKQPIRETHTSLGPS PFICI_11518 MARLRYRKEAPGYSSSDSEEELPRRSQRTAKSRKYAQPSDSEED DYSRRSTRRSSRSRRDLEAFGYSSDSESDEDRRGKSSKKNNNNQNQKKKKKRKPSPQR SINKIWRHFTAKNFTKALSILPFDPVPLPSDQDRPNELLSAGYDRAVDECRRKVKKII QECKRVNTRYRDPGWDIDFDLKMTKGNCLNYLGSNKFDVAGPRLSTSTAIPKSVKRVH EIYKNPTFLENLDASDVKQGSIGNCWLIASLSALANVEDGIKRMCVEYDTRIGIYGFV FFRDGEWVYSIIDDKLYLTSPNWDSPSMQRDLLTQIDRESEDAEQTYRKTYQTGSKAV FFGSNRDPNATWVPLIEKAFAKVHGDYSSLIGGWIGEGLEDLSGGVTTELLGSDILDL DSFWENEMSKVNQEFMFGCSTGLLDGGYGERSGIREGHAYVVMDARTMKNGERLVKLR NPWGKLKKGIWDGPWSDGSKEWTSAAKEELGHTFGNDSVFWIRFEDLLRKFQHFDRTR LFRDPDWRCCQRWIGVEVPWKPQYNEKFHFTLTKESPLVLVLSQLDNRYYKGLHGQYS FRLQFRIHEQGRPEAEDYIVRSHGNYLMDRSVSIELPCMEPGKYSVFVSVVGERDTDF STIEDVVKRECKKRQDHDKLASVGYAYDLAHSKGVAHLEQVAQLRKKSESQKASTSRQ AERRKLWEKRHMNREITKKQQKKNQAKRDRNREIRDAERKKKEESEAAERKIKEEAEA AERKKKEAAEEAERKKAEADKPKESSDDNNDTAAKSETDKKDESSDKKDESQKDAEAD KEESTPAPSPAPARRTDYSSDGDSSDSPVEDWEELYSSDDMSRKPRMAPPPPPPANND KNVETDEEAGLPDPWNAICIVNFRVYSKDEDLDLRVVIEGGELAEGGMGEKGAQDLDN AQMNAAGAREKNMDNDKVTDEREIVDGEAILKQKIQPSGEKSEISSEVPDLKVEEVVQ DDDDDDHSVISLDTNPVPTPAEEIGDPSNDCTPQRIA PFICI_11519 MADEKFEKWCPGSITVEEFAKVMSQSPGQPPSEEEVKKIIQEVD LDGDGTINFNEFITMMTGQPYPPADAAATTTAAPTVEVDDADAEDDIVSGYQ PFICI_11520 MVSIKTLAGAALAAALPASAYVNGISGPETAAAGSSIDATVTTS IYVQNWVDYSIIWGLAPATYNCAEYKCIGQQIGYSAAYPDQLPLGNFSVALAIPEVSA GDYQLFAAVPYLVGASGTVDVETFYYNITITA PFICI_11521 MGFKARFHEYFHSELQPGERKLVQKIDFFILTFCCLSYFTNYLD RSNLANAYVSGMKEDLGFVGDQYNQINTCFTIGYIIGQIPANLSLHYVKPRYFFPSMM IIWAGLTMVTASVQKPQSIMAIRFFQGIAEATTFVGTHYILGSWYTERELGKRSGIFT ASGLAGTMIGGFIQTGIYSSLHAKSGLTGWRWLFIIDGLITIPVAIYGFLFFPDTPQT TTAFYLSETEKALAISRVPIVEEKSPITLAFAKKVLTSWYWWGFVGLWIVAGETESFS TNSLLSLYMKSHPTIDYTVSQLNNYPTGVPAVGIVSTLFWATLTDFLNGKRYLVGYWI SITGIVTSALILSASKNPTSPASTSTVFGAYYWAGSVYACQATFFAWCNDAMRYQDPV YRGVVLAGMNLGSNVFNAWWSLVFYGASTAPWFTRGMWAMIACSIALAIWCAGLSYLT RREGKRRVVEEHIVEQGHAESDRDTKMPAELA PFICI_11522 MADWEQKAAKKRQALRDSIPSEWIIPAELLPDESVQDVTKFPET SGWFTSEELAITNSNVLDLLPKLASGELKSETVTRAFCKRAAAAHQLTNCLSETCFSR GLETAKKLDEHLARTGKPIGPLHGLPVSLKDNFNLKGLDATVGFVSHIGDAAPYDSAL AALLEAAGAVFYVKTNVPTAMMIAESVNNVFGRTVSPLNRNLTSGGSSGGESALIAFG GSPIGVGTDIGGSLRIPAACTGIFTLRPSFGRFPTLRCRSGMPGQEAVQSVNGPLART LPDLALYCAIVVGAEPWLADPRCLPIPWRETRLPARLRIAVMWDDGMARPTPPVTRAL QTAVDKLRAAGHEVVDWSSEDQLQGAALLQRMFVADGGSAIRREIERSGEPWRPEMEA YRTATELSTSDMWALHLERVEFQRRYLERWNQAGIDAILCPTTPYSSVENGKFKHVGY TGVYNVLDYSCMSFPTGITVDAQVDKAPSDYKPMTDLDATIQSEYNAEKVHGMPINLQ LVARRLEEEKVVAMTQVVLDALV PFICI_11523 MTGRHDGKPLIHEPTTPAGVKALLTLSTTGTACWPCRQRKVKCD NQQPCENCVKREHPQLCSYKPNRSNTSKSGSIDAGASHGTKRPHSPGESDDYENDNDR QPQGWPRAADQSETTEIPRYIGQNSIPAILRDQPSPIDTKNGIDIRRDMRPIWGLDNS APFPLMSTHHLDKMTQEISAQLPSDREVLKLFKTYKEVPHPFWGFVIDIDDLESRLMV YLEERARILREKGPQTQPISASWLAILFAVLAVGSQYHDSPYHIRTRNSQKYIQICFH FLRLGNFLLRPNLDSIQALLMTSFVLLNDMKAEGSWALLALTCRLAQSLGLHRAPQQE TSSTELTIKDYVRRKLWWTCQWHDTLTSLSFDRPNMTNIQCCAIPLAPNAGVEGLSYL EAMYHLCQIISEKLNPDAVNTASYDTIVANCEEVMSLRQRVYPQLRNKEALKTVVDRL QHYALRLHTAFVVSVCCRPALRSDYKLLKAGEKKSLSARCKANLIETVNMFLAMHNIS VIPTRSWAFTYHGLSSAVLLGLLSDPKTEPEVRRLQGDLISALSAAAAKERSSPPPGH IPVSDKDIELSGPLSRALTALKHIYDYGSLNGLSGLKSEVPSGSRTPVANMPSNAILN VQQRAQSSLDPHQDAALAMTELQNGATLPDFSTSLQYPTTLAQALPVQGVTDMSQMDP STYMSNMSPMDLYDSIFWESPDPFYNTGADMNFDFMPSQQPGGGGQYFY PFICI_11524 MQPITPRKDANTISFNSLLSPSFVHNSGVKPKFQEFSSKYNLQL RVPDDAELGRSPQKQRELDVQGLLHPDAKVFRRFGIHLSKKTLDSVLKTFDERARDLC KKWVRKPRGDPSVTPAITTLPKATNTRERAELRKLLDQVLQEHNPLLARRTPGPEPDE TTPQPRRPSKRTSDEIVPKLPKRSKSEASISPPDDAVTAEQGVRRSERLNRPDRSFYG VSTNVSRETLVFTDNGHRVSQGTQTTIEAGSQEKARWPPAPLPISQTQDEYAISSSSL RALNESLHQIDDAQRYDNVRQYLDSSSPTHTYSDFSSLLPDHELARLEESFLESEAEK TASPAIIELQTRLNSSWPHIPPGIAKAPFAVIWEVLRALLHCKIDTGLFDLEFNDSWN NPTSLWHALQNHKAFLGKTLPEKSSKAAWDAAQANFRLHKQAVILVMTLTPSTRTTGP FFELQLHPLKIDHTHRLARRFGPDRFIEMIVPSIDPQNVPVLKKLSDEAINYARRWLC TNPHPLAGRLWKPFFVRSFRDGPVKKSSKNDWFEPEPKQVMKDRFNLFAQDGNDFRPP EEQYPEKNQSIDSHTKMSVTGLIQWLLEPSDSAKQPILKLFSRISLGLSRTEPTIVLE RSQLRHIETDIVSPAGNVMNDGIGRMSPLLARKIRDSMGLTETPAGFQGRIGSAKGFW IIDVSERGDDLWIETYPSQRKWNCNFEDEDHRTFEVSSYPQLPVPASLNTQFLPILMH QAPSKQHKQALQAHLSNLLLQILNEEIEAQRIAMQDPLSCSAWVEKSSTSRRIERLEE DQIPRLGSVPRHDEDRIQFFLAAGFHPLELKMLWDMVWKLCKERCEELKRRINIKVGQ SMYAYMVIDFEGILAEDEVHVSFSSSFKDELSGFADTHLHGVDVLVARSPAHFASDIQ KVKAVFKPELGALKDVVVFPRRGNSSLADKLSGGDYDGDMAWVCWDPKIVDNFESAPV PEEPDLLKEGFLSKRTGKYEDLMRDHQDRATLKFLEEGFDFNMQPKFLGMCTVFKENL CYKRGSVSDSTAIRLSTMLSNLVDQGKQGIVFTNKNFAKFKKSFLKKSEPEPAAPKYK LDSWTGKGRPSHIIDFLKFEVMIPKVDAELKRLSETTQGDKAGIWDKDLAFLWDHYNK MRVDKNKRVGHGMYLLQTDIENFQKEVEKISGNESISFDVKVAHMHEKFQSIEPRGFS GTLEKIITNAHPNAKQHTEWTLLRASTYYKLYYKRSTFLWWIIGSELAALKAKASQAG PLVYLVGNFYGAMKLDPKYIKARNARREGIEDPFREDQEPYDDE PFICI_11525 MSNPDLDRNSLLGGRTIQFNASASSPRYSDSPSPAAALDSQLPH HHNSVPHYHQSWHRELFDVGIFKDTIFPSLSLHGSLALVAYGVGRATNTVEVKNWLWP TAPIANAWWSAIGRKVQRGLTLGQALSLLSRPERLLLTGVTLWGGRLLYRISSRSIRR RKAGKGTDDTRWEDAKKDPDFWNRAIFTIFLPEALIQTIITLPFTAPYHHQGKVLSGY HPLVQSIAVGLFSAGLALEILADTQLSAYKETANDERAVCKEGVWSLVRHPNYLGDAL IHFSFPVLLYGSDLLAPIEILGSIANYFFLRHVGGDKQTEAHQHRRYSQSSLEKHADF ERFKQSHNSFWPKADVLTNKWLWIVLGTGAAVAAAEVSINQFV PFICI_11526 MTDAPSAPGDNADRQHDETGSDSGPELVKVEPRPEEDSPMAQES EESDDSGEGEEIAEQDDSDDDDEDETDDEDDEPKLKYARLTQHLNAVYRQDMTTAFIV AGDKMIIGTDAGNIHVVQLPIFQTLRNYHAHQASVSNLSISPFPPPLPPTSLKSEVAT KAMSAAAPSRKTTADLPRKSREPEPLPNIPSNNIFIGTSSMDGHVCVQSLMDFKDVSL RNFARPVQAVALSPDYKNDRTYLSGGTSGSLVLTVTPPQGRSTSSTVGTAAAAASGWL GSMGLGANTGRDTVLHSGEGTISAIKWSLSGKYVVWLNEHGIKIMRSKLHLDSADAED AWKRIGHIDRPQTDEWEEMAGVWKGRAEWIDEQAIETDEEDAPTERVESPPPAATKKA TKHEKQFERLLVGWGGTIWIIHVHAGGIGAGRNVGEKSIGRAEIAKKLRMDCIISGIS LYTQRLLLVLAYCKPDHEDEEDDIKHAKGHKAQLSTASAGSEPSGGIKRHPNALAPEL RLIDLVSQAEVDKDSLTVSRYERLKSNDYHLGVLPAKNAASVVESRGVLEAMTNFGAD MWNATINPKSLFSSGASIKSDSNDGASSSRIGSTLGSARPIPRSAPQTVHPNLVKPGA KIFIHSPYDCILATKRDMGDHLTWLLEHHQYEAAWALLDDHPEIMVSPPERLSELVPS TPSQSQQGSDDFFEDDASVSESHLRSQYSSAEKEKRRIGELWIQELVEAGEWSRAGQI AAKVLNTPDRWEKWIYTFAGAKRFDEIVDLVPSEPMRPAIPGTVYEILLNHYLQTDKL RFKKLLDRWKVDLFDTHTITTALENQLAYRDVREDSVDDGEKGRDWRIVVESLAWLHE SNGKYRDALRCYIKLQDADSTMRLIRENHLADAVTDDVASFIALRVPEAELDRMTAEE LEEATSEAIALLVEEAQRGLIKPATVVRQLQKKDLNRYLYFYLRGLWKTYREQDPDEA ICLVDEFADLAVHLFASFDRELLMDLLKVSTSYTFEKAVQECEGYNYVPELVHLYSIT GQMKRALYLIIDRLGDVSQAIAFAKDKDDPDLWEDLLHYSMDKPRFIRGLLEEVGTAI DPITLIRRIPEGLEIPGLREGLKHIMKEHEIQYSISSGVARVLRSEVAAAQNMLRMGQ RKGIKFEVLVKSEDHVDVQVKEVPTIVTKGAAPQMSGADTPTVPEIVDPATAHAAVGG VKSQQPAHDHRTPQPGHCAQCHEPFTEWEMETLVGFACGHVFHASHLLQYLHPDEEPD PSLTGYANGDEDRTRGGRFVGSKVTRARLLRDKVRAGCPVCREKREEAEGTC PFICI_11527 MAAVTSLGLHQPTALQYAIQEQLLKENASSTDYTWDSFVNHSGG DIGEDELLVTKEAVVWSRGNIFRKCFGFKLEKETVTQALLTYFPTSSQSLQSNTPNTP QTKLSPDGRQLAKALVVILKTQAHVYFLDGTSHVLHLPFEVESACAAPHGVIMQRRQR NDVASVASIKFPRVPPNSFISTQTSPASMRLSQQSTFTTETLGKPRALPLRLNNNGHD IWDIPNEREDSHWPRLVSLTDPLLDVGLVVTQSDKNIKQKGRRVSGKPPSFLDRAEEI LHIEEIPASTSTSNQRLQSLTLAVTINRESSMYTVWRMSYLQREDPFRAPKAAKKKTD RRRSSMQPGQPSGVTSPVQQGFQESFSTTLPGKRSRKSEKQGKADKALENLETSLGLD KDAAAGRRTSRRVSSMLARADLSASQDRAGFNDQPQVVNLTGGRRETSYGSTRVRTSG VFQAASFGGPATQSSNPLGSFLEPPVDYLLEELRNSGDVEGFHTMGLDDHDFDGLARE ILFTKIHSVSVDNSNVRYSLSRVPAKEHCKVFCLVGPESIVDEQHRRQVLVGVQDSME KRLQLLTLHLQTTTESAAPSAGTTNVTFGQLRKAQNVIDCCKITDQTVSMILVLSESA NGDRELSLQAPWSELTSVQLPAKLLLSNLRSLDEHGSLVTREFGLRRAVNPALGELAG VRFPRLNGVVDIFGENQQLHQIKIQLHPSRPQVAKIYDILRAALPIPTGDKLLAGWWH TMNWLRRENVECADLEWSAFAIQLLSVYMALGFDEIPVSSNSLAGHRRKRSLIRSGSG AQVDLSDWDAMNLTETPNSATHPHWMQTRGWQWIMEQEDAANMFQPTQGRQEELSFVL SHIRHAQAFMASNTGEAACGQAGYLPTAAGHTESSRIHYAQEILSTLHYLLEEQKLDI SASHKISPGPSDLQALIMQVVKWLRWKTWVLAYELEMPLDRPDFHDSLSSASISERVP EPPLWSVLEWTQACLAHDVSTQIPQNMASIPATILPQTKLLSRFFEALRTKTSSPIHF VEAMHSSGITPARLESFPETVLVPLQDAISQCQARPPPSWSKSLLDLVDRGDIGAVLS PLDKSHSGSTSLNASSHVSSWDFSSLCQNVMDFNDAPAEDSAESDKQAVIRAIFKEDR RLEETRLMLNTTRPRAVRLDPEPEWTEPFYLEQQKELVTRLATNTLSIPTSRGLLNYG LRFPLLTQKYHIHGFVLNCIVKPTNVTVGVDKSLFTEEKIAWAFFHSGVAAGLSISRQ AKGVDTSWILYNKPGNDLNNRHAGFLLALGLNGHLKGLAKWVAFKYLTPKHTMTSIGL LLGLAASYIGTMDSLITRLLSVHVTRMLPRGAAELNLSPLTQTTGIMGIGLLYCNSQH RRMSEIMMSEIQHVETEDDEEPLRSECYRLAAGFALGFINLGKGADLKGLHDMRITEQ LLTLASSTKNIEVVHILDRSVAAAVMAIALIYMKSEDYIVARKIDVPDALLQFDYIRP DILLLRTVSKHLILWSAIQPTHAWIRENLPARYHKRVNPPTQPFDHAVRGPLNSTDLP FLTILAGLCYAIALRYSGSGDVHVRDLLLVYLQRFYGYCRSASPSANFDERTVHVTAR MCLDIVALSTATVMAGTCDLKVLRILRSLHGRNDRDTTYGSHMAAHMAIGVLSLGCGT QTFSTSNLAIASLLVAFYPVFPDQVQDNKSHLQAFRHFWVLATDPRCLVTKDLSTNTP VSVSIVIKFKDGKPEQVQNTPCLLPPLDDVASLRTNSPEYWNLELNFSGPNGDQMKEK FRENQVLCLRRRPVDGTPFSATMLALGRQGAAAGPNEEGAQPLTWLFDASESLGKLTH AERDIALDSGVGGPDVGQSKASVVDTRLILEESINSSRRDDLIGLKGLFEWVDWKARN VGDDKRASTDGQIWNTEGWLRESVIEDLKGKVWLAGREND PFICI_11528 MANNRSPSRRGSDDTLNDTMRVLDAEDGTFHSARHYRQPHRSVG FWHQGLSKVRAEVIKKWALTVLILIVFIMAVLALYWAVLSRVQDNMRSLTVQVVDFDG QVAPYDSVVPFIGPMVTELAQQTMDMVDVPSVGYEIVPVRSFDWDPIAVRQSVYDFHS YAAIIVNPNATALLVDAVASGNATYDPTGAIQMIILSARSESTYYNYIIPQLQAFTDK LMSQFGPAWTQRLASNDSVTKEMLSAAPTAVNPGISPLMIDLRPFQPAAATPSVSIGL IYLIIMAFFSFSFFLPIHMQYIQPAGHPPLHFWQFIVWRWVATVTVYFLVSLAYSLVS LAFQIPFWTPPGSSVDVAFGATAYGRGSFPVYWMINFVGMIALGLACENVAMIVGQPW TALWLIFWVISNVSTGFYSLDLAPGFFKWGYAWPLHNIVEASRSLLFDLHSRIGLNFG ILAAWCAINTALFPLCCYFMRWKMEHGQRKSQKAQDRYSVDTNNGQLEFAKEQGQLPP IRKRGFLRGV PFICI_11529 MSSEKKVSSIGQDTIQPAEGWSANDVVEGEMEKSPEAHGVFKPT EDGVDFRTVSWQRATVIFLKINFAMSILAVPGSLGTLGAVGGALSIVGWEALNTYTAI LIGDFRNRHPECHTLADACAILWGPLGREVCGIMILLAQVLITAAGIVSTDTAFNALS DHGACTVVFAFVSAALITGFSAVRTFSRLGWLTWAGFITFFIAVFIFVIAVTQQDRPA AAPQTGPYELGFRSMAYPTFAAGMTASANIFLCGSGGSMYLPIISEMRRPQDYRKACY VTGVLVGAMYLVFSLVIYRYCGQWLTTPAFGSAGVLFKKISYGVALPGLVIGNGIYQH VAAKYLFVRLLRNTSHLQADTLVHWSTWLGVNLVLGTLAFIISQAVPILNYLLGLAGS LFSAPFCLIFPALFWMHDFKGYKTLSGKNKALWWFHALVIAIGLFMVVGGTYGVALSI QEAYATGLIAKVFDCADNSGTVS PFICI_11530 MLSRHPISGRKVKPTFGMPSWCLDPGFRFLAYLRAIRGHTLWNY SSQITVTDEFRLDHVDQVSPLLDCLFNGIGLRDEYFLFAFGLSMSVRLSETTLLRCVA QFRHDLLRRAKTSGSLLHDPKRLDLFKHGHEDIITDFIDSLGGQFYSHLVAEIAIEPA LNKGLSIPAVHEPVLWSMMTASFIRWMSLKYGLVSERRRVYLLATRIDRSASFLSRMD IPRAESPHLISARLKGCLLGTFYHWALLIKRDDGHLRESILCQLTVSRGRVRLDATEN PTLEEGVLENARFIGFTMCSNNLIRDQARQVLHSMPVAYNVMNNNCQTFCSRLLERIQ HVTSSSELYTGRGNIHVEAFDHIVTRRAIELVCHTIAVERESSKAVTHSVDVAPSSLN AVILVVMNGLTLIVLYWSYKQLSGWLYSLLVLALALNSLYGNSGLFRLLHNRAPGEEA CESDDMAKLAKLVMKHSARKSIGY PFICI_11531 MVVESFELRAQNLSQQVQALVAEEIFGSVQASQLSPYFHYYSRQ CRQISTMSMHHGQHLPVSNHVKLLDLIKKIRLRTSHADITQGLMADHPTCVENVIHNS IDLAVRLLLMIDVGMFEYAYTGRDTITWKAGTIDDFLGTLDLFTGQPELPCEGLKLEA SFNVVDLERFAGFDVQLTTNLADHLLVREDVQRVTIFHHATFLQHQREISTIFPPGFV EETLQTIALLFPRGDKRVERWYRRKGNRDELDMSALRCGHAIRHVEKYRFWRDRLVQL KESFDDTKLRTVTQWWHDRRDGVQWWTFWIAIFFTVFFGLVQSIEGALQVYKAYHPT PFICI_11532 MSYWEATGPALEAYNQLIPRIYKTLEERQGPLPNSDFIWFGLYM VGPDMETAMPHIMFTGENKVARKRAMKIIKESRLLAEHPGMHVGQWAEPPHIGNQTLC GGTTSTKAFNGRNLDESRRYWVQLEQFLTGSRRSTSLKIHFDHGTVSATSGLGWELDD VQYWLTAAHIFRDPRLWENLHHNESDTSSSEFEFDGFRDYDVHMNYDATPATEGHPTI LSTDEEGTVHPLSPSSDVIHRGSKSHTAESQGTKHLKAQTSGASTRTMLTSIHISLKD CLFTSTALDYALFKSPYGSYHKPYRGMELLTSALIVEPTEQGSIAQVHSSHGILSGTI KKSATHVLLPGSTRYQEVFVAYLDSGVRAGDSGAVVANETGQVYGHIVSGSSTSVAFV VPLSHVYNDIIQQSHNKSHAGWSQYMKSLEGLPNLGRDKYPTSWAQIMLDKRWIAYLG TLKGHKGLVRSMAMSANGQFMASSSADATVRIWNIQTGAQQQEIANRMGRFPSLAISA TGQFVAFTTDDHFVQTLDVKTGAIQSVIGVGSAEGPVSSVAMSANGQFVAINQYVELR TITTDNQWVGTVSIWNIFTGTKEYDLQWRCSKAYAYIPMAISSNGQFVALASSPDGVV RVWDAKLGQGEYLLKGHRGWINSLVISPNGVSVISAGNDRTVRIWDVKTGAEQRRLVG HRSPIKLLAVSTNGQYVISSSEDGMVRIWDFRTGQKKQTVQDLRGRIQAVAVSRTGRF LISASEANLLFVWQWTPIERASEASRV PFICI_11533 MLNQHELVPQQYELRAADAEGGQSDTFIALHSSLGNEQTYKWTF EAVTSNIFRTTFTTPDHPLPPFPSARKPVQDFGDHVPEVTHGQDGTSRIIRCGKIKAT IEWTGAPTVSLGLDGVDTPVHRDLPFRSYAVDGNGVAHYTAWKKDTLHVGLGEKAAPM DLSGRGFLITASDTFGYDAYRTDPLYKHIPWLINATPEGCVGIFSTSHARGSWSIGSE IDGLWGHYKVYRQAYGGLEEYLIVGNTVAEVVQSYARLVGFPLWVPRYMMGYIGGGMK YTMEDAPVRACDAVLGFIRNCEEHDMPCSAFQMSSGYTVAETEPKTRNVMTWNYHRFP DPRAFTREAHAHGVRLLANVKPYVLANHPAYPALAEAGAFFKDPGTGKTAVARLWSAG GGESGEGSHIDFTSKAGYDWWYQGVLDLKHVGIDSMWNDNNEYNIARDDWECSLETID IPKGEARRHIGLWGRAVNTELMGKSSHDATVEAEPKERPLVLTRSATAGTMRYCASSW SGDNVTSWEGMKGANALALNAGFSLLQCYGHDIGGFEGPQPTPEHLVRWIQLGIHSPR FAINCYKTNPNDNLVGDVIEPWQYPSVSPIIRAAIKRRYQLVPYTYSLMLKSALTAVP PQRWTGWGYESDPTVWSKEITRGDSQYWFGDALLIAGVYEPGVSSARVYLPGRSDDPG FLNTSAPYDFLAAGQWHEISSTWHSSIPVIARIGSAIPIGKTVPTTCVAGDDVEFPNL AKDDWRGVEIFPPPSPRHADIGAEASSGMSSSSSQEQWFTNDWLEDDGISPEAKADLF SVTISYAAAAQAIQVKITYQKQGSYEPPWLKTGLHVVLPVGEERGVVTSEGHVHSSDR DEKGRRVWIYTATDGI PFICI_11534 MYSNSNSFIGGGNSLRPGPQQYGSSFGMGQQPQQQQQQPGQQPS PFAPQPTGFGQAPLTQQYTGFPGQPGGMMQPQATGMPQQQQGLQPQFTGYPGQPQPQQ SFQTGAPPMPQIPQQYQQQFNQQQQQQQQQQPQSSFSAPAPQPAPGIPPPPSKPMTAQ PTGFSAMAASFKTGGAQSQPTGRRAEKKPSNKIPNIRLSFITAQDQAKFETLFKSAVG EGQTTMSGEKARDLLIRSRLDGDSLSHIWTLADTTRSGELHFPEFALAMYLCNLKMVG KQMPATLPDNIKNEVSSMVDIINFSIADDAAGSGAGATNAPEFTVTNTSAPPTIVQPQ PQASNSQLLQSQMTGFPGGQLSSQVTGYPGQFQGLQPQQTGFPGTNPQATGYNGPLPP MPPMPTGFAGGLGAPAAPLNAQPTGMPGQWGLVNTPASGLPNIDALKAQMMPQQGREQ GGFTTAGLSGNAVIPWAITKDEKKLYDELFRAWDGLHKGYIGGDVAIEIMGQSGLQKP DLERIWTLADHGNKGRLDLDEFAVAMHLIYRKLNGYPIPNKLPPELVPPSTRNFNEAL GTMKGMLNQEADFRKTSGASLLPQKTGVSYMKSHSLRGTPGSFGSAGRKDATVFKNDD DNVGYKSSARRRVGNQSPRPESPASVTSNDELSLDQLRKKIKEKQVLLDAMDFKDESA LEEDDILDRRDRREADELYRRIRRIQDDIDGHPDAALASGDSEAERRSMKRQLQNLTD KIPQVASEVRRVEKAIADAKLELFRLKDAKAHPNSASNIVGTGPGGAITESDRLKARA KAMMQQRTAALTGKKIDVSGDDSDAPKRLEEENIKIRTEKENNERLVREVEDSVRDFA RGIEDGLKEGGQTSANEHEKRRWEDALGVEDEVRDFIFDLQRSSRAARIRSQDRSGGR PATAEPVRAEAPPRIDSPSSVARTETPPAGAGAKSYSSYKTPEERAAFIRQQAEQRMA ERLAALGIKAPSKPGETAAQRSEREKAERAAKLKQAEEEDAKREAERQARLAEEQGVP PPAAKKPPPPPSRGRKADDATAKQVAEEEAAKKAEQERLEKEQQEERQAAEELESQAK QQEDELAHERDAADARLKALEEQVKQGKLKKEEEKRRKKAALAEAKDKEAKLAARRAE IEAARQRELELQRQLDAMDDDDSSSDDEGPEQITPQASTPTHEPEHKSPPPAPAAPEP PAAPAPPTIVASPPTETESKNPFYRMMSSDNTTAPAAAPPAPPAPAQPEVSTNPFHRM TQEKASAPAAAPVVPQPTGNFSRKRPDTDDEWGSGDDDDEEDSDDDRPAAGAAHLASL LFGSMGPPRPLSAAGNRSNTASPAVASPAIASPPPPPPAPPMSPPAETTIPDESPSAP PPPPPMPEASPSAPPPPPPPMPPTGAPDAPPPPPPPIPGMAPPPPPPPPAAGDMPAPS SGGRPAGFLGEIQAGRALKKTQTKDKSGSSLAGRVLD PFICI_11535 MSKPASANGSESDFEFVETPRAPTPAFEKSEDCGVRTTSYPSIK NAPLPADAASSDSFSTIALITAVAGVPLWLSWKIGGGIKTAIFFSLFTFVPILSGFWI TASALAPRKNEKAKYPGRPIEHYLTFKNQSDRLKYQGKTKIPLETFSEMYFDNEVDFN GDCLEVMEYRHDWASFRFTWSLCKFFIFQMIPEVIMHSRSQDEEQVRDHYDRGDDFYG WFLGPRMIYTSGIISDIEKEETLEELQDNKLAIVCEKLELQKGESLLDIGCGWGTLAR FASVNFDARATGITLGRNQTAWGNKALRDVGISKEQSNILCMDYRDIPVPEGGYNKIS CLEMAEHVGVRKFKTFLKQVYDMLDDDGAFFLQIAGLRKPWQYEDLVWGLFMNKYIFP GADASTPLGFFVDSLEAAGFEIKGIDTVGVHYSATLWRWYRNWLANKDKVIAKYGNRW YRIWEYFLAYSTIISRQGSATCYQIVLVKNINSTHRVEGIKSQYGLSGALAAAKSKLD LTSWAKQNADLFPTSTAL PFICI_11536 MADPTQTPQAPRIAIKFGSSRANSTKPASSTRKSGQLLPPSALG KRPRSHALNNDSDSEDEDSHYGRHEAVTTVGGKDDVNDRQRDAKSARTEGRPLVIACQ TEGSWKAAARRKPEDNSSGQDRETEPADHEKDIKWGLSITKKSSAEDSTGDAPGNPLD GSPTATATEKTPNSPPRTVEQNAMDALLGIDTNKQKKLVISGSETPTDEFKAAVQAAG EVSTIEEYDQIPDGEFGMAMLRGMGYDESKQTSRPKDVRRRPALLGLGAKEDEEIKKA ELAKKHGHRERRPRLDEYRRGENEKRQQREEKYSSSYKHERDRERSGHGSSRKYDDRD RARDRYRDREGESSRHRDRHSRR PFICI_11537 MTSVVPADRASLIQRNREICGSIGQSRKRKLRELYAVNTILDDV PNFPLGQLDTASVDDAEAKFLEANEISKGRKLNILTIPPRPKCPSGSFLHHATIDVKE KIATQSSQVATQDSANLLKNKHSALHSSMNNGQRLPTSPSTVRPPSEAAPKQSPNLAT TSIRPQYSPQPNLHPPQAIPAREIKTTAEAKPPGSQQRPSAATFNNRDAPPALGSKAV PPSRRDDMSALAPEALKPTQTPNVRPTQARSEHHKLSNQAIQQENVHKIQGSGQVSSN ATTANNLKTMGTQPLITGREPTRPADTLSSPSSTVHTVTTPAVLETPTNTSPESNGSH LEERPAGAAKPNVKSEGKSEGVPSAPGSKTSASNTSKELEAQLLQDSADAAAAGKSQN PPLLVAASDAIPKPEERAPTENAVHVVPASSSIEPVKDKSTTTTSIDSQQKPSDANDE ENARSVSDRDALDTHLPKREQPQVSTGGSIRQKSVAEILSGPSSKDLSVPQQIRADRQ SQVPITPTSQSPRPRARSFTDRHRLKEKSRLAGVIFGKQPHSASDADKSLVHARAKST GHMPTDDYFTPLFVQGFSSGSKWMKPLEQILHHAHKTVSTPDAYLHINESQACKVLKR VYHLQQQDKWSLRQPKRCPEPIRQTSQWDVLLQEMKWMRTDFRQEKKWKMTVARNLAY ACADWIAYPEERTELQVHAVTPDFPPKAKWVNSELVFDRDITMGSGDGLDIPENHGTP DLISSADSNSLLEQDEEPHDNILDTIAPSAIFTLDDHEVVFGLSASPHTDRLLEELPL YGAPLKIPDTDLVVPKHDPDAHWKREALPLSKFVEGRLELMSNEPPRKRSRYQHAQED SDEEPLFDQPRPKTQLEPENPDVALFRSDSKAMRDRLHAGHQFRPPSEHTMPFQTFYE SRTCSQWTVSEDDELRTLVREYSYNWSLISSILSTKSIFPSGAERRTPWECFERWVML EGLPHDMSKTQYFKLWQNRIDQAQQVIRQHNANALTQQAQQQQQQQQQQQQQQQQPQP QQQSGSAGPVTPIIRKRLSLPVKVERRRNQKHLTMIDAMRKLAKKRETAVTKQQHAAN LAQMRKQQEPAQPRAGPIKTPREYSIMRWERDQAMAERLAERMAAHQQQRMEAQRRVR AANTSVPTINANPPSQAALQARAQQGQAAQLLSGQTPQAPQNTQQLGATHPLANVNRM NAANQMVVNGQPRPRMPMQQAPTSNATMGPLNGGLVPPVQINGNGQVQMPVVNGQARM TIPTQPDLNLVMQAQRISEQQRQAVQMRQVQQQNHVPQQGSPALQNSPPAAQMRAAVA NGVNQKSFMNNAQTQALMAQFNGATNPGLSTPPAAGLNMPAGQSSSPRPNTNMTPQIH QTYLSQLQNVENQIRQTHPNTPQDVVRQMATQMLQNRHNSLTQSAMNAAAGGQGQAIA NGPHQYAQLLRAQQQAQAQAAAAANAQQQAQSQHQRASSGGSATPPVPTMPK PFICI_11538 MANKRKRAEPNNGTPKEESSVAVTKKAKKAEPFTLQIVAGSYDR VLHGITATITPGEDKADFADTFLFNAHTSAIRCIALSPPSAPEPGKGQKVMLATGSTD ERINVFNISAHPPSQKSKDEQDLLSKIAPRKILENPKNREVGALLHHSSTVTKLAFPT KGKLISASEDSTIAVTRIRDMTVLSSIKVPIPKQMGRPSGDTAAMGGAPSGVNDFAVH PSMKLMISVSKGERSMRLWNLMTAKKAGVLNFGRDTLAAIGEGRHSSGEGRKIAWEPQ AAEEFAVGFDRDILVFGMDGTPKCKVMPDSRTKIHEFSYVPLGSKEGDGSLLCVSTED GRIMFFSTETNDLSEAADDKKTESLSVAQFVAQLGGKAAGIQGRIKDFAVLKPSDDSD ELYFTCGSSDGKIRVFRTSIAELKKAKKVQDKEKKQVGKLLGAYETQNRITCLEAFVM IPRPDGAEDSEDEFEDVSSSDDDDEDDADED PFICI_11539 MSANQDGPPLLRPIPRRPFKLNLSGPTPPEDEDEEPQVLAHSPE SSSSGLLNLDFLNRRLLDPRSSTPRQHEPGSASADISRAQSYMNLTSSTLFGIYSPTT YGRDRYDQDELTTPWGTGAETPAKKLSLDEPHYDIQKERGRPMRRRSSLHPTARPTPL STPATVFYLGLRSLLLFGLGILYGLMIVSFQDRHRLGRLQMDDIGKSTASHVQFIAFW GVAGIALGALLPWFDGVWESVFGQEDDFESATEHAISSEEEEASQATDWGLAIRGIGA FVGIVYAIRKTQWASTLQVSLSLALVNPFLWYLIDRSKPGFLLSSTVGLVGSAILPSE LLIEWGGPAHYAWGTSEPTCR PFICI_11540 MSSAQARNSPPIPAPSTSSRSPTSLSSRSEKSDSPVGNPAGTED VAAASALLLSGAKDVREIIRLVQCGVCSRILQNPTTLSCGRSCCQSCIPPTYLRTNIS WPATANRLYGFECPFEECKKEHAIGDCSVDVTLNKVLDIIRTAVEQDREATLPQNVST RVVTLDRWSVAGLSSMEEKGVTTQVIKGGRIVSTYTLVENGKLDYKCEVTYAAIGATE EEVTEGDVAAFTSLKESVRTEMDCQICYALFLDPITTSCGHTFCRNCLHRTLTHSEHC PICRRALSIQPHAPSGSAPSNRRLAKIINGFWADIIAVRAQTVRAELQAELRGDFDIP IFVCTLSFPAMPTFLHVFEPRYRRMIRRAMEGDRTFGMVLGSATTSPDGRNFCEIGTL LRIVNIEFFPDGRSLLESVGISRFRVVRHGTLDGYVVGKIEKIDDVSLADEEAMEISE TMHGQGVRDFIQSRSNETSTATDQDPPQQLEEANVLTVQDLETKSTRELLEIGITFVT KMRAQSVSWLTARVLAVFGDCPNDPALFPWWFASVLPVSDEEKYRLLGTSSVRQRMKI CCRWIVEWEASRW PFICI_11541 MQESVELRWNGGPKRAKARQIPDQVWNEFETDIREMYGEMTVEE LASSMRRTHGFEATLRQYTARLELWGLKKYKTKKASFALGTVETGQETTPFEHHDTRQ TTFDDVGADSKRRRSTPSTETYDTEDILSEMTTAKRLKTDPRPQLPHRVRSHTSSGGC YPMLVDAEPAKSSGAKGSSDPIDITRNHSLRSQSSNSLQNETFCPLSERIVESILTQP APENQSFALSITLDFCSKVAKKIGSFRRPRYGPMLSFAIENRLKIIAEYLFASGKFED AANLSSIIFVSEVLRGAFRDDFYTSPSLILCARSARSQFDCRFIQRILSEVVSRLRYR VTRPSAEQFLAHAFLANLFSRQRKHSESGHHLGQARSFANKLVDNGDLLHSHLSDVLV YVYYKRFCHLDHTFIPGYKDPFVATGSTSQKGPAISHSDFLGFCSAMKRQGFAVRDSH ASTVTRYLRESLGWCQGVIAWQESCPEKLTSNSGESTTFVSLWQMWHGAFVPALAPSS WLIQAQEYLGVPLAEHLYVCSEVLEMTRVEDDNLITHYLRRLYERTEMPQEPVACVQE PWGLYERTKKPHESLGVAIRRLCEREDIQQQSSSNTVSKQPSLEKSSGPGQRPQSLAP TIAPSLRSADSSYRRLHEVSLAMKRHTRSIPQDHNASALPSPGLSISSYGSNFSMGQL SDMFEKSCSVSLSSATQGHHGPDPLRESEIMFVDSTTKVHLVGPGSPVRIPFIPPPLW PFICI_11542 MLPLVLLTFLSLASQASAATWYFLRYYTPSGAKFTSFSGEMVIP ALPQAGTYYLWPGLQPTDNSGVYQNVLDGRSGTWWIGSGWCCSNPSLPWGSGFNTYAG ETVTFSNTLKSDNSGWTSTLTRSANGASVTDTFALADKSFNQVLFAIELTGVSWDFGQ LAFKNVRITSTGTDSSWCTSSPENYNSATTYSISGVSASVSGSTVTCTISSVILQKPA PFICI_11543 MSSLDTRDARAARRARRDQSSAQNTLGPSRLHSDAMPRSLGEQD TQNANDEEVSEDDTCPICRLLLHEPVTTTCNHTLCKFCMAQWASVSLAAPMTIVDVDE EPVEFDAVSELEARCPMCRTMTTATANPALAQELKSRYPLTHGEREAEAHAEADGLGG DAIHTITVYIGNRHTRIPPSPGSPHNIHDWTFFVRPSRTDIVEEVHIFLHPTFRQNRV VRQRPPYQISRVGWGIFTITASVVLKAGYSWVSDDAQDSPDGAVKGVLPLEWMLDFDG FGGKGSMGRCRLKVKNDRDWDGVSEEEERDQREWGRMIRQYERDGRYEP PFICI_11544 MAFVYPQDDDDTDPVLKLLDLHCPDPKGDKNKSRGDEHGNGQGG PADLGSSRPPVGYHRPCVLPISPWPHQRIDLITPYYGRSVDSNNLSSLGPMSTYPGFS DGHKTHTSFSLQETPLHDAPYDEPINDPSQYGNFPWISWIAKQPHSPSLLEAYQAYHQ PKGLRPFPRADPANDQSRRTSGQEGSSDDCQIRHVSGRQRRRKKRLADGMTKENPILI EDDLTIGLAIEPIDSRASSCTLDFEHGPPRPPVNNLSDRASFVASPFTKSPGPPRVEL LPLGSMPRPPRFGSSTGSVRQTIEEIEHYIRQDEMHRRYLKHTLEDITREVMEMQSYL GERNH PFICI_11545 MAAKPKSVQAAEKRAYDGQNESQDSQTLMERYHSEHGIGTTDPM LNKSAGSLNFKDVNAKVESAAQSTRVGKSTKLPNDDALEPETRPIDSLPLAFNSDHRP CSSYKASSPRSSHALETGRSQGQLQTLRDAGLFSAIVDRPSLLTKNGVLRPVAPPKVA GPLRTETLKNRKMDDLSPESPTQSNDGRSYEQYRSYYEPGLASSQPSKEQEQQRPSGL IDQAESQISRASSSHEHRTLHEDETGAVKFDWNPLENDGHDEVTPSELTGTSQPNAIA GSALERGGMPAPTMLPPETPLVPSRMFQSNGTASDLMGASQLFQQTQFTSGAKKLASP TSSRPSPMIFNHNTISPNNASSPLKDRGLRTSPLQGPVTSPACSAPATSSVVKPREQQ EPDIVLETIPGSPNAFQSKLRHRPDPIGDYAPIRNSPDPYNTLDRSQDQTDSDDDNSQ ERRRLARQRQERANRSLTNITLPKQCSKDNIEVPSTNRRKKNALAQSTVASSIEVIKP RLRPDVTSGHDSQETVADSQEVSGTTIGVPNSEESPAEKIIIDVAKEDVHSELPSAPQ QSDETNETNGTKETIPETSPPGSRLPKRSTQPTKVNSTEQRTLRSSGRINQSSRLSSE ETREVPSSPPLPAPIAASTQGPPRRSSKSRPAATPIPPNSAGAVSENGPPTTSSTLTV LTETPQPTSSSTPNTDTNDVQESENRDHVPTANNLDLSSPAAAKNSRQKPTRLKTYSS PRNKRHASVSTDELAFSTPNSALAGRTSRSFLRKSVNETRQHHSNAGLFHGMTFATSF QSDREKQSVEKMITAGGGTIVTKGFDDLVEVGPLESDEIPRIRYGQNLGFAALITDTH SRKPKYMQALALGLPCLSWKWISECTQESEVVDWSTYLLAAGHSQVLGTIRSRPLDLY DAKTTSIERIIEKRPRMLIGSKILLVMKKSKREEEKRMQYVFLAQVLGASLHRVSTLE EARAELKKNEDAGNAFDWVYVDGHPTEAEKILFGQGLLPSKKRKIQSTVDSAEEQPCP KRVRTLTDELVVQSLILGRMVKDGELGATSS PFICI_11546 MLGESHLRRRPLDPPAQIYSHSRTRTTSSNIFPPQQQQQQIHAP TPMHLQQPPPGMGHTRRSPSVNTFSTVSTNPPPPAFRTSPPQTDLRRSTSSRSGGGAP NSYVALLRKQKATVWCDRAQYEDPRMLAQQKAAKQRANMEMHGGHGTMRTSTGISSAG KVAAKIRHHGKPGVVGYAPENNYIGVGGVPMRLSATEVEGEDSDDDETMQRLHHRRTG SSGRSSTASGRRSIPYRTSGPVGTGKKWSPADTPERAGSMVDPAPEYSVGDDRQLNRA ESTRSGSSAERADALPELSVTSAAKLASNSLLNAALTREKSVKNPEELRRRGSVDERT ATLTSTRLFIANPD PFICI_11547 MAEALGLASSIITVIELSAKAVKQCKHIIDTARDAPKDLRNILV EVSSLKAALENLDYLTKIDHDFAEEVSNQKGIGNAVNKCEETIGDLVKQLGDLTIRDS RQTQPGKRAKIKAAIAWTWNETTARKLINDVLQHKTTITLGLLTQTSREIHEVKLAVQ DVQGTVSNDQRRKICDWIQTTNPTSIHNSALKNHETETSQWVLRLPQWESWLRGENSH RFLWIYGIPGAGKTVLSSYLVKQCQSYCNGSSDKPSICTYYYCSYRNHQDETLPMIRW LVSQLIRITRHMPNQLHADYEKDHQPGFYTMKDALCELLVHVQTVYLIVDAVDESQPR GELLIFLEELVTDPSFGRIQLLATSRKYHDITSVLMRLSSPISMSNEEVDKDIRRFLA ARLEVKFTGWRAKYKTNILEVLVSKAQGMFRLAFCHVELISRKRTQAEMLDSLDSLPQ TIEETYELILLEIDDQDWQSARTIFLWICGNDMIPYNEPIPISTLISVLNNNGSGSAI SLPEDGKGYVEEICSCLITVGSSQFGSNLLSAELDAARCAHYTVVEFLFSEQIKHSKV KYFALSNDDIFTTFVGNTLAVAETVNSHAMCPPYWESFESYCARAAWLATFTWEPDLV ESPALWTNLINIWSNNPSFFQDATNSYAHDEKKFPENNFAFYLSPEHNAESVQTFAPR ILASLFLGSCHILANKLISHYGSQILELPFHFRLSFVKQYPQFGSAIEAAAIPTARNF TDYPILDCIEYLSEWFDSTRIALICVGLHAISGRDCGCYKSNNADDCRFTRMLRQYVK NGDGVQHRLTPLQFAVYCWDLDAVQALLESGADPNGLGVPDGKSTPIHLYQVDDRWWA ASPLHLLRHAGYAPEEIDEYFDIKKSREARVSDIEAMLIEFGAQDFGSPTSTSTGPEG CTELDKSCMDSQAVIIAE PFICI_11548 MASKNSQPYAFNPAGVSPPPPTYKHVAVTPLLPTSKLITLAGQI GVDSTGSFVSQTIKGQAPQAYANIKACLAAAGATPRDIVHVRHYIVKETGDPETDRLG VVDRGWGEAWMEFMDREAQGHRPPDTVVGVASLAKKAILYECEVSAIVH PFICI_11549 MAEPQQRPSEGEMYQPIGNVWCNDGREIALLHWIFKHPKLEEMR GHPEKVLDAIDEYGKAEKYLMNVGKYKSKTVIDIINTVKPAAMVELGGYVGYSAIAFG AAARAAGGQRYYSLEQNPEFGAIATLLVDLAGLGDFVKIVIESSGDALKRLHKTKTLE HIDLLFIDHTKPLYTPDLKVAEELGLVKPGSVCVADNVVIPGNPVYLEYVRSTVEQKR ERYNAATEGPKGNPDLVYENQFIEGWEPSGLADALEVSRCVKVSS PFICI_11550 MSIDNINQEGFQRRLDVIASILNSYQLEVRQATAVTPVEYEEDC PFPYNNFIYKIELSSPLGPSTFSAGTPRPGTSAPSKPNVSAIIIRLSNPRANGLNNAH RVENEVAAQALFRQHLISNRPTLTHIIPELYAWEPCRQPEVSGEAGFGWTICEFMPGA NLAEQFEGMQLSQKLDVIEQVADVLAAVQQTLLPSQLKGHFGGLTFDGQGQIIGGQMS ILPGGPWTKYTDLWVSRFRQQLHDADKSSVLKGWEKSGLRARIDRLVDAKEVAKLLDG VDTTQKTLIHGDFTLHNFLYDQTTSRITALLDFDFSWVSHPSHEFFTGLWSIGGGLRS DNDKIITAVLTGKFDEIDDSLSGKDKDKWQVAQSWNAALVERGAIRPASIAGIDRLRQ LMELEDALCPSRLGNERAIERLQRQDKLAQAFNAATDKLTALLNDLDS PFICI_11551 MVLQDLGRRISTALHDATRAPNLDEKAFKAMLNEISHALLEADV NVKLVSKLSNSIKSQVNFKDLPPAVNKKRLIQKAVFDELVKLVDPHAEPFKPKKGKSN VIMFVGLQGAGKTTTCTKLARHYQARGFRACLVCADTFRAGAFDQLKQNAVKAKIPYY GSLTETDPAVVAREGVEKFKKERFEVIIVDTSGRHRQESALFQEMMDIQTAIKPDETI MVLDSSIGQQAESQAKAFKEAADFGAIIITKTDGHASGGGAISAVAATHTPIVFIGTG EHMLDFERFAPQQFVNKLLGMGDMAGLVEHVQSLKLDQKDTIKHITEGIFTVRDLRDQ LGNIMKMGPLSKISGMIPGMANLPGMDQMDNEESSAKLKRMIYICDSMTEKELDSDGK MFVEQPTRVVRVARGSGASVREVEELLTQQRMMAGMAKKMGGNMKNMQRAQQAMGGGN KAQQMAAMQKRLQSMGGAGAGGMPDMASLAKMFGGGGGGGMPGGMDIQNMMKSMGMGG MMGGGGRGGRR PFICI_11552 MASDTESGRGATFDPFQLRVVIGSGVFNYNGSVLEVAGPIGLLL AVLLNGVLAAFVGESVSELVQVFPAQNAIYLYVEQFVDEDLAWVASIAHWYCWASIVP VQMLNASRLLEYWPKIDDVAGPMAFYGFTPWVLFAINLWGVDYFGWIETIGGALKMVV VFAISCVLYNVSIQKQGKSDPTLDIRDANSTCSWYNISAGTRVLCILVSIRRPPPVIL STSNHQENSFVVPTVAFIFLGVETTAIAAYEAQSTRSIARSSQITHWACLLLYFMVTL GIILGVKWDDCRLPGVWKFIGREPISASSPHTDSATIISIWDVNPGLADVANGAIIFA VLSSANTALYVASRTLYGMTQKLRDNHVFSRSFKRTFGQVWTSTGVPAMALLVSLVAF YWLPFLSLGLKRDNPGALDDMIQIVSLTSSISCVITWTLLLIAYLRYDHWTSSCAQGL EKAGMERFIRRHPKYKAWNVLIWLQPWTARLALLGCLFIFACLSATWWTRTEGAGFDM VVVAYGPHVIALAFWTFLKLWRYGLHFSKWWPRPLISNRHPTALIQKLSHLERLSVKG EERRKQEFGTTLLALDSALGQARTRASEPGNRRVSGTEVSTVEGSRVD PFICI_11553 MNNSRDQHARRRACFESIANGIQDAMCMADDPEKAFCRSSDMRA IWRTSQLRGLLFDSNLTTEQIEAIQNRLLGFLSFIVWTDLPELDWFSTVKSYLFSSPN STITRFTDKNLPLDAEELASMGLSPRRVKRSLGDQYRFIPAILDFSHQPQTQNIDSRL RMPFEFRSTEEISGGYGLINFYQVSPGYIQSRKYSQFPWTANEPYAVAVKSFRGDEEA LDDAKKEVDTLCRVKRTLPSEVYRITLHHTIIEQDSRYLIVLPIADLGNLHQFLHAEA AVSSRTYNLAPESFDERFRDLPDRGAPLAGALAKECVAIADALKWLHAGFSDDHASSV NLAHMDLKPDNILLFNIGDGPVGWWKLADFGISVIKKVSKDGNVENATMHTRARRPTS TYQAPEIERAWDDSGGQFKVGRKSDVWSFGAIFSEILTFTETGPPGVDQFRDLRRGPY DSFYSESPRQSTHLSIQNHGKNYQLRSEVTEWLQELRNSAGPERNYVTCWAYCIESLL VADPEARPDAEKMYANVLHVSQHIDQIIQKRDTDCIFTLHHRQQQQQPPPPPRVPDIS IETVGQRNMSIGSSVRHSDIFDTGSDGPSHDSSSHVYDSADFSTSFKHGPPAIPPMGW QHVETHAMSWEVKIKGSTPSAVAVGKYWIAYLAKSTISLSRILPDKDGNNITETVRAI DLPFKARTDRSGIVLAGQYLAAWGESKTSEPMLHVYKLDYQDARATPIPIPIPTRYFE HSKRIAISLEGFVAVINMKELFILHVGSPNEIHTRHTSRDVPLEQNCYIEDIAFNEEG NLLYVWATGLSKGWLLCYRVTELPSIELEARTRYDFEGHVKLHQTRLLPFNQALGCLV VPDGDNQVAFVPDSAILHHAPRTLYAFPIQPRLLDIRAACLHQDQSFVVVTSKRIPSS SLVHILPVRPTGGFEEESTLCRLDSRLKEGSAMQVILCNDEAASIILICQVDGKIYAI KCRPKR PFICI_11554 MKPSFFSSALAGVALASGAAAKLKWFGINQSVAEFGSGVYPGVW GTNFYFPSTSSIGTLIGEGYNIFRVAFSMERLVPNTLTGSADAAYLANLTSTINYITS NGAYAVLDPHNFGRYYSNIITDVSGFGSFWTTVATAFKDNANVIFDTNNEYHDMDQTL VLNLNQAAINAIRGAGATSQYIFAEGNSYSGAYVWNTTNTNLAALTDSSNKLIYEMHQ YLDSDNSGTSDVCVSTTIGVDRVIGATEWLRENGKLGVLGEFAGGANSQCQTAIKGLL DHLQDNSDVWQGALWWAGGPWWGDYIYSFEPPSGTAYKYYDSLLQTYTP PFICI_11555 MALRLPELVRDSCLETIFNAENTVHIYHDRPGRRAIPRRETWKK KRILGSGGNGVVWLEEQLDSLGKDPSAGYRAVKQITSTESKSILEICKSELEALAKFS TRKYAHCFVQSFGWYQGPNVLSITMEYCPNGDLQNYLLKHVRLPESDTQEIISQVVQG LHFMHEEGFAHRDLKPGNILIKSLPPEDYWWVKICDLGLSKRIEGVIGHSTTVKGTLG YLAPELLGFGDSDPRKADPYAIDMWCLGEMTYRMLCGNATFASYGQVREYQLATRPFP EEQLYKIEASKASVSFTKSAMKAEPRLRLNVHEAFYHGWLKMKLDEPQTSDQSTRRPA GPLPSFNITDLSRHRDEEPSGSWSTITDPMNRTSIPLRPFSAERVPQESRQPSYGRNY APPSPLSKEERLGYEQTRRSSPARARSSSGSGMNQNERQRHTHDEPRRRSGTFEPEKY EDGSNKMQKAAAASIIAGATEAFRVHREPGSSSGDKMRRILAAAAGAATIDAATDNSR RNRDHDYRHGYKDNRRSLDPATAIIGDLAANRVVNGPSSNDRAEPAETRRGTAEPTTS ARVSTSPAMEKLRAIESYWNTTLLPRCLAFSTSPPRDIQDRHNEHLRLNAWADREIFF KLDEIVSGDDEMEAEVRAKRKVLLVQVQSVLARLEPLVDPVGQDDRLRYRSNRDDYLS TEDPRDLGTPDTSTTSPQHPYTGRKVGPEYDGQRNKGSRSQRNREYPASTDSGFAEQR DPNDRPRNFNFSEANGFRFSDPSAVFAEFMRSQPGGPDILDEFLQNEPSPRRGRGGGA HSTRPGSPPSSVKPKSSGQRDPQHDGRSFKPQYAEDIFAEFIRSKSAHQYP PFICI_11556 MSGAELPLAIVGAVDLCIKYGSKLMKLCAAFRGANNEITERILR LETGWFKTELQLDFMRRVPSLMGGYHRQLNEKIVETLQIKLNVVTTKIERVIKLPEDD GDESTAGRSNSSVKAKRWKYALFKESIDEAIEELELWQRTADPSWYLILKIANSQVDQ ALKAGNTTTAASFPSTRAIRAGLQSDKSSEASGIFLPAQDLESMTVQRITFCEAKAAT RNSTRKGPQNFLLAPMECPPIANRQLVKRNLRDLARKLQHNDPGTFGLLSCKGVVEQL DSTDTDAQQRVSFTMVFRAPNNLSNPKSLRDLLLSDRDRVALSDKFDIARQMATAISY VHTFGFVHKNMRPETLISYVEPQKSSSPNVYLLGFEDFRKEEGKTYRIGDDYWEKNLY RHPSRQGPTPDSEYIMQHDIYSLGVCLLEVGLWSSFVLYDAEAEKVTPASVLGLSQHD GEMSQMDFTNTLLDLSRGELPRCMGRKYASIVETCLTCLNPDNIDFGDERDFMDDDGV LVGVRYIEKVKSNALAYFRGTTNTKYRFYHS PFICI_11557 MLSTKDNIRRTLGTLKKGDMIANLIKIDSWKSRSTKLATQPCKA SKQGLGRCWLDTGQYNQGLSLTEIIQAAHDCLVYLKDGESGTR PFICI_11558 MASTPTDSNGVPLAYYEPPHSLVNMSDSTYGGLLAIGFLATVSV LFTSVLLSFISWRMIVWRSHYNSFIGRNQSLVLIYQLILADFLQSLGFLISFHWAANR EILGPNGACFAQGWLIQLGDVASAFFVLAIAIHTTYQIIYGRSLQYKTFVGMLIGVWG FATLLASLAPITSGRYVFLRAGMWCWISSEHENLRLLLHYLWIFIVQFSAIIVYIAGY WHLYRSRRSDSIMIHGPGEAALRRASTTMLAYALVYTVLTLPLAAGRMAAMSHDSVPD QYYLFAGALFTSSGWVDTALYALTRRTLLSAELGVAGGGGGAGGDNHNGTIRSHNIPR QSSTDSILAQTGFANTNGIVMDRVVKIELDDMETASNESVRKGYYVSAKAEK PFICI_11559 MRKQKGEKVDERVITSIGSRNTNGRKLISKDHPLTEATAQIITH GPISGSATDYPTPTLPSSVNYAASVTPNVDDPSAPDAQKLCPGYTASNVAENHAGFRA DLTLAGTPCNVYGNDIADLVLEVEYQNATRLNVRIYPKHLVPANHSQYILPEFLSPVG IVEAGSTSNNSDLVLEWSNEPSFQFKVSRVSGGDVLFDTYGTVIVFEDQFLELITSMV PDYNIYGLPESIRPFRISNDYTQTFWNQYPVMNDNPLDTNLHSVHPVYAETRYGNGSS KSHVVYGRNLHGQEWLMRPDRIIYRTIGGSLDFYFFSGPTVNEALAQQQLGVIKTPVM QPYWALGFHQVRWGYRNWTILQEVVDNYAAANIQLEGIWNDLDYLLQYRDFQNDENTY PLAEGKEFLDRLHANGQYWVPILDPNIYAPDPTNATDAYAPYERGAALQAFIRDGVDG FYYGDQWPGYSVWPDFLIPQSQEFWTNEFLIFHEKLAYDGWWLDLSDLSSWCTGSCGT GNLAYQPVHAPFKLPGEPGEVSYEYPEGFEITNATEAASASAAAASQSAAFPSVADQA TPTVGFTEPTPGVRNISYPPYVLNNTLTGHALEKSAVAPYATHSDEFNTTEYEMHNLF GIQSSNASYNGLVAVFPGKRPFLISRSTSPGSGNITGHWGGDSNSKWGNMYLTIAQAL TFSIAGVPYFGVETCGFNGNADMELCTRWMELSAFFPLYRNHNSRPGNTIAQEAYVWA TTAEGTRRAMDVRFKLLAYTYTLFWHAHTAGATVMRAVQWEFPDDSSLRGVDNQFMIG PSILVTPVLEPLATTVRGVFPGVASGTRWYDWYNYTEVQAQPGENKTIDAPLVHIPVF IRGGSIIASQKPGNTTKTTRTNPWSIIVALDHDQQATGNLYLDDGLSLVQNATKEINL SFTKSTLSVAIAGDYSDESPLANITIAGWEGMLPGMSVSAGGSEVDCSGASINLDANT LFVQNLSGILKNGPWMEDFSIHFGNGS PFICI_11560 MVEAILGAIHLEGGDAALERAMKKLGLLEHPYLPEDGQAAAPQY EVASIFQRAKHEVKVLLGTDEPEVLDNYVQERKHKSHEKEAAQNAPFRGDSGPSDRLS PFICI_11561 MNEIFAKAIKDSELLRKTEVPWNPDKPFHQPYNIFDTTTSSWIP ETPSDQSILHDGIASIALYSWNIDFMLPFGEARMKAALDHLGGLVKDLAATPSIAPVI FLQECTPEDLVTISSFPWVRKRFILTDLDATYWATNHYGTTILLDNRLSLTSVFRVHY LQTRMDRDALFVDVAFGTGSKTQIVRLCNTHLESLALDPPLRPAQMRIIAEYLHAKGI HAALAAGDFNAIQPFDRTLHSDNNLKDGFLEYGGRDDSDEGYTWGQQAATKLREQFGC SRMDKVYFCGPLNLLEFTRFGQDIQLPEGTSENSEESQKRNELVALGFDKPWVTDHIG ILAKFKLEPQDENRGQL PFICI_11562 MAVVPSRLRRRLRQLTPLLWVLLALLVEICIHVSKFHVPVPERD LDPPFYTSCQEPDTSAPRENAAIVMLARNKEFAEARNSVASLERRFNRWFQYPYVFLN DEPWSDEFISAIRATTNASTTFEVIPQESWTFPSWMDKDEAQASIKEQGDRGVMYGGL TTYHHMCRFFSGAFYEIEALTKHRYYWRLEPDVDFSCSITYDPFVEMAKHKKVYGYTM ALWEEKDTCPTLFREVSDWKEQNNIASSDLWKASISPSNLPWMLRGFSSWFSHRDRHG DGWNRCHYWSNFEIADLDFFRGSSYKDLYAHLEKTGKFYFERWGDAAVHALAVNMLVE PEKVHHFADFAYRHDSYYQCPTNAPGGQLVESRTLNAAESTWAPEVKGGIGCRCDCDG RTTRNHPSYCLNKLKEPTSPKRPWSTWLLGWVS PFICI_11563 MSKTAPSSRPVMPVLRRRRRLVFPIIFLAVTSTYLWFPQITWYG DKYSQTGPWSTQRNLEQLFVPIEDELDCLHAKLQPSIHDEFKAANPIPNRVHFIYGLS NPYQKPGAGTFDFLCYLAVRSAIIALRPEKVSLHYTYISEPPSPDANASPLTNPWIKR LEDDITLVHHAPEEQAAHVSDILRLKLLQSEGGVYLDMDSFALRSFTKILKSPRGIVL GYEGGNRYGLCNAIMAAHPNSTFVERWLDMYGGQDLSREWNYHSVILPGEMQKEYPKE VCALPPTTFFWPTWTWHHLEWMHETLNRQEAQHWIAEIEKNGGSLFEDQLAYHAWSQM AWKRFLKDLTPGNVRTKNTRFNIMVRRFLQDDL PFICI_11564 MDNVQPENQGWRSRLYSQVPLKSKNGTVRLLTIEPRSRVRSNAA EDQNITQCFLKLGNLKTQQSAFVAISHACEKGGDEEIMVNGALVPVSTDIAELLRSLQ HEHDPVTIWMDALCINYSDVEEKSAQLAQMPQILSAASSTLVWLGGTADGSHGAMDAL NRLDEEHLTPAAQKLLLAALRKTPWITKLPTTVTQPSQPAKEESLEDQLDALRTSFKA LMSRPYWSRLWSLTELVFTNKGTVMCGTRSLDLHRFHAAAKALDGVLNMATYSKWLEA NKTTNTSANLDNTEPANFSASPALLLLAEREFFVGSRGWWSSTEHPLLTILMRYHLNR AETRLHLNPDEQCDLIFGFVGLSSDAEKLGISIDYRKDWAQVCAETTTSLLQHNPRVL QLCLGHSKADDGRSSWLVDWSKIALPASYDSGRSFNACGPADVRFYRADAQDAQIITM KGVILDIINSVTSVSNDGENITEDIKKAIDEKFQQSLSMEKSPYTQDQQPNVPTSMLK ESCFVTDNGYIGCGEGPTKGDVVAILYGSEVPFALRKQADETYRLIGEVYIEGIMEGE FMKSHRAESIIKIC PFICI_11565 MNAPTSTKYIESISGENFSLHYRIHRDFELAKAVDKRHRGIGIV YTLDGNPHDRWHYYFPFPSGTRKGCLSYRESTDSQGRKIHQPLRFATITILESDDKDR IEREKKSSAQLGVLQVEFRLITKVKQDIPSEESVCKEGNSTTDEAEAEDSAKPLELAE KALKGRAISNSTSLGPARVIGRRPRSVTSSANPSAKRKPKWRWKYILMKDEPPLAVFR FLYRSMDDLQKELIVPRNPAALVERKEVSIENLSMEEIMQLARERLNQKNADTKVKTE EKPTLKRPHGDIIDVEDTGSSDGSGNSRQRRIFIDLTE PFICI_11566 MSAVDNTIAMDKVKGSASSQIDDNVSSAEGQIGLSSEIYQQRPE SLRNLSETEFNALNKRLVRKMDLIILPLIGILYILNYIDRQNLAAAKLQGIMEDLDMT TQQFATAVSILFVGYLPFQIPSNLLITKISRPGMYICCAVVIWGAISAATATVKTYGQ LLAVRAILGVAEAVFFPGAIYYLSAWYTKKELGKRIAALYIAQQVGNAFGGLFAAAIL KLNGQHGIAGWQWLFIIEGSATVGIGFVCACFMPEFPHNSRILSQIERDLAVWRIESE AGAAEGHEQESVLKGFVKALSDIKLILLILCNLLSQAQGSIANYFPTLVSALGFNSTI TLLLTAPPYVLAGGVYYVIMFYSDRKNTVYPLILMCLSISIIMYIIPMSTTNVGARYF SMMILPFASVGPQLLLYKTINLHLARPVSKRAAASALVNALGGTSNIWASYLYYAPPH FFAAFGTLMACAVLFMATITFYRWVVHRENKRLDSGDSELIAKVIKGGVTQEMVDMDW RYEMY PFICI_11567 MAYPTPLTTTIENPRLRLLNKLKAGEYPLMTFMAIPSVRMAQIV ALTGVDGIIIDCEHGHIGDDAMHNSVAAISSLGVSPVIRIRGPAHDIIKRALDTGAHG IMVPQINTAEEAEQIVASSKFPPQGVRGQGSAFPAIGHGLTTPEYMKSANETLITMIQ IETRAGVENVEAICAVPGVDMVFIGPNDLAQSLLGYTPARGDEPEFVAAVDKIIAAAR KHGKWAGRMVNNGTVAKESRDRYDMVAITGDTKAIQNWYIAEFEIARS PFICI_11568 MSRQLISSEKFPTKPHNCPAVKVPGLVFCAGQTATGEIKQATKT CLQNLKEVLELSGSSIEKVVKFNVYLADMKDFAAMNEAYIEFLPQPMPSRSCLQAVAP GAGTVIEIECIAQA PFICI_11569 MASAAPFNPPAADLPGKPFVPEWIPPPITQQKENFATLKSIDLS LLDSEDPKIVEDLIQQVKIAIRDDGFLFLENYGISLEQLHRQFAIAQYMYNNITEEDK ERLLFSPDTGVWSGYKHPYGFKRHRGPPDGIEQFNWYKPDWEDVDNRVPKCLHPFMDE IEEFCNYLTKSVNRRLLTLFSRVLELPDDYLWDNVQSHGSPTGEGYFRHALFRPVQKE TEEASKGLRMHGHTDFGLTTQLFSVPISCLQIWGRDEQWYYVPYKPGALVINIGDTLE IVSGGHFKATRHRVFKPPVDQLQSERLSLVLFNSSVGSLPMSPAWNSPLIQREGCIEE QGIFKEFKKLTSNGQIVPTNKQWREIQISTATDPTDQEHNRVGVHQVVVDGKVMHQRE YMGMKVVLPV PFICI_11570 MSVSIASAGGSGTFQPYQCLVCQSRFTRHENLKRHAALHSRPQG QALLSCELCDATFSRQDLRSRHMKRKHPEQIGRRMTRASRQDPATTAAAATATQVCGA RKSRRGKASPSSPAESQNGSGKGDECESGMEDGIWQAALGLEQRHQLDHDQHDFMGDG IDLNNGSGTTGAGDSHSITALLSDDQSMSDLMSIENMVQDVATATTTSNHQSLLLGQS LPSPAFHTTSTTNVASDQQATSLNPNAVHFNFNDIADKLSPSSPPQLIDDWSPSSTQI SRACGLFFTNISHFIPFLHRATFDISQTPPHLLFSIMCVAYQYGDDPDCEDATGSGAS LSIRCFHRARALLSIEEDGQDESMPHLTMVQAYFLLQICSMMYLCGKDSAYGLKMHSK MISHARIGGLLQPTPNDSGSAGDLESLWRDFIGMESLKRTVFAVHQIDALWYQILSVP RQLSHLEIRHDLPCPEKHWTASSAAEWAHQQLIARQSNSPIRYTDAVRRFLSSEADVA SIPAFDPYGAINITQFLISSAREISGWSTMTGMLSLERFEPLRSSLVALGPYIRQQPD ATPSSYAALCEATWQTAMIELQVWSPSHVGGIVEGSIDAILTQSTYLSPHVELLSETS IAKAVQPHVNWFLRYLDTTMTGEYEAPWVTLYAYKAFLIAWQLVRGGKPGSMEIVGIH DGDVEGAMRWARKVFLRRQRWQLGKLIMKCLDSIQN PFICI_11571 MTTIKICEDESNDESRRFRQDVITRAVNVVDTKELSRVEVSILR DHAVQLSNTNDSQTKRIKDQDKIIQAQTDKIKRLQSKLKERNAFIEQQRKVCDKQTRL HNEARKCVKSLEKELKQAEDRFEKASKGIVDVVRHMFLHVRRINDVTKTHGRRMMEKG KVEAGEPPCAYTEDILDDEYHDANFHESQQRNVVNGLLKKLTDKSGISFAGAWEFAEE QMRSGQRS PFICI_11572 MLGKIALEEAFALPRFQEKTRWWASLFATDPAKHAAEITDIAET RIKYMDQHGVGYTILSYTAPGVQDIWDPKDAQALAVEVNDYLAGAIKSHPDRFGGFAT LSMHDPNEAAAELKRCVTQLGFKGALVNDTQRAGVDGDDMVFYDGPEWDVFWSTVEEL DVPFYLHPRNPTGTIHEKLWAKRSWLIGPPLSFAQGVSLHILGMVTNGVFDRHPKLKI IIGHLGEHLPFDLWRINHWFEDVKKPLGLSCKRTIREYFANNIWITTSGHFSTATLQY CLTEVGADRILFSIDYPFESFGDACNWWDNLKLNETDYKKIGRDNAKKLLKLDSFKDS EA PFICI_11573 MSQYKAQYPAGVTVDPEVVQFFEDFYRISDTPGVHDVYVDQFTS DATFKLGSKSSQGRDEITTLRHGMWAAVSQRKHTIQKIFPFGGESREVMLYGDVEYEL RAGGKATVEWGGHAVLEKSAQDGKLRLKFYQVYLDTAAASSK PFICI_11574 MPYITLREKSLYYRLVSPEQGNAATGTTFLFVHGLGSSSSFYAT IIPSLVAAGYTGVAFDTHGSGNSKYNGQYGGIGGIVEDAKELIKQLSLDTSRLIAVGH SMGAIVASELSVQLGLCGAVLIGPVNPNPGLAPIFDARIATVEKNGMDAMADTIPAAA TGPKSTETQRAFIRALLLSQSPEGYNSLCKAISDAKQPEYAQSQSPLLIISGSHDKTS PLEAAQNIQKSWGTGVKTRLEILEGVGHWHCIEAADEVEKLIEAFAGELR PFICI_11575 MFRYGKGNPPEAAHSHDFDNDEEQGHSFAFGYYSRGRITDLSGQ AIPVNPRLNESASARGWPVFPLLNPFFTSEPTLETDGGPPPQSVERQEEKMEHGKEEG LSEHWVCLGQQGERQH PFICI_11576 MGSIQEQYSSREESARIVGLLCSLFDPIGLPTEAQTVAKNVIFK AERDQPIFPIPFKETETTAALKAIEGSVASLLAATQKNTDSNRQVTVSLEKTTAFLFQ AYLATVGGLGKLDKSVRSLLKDTDLLQAQSDPYRRMSANLYKTATPGQYYHIHGSLEA STTLGFLGLEPFRPDLETHEQIVETIESAVKKFTPEQLEAMNAQHRQAGVQALKHEEF LKTQHGQVNSQIPPWAVTCLEDKSPKVGLPAADPKRLLSGVKVLELCRIIAGPVVTRI LGEYGADVLKITSPNLSDVPFFQVDGNMGKHAAELDLKSPEGRKRFEELVAEADIVVD GYRPQAIEKLGYGAKFLSELAVKRGKGFVYVNVNCFGYEGEWAHRPGWQQIADCVSGV AWEQGKFMGLDEPVVPPFPISDYGTGCMGAITAFIGLYHRATRGGSWHGKASLLQYDL LLFKAGLLPPGVQETLRSWTGPEFLSLDHANSVDQISGTALRRMREVFPEFALDKKYL DHWYSNAYKNDVEAVKPVVEIDGLDISFKRASRPNGSDQPTWDFGDDGDYRK PFICI_11577 MGSLSQGNHTLGDEFTKDVISSMGPKTDPRLREVMSSFIKHVHD FAREVQLTTDEWMLGVQMINQAGQMSDAKRNEGQLLCDVIGLESLVDDITYQAAVKSA GSATASAILGPFWRHDTPIRENGTTISFNTPKDGQVAFLHGQVTCAETGKPLANATVE AWQASTNGLYEQQDDAQIEHNLRGKFVTDAQGHFSFYCLRPTPYPVPEDGPAGKLLRL MDRHVYRPAHIHLIAQAEGFKPITTQIFDSKSDYLDNDSVFAVKDGLTVVFEPRKNDP QAEWDLKYDLSLAPVSSN PFICI_11578 MAEGGGIDRRADEKMEFSTSKEVTVHPTFESMSLKENLLRGIYA YGYESPSAVQSRAIVQVCKGRDTIAQAQSGTGKTATFSISMLQVIDTAVRETQALVLS PTRELATQIQSVVMALGDYMNVQCHACIGGTNVGEDIRKLDYGQHIVSGTPGRVADMI RRRHLRTRHIKMLILDEADELLNQGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMT TKFMTDPVRILVKRDELTLEGLKQYFIAVEKEDWKFDTLCDLYDTLTITQAVIFCNTR RKVDWLTDKMREANFTVSSMHGDMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQ VSLVINYDLPSNRENYIHRIGRSGRFGRKGVAINFVTSEDVRILRDIELYYSTQIDEM PMNVADLIS PFICI_11579 MILEEQRFLHEDLERLEQGIADRIGDEPKNIRDRLTRDHQISQF LDRISSQSSKLLAIYNDADNSRSKEIQQIGTGDPMEEFYKQLGEVKNFHSRYPNEPVE NLERAYKPKKTSEGDFISNNVDSMFTGEEAFGRFFDLHTCHESFLNLPNAKRLSYLQY LDLFDNFTAGAGGLKRSEKLTNQYFRYVGELAQYLESFMRRTRPLENIDKVIAEFDKE FGVSWESDSVEGWKLESGASIVQASTADAVYCEDCEKEFKNENVYKGHLNGKKHIRAA ADRKKRIEESGEASSTSQGPRGGESAARLKERAIAEREHRVKKLAAAMSTERGDTRVN VERKQGMTERERQQELDNLYSSTAAVVQGPENEEGEEGDDDDRIYNPLKLPLAWDGKP IPFWLYKLHGLGVEFPCEICGNFVYMGRRAFDKHFNEARHIYGLKCLGITNTALFRDI TAIDEATRLWEKIQKEKRKDKDDGSLVQMEDAEGNVMPEKVYYDLQKQGLL PFICI_11580 MPRRAVRIANCSGAIPDQGDFMLHQATAGPVDVITGDYLAEANL AQYAEAYARGEHPGWVSTAWDGLQLSLGAIHERRIKVVIDGGALNPRGLAEKTDALAR EKGYDLRVAWVEGDDLTFKVHDILKRDANGKLPHFDAKNEEVQLAKDTENFLNDPKKK IVAANAYLGCRAIRKGLDEGADIIICGRVADASPVIGAAAWWHGWRDDQFDELAGALL AGHLIECSTYGTGGNYAGFDEYPIEDLLNLGCPIAEIDERGECVITKHEKLRGIVTEE VVKCQMLYELQGTIYLNSDVKAELKNVIVKQVGENRVHVSRAKGYPPPPTTKLAVFYK GGFQGELTMNATGYAVDRKYDLQEAQLRDKMEQWGLTQKVDLLELQRVGVPEANPRSQ LAATTYLRIFVQAATAELVKAVLGAIVYNFMQHFPGMNCTLDWRLMTDPLPFLGYFPA LVSQDEIQEAVSIIGGPTDNVRRWEVGVPLKTEPLEARENYDPVSPRASGDFGPTRMV PLGDVLLARSGDKGANVNVGFTPRARQNNDDTWEWMRGFLTRSRIKELMGEDWKDWYH MERVEFPGIRAVHFVVYGALGRGVSSSAKLDSLGKGFAEFLRAVHVPMPLKFLRRPAV SRL PFICI_11581 MPRCTISRVLGLVPLTWVCLVATWFFFPSPSVPTSQRDEYIQTN LRLGGELSTIVEYSKSFKFGGNRGSRECSECDGIILDWRLESHRTTDSLLLHLGEARI NLTEATLAGPKAAQPAEEQVAYLSEPFKARVRAGSIDHVTVLPWFRWTDKILINWIMY RNHEDLELRLFSPTPGVVEVWKDIAMWETWKAGVPVRDTERDVGLLASFSHLQTVQFT GAEALRANAVHTEIAHVQGQLPSKTWPIRSIIAGPLYVPTFMFSLLIWSFTFEMVPLT IVLAFQMICILAIFARADRLTISPRQCFCRDRKKRNQQHGIWGAAGPIADEENGLLAL RPKKPLAMPSISKPSRSRAPVFDKM PFICI_11582 MTSIRKVVIAEFGNADVVKVVDDVCPDPPAGHVQIATEYSSFNG ADVNMRLGLYPLQKKAPLTPGYCLVGTVRAVGEGCTTLKPGDVVTVLTKYDAEAELVN QPEKYCVKVPDGVDLKQAAALPCDWNTAYGMVMQAAKVTQGQKVFIHGMSGAVGAALM ILCKLQGAEVYGTASGRNHEDIRKYGATPFVYTDKEWISKMEALGGADAVFDPLGFES FDESYSILSPRGILVAYGNNKNSLGDGVQRNPTLPIVKLFARNLNFCGKRTTFYGIYR SSSTYIPNVTALLDLVKQGTIAVPIKTVWDMEDIQTAHRSWGGGSGIGCQLVKVGKAA K PFICI_11583 MPPQTSNPLSPSTSAPSRTFNLPSSSSSDTEEDDPTALPFPTAL PRSDFLAADFDAADYLSSLPHRHQTLEDLRSDLRERSAAISSELLELVNANYTSFLSL GSELKGGDERVEDVRVGLLGFKRAVDEVKVKVADRGQEAKALNAELRDTRKEIEAGRR ILELNDKLESLESRLAVNGAAPDSDDSEDDDDDDEDNDVPRNSVTKLAGLATQYNAID TLADTIGRDTSFVKKAADRMAKCRSTLLLDLGAALKEAKRSGPAGQNRLLKLMSIYAS MEAQQEAVKTLKSMRTI PFICI_11584 MASPTVLTPSMRAERPGKLAIMPVSAAPSHGSAMHVSPSSGAST PTSASGPQLAMKPTPAGSHHIPLASMAPTPEPHAQQPKVGITSKEWVIPPRPKPGRKP ATDTPPTKRKAQNRAAQRAFRERRAARVGELEEQLDDQRREQEQIESDLHERISGLDL EVHNLRSHCSTLEAMLDKERKDREMLTNELEATRRQLRDERASVSSRHASLPGLHAAL SQHHTPRSSIASTHSTHRNSTFAISQLISPPNPAELGRSNDGRSHESGCDRCDPNGPC ACIEDVLAHAGPVAECGNCSLGSRCECLEAALNTSTNTDSDLKRRQSSTSPLEPEEKR QRQNHDENEIDFTAMFSRKRTTAVVEPAPEPVQQTNMMPPRDSCGFCTEGTYCMCAEA AAAESQLAPIGSQVQTPPPDSDNDMGPIAMEFTATGAVKLPRLSSLNRSKAATNKPSS CGPGGPGTCAQCIMDPKAGLFCRSLAATLGTSGSSSKASGGCCGGSAGGGGCCKSKPA ASPNIGLSVADAYKTLSSHRNFDKASDDIASWLPKLRAVPRPQEVGESNARRSHIEVE TASIMSVLKGFDVRFGREP PFICI_11585 MAKHLFVQKIATLEGYKGVDIAQAFFDVTLQNVDARLINLEKLF SIASPPPMLDVTWESLEFRAKRLWQYLPRQALVVTVDRDDQAQGSSVTPEALRKVLIR LDPSPLLSREPEKKKKKRTATDKLDEYTKHDEAATAAIDEEDFDLAYEAQKLCHAAGK RGLAIVDPIKDLDYLYAAAVDLTISFETNRRHPNIRPPGMPPGMMGPGMPPPMRPPVH PFGKPPKPCCGCCSCYCHSPSPRIIDVDVKKKKRITGGFFRFGWLRNLFRRKKTTDYD SDTASYTSSSASSTIV PFICI_11586 MHVKTSVGLFAATAAAASHPRLGRRDVSWGPIVGFQETSSEIIS TTSTMYPGKMPSDQGGYMFAWIGVGVNGDGYDLIQSIVGSYPAGQSECSGTNADTTWC ISSEVYGLDDSGATTQFVGDERTADVNYENGIIFNYELTDTSTYSWTQTMTDAVTGNV LSTYTKVSKQQSNLWNTAIELQDFNGVDGSGTVEPQYYVNTTIVLKAADANWGSTIYG EYGAEYTTPSTSDGGKTWYIEKITVPAMSS PFICI_11587 MRQQIFITFLLSLLSSVVCETQCRCFPGDACWPSEDDWASFNAS VGGALIRTVPVASVCHGEQYNESACAAVQADWPYPTLHYQSPHSIMSTLAANQSCDPF TGRDAQCVIGTYVQYAVNVSEVEQIAKTVKFAVEKNIRLIIKNTGHDYFGKSTGAGAV SVWTHNMKDLEVLDWKGEHYTGPAIRAGAGVQGFEAIEFAGTHDLVAVTGSCPSVGLA GGYTQGGGHSSLASKFGLAADNALEFQVVDGSGNILIANREENSDLFWALSGGGGGTY GVVNRITVRAHQTFPVVTYGLSFPMASTSNDTLRGAISSFHSVVPSLVDRGIYALNSL SSGYFSVSLLAPNTTADEIGQLLSSFLAYLDQNGVAYAPTTTSHDSYADSYGNGSSTS FVHVQGGSWLIPRDVASDGEMDDAFIDAVLSTAAAGATIGTLGFNVSKAVAGDVDNAV LEAWRQALYYTLIVMPEPSNETLADGYARLETIENEIMSKFKAIAPESGAYLNEASAA DVNWKNDFYGANYDRLLSIKNKYDPNHLFYAPKAVGSDYWVEQDDKRLCLANAQ PFICI_11588 MANPRPQNGNYYTQTDPAMDKYRPAWDTLKANSFVNNPSLSDRD RIYLRSIQGTYKVKLEHLADRGHGFQMMLVWDYDRIWGVFDFGSYKGVLMVDHGPDRE PPEFSRDVDSDEEDEAGPDPIYFDFTWRGTSSHMPDTIINNSLITKGKIAFGTSEISG YFEGPIADGRPEGRCNFHGQPPFGPRRVPRNLESFIDDWNELNIFEEDESFRPEPTS PFICI_11589 MAAEGLGLAASVAGLVSLGLQITGGIVQYLDALDRREDDLAHAR QQNQTLTTTLSALETVSAGLQNQHLVFTDTLVQDIQRCKQALSDAERLRIELTDGNNA TWTTRLRNTNKKLTYKFQESKLQQLSQRLQQTNEVLQLTLNGLQVYV PFICI_11590 MLNTTKLVAIESSSQNISSKLHQINSRVEAIQEPSMRISTHLPV LQDSVDSVAHRVEYQSGLILDRIQKGSQNVQEGIHQSMEIQFKQQQEEFEKRFGQLFK MLQRPDPNVSVAPSTLQELCDSVQTPKQRRDRDLPEDTIHSNSRAVTRMSQDILQRTS VTGRECICHRSWRAATGKSTQMGHVYFSSAFATQRHWPSCPQSQWAPTQSRKTWGVKY TGLTRILKAAVDISFSYTFGAGGFSICPNFTYYPTVNDETDCAFQVLEVLYSASWRTN REKMELLMASCIKKLVKIFDGKKALPWSVNDRNESLVHCMTEIVRFKPILGTRQILTV PFICI_11591 MYEMTPRFAESCECGPLSLAVIRHDVLEVSRILGRSPDSLTERD IYGQSPIHLAAAKPRVLSLLVEAANIELLRLRDYSGFDVLDMAMAYSSWQCVNGFSPD RCRQCSCTECVTILFKAGCSVRTHEPIDEDHSRSQLNEVLGGASELARRRYIFQMKVM RAAQSRALASHNGVRERHTDCRYSTIWTEPYVAASIGMPDTCDGKSGEEDWSWVYCKG GDPHLGDLFYRHGFQPHPSLFTLLPSIKWMNLSVTYMCWLAEHGVDLFLRSTQHTVTD SSSTVGLFGAHFAFYHAYWNIDVTTDTEDLAILLKHYEAVEIRGLTDGCHCACTIGGC SPFIWTSKKQLDPDCGLGSAAEHLASKYQIYNTVLTKLTYAAAIRFATFEALGLKHTC CEPGDILYVGELHDANDVGVMNEEQAKHLELHEELVAEFEEKAREFLERRTDNGTDFP NFWSSYWIDRIQEVLESLDGDELTDEERRGAEEIGVSRADGIPGHCDSWLPPVLMGLE SCHRSVIFQPPLHL PFICI_11592 MVNRGRPSRDCQPCKKRKLRCDLHRERCGQCRRANIACFGWPDL NQLIIRDETASAQRKVLARASSRTSAPSSAWDYGYPSDSSSLSWPSSSSSSSTASNTS SIPTPNPNLQATQIPEESSKGLEISAATISNHMGRVLPLEQYDKIPTLLSLSWEVRAR DAFFSHYVFGFSRSHGALPQLYAGSSATSVLSSAVDAAALAFLAKQHEFPGQATVHPS PSSSELVSLTSRSYIVAIKRLSSVLDTTHSSQVGSFGDQTHGEDARSDAILQAVLLLD LCEKLACVGRRPVGTPSGPQCDKNKSSIVIQGPWLSHLRGALDLVRFRGLERRYSSPT ARRLAARLAMTLVISCGVAGVHVPRELEEFRMGLLLHLADTPLHIDDRGTINRNLVDS RVDPKFAITALVIGVVNLAADLSQEKCTPADLFQRADELDNRLAAMDCELPSSWQFER IYTEEELPMVYGNHYDKYRDHFVTQVRNVARSMRLLLVEMTMKHCHAHDDVGNGRTRT LRLIDGLCSDICAAVPQFVWVQARTENCIPFTPLQSLQCYTLLSPLYLAAKLSTRGKM RTWIIGVLQFMAGCGGMEAANSIANILQSRSDVSYWQVYAMLGSYAFAA PFICI_11593 MPFTYKTVLIVGATSGIGAGLADKFISEGSKVIAVGRRQDRLDA FVAKHGSTKAAAVKFDVTDSNGIDSFVKKVITEHPDLDCVFLNSGIQSSTRLSRAAEF DLAKFHDEINVNFTSIVNLIMKFLPPLQAKETPTSLIITGTHLAIVPAVTMAGYSASK AALTSFIDCVREQNRHKSTKIIELYPPVVQTELHDWMGEEKGRALGMPLNEFSDIAYN ELLKADDLIVIGSIATESRDNYMDLVNKRRTIFNKLSGAMLSRFEL PFICI_11594 MTSLQDHSFSGQSPPFFNATCSSLQKDAERLISGTVALWDCVVS SIQVKDATFENTIIPISQNENSKSQEQRVLQFYASTFPSKDLRDASSAVTRLFADSEI ELYSRQDMFARVHEVMQKEKENPSSSLDAESTYYLQKLHRRFQQNGCTIADAGQRHEF KVKIKRLGDLVRECNKNLSEDASGIWLGQGDLDGIPQSLIDRLKYGKDEHSQNLWLST KVPFSGPAITNAKNESTRKRIYYAIQNRMKVNVPLFREIILLRDETARLLGYPNHATF KTADKMMQNPQVVEELLSEIRSSVAALAVQDAKELLGIKRAEAKSRGVTADNLYLWDL PYYSARRSDKEGKQDVSISEYFELQTTLAKLLELFEHLFGARFQRIDVQGREETHGPL VWYEDVQMYSVWNIDGSKESLGYAYLDLFPRDGKYTHSGHYSLQQGHEKLDGRRFYAS SALVMNYIRPTATSPTLLSLNEVRKLFHELGHLLHSLFTQTKYAALHHVDQDFIEAPS LMLEQFFWLESYIKDVSFHYSHIDSGMREVWIKTLNEQERTNPPKVPLQLSDEVVRSL AHTNQSRAVQDQLKELFFATYDMLVHTPASHAELETYNFTELFNKTRADIYKVPGGEA LGEGWEWGHGQTVFRNIINRYDAGYYSYILGRVFALDIFDTGFKENPSSQEAGRKYRD KVLRVGGRQPEMKTMTEYLGHAPSTRPYLAWLKGTMRDGSLSQSES PFICI_11595 MRKSALLGPLLAGVLPACNAARQSTNHDNLTVAMVRCPPPNWPL PILNYNWTGIVFNIFETVDKAIDLLNEASANGANVVMFPELWFPGFPKGMDGSWSMEF LPSYIENSFVVGDEQWNRLIDGVAAAGVYAEINFAERTDSLLFMAQALVSPVGDILIH RHKLRPSGDERAVFTDGTIGEITAVTTPYGRVGLLECGEHQYPSMTFTMHAQMENWHL GPFPYMVDANNTDALWWEGAFAEMATMGHYANLGNTYTFTAAVGVAFVMTPLSQLDAY MSDTIDFDEHPMLYHSINTSSFDSSITYDANAQVSWGVLQQILQGFPSYIPRDEGDFV AWHSVNITEELSGTYNMSEPGASGPSS PFICI_11596 MSALRKLLFPVLLLMGIDTALSTTLNVTAINAHDGKSHFECWSL SAPFFSSSQAGIVGTQATQLGDVTNMTYGVISPGYDAQFHTAPYPQWVVVLNGLFTIT LPDNSSDSLTLTTGESGLLFAMDTPDISKRGHGNYIYGPAESIFLQIPTPNGSIPEHE LIYSDKPCGAQEFSGLREQAT PFICI_11597 MAEAPAEKQPKQGLNIYGIPAVNPYKLTIAAAELGVPYNYIALD GATGEFKSEWFTAINPNGRLPAIVHVKEDGQVVTVFESGACLQYMVSEFDKENKLSYP VGTQEYWTQLSWLSWQISGYGPSMGQSSFFARYSPQPEPFGLWRYLAESRRLNHVLNK QLSKNTYVAGSRLTIADIAVYLYATSCAWCGVDIDEFPHVKAWHDKLAQRPAFQEGMR VPVPYPFTQESVFRPETQEQIKMQRKFGSKFIHSASEQWAGEVLALPSDHANHS PFICI_11598 MAEAIADFFAEAIRLYEHVLSLDSEAKHDEENTSHESFFCAFDI LASRLAEHSELTAVEPDNPDGMEKMLIKACWKMSHDILLRLGRKKTEQQDLNDYIIPV SYALNWTAQDVEALGTRLLQLNTQWRCLHPSDDKTAQFVQKLSSLRPIHEVALQIKDP AMNTEISQALSTPASILSDFILETLSFKSMKNREEEVAEAHRNTFDWIFKYSFGDVSE GGFGDQFSRWLQSSELGNTYWITGKPGSGKSTIMRYISEHKTTAQLLRSWAGEDKLTK ASFYFWTSGSEEQRSQTGLLRYLLHQLLSSSAHLMPKVFPELWQKLSTMSTRERIRFS VEWNAIELMDGFHRFLAHALDSTKICLFVDGLDEFDGDHQEIIRFFKAIAEDGERKGV KLCLSSRPWPVFEEAFAYAVPNLKLQELTFNDMATYVEDNLSQDPKMKQIVCQKAIGG NSLVHDVVHRAEGVFLWVRLVVRKILEIHGHDPDLSRIRPFLQTLPSDLDNLFDKLLF QDQTPAQITETSHMFQLVHAREVVANFIKDESANSLTIWELAFALDAAIVGDDEDFEE ATNDEIHARCNATVERINVSSTGLLETYLDQTRDDRFVPILSQRSDHTRAQGRDLAES RVTYLHRTVRDYLIAKQGVWSKILGHCAADFDPHERLIQSYCQRLRHSIEPIEHHRRL DDWYPDIALSLSHARYMTYTPRRQNTQIALVNQVEHGISWYWLRRPGDEYDHWARGCF GTYEQRKGNKLIIQHPYLALCTKFGLEQYVLATLDHLAEDEGHVDSAETDSSTLHIKE ETPLLSYALEFLTSRQKTIMPLSSPAFIKSLLESRHLSHPVLGRLIGTANMEFDSPIK KKQGTTPWLLTLSQLRDAKRRGWIEPFDVSTDGTFRWTKIVKLLIQEGQADRNAFLKW NGFDEECYAKDVLSGPEQLGGIDDYWIQQLGKVMIE PFICI_11599 MAASRSLLLSSARLPATLLLAATIPMASGHDHDSSEIPEGETIS PEPLDTILWIHIFIQMLAWGIIFPVGMVLGIVKNRWHAPLQVLGTILALLGYALGHMH GGREFLHTNVHSKFASPLMFMLFSQVIMGIYLRLHLEKGINGKIRRVVKLGHGIVGKA FPVLVWTQLIFGGITALGFCQGEHVGQCAAHFIMGGAFIAYGIILTLILLVGQVWIKR TGRSQEFFDSTVIAAWGMVNTFTEHRWGTAWVKNDWQHTTMGVIWWAAGLAGIWLSKD RDGRPQRNFVPAFVILLTGWGMSAHPQELMVSAMTHKMFGWTLMGVGITRLIEISFVL RDRNGLSEDGRETNSFQYVPAFLLYASGFLFMGATEEQMALVAASSMDHVSYILILYS LAFLVFLFTMMLISLYDRNANPVDPAKTAVNGRLGPRLNGHVPVRDAQEFELEGLMSD DEDDERRDRAHRRMQGDEDLDSPTTLGKNEETVAR PFICI_11600 MFFNRLSGLLAAATALVGSVAGYANPGSCSGSCWAHDPSVIQRT SDGVYFRFNTGSLIGIWKSSALEGPWTYQGAAIPAGSIINLAGNDDLWAPDVQKVGDT YIMYYAVSTFGSQSSAIGYATSTTMEYGSWTDHGATGISSTSAKPYNAIDPNLIAVGS SYYMNFGSFWGDLYQAPMASNAHTAASSSYQIAYQPSGSHAQEGSFMYYRSGYYYLFW SEGICCGYDTSKPASGAEYKIRVCRSTSATGGFVDASGTSCLSGGGTTVLASHDYVYG PGGQGVFADSTHGTVLYYHYANTNIGLGDGDYQFGWNVVSWSTGWPVV PFICI_11601 MSDPNNPNINNRSTPQWGLMQRELFWAPNEGKHPPFNTDPDKLK ETAEDRLSKRGWLYSSCNAGLSWTHYANRLAFYRHQVIPRMLVDTHHRDTATTIFGHK VAAPIGFAPIGINRIYHPTGELSPAKVAGELNLAYALSSAGSYSIEDVAEANGDGGVR FYQLYWSPDDAVTLSMLRRAHARGYSALLLTTDTWQLAWRHDDVAMGNYAFYHEHGAG DLGLGDPAFRESLEQKGLDPEKNPREVGAEWIDKHIWHGHSFSWDRLPWLMEQWRSIS GGKPFCIKGIQSVPDAKKAVEMGVDGIVVSNHAGRQVDGAIASLDALEKIVDAVGDKT YIMFDSGIRSAADVFKALALGAKFVFIGRLWVWALGAGGEEGVRHEIKALLAEFDIMM NVAGYPKLDEINRDAIESLPKGTYFPGTPKIT PFICI_11602 MEEKTVVPGKKEKGRVRFNSTASSDPPAVPTVNIELSPSPTPSR GDDNSPSSPIRRPRPSIIRGSSYNSVLDMEMDDHTLNPTKLQSAMAAHQRAQTFAAAM SGSHSAPGSRRGSLDSDIDVMSPNTSGNDLLSPGLPLETSEKVSIEVDRAGREAQERR NINTAAYELVRAHVGLANTLREDPTENDEQRAPASQLDNLDGYYEDEALLSPTGKPRE GVLTNLLKLYRVDEMPRKDFSGYTTPASSGTATPTRRKWYDQNNGSTSTLASLAAASA ILANPNEKKHRNPTNGPRMKHKRTASGKFKSMVGGRPRMEEEARITIHVANILKRQEF IIKLCRALMMYGAPTHRLEEYLRMMARFLEIDGQFLYLPACMIISFDDMSTHTTEVKI IRSAQGVNLGKLKDAHEIYKEVLHAVIEVDEAIARLDGLIRGKDHFNPWTRVLMYGLA SATVAPFAFDGRPIDMPICFLLGSFIGFLQLIVAPRSDVVNNVFEIGAAVVTSFLARA FGSIRGGELFCFSAIAQSSICLILPGYLVLCAALELQSKAIVPGSIRMVYAIIYSLFL GFGITIGTAIYGWIDDSATSETTCRNTLDSHWNFAFVPAFAFCLTYVNQAKWKQMPVM IVIAMVGYIVNYFLTARFPNSSQICNTISALAVGICANLYSRVRHGVAAAALLPAIFV QVPSGLAATGSLLSGLSTANELTNSSGSVNGTTTVSVGDDSLDTIVFNVAASMIEIAV GITVGLFFATLLIYPLGKRRSGLFTF PFICI_11603 MKFDIKIISDSVCPWCYVGKRRLEAGIAAYKSAHPGSDDTFSIS WHPFYLDPNAPRESEVKRDRYVRRFGEARFAQVQQTLAAVGRDAGISFSFGGRTGHTQ DSHRLIRYARHQGGADAQNRVVDELFKRYFELEQDITDQATLRSAAEAAGLDGDEVKR YLDSDEGIDEVEAEVTRAQQVGVTGVPNIRINGQFEAPGAVDADVFKQIFEKLVERSG PFICI_11604 MSRTLNPFTSKRLIYRAVEDNSDDEDFIHKIQRDAQAQSGSSAS LLVPENKKSSNKFKEYLLERALMSTIVCLPANTTDATDAKPTPIGIIALKHIEKGGEQ NRHSYISIDILDGYRGKGYGGEAIEWALGYAFQMAGLHRVGIETFSHNTGAMQLYERL GFVLEGRKREEIWFNGQWEDYITYGMLENEWRDKMKREGRNWRE PFICI_11605 MRPVNALVLCIHCLAKSVLARTIIQLSVSREPPSHASAAINPSF AGFGIEPSNLFSFTGTDVPNLLTFNLIQNLVSYTDKAPHIRIGGNTQDYQVFNEHQTQ WTWVKNHNAVGQGVFPSDHMVIGPRYFEAANRFPAGTPVTWGLNLAYAQDDWPEQITT MASQVFENCPNLDLVSIEVGNEPDLYLKNGFRSGEWNGKIYTEQWLERVGVLYEQVLR PRNITSAFFEPACTASTIGTTFRIVDLVGFGIDGLANNSNASFITSWNQHDYYYFVGV STYRLTLAHFQRLSTVEDQFTAWAEQVGQAHDTLYPYALREMGLVGPVGLEGITDTFA AALWTLNFLLYAATLGVSGVQFHMTDNSAASAWQPVKIADREPFVRPLYYGYAAFDQV IGPTCTARVASIDVTDQLLGDYDGYVRVYAVYQDEKWHSLVVINSMPNNVSQASQSGL GVIVRLPRRLTGKMVHLAYLSGPGSDATTNTTWNNIEYESSGDGTPTPIDDGLSGKSF QVGVDGTINIDVRDSQAVVVNLRWRVGEGLRADDKACAVFSRGSLLTDGNGSIAGNDT VNGEENIASGRWRGIRHEEGVRFMMAWIILLGIFMFRRM PFICI_11606 MVQTPAVGASASGAGSLNGSSRASPAVASSASHTTTTNGTKSKA NLNGNGYHPPNPQLPLSSMRSAPLDLRSVERRGQPTASRETTKRSRPFGLQEAPSYRP TEAEWKDPFEYIRKISGEANKFGLCKIIPPDSWNPDFAIDTEKFHFRTRKQELNSVEG STRANLTYLDALAKFHKQQGTNLNRLPYVDKKPLDLYRLKKCVEARGGFEKVCKAKKW AEIGRDLGYSGKIMSSLSTSLKNSYDRWLTPYENYLRQAKPGVYQQLEFEYGGPLTPS PAPSPMKRSTVNTPSSLRGDSPARNATESLQASFSLNPIKQEHEREMVMNDAPTPTSA PAPTSGFTPINSGGSGGFTAVNAGFTSINRPHSTDTKSFTPPKQYGSPMSSAKNTPDF RPSALGLSNPLKRQLSTDSLDISKKENGADRDESDNGSRRSKRLKQDTVPTVAGSHMS LFRPLGPRLPRDENLPPGERCENCGKGEETGWVAVCEGCDLAYHGPCIDPPLKSNPGP EWNCPRCLVGDGQFGFEEGGLYSLKSFQEKAADFKQGYFENRMPFDPVLNCSRPVTED DVEREFWRLVTSIEETVEVEYGADIHCTTHGSGFPTSERNPTNPYSTDFWNLNVLPLH PESLFRHIKSDISGMTVPWVYVGMIFSTFCWHNEDHFAYSANYQHFGATKTWYGIPAE DTQKFEAAMKAAVPELFETQPDLLFQLVTLLTPEQLKKAGVRVYALDQRAGQLVVTFP QAYHAGFNHGFNFNEAVNFAPHDWEPYGLAGVERLKEFRKQSCFSHDELLWTAADGVS SGLTIQTARWLAPALERIHKREILQREQFHAKHVEGNKHACVITAKEHEPCTLKFQIM DEDVPEEEYQCSYCKCYAYLTRFKCTRSNKYLCIQHAGSHACCDLPESDRFAGKEHIL FYRKTTEDMNETHRKVAEKATLPEAWEDKYDKLLDEGGTPTLKTLRNLLSEGERIPYD LPSLPALKEFVDRCNEWVEEATNYTVRKQQNRRKNDKVWQSGMRKSIGNSYQEQKERE MRKVDNIHRLIEDAERIGFDCPEITQLKERAEAIKDFQNNAESALEHIIIQSEEQIEE LLEQGRSFNVDMPEIDKLQLILEQKRWNTKAKSNRTVFMTLDDVTQLIEEGVRLDIPP YNDHMKFFNEKLAAGRQWQAKATELINAETVHYPQLEALSNQVQHGALPVSQETLHAV DQILHKQREAHRQILDLMDRSRDEDYTKRPKYLDVLDVMKKLEDLNSKPTGTLDLERE QRRHEDWMRKGKKLFGKTNAPLHILKNHLEYVLDRNLDCFDITSDKPRLPAEPASRAP SEERDANKVPRSWDDPAFREVFCICRRIEAGMMIECELCHEWYHGKCLKIARGKVKED DKYTCPICDWRVKIPRDAARPKLEDLISWQDEISGLPFQPEEEEILRKIIDNAQDFRN HIAVYCNPIMATASEAETQRFYLRKIEGAEILLAFETNFFRQELHKWSPVAPEPPPVL DVSKSTRKPRPTKLQRMLAQHGVEDPEDLPESLRAKAVSLKRKALNAESAGVNPAGGP SSSSGPGHSPSAQSFGSASHIYFGRTSQPPTPALPGNSHGHPARSADSNSSSRPGSAM HGGSMDPDGHNSSTMQPDFFLGGEGGGPGPRLMASDREPLSLEERLLSGQDDSLNLNL QSEAEKNKALEILGRTEEGRRRAEEIFGPDVWDAESRLRGSRSMTGDGDLRQEDGDDK DVDKMFLDLTNADDDDGEGKRVAVATMTTENFEAERDAMDMMDSD PFICI_11607 MPVQAKPIPPLYTVYILRSTVRHSSLYIGSTPHPPRRLKQHNGQ AKGGAARTSRNTLRPWEMVGLVSGFPGSVAALKFEWALTNPHLSLHIPSGSRITIATQ KKRNGHAKRPRHSLTSILSNLHLLLRVPSFARWPLTLHFFAPDAYNAWNKWCSTASEP LRKSLEVFPDFEPARATLNNSEPTMQQSRSSKVETESWGIHALPLDYTPLKEYVTKTK SIFKSEREGNCIICNENLAPGEGLYAVCYNEGCEGVGHVTCWSRHLLGKEQGEHVVPV SGHCPKCHGNLVWGDMMKEMTLRLRGQKDVAKLLKKPRKRKAAESSDED PFICI_11608 MVRSILFAAAALAVSVSAIPMESKRDALFKISTAPGSIQEVTEA QKYELKAQGVKFFDFTDEGSLPDEVEVAAVTYPTAVQQADAVNALLPSLSEDKLKTTL TTFASFHNRYYKSTYGQQSSAWLLKQVQAIITAASPSANVTATPFTHSWGQSSIIATI PGQTDNIVVLGAHQDSINARSPQNGVAPGADDDGSASMELIETFRVLLTDAKVAAGEA VNTIEIHWYSAEEGGLLGSKAIFNSYKADGKVVKAMLNQDMAGYVKPGTTESIGLFTD YVDTSLTTFIGKVIAAYTKLPAVSTKCGYACSDHASARNAGYPAALISEAAFEDTSQL LHTAQDTLDTITFSHLVEMAKVALGTAYELGFATL PFICI_11609 MYLQSILVVALAAPVWSRACGRPTTTITSSSVAPSATAVDEVAD AAVDAVEAVVSSATAAVEAATTYAAITTYAVSTQTSQTTTAAATASATSSASTPGRAV WLWESNLIQDDTEVEEFLSFAESNDINTVYTLIDRDMGFTVFQDFIEQCTAAGIAVEA LMGNSEWILGEGDPTLEHELTWLEQYQGNATTSTSFAGIHFDVEPWGLDGWTSNEETY TATLQSIVLQVTSLGSTLGLPVAADLPYWADTINCTATSGAIQTLDAWMLDQLDTATF MTYRNTATELLDIATSVLEAGNSAGKPVWLAVETVDAADALLISYFGKTLSVLSSDLV TIHTQAATYSSFAGIAIHDYSGVKALSS PFICI_11610 MLFKKFMLPVSAAFAVSAKPLGIRAGQNSTSGTIEWGACEESIN STLPIQCGTLTVPLDYTNVSDTRTIDLALLRIPSLNTTSKGSILFNFGGPGAEARNTL VSYEETLMRSTGGEHDLIAFDPRGTAEALAYNCYPDPAQRPPAPVSDSSDKALGEVWA IGSSIAQTCLNNTKDTAPYIGTAFVARDMMQIVDALGEDGMLRYWGISYGTVLGATVA AMFPDRMDKLLLDGVVNCHNYYHKSGIDVDQLLSADSAFTETLRECIEAGELCALSQV NSTALELQATLLVLAEDIRKFPIAIDGIIIDYAAVINLYYLSIRSSGFQSFAPLILNL LNRENLESIAAVYRALEGQGILQNPDALLGIKGGDTIPRYSTLDGVLPTIDYMKQTTS IFWGLTTIHATLYAQWPFEAKERYEGDFNVTTPNPVLFLGNTYDPATPLASAYNMSAT FEESVVLEQHGFGHSSLSQPSNCTASIIGDYFVNGKSYYDEKGLENMADSRWVVSMLP TIVRNNIMLSTRVLGYLQSKVPTSKI PFICI_11611 MKVDELVAISASLGGDFKSIEPHLIRLEKHLVLRTYLAGYSLSE PDTKIWLAIKTNRAAVSFVKKGSYANLARWFAYIEQVHPEIQAEVRAADDARLANVKA ASKAGGSYNLALQDADKGVVCRFLPEPSGYLHIGHAKAALLSDYFAHQAYKGKLRLRL DDTNPSKEKEEFQDAIIEDLALMGIKPDFVSYTSDYFDHLYDTCLGLIKSGNAYADDT DVETMRDERGKGIASKRRDRTVEENLNIFEEMKNGTEEGRKNCIRAKISVDNPNKAMR DPVIYRCNVQDPHHRTGRKWNMYPTYDLACPVVDSLEGVTHALRSTEYTDRNPQFQWF LDTLKLRQVHMWDFARMNFIRTFLSKRKLAKLVDAGRVWGWDDPRMPTIRGVRRRGMT IPALRDFILKQGPSRNVVVMDWMAFWAANKKEIDPIAPRHTAITVKDAVKVNITGAEA PAEPHTEDKPKHPKNPAVGTKKVAFSKEVLLDQADVKLFKKDEEITLMQWGNAFVRDI AAGEPIPSITCELNLKGDVRSTDKKVTWLSTQGQELVKAELWEFDYLITKDKLEEEDD LEKFLNPTTATMEEAWCDEGVKNLKKDDIIQLERRGFYRVDEGVDEGKEKGKIILFAI PTGKK PFICI_11612 MATTNQCLASLARLSISGTSRPAATSIPKFLAPSIVQARCASGP NSAMAIRVREKEKAKRKKKQTKFKEYKYAVPSKEEQFSLCDAMRYLRAAEVGRPPSSV TYELSVRMRSVKNGPVIRNRVRFPYPVKNDTRIAVICAEGSSAMADARSGGAVAFGQE SLFELIKTQSNNLPFNRLICHVDSVQALNKAGLGRILGPKGMMPSLKTNTITKSIKGM MHEMVGAEQYREKIGVIRMPIGNILFTPKQLSENISALVSQIKADLTALVDKTNINKD LIEVVLTSTNGPGFSLNGGYLSTDEKLDDSHLSTTM PFICI_11613 MAATSAIYKPLDPDVRQIRLLTLHRAIDHTKLISVKLETVPFTP ETEYIALSYVWGNPNVGVDILVNEVPFSVGVNLASALWDFHQHKLLCGVPDGRPLPLW VDAISINQDDLRERSEQVAFMGAIYGNARHVFSWLGRPDEKKIDVALDLIHDIMGWMD PEYISDSDEDIDLGRSGEGSEIHSDDDYDDACAFRRPDGGDKVQDSEEADVENCNSIF DESSLDLESVSTHIGVYEHLPSRYTDLLDPCYNPHEPTIKYFLEGISRRPDLCVSNID DFIMPNELWNTICELFFSPYWTRVWVQQEMALARQTDHCHRLFCGRSHLSMKNLDTFA KITDGAATLRLRPSQMDETLWNVLHTGIWQWLNTLTYIVRRLRPARQEQGLDRVYPLL SVATSCKATDPRDAVYALDAVLGLGMTPNYDLPVKDVYLQWFHQERKKLELESGNLNF FYTTILNYASTTIGDDRENSIPSWLPDLRAVRNPTIWNRFRRDKIDHSIMPQTLTHFK GEEMNVCGCMCGTIESLRPFSGLWNSEELEDIGEIFRQFDDFMKQQTKSQADIREVFE PTLEKALIQALCQGRDPRHNDNRKVDNWQLFWNVIRYCATENPTLTGVSKILLDDIND LIQDFPVNPIRGSHISQASISAPLFLRLMQKNLTTSIESQILMTKNGYVGRCYMAVRR DDQVCILNGIDYPVVLRRVDESWIFVGMCYVYGISHVDAAEVIKRDGLEVEWFSIR PFICI_11614 MKSPIAWAALLPVVLATCSQTCSFDSIIPSQNLTWCPCYTSFYC ARLDVPLDYQNPEIGRASIPLLKVPAQNDSLFGPYQGMILINPGGPGASGVETALGNG TLIQSIIGTNWDIIGFDTRGIAYSKPLANCSYGITPTGQSNLSSRTIPRVTDEFFNQW IEYGQDVGKQCESLIGGETSAGQHMSTAVTARDMLSVVDAFAATEDGMRAAKPKELLN YYGISYGTFLGQTFASMFPERVGNMALDGVVSPQGYLANFTSHSVTDLDGIVAAFFIY CHEAGPSVCPYFTGSTPRDIFDRFNQSFSQLDPQRAQLENWSNATDLEAALVVFKVGI LTAVNSPITNFATFAEVLVSLEASIAAQNISTWTQAAEAIYNDPTPVGNTNIEWTLGV LCSDQNNAWYGKTLEDLRPQLAQLESQSIIGEVWSKSMLGCLGWPIKATEIFQGPFGG DTATPLLFVSNTYDPVTPIENSFASAPRYKNAQVLTVDGMGHGISATLNTCAYKKMAA YFQTNALPGNDSFCALESGPFGVILNGTLKENVVIAKLQHLG PFICI_11615 MPKVAKGARKREFAPKTRTGCITCKIRRVKCDEARPACVRCTST GRTCDGYPHISTAESSSSLSSSSSPERLTVTLHAGPSVQLFDTPQSKRSFAFFRQRTS PQLSGFFKSEFWESLVFRVAYHESAIRHAVVALGAAHEASERRSASPSAIKTFAVEQY NLAIKELLIPLARRGEGAVDVCLIACIMFVNFESLRGCHTAAMAHVRSGSKLLRETVY DQQTGQLRHSILGPGSRTDSYVLLDVIARIFVWLDGESGITIRDYEYASYEKFFSPDA DDSSPMFSSIGEARNILEYGVCYYTCSGSPQLLGDPSQSTSVVQAHKDYYVRLLSRFT TSLQHLEDSQPGGFSSKDELAINVLHLNATSFYVSFHLDYLPPDRRDRWQDLMPCFER MVALGDKIVSAMVADHELGTARTSFCPDIGFVIPLFNVASQCRDSSLRRRAIALLRSV SRQEGVWNSMVTAQAAERLMEVEEQGYVVTPVRCQETGELGAPTAWPLLKLDGLGARL EYMRHGHTDKIPVRVNEILSTWEPAELLSGQTR PFICI_11616 MKSYVHILLTAAGVAAYPAPPGSWTATIASQPGSVTKLPAELEG ASIQANGGSFWVGKAPSSSCPQQDIGTYCDNFPGNATVFVGANSVTSTSSVYLNVGAP GQQQVYITWDNALAYNSPDSTCSPEGIITASFGRDKPKNGTSFLFTETGDFEACPGGE DGVYSLYVHTEQSKQEGCTRVVVYTTAASGAMAWQY PFICI_11617 MAHTAGSANLAVSNLFSYQDHIVLITGGATGLGEMAAQAFVQNG ARVIIASRKKSELEKTASRLNSLGPGKAEYVVADLKDKAGCEALCAEVRKRTDRLTVL MNNSGATWGARYDDFPESGWDKIMALNVKAIYYVTVGLHALLTKGATADAPGRVINIS STAGYTTGVGGALSAPGEGAWSYGPSKAAVIHLSKIQASKLMEEHVTVNAICPGLFPS RMSNFGLEKGMELLLKAQPSGRVGKAEDFAGLVLFLAGKGAAHITGNAIVIDGGALVN EFRGRSRIDAKL PFICI_11618 MAPLKAGDDFPEGVTFTYIPPAPETSEFSACGMPIKYNASKEFK NKKVVLFALPGAFTPTCSASHVPGYIAKFPELKAKGVDQVICLAYNDPFVMSGWGKAN GVKDESIVFASDEGTAFSKEYGWVEGERTNRYGMIIDHGKVIYSEVEPHLQAQEVSTA DAALKVL PFICI_11619 MSGTNLQSTTEVKAYVGSAAAAMGAYTRVKQHLKQANPSTENDD LLAQETFHYTFTSQQDVVPNFFLGAILEKPCDSDDLRRARRPVVMLEALLITYLGLCP QGINTQYCPALVCKFNAVLREGLELPNLADVTLNRSWPLWNQSGGRKKCGNCHGNHRD KDRCKACKHFLATQGYERPIVPCEERSCCVKDCTGSTNPQWYYLDLSLMDQTKVRCHR CYVWWRKHGCDRTRFNPGQESNETFVCSTCQESVQDGFMKRCKVDVLRPWTDENNRCL PRHLNLHPIKVSPMRNDENGNTLPAECVKCDRKLAADSRNFRRIDSSQPWSASNLQCI TRYARSNVKKKFGEKPENTNAPIKKDKDGNVLPCTIYICYGN PFICI_11620 MISMQRSLSSPVRIHSSPPLSPSTTERSRSPTPSPLSRRHDPSR PRRLRSRSPSEGPLPEPLLKPVISFPLRRSLDSDRHGHGVHGHRRSASAQKKPVIAQE ASSPVEAPSSPTPETAPAPRGNEAPNTASHPLLADMSQYSNPQLNFEAEGTTLEELAH LVRLSKYQERKRANTRIRLQRSLVSTALSARLTRCGEITQRNLADSFRGEDKKAFANL FGAIHDVRNSCDDTRRYALLEPDLESVKLPGLESADNLDTLSNGTGGSANIGSVAPFL NDISASAREAFLNFLTQIRTNPDYLASRLSSLSNAELQALNTFHQGSEPVESVLPYYN SRPSGRNPPGAAAKNSAQSANAVERLLSFQRHDPLSALIYTSFANSAGPDSAEDRRRN DIWANACARLISEAKFSNSANESILYSVLDVWSALRDWSGRSNMEWFLMKILEDGAFL LDRAEDQNGTRFNISEWTHKDNVASDEFYDRAINDLFEVLDDEDATGIPEGVLELGSE ILRRLNPRLIESVRRWFVSKWLFGHWLSDVIVHPERHGMMAEYHITEYGRQKILKKVA SKAKDLVIDMTWTSSKKPVLTPPKVKNHIENILGRFKPARSRGIGRLLPARSITSLRE TAEVHPYLVVSPADLLTLVNALFPERRPQSAHSSSIRSGAPSVSGFSAISQPISLGTS RNNFDTASVISLSTSSVTSEATTSKEPFFDDQIGTPQRYSPPMLETGSPQRVSNYEDD GYRLRLAMHEMVQILGQEVVSGSCHPCAERWAVLFISADGHNLSLQMTYDPDEDLEEE NSSTTSDTEDEDLDDGPELDKDYHQLRDSILKLVEDFEIPRGLEDDGGLTTLSNRATG LKKYKSRNKIIITESSMGSRNPYRKMEREGNERNYTSRSPSITRSEQDAGHADDSQPV LIKMLEAASSQSRVQSDFVAAHLYWKTLQQLDKLSSPSLRKNGYANLLNIFSRGPRDS IRRSHAAIEEYDAWLVWLKQSQERHEGLISSMMKSLKSLRDKMWYITDVHNSAPYEHT RSICSALKIMGVPRRWGTFQRTRAQLSRGPAANYLYKNESQMLDILAASEEQGGPNKL SDDQAEKTTKWLQQMRIENICQGEERIHRFCCEVDSCVSKLVGDNIVDGPVLWSSELF QRDRKSLEGPAKTLREKSSFWSGDDAASVISDPERRYASSANRPNSIARDLRSMTTHN VSQISFDSRYSFSRASTAMSDILDSQDYFGAASPMHAIDSSSTFWSPFQSTMPSASSV VSRAHSPTTSITNFSGSFSHPYSRQLPSHYSGGRPGTSASSNETVHLQRQSETKQRFL EDLRQTLTSLLLSDLGNYMFSAGSETDGWFGGLGQQCIERRQAQEAQSRQFVSTKNGL KSRVIEKKKSFGNLRVAGETAAAESTSEQSETPTVQSHDSTATTQTSPRRSRRSRRDE SADFPYKKAYQRLLRMFCVNPNPHVKLNALFEMKHLVEASLASSGRRSRWAMRDRLAP PDDDDFGGANALEQTMDSVRERRSQVIFSPTMSPAQTRSSGNAETRSIVSIAPVNTDA VAIVLESLFKDPSVRPKTLFRDLQYIASFVPADTLDRSEKGKAFFDTSLAALALKQEV CRTMVEVADEVIKMEQQSRKPSSTSSEGSDTARSPLPYSLADVAKMLTITAKEGSHPA QREFGLFNLSNPELVERTIMPLCKPRDVFKQSLMEKFGAKPGGSARHPVDRIRALGSS GSATASEGNKGVDVKNDPALHCVAFHWFGVAAEGGDKIAKQFLEQNEINRLD PFICI_11621 MNVSRFSRPVAVVPPYRKQPAQHEEDDTSVQSESKRRKVRKGTR SCWECKRRKMKCVLSPLNSDVCNACRRRGSKCIGQEFPEDTTDDTAKAHDFPTPATTI SAEDATPRSVGGIFASDLAVNALESRNKHGQLSDTLYAALPSQKEIEIICNANRHPFT LPHEVLVLPYTILEQTGMETSRSLAMVPRPNAHPVILARYMLRLAIYLLHLQPNLVGE LKGLKETPRALRTRLVDLAHLVTNDHGLLGNAEGLECVMVQSMYEANMGSLRQSWLTN RHAMSIAQLMGIHRPNNRTQVEVIDPSTTKSNTRHMWWRIRFLDCHLSLMLGLPQSGG SDVNSLTSDALFSNDTPMGRLERMHCVIASKISERNESTSNIPDVEVTREIDVELQKT ARSLPSKWWLAPSMDVTPEDTLRETQRLFSQVLHYNLLNQLHLPYMLRSTTNRNYEYS RITCVNASRELLSRFIILRSSNRAAYSCRIVDFLALMAAMTLLLAHMDGHRSGADNLL AHQYLSDRAMIERAQENMEKLNRMNSDALSAQSADLLRRMLAIEMQMPGDDPPATTTT TAQVSVQPGETVVADPADKSEETTSVRVHIPYFGIIKIARHGMSKEPARPLIPEQPQD ADGAENTSSGPRHATVYPQEGSSDNMNNTTSDDLDGSVPSLLTHRLDSCVADHLMPYE PYPGLAAPGEDWAFQGVDMAFFDSLMRNMEEDGGTNEGLWTNP PFICI_11622 MEPNESTNSDKQQVNDNIAETTTKKADIAITSPDKELEYITGMR LFLVMFTIFASTILAAIEIGIISTAIPGITDDFHRLDDVGWYGSSTFILAGSTASLWG KLYKYLNIKYVYMTSVVLYLVGSIVAAAAPNSAAVIVGRAWQGWGAAGTLGGSVLVIN YVAEPRHHPALIGLWMAVFMVATIFGPLIGGAFTSGVSWRWCFWINLPLGCPIIVLLF LFLRIPKRVQPIPATWKEILRQLDLPGFAILLTSLVCFTLALQWGGQTKSWNDGSVIA TLVLAVCLTIAFFITEWLQADHAMLPLGLLMPRTTWTNALFCYISNAADFQVMFYLPI YFQSIFGQSAIMSGVNTLPFLAFFAAGALASGVLIGKTGHTQPFQLVSALLMVAGTAL FYTLDVASTKGRYVGPQILFGFGFGLSSQVPMTAVQGFSRPEDVAASTGIIIMCQAIS GAYFVAVAQSLFANRMVAALTNVDAAEVLRTGAAEIQSVFEGNELIAVRNAYMTGIKA VFAFALASSVLAVLIAALIPLRRLPSHGNKTDNSNVRSEGKP PFICI_11623 MASKYLALAAATLAAAVPTPINSSAAASDPLYFITFGDSYSQTG FDVNGTHPSASNPLGNPDLPGWTASGGLDWVGFEVTKYNASLLLSYNLAYGGATTDAN LVTPYADTVLSFVDQVGEFSTSLASKPDWAPWTSDNTLVGVWMGVNDVGNTFWLSNMS DVIDAVTTRYFELLQVTYDAGARNFVLLSVPPTDQTPLMLENDASSEASLASTISTYN DFLSSKLDTFKSSNSDVTAWIVDTSVPFYEAINNPTAYGAPNATCFNADGVSCLWFNN YHPGVAIQGLVAEAVAQTVGAPFFTS PFICI_11624 MQSFNFILASLAALGQAVPLAGRDESWQVTRFAAYQAAHSISEI VSFNVQIGSEDPTTCSGVGSGVTSSEPYLLPLSGSCVAGSGMTFNFTQVEDQAIRLDV IKTSDGVQTVQTYTSNTGAVQFVSTGDNPLDTSTSYTGPQGFALQA PFICI_11625 MVTITEIRTANTSLKSSRSGLTAVITGGTSGIGYGFVKALVSQT DSPKVYLVGRQEKLAQVIGELETINSTGQYIGIQVKDLTLLADTEKAVNQILGQESKV DLLFMSQGYLSFASRDESGEGIDKITSIRHYSRTLLILRLLPLLNAAASPRVISVLAG SKEGVIFPNDLEFKDPKNYSLAKVGDATASYVSLTLEQIQAQNPKISFVHAFPGLTKS SLFRPESFGRALSFFINWVVMPITSRFLWQSVEEAGERFLYVASAPKFAAPGAGNDGD LAVGSKGVKGSGVYTINEKQEGVHNKILDSLRSDGSDVKIFEHTLDTIKRVVGDRI PFICI_11626 MFASILLFSSALFGLVQAACIPQSHNDNFPTDPLTLYVAHQGRG ILTLQFDTTKAANESLGIVDETEAGHQPGWICSRDSNIYSVARTYYPDNSSVSGGVFG FTRIGDDLSKVSENSSDGNGGVFCHINEDGSLMSVANIDGSTVSLHPRSETGVVGASS HLFKYNLTQPGPGTNDSQIQSNPHEAVFGPSGPWGQLMVVPDRGADRVYVYRVRSSGN GTADVHLAENITLPLGTGPRHVIFQESLGLMYLVSELDNTVRVFSIDTSKMSNDVVNI TLLQTASTLGPGSARTLPINEHLASELALSNNGRFLYVSNRDTTSYDSDNLAIFAVNR TTLSPQPLEWLGINATNGKIPRHFALSSDRENQWVAVANQVSNTIFVFKRSSENGFLE EVKGNLTLGDFDLTLENGPMAVVWARPRDRGALSTRMDKQ PFICI_11627 MELIHALLGAAKYAVAIIIPLALFIGSYRLMFHPLREYPGPFIA KLTDAYGGYQVKRKRLHLKAHSDLQKYGPVYRQGPNRLVFNTVAALRDLSRHHASIRK SHVYSFTSFSPVPHVLGTVDPEPHRMKRNVYGRVLSGQSLQRFEPAMRAEVDIFLRKV LEAAEAGPVNMTPLCERLASDIAVQLGFGVDLKSQTEPENRVLIDIFLHMNTIHSVYM AWPAIHALNKIVMLFNMRKLLTAGGIIKNIIKRRAAIEENSGRHDFWAVASTSHHESD STTSSIEKSQVWSEALFFFPAGGQTTSCALSAAFFYLSRHPLVYARLAAEIRDAFSDG SLITVGPQLLGCKYLRAVIDETLRMSPPTTVMPWREVDRNISPKEPCVVDGHVVPGGT LVAISAYCLMHNEEYFPQPFAFQPERWLDDTDPDRLARMVEAFIPFSFGDTMCLGKTL AYMEMSLVLAKTLWYFDFKQAPGESGKLGAGGPGGWDGDLRRRVDEFQLYDAFVGEHN GPNLTFIPRENL PFICI_11628 MSTLAQELPPIMINLDLEDYEGLAVIPWFSYRAQVPVRPFPGLA ERPAIQTERLTIRPIVMADLEAFHALRSCPLTQIHSPTRGRTDNDIEETRAHIEWMES HDQEHYYFGAFLNSTGELIGEGGLPKVEPPRSGWPEAEILIKPDFRRQGFGTEFWKAV TNSWWELPREMQRHQLLPLIVPGVEPGEEVQEGLGLAWEESNVAAREFFAKVLKQNPV SATGSFEEFDRRPGRDLELIRWNGTIQANPLHTA PFICI_11629 MPNYGLDGPAITTFDKCSPEMIRAIRSYFQQWGKHHMTKPDMLR DWKLEEHVDNMVFKTWASDEPILTPVFRLPVIQDSYVRVGVFKETLPSHGTTVTIIAA GLENDVVGYSGRYRDPNPPPERRVGQPAFLRAKMDSLQQSIVHEFFDKQGKPVPVSFI DFGTENNMPSEAKLCQAYKTADTAWAKACDTWNSQLCVYLCRRKIFDLSHTYPWNPAN DGQRSYSEDNFIVAPRICTRIPSILDRHRAIGVFIDDQETRGQEGLAVFTGPVSRGID THESNANACVKQEKW PFICI_11630 MTWVVNGSEELDAKSEYRLVVGLCVFSSILMVAAVATRCYVRRK KFGLDDVLVVLGAGPALVYNAFAIVQTKYGLGLPLADRPAADKETYTFYNYVGRPFLM TSLTCFKVALCITYLRMIDRTSYRTYRITVFVYIGLLIAFGLFGIGFNVFNCTPMAKN IHPSIPGSCLSYAPWNLWTWSLNSVLDVAVFLLPIPLFMKMSIDFKLRLELSILFSLS LITTVICIFKTCQIPRIAWGDGNSTQFVYLSALEVNAGLIISCLPHLKPLYNWGKTCS SRSHSRSTKSKSYRMDRLPFRSKTETEVKVHRHRNESETEILRPGITKVTHISVEHSP DGSTAGDNQRMT PFICI_11631 MSQNGDNISSEMKAVPTESVSTIDRTGSEIASQRQDDALDFLKQ HKGEVQAENPNSSSLARSLRKRIDLRLMPFLCACYTLNFIDKVLLNYAKIMGLEASLH LAGNDYSNASSAFYIAVLLFSLPNMWLLNRVPVAKCLAVNLIGWGLCSACHAAIRNNA GLVAVRVLSGAFESGIPPALMLLSSQYFTYSEQAPRFAYWYMGMGNGQILGALISFGF QHMSPTAPLSSWKTMFLVLGLVTMTVGLLVLLFVPDSPMKAKFLSNTEKIALLEHIKV NQTGIDSRKFHPGQLLEGLLDPGCWGIFLLILLQSSGSGVVTAYSATILTSFGYTPKQ AALLNIPSGVVNIIWTLSAAVIVRYHGRRWLINVGAGTVATMAAALLSFLPKTNRPGL LAGMYLINVLPGASNIAFQWLTCNTAGHTKRTYATAGMNAAFAIGNIIGPLTFRAKDA PQFKPAKLTLVIMWAVSILVSACCAFYYHWMNKSRTRTIEVVEDEVEASKAYAGLTDK ENKSFVYRL PFICI_11632 MSTTLELLAELQQAAQREANGDKYAHLELLKGVQRLQNIVTTPA EKMMRMRFQAYQNVCVRVAQEKGILQTLAAKRKSTARELSDATGAKELLIVRIMRLIT IIGIADEVGPSTYQANATTDFSVKKGILGDVKYYTDIVLKLCSNMGPIAKAHDFDEID VHTFTFGKSMFEFTAENPEYGKAFDDFMTARREATWDRWFDIFPVREKVKSTDIGDVF LVDVAGGQGYWSQQFRQEFKDYPGRIVVQDQPHVITKLDGIETMAYDFFTPQPLVGAK IYYFKQILHNWDDEKSRSILKNTAAAMNKDSILLINDYVLPESNVGIRAVYMDIAMLV MLSGIERTESQWTQLVESAGLVITKIWYTDEKKNEGSEAVIEIRLPEHLQ PFICI_11633 MLSISSLFLGALLAGVASSAAKHPRATIDSGEVIGSVTKLPSNK TSYNFYGIPYGAKPERFRPPEQPKPWTSARNATTKQNIACYQNYIYNDATYDNLMTLV VGPNTAPPEEREDCLTLDVYAPPFVSNGTKKAVLFWIYGGSYRTGANTNPNYDGSSFA GDQDVILVSPNYRLNVHGFPANPQLEDPDQNLGLLDLRLALEWTRNNVASFGGDPEKI TLIGQSAGAAIVDMMVSAPPDPLNFRAAIMESGQASYNGGAAIPGWAYRTLAEAVGCN GTATQKYDCMTTASAKRLKTVSENRSIWFGPPIQDGATWAKAPRQNRLHSTNESSIMA RVPILIGSNAEEGAIYTTGVKSAVSFLQEHYGFSKAKAKALLEYYPIVPGSRIQTQQD QATAVMTESSFGCPAGFVYNDSMSVGIPSWRYYFNASFANSELVPGAYHASEIPLAFG TYQRENATDFQASLSKDMQQAWSRFAKNPSAGPGWRQDAVAVFGGNASPGETDENRPT MTLATTSWMDQSNTTLTTWYLQNEDISLHARFVYLVDDNARIPAEALIILRLDKQQPI RNKSQPRMLGQNEPFLSRKPASKKFNGQPKHPQIQARLEAAHKTVADTTAVTSSLAGV TL PFICI_11634 MSLGAGKDTLIKHDAQISQYDGPPEHRAGQSALVRARLDKILDS IIHDFVDAKGRWVPATYIDFHTADSQPDDRKISRAYILADRAWANAIDDWNKDLCIYL CRRRLFDASHPKTPWDPILEKEECNLGESHFPRAPQIIPLGQEIIDRLSKRIRLAQTG AKIRGNHGIHIFRAKRKDIPSSNKA PFICI_11635 MPGEQDIIRTCGMLAAEVPSMETLPLYGSLPKQLQDRIYHKSSN GKCIVSTNIAETSLTIPNLVFVIDSGLAKEMLWNPRVRMNQLLRVPISRFSADQRKGR AGRISDGVCIRLYTQSAFQTEFRQSTMPAIRSSRMESEVLALLCAGHRNLLGLDFLDS PDPENIASAIRELLDLRLITGSDQVNETGQVMLPRLSPTVDGRLAVQFPIAPVMFRVL ESAARLECLEEMCAIVAASSQQNPIWVRPTEFADAADLHGPALFAHPLSDHITSLNAV QAYAKAFMEKKVDLNEWCLENFLSRAALDSVCTLRNRLIKLAEKRWPGCIPTVADDIS ADEYHVRIRKALAIGLCTQSAVKKIKTDLHMTVNYNKGGIISAESSVLYHGYDLSSMP INRASNGFPAYQWIVYDEFVSVAGKPTFSNVTVIEPEWVCNEEFMSRDRATKKYNGQL KQPKIQANLAAARQSMADTAAATASMTQVTI PFICI_11636 MRRTQDCELTANPITCFDECSRAMKEKIRDYFTKWSKHFRENPR RLTDAELRRLADGLVFRGWTSAESLPPIKFHGPKLDSNRIQVGRFIKDLPDPKKARTI FQDDRENGLFNFLGKPSDYVVPRDRKAGEAAYMRARLD PFICI_11637 MPEPLDESSFSRAIVSPDDLSEEDIRAARGLWDQAIVMIPRSIR PSSAKLDEDEFNSFLNFVFAAWNIVDKPKNATQKRKTAHMTSLPVGKWFYDVPRSKQD QKQGETAEKKPVPAWKQKGGDAHCRPEIISGTIVFKIIDSHSTMHNFEDVRWSYRGGL TTELKIRICAHFDTNEISHVREHNLRAARSLTQAYIRRTYILDQSSVEEMNPVPVFIP MNLRLPLWKIISREAKNGRRLAKKELAKMKKSYKF PFICI_11638 MLSILRKARLKDKEMRILMLGLDNAGKTTIVKKIMNEDVNTVSP TLGFIIKTIDYDGYKLNIWDVGGQKTLRSYWRNYFEKTDALIWVVDATDRLRIDDCKE ELHGLLQEERLSGASLLVFANKTDVQGCMTEDEILQGLQLKDIRTHRWQILQCSAMTG RNLKEGLAWVVEDAKARLFLY PFICI_11639 MSEDVSKFLEQVRELGDRRAEEDEARSRELEEKILADRKERQAR RAERARSISPQKSSPVNTPPPSAQRPTTPLQRLDLEASPSFEPPKSPQTPSHTDSSDA MATQNFYSTSASKENESPFDAENKRASNPLSPSRSATVRGLSWQRRPNSQASNGTRSR PLSMVAAENAARSSTTPSEPTSATEAVSRDQISQALSSKDPSWFRQTSDRGASSAAYR KNQVEDEDRTDTSAMSGQLHGLARRPSTDPTKDDSDSRPNSGAPPGLLGSPLSLSTSQ RLDPPKTENTMETDSPRETQALASPLLGRTSPVRSDRPNSPTKGLGGFVQSAMMKRSD SVNKRWSLKSPEGLQRNDTIASNRNSYIGPRGSISLGRDQSFDGIPRPGSSSSRPGSS QGIKETAAEDPASTQVNEAANSTEAPQEPEAVDEEKMTPPTSPSKTMDPRRWSPTKAS WLESALNKPESPKPKATPAPVNQPPWMAEIAKAKAQKAANPSGELSRAPTVSHKHQVS IGGLARASAPGVGARPLSIVGASGAASPITPQWTGYGSSRKGSVDTSATGDAHAEVVK PTERARAATTAANTKPKPEAPPKTDFRASLQSRPPPKPDSVGPADFKNVFGNLRKTTT QNYVAPDELKGNILRGKAGLNVTGGPKKTERVDEFKEAILSKKKDFSKAQSEGRGVTR NLSSASEQPVPEGLVKSFELGRSKSIKRDSATLTSTPFGVGRKSISSTERPNFHERQA STQENPMPDRRPSIGIQRESASAIPTSKPIGTAAHSSTLPKETGAPGRLPGKLANRFN PGLAGLLARGPPSMATGASNNSNSAGDGLASGEPASGPKLTHMTKGRARGPKRKAPTS VASTTAPTSIGESSIAAAEPSEAETVTPAEPETPADSISAAAPPQAESVRADLSQKDA HQGRPKPQPLDLVDSNKQGLETTSVESTKAPSPLKTRVRSKSRVFEQVAALAAQRATP SPTKQESPLVSSQPPSPRKLDMKRVSRFGGDFSPDKSPERESYRNEKTPTESAAPKPL SIKPASPIESKPEVRSPPVVSAGRPLPEPEKISPQPALETTKDQRLPSPQLGSASGGR ALPSPPPVSSTSIKTSPFFAAEQPISSRPLPTPRATSPRPLPSPVRSPTKQAIEVSSV LTDFFGSNRARRDYQVDSADVLMRRPNISAPRIQTLSAQLFHFSADGKKTPVPAHNDR VLFEQEMYLCSHTFKNEAGKKTTEVYFWSGDEVPAAVVEDASIFVAREARALGGTLVR LQQNKETTEFLAALGGIVITRRGSSNKYDSLAPHMMCGRRYLGQLVFDEVDFNSAALC SGFPYLITSSGKCYLWKGKGSGADELSCARLVGMDYALTGELEEIEDGQEPESFWTIF GGNKRQGSADHWRLKPNYDKYCSRLFRSEATSKQQIVEIAPFSQKDLDQTGIFVLDAF FELYIIVGARAQPQYASFHNALEFAQEFAILAAGMEDRPFVPVSTVILEGIPRDLKSV FRKWQDTSSPTIMNPSGGGTGLRRGRSLRIMPLNTALQALRE PFICI_11640 MKATASLLALAGTALATPHGGWGGNQGGNQGGPGAEQSSYAAAA QSAYDNGQVGPGNWGPGQGGDHGGHGPGGGEEGGFGHGHGHGDWGPGGQNGQGGPSGA PSDWQSYASAAQSSYSSLYSGSAPSDWQSYASAAQSSYSSLYSGSAPSDWQSYASAAR SSYSSLYGGSAPSDWSTYAAAAQSSYSSLYGGSSASDYSTYAAAAQSSYSSLYAGGVP SATVTVTSTASASYSSASPSSSSSSSSSYSASSASPSSSSSSYSSSSSGYSSSSPSYS SSSSYSASSASPSSSSSSYSSSSYSASSASPSSSSSSYSSSSYSASSASPSSSSSYSA SQASSSASQSSYSSASASSYASAAQSSYSSLYSASSASDSSASAAASQSASAYSSNSA SQSSYSAASPSNSASQSYNSASISSYSAAAASIYSSLSASVTPASSSAGYIAGGAGSL GADQASYGATTSSAILAGGSGEGGEAKSNEAPAQSQGSAQAAPAESGHAEESHASSNA APAETGHAEESHASSNAAPAETGHAEESHASKSHASSYSAPVETGHAEESHASSYSAP AQSGYAQESHASSYSAPAVSKTESHAQESHASSYSAPVESKTESHSAAEQSGYPAKET KSEAKASSYSAPEISKASSYSAPEQSVYSHSSETKSEAKASSYAAPKESKVSYASESK VESKTSEYQSAYQAPKTSEYQSAYQAPKSSEYQSAYQAPKSSEYQSVYQAPKSSEYQS AYQAPKSSEYQSVYQAPKSSEYQSVYQAPKSSEYQSVYQAPKSSEYQSAYQAPKSSEY QSVYQAPKSSEYKQSVYPTSTFASYASAETGTYSKAASTYNVYETSSAEAKSSEYQAP YQAPYQVAQSSEYQATYQAPQSSEYQATYQAPQSSEYQAPYQVAQSSEYQATYQAPQT SQYQAPYQVAQSSEYQATYQAPQSSEYQATYQAPQSSEYQAPYQVAQSSEYQATYQAP QSSEYSAVSPVSQTQIIQGVTVVNTNAATAPVESAAAPTGTAYAQYTVTERVSTQVYA TEVYPETTYTTVSQGVTVCITQTGYQTVQATRLVTETQTVPVTCYEECVAYASQEAPK QTYSNAAVSQQAPQQTYSAEAPKETYSNAAASQQAPQETYSNAAASQQAPQETYSNAA ASQQAPQETYSNAAASQQAPQQTYSAEAPKETYSNSAAQAPTTVHTSVSPSKSAEATA AVSTVAAGRAPRATKAAGAMLAGLVALVAALL PFICI_11641 MSDSNKAVPSTDPAVYNEYATKWANLPGDEAGWIQRARDVAAVL EQDAAVRERENKSPRAEVQLLKHSGLLKILGWTKYGGGGQPWSVAYRAIREVAKADGS IGMLLGYHLLWSTTASVIGTAEQADRIQKLVISNNYFIGGAVNPRDADLKITVDGDQL VFNGAKHFNTGGVVSDLTVLEGVLDGVKDHIFVFVPTQQPGITFKHNWDNVGLRLTES GGVTIQGVKAEWSDALGWDSKTKTPDPSVLAVPFASLLLPGIQLVFANFYLGIALGAL EYGSKYTTTNTRAWPYGGDNKEQATDEFYVLSTYGNFLAHLRAAEALADRAGQEVDAV YAQHATKREGLTARARGELAEWVASAKVVTTDTSLRVTAGIFEVTGSKATATRVGLDR YWRDVRTHTLHDPVSYKNRELGRYQLLNEVPEPTWYT PFICI_11642 MDPSGFVPKQAMAFGSELFEIIGGDETLKIAQYEMKLIPTFPSG SFIHDVACGLGPVTQSILANAPPEGIKIQASDVSPGMVGIYNQIASGKGWPSQAVVMD AQKVAFPDHTFSHVFLSFGLPIIEDPVAAAWEMYRTLKPGGTAVTAFWLQVPQGESAM ATRRALWGPNAQLAVEPKPEHKDRDYLRSLLVQGGFRHEDVELYEKSAFLPVKDLDQF AVAIWSAIGKPVGGWEKEDEEKWDEAVAKYKELLREKTGFNIAEDGSITLEAIAQIAI VRKAVE PFICI_11643 MLSIKTLALASATLPAARAWGTLGHATVAYIAQNYVDDTVASWA QGLLGDTSDSYLANIASWADEYRATTAGKWSAPFHFIDAEDSPPTDCNVDYDRDCGST GCSVSAIANYTQRVGDGRLSDANTAEALKFLVHLLGDVTQPLHDEALDVGGNTITVTF DGYDDDNLHSDWDTYIPEKLVGGSALTDAQTWANELIKEIDSGSYKSQAASWIAGDDP DAAVDSAMTWATDANAFVCTVVMPDGVAALQEGDLYPTYYNSVTPTIELQIAKGGYRL ANWLNLLYSAKVAKVKRDGVMVSKKDVDLSGREFLPPPRPLSEAKKKRTAFGYQCNHQ H PFICI_11644 MPTTYAALEILAESSILATSLRGSSGGPLENNVTGLLVRSTNSG WGRSSMVAVDAGTHLSGIAEVLEKTQPQGLGVDVPLPHKLDSGPFAGLEITSKCAKTN AAEITKQLVDTYLITHPHIDHTCGFIINTAGLQGNRPKRLAGLPSTISAFKTHIFNNI IWPNLSDENNGAGLVTYMRLVEGGSPALGEGAGKGYIEISEGLSVKAFGVSHGHCMER HQHRGSASSSRIGSADLSTMLPRGAPGSGAASGPSSLFRHASIARSSGGVSQEQESIC VYDSTAFFIRHGTSGKEVLIFGDVEPDSISLSPRNLAVWREAAPRIASGHLTAIFIEC SYTDSQTNDRLFGHQAPRFIIEELETLASEVEAARRMPIKLETTKKRKREQGDAARRA HPTARSIPDEQPVSPKSTKVKPLMPDSDTDSPPMTPHLATPTAELTLKDLDPTASITL PQKPQSSQPLAGLTVVIIHVKENFQPDSPAGETIENQLNDHEEDAQLGCKFVISSRGA SFSF PFICI_11645 MDTHAHDPIFFGGTDLAAGTLCIDQTGLLGAPAGLDSSIPFGHD LPSVPTQLAAGLPTESLLPQRSFSASPRQRSLRRPSFGRDVLTFAVDGAPAAEAVVPR EGKIELVRGKLPKITRKYKKREQLEDDSDDDIERSKTADIPINPQDYYGRPAKSPKPW GWMSLKRDECTFRYNELGEFAYTVTFSKAELQAYFDGHPPKRHGHNHWVDRQLLPGER IRHGKTRSGLTLWIGWTPAHANSRYPSAAYSNKCRFYDCPVPNRTFRGGCPRVAFDER MNTDGRFRDPYHVAGYVHLFCLEQHFDIIKLMETVDIRLDMRIFQKEENLAGFHSRPD QETKIQAACDWLSSEWPRKVEWDHYLYNLNEQRIKSRHLLTREQAVRPRHFDDSLTKK MVETDFNNYTQSGSSSRESRKKKGNADGKKPVDAGEHLGNIEYVAAERRARSGKRLTK KLKQRPAVFRDDRFLQHRVYAAEHFTRGFVPGRQGPSDRDALGRPRRGFKPEPFVTPE SSLLARPQHVYPAQGYTFPSSDPQVTGFDLHPSMPTSFPSNVPSNQLLPDFSQLLEGH QKFDMSDSKADETSLVPNELSQKRPKRAREHDISDVTIDQVALAAHGPQKRQRRNTEW TSPRRSLLPSQCRRDSTQLGKDREQSPECFWSMPRSGPVLCMHRGSSPVDFNSKYRTL SLKRKWDEGETRPGQEEGSSQHADSQPPRKKSCIDALLPSELERNISPNALTDMDFHN SLANFNPDFNFPASDFDLMDMEQDLALSDEQLHLLFQSAGPGDTDHVEGTYDNCTAEW FEEQLAMTGDLGQDLMPPVSNTPVTFLESDEFAHVKSEDALSQPALDGDTNPQDEATV QLPSVAASKEQLQEIAHLENTTHDKEINGLSSLDEPAEPSPQTPPPHSGVLDTKSVIY FADKESNHEEDDIDDLFNEDLNE PFICI_11646 MAQLNPDLQDQLDQLQQELEEGDITEKGYQKRRTQLLSQYFPPA EAASIATSIATGGGGLRIHSPDSDRYGPSDSYRHSRQASLSATNPDYARDSPIDAVPP SDWRPESSASYSRQHDENPAGLLRPGGPLAESRPTMTNRDSLFLNPVSHAHSYNQDMG SDSPTRSGTMVSGDYAFKPEHQAGYGPPPAMNYDSRTGTMMDAQGYFSDFAGQQAYDQ GPGGEYNGGMQRYSSSDAFSPTAAMAPPMLTASDLPPPEMQYQQPLEPREVPFAIHDP HDKNTAMSNFDNIAAVLRHRGRTTAKMPAYWVLDAKGKEIASITWDKLASRAEKVAQV IRDKSSLYRGDRVALIYRDTEIIDFAIALLGCFIAGVVAVPINDLQDYQKLNLILTST QAHLALTTDNNLKSFQRDITTQKLTWPKGVEWWKTNEFGGYHPKKKEDVPPLSVPDLA YIEFSRAPTGDLRGVVLSHRTIMHQMACMSAIVSAVPNSGPGDTFSRDLRDKNGRLIG GGASSEILLSYLDPRQGIGMILGVLLNVYSGHTTVWLENKAVHVPGLYAHLITKYKAT LMIADYPGLRAAAFNYQSDPMTTRNYKKGTEPNFQNVKLCLIDTLIVDGEFHEVLADR WLRPLRNPRAREVVAPMLCLPEHGGMVISVRDWLGGEERMGCPLKLDMGDESASESEK EDPEKEEEKTVPSNGFGSLLGGGTTTTKEQEAKNELGEVLLDREALKTNEVIVVAIGE EARKKAATELGTVRVGAFGYPIPDATLAVVDPETGLLATPHAIGEIWVDSPSLSGGFW ALPKHTEQIFHARPYKFEPGDPTPTPVEPEFLRTGLLGTIIEGKVFVLGLYEDRIRQK VEWVEHGHEIAEHRYFFVQHIVVSILKSLSKQVFDCSAFDVFVNDEHLPVLVLESAAA STAPTTSGAPPRQLDTALLDSLCERCMEVLMQEHHFRVYCVMITAPNTLPRVLKNGRK EIGNMLCRREFDLGNLPCVHVKFGVEHAVLNLPVGVDPMGGIWSYIASESRAEILGPT DKQYSGIDRREVVIDDRTSTPLNNFSSITDLIQWRVARQPEELAYCTIDGRGKEGKGV TWKKFDTKVAAVAMYLRNKAKIRPRDHVILMYTHSEDFVFAVHACLNLGAVVIPAAPM DERRLNEDVPAFLHLIADFQVKTVLVNQEVDHLLKLKPVSQHIKQSAQVLKINVPNVY NTSKPPKQNTGLRDLGITMDPAWVQHGYPAIVWTYWTPDQRRIAVQLGHDTILGMCKI QKETCQMTSSRPVLGCVRSTTGLGFIHSCLMGVYIGTPTYLLSPVEFAQNPMSLFLTL SRYKIKDTYATPQMLDHAMNVMPGKGFAMHELKNMMITAEGRPRVDVFQRVRLHFAAT GLDRTAINTVYSHVLNPMVASRSYMCIEPIELWLDQRALRRGLIIPVDPETDPKALLM QDSGMVPVSTQIAIVNPESRLLCHDGEYGEIWVDSEACVKSFYGSRDAFDSERFDGRT LDGDPNISYVRTGDLGFLHSVSRPIGPGGAQVDMQVLFVLGNIGETFEINGLSHFPMD IEASVEACHRNIVPGGCAVFQAGGLVACVVEVSRKAYLASIVPVIVNAILNEHQIIID IVAFVSKGDFPRSRLGEKQRGKILAGWVTRKLRTLAQFAIRDSDSLEGEGGIDAHRAS MVSFRSSGIPGASSLRNMEHAPQILEQEELDSQMNRLSELPAGFGDKPQSERHVAGAS QPPRLDTAAAAATGHRFELSSHDDYSASSTRRSSTLTGTPAIRLPGVDGRVPELLGSA DGAAPGEVDDWTRDAIMHMNLASKYENKD PFICI_11647 MSPSGQGTLKPKPRGPRLYHKKSRTGCIRCKQRRVKCDEVRPSC GGCVRHMVDCVYQTQATAAATTATAPGSMNPQLDAMNLSSMESELSVLSNPSAAKTSP QSDGYTVYETPQDSAVSSSSSVAPDGDIPETDLPESRERRIWELRLLHNSLTQAKPFP TPQPQVINDLFLIDIPKMALNEGRDGVLYGSFAHSALNLWTRSTDPEEKETLIRLQRT YLSLMLRQHRQDVAVLDPDNADAVCICSLKILTHALALIQTLPSEPWQPPTDFLRMGS GAGSVFHTALRMAKSGSGGEVAKIQTFVQNPPGLRDPNETILSDHSALDWILETPPGS SPEAAEQLDAEMSDPLTLSVYHKAISYICSVQRAIAREEPEFAICRRLGAFAVLVPSE FTQYLIERRPRALVVLAHFMATFMSVEHIWTIGRAGENQIRGIHKNLPMEWAYKLDGL LTKHKKHEGTPPVFSGGYGALM PFICI_11648 MAAVEKVAVIGAGALGLMATKQLTEDGFDVTGFEARPYLGGLWK DTDDSSISVHPTTIFNTSKYRAATSDFPFPESAGVYPTAAQIHAYLNAYADHFDLRRK IRVGHRVRHIVRREREEGGESAGGIWEIKVKDVQSGQETTNFFDRVCVATGSFITPRW PKLAGLDKFAGSVHHSIDFHGSAPFKDHNVLVLGIHATAQDVTNALSETAKQVYLSHR HGLTLLPRYNDTGTPFDVALGLPVMLFMIFMERTLPRFWTWVLDRMVGSISRKSFPAI PEHWGLSPAPSMAVSTPLMADTLWTFLESGFAKPVPAVKEITGPKTVILTDGQVLEDI DSIIYCTGYHFNMPEDLIPRAAEGSLAYDPYPNGPGHNPHLYMNIFPMNDDPQIRTSL AFLGHAATNYPGFVQFELQAMAVSQVWKGRSSLPPRPKMLEWFRNHTSWRQMMAKRHH VPEAGHTFYPAMIPQAELIPWLDEMAGTGVFDTFGGWFNGLFNPSTWKLWWQDRELYN LCTKKLLCPAIFRAIDTGKREALGYEAVKEILRSQNASLERSIKAKQEEMALKDQKKK A PFICI_11649 MAPDIGSCGWPIWHVDDFTLCFQFDYLKILLPTIIIGLSLVHLI IQNISRKVRRKRESKYDPLDQHLDHTEIPPEEDEESNTDDDDILSIAQNGGGHLALVR TTTKGSIVQADSPPGQQLLVVVEELAIVGLIAINIVALVAHNSGSRSVLAATVGIITW TYVLILATLRLFLGNTKWRVPHIWNHTATIYAFQWLFVLVPFRSVLIHPTSRLAQILT IVDFALTSLLFGMAMTTRKGNKTVLLEWEGDIEPSREPLASLFSLMTFGWVDSILWKG YKETYEIGMVWNLLPKDKAAAVLTEYRQIKKTTSLAWHLGKFFKGPLILQCLWALVAG VLTFAPTLLLKAILEFVEHPTDAPRSVLWLYVILLPVSDLIRSVADNQGLWIGRKMCI RMRAIVIGEIYAKALRRKAASGVDSTLAKTKKDEVTETKVDKVKRWMSLRKKDAQKAA NAIPTKADTADTAKAPDEQANLGTIINLMSVDSFKIGEVTSYLHFLAAHAPSQLIVAI ALLWQIMGLSAIPGLVVMVILLPVNILLARGFSYTQKKIMAATDKRIATTNEVLQNIR IIKYFAWEQRFSQIVDEKRDAELKALRKRYMVWATAVAIWNTVPILITFFSFLVYTKI EKKPLYPSVAFTAISLFMLLRVPLDQLGDMIAHVQEAKVSIDRVEEFLQEEETDKYKQ LGPDNLDDTGNHVIGFRDATFIWGGKDTIADDGSMAFRLMDLDCDFKIGKLNVIAGPT GSGKTSLLMALLGEMTLVKGDVYLPGGRSREDVRVDPETGLAETCAYVAQSAWLVNAN IKENILFSAPYDARRYRNVIIACALERDLEILDNGDETLVGEKGITLSGGQKQRISLA RAVYSNSRHILLDDCLSAVDSHTAQWIFENCIQGPLMRDRTCILVTHNVTLCAPSSEY VVVMDNGRVSAQGTATEVIATGALGEELQNKSRPGSVVHVSTIPSRVPSSVGEESDET LVDNGGSDTLTGASKDKKEKKKVDAMEETKATGAVKWSVLKLYLNAMGKWWFWVLTVF VFTGQQLSSVASNLWIKEWANQYATEEQAVSSIQFGASSLTPLSSSFTPKNFASVATY IKDQRFLSPAQYSASSAPPDVNVNYYLYMLAFIGIIGAAFALARDLWLFLGSLTASRK IHSKLMASVARAKFKFFDVTPLGQLMNRFSKDLEAIDQEVAPVAIGVMSCALAITVTV ALIGTITPGFLVAAAFITVLYVGVGVFYLRASRDLKRLDSVYRSPLFQQFGETLSGVT TIRAYGDERRFIRENLLKINTQARPFIYLWAANRWLAFRTDCLGNLVAFFAGVFVVLS VGNLDAGSAGISLSYAISFSDNILWLIRLYAMNEQNMNSVERVKEYLDVEQEAEPINE KNRPPPNWPAQGSVEFIGYTTRYREDLDPVLRDLTFKIQPYEKVGIVGRTGAGKSSLA LALFRALEAEKGKILIDDLDVGQIGLKDLREAITIVPQDPTLFTGTIRTNLDPFDLYT NEEIFTALRRVQLIGPDEDPATPVTLTASRQTLRSISDDEDRPSGASTPTATNKNIFL DLSSPVAESGSNLSQGQRQLLCLARAMLKQPKVLIMDEATASIDYTTDSKIQGTIREL KGTTITIAHRLATIVDYDKVLVLDHGSLVEYGHPWELLKKSDGSFKSMCETSGDLESL TKAAKKAYEAKRLVDDE PFICI_11650 MADQTAGSPYGELQYVKVFGPNANCTLDICPVEMSVYGYRPSLA ANFTFLGLYVLSALVHTYLGFRWKSYSFMAFMIVGAINAVVGYAGRIMLYYNPFNFTA FMMQIICITSGPVYYSASIYITLSSSIKHFAPSLSRVRPELLYWIFIPCDIVCLCFQA AGGGLSTSTSGKSQMGVDMALVGLSLQVAAMVIFCALFADYLVRYYRSDFYRNEAGAK LGMRSKLFFGFLALAIVLILVRCAYRLVELKDGYSGNLIRDEGLFIGLEGVMVVAAVY SLATGHPGFGLRKGSPKQQKVENAGNIGQGHDVL PFICI_11651 MHALSVLTAGAALLSGVVAHPHDMSAHEMTRRGGLSKRCESSVA ALNKRRWEKRNAMMNKRASSGNTTFTITTEAPYYDVLQNDTCILTEDVTGGPYIWPQS QTLRQDIREGEAGVPMILDIGVLDVETCEPLPNVLVDIWHCNATGSYSSFTGLDPNTP FETLLEQLNVTIGPDLDLHTDDTTFLRGIWPTNSEGISEFTTIVPGFYVERTIHIHVQ VHENYVIRGNGTVASSTTVSTGQIFIAEDQSAQLMALDPYATHTEINRTTNDIDSIYA GETANGYDPTISIVPLDGENIENGVVGYITIGVDSATKRKMKRDLMKH PFICI_11652 MKSDVQEFKKLLKSSDRVMALCGAGLSVASGLPTFRGAGGLWRE FDSTDLATPDAFEDDPALVWLFYAWRRHMALNAQPNAGHYALAELAKKNKNFLCLTQN VDGLSPRAGHPQEGIRMLHGNLLDVKCWDEKCGYIEHNVTRDPLCPALAPAAVDAAPG EIAPLLNPAIPTPEIKVEDLPHCPACERRGKKNLLRPGVVWFGEALPDGMLEGVDNWL YRGKVDVMLVVGTAAAVYPAAGYTRKAKRRGAVVAVVNPDPTSAEGLDENDFFFQGGA DEFLPKLFEDVIGKLPAGEQRAAA PFICI_11653 MRLLQLDSNGQYSLTADLPCKDIPPYAILSHTWGSEEVVFTDLS KPPHDWQNKSGFDKIRFCAEQAKRDGLQYFWVDTCCIDKSDSIVVQTAINSMFRWYRD AERCYVYLSDVSNTETSTLPHESQALEKSFRDSKWFSRGWTLQELVAPKTVVFYSKEG IRLGDKRSLEFLIRDITGIPANALRGTPLSDFTVSDREVWARNRQTTLEEDMAYCLLG IFDVFMPLIYGEGRERAQQRLREETRKVIQGNPTSNFSVSFSLSEVPETQQFVARKEE LAEMRRALSSDGSRRIVSLHGLGGIGKTQLTVAYAKQYRDEYSAIFWFNIKDETSIRQ SFAKVAKQILQQHPDASHLGSLDLERNDSEIVEAVKAWLSLPNNTRWLVVYDNYDNPK LPNSTDTTGIDIRRFFPVAYQGSIVITTRLSQVDIGYRIRIKKLEDMDDSLMILLATS GRSNLGLDTDARRLIDKLDGLPLALATAGAYLRHVPISLGDYLRHYEKSWTRLHADTP SLGSYQDRTLSSTWQISYERVKAQNPLAAQLIRWWAYFDNEDIWFELFQDSRDDDPAW RQELNDELNFNRSMGILHNYGFVEPHSLISDYPESSGYSIHSCLHSWSIHVLNHSWDH ELGKLALECVASRVTSRYDDRFWVLQRRLLSHADKSCANIDIRDKDLNWAFYNLGKLY FDQGKMKEAEKLNLWALEGYQKVWGPDYTDTLDAVHNLGLLYTNQGKLQEAEKVYLRA LEGYQKAWGPDYASTLETVNNLGSLYSFQGKLQKAEEMYLRALQGKEKALGPDHTSTL DTVNNLGSLYADQGKLQEAEELYLRALKGKEKAYGPDHTSTLETVSNLGALSSKQGKL QKAEKMYLRALKGKERAWGPDHMSTLSTVNNLGNLYAKQGKIQMAKEMYLRAYKGKEK ALGPDHTSTLFTIYNLGLLYAKQGKMQKAEEMYLKALQGYEKAVAPEILMTYRPAINV TWNLGRLFRTQSKLVEAKQFCTRALVGLEQCYGTSHPEVLSWREFVGDLGKALSTESL AADSVDRNLSVVRKDDGTSLPSASRKKQRGSLL PFICI_11654 MASTATEDACAKLPPASSDYKSKGSWDTIAGLNTYITGSPDARR AIIFIYDIFGPAPQTLQGADRLASTVGAVVLVPDFFEGVRAQPAWMPTDTEEKKAAFA QFRAERADIARAVDRLVAVRAAAGERWSAVDDEGGWAVFGLCWGGKVGVLVSGKGNEG NGRRFAASGTAHPSRLDAQDAEALNVPYICLASPGEPADLVAQYAEILSKPGKTGVVE TYSSMFHGWMGARAKLDDEQNRAEYERGYRQVAEFFSKHLSP PFICI_11655 MDDVVEKISKACEPCRKKKVRCDGKRPCRRCQRRPADCAYRERA RIRKSTRQSLRNALNEDQSIDELQGEGSIAEASDDASRPKERTEQARAQLYQSVAATH GNEADSVESSRLFYGPSSQFAFLQQLHREILCSGTQQTSGEREVAEGGPGLDLFVQRS IFFGTPQRTRANLLPSHSSLLSALPVGQAVEFLAGFKAASSIVPLYTNQELDDLLHHF YSDGSDSPLSPQKRAITLAILAIGALTTTETDLAELLLIRAKQEAVVCDDMASLSMIQ LSILIAIYQINMGRPNSAYINLGVACRKALAMGLHKESHISVPADILQKRRMTLWCLY FHERWQALALGREGSLKMSDISAPFPDSQPVLVGLCKLAQIAEDGARLIYGRRYDSLG QLYVTAEKIGSRLREFAEQNGIGSAGIPNKHASRGTVASLRLHFVYYHVIMLTYRPFL IAESALKPTSGDNKEPDVMWLRQACRYAIDAAQDSIVYAASSYRKEGTCKTLRYNAFF LDAACVVLMYDMLRHPAKHTYNVDYIHMALRCLSTMIQDEPVTVTQNSVQQILHLVEL TIASITNAHNPAAELTSLAPDTVTDEAAKTAAQILPQLNTQFPSLNANPANSSQQFIH FSGVPFVPNPGTFGSSAGLGDSSMPYADPFPYFQNDVVTTDLFNFFPIDLMSPYNTSS LDGADHHTT PFICI_11656 MVRISIIATLAFAIGAIALTPNDAGARDVGNGQGQQFTTGGCVS DADCAEGCCAGGATDAQGNAVGICSGNGAEFQNGKTGCGFEDPNAAQSIANAQKIVEK QGF PFICI_11657 MSTDHSLPAAILAIDILLSFPAIYNIFKHGLRHGAILGWAYFFI FLTLRIVSSALQLSDSKSSTASLVASIGLSPLLLATLGLVHESRSYVFDNLNRKTEGI WVLVLHILITGAVALTAAGASGMSKPDITDAVRTRDKRLVTVGMVALLVSWILVTLVA ATSFVARPRANAGNPDIKQGNRLLYAVLFAIPFLGIRILVSLVYFATMNQDLSPVTGK MGYKVGLGFIEELLISIAFVAAGIMTRNIGKSRTQKVSTQEAAWGR PFICI_11658 MICHPVLRPALVASSVSSRATEQLARAATSRILATQPIAGLTIS CQQQKKQWHSTVVRKPVVLPAAVKHECRQPAASASSRIIPTTAYSTVVEPPSTPYDGI TVGVPRETFANERRVALTPQNVTLLKKKGFAKVIVERGAGTLADFPDEAYENAGATLV EAGGVWAAADIILKVRGPNTAEIDRIKEGATIISFLQPAQNPDIVQRLAARNVTSFAM DMIPRISRAQVFDALSSMANIAGYKAVLEASNNFGRFLTGQVTAAGKIPPCKVLVIGA GVAGLSAIATARRMGAIVRGFDTRSAAREQVQSLGAEFVEVELQEDGSGAGGYAKEMS PEFIAAEMKLFTEQAREVDIIITTALIPGKPAPKLITKSMVEIMKPGSVIVDLAAEAG GNCEKTEAGKLVTHNGVKIIGYTDLPSRLPTQSSTLYSNNIVKFLLSMAPKEKSYGID FSDEVVRGAIVTMNGEAIPVAPRPAPPPAPVAKPTAAVEEVVAITPFQKTTREVTAVT AGMGTALALGKLTGPLFMGNAFTFALASLIGYRVVWGVTPALHSPLMSVTNAISGMVG VGGFFVMGGGYLPETFPQVLGAVSVLLAFVNVSGGFVLTKRMLDMFKRPTDPPEYPWL YAVPAALFGGGFLAAASTGAAGLVQAGYLVSSVLCISSLSGLASQATARMGNILGILG VGSGILASLLAVGFSPEVLTQFGVLATIGSVVGFVIGRRIIPTDLPQTVAALHSVVGL AAVLTSIGSVMGDLSHVTTLHLVTAYLGVLIGGITFTGSIVAFLKLAGKMSSRPLRLP GRHLINSGMLAGNFATMGAFVTMAPGSPMIAALALSANTILSFAKGFTTTAGIGGADM PVVITVLNAYSGFALVAEGFMLDNPLLTTIGALIGVSGSILSYIMCVAMNRSLTNVLF GGISAPVETEYKVEGVITQTNVDDTAEALTNAENVIIVVGYGMAVAKAQYALSEIVST LRSKKINVRFAIHPVAGRMPGQCNVLLAEASVPYDIVLEMDEINDDFPDTDLVLVIGA NDTVNPIALEPNSPIAGMPVLHAWKAKQVIVMKRGMASGYADVPNPMFYMPGTKMLFG DAKVTCDAIKAAIESRT PFICI_11659 MKFSTVSSHALCGAVASALTLPQTSPNKRGLDADPLDLDQFGWF ETLSLEDAKSGIVKSHNGSLSKIVGEIADDFSGVADAIANALSIAPSKGSSEDSETTE SFSKVNTVQAASASCPNPNIRFEWRNYSDTDRHAFVNAVSCLMNAPASGNYPPAQNRW EDIVRVHQAMTSTIHGNNIFLFWHRYYVWVLEQIMRDECGFDRAFPWWDETLDAGNFA GSSIFTADFFGSLPGATNGQGTCITDGAFANHICHIGPGTGNTDHCLSRAVDESLTAQ SNADFVNTCNSRTAYPDMENCAELGPHAYGHNGIGSVMADVSSSPSDPVFFMHHLFVD RNFWLWQNGDASRKTSITGCIDSNSPCTPLTLDTVISVQGLRPDVTVRDIIDTENGAI CYLYTY PFICI_11660 MASSSEQKPSASRSIPGLSVFEWEPVNGHARKTPTRRPQTACQQ CRQAKAKCNGIKPCCARCKGRDIQCIYTPIQQADSGTTEAHHPRGGPAHASSVSNING DNDSSRSHQDFVSPSHEFGFCDALQDTTDWSEDAFVRALEQFDWTFTDEPSIDPNKIV HNVDGTIHLGVSPSAILGQGEEVDEGGSECTCRLGFMSQIPALEAAMREKPNPRLGRM FRVTDDIINQCSAAANCLDCHLGTVDVVCILTAFQQTAFCFNLIAKSGVNISNHQVYA AKDGEQQLDAVLVMNLVTRASSLLDVLDGHARSLQLAKDTMSRRLTGRSPACLNQLNL SYCQEVIIGFRKLFQIIISVFEGKQTALEKLPSS PFICI_11661 MRSTANIDDIQPNTNSQLTIAQNNEKPDLSASTEEQEQPALDIV PNAPNLNEVTWDGPDDLNDPRNWSVAKRAYTIAVVMCVLMSTSIASSAIAPGIPQLLK DFHSDDTFAGTLVVSIELLGFGFGPLFFAPMSELYGRHIVYNLANVAFCLLTIGCALA PSLDSLVALRFLQGLAASCSSSHGGGTIGDLVPAHRRGAVTSGYTCALLFGPTLGPIF GAYLTRAKGWRWVFWAIAVVNTGVTLLYMFTCRETYGPVLLKWKAKKLRKETGNPLLR GQGEQLLPAAKLLRRSIIRPTRMLFLSPIVAGLSLYIATVYGQVYLLFSTFSFVFTDQ YGFTEENNGLTYLGLAIGMLISLLIAGIVCDRTYQHLTKKYGEEKPEYRLETLIWGAI ATPIGLLIYGWTAQYSVHPATPIFATSFVGFGVTFTFIPIQVYLIDAYTKYAASATAA ASVLRAIAGALLPSVGLPMYHRLGLGWGNTLLAFIAIGLSGLPLLFLRVGEKWRTRFP VELE PFICI_11662 MSAERTTVPTGIPDTDLFTIEAIPSKGKGLVASKAIPAGTLLIS EPPLFTTASLTNAETIEKDLQAIVRSLPRDGQKAFLFLHNNFPGQPNPFSNIIRSNGY PLGPNSEVGGIFPLIARMNHSCRPNAQHHWNPKLGEQTVYAVRDVAAGEELTLSYHNG GPSTERKAALKQFFGFDCACELCSQPAEKLRKSDKRLRDAARLDESIGDAKRVRLQPA DALKDCKELLRIYEAEGVRDTRLPRLYYDAFQICAMHSDAARASVFAESARRVRELSE GPDSEEAATMKGLEEAPEKFENWGSTKNWLSKVSDRETKYKDIECPVSVKTTVIWEA PFICI_11663 MAENSSTTDPRISSSDFKPPANFNQLSEQEQKQTYMEWAKQKYN EQYESWMPWIEDHFLKYFTKDNKASYATKQQLDKSKVTGVQQVDTLQDGVNNLVAGQV GQGGLLQPVGDMLSKEGINRAERQGKDDKGGYLPSNLPGISSLTGSK PFICI_11664 MHLSTASLACLLSGASATIYYAGVAESGGEFGVWSATATPGTGL PGRFGVDYSFISEAAVDVHVDQNKINLFRIAFLLERMNPPATGLGATFNETHFDYFKQ AVDYVTLTKGAYAILDPHNYMRYNDPSSQPYSGSIIGDTSDATAATTEQFGEFWGELA SRFADNERVIFGLMNEPHDMASSLVLANNQAAIDAIRAANASNLIIMPGNSWTGGHAW TEGTDPSSAVMNQFNDPLNNTAIDIHEYLDVDFSGSHLECVSDPATNLAALTAWLKEN NLKAFITEFGGSNSTSCQTMVPDMVNYMAENPEYIGWTAWAAGPFWGSNSPCCTNSTL LGSLEPGSTAVDGSPGLYTTVWLDLIQPLVPSELQWSGPASVNGGDLTAKA PFICI_11665 MPASSTPAGSTTNSVGMLAPFTNELDKIAPSFKIHGSQIQVIQT PTDFYETLKSKIRGAKRRIFLSTLYIGKTEKELIATLYEALKANPDLKLSILTDALRG TREAPNPSCASLLAPLITEFGPERVEIRMYHTPNLTGLRKKHIPKRINEGWGLQHMKL YGIDDEIILSGANLSSDYFSNRQDRYHLFSSAEITEYFDRLYQGVTSLSFLVEPSKEP SGFNLVWPATNASPSPLDNPKQFISNSTATLSGLISSGQTVTVPKPDSSAPDTTIYML AQLSQLLSPNTSTELPAVTHVLKTLADPQYAGSSWTFTAGYFNPAPSLTNLLMSTASS NCTVITASPQANGFYGSKGVSGMLPDAYTLLARRFLDAIHRQKRDAAIVLKEWRKGTV GEPEGWTYHAKGLWITLPKELDPSISIIGSSNYTKRSYTHDLEAGALIITENQELKQR LGAEKNWLQDYASPVSLDDFAKTERRVGLHVRIAMWIVKVVGGAL PFICI_11666 MSTITRTIRNFWKVGVKDYFHQMNYIGDTKAGTFVGADRFGNKY FENSEELPLRTRWVDYAKHDYDAAQIEPGWHAWMSHAVDKPPSQDASLAYSRRIWEDA DAKTIPNYTMTRGAYKPYNTVKPKLSAWEPVAAPRQ PFICI_11667 MAIFMDDAPHVFGTVITIAVLAYVTFGLRVYTRITRGSWGMEDW LMTVAAFPLLILTIACATAAFNGVGIHQWRLELEQNVKYQTNGLFWFFLFEVFYCVTI IPVKLSISFMLVRIAENRSWFVWCQYGIMALFTTMNAIAAFYIIFQCAPVSAAWTNVG TCNASYILADIYYATTAVNIFTDWATALMPIPLLWNVKMNTNAKVSVGAILGLGIFAS ISACIRLKYTVNLTSATEYLYGLANIVIWGYAENGIGMFVGNLSTLRPLFRRVLGLGG SSGQTAPSGMTPNGLPSKATHPYRSFDPGFEMGTMHSIKEKPSMATSTQIVGNDRSSL SSDGESQKHIMEGGSGAGGAGSFGAGGIVVSRQIDISHHQ PFICI_11668 MTQLTPTVLFVHGSWHTPLHFAKIREIFEDAGYPTSCPRQPTVG ASPPIGLLEDAQCIRDEVQRLVNEDKDVIVIAHSYGGVVTTQAVEVVFSKKKRLENGQ RSGVLSLVYMCAFMLSMDTSLAGTFEGKLPPWITIHKPVDGGTVFYHDVDPDERKQAV QQLLQVPAVTQITPITHLAYLHHPVRYLYCTDDQALPYAAQQMMVQNVCKQYGISFAE HHLNASHSPFLSMPERVLEVVQQIAEDDKRREIGSM PFICI_11669 MANAPAVTQDPTTMQSSSAEVEKDAAILYDYLKMDMSPRTAQAI DAVFCLCSLDLRVADHAAKLFLEGTGDYLIFSGGSGVLTEGRFDGKPEAEAFADRACA LGVDRARILVEPSSTNTGENVRFTWALLEARSLRLGSFVLVQKPYMERRTYATFLKQW PEADTAAITITSPPLAWDEYPDEDNPRDLVINIMVGDLMRIHSYPAKGFQIPQVIPRP VMEAGQRLIDAGYTQHLSSCPAAFPLAS PFICI_11670 MPRIYTNPKLPNPEIPPLDLLSLLFDSDLAVSQADTVLHAEAAN PQNKVTKTQLKDLIERIAHGLRNNYNIGGRGSGKDVVTLISYGQILLPAAGFGVIAAG GVCSFASPSSTGAEFRRQLELGKSNWVICGSEHVDVVTKAAAEINLPRRNILVLDSSP WRLKSLDGQVDAISSECLPWKRITDPQELKESLILILWSSGTTGLPKGVMLSHQNFVA ETFITSVLSRKFVEKQIEEGTFTPVEYKTLAHLPTSHIAGLFGYFIGPTYAGGTVYWM RKYNWQDLLKYAKHYKITVFYTVPSIYLRISKSPEVTDHFSNLAGAATGAAPMDGQLQ KTANQKLGEGKEQMLGQTWGLSETTGAVTAVPAGESDDTGSIGSILPNVQLRIVDEDF NDVEPGQEGELIVKSAVVTNGYFNNPEATKAAFHDGWFLTGDIGVIRDGKFFVVDRKK ELLKYKGLQVAPAEIEGLLLEHPAIKEAAVIGLPDPSAGDLPRAYVVPVENAKISEDD IKQYVASKLASHKQLRGGVVCKYKVMLQPRLERLTSAIGKILRRELRDRAKKEIQTSK L PFICI_11671 MFVFRPRPEEARGLKDIHLLCLKGDRDGLLKLLPDPRAVEALTK TGETPLMLAALMGHVEIVAILRQHQASLGATNDCGRNALCYTADDIFNDLRRKSFSDQ FIETDTEGAKLRRFLIAEALQNPGQEKPQHHRNSDHSTETTIFIRNQNSIEMFRRVTN VRIPRSRVHHKQSLRKGQKSTTSKNFAAIKAPGDIYPRAYAVSGWAKPKPAKYPDLLD GYRWTQLALAVARTIGFHFKRHRLDMGGESGTVKEKKIGRFFASHAEIQVATWYCVEL LVAHGLKPVASRTFLSRHLTDLSHANLGNARRAIIDMTKPPCKSCGLYLRLLSRMTGI VFEVHHQKTAERIPDEVVNRSYDLCPSEGLAESVDGGSEAGWSDDGMDENAMTGCDDR VMDDCDDDHVMEDCDDDRVMEDCGDDRVMEDCGDDIVEDCGNDDADGDRCANSQTERN DIEASPTPSDYQYLTLGTPLLSPLRQRATSEASSVTIVESLGPDDRELEVEGVDKINV EYPLIILFSESIAHKSFSLHFTVALQAQDALEPALA PFICI_11672 MEPPATDKALSAHDGGDTSPIVVEDDDNDNVELTGAEKALERRL RLKVDLRLCTIAGILCSLNLLDSGVLGSASVTSMIDDLELYGNRYSVSIFVFTIASIA LQLPSTIAIRTFGPRLWLAGITFLFGLITMCSAFVTTWKQMIALRVLLGFAMSGIYPG LTYLISTWYPRKEQQTRFAFLQSGEVIILATGSIVNYGLNTLDGRGGLAGWRYMFLVQ GLISMVIGVITYFWMVDFPEQAHKSIWFLTEEEQRLAVQRINRDRKDVEIEQFAWAKV LVHARDPKVYAFAVLFFLLNLVSTSLSYFLPIILQSGMGFDENQAILLSAPPYYYAVI PVILSSIVGDKFRLRGPVIVFNCLCLIAGFVMLGFASQVTVRYVGCFLATGAYISNWA ALNAYQANNVVGQWKRVFTAAAVTAMNGAGGIAGSFIVRNNEAPRYITAVWVSIGSHI LMIVLVAGLSVFFLAQNRAARVGKQTLENVQGFRYTF PFICI_11673 MTSQYIYAGLAVFVLLLVIRSRRPSRSSLPLPPGPPGVPLIGNV LQTPKETPWVHYYEWSKKYGPVMYLNMAGQPLIIINSLKAAQDLLSRRGAHYSDRPHL VVAGDLVTKGLHILLRHYDARYRLHQRLHSPVVTPGAAINYRPLMELESRQLIHDILT NSDKDGSKGIDWGHWFERAMCSTVYALVYGYRLKTGHEESITTAKYVQAQAVKIMQPG RYLVDAFPSLNHLPGPLAPWKAEAEALWQLEVNLHLENLRRGRESPAWNIATHLSQSA EAVDMAPEELAFNVGTLADAALDTSSMTLNWLVVAWLAEGAAAAGTAKRVLDDVVGRD RMPQFDDQPRLAYIMAVVHELMRWRPTIAGGIPHRYTGAADDDFCGHRIPAGAFVIAN HWGIARDESVYGPNVESFVPERWLPDADEEVDVKTNNGVKELYSTVFGYGRRVCVGQH VARQILFMTVARVLWAFDVESAVDGETGEQIVIDPLAVTPGLSIKPRPFNAIFRPRGS WVRSLIEKEGNTHSADIDAILDQIAVERSGK PFICI_11674 MAWPYEFLELTKAEKQERRLSLDRHAGYSQLSALVPVVIFLLVR FASWVSLKISARNQKYDQVPGSPVSKYKRSNESSTSPAATLRKTTWWLGDDVVFAGQN WGRRDTLIFGSVYTVWLLFLCVQGTGRDYFHLTKRFGAVAAAQFPIQYLLSLKYVNPV AYALRSSHEEVNRWHRVIGRIIYLLLCLHGAFYTNYYIQTGVLMQRLTSSKVVILGVL ALFGMTALTTTAFGLIRTYSYRMFFITHLLVALALPPMIYFHVHHARAYMIQSLVVFL VDLAARKFTTTTAAATLELIPGTNLVKIVSKVPEKFISRFVDFPASHVYLSIPAASRS GSLKMLYEFMFNPFTVASVNENSQELTLVARQLKGPMSRAFINLANLSSSGSKVPLSI EGPYGSSRYCLGNFQADRVLLVAGGTTETTARVDVIWALRTPGEATWATLNTEKSILE DERVQLFLTGEMFESSSNGGSGDVEMEQLPRRERNRRPSQRSSRRPDFQRIVDEFFRK GQEDRVAVFVCGSEGMARELRGHVGTWVKKGREVWWHNENFSW PFICI_11675 MAALLAGLLATASFVLPATAQLSGTVGPTTSTSAKAATKVCNIL DYGGVASATTDNGAAIQSAWDACKSGGQVYIPEGDYGMATWVTLKSGTGVSINIEGTI YRTGTDGGNMIMIRDSSDVEVYSATSKGAMQGYGYEFHSQDTYGPRLLRFYKCTDFSV HDLILVDSPAFHLSLDTCTNGELYNMIIRGANEGGLDGIDVWSTNIWIHDIEVTNKDE CVTVKSPADHILVEQVHCNWSGGCAMGSLGSGVAVHDIEYRNIYTHHANQMYMIKSNG GDGDVYNVAFNNFMGHSNAYTLDFDTAWSSMSAVDGDGITYSNISFSNWKGTASNGVQ RGPVKLNCPSKVPCTDITVEDFAVWTESGSSVLYGCQNAYGSGVCLNDGSSHTAYTTT QTVTTVAGYSYSTMAGELTAGLGLTASIAIPTMPASFYPGLQPISAILNGAAGGSASA AEAVAASTTASSSAAVKTSSAASSSSSSAKSSSSTTKAAKTSKTTGSKVGAEAVSTSS SSAPSVGITSSAPAPIVTSVASSSSSSAPAVSSSASAHKGCKRSAKFRA PFICI_11676 MLANVALVLLAAPLTLGRPQESNEHRQVGRLRRQLGADSLSQSC HVRTRTYLSNSAPTSTWDDGQGSSSGNYEPGLPDGPYDPGLPDGSYDPDLPDGSYDPG LPDSSYEPGNSGYFWGTNGNGGTSNTLPDFPHISYNNGGGNVGPTLITTNAAESTATL IETTTFETFLPTVITTGGSTITTSTLCTLTETITTTAAPTDSFTVSVTDSISVTDTVP TTVPSVSTVTVTETFISISDGLTITATQTTTFISTQLITSISGIILPTNSINFLILSV QDIVTETAVPVVRRNKQKRQDDITTTDEATPTEEFLTIGEAFPTSEAFPTDQVFSTDD VFSTEEIFPTGEVLPTGEVTTTGFGSGGGFVGRGSDPNPQDCTDAGRFLQLNEQLFAN DDIPIGVDPGVPFIDLSMETPGSITTSFSIQSGFLVWVNSLFPDGVAQYCQTPNGTIF ALFDVLLAPVGCVLKDLVVYPDDQCINGTLVGASPAPTRTITAIGTSTFIPTSVPTTG PTSGLPPTNTNTETNSQPAASISSSSISTTTGIGPLATFSIFGSDSGTSADGTTLKPE FGTVTGELSGLTIFDSSQSPVTYSLDPVTGVVLVHGLENPADPNAAPENICCTYTENG NLVDPAQCSVSRCSLGDATTAPLQCNPVAATGQLRCFLDATSIGDVYDITQLAPRGDG SYQLEIGKEVTAGNFPVTLTTVSPGNTSSTSSSTATSGETSVTNTEPTGTGPSGTVIS STTTSPSGSVATFPVFAGDSGTSADGLTLHPATGPGAGTPPRIGFGPASSPGSPVNYT LDPTTGVLTITPNDGTNNNICCTYASGGARLDPAACTLSTCALNDPETAPLQCTIVGN TLKCRINAFAVDATYEIPQLALQPDGSYQLEIGTTLAPGNSPVTLLIGAPGTTSSTSV GTGINSNTGTGITTGTATIPTISFSVSTTVIVSTESDGDVTSITPLPTSEEFTEITTV IVSTESDGDLTSITSVITSPLETISASVTEITTVVVSTESDGDLTSITSVITSPLETI SASVTEVTTIIVSTESDGDITSITSIITSPLETISASVTELTSVIVSTESDGDVTSIT SIITNPLETTSASITEATTVIVSTESDGDVTSITSTITGPEPTSPEPTSPEPTSPEPA STSSSFTTGLSVTTSVSESTGTDGEVSSSTSVISSTVSSVVETSLSLSTSIGLTTGTD GQLSTATSIISSVITSLLPTSDLTNILPTPTNPLSSILSDVSSLLSEVTGEISTILPT PTNPLSSILSDVSSLLSEVTGEISTILPTPTNPLSSILSDVSSVLSDATGEISSIIES VTISPSITVTGTILPTSSLTEPLTGTDALSSILSSLGSEVSSILDITSTLLPTTTPDV IATISTSDIISLTTLPTSILSISTSLSIGLDTTLTSIATSIIPTSTILSVTLSTSVTL DVSISTGVGTTLSSIISTVIPTTTAVVTAPACGTNPPAYYIDNNALIRADFSLSLLGL LSVATFTTVNPAVGNGNPINAMGYNFADGLLYAAMGAAPSRLIRISPSNGSYTDLGSL NLTANAVAGVIDENAQYWLLDATNTRWTQVNLFPGTTTVNRIVGSGVTPNTPAHTVGD WTYVPGTGNNALYGVGWSTTGGLLPVRTNYLERFDRSTKVWSEPALFPDITPLLLGAT TRNWQSVYSTDNGLVGQVGVTGTLFATDSVSGSTFYFTVLPDGITGSLIGTDLGIATI AQNIVTADAARCAVGRAGLIASLV PFICI_11677 MKFGTLVALAAQGGLLHTANAQSLAYVTELVTECFDPWQTDIEY GTATTDTVSAPYYTGWGPVDYSMPACECGCPTCSHTSVYSTNYPIFCSTGVTDQTYTI TETYHGMPTLPTFAEPTECPYGFTTEEVTCTVCGDAPITQTMTYPSGGCPYDTGLQSA YPTLAPTQAPAPTYAASPHGSNDTGASPAGAYPAQNDWSDEGKDTTKTTKTQSVTLSV NGTHPSTAPAQGGSYPSSKPDTGSGSSPAQGGQDSYPSSKPETGSGSSPAQGGQDSYP AAGGSPAAQASQGHSGSSSSPVKVSSASRLGGMLSTVGFVMVLAPVLVAFA PFICI_11678 MGGTRKKWVDSWESHLPEPLRDSPDKKPASDHQSTLETTHIPQP PSDTPLTKENTTPSDATATKVSKQSLRGTAAHSQAVDKEPDQQQCTADNTPSHMHSTL MGSQKAINANERSSTVPKKEGIIEATPMAKTRPVMTPSHSVANSFISPHYSPVSVKDV RGQEPKNAIDMHNWNETRRPRQRRDWDSDSICSSVWTELNDKVTFTDAGTTLHEHTTA WLSRVPLVEKNLLPVTNDSVCENQVIDANTGELMEPIEAPYTKTRREIDRSRRNLHDK LCIQTSAHSSEERLRDIRRRDEERREKEKRIEHARQVRAQEDAQAKKKNPFLCREPAH IRPAQLDDMGDICRIYAEEVCNGWRALDQVPLGIESFQEHFRLCRKDNIPFLVAMSGY RNPHIPVTEQKHRVLGFAFLDIASRGLFGSAESNGKHSGRLYFMVDSRVRYNRIATAL LDRMLIITTRGYLANESSYQWLNPDNDPAYNAEGRTPRQWRTLQLEIYLKNLGTEAET KNGQEYGWIRDWLQVEFQFFESSFTSNYGFADRRGDTTLDRLVLERRCS PFICI_11679 MAVSKASKAGNNKPLAKKRDQVFDIVDAVQNGRRREALTQLKEL LDPTNDTETQNHVAKCSLGVAGVTSPGESANTDLQNCWRLPFRGFSHQVYAILREEFA LTGSFDSVLGRLNVCLSEHPKQARKLADERKILRSKGTNDMRAWTKSSDDLLQQSFAK RAEVAASKNELTGPLEETTVIATPAPSSPRVGDGSLGSTNSDFINHDSVNATETEDGA IPLHGTDNQDIVVSQDTVYLQDTLADDADDREPLYQNTNRQTFAPTSSDFSRLGPDAS ERSALSNWKRGAGRKKSWKFCPTQRLPTRRKHKGFREPILEAEEFCPADLALDTDEPS SPAYSPSTGDSTAPDSATGVKPARYARIDQQAHECRVALHSKRSGRKDSVWTWNRSLS LLSDDPDDLMPTGCCPLVHRYPRERKSTKVVIQRADTNKSHDSLRTTKRSPALPKPTI VKTRLLSFSSQEAPRSHLKQEASFAKEKTSFESLLLSIPENLPGWSAKKQKRRGINKL KVPEFSNSEQLFSFLQTSWENLLERMTGMKDSLSQEWKGTQESYSRKRRTFQAWKKQL EYLEPVLPLAQKLEARYRRADILIRKEMQKLETYATWVEHSQSAIVDLSWTMRKQSLD TKTAWTMAGGGGGGGPLLDLSDYSELLRHGFIRYSDDFVFGFRHWAVQGISVLVRVTK AHRDWCQMSENLVKSLLNSHQAVAA PFICI_11680 MAEAIAALAFASNIVQFLEFGAKFAIKADQIVKAGSNSVSDLQE LRHITNYLHPLLQQLNADDSSNHSSTKSSSQSRLIKLSKECSQVVEELLQTLDDAGVN DSSGRRDAIVTSFRLTWNHSKIEKLHQHIDKLSGQLAVELLISIREHSAKSLETQEAI LQQLKADSRYSPPKPREHQARDAVSDLDDAPGSIMLQYIRSILQPDIATDQMTKTEDD IHRLVFQQGDYTDYGNRSGQSPLSVEMEPSRRKNVEARILSSLRYSQTADRETSIAEA YMETLQWMLKDCPTDRKDTKFREWLESSDRLYWITGKAGSGKSTLMKYISNIDGTSEG SLICQKYLQSWAGGQDHLIVASFYFWASGSSIEASQRGLFQSLLFQILKRHPGLLPKI APRIWEAYASFGLDMNIEREKSLDEMLLSAIRELVEQQGKKVCLFVDGLDEYRGDHLK LIAIFRSFLLLPNVKICVSSRPWVVFEDNFSTAPSLMLQDFTYPDIQHFVTSQLSQND GFARLQLREPEYATTLIDNITDKASGVFLWIILVVKSLLAGLTHDDRISDLQRRLDLL PPDLEKLYDAILDDLDPFYFGHASQYFQLLEASNNDCDVLLFSFADEERLDFALKLPI RAFTQEEKNLRTDTVKRRLNSRCKGLLEVGPQGRIQYLHRTVKDYIDGSEVRLRIDRA VEQDFECYFKLCSANLAMVKSVDDYRMEYAKECLEMAAKVKRIIPSMIQILDDLDRTM EKTLDPLSLKSFHQTTLSTAHNHLSSGKFYIGSSFLATTVRFSVVEYVRARSPAGCMA PDSDSSHEDQTQYPRNLGLDSKSSRFERMMKTAKFSTSSKAQKISKWRKGTWPLLLDA IWCDPISLPMFRCLLELGADPNLIFHRNGSTPWTAALVAMIDSCSVRCVSDDATANWE QWAPILVQFQQYGAQRDDSVCKTVYRNLNMFGNYQLSSPSLLNLGIILECVATGRKDL GLEYLNGQNDITTFQRERESQSRLPTVAIYSRRPQKGSWELSS PFICI_11681 MRRLNCAVLAALLATSAAKPCRHTPSSVSSPVATQGTSTIDSST NNVSTLPTLMSTSSSRDAVATIDTTSSVSISDTLPTPSSEASVATPSTTESESSSTIF TEPSITASTSSSGASTTETSSTRNSSAESSSSSTVDQCLQALTLRGDDAVADCSARLI TTVTLPASTVTKAATVTEVESTFDLALFTETATTTALTETLLFTTSTTLTASTETDTV TEQTTVFATTTSVYTAPLTVTTAVFNYAGSPVKARGLTARQSVSPGLPDYAAPIGVET STITLPAATETVTQVVSTTATTTWSTESTTQTDKVSVTATVLLTQTDIQSVTTTTTTT EMTTATSIETVQSTMTPTSVVLYSCQATGLNFRARNPFPDSTTRFMNTVSSNLNTLNS NLIAWQNLPSNPSAAALATSTWVLSAGEYLGLSSNSLVAYVIASSTASSLLARMDTPA AVAAGVAAGTYVQVAGCIDQATGRVNMVADGRSNMLSCGNALYLSRGTGTDIRSDCVL LSPTAISA PFICI_11682 MLSLSQVLSTLSFLTAAASASTCGTHYQHDVVVYGGSSGGYAAA IQLSRLNRSVALIEPYSHIGGIAVDGFGASDIDSQAEYQNSLAVGPLALEFYRRISMR YGNVAAFDAAWFNHTKNKTLWRFESHVAESVLNDWISEEKIDVFLDTYLKQSGEAVIK EGTKVKSLITEQGHVFSGEVFIDATYEGDLLAASGVSTTIGRESAATFNETNGGVRVN TTFSQLTVDVDPYVTPGDPSSGLIPTVQPGELGAPGSGDKSLAAYVFRMCLTNDTSNM VPWTKPANYNASEYILWSRYVAAGGHILTPDPVVPGFKTDTIGSTTLGLGFDLPGRTL AWPEGNHSTRAQLLQELTDWQKGQLYFFANDPSMPNSTRSVWSSWGYAKDEFIDNDHF PRKLYVRDGRRMVKDDFIITARTAAYPAVEAPASDPIAVAFWPTDVHIARRIVKNGFV YDEGSIFKQGPAWQPFGISYQAVTPMRNETTNLMSPTVMAVSHLGYGAVRIENTFMNL GQAVAYAASVALEMRVDVQDVPYSVLGARLSAANAVLDATTVVAQRRLLSRSEPDQFS PPNHSSISPSLLSPPTARRDTINSPSRMNATTHITSPAEDATSPARPVREQVGTAFAQ PDQNEHRLHREDTVHTSHDDDVSVEYSPAYLGSSSAVGFMSEVYQTFKSGNGGNSTND NADVTAHDQNYAPWFGRAGSMEDSNSIMADFVVPPRKIADGLIHHYWEGAHPLQPFIH KGTFMKRYNELWQTDTADSGELHAFGRSKSVYTAQVFHCTLNLVFALGCRFRSMSSGR QRPGSDRTHEQFAHRATRLLSLDLMDYGSIQLVQALILMAQYLQTLNMSSKCWVIVGM AIRVAQGLALHLDVAGETQAQREERRRTWHSCELLDSVLSMTFGRPLMLELKSSTPLP AMIDDEHLATTPDADDGTQPSSTPARCAFFVSIIKLSHITAEILRLFYFSSPGASTRD RLTEDYPSLLRLDAALERWKEELPSYLRYENVQQESPSAADVFTRQAHLLHHRFLYGR VLLFRRVVVRMAAASVLQRPAPSGAEIQQVVTFTCIDKCIAAAQGLLDLIRCNLGTWF LPPTWYTVFVIYTTGTVFTVVLLTPSLRERLTVDQRQQLQQSWNQCVECLREYQRLGD SSASKCLSNLQRIYNREGIETRDAPPRPGGQPRNAPPPPSQAGQQGSSAQMTTGTNNA ESAPTFWIPDLNEGQNFEWDVNEWAFNWTNDCIDWPGDFATLSRNEA PFICI_11683 MNIKMFVPENDVVVYGSTSGAVATAIQSAKLGRTVVLVSPDEHI GGIQIEGLGATDIDNQAEVFNSPTVGGLALEFHRRMSKFYGRLEHLEYCVKEGIKDPD VWRFESRVAEQIIEEWLAEFENITIIKGALDSTNPVVRDSSRIRGLRLTDGIVVSGRV FVEASYEGDMLAASGITTTYGRESSSTYGESLAGVRENTTYTQIDVPIDPYNIPGDSS SGLIHGISPEPFGKAGDGDKHLAAFSYRIPLTDRPENRFPISKPEGYDPTHYELHRRY VRAGGTLYTPRKRLPGGKTDLIGSEAPLATDLLGMNDKWATGTRDERQRILDDTALFT KGLLYFFANDDCLPADFRAEWSRFGYCLDEFPDNNHFPRKLYIRDARRMVSDYVITEH TASRDNGEDPVDDPVAIAYWPTDTHCVRRILRDGKVHNEGFIFKDGHRWRPFGIAYRS LVPKLSEAENVITVTCPSSSHVGYGAVRLEHQFYALGQACANACDIVLKDSVPFQKVP YHQLKERLLQQGAILDVSKVGAPEFPGDEL PFICI_11684 MGGKGNIFVSRHAWVSATPRLLYACLIYSMGSIFFGQFPIVPSS TLNHDGASFAGVQAFTPFAKQFGEYNAKSKTYSLPASLASLMNSLALIGKFLGTIVVG PLTERLGHKKAMLITCATQITGVIIQVTSKHPAQYTVGRIIIYTAVGLVENIVPTYQT EISPSPLRGFFVGSIQLFLTFGSLIAGIVNNSMSYYTTDAGWIIATALQVLPAIIILA GIPFTPDSPRWLVSKDRTEDALKSLKKLRRKEDVDNGVVELEIAALREEGQNTVKKDG WMALFNRKNRRRTGIAVVIMALQQLTGVTFSSSYGPTFYKQVGLGTMAFAYAAINNGV SVVTAIIGMLALDAFGRREVTFWGNIIQAFFLCLIGGLGSKANRSQSETSGMVASFIL YAAALHATLGPAAYITAAEVGTASLREKTMALATAVNVVVGFIVVFTTPYLLSAPYAN LGPNLGYVWGGFAALGAVWVWFCMPELKGRNLEEIDQLFDAKLPAWKFASYKTTGMSH DLATLENSKDVKVEITEHKEHTDKI PFICI_11685 MLSKSYGVYATLSFVAQVANAQYSVIPPPSSVAASTAEITPGSS SVVPSYAAKGGFDVSSNEASTLQTSVISSPSLGADDAAITYNATPMTSSDAIKSSSAP STLSSLKSVESSLQSTLETSSLSSALETAASESSSSSLTTSAGGILSSISSYIMGTPP SSTFMTTAPTALSASSGLTTSLSTTNESVVTSSSASSSGSVPTDSSTFASITASNSSV PSAASVEAASGRTNASVTELTTSTIYSTNIYTITSCAPTVKDCPGKLGAVTTEVISIG TTICPVTEAEAKSEASTVALSTAASTFVTSVPTAQASSTMSSSVSSNATSGVYSASSA ISSTLSSAISELSSIISSYNTSIPTTVNSNGTQTISTASESSQVIPTTYSVSREITTS ILSTVYSTNIYTITSCAPEVKDCPARKGSVTTELISLYTTICPITKTVLDGVTYTPRI SAINAAQELPSSTLFTTGSASIAVTSPDITPLVTSSTNSSVIFTPVTNSSVAVSSIIT SATIPSGTYPLTLTNSRSTIASANSSVASTAPTTPAKLTTSTVYSTNIYTITSCAPEV KDCPTKKGQVTTEVIAVSTTICPVTEIETGATTAASIASVPLSSAQTFSTSEKVVPSV PSTGSSPLSVPTTAVINNAVETLPSSVELTTSTVYTSSVYTVSSCAEGSKECSSQLGS LTTEMVALYTTICPVTAAVQNAAETIPVPSPSSVPSTGSSDAVPTIESLATEQSAPVG SSGVLPETATAVITSSENLSSTVAAVTISTTPALPLSRTSTVYSTTIYTVTSCAPEVT NCPASSGSPAVVTSIIALSTTVCPITYSTQAVTLNNTIGSSTVLVTTTITYEDAPTPT PSTPLAAAATAAESTVPEPASTKPGTTLTLGNYFTTVIEYEALTSAENPSPPPPPATP ESAAAVPMTDMSSPSVPYAAATGTQPMVTQTRLLSLVAQASSSSSQCTIRRRNRRQDH HPTDQHGNQLPDGNLDQAVRRAVLRPAHHQLHYGDRAARDRNRGAAVSVSVRDWKQYI WHGKQHGFHWRDRELHHGRGSAAH PFICI_11686 MASATVFKLPGVALITGAGGTGIGAAVARGFARSGCSRIAITDL NGDSLRATQNAILEINPQAEVTAREGDISDESFVSSFVADVAKTYTRIDYAVNCAGIL TESARSTEMPVSVFDAVNNVNYRGTWLSSRAALAAMLKQEPLTPGGITRGAVVNIASQ LGIVARPGAAAYCASKAAIVNMTRSDAIDYSPDGIRVNCVCPGVIDTPMTTSSEEMRE RLRPAVDIAPMRRMGTPEEVAHAVLFLCSPEASFIQGHALVVDGGYTIN PFICI_11687 MASQVMTASTSRPPVAAGVAQKRRKPSGEVSHICPYCNRSFKRS EHKERHVRTHTKEKPFVCHCGHAFARRDLLTRHQRINTHHDPKLQTAHAAKPEQGGVN PAVDNVVQLQTPVSPPDMANNPWDQFIPAQSNDGPSDYGWTTSPQTGGAGAPDEQFFN HQMQQHGLYYPDYSQGQPMSGYTDPQGFYDDRGTVAWTGVNPSYFQNQDTSEVADPQL QEQENPQLQKTISIII PFICI_11688 MSSASILVLGAGELGNAVINALTSHPNKPAANIAVLLRSSTLNS QDPQKQGQNKRLLSLGVALEAGDVEAASVAELAQIFGKYHTIVSCTGMYLAPGSQLKL TRAVLEAGVARYFPWQYGIDYDVVGGGSAQDLFDEQLEVRNLLRSSAASASSPVDWVI VSTGLFMSFLLVPEFGPVDLKNRVLRGLGNWDTPVSATTPQDIGRMVAEIVYDPRDVS RQVVYVAGDTVTYGRVADLVEARFGGSWTREIWDLDFLQKRLQAEPDNGMVKYQNVFA AGKGVAWDMSKTINRRRGIDLEDFETYLKHIDA PFICI_11689 MAHPTRGALDAQSLHQLQDLHRDDFYVEPFVQPQIPNCISRAPM PSIGAPLSPSETFQNAYGNMYAAIPQYPQPQGFNKQTSPFKANGRATKSPVTPLKEHV NKMNRAISLQPPTAGMQQTDSMQKKQPKMSKFKTVYQKPVTDVGSNYEKETTQPALQP APPHSFNMNGEDNFQKPQSKRALLEAAPIKESRPSSSSQSNASQDDQEVVLPPHDSFP PIIDDGRKPAHSYAVLIAMAILRSPERRLMLNQIYQWIMDTYSFYNMENLNDKHGWQN SIRHNLSLNKAFVKQGKPKEGTGSSKGHWWTIVKGEEAQFIKEKPGRRTQNGAQNLSI INMSSRPEPAPVEQQPFFQDGLSAFPAPTLPAQPMVYPQPSFPAPALPVTSAPELSSD ATIPLSDNLTPDEQVAKIDADGSAENAYSPFPAEMHSSPPIPRHVDRSNTPPPVSRAP ASSGARTHKRKFASMDDSGYISSLESSAMRPNQRLSSEADRPRIKRGRAEEEIARLRA SSYDSPTKARSYGIMQPSSSPFRHTSDAHQMPPPLTPALKKRAPLMRPPPSVSPNTNL RLHRDRVKGMLESPLRRAASNFSEEIIMPYSPHFSLDHTMFSNDLIGASNDFDIWQDK TGDTFFDAANNGSPIKRSVKRLRPERTHSANALVDVTSSAANRSITSAAHLKVPETFA IPYETPSKVLEGMFSPSKYLQQSPGVDQATAFASLPLASPSKDGGFTDFNDYFTRFDF ENDENPGLDLLSGKFTKIGSIKPMNGTAGASKPTLGRSFTTTF PFICI_11690 MSSRSPSPQREMADAPPSRPSRSPSPRRERGGDRPRRARNGGDS YRPGGARDRDDEPPRRPRSPAPRKPSPVPPTEEEKQAAARAEYEKLLTMRSGGTYIPP ARLRALQAQITDKTTKEYQRMAWEALKKSINGLINKVNVANIKHIVPELFNENLIRGR GLFCRSIMKAQAASLPFTPIYAAFAAIVNTKLPQVGELLVSRLVMSFRKGFKRNDKAV CLSSVMFLAHLVNQQVVHEMLVAQILLLLLQKPTDDSVEIAIALIKEVGAHLEEFSPA ISNAVWDQFRNILHEADIDKRVQYMIEVAFQIRKDKFKDNPPIKEELDLIEESDQITH RVELDANLNVQDGLNIFKFDEKWEENEAAYKQLKAEILGEGSDYEDDDDDDESSDDED EEEKAMEIKDQSNTDLVNLRKTIYLTIQSALDPEEAVHKLLKVQLPAGYESELISMVV ECCSQEKTYTKFFGLIAERLAKINREKMMMFEDSFKTYYETCHRYETNRLRNIARLFG HLFGSDAIGWYTLEAIHLNEEETTSSSRIFIKIMFQEIAEELGMPKLQARMKDDILQP SLEGLFPRDNAKNIRFSINYFTSIGMGPLTEDMRERLAAMPKPTLPAPAARDDSDSES VSSYSSYTGSSYSSRSRSRTPPRRAIDKRGRSLSRSPRQRGRSYSYDSRGRSYSRSRS RSRSPSYREMTPIREARLHAEVVAMRAIPGLVVLYATKADPEHIRTAVLAAVRLALQA RDPAHIPHRAHAPLQEELLLRVPDASHSPPEAPYHHLDVRDAVVVSIPERHHHPCDVA DPLRGRYLEARRPEDVEIRAV PFICI_11691 MLVAASVVFLYYTIWTLAMPFVDADHPLHSIFPPRVWAIRIPVI LVLLGSAVVGSFLSVVMIRSNRKKAAKAAAAKKKA PFICI_11692 MPESPPSPEQTPEMKPTAPAAIPTSRNSTAASPTLDEVTGTSVA TSTAPSMSEWTKNHGALAGSPNLISLMGESPPTQPSSYEDHKPHPAWIQQRAAANNYA VSASPPTAGRRPLSFHMDNHYQGHDIRSQASSPPGIRRGSMHSPFSKPRMAANPPLPH QAQAHFYGAPDLDLDLGPQDGLKAGERGYYFGFDTCPSSNLVHGITGNNVVLAGYEGG LDVYSVSKRGVEPLASLKGLRGGVYNAKILPWTSSGEDNEVYPLVAVVVHGPVLAPQV PDIVETRYDAMGSPKMEGIDTPESETSQRPISMGKPLPAIEAYQTTVEIYSLRTNALV GTLLHAPRIPIKSSVQNPMFRSPPPTGALQIRADGGSIIVSSGTTGECWIFRDYKISE KARVEFRCVGKLWTSLQQALKGDASSEENRSHNPIPPRQSPQMPIVSISGRWIAYCPP APSSQIALNASIGVPIDGRAPGLNTLTSPVLPSESADLDQPSSNGVMNKIMRETTQEV IQGARWVGKQGMQLWNNYWKQPSPQSQSRSPSVGSPPWTGQFSSRAEASQFPPTYGVS GQAVTKEPGLVSILDLESLANSTSIHHITTFKVPLGCSFLSFSPTGLSLFTASTKGDV QTVWDLMKIQHSKSSALQNGTTPSGGHATRVRQIAQFSRMTVARIVDVAWTKPNGERA AMVTERGTVHLLDMPSSAYVWPPPRRRTKPQESSPAAAESTSAVSMASNVLTSAYGAA KPLIDHRRRSSSNAHTASSLMGQAGYGGKVLAAGISHSLGNTATAINQMRQNAENRVS LPMSSQAPGISCVCWITGRKYPTLFVAGDGLVRMFPSKTRQTKSRTSRGSRYKDFKLK SLPNDVLAPIVKRSIEPEEDLDFNNPDYDGGNTLVLNPRPRPSNSDLNPESSIPQAEI ETSAPYQPFHTDRRVALFETSQESGQSPLATVTQLLDATTLDDAPAPSRKSKKKQQNQ GEKTETRSTAWAFGQSIPAIQIDLGIMVEEDLTNSSIDEHRALPASAMERVMQVGDND EQIVITTRRRRGGNRLSDADEDGFFEDDCEVLDFADQRV PFICI_11693 MWARRVPPAYHRHPLAAFLRKTRVPRPAQFSTKPTTKAKGTSSA VLLLFGAALATGSVATIACQNVLVWSDMSKAPSAPPEAPRRDQVPDIGGLGSSERSEP SPAITAEDVKAKLNESAYSCLDGNVQGVARYDGAQLGSNQVCEDAYIHGKLPDPLTRG ETENDWMAWGVFDGHNGWQTSQVLTRQLLPYVRRALREAEPENGVFTDAAVHRAIESA FVRLDDELVKSAMEVTESNLSYPEKVKRLEPAYSGACALLTLYDPSSRKLHVASTGDC RAVLGYKTADGNWVARPLTKDQTGSNADEIARLRAQFPDEPNIFRSRGRIYGMQPSRS FGDGVYKWNRELRERLRTEYNAYREPGDALYPGFNDGPYLTALPVVTTIELPTGNAPS FVIQATDGLWDTMGNQNAVDLVSRWSELTINGTKPAMSLPQVDAGPVRFGRFRCWYSE ARATYQDSNAAVHLIRNGLGGAHEEMVCSALSFEPPLSRWIRDDITVQVMFFS PFICI_11694 MADTVDTRSNAVLVIVILGSILSTAALLVRVYSRHVLLHTFGKD DALMIAGWVFLIATAVAVGLEYHFGMGKHRWDITHDDYVSYMKASAFLTSTVVYSVAI YLVKISIILQYCRIFKDTRFYRFYFGVMLLLAVWTVVMSFLLIFICVPVRRFWDENAP GKCMNMLALWLSVAVINMITDLTCFLIPIPPLLQLQMSRNNKILLSAIFALALCPCAI SAYRVKTLIAVASSSDLSWENNNTALFTFLELCTGAVAACLPACRPVLAKLMPRLFTS STQLRSANSVDLQSHHHRQTQNSHRHHHNDDQLSQQERRLSVPSSSSMHGKDSIRGKS DWGSTRELNLEDNDQESL PFICI_11695 MDHPTLSRPWVNGWPESRKNDPRNNVIGGGLSSQRDVPGSRGSD AASPTAVSGSAQLNHQSEAEAPQLWPQDSVWSSMESIGQRRKDSGTASPSQHQQSLGQ NGNGYSSRTKTAANFSTFTNSLDNDMNGLRQFSSPFGPAHHALGAGSRTRGLTTRFGN MPATSGSTKQEQIPNPLYSGSRASISGPSVSNPLGPHSRGESLFNEPISSALARLELA ESSVPDRTGDAHLNPATQPFQLNPSSQTWHTEPESKLRAPVSAYQSDEIHFDLPRHSL SGISRGSIDRSSPNSSNFPGGTPQSALYFNHQEAYSRSRAEYLSQGRDSWSQSRPISR NPLLARDSNRSASFSQAVPNPYYSNPAFYGNTFAPQYAEAAPQSAYGWDQVPQLRGQL PLHQLMPQSMPVGRPHRGQDPGKGVRSVLLEEFRANARSHKKYELKDIYGHVLEFSGD QMASRWIQDKLISANSDEKDQVFNEIRDNAIQLSKDVFGNYVIQKFFEHGSQIQKKIL ADAMKGKLADLSLQMYGCRVVQKALEHVLNAQLEGMVEELKPDIMRLSINVNGNHVVQ KMIEVVPQMCVPYMMVEFQGQVHSLCTQNYACRVVQRLLEIGNPEQKSQLLGEIHACG ATLIPDHFGNYVAQHILEHGAEEDQRRFVSLATERLIDYSCHKFASNVVEKCIAVATV EERTMIMKKLITPEANSRHPLDRVMADQYGNYVMQKVVKVLDGQERADLMNEMKSRFA ALKKGSSINSRQLNAMERLLSGMSDSPSPELAGIRAGSAQRRSRGRNMQIDIDSTSPT PALTSENCSPKTTSSPSTKSGDDTVPEPEKMSIEPTLGSEPKVVLEDGAEN PFICI_11696 MSPINTIMAREVGDSDSVMNLMITFLGLAFVALILAAVLIMMRR KRLAKQKMNDEGLPQYNDIKHEYNGPDGARRLTITTADGRSSVVVLDGRRPMLADPNA PPYSPKNIPEIHITFPDEHDEHGRKQDGRVMVVRVGDTTVGMEPVREEQLPAYEKESS HGFYSIDMDQIGGLKEKDRSQFQ PFICI_11697 MKRAKTFTPSDAAPDKSASPSSASSSAATTATTTTSTSTTSIPT TAAAATVTKRRRNNPDECYKVARITPNGTVTEAGTLRKRAQRACQQCHAHKTKCSGDL PKCARCQLNELPCEYTPSKRKFANLPGQSSASATPAPQVVRAASSEGGSIKEALNDQA SAALDNALIPSLSVQDQLLRRDVILKHVDVYFEQLFHMPCMGFLHPGTIYRLIEQDKL PPPLAAGICSITADFVSPGAAGRAFALQCNEQLEFFVLRNCAFMTRDYLVYHLLAVLY NWVSGPLAKVWMWTATASRLIKCLQLNYEPDLRSSQETYAEREIQRRSVWQIYIIDHF LSGGHDEHLLLPSSSIHIRLPCSDQVFRDEQPSTMDTLDKIPPVPASLGDNSLDACHV RLLTIRSQVLRATKRFTDSPHGHFLDIMRPEQFMEHVNQFQTALYRFSDSLPEHLKLS VANVDSHILRPDRPSYTMLHTWYCQTHIELYSFSLHALKKSTPQDSIPWDFFLRSNQD FLFRSQQQAVSYAICLSQTWEYSLQNIKRNPSATLKSGLVTVDWMVGACAVDVVEVLL TARRYKLYENLRGNTSAQMCYSKPVDDSLLAGLISKIVMLVNDLAMFLPRVEHYGNVI QEKIKEFEEDMNSGNHPGSVKAEDHGPTPSPTNLPGMDNMIPQTQVGVNVSPKSLSDS ASISEKYLRKKSTSFERPMGYNGVSQIADLPVMPYCLRQAQDTSLDGPFAPPTTGNFN SIHFMDHSAQPSFSPAIAPNMGPVFEAAFRSALPVDATMHGPMHGTMTYNHCPVTEGP QSDMQTAMAPYHTDENIFVTQGTPYAFPNEQQHHSPWVQHPIRDPHSYT PFICI_11698 MPTRDARPKAPSAEYKAIAAQFARAKRLKELEEAQKRDNSASSG KARDKEAEKQRLALEARRKAAYGSRFNWTLSFWVWMLCIHAVGIYLFTSGFLLARLVL EEQSECATPPVEPLSKWNGQGTVEGGCWHPKSFDKAVVVVIDALKYDFTVPVEDSKAE VYHNAFPFLYETSKKSPNNAVLLPFIADPPTATLQRLKGLTTGTLPTFIDIGSSFGGT AIEEDNILKQLRGLGKKIAHLGDDTWTALFPGYFESNISRAYDSFNVWDLHTVDNGVL EHIFPLLQSDKKGEWDVLIGHLLGVDHAGHRYGPSHAAMTAKLQQMDMFVRDLVHNID DDTLLVVMGDHGMDGKGDHGGESDDEVEAALWMYSKRPVFGRTKPEYVTPPATAKIRP VNQIDLVPTLSLLLGIPIPFNNLGRPIEEAFAGQKGNSWSNLAAVTRLASAGIKRYQA SYFAARGIEQSTQTGSPAELWETANASAQKGHKVAEATYASFVQFQEETLRLCKDLWA RFDVPRMIMGIAVMALGVVALLVYVSRDDDEEFAVLDDMELDFAEKSLELLNEQNGST TPSYENLEKSLIKLGSLGALLGTGSGVGYVLVTGSQDWPIAAAGAACGSIVAVIISLF GLGKSIVNIFPTTFWGWLSIIFTASQSIGFAANSYTIWEDSISLFFLSTFGLASAVAA LRRPTIVERSLGIYHSVIFVLLGRLASFSKLCREEQMPYCTSTYYASANSSTPAPWQL IVPYAVLLILPTVVKGYLVPSRSFEGLAPVWVNFVFRGGLFFAAAYWSLDAANNAQWF PLVTEETFKTSQVLVAQTALAIAFIAGTTAFIWAPPCVSIITTAASNARRAQVTVLGY GNANGARYLLLVLNFAAACILLSKPMGAGALALMLWQILSLIEIIDLNAMSSETIGPT TLALLGNFHYFKTGHQAALSSLQWDSAFIPLKTVRYPWSPIVVALNTYAGQILACVAV PLLVLWKTNPKRKAVLESTTRALGVFVAYYAVQSLATMAWAGHLRRHLMLYRVFSPRF MMAASLLLVIDLVGIAVTMTGVRTNTLAISEVFGWAE PFICI_11699 MGLDFGSGADTLSFQVRAGPKIHKAMIFAAILCWGSLVSLTTAF SIVAPPHPYETLIVDTRVPVLIEDNWVMMSREDHQRFLQRRAAAVEREEDSDTTTTEE EAEVEAADSYPTATSTQDGASTTTIPISVTTTTSSSSTKTATTTTRTASSSPLPSPLD NAIASNFTGNGECQEFITNMLADSTFKSCYPFSMLVQGSLSMFNAEKSLFSITQVLDA TCSANVTFCVDYLDQVASNLTETANCADDYEQQNSVVVQAYLGLKGYQPLYSASCLQD ADTSAYCFANAVTNSSAIADTYLYYLPLNISYPNITTPDCNSCTKNVLGIFQAATADR DAAIANTYADAANAVNAQCGAGFVNATLPEAQVSSAAVPGFAHQAPSLLLWSLFVAVL SQWLL PFICI_11700 MADEISEKTNVEANIGPSRASSRHESTSDAEKPAQGDQALTKLD SKVVQAVKEDNDDPFRNLPAAEADILKRQVITPEVKVGVAILYRYASRNDLLIMFVSA ICAIAGGAALPLMTVIFGNLQGTFQDYFQQKTTYDDFTSAMGHLVLYFVYLAIGEFIT IYVATVGFIYTGEHISAKIREHYLQSCMRQNIGFFDKVGAGEVTTRITADTNLIQDGI SEKIGLTLTALATFISAFVIGFVEYWKLTLILMSTVVAITLLMGTASQFMIKFNKSSI EAYAQGGTVAEEVISSIRNAVAFGTQDRLAKQYDAHLIRAEKYGFKVKATIGFMVAGM MLLVYLNYGLSFWMGSTYLIDGSIPLSKVLIIMMSVMIGAFNLGNVAPNIQAFGTAIA AAAKIFNTIDRQSPLDPTNDAGDKPETVEGTIRLENVKHIYPSRPDVVVMRDVSLEIP AGKTTALVGASGSGKSTIVGLVERFYDPVGGKVYLDGRDISTLNLRWLRQHISLVSQE PTLFGTTIYGNIKYGLIGTDFENESEDKQRELVIEAAKKANAHDFISSLPEGYETNVG ERGFLLSGGQKQRIAIARAIVSDPKILLLDEATSALDTKSEGVVQAALEVAAAGRTTI TIAHRLSTIRDAHNIVVMSQGSIVEQGTHDYLLEQRGAYYKLVNAQNIAAVNEMTADE QEENDAAEEKLMKQISAKDGTSAYIEDPDDNIQANLKRSTTQGSASSKALQGRKPEEQ HKYSLMTLIKLIASFNREEWKLMVWGLFWSVICGGGNPTQAVFFAKQIMTLSVTLTPE NEHQVKKDSDFWSAMYLMLAWVQFVAYILQGIAFARCSERLIHRVRDRAFRTMLRQDV AFFDRDENTAGALTSFLSTETTHVAGISGATLGTLLLVTTTLVSALVVALAVGWKLSL VCISTIPILLGCGFFRFYMLAHFQRRSKRAYEQSATFASEAISAIRTVASLTREDDVL KQYQSALAAQQRSSLISVLKSSLLYAASQSFLFLAFALGFWYGGTLIAKYEYSMFQFF LCFSAIIFGAQSAGTVFSFAPDMGKAHHAAAELKTLFDRKPVVDAWSEDGERLEAVDG TIEFRDVHFRYPTRPEQPVLRGLNLTVRPGQYVALVGASGCGKSTTIALLERFYDPLV GGVYIDGKEISSLNVNDYRSFIALVSQEPTLYQGTIKENILLGAPHEVSDEAVEFACK EANIFEFIMSLPDGFNTVVGSKGALLSGGQKQRIAIARALIRDPKILLLDEATSALDS ESEHVVQAALDKAAKGRTTIAVAHRLSTIQRADIIYVFDQGRIVEEGTHSELMRKNGR YAELVNLQSLEKHR PFICI_11701 MAALTLTTASASAAGSEVFAGVALLVFSFIVLMILRYYLPLRTT PAYILVPIFFALWLPAFIVLLVPIDLASSAATDDEAARGIWFPARLLLVSWRITYWLT FVLTWFILPILAEYSDAGYREPKDRLMYSLRANAQYHLLVLGSGVLGLVYVVISYGLS PTSLKGLVMALAYCWGLVLAIYLMGHGLVSIPRKLIRDASLPGRLRRIQTHAPKVYEK MEDSIANLEGIEAQVAELSKRKTGSARDFQDWIEELADMCNVPESRPGSVMPRIGTEN RTLPTVITEKYLADLTRQLDRARHARSRYVDEWNRLLKDAAKTQAILDSGASKKLDFG AATPGSSLLEKSTLLNPYTRYILHFHVAPVSRIALGGLFAMASACVIWSELIKAAFPN LSVIRLTVVHHWTGDKGQVGFAGQVIAAFWILYMCAAALVSVTEVKVWRGRALVRRNT AYESAFWYAGQVARLSVPLSYNFMTFLSPEIYQATTFYKFLGSLINLTPLGKWFDYLF PVFVLVPVLATLFGFYGKAKRMFGLDMDIAGDDEENERGFGSGWREGRDLIERELNGT SISRREALAGRGARGAPVLSVPAARSERARTPFATSPTYHDDPTARAGPSSRSARSEA PPDDDDFFASFGHRFKNTIDSIDTPKWLQELGDGIKKPKWFGGDDSTIRL PFICI_11702 MRPLTEEETKTLFAKLANYTGASLNNLIAPLDDGDRYVFRLQRD RVYYVLLSIANLATSVGRDKLLSVGTCLGKFSKTGKFRLHITSLPVLAEHARYKIWIR PNGTMPFLYGGNIVKAHVGRWSDDCPEHQGVVVYDMNDTPLGFGVTARSTTEARRLDP TGVVCFRQADCGEFLRDEDTLFAS PFICI_11703 MASRPMKKRRLTPPSEDEASSHKASAKVQKQFFKSASNWDLEQD YETKARKGKKKDKESTRLPVKLADGRLQHVKAAEEDDAASIDDEDWLEGKDLSDVEVD EEEPTQKEEPQVPVQQQILEAKEELAKTALALNENPEENGGAFKTLAKYNQSKIVAIV KLALATQLAVYKDVIPGYRIRQAEEDAPQEKLSKDVRSLRSYEQALVSGYQGYVRELA KHAKAGRNQEPTKGQSISSIAITCACTLLTSVPHFNFRSDLIKILVGKLSTRRVDGDF EKCLRALETVFQEDEEGRPAMEAVSLLSKMMKAREYHVDESVVNLFLHLRLLSEFSGK ASKDQVERDHEDGPAKKPKYKKEFRTKKQKKAMREVKALEKDMATADALVSHEERDRM QSDTLKLVFASYFRILKARVPHLMGAVLEGLAKYAHLINQDFFGDLLEALKDLIRHSD AAADEDEAEDDEAPPPPSRDTTREALLCTVTAFALLAGQDAHNARSDLHLDLSFFTTH LYQTLLPLSVHPDLELGAKSLHLPDPDAPTQTAAAKSTSRNKVNLQTTTVLLVRCLTS ILLPPWNIRSVPPLRLASFTKQLMMSALHTPEKSTQAILALLADVGGTHGKKIAGLWN TEERKGDGTFNPLSQSVEGANPFASTIWEGEILRRHYCPKVREGVRIVEKTFGNSGR PFICI_11704 MSRQLSQNQDLSMAKALQAEFAKNRSSSGNGRGGSGGSRGRRGG SPMVPSRVSSHSNTVPMFSASSYTARQGVGISFPGRGGFSPAAAASVTSSSSSYRQEH QPPSQINRQENQPISHTMQSQLPVQDCGDTSTSHDIEMSDGEVTSSTQNLGQKLRVSK HGGMEQSRWAQTGRPEVSGRREVSDRQDQAAEFDRRMKNWIPTHVAHPTPSPSHLAQD IQSRSQTMYHGTTPTSHGNVLDADQRNASSVGTRRVAPNGGMASSQWAN PFICI_11705 MPSSRLTNLASLLAPIGNHRLEHIKPSKGSRERKRKRKEAAKPS ADHLPVVPPAPEIGSFVDVGLAVVTRSLESVVAQKPDEESVIIEETMTDETHPQTNSS SIPYSAVFVARSDQPSVLNSHLPQILAAASLRDPTREPIRLVGLSKTCQDRLSLALGI PRVSVIGLRKDAPNSKALVDFTCQHVPAIEVPWLKEAQKLEFRDTKINAIETFVGQRQ KGKRGFGVSEKP PFICI_11706 MEQDSRTEESRERAVSSEPSSTRPYPFVGDGNDSARKRRRTSMA GSRSRSLESLPSQPRTRLPAMSANDSNMAIDTPEQPPPSTPPQSDLPAETPPAEPHSS KVTINLRNINNVDEGEQAPPSPTPGHLDTEHVKLSVEPSEMESRHPLPTGAEVQSVPS SPSPSTSSEDPEINLIQDEEEMEDEGEEEDDDDDDVELIHVQPVSNPADIGGTFMTFP YHDTKESLCQTVRQITIFFQQPHPLDEVVQKLRDWFRQYLDNTTSDDHVAEGAAVIVK SADDVRMFWQQMPELFLNVYSRRNVLSKNATIRDLGTTFFLQLPRLCAHLIKLDLLLL ADSTSGAFELLSPGLLHALATITSRPSEKYQIQNGYHVDAIPDRIRLLCLFQDEIARQ HGPDFNDAAMAGLVALANMAANHPSVQPRKLMEYFNQICALGDCIVRESEHQRYPTMA AASGSELRLRSPQNTTMALTLFRTVSTALSNVVDNSANHFAADLAMTTMTYLANLSMA GLLGSHKAATDAILNYRKDNPTLPGLYTVDAIVNRWRFQQLSKMIKSSQMQLRVVSLG FLSTHLVSEWQRSQEPYREGAMPDYLRHLSKLLRDTGLIEYLLGPTCHPEIIVEAYNI IGFLAVTETYEARHTDLFWQTITTAQDPRILEALVKMMTRTASHFGREPLAHLIGKMQ GLPVEAFNSHMRELLETIIKTATQKSTDAISSITKWCLRLIQESSVLNSQGISEHMDV FDFASMKLMELVQLSPASDLLRQDLRTECLRDISSRSSSTAGSLNVLFRLIRPGLDRE LANLVAEHEFPRLLAEDLETTISIARAHGTNSVYATVLGNARRYLIEQVIARHGSAID RIYVEQFWHLLVGEKALSHNDRVVGWQSLNVAQGSITSENAFLKQCLKEHLPRLSPLL YCPGTLQFLRGILVPIAENATDTALEKELSDNAGAVGLLWQMILTALPQTIEADAIHV LVNDIYVKGKGINSFPLDRARKVHLALVERCFNQMEDAAKELLSSDAANAKDHDDMTV SNDDHSLYIQELKFTRSLLVLREFVAVLQNGSHHFAAPDLRPLMLQSPSIVEGASAEL KVQSFDGTRQTDMKPLAIGKQNTAASLLASIREVTGFSNYRIFYRGKLLTPTEDQICK SLEDLNIHHGLLLVKKESDNASTPTNIKPGASPLEIEILGHFRALWNYLSMEEKIAKE IYHFLVKLPADGSVLEALEDPATSHLDVFPLGEPFKCLYTIHALREYLNTRRLRSLAI HAKGTENDSSEIPGQKESLLKTMSLVVAAIEDPQVINGCPNESLRCELNFRLLDTFVQ LLTGLDDVRMVEHFLTRKLHDRLAALLSEASAAQHAQYAVEAINRALNALLQCCSLSE SFWGLFCESSTSKKLIQDLLLRDVRPVVRKGTEKVIRAKCSFTRAGSSTSALEFTEIF WPFIFELLPQAALQPTQSEEVFSLLSLLVDILFQAKSAVLNIEAYLTQCIQLIFAHSS TEVITNPDRVDMVAYGILKLLHYGVKCFDQSGSIAFPPTFARKLLTVHIFPGLRSKDT KAPRVFLTQENRILLFEILYQLVKDSREQQRIMLQTLGMLVEYNPEEPSNPYLYDLPQ GFDRMRAIRTPGGHAGLRNLSNTCYLNSLFTQLFMNVHFRRFMLQVPCTGRDHHLLQY TQTLFAELQDSIRRSIDPTMCVQQIMNYDDTPIDIHTQMDVDEFYNLLFDRWESQMPT DRAKADLRSIYGGQLVQQVKSKECEHISERTEPFSAIQCDVKGISSLQESLQAYVDGE VMEGDNKYKCGSCDRHVDAVKRACLKEIPDHLIFHLKRFGFDLRTLQRSKINDHFEFP TEIDLSPFTVEHLNDTSSETTPDVFELVGVLVHSGTAESGHYYSYIRERPTSDGTQPW FEFNDDNVTAWDPVNLANSCFGGRDNSSRFDGGLGFEKGYSAYMLFYQRASTLMKDHA DLQNSGQSHPVRIEPRNPIADLINEDNRDIIHRYCTNDNSTIKFAQMLLDRTWEHTCS RDHKTEDLAMQVALGHLDQIAARAQDTPDFLPLFSQIRQACEKCVSCCLAFFDFFKVR TEALRMLLVKNASPIVRQSTGDLFIYVLKTIKDACSEEYNPPDADTEDEDLAIHEARP CILTDAVSIFNTLWDSFHTSLRAWPEFFGTMARFASMSKLEGAALLEEGYLAKLFFII IADATEQELAAQYMRLASTLGRRPRPPNYEAIISLIDILMNLLDSDLANQIIMETSSG RLQVALDEQPLPYTVEEVNQLHREKPDTRTRNSLLVDKLVLLNQNPVSTESILRKILE LGHEMDEKVFMTLVEGFNNSWQQQDPPTTPTTPLYLQGILFYLRWSQSEDRAQGLIRH VCDQCRTLAPATDGMAFFNFFRLVFQVPRRPGEDTNFSPVQTSALNLLQSWAPTLLGF ADLNVRLETDLMLKHMLWSWLDNYNDHNSEGGISEAARARVKFCKMLTVSCLTYLNNR YVSSSLQAPRGAIATLLEHIDRGEGYFTPTYNGIATRCNADYERLKPVRMELDRLAVD EIEEEASDWENSVGSSEPMDVADMQIAAEPNGTGGSTGHLVL PFICI_11707 MSSLLKQRISSPLEAGPSILDSQSIPTHLTEALEYASKRLSRKA IHITLIVVRKDHQLPSSTLPCATPPASPEFSWSSGPLSPTRLTSQVAGLKQLVRRGTG GSVSSTSTASSSNTSEASFTRGAVTSPSFASTPATPCTPATPYSATTCTSTSSSSSAS STASAGGPNPFGVRLIHATPLSSREEYHLRRAITKAERKFQTGTGFLPPPSSASSCGL NRDLVRRCLRQNEVLFSAEGLTLLGLDRLYAFKSALAAYARTTLLRRGVAPTAQQKAE REARIEDAVDELRRLVLSRGGRRLERSELYSCYAWIGVSAGALGDVERMYRRAYGGVQ QIGAFDEDVMPPPATPAHKITVHLDNSSSSSHEDDNRTEWPLKMSVAKPQSIPVGVVK IGKPPTPKAHTPVLKLKTSFDTSSSATMQKPSVVIRPIAVKANKEKSMAGVTELAIKI DALSDDEGDNDDKDRHNNEGDVTARPIASGISFWNGIDELLAPQQQQQQQQQQQQQQQ QQQQRGHGSGGHQAASESVAMSPVIQRLRASQQRIGPATPNGYDDISPITRGEWGFLF TGKGWTGGRTAAVETC PFICI_11708 MSELNGYIIRAEVHDPPAWGYAVYRTAYGAATDEPWRLLLEAIR TEVRRDVLSLRIPRGGNPSRYSDEETQRCEEFLSLLTLDTKEDQSTLQGASMDRVREI IKETDPLPTEDEWLLDGTLTTRQGVFLYVDDEVLESVAAAAAAQTPTPTGGSCAAAPW IKMVELDYEPEKHKGNIRVGPQYYFGWMKVSTDSFFQLWSAQEGKYLWSFAPPSTDER TLPIWNGIGMTPTDCRGKR PFICI_11709 MRVYFDTHPFQLLHLFERFPHFEPILELRLASPGYISPPQPPPL ILISAWWPISPGCIVCVLYFPDERRSLGGSKHRNVVWLTHNEFVDAVQNGKGLGMCTG TGQEQTDAAARGFQAGF PFICI_11710 MTVPFGFSVGDFVAAIELVGTVIDAVQASGQTSQRFRDLIRLLF SLETALIQVKDIQLHESMYAEHTALARTALECQRTIDDFWATARNYQPHITRPSTLKA RETWMKIKWALCKQNDIDRLKIDLLGHTQALNIIMSAVKLKSEQIENQSRDTKHQTLV SLIQRVSNQYMGHLRTMFTQMEGILEKVTCAMRMDFRIFAAVMELQHIVRQIPGQVLN QQPVYLVDALGFQRPFHLETITSLSMS PFICI_11711 MPETTASDHNVTPVSVEVEQASASGNAHQFIGNVFDNSRTQIFK SPGPPLTLWQAHYKSKANEDQDYCYDGTRSELLDNLMRKVTAPGAGDMIWLKGPAGFG KSAVAKTLCLRLDQPIPRTEGSGSSSPEFQLGGSYFFRRIDPERSNINKFIITIADQF AEKIEGFRSCIPDYNSKQLSEMNSEELFKKLIVDPSKRLSKPSIAIIVDALDECTTSV SKVLEILSNFRDLPSIRARVVFTSRPLPMLENIGEQFQLKPEFMVDLDDDGIVTESRE DISLFLRKKFQGMQTPATRKGGQWPSEKDFNRAVYLATNPKPLFIYAVTMCRFVENQW ADPCYMLKQWLETNQDNDSSTHVPIGNNGQLFSMYRNIFREIVDRGLHDADVQRLRLI VHSVCAITVELSTADLLRLLESADMDHDLLLLRRNMQSILDIPRGDDLDSPVKIYHKS LLDFLKQSEAEDCIFYPYNDSLSGLELGEKCLTLISELVRKERQDGLEIDKCDKKVAE FAYRKWAEFLTSYNGQLSEGSRAMHLLQDFLTCDFHTVFRVGYVNDIFDYGVGLDLVK QLPRLAETQQSPSLRANLKEATTFIMDMVRFQDGLWLFESYQSALIFGSTSHSHPQNS RFWQDPQASVQTYTLRDSTEEEALSPVSFHFSRDAAIFVGLFSDKSIRRWNRGPGEVG LRWNFSSICRCTRGFPVPSPREHETTTLSANGSVIAYNTMTGVEVWNTQSSHSRLLPH LSMSKNRFLAISEDGSRLAACYDPDKRGAARAVTWNVSDVSNPRGVPFFLSPIVNVFT SAWETLVSYFPSLTRVLPSYCISRTFEPKGDILGGIAFSPSGERLAIFSFSHQKDDDG DRILIFQTWDTATGKYALHRILGNELDSQFFDSQGIPPDMLCLNITFWGEDEIAILWE GDAFEEPVNIVEFCRLNPVHRDRTTVAVLRHDQELGNEWLKPEPFWIDNATKSIHVSQ GWFRFHGNIDMGDEFVMGIIESFGLSAGNPDADRWPRLVASRDSFIANGRRHRKYLDC LAVLEHSGFSVCSQHTFAGYNKNNGDLKFVELYKGKG PFICI_11712 MADPLSITASAIGVITAAFQSCQALNGLVSSWANAPKTLKDLRG DLSALQNLIQSLQREVATSDDLSAEQRTCILELVPAIESCRDACEGFSARVSRATSHS KDDQVAFLDRARLYLGEKDIQTFKSRLGDCKQTLNVALGVVTLNTMSKTREQINEMET QTVMTLSSLTGKLQGIELALQAFQSTEVAIGSNDVTQVLQVLNDHDRMLRQCLKVCTS GLGETAKTTGTTVKYAETLDQARQFIGNIGDVGNGGPETNVEHGQAKNESRQVIGNID ASFARDYLC PFICI_11713 MPDTESGPQDKQFLVGDDALTNEQIDAVNLQMPEKTAIQSTQKE SNERATLLQRAQRLYNRVTTFGFGRSPWLFQSLSCLLSVTLLYCLITIALTYGNRPLN QWRTTMFSMSALVSVLSTVMKGALMVPLADTISQQKWSWFRQPLEGKPFKDVERIDRV SRGTWGSFQWLLNSPMASKIISLGAFLTILTLAFDVFSQQFISIDIRTVPDQLGTAYF PWVYNKTEYDRLAWLGAMYSGFFSHEVRDLAATCSSSNCTWSAISSVGICGACHDVTH TMPTNWKQCDDHQCQYTGTFVYNESDHIDGSGGRNIWIATNLTLNHEFNVTEPYKCQE LTQLNNAASFAVGRGDDRLWKLLGVYPYMNGSIADGDTMEPKSNSFLKSVEVIEVPRL GSDDEGNCLLSHGTAATVTQCGLWYCRHDFDAQVTLGIQNQTMREGPPAYSPDGSNVE WLIPGPANTTHLHVARIGSELDLSHYLTGNGSTWSVSTNMGAQVWQSNREDRDAWISR VAKSLSNELRSQNKVSMSNGTGQTLLEEAYIKVSWPWIAFPTATVVAVVILFVVNVVH TSRSGVPVWTSGSIALALAGMDGGIRDLAKGTYDSYHQMIATVGDLHWLQEAPDQKTF VPQYCRYSGEIRKDLNIKQTVGRALQFANSSPKGPVYVAGAREAMAELIQPYALDQNQ WGPIGPGALPEEAIRDISHALVCADSPLIITGYSGRDRQTPKLLVALADLFPGIRVQD AGGSHMCFLSPTLLQRASVLRQVIPSNAQTQSFYLIAMFRGYHLAIHLRSTPKSTIEI AMGRSNQ PFICI_11714 MCEDCFHIQHVRNPGHQIGRTVRTARDTSPTASPRSVESIEHDR SLVLNASPPSRDNWRCCTTCFNFTPDFITPTWEGAYYDCWWSHRELRMSHFKASAAKG CELCSIVYRGALKAFADVVAANSKVMLWVSKQKLSVKATTRGTWQHVDFHTMPGFQPR WNTLEPKEYLSRSLQDSQCFDKIRKWLRVCETTHKHPKCRQRAESPLPRRVITIQPTD EPPKLCLYETDGATEKYIALSHCWGGFKGCQSTTSNYTDRIKGIEFASLPRTFREAVI FALEIGVFNIWIDSLCIVQDDKDDWERESAKMADIYSNAYLVLAAASAEADDKGFFTV PDIICRGIGLESQEGSARDDLVMHTRLEHDLYTDDMLSPTPLSPISRGPLGTRAWTLQ ETLLARRCIGFNENEIAWECFSAVDCECGESRETSASDHASKNNTSQIRHSDWVYKVG ARLELDYDSVSLRYHCRPLSRFDDISTTYLEWRMMIIPIYTRRRLTVPGDKLPALSAL ACSVGKFSGDQYLAGIWLADIRLGLAWRVGGESQRSPSPAPSTWVGPSFSWASVDGEI DYLFSARILEDGGSSPFGFVDVNVLDYGMELSGLNPYGAVKSGWIKLSALSQTFKLEW DSTEERFKLINESEYDLESLHFQPDTVLCKSHISGPENEEYVSVLRSTSRNGIESSLN ASVQGAFVLDGPVESGGSGSSERSGGSSTDSVGSHLSHDIVMVVLSLFSTCPDKYQRI GLATASLEPESVGKWLESATRKEFIII PFICI_11715 MRCTIILLPFIVSCAAVATSLAQSCVAPAERKEWRSLPDTEKAN YISAVKCLSTRPSKIGLSTSLYEDFPWVHAKLNSYVHFVASFLPWHRWFVHLYETALR DECNYTGSMPYWDWTQDSGALPSSPVFSESTETGFGSGGLYSGFSSPSRPNPLTSCVT TGAFANYSLTYYVNNVNPHCLNRQFNNGTGTLSTDPLWQAVFYSPATVANITDNSTTY ETFWQALENTPHGAIHNVIGGDMVPSTSPNGMATFTNLNDQ PFICI_11716 MDLKRKICLEPTHENVVVDGILRYPDTGLKVLVVGAGPGGLMTA LEFWRKGHTVEILEKANDISPIGDAIFLSPSGVCGLHKYPKLMEAYPAISRDIVLTIR QWTGECIVPPYEWEWNRHDVPQHVAWPMRIRTMVERAPFSLMLYKQCERLGIKTTFGV NIVDYVESTDAEPATVISENGIEYIGDIVVAADGLGTKSHNVVLGKVSRAYPTGFQIA RVMYHIDETHDAPMLNQLKGSRSDMRIYSGRNFHCIAAVADDIVVIGLTTPDNGIAAE SWAQGISAEEMLSRLPAQEDLDPILPEIIRSIPHDKKVVSWKLCWRDPQSSWTSPGGR IVQLGDSAHAFIPSSTMGAVAALEDAMSLAECLRLAGRGNPTVGTKVHELLRLQRVAI LQRTGFANRREAHQDTGMEGAINDAIDNDPLRIGKWVWTHHAEKYVSEKFAEAKAHLM IGAPFQNSNIPEGFVWDSDWSMEKELEAERQRIPMENIKRNGDWGIY PFICI_11717 MEKRITSYFSNTTKVKPACIIRPESAGQVSEVVKTLVSAQQKFA VRSGGHAPLRESNNINGGVTLDFGSLNGIRYDANTDLATFGPGVCWKDVYQALQQHGR IGAGGREDDTGVAGFLLGGGNTWYTAHKGFGCDNVVSYKIQNGSHKDLFRVLKGGSNN FGIVVGFTMMTFPSNDIWGGTTLSSKEYIPEAIRAISELTTNFPENPHSSLIGAVNYI PQFKDIGVGAAIVETRGVEDALAFARWEKLPKMVSILQKKLILAMGLETALPYNMFDT WFTSTVKNDVRIMEKAVEVHEGLVNDLKSFISDGEFLTQCLFQPLPMNFAQRPVAVGG NVLGIERNKSDGLLFQLDTMVNTSEQNNFAYQKVNSGVQAIKEFAATIEGGLLDWVYL NYADQSYDPLGSYGIENVNFMKGVAATYDPKRVFQQLFPGGFKLHA PFICI_11718 MPNQAESSPKKGSKGSRRHPKKSKARNPSSTAVEHSSTSERLAN EDNSDVTEPASGYNTFHDETETRSDQDYFLLYMGSQFDGDEQ PFICI_11719 MDAGSSSHDPYFLHVKFDQRWECHKDTIIRLYLDEKMSFVKLAE TMKMQYRFDANVRQYKYHLKKWNATKNLKSSDKEKVITVLGKRARDGHETGIVRHSGM EVDKKRLRRHLQSSARQQPGLHLQGIVFGRWNLPHEALRTTLIQQLNPPSPFRVNVST PSDVSVLSPPATTPQQGNAANVPTPTTTAIIHRTYVNRARYLVEGRLQDLFNDMTAAE SRTTTNWLHHFWLFSFMSAKYWGKGPTQWSWELLNFMTLADITSSPNTPGCIGASPPH LAETAHAHTDRSSARTVIAPTPLCRWSIHYQTPNYERIPSPTRNIQDEFPRNAPDTWP AWQRGSVRESLADRLKEAIEQNSFSDIERESLPISTTQIVEAVARSPEELRVESIGFA IMAQNVEVLSDQDWNVISRDLDLSTLHPFHLAASYLSGGKTCCNVLTFLALNLGPTSS NSIKKLYINDLGYTVLDCLMLLILKGHTSCTIAVADSQVPRSQAFVGEEIDLCGRWDA DSTCVIALHREGVARAPFCWKHVFCHTSVQAICHSINAIFRMPWSPDIDTPSGLFIKY CQNQSCKQKLSLLPLHTLVLVAFHLGNSGTEGETLFGMVACLLCLLMNGANPLERAEI SLNSLLDIDEEGCSHIFMTPAELAKRVPYELLRTWSKEAQLGWNVFAETLQFAVNERR SSMQAEAPSASSSSFGLEDDTNPDGPRARFWWSESVKKKDKVGHDESCDHEYDCLVEG ERRNFYGKSPELGKLWGMIQAELLTYRRVQEGDRWLSSNFNMHAVLQALSRHQDIDTL PMFTSSLTMPLCVCGKYMDAYDDLCPTVQEACAVYFSNMDDWSRSIFIEMPIS PFICI_11720 MATRPRTHDAYTVGWVCALPKEQTAAFAMLEERHPSLSKSPNDP NSYTLGSICGHNVVIACLPKGKIGTSSAATVATHLIRAFPAVRFGLMVGIGGGIPPKV RLGDVVVSVPVDQFPGVVQWDMGKAEQGGTFRRTGALNNPPNLLLSALTTLESDIAMK GTRIPEYLDQIRQDWPLLVPKYLRSDSLRDVLFKASYCHVEKQHQDSSGDGEDESEDD VQDDCQHCDKAQIVKRKPRDMRVHYGLIASGNQVVKDASVRDQLSKHMDKHALCVEME AAGLMDNFPCIVIRGICDYADSHKNKAWQEHAAAVAAAFAKELLGYIQPQDVDQEETV KDVLARVSSELSETKSQVAQTRLILEREEDLKVLNWLTPVDFGPQHSDYLRRRQPGTG QWLLDCETYQRWVEEPGQRLFCPGIPGAGKTILSSIVIDNLETRFSAGLTTAVVYVYC NFNRQVEQKLEHLMSSLLKQLACNGPFLPPVLYKLHGEHARKQTRPTLEEIATALQAV AATFARIFIVVDALDECQVADNCRARFLHQLFSLQTHQSISVFATSRHMPDIEKEFSN GLTIEIRASEEDIERYLKGAVSRLPKFVAERSDLQDEITQTISRTVDGMFLLAQLYCD TLMDKDNPKVLRNALQDLVNGSKSYEAAYYGAMKRIEGQLEGQAKRAKQVLAWITCAQ RPLTKTELQHALAVEIGETKLDNDNITEIRDVVSVCAGLVTIDEASSIVRLVHYTTQH YFESTRDTWFPTAHSALAATCTTYLSFDAFANGRCQSDQEYEDRLKGHPFFEYAASHW GHHARATPGCEEVLGFLRKPAQIDASVQAYFTGRLWHYPGYSQRAPSRMVATHLAAIF GLAKVLPAVWNKRNQNAKDSTGGTPLLRAAENGHTEVVQLLLKTKEVDINAQGGSYGN ALQAASEGGHQETVQLLINNGADVNAQGGTYGNALQAASAEGHQEIVQILIDNKADVN AQGGDYGNALYAALYEGHQEIVKRLEKAGALMLL PFICI_11721 MSSNDQSSSSSEGGDDYVELIVAVVALVISVLAFAIAILQALQQ YLATATGFSSCSEAVIGKWAKFARRHMIWTEFRFEVQFEVPVIFVARPKNTKGPLGDE AFAPIDARIVRMDGSPDNFEYTDSVEEFDIKKSKKSTRQAIHTADNEKATWYGLLMAV CRMETESREWQAKKSAERRSTIGPRPPPMNPTPPDAPHHHHSLVVCMQRKRRTWDSMP KDFAKPYATTTISHIIEIAAILGIHWRIFDVNNHRYRAQGNGFVIDGSSIQSLGITFN FQKQGPTWFQKNRVVPNYNIKKLCFGIVPTIFKQDRVVYADEAKGVESLQLEDPAAIA NTLVVFGCNNRTVNYFRKNLDQSRHSHLFAVAFELLGMVGEMLHVKDTVFRVLPNPTG FHWDPSTFSLPRLLNKYIISLKLLHGRSSEESEHLNNIINWAENVPEFSLLRAQESTP DFFREDPPGASLIDNLSHLRDGVELCDDYLGKIEARSPSMVKQIVRVHIQEIMRILHE EKKETDQGSNSNAITIHDIDSASAEKESLLIDMYFDRIRPEVVRIVREQAPASSQNGA SQDNSGSINVSGETTDPSLEPSNSGAEDVDEVWCTLVFRMLCWLQLHTFHKMDIQVSK SDVYGSRIPVYIV PFICI_11722 MLLLGHANVASLLLLSATTSSVLGAPVNEVDLAHSSLVPRRTRD VDDLSKLDEICGHDINKESLKDIWEMTRVGGFLDIIVNGTSIGAYPNWVQNLDKATWD KQQSDAWDCTSFPGKCGPAADCKEFFLIRDRPQEYWIFKSIDGAHKVMNRLHEELQND ANVVQFDLDGIISDFQGGIKDLTDIYGKMAAAFTIGAGAVSVVPGPGKLASAAFNVVA GVFSLAALDKPPDSKPTAQEYLKNYFEQSQNALKDTARNLFGTGDQKKLPNLSNPHHW LTEVAQFFDDGKFLIENVDEATDTTVKTARIHLRQAIGMSVLDSQGWKLFIDPGIKDE ARCKKDGSSHIWRSESNECWGLLKWEKIPSGDPFSKDRIKVTQLPEKTVQKMTKDYEM DLKTVYDNAVACKLAHPDGSARAIKVQELSPDGSNLPQCFFSIDVAKGTFTANEYKPQ DLWGKW PFICI_11723 MASDCTTEYTLYSSPFSLYSMMARHTIQLGPTTQDAKSPPKIDL VFINHRKNEALKQDYLLKVNPKGQVPSMTGASLKKSLNDSRSISLFLAENHYPAMLPP QHGAIIRGLLERLHAVPGPSFSNKNPTVEMKQHNPSPAEEILKRDGARGQSSIPAIVA QAHADLHAIFAEIVEHRKESEAFGNPAAWTFGDQVGPTVLDSHLLPFVLRCMEAGYES LIPEELRHWAVHKSQSPTWFKVMRGRPTQWHPSMGPVEDMQDMMVL PFICI_11724 MAKGSSSSTSLVEEHNQIKQWRPTNSFYLSFASLFFVVLAATIS ATSMSVALTDLAKHLKLTDSDAFLVGSLTSITATVIQPSCASWANAFGRRESLAGGLA FLLVGSILGAVASDAPLLFVARSLQGIGEGGSVGIIEIMVTEMVPLRLRGKWLAWICV ASAIGTVSGPLLGGLLSQVNDWSWRMIFVLNAGMTILSLLLTYTAINPKPRQGDILKN LRDSDWAGLCIFCTAILLILVPLTQGGSLYSWTDRRTIIPLCFGSVSLVAFILYEMFV PANPMIPPELFRNLSCVATFIACLVHGLVLWCLLYYLPIYYEGVKGYSSIQTGVAVLP ETMTISLGSIVSGVSISHTGRYRWAIWSAWVATTVSVGTLYFLGVNSPAGQWVPQNLL VGFGLGMIFGAMGFAVQAAVSHDLLAIAVTLTIFFRSLGTALGVPIGGVIFTNELKTE LLIQLPSNATDKFFQPSFSLVLVAQLRNMNDSSTKSAIIAAVSSAVGKLWIYMSALCG AALLVTLGIKHFSLDVDLPSEKDPLPRVDDNGLTDDDDDGSIILIQMI PFICI_11725 MAKWQHVVGATPSGLYRVFLGPFDCVIPKTPQAIVEIMSQYAFE KPALNREGLVRSLGVGLVVAEGDVHRFQRRIFNPIFGLNRNRRLVPQVWPIALILREK IEDLVKKSRGSVVLDVHSLTMATTLDIVGITTLGVNFDSIRNPSDSILQAYEMVYPSP DNPSLIDQVVGIIFATLVPPRLLFKLPLRSIRQYHKGMRMLRSFYLTQIRQKRKEIEN TSFDDTEPREKDILSALIASGLKDDKELLSHVLTTMAAGHDTTAVTLDWAIYRLSRHP EVQDRLRAEIQILRADQSLDTIPSLDAINSMPYLRAFVMEVLRFYPAVPLIGRVAASS TTVGGVVIAKGQGVLVSPYAINRQKDLWGEDAHEFDVGRWEASPTGGAKSAQALYTFS GGPRICVGKDLALISIKVLLVVLVERFCFEEVVPGFHPPFQKDTTLKAQGLKVKVSVV PFICI_11726 MNVTSILLENGTLPFLDMNDGSSPIITMVSSSPPLRIVSLVVFS LGFVLLKILSTRSSLLVEADKPQKLRTAVAPRAYPHWEPFIGIDLMAIFTRGLLSNNF LPMVLDLVQKYGGPFYTLAYRFVGKPAIVTFEPLNIKELLSPSFRDYTHGEDRLNVLR PLLGDGVFNSNGQIWRDSRMFIRPFLHKMDDKQLQIFEEHFQDLLNALPKDAATVDIQ PAMTDFVMDVVTHAFVGQSSGILSKDGKSENLMEAKTFGIRHTQATDGLVFDDYNIYD LIPRLFGKSERNNYAREVNEILAKMIKRCTTKSMSNAATPSPILEAATRLGKDTKHTH WDLMGLITAGKDSTSSALGSVLYCLARNPEVVEKLRAEVEFLDGNPPSVEQLAQFKYL RDVINETLRLFAPISVNMKTAVRDTVLPVGGGSDGKSPIVVKEGVTVGYLVYGVHRRK DLWGADAADFRPERWEGLKPGWSFIPFGGGPRMCLGYKFALTLMSYFIVRFVQSISKI EARDPHPWTEKLGLTLFSKHGVKVSLQRSEKDL PFICI_11727 MENYIVFDSGITTSDRIVLEDLVSDIKQFGQSRARGKNKPDGDG AATKSALATLDELNNPKSPAFQPTVFTSKDYDQLHSGRPFRNWLLQTYVGMAQSIVRF ESDVVMLTHLLLYFTTTVPSALYLFFWHFTWLHGLAHFMMQISYMGTYTLMMHQHIHM RGILKKRFALFDYLFPYITDPLMGHTWNSYYHHHVKHHHAEGNGPQDLSSTIYYQRDS IRHFLLYSTRFVFFVWLDLPIYFLRKNKRILAAKTAFWELSSYTVLYVAYRLNPKPTL CVFIFPLVLLRLGLMFGNWGQHAFVDEEDPSSDYRSSITLIDTASNRHCFNDGYHTSH HLNPLRHWREHPNSFIKSKALYASQDALVFHNIDYLMITIRLLQKDYKCLARCMIPIG ERQLSMSMDERIALLKRHTRKFTREEIEVKFRRQSQR PFICI_11728 MHALFSANHLAVDPEYRIDSFIEKQSLALESLVHRDYNAFQDDN LLYDFEPLFDGGEASAAPAQLCSSVEREDDAVHREVSRYRHRRESWDLRRDEIRQLYI ENRQTLATVMRAMSSTGFMATKRQYKRQLQIWGFSKNVRRQDAVQMLSLRQQRAHEGK TTEFEISGRTVDLDKYLRRTRASVQVATQPESSEVKEIPQYIRPRTPHLSIRIAIGAS DILRVQELIHIYCTSLPSSQSSLLPRPGHPHVSQIGETVQVFEQLFKASWLFDEKHYE AAGRLTRDAFLRIEMLHRASFPQFLLFTMYGSIIYPVKIDILLRLWKYLAARCSFVEK STAGTRLATSVYKLLSANNSAPEVYFKLIPDLMERVLDINFNQQLQSGNGAMSMDPAF LQWLIKPKMNMDLKRTPETRFLIQQCIDRSILYIKEPILRQVFEACASALGMERYDQW QPPPYRDRNIDGGEVPMLGIGEWMYYAAVARVEKRRCRDQILVGNPRHDLACYYLERS IKLWLNESPAQPHVIRDLRTLENWCREGGDFLQAESARRRCDKEMERLISPTRV PFICI_11729 MAEAFAVLASVIGVLDVACRTSAGLIDAVRTWRKCPSLLLALSN EVTDLKVILDHLAKVYQDPDARLKLSNDELSTAIQGHIEIIARHLRKLDVLIRDLKLL TCQRQKLRLLYKTRQVGELEGHLRDGRLKINHLLLIHNVIMTGRVDVELNSVKTDLTQ LHAQHRSASTHFEDRLNMIHEQVMLTTELVQSSQTGATGLMPEMDSTLPSQHFPEAAN HRGEDTPQLLLGSTRQGHVKCNEEDKANCSDSTRITLQRTTTADQDTEAAQSVVLEAE SSGFPLKSMLDEQSSARTYAFSVYSQSPGCQRGCPCSCHSQKPADIKFSMPPLLGKIC GDLFCRYIGYPTTSPKCSHRSCLRQGQMHLRMIYLFPGWFIRRSLELLIKRSFGGITF SLKTYRELQWESGSIYQCARDGNVPLLEQRLREDPTCVNARIVGRGGTPLQIALAHAV SDRHVNLVEVLLRNGADPYMAGTGNIPVIAKATEFILSNRIPRHISRRITELMPISAY IDDIGLSFLTKVVLGLCPIDLKLVLEGADRSVLQQLESRDEYSRTPLHWAAALQDGLS VRELLKAGAAVNSPADFIQTSVLHLAVRKRLSEDTHLIDCLLEAGADVKMKNDNGQSA FGYACYHTDVATARKLWKAGGDLNGIDSPLTTAADNNRFDIMKFLLEEGADIEQVHLA SNQTALLCAVEENAHECIELLFKYKANYTHMNFQQETILHLAAQGADLETINILAKQD LRGLDPAVRNASGKTALEIQELYGPRDPTIAQAFQNLVHSIERKRSTNQGLLDEDLFY DALEE PFICI_11730 MKLDNLMHMARTPQVYLSPRMYSSPADLELMRNPSLTVQTFFPY IVGMQKKPVSAAEPTRAPLLGILLCTPYFQVSDKRDHLFGVLGFSDCLVDTKDQEMDE KGKLLKIDYSLSFSQVYQNITYYFIRKTQSLYILSLINDNQDIELPSWTVDWRTVNTP WGPLPGGLERLYNGEYASVYDYMEPGALGMIRDLRPSKVGSPEWQSRLLGLFLHELAR RLYSTTERSLYHNRYTKKQYWHHFGRMMDFDCKTGDVRLVKNTLCVRGTPLATLESGN IEDEPCTPFLYLEGTARGGRPAPGGGGWEGYVQYSDLGRFKRKRLRKAQEWAKQNLKQ WYDEPRKDGMVATTVASTVHLRDEIMPLLTFGAATPWLDIRQDEQVHCWMTRVAMVPR HSKEGDQIVLLRGCAYPFLLRPSGDKWKVIGITLYPLFCQAYKRNAFEICDLESMDIW RRVFGERGPKASQQEFQLI PFICI_11731 MDPLSVTASVVGLIGAAAKIYGMIEYISSAKDAPTTLREAEIEV KHIEIALRSLQRYLWHLGQIDARRRECIQLNDLIIALSDAMRVFYDFENLLVSLARLT RLRVAISWFMYAKQIDEHIVKTQRNKSTLVFMLNILQCQSDMEASQSQERLQTLVEQI LADNNDLRQRLSQMQDTFDARSTFTKANAETNMTRPYDEEDREDNLSILTEAYRHTAM TQSTQAGTLNTALERILEQSWVYKRNRNGECDRSFIHSDQRSHAWSCFTGYSLADVSV LSMVSMPITQVDIKSVTSYNFDVVESYDSDDTPQPGLSYAEDGSKGEEISPKGVNSLP VPSKVQKDDPQASTWPHVDRSAQYRHTNTEATSEPWSRSLQMLEPDAGTLPYTNDAIF NDFKRNNQCHGCSKTLEAGKAWELLGYRWHLDCYRCTYCNVLFDAQADIYPLQDGKLI CDKCFCCSECGNGTKDLAILAGDQAFCAECFAVLRASA PFICI_11732 MALVDYSDSESDSESVAQPVAKPAPAAAPSSSTTAKKPIQKVVD RSNPRKIVVNLGAATAQDESKTEMGNNEPPAKRAKTGGGVFGGFASLLPPPKNRAQKP AQSNGTSGGASSSTKPPPRVGVNLKTGATPGFSRSTEDDDETEGGAGKQPSIPDGQKA AEDITLVGKPLMFKPLSVARKPKKSVAAKMGAVPKAAAAAPANPSPQTVEVQSSEEPP MKKKKVSLFSITAESDEIPDVAPSSGAYEPMFPEESGTATDDFAAYDAQYSSSFADPG SSAPAAPIATTMHADSLESIASDMNLDATARRALFGRRGVPAPTQSASRVINFNTDQE YAHNEELRASGEQQIYNPVRAIAPGKHSLRQLVNQVHNQKDALEESFAKAKTNQKEAG QRYGWR PFICI_11733 MSLIQVEDLVSYQLRVSYLGEVADGVGERLISLNDNFLNTAPFK AAGWRTNPALIKRTHSPPIPTATASEYFQAPPRFGLTLEDDVEEGGMLTGGGTETPGP GIATRRRRERRREREMEEDDSSDLSDESDEESDQRAAQQIRFAKMPLRNRSGSSPIQS SQLRQTAVVSPQRQAPGARRGSQSALETVKERARRDTVTSSEVSSDNEFDNSGYRKHK DSARAAARSARLQARIAEEPAHGIERIRSEPLAEEEDDSDLSDASSAFVESVDSASIL ADVENTVLPASPGQQVVGTPPKQLLRQSTVRKPPTQPQPSISLVLPPPRPMSTLRPLS MIQPRSLLGDALKAKNTKPKMPLEQFASLSGKGDPDPLSIRIYAPFSKTSSKPFEVPI RKNIQDPDGSFRPVTVAELIGLSLWRYHDEKLEPPIPNDRQNVNWWTLRMVDGDEVDD DFPPLERKKPVNSFTTANNRGGRSRGPSKMYDDFGLVQASQESFEENQKLTPQFAQEE VAVEAVNEEATPKPTSQLPPPPASTRPKPNPITNTMFRIETAHADRPAAPEPAPVPAS RGQKKLLKIHIMSADVAPGQMLTLEVTTDTYLAEVLDMVCKRRQLDKNTHVLKLPVSG SVVYVDRAVSSIGNVTDLELHRRRFATDGPLTITGSPIGSSPKQHIWDNALAAQKKKK DKGAPHPLAQEAMKLDEVGSSDVSLKKFVVWKKQTMRFVGNERLLTIDGEYVYIQPSS GGKPTRESGKTTSVHFSNILNCSTSRKHPGTLKLYVFKDNSAKRTDYEAKSAQEAAEI VAEIKKGFAPYNKD PFICI_11734 MSQSMTPVTVLMAAASTTSSSKTSSSTSTTTNAATTSGGSEVCK RKVKSGSDGSTSGDDGSTCSSGGRFELGLVLFGATAVAALVGVVIL PFICI_11735 MQFTKNILLVGIFATGALSAVARGPNAVKVLAVYDEDCDCTTTT TVTMSGSITLTPTDEGVGASTSICTTSGDNVTPTNTAYTLTTATSETTASSEISTTTA TTEDGSYGGGNGQGEDSTTSVSVGTLTSVITTPGVPATTGTVSGTAPSGVSTTAETAT SQHSTTGSVTQTSTTPAGGDATETLSAPSSNSATQGTSSALGLLAVAAVALFL PFICI_11736 MFAQTFTTAFLIAAAVAAPTGPSTTTGTKTPTRTVPLTGVTHTV AAGRGALSFEPNNIVAEIGDVVEWHFAPRNHSVAQSSFGEPCKPLKDAATGHETGFFS GFNFAVQEGQSANVFQVVVEDKTPIWFYCSQTNGNHCQNGMTGVVNQNFNSPNTLAAY NALAKVSGTSVSPAVQQPVGGVIPNPNPLSGF PFICI_11737 MPSFLKTCFVAPAFLALAHAQGVIQQAQGEAGPASLPLQVDLTK ADANVINSVEITTNVVNECGRTLLAGNIDVGENTETQLANGTVTQVTAGSNVTFTIAQ LNDDGVGPYTCDLDEAGNVQGATGQKQLAVSEQDAKDGTITLVATLPADLKCIGASTG DVCTIRCFNSAAAGPFGGCVAVQQTDTTPNVNTPENISTLQTVSGITAQVAQNEKDLD AAKAANVEAPTQGDQGVAAVDALLSIDSAAAATAGAAGAVATGAATTNGTANTGNTGG NGKKGKKNKNNNRRGFTFSA PFICI_11738 MSSTTTPVVDIHTHMYPPEYVKLLESRSEIPLIRRFAGAKDPRY IILPAEAESLDKIAQGESANLPGRPVTSHYSLLTQKIHFMDTHKIDISVISLANPWLD FVASDEAGKTAEDVNHEFEAMCGEYPGRLFFFATLPLTAPEDVLLAHVDFVKGLKHCR GVILGTSGLGKGLDDPALIPVFKAIATAGLVIFLHPHYGLPSEVWGPRATAEYGHVLP LALGFPLETTIAVARMYLAGVFDQVPDLRMLLAHSGGTLPFLAGRIESCIVHDGHLVR EGKVTPERRTVWQVLKEQIYLDAVIYNEVGLKAAIDASGVDRLMFGTDHPFFPPLQSD EQGEWESMTWNKNAVEKALGSNNEGVKQVMGGNAAKILRLTEDA PFICI_11739 MDEIIHSPTRANHSHQKSPGGLEQQSAPSSRRNSIPSEEAARYG ESVEQTAEVTFVTYHQTPTHSSPLLRQDSGSASINGFQSLDTQDDARNDGSVKTDQET RPKSPHTWKLEMSAVALSLTAMTTLLVLLVLANNRPLDKWRFLISFNALISILGAVAR APLGFVMGSCLGQAKWNWFKRQPGRLEGFEKFEDASRGPLGSFVFLFWLPARHWSVLG ALMTVVLLGFDPFLQAIVDYDGQLDDSNSLKPPTIGVCERLDVGSYSYLTTRGEAGSF QLPSGNAIDRFIWSKIPDVGMTAALIDGLGNDTKRTEPMASFVCPSGNCTWAPYSTLA ICSTCNDVTASLEQNEILGGKTLYSLPYVESIDEKKGIFAETADMAAAAVSNPGLTVS FQKSNTLIAAVGIIRFPDKYHLNPLAKECALYFCIKAFATRVDNGVFKESVIDTWSDR NLASYQPGMPEWEVGMEASTFAEYESWNNNSLVNSEVNFHRFDLQLRWSDERARQVGL PKDAIMAFNISQSSAETTSYAVTDQLFSSGQLVSWPIPRTGFNGTLYPFVAQVLGTKQ TQDYDAVFENMAQRMTTFMRDQSDSTQTGQQKEWALHIRVRWAYLILPLLVVVGACLV LLFAILETNHRRLEPWKSDVLATFTHSVDAETQKQLRLAELTGQARETAKNTFVSLVD TGEFVELKVKKD PFICI_11740 MDPLSITASVIAVATLAAQTAQAAYRTIDGLIDAPQAIAHSKTL LSGTQNSLEILTETLEKNEHMQAQFGGILQSIALEKTLQATNELCKGFSAIITKYTSR STDSKFSNRDRLSITFHESRILTFNGELSDCQRTIHLMTGTITLIVSNDTSKDVRQLS SRFQAQEQALSSLSTELSTRSATTDVLPRTTEDNMREGDTENNQGSLERTTALQESNQ AALKALQQKRIGQTFGDLHTDQSMAMQGIVGEAQQGVDQSFGKLNAINNSRAFQGQMD AGSFAQMFK PFICI_11741 MAEGLQEGPMASQQFGNTVVDHGSRLFQGIVHGDIHLGPRESRL HSLDIASGATFDAANKQNSPSCLENTRVDLLQQIESWIESHDEKRIYWLRGMAGTGKS TIALTIVSRYAEKGRLGASFFFSRGGGDLGSAKKFVPTIAAQLAEAVPGLHECIDRAL ESNRHIFDLGVYSQWQKLVLEPLAQLGKDTVMHPIVVVIDALDECDDDNDIALLVKCF EGATTTDNSPLRLFITSRPESLINHAFDDIPRHTHKDFVLHNIEDSIVDQDLTLFYQD RLSKIAQRFHLDATLYSEGTIQILVQKSHRLFIHAATVCRFIQEGRGLAGQRLALLID RGNASLRSERELDQMYTTVLTHSWSTSAHLEPQESADLKTLFSGIVGSIVVLFDVVNV LELATILDRSVDEVGRILRSFHSVLDVPEEATKPIRLLHPSFRDFLLDRSRCKAEPFS INAEEIHRLLLGNCLRLMKFHLKRNICNLNHPGVRARDVPKATVSDSIPHEIQYACRY WLHHLQRIGFDANVNDQLEKFFTTDFLYWLECLSLLHRLPDSVTMIRLLDMRLSGSTS PAPAASWISKMKLKWQGKTSRPPSLSDIVHDAMRFLLYHGSIIAEAPLQIYCSALVFS PDMSIIRKLYSHLIPTWVTCQNIGRIDWSAHLQTIALRYAGEQIIFSPNGQLIATSSS GYIQVFIAATGTEQAKILVPYEAHHSRVSVAFSPNNLFLVSGTSHGVIQVWDVHTGEQ QLAVIWPDLNQDDRLTAVAFSPDGQLIAAAKNWKEVRLWDRSTGQEHCFTSGNSDWLK RIVFCDDSFLTTTGSNKQTRTIRLWHKAPYLVGENRDTHLAAFSSDLRLAAVSRRISS GIQLWDISTSEHMHRLKRPYYLLDSLTFSPNSEILAVTSSANITLLDTSTGTRLYEFE DNSSVNALAFSPNGQLASVSRDNTIRLWSMVQNWKQRSIKDDTIRTYGNAIALSRDGS KVASISGSSQAIIQVCGNMADPMLHVYRVPWKNSPFYNAIKFSDDCLYIAIALPSRGA VLDAGTGHIRWSIPLREYRQFYKEKFPVSLEFSPDSRLIASQTRNMLEVFDVVTGKLK YDFERPPHLREEDRNYASAFSPDSCLLACMMGHEVRILDASTGQVKHCDALRPGSKHT IFLTGAIQFSPNGRLIAVGGAFDAITLFDAVTGRSISTIQILSLKAVVRIMFSPDSRL LLSLCRTEQASLWDVTTGKLLYTIDYAHWKDIIVTFSPDSQRMFWSTRRGNIYLWDLV KCEEHWITWNLPILEVMSVSPCGAYLITERGTLGLPWLRPHPLSHTFAFEDWITNDGN ELIYIHHDYRDRVHFVQGNSVFFDNRPGSFLRINTSSDFAVGQDIPKDRHNREGSTSG DG PFICI_11742 MDLFHNTLNPLLQDHGVGGIAIFVIAAALASFTIWTAAQWYRLS HVPGPLIASLTSLWAFRASLGGQYHTIVQELQEKYGKVVRIAPNDVLISDPDTLWKIN SARSLWTRGGWYASMRFNPYGDSVLSEIDMAAHDKRKAKLISGFSGKGLMDIESSLDL QLSILVDVLKRKVSQGNGQAVIDIGRVLQNFQVDLITLTGTGKAWGNLPTDKDHFNYL EDGDKSFQFIQSTAMVPPLRHLLFSPLFLRLFGSKPTTGWLGSAIPLLLFLKSAVERH TEDNNDEKPSDTMLAEWLKHKISPLEAELDLSIQLPAGTETSIGTIRGLLLYLMTTPR VYYKVKKEIAEGIQNGRISSPVTNDEAKNLPYLQAVISEGMRVASPIVAGFPKKVPPG GEVICGQMLPAGTDVHANYVSMMRDRDIFGDDIEIFRPERFIDADEATIVRRRKVIDL NFGYGRWLCLGRILAILEINKIFVELLRAFDFQVANPEKPWRRESATTTYIQAFIARV TNDPVG PFICI_11743 MEKAAVKSEGLVIPLIDFSKFLNGNPEQRKETANAILNGFQTAG FVYLKGLPFTSEYRQSIFDTSAKYFRLPMETKMSHCWTTAAANRGYSAPGREKTTNLT DASDVEKLRQGNPDLKESLEIGREGEPDHPNQWPKEEGELVDFKATMTKFHDECKGLH MEVMRAIAIGIGLDEHYFDGFTDVGDNTLRLLHYPEVRKDIFTINPGQVRAGAHSDYG SITLLFQDMRGGLQVQSPNGTFVDATPIENTCVVNAGDLLARWSNDTIKSTIHRVVEP PSGDADVYPARYSIAYFCNPNFKSYIDTIPGTYAVETDKKYQGINSGVYLEQRLAATY PFICI_11744 MKKTLLLVFIHGFKGGDDTFGATGDFAEHLATLLSVELPKVNIR TLVYPKYETRGDLAECVSRFRDWLLEKVIDIEVAAGTPSPTIDPSVRTVLVGHSMGGI VAAETAIGLAGDKVIPAGGTTAAEDEGEEESGEDEKQKAVPDHSDEINSLMFPYIQGV LAFDTPYLGIAPGVVAHGAEGHYQNAAAIMSQLSGLSAIWGGSKAAESPPVGTKKPVA ALEAPPKEESKAGIWNNWGKMAMYAGGAAAVAAGGAAAWVNRQQISEGWGWVGSHLEF VGCLARGEEMKKRVANMVRLNRELGVGFANLYTRLGKAADSKQVSTVGLVMGSQRTFC NLPSKMRAGEWKEAVNDAAKDETGAHMAMFESNQNPGYAKLSEDAVNMIVKWTKNDWY ETSNLALEE PFICI_11745 MAQEATKPAKRSSPVKNGYLILYNAVSTVLWATVLGRTVGTNIL RGPDFVHFTTGDFVLWTQTLMIMDVLHALFGVVRSDPFTAAAQVASRYVAVWAVQYPF PELCSSPIYSSMLFAWSLTEVIRYGYLALKLAGIEPYTFLWVRYSSYLVLYPVGILSE MGMMYLALGPAGHKFGQLYQAFLLACLGLIWPAGAYILIGHMNKQRRKVLRAAKEQNV KATQ PFICI_11746 MSTSCFEYPSLDKTKSQIRLLEILPQADHSLQCSLTTFHTSACP RYIALSYVWGDDEPTNTIVINGQPFEIRNNLSHALPRLADLQAQRDEKHFWIDAICIN QKDTGERNHQVGMMNDIFTQASLTASWLGLGQETSELLPSAQTTWPEPGTSAFLAKID CNTYFQRMWIVQEVMLSRDIWVLCDNVICPWEKLAEFWLVSSRTKCDLNRSRLAAASI ASKGVAADLSLASLSSAIFWRGFFHANKEFRGELRLEQLLNSFLDRECHDPRDRIYSL LGLLPRETLQSNPLPVDYDISLEDLFSLVLQHLDQSSAGVNSKWPPGFGDVEFSRDYN ARNLRMALNLES PFICI_11747 MQLISFVLGALALTDLSLGAQHSYSVGSLASRASAMIGLREAAE GSEGKRSIWTSMVLPKMVVKSDQSVEYVKRQLSIGNGGISIGGPGGLTLGGGQRSGNQ AGEGAQAGTNKTAEGIAALESARNNTGGGLTIGGLTLGGPGGGITSNNNGQKGGEQAA AQPAEGAAATPAEEQPAATAPAEGQAAPTGEAAQKAGEVGAVKESEQFDGNAGITTDK NGNAVNLGGDLGITKGSDGSTSVGGEAGINIIARAVGAAPASPPAIKPLEAPAVPSLP AAPAALAVPSLPAQPGAAKEAPAPIAVVSVPAPPKALPSAPAPAAIEAVPALPSVLPA SPAQVEAPASPALAPATPSAPVSEIPDFVPGTSPSA PFICI_11748 MMLNPRSYLHSRQQPVPGLPTSKRAKASSSASSSTSSTTFLSRR RDEPIATSPNQSSNAVLSSRSSSNMPKRKEPRDLSHSPPSTSTTMANAVARPTTPTSA VQIPVKNKHEASYMHKTRHHADGHPRRQSSGSHRRRDKRSSDALPPSVAALLAITSIP PQARRGNGRQRMTVDSIVPRSQVSEKDLSHELSLASSLGRSPMDVLMSPPDEVLEEDA SITSDSCFTSALSTRAGSLESLPSLGDSFGTTLPSVDSPVTPRGRRFRQPRRSLEPVS SPPGEKEEHPLWVNPEIDEVEFEVYTPSPVEADKQQDTVFLPLKTAFKSNLTASLRAL RSAARSLSQFTASSVPAEDLLTRSILTMDPRVPFTDERRPPVLEEEPSEAMRRYLNPT DSARLDTRATSTPSTRSFTASIQMQTYKVQRSRKPVTASANRTIAPPPPASPRAAPAP VSFPPGPRQREIRENSDFIRIAVLEHLMRKRGKFDTTQEGHARWLLPPRKANTKPYEI GSDGVPARWVSVGQDVV PFICI_11749 MDLRTHDMGTLPIYQAASKCRDTLQQCVKEPMLMESEWAETQLA HFNLWTADFGVSANRRAALIDRVLRERDNVYILLNALALIQECTEICLEVCSPEKKET KKVSTTLRPEFTTKGLLRLLDEKKSRPAITRFPLPAQDQEQSDSEDLACAQEDVGHSI KQLVRLTEALRDTDLSTRCSEADKHFKATDHIELKTSSERFILAQHLDSTSSNLTPLQ KRLVESNMRRRHWFLYSRKHPPCFDAGLTAESGMARMEVSEYSQNKTRAVIDHGEDWK SHDELNRMPPKSRRFRSKNYRNHWRVPSAHHRMARSRVPEAVPKYKYTRNITQASVDD YEKFEQTEDWEYVLSESESEDNIGQSVQSGKTKTSSYRDKSHQITGSTVECVYPQPPT VQAGAHNFKCPYCYLVLPLAYQEEALWREHLVDDIRPYTCIIDSCAHPEVLYTRRADW MQHIMQDHQNSWQCLLCSAPGITPTLYPTTEDFIQHTRGQHAKAIPKDMLYSDSILFF ATQHHVPFGISQCPLCDHTGAPDSEELLNHIAEHIHSFSLKSLPEPDSEHGTDNETPD YTLQIASRKRRDFVDRYLDSRASDMTYELGHDITSGFNEQRESNAQKWWPEDVTCQFV RNLEDPRPWLRKSTIRGMTKIYTRGASDIWTVPRLEALVRTFSHELAWMFEDRIFDSD PMILSRGFPLQALTALLWILLGGWFPIAAFVALVWILFGDWLWMVKICITLENPFILF GTSPADETLLRTLIRESFWMVTDKLFGIDPVSIHASQSDTYFQSFVRMFNWQRQDQYF GLAHVIQIRDLDLSSLELPEVIIETLARRLSDEDQSVRSAAIVAWGEIFANWRSGEGH KMPSSSFI PFICI_11750 MDSTIQVAPDLSMPTGRQLLRLAHDVFERICHIAVDLCNTIPDT AQRRKSRIRKLANELSIARRTIARLNTKSISIAHHDELQNKLRELVIYMEMLCRCDCL QGPGSLQTGQCPMLYTLLSLTTTQLPEFDPITEGIENKVRRNLLVFAGWSRDQRQMYL EKLVCFSGLARGDTLPYKEGWPREHDHTLSDLSRRNKSMLHRAFLRNLDCDCDKSHEV RLSLKGFVSRGRSHRAGNNTSFNEDVLMRSMSPVPSVWRDIQFRVTIGTGNATPLGQQ SIPLNARTFCALVRSGHELNSRLRLEVRNSQFHQLQGVDPHMQMDLYPSHSLRDILQT HRLSNKDKLVLSYIIARSFWQYYDSQWMNRNWTSDSIHFFFKPPQNLERFPGSIYAND PYLAASFEEPLKTYDEFAGSIDVAYKYPRVLALCTILLEIVCGPQLERNSHDTIEQRF NDQFAQALRYAGDNSVWHSFEYKEFREALQTCLVSKTFDLATTRHGSEWAHDLEHRRK ILHDNLVYPLHSLIRGLRFESELIRIEPLSLRGAGTERREASASREWMEAIYDAHLRT KALLDPLPTGETNTRSVRVAVLDTGCDTCAAFFQPFESARQIAKWRDFAGESSEPVDE EGHGTHCTSLVMKMAPEAEIYLARIAKRRDDIADAVEAICNAIAWASNEWDVDIITMS FGFNEHVREIRTSLIAAERMKKNQLLLFAAASNSGANLPEMFPACCDSVISIRQTDRY GAFSVENPPMNLRDAYVYGTLGRRVPSSWSLPETGEVAKSGCSVATAIAAGIATMILT HATLGFPSNDYPPPEVHGLWTRPGMLAMFERLSIEVEPPSRQRFLSPAEFYARNGNTA EAVRALLEEVSNSY PFICI_11751 MSPECTYLLASAPSNLTYTPSGPIQLGNIIANPLEPAKVLSSID DKVGRAYNNNLEKDFQFSQGGGLKFQGGFWAKVLQAFGLSFDAINDKHEAVSYSVKKL ETRTLKSIPREEELRKRFSELAVRRHLNSGHRTPKLYLICGLKVAYGMTVCTDRAHDR GGGVQAEVPIPADGIGLAASVGAGIQLNRQRQRVMRFEAEGPVVLAYELLVIRVRKRR DQGIDIDSYKPRGAFLHGDDDDGSEDDVLSEQDSGYSGDDTEIETSTVERADLDKWAK GFWITNEDGQETIVDRT PFICI_11752 MKTSILSLLSVGAASAAAQTSLLARFADSFIRRGVEYDFGYTAA TLYLGYEAAYDLTKNETLYDWYHDQIDGVVADDGTIVDWNYTFYSLDEYRMGNNYLYW YNETGEEKYKSAAAIVRSQLNRHPRTPTGGFWHRQPTYPNQMWLDGIFMADSFYAKWT SWFDADNTTAWDDILSQYEHIEAHTRNTTSGLLVHGYDESFKAVWADPVTGAAPLVWD RAVGWYFLSLWETLQVFPQSHEGYAQLLGYFTTLADALKTTQDESGGWWLIMSEQYVG AEGNYIESSASAMFVLGMFRGIRSGYIESADFLATAQKGYNLLVDRFVVENGDGTLNW EGTVQVGSLGSNGTYEYYIGVPLASNDYKGAGPFMLASYEWETWASSA PFICI_11753 MADDSRTHQYHSVKADERDEIDDLDMHIEDTIDLDEKDYMHAAT PLRTRRARILEVLNRYRWVIDGVLVAVVVMLLVDRSWTGNSKTTKVDAQYEGTGDVVG FAPRFSQKIVTFQPDPMFVPENGSEFFTDEVRQKWLGIVPKGLGYLNIKDPAKYQDLP QKLEGYYDKYVVTTSMTHQLHCLYAIAEVFSAYTSNITEKIPRESPWHLAHCFDYLRQ AIMCAGDIALEGKETTFPEGIVGSDGWDAKHVCKSYDEVLDYLDANRADDAVWI PFICI_11754 MPTEFISLTFNNSATEIATGPNPTGIDPQYLVRYARTLDEYGFN YTLVPYGSGSYDPFTIGATIAAVTKNISVIIALRPNTMYPTVAAKALATLDQLSSGRV VVHFIAGGSDAEQAKEGDFLSKDERYGRLEDYIKILRRAWQSAEPFDWESKYYTFKGF SNQVRPTKGTIPVSVGGSSPEAYRVGGSLADIFGLWGEPLKETKEQIDRIYAEAEKAG RTDRPRIWVTFRPILAETDDLAWQKAYRYLDLLKGNQGKAGPNLAPQTNSGPQNVGSQ RLLDIASRGEVQDRALWYPTVTATGARGASTALVGSPQTLSDSILDYVDLGCELISIR GYDNYNDAVDYGRYILPKVRAELDKREKGETSAAPEPSAKESNVVVPAESG PFICI_11755 MPSIEHFPPPTDVAAAATRDGAAATAGNDDDDNSVERGRGLPES AGERQPLLSQTAAPGEDENGEWKAPRHFMLIELAIFSNVFLYGFDSTITAATYAVIAS EFDAVNTASWLTTSYLVTSTAFQPLYGRISDIFGRRVCFFISTVTFALGCLGCGVAQD FILLNLMRALTGFGGGGLMTMATIVNSDMIPFRKRGMYQALQNASFGFGAIAGASFGG SIADSIGWRWCFLLQVPVSAVALVLGYLVIRNPHNALRGSSLADTWRAVDISGALLLV TAISVQLIGLSLGGNELPWSSPWVISSLVGSVVLLGLFLVVEARTKAIPVIPLRMLHG WLPGLTQATNVCVGLSAYAYLFMLPLFFQVVLLDSATTAGARLAIPSLATPIGGVIAG VVMSRWGKLTALVRTGAVLMAVGNALVTSIQFEDSLWKYYVYIFPANLGQGIVYPGIL FTSLATFEHADHAVCASTVYLIRSLGTVWGVSITSAILQTTLSVKLPEALNDVPNKWE IIDDIRHSVDALRQLPPDVQLKARLVYYQGLQYSFAASTGIAAIAVITSIFISGKGLR KTTS PFICI_11756 MVQLPDPTADLNWSGYAGAIHEFFQQQAEAHPDRPCVIETQSST TPERRFTYRQIYEASNTLAHYLHDAGVTNGDVVMIWAHRSVDLVVSLMGILAAGATMT VLDPAYPPARQQIYLEVSQPRALLRIGRATDENGPLAPQVQQYIDSELQLKADVPELR LHDDGFLSGGEIDGKDVFEKARQAGSGPPPPVIVGPDSNPTLSFTSGSEGRPKGVLGR HDSLARYFPWMSKRFNLTSESRFTMLSGIAHDPIQRDIFTPLFLGAQLLVPAKEDIQH EKLAEWFRDHKPTVSHLTPAMGQILVGGATAEFPSLQQVFFVGDVLTTRDCRTLRKLA VNANIQNMFGSTETQRAVSYFEVPSRARDADFMDKLKDTVPAGTGMEGVQLLIVDRQD RTKLCGVGETGEIYVRAAGLAEGYLGDPQKNQEKFVNNWFVDEKKWAELDQQLNKNEP WRKYFQGPRDRMYRTGDLGKYLESGDVECTGRADDQVKIRGFRIELNEIDSNLSQSPL IRDCKTLVRRDRNEEQTLVSYIVPETAEWQRFVAAREIEDVEDEGLEMGSVKVYLKKY RVLQTEVRDHLKTRLPVYAVPTIYIVLNRLPLNPNGKVDKPNLPFPDVAERVEDASEE DLKSWESLSETAKNVAQQWADVVRGLNPKTIRPEHNFFDLGGHSLLAQQLLLNIRQNI GANVSINTLYEFPSLAGFSAAIDNLLNKASGAAAEGAEEGDPAYAKSLDELMGRLAPK YQTANLDAIGGSEPLTVFLTGATGFLGAYLVKDIMDRTSRQVNLIAHVRGVKDSAAAL DRLKRSISAYGLWKEEWASRVSCVIGDLALPNLGLDEASWKKVANEADVIIHNGATVH WVKRYQDMMTANVLSTLDALTLCNEGKPKTFTFVSSTSVLDTDHYIQLSEQQTSTGQG AVYETDDMEGSRRGLGTGYGQTKWVSEQLVREAGKRGLSGSVIRAGYILGDMITGVCN TDDFLIRILKGCVQLSSRPRIVNTVNAVPVNHVSRIVVAAALNPIPDGAHVLHVTAHP RLRMNEYLSLLEYYGYKTPEVSYDAWKSELEKFVSAGALEKDQEQHALMPLFHFCMND LPANTRAPELDDRNTAAVLKADAERWTGVDESFGYGIGREEIGKYLGYLAKIKFISEP TEKGRPLPEITTEAQAIGGRGGAA PFICI_11757 MRSSWYYGFLPVLCQLAQADWQFRSRPDLAPPRLNITIPATKDV ESGYLFLAPFAGLPDTPWEQHGPRQAGAYIIRDDGDLVWSGYGIYSIWTTNFQKARWN GKDVLFTFEGDHNPGYGHGHGHITFLDQNYETIRELRAGNHKLVDKHEFHIVNEKTGL IQIYQPVPRDLTAYGAKPEQQWIVNAIIQELDIATGELLFEWASLDHISPDEAILPIN PGQAGSGYNSSDAWDYFHINSVDKDSDGNYLISARDACSVHKINGSDGSIIWKLNGKD SSFAVPKNAKFCFQHHARWLEQYDDSIEIISLYDNSAHGSEHGEGREVHTAPTSSGKI LKLNTTSWEAELVQAFFPPDGLLSKSQGSTQVLPNGNVLVGWGSEGAVTEFLPNGTAI FHAYMDSDYLGLGVQNYRAFRYNWTGYPNEEPAIVALADDAGTTFKTYLGDVERKSFE TSLRLEGEKVKLATAEAIDKNGNILRKTGAAKVEPFIAPPRKSSLGLGFFDQSQNEL PFICI_11758 MNVDRPGRRIRMPWESRSNTDVRPRDDSSTLVSRRMRIPFLHGD AKNHFVAMLAEFVGTFLFLLFAFGGTNAVNNSPGKDQESLNADPARLLYIALCFGMSL AVNAWVFFRISGGLFNPAVTLGMMLVGGVTYVRGCLVMLSQILGGIAAAAVVLGLFPG PLNVRTSLAGGTSVVQGLFIEMFLTALLVFTIFMLAAEKHRATFVAPIGIGLALFIAE LVGVFYTGGSVNPARSFGPCVVLGSFHGYHWIYWVGPILGSLLATAFYLLVKALEYET VNPQADTDGTMRMKFDPTTGDENRISPSPPKTETIHAENHNGRTSTDALRHETMSNGH SQGARSSFGDHAYRESTNLEAGRRVSPV PFICI_11759 MKSFIAASVALLAGSQVAVAKEIANPARQWEYDSGLVHETIMST KHAAWDAKRKAGAFDSRQYKSYSAEAGPVACTDGIAAVIPGDANNTFKCNNIDFYDFQ SHFDLGSVVGEGAGSWGWTSDEGREFVAIAQSDGAAFAEISSAGKLVYLGRLPQYTTA DPSLWREIKGYKNYIVIGSEAYDHGVQIFDMSLLLDVDPAAPKTFSNEDDLTGWFGDL PVGRSHNVVVNEEKNYAVAVGAQPRNSSCASGLIFIDLTDPSAPYSPGCASGDGYVHD AQCLVYRGPDEQYSERDICYGYNEDTLTIFDVTDKNATNIISRTTYEGASYTHQGWVL DTQWQQYLVLDDEYDEYDSTGEGAAGFPVTYFWDISSLEAPKLSGIYRSDTRGIDHNQ FINGGFAYQSNYGTGLRVLDISSIPEDPTGGSVKEVAFFDIFPEDDEAEDGGIIEFEG TWSHYPFFKSGFILVNTIERGAFVVKRTDI PFICI_11760 METHEAAVETVAKSIRLFHATQRPFRVYHGSTNSTRASARRADN TVDTSQLNNVLQVDPGRKIALVEPNVPMNMLVEATLKSGLVPLVVMEFPNITVGGGFS GSSGESSSFRHGPFDSTINSIEIVLPNGEIEHASKSAKPDLFWGAASGFGTLGVVTLL EVQLRDARQYVELTYQTFASIAAASATMQDQAQDSSVDYLDGIVFSPKRAIVCTGRLV NEVPSSAKALHFTRKKDPWFYLHVDKRTKKARDRVTDYILLTDYLFRYDRGGFWVARY AFRYFFTPFNRVTRSVLDRYMRAKVMYAALHKSQLADDYIVQDVGVPYDKVGEFQEWL DTNLKTYPIWLCPLRVRRDDPDSGHGLHAGFSNPETPEHLLNFGIWRPGSTKHDVFLR QNRDLEKKVQELGGKKWLYAHAYYTEEEFWAAYDRESYDDLRRRYSAAYLPSVYDKVK VNSAENPQKPFRGVRPLQGLYGVYKAWRGGDYLLAKGPTQWH PFICI_11761 MRLSGLVALVVAVSGAAAARACSNDRCLRAVSSAPSHIRMSDCS ELFKTTITPSTSTITQTIGTTTTATTTKTTVTTATTTVTTNTKVASKRWEEQREALDI LLRRVPPHHDHTTTTTAAQKTPSYASGCVSVAAYSSACSCLGVQHATITAPTPKTTKI VSVKRTAVVTKISTIVTTETYMAISNSTTAAFTNTTRAAFANSTTLPTNSSVSNLSSF LSDISSSPSSTGLGGLDPTATSTVAVSDSSSPLSSGLSESRETQTSGSTVGSFANSTT SALFANSTSSSLVNSSSTAPFANSTTALEVGQTSSSSHNMTSTVSAANATSTGLFLNT TTSGILNGTAPTTPVNVAVNTTSAPFLNATSSTALLSATSAPFLNTTGVLNINATSAH FVNASSTAPFLNATSTSFPNTTISAPYLNATTTSWLNATAPPYANATSAPYLNSTSVR FSNTSTPAATPTSTSTLDTTCGETSPPFLLRVSQPSSVLDGWYAKVSGDQILFSSSAN HSDQFSVESSGHLCAVGYVGASGLPTIAIVETKDGLTGSALYLVDGQRLGNLTDLGYG ALDCGIAEDDLSCQARDMAHWVSCGLGLDISSDGSPNVVVDTWNCTSLALSAVYA PFICI_11762 MPRNSEDSTPPPDASLHAPEVTVSVSSPGLDGVPSPGAATLPRT SREREHHQRVRYAPNIDTQVIPPQDDTHLSPITVTSPGFRGPTRSDTELSRVSIRRRN AARASTFKTIKEVDLNDLTEPGWHAGAEPGVDTTKPNAGQAVMGLHAACQITIVDFSQ DDLAIHELDNEEMISFLEEPQPDWVKCRWINVNGLSWDVIQALGNYKSLHRLAIEDIM NTRNRTKVDWYQHHAFLVFTCQKLVRMLDDDSSDESDDDAFEVSSVKSHSSDSGIQKK FKRWWSSSQKGKYNSADSTLERGNSMPPINGPLEHQATGLSDVFNPSTLCTLQRYRAS SNEARNDFMERHSALASKDLAVTAEQVAMFITSDNTVIAFFEQSADDVEKPILARLGS PETILRQSCDASMVGQAIIDAIIDMAIPVANCYADVIADLELDVLLRPSIKQTRSLYI IQSEINKMAGLINPIASIISAMRDHKTTLSQTDATKELQNPVEGVIITPMTHTYLGDV YDHCVLIGENLNTIRKSADGMIDLIFNTISAYQNESMKQLTVATIIFLPLTFLSGFFG QNFTDFPQIEGSVNLFWYIAIPVTVGLILILMREAMVEWFKSLFQRRKVWTVRKRRKE HEHHTKARRKMMGKRGF PFICI_11763 MPTAKRLKSNGDFKSQAKKQDTARPTAADIEGETEFAQLAKQHW LKPNKRSTRVKVKNDVLKREIWDALEKDGFQYKSLLVLEGLQILESYLWPGYSEEASN FHVLLIVLVTNAKARERLETWKIFEDRPADFSILFRRILAMTLDNTLTTNIRSHLLSF VIYAFQSLDCAIVRKECAPLVSISTWHNLSTETKREAKLAEIAPLKKAWKAAARRYEA ADDETKARLRFERQWLYTLTLHFLNMLYDDKVKADEVTYIARYIEFLTDLQSSLPTRR YVNTLLQDLHLLTAIKLSPVFRAEENDALRRQWVLLRHYNDFSIDDQTGIQHSRSEAY ERHCDALKKLQHVAFRHFKDKLTVLALSNYASIDKYDDLKALLQVLSDEETTSLAVHL DLRTEYPISSKIVLDGAFLLEAIVATFERPKTFQDSLPNILPTERDLLDPLLLSTEDY DGSRPLPLPKLNLQYLSVGDFLFRSLVLYRAEAFYGIRNDIDAALRRLKPEIGRSGET RFTGFSKMALPTSRPSILEVVPPLVGDKKPSAVRAEVTLDVRRLSDQIRREWDSLRPD DVVFLVSVDASLQQAVTNGEITPLSEAEKLGLMNVRSAEVVSIMDDKGRSIRDPTTHS NGGSRAPTRRIQLKLDAEAYQKDMDLVTLGKPDVYEKMNLIVRRSGRENNFKPVLESI RSLVRSEVPLAPWLHEVFLGYGDPAAATYKSLPNRVKKIDFRDTFLDWQHLVESMPGK AVEPGFNVSGSFPPPYVLESVDKAAEEPKSKPSKKRRRDVEPALLDEIETYHVSTYKP PNTGPYPMDIPKWNSVRFTAAQVDAIISGTQPGLTVIVGPPGTGKTDVATQIISNIYH NFPEQRTLLIAHSNQALNQLFAKIAALDIDERHLLRLGHGEEELGLEANFSKQGRVES FMENRNRYLQEVNRLAASIGAPGAHGNSAETAGYFDSVYVQPAWAKFAEATASEDVSA ADVVQAFPFHQYFSNTPQPLFPAEADRATVLDIISGCRHHISKIFSELKDVQPFEILK KEKDKANYLLTNEARIIAMTSTHAAMRRGEIANLGFHYDNVVMEEAAQITEIENFIPL AMQKPKDGTTALQRVVLCGDHYQNSPVIQNFAFRHFANLEQSLFSRLIRLGIPAITLD QQGRARPSIAALYQWRYPNLGSLPHVESLKEFQTANAGFKFDYQFINVPEYKGRGEME PTPHFIQNLGEAEYAVALFQYMRLLGYPAEQISILTTYAGQRALVKDVLAHRCAKNPI FGLPKIVTTVDKYQGEQNDYIILSLTRTSRVGYLRDIRRLTVALSRARLGLYILGRRD VFEACYELKPAFELLLKRPDKLTLVTGELWPSERLQANEEDESVPGEAVMEGVEHLGQ YVFEMTNAKIKQLREERDLPDAQVAEVLEPVIEEERTYVDGVGAAEEDEEDVAAEVQE GFEAEEGPDGQ PFICI_11764 MPASDGDLFDIPEVVAFGAALEDALQQAKTAKPSATIEPPLTKN DFSEFLSQLPTIFSSEPYAEKSRQLLVFETVVRRRFDDLISTTSIDSPEFVEVWNLID LTIVLAESGQCDSGLALTLVELLLDSQTLQGCRTAFDYLESRRERLIKDHTSMQGLII LRTCNELLRRLSRAEDISFSGRVFIFMFQSFPMGDKGTVNLRGSYHTENVTTWEKLPA APAGQTPEGMDVDTKEDATAKLPPATGAKAVSFDAKDKSNSRQKPLEPDALYPIFWSL QEFFCYPLKLFESQNMSKFREGLEATLLAFEAVVQGQRNPQSSDDTKDVSRKRKAFEI GSSDGGTFNPKYLTSRDLFELEMSDLFFRRHILIQAVIILDFLRSLSASARAKHANIR QPNKSVMYSDKSISEEDDKWAEDMKNRMQDYIFSGPDGAYVHRILVAVTQREKGWTRW KMETCPPMEKPAVTPSEFNEARESVKRLATSKRLRPHPMGSLNLDFLKVEDEESALDK FKDPARWKLPELETFKDMIANDNLELEFAKTEKEKGQIIESKASKTWRALRIASRTRM SALDRIDDWQDISAVFEDPKQANKSADEDEETGDAGRSPDDKQLIVIAGPSGVGKSAL IARLQEKQPRVFKKVARHTTRTAKEGEVDGQDFNFVDAATFNRMSDNDQFAEVTNKEG FDIATSNKALDSIKESGKVPLLELDHASVQSCKDWGLSARFIFVSPSSNDQLEAQLKS SGTYSEDAIAGIVESAQAEIEQAKSETSHYDTTITNDNLEDAYEAFEKFIYGNFTQET NGVHDEGATKDGDVTMAEEDSSAARQSSDSTMTRSEKRKKRKEERKEKMKEKSKEKRK RKKENKKKKRNTTYH PFICI_11765 MTSTSNQQTPANASTAPNGSSPSYASAASTQKKQSTPIVAGGSS TPTIVSGTSQHAKSGSASQVNGRPIMPAVPTVAPAVAVGTNGDNHARKPSVTVGGNNN NYSANGNAGKIQFGFSASPAVSHSTPQGGSAPIAIPNSNNNARVPSPQNSPSPIPQPS ASGGRPPSSAQSGNVTFGSFGGNGETHMRRTSAASQNPSHIRNESSQSMANDPSNQGV PAQGGPPGRGGYHPAGRGRGGYNPNYNSHNQMGYPPNGSPAYRQSHPAQGRGTMAPAF QPRGNFNPGTPPMNRASPALPAAMPVPGTAPYYYQPPMGGPMQNVTSPPTRNFEYKNN KPYKRKNQRSRDGEKSFNPRHRSQSNDYAREGGKPRRFNNKRADNHFLKDMVQDDARW HGQLPAERGERVDTNRNLNMPMWHRPPMGSHFPPMRSTHMPSNFDPSLTGHNKQQGYY GPPGTFDPRAMPQQYMYNMPQPHSPGPNFQTPYTGPPPAFVPQPMSRTQSQASETRPT SSTGQTPQPVNPQLNKQAPLVAASPQFVKPVRKSGAITIRNANGEAVDFNALKAPASP AHSAQSKTPPVIASTPTPPPKSTTPKVNAPAHNRTDSAATKTSAEVQNEFKEKIARQM ADEKAKQEEAKAAETKAAEEARAAEEKAKEEEKLKEEQKAAEEKKAAEEAAAKAEAEK KAAEAPAPAAEDEEDEIERMIREMEEADAKREAEQAAITEKKKAAEDARKKAEEEAKK LAGTDEKLKEAEREAERLEEEKERKRLENEGKSTPSVADALAGKLGSMSLLDKESKKP ASLNVDDKPVTAAKVSPGEKRGTKPAPLNLNTNVGVEPPQPSAALQSLKTAKRLQSVQ ALMNLKYPEGISSPNPALNTAVSKKGTSFKYDPSFLLQFQTVFTEKPSLEFGQQVKNL IGDGDGGRSASSRTPATARAGSSRGGNFPIGQFGVGATKPLPAGTTSEQRFAMAQGQM PRPAMGSMNSFGRPGSFPAGNMMSRTSSSNMASAPRTNSRRGASKRNTFDAKAEEKAA KTMPLTAGMKIEAIKTSETGWKPASLSQKSVAPPQPSGLMDPPMVQRKVKAALNKMTP ENFDKIAQSILDIAAQSKEESDGRTLRQVIQLTFEKATDEAHWASMYAKFAKRMLETM SPEVRDDNIKDRNGNVVSGGALFRKYLLNRCQEEFERGWKTQLPEPGEGESKEAAMMS DEYYAAAAAKRRGLGLVQFIGELYKLSMLTERIMHECVRKLVDFQGTPDEAEVESLSK LLRTIGGNLDSTEKGKIMMDAYFTRIQQMIDMPDIPSRLKFMLMDVVDLRRAQWVSKE TNKGPKTLEEVRAEAEAAAAQKAAEAAKTNQRGGSGRFPMGRGDARQYSGGYPQQAPN TVGMDDLRRLKGSVGRTASQNISLGPTSMFSSRSNSGRRLGPGGSLSRGAEDSGASSR TGTPPTQSSTNAFSLLANMEDNNPASPPSTAASPALAKVTPEAAAADKE PFICI_11766 MSKVAAKRAKLAELKALKASGKKVFDSYEVEQEADLYEEVDENQ YKNIVRDRLNQDDFVVDDNGEGYADDGREEWDRVHQYESDSEDDRVIRGHDRKSDKRK RDEDKAKRDANDRDISEYFMKGRTAPQPKPKVIKTEADDQFLADLLGEVDANIPGPAA RAPKKITPERRKARALSPIRQPVAKKVKTAEPTLPSPVRFADDDFIATADDDLPLPHV SELAMSDADPAPSSPVAKVVERRTSIKVEPADDDDEDMMEVALGGTINTASVNLSASR PIKKLVKAEPYPSPANSSPAKAPEPSVDSSSWNELTNKLNVVSASPTETRSVGKIDYK DAVEEDGSLNFFWTDYTEVNGSLCLFGKVLNRKTNSHVSCFVKVDNVLRKLYFLPRQN RQRDGVSTDETVEMMDVYTEVDEIMTKMKVGMHKIKACTRKYAFELPNIPAEAQYLKL LYPYNKPQLPVETVGETFSHVFGTNTALFEQFVLWKDIKGPCWLKIKDADFGSLKNAS HAKLEVLVEHPNMISSISESDNLDAPPLTLMSVAMRTTFNAKLNKQEILAISARIYEN ISLSDTTPAEKLPSRTFTLIRPNGAAFPIGFEKTVKDRKKGGLIKLMKQEPEMLSFFL AQIDVADPDVIMGHQLEGVDYSILLNRLAEKKTPQWSRLGRLRRSVWPPSMGKVGGNV FAERQIMAGRLLCDLANEAGKSVMFKCQSWSLTEMCNLYLGGEKRRDIDNEAALKTWA AEKNGLMDYITHMEADTHYIAALALRTQMLPLTKVLTNLAGNSWARTLTGTRAERNEY ILLHEFHRNKYICPDKQVFKGKPKPEDENEEATEGKKKDKYKGGLVFEPEKGLYDKFV LVMDFNSLYPSIIQEYNICFTTVKRAGWSGDEDSVPEVPEEQDIGILPKLISTLVSRR RAVKSLMKDKNATAEQKATWDIKQLALKLTANSMYGCLGYTKSRFYARPLAVLTTFKG REILRSTKDLAESNTLQVIYGDTDSVMINANVDSVADALKVGQEFKKAVNERYRLLEI DIDNVFRRILLQAKKKYAAINLVEVNGKWEEKMEVKGLDMKRREYCGLSKEISSRILN DILSGDDTEVSIQRIHEYLRETAAKMREHTIPVQKYIIFTQLGKAPKEYPNADSMPQV QVALREIARGKNVRRGDVISYVITGDSGSSDPVAKRAYTPQDVMKSDSNLKIDVEWYI GKQIFPPVERLCANITGTSTAQLAEQLGLDIRRYANNSSHGNSGPQDLEIHPLESQIP DSIRFADCERLQLRCRKCRASNPFEGLIGSLKSVTPTGVVCPTCSASIPNLSIVAQVE AAVRRQTARYYEGWLICDDPSCGNRTRQMSVFGNRCLGPNGLGKGCMGKMKYEYSERD IYNQLSYFASLWDVEKAKAKASSDDSLDEEKKLSAEEKTEVDVRAKQNKNRFDLVQGV VEKYLDKCGRRWVAMDTLFGKLGFIAA PFICI_11767 MESISSTARTEFFQEVGERLCRFYTVGPLGTRPAKSLLQLKPRC VAINDLAVRSQDKRAVAKQLIAVTEEVSDALERQVKKDASILDEKLAGYIFFPLSNIL RYQQQFPVRLTELTIKCLRILIEFGWKSKIEPDLSQQLLIFLTYVIGGVPGETRKEAI PEETTIESLRALASLIAATGSSTAGSKSLVEDKNLPTIGHTISVILDTVNKGETAEVQ LEALHVVDAVFTAIKQPAILASFLPGIVSSLTKLLSPPPAWKTPRKVLVKGIAALKSV LVNVLADIKVTKLLKEITDSKDGKSKDEKDSAVDNQIFTAPWLKATASQIKIALASIL KLRTHKSEDVQTALERLCIGLLDECRQSLSICTAILVETAMVLSAEEENHSLIQAGLN TSLVDLTSIYPELIDVVKTTVYNFVTSLPRIMQSSDENVKQQAIRNLLKGQRLLSTLR IDSSTLNDTMAASLRDSVSTLLSSGVKSNVAELSSTDLVLSSGDLAATAQSTQFQPFL MNHSVQKSTRNELLTLIAKSGTFAQHATLARDMIEYLRESTGNSQVASYWLSFELVKA GLASSSELDEFLDFGSADTNEDHEELLQELYIFSVELLNSDEDAEVLDWRLQSLALEV AAYTASRMKADFRPELIDVLYPISTNLGSLVPQLREHAIIALNSIAMSCGYANVADLV IENVDYMLNSVSLRLNTFDISPASTQVLRMMIRLTGPRLIPYLDDVVASIFGALENYH GYPLFVENLFAVLAEVVNQGAKSNQLLLEDDSRSTTDHQKHPRQMVKIEDIVKDLSRR SDRKKRRLNENDEIETINHPEKPWKRGKTGEESPEDDEEEPSQDVEKKPPTTTTYTLL TRITSLTQHYLTSPTPSLRKSLLDLLAMVCPALSPDEETFLPVVNSIWPVLLERLYDQ ESFVVTSACKALSALCASAGDFLSSRVETTWSDGLRKWIAKRKSLALGNSSTSKRRMV DSKQRIINTNASSDLVMPIRSAGGLEAKEPLKPLDLSSTTPTKGAVVGGGLGEFTQAR QIWEAVQELLVAIVSFVRIDDDMFDDILALFGGDGLARNQEAKKALEAVNEDAVWLVL YEEGRVDLLNQPENATEVEFVELCRPNSQSIAAG PFICI_11768 MQSGGLGDGPHTVPYPRAIAKALESRPELSFSVFEFRLKSSFNG FGFVRLADDVADISALVKYLRSIGKEKVVLIGHSTGCQDIMEYTSPAYSDIADVEAFI LQAPVADRGALELVLGRQLLDESVRVAKELIDAGNGTAIVPIKETQTIFGIVSAQRWY ALAAPDGEDNYFSPDLIDAVAGSYWSRIKKPLLILHSGSDEYMPPTVDKTSLIQKWKS LCRPGIASDLSGCIPGADHRVETADSEKWLVETVVKFLDNLK PFICI_11769 MALLSPFLLVLGLFVLVGSYIWTCLTSPLRKLPGPKLASFTSFA IKWHEFHANRTRYVHQLHETYGPVVRIAPNEVSFASVEGVKEIYSSGGSGYDKTEFYN LFQVYGRRTMFSTLNKNDHAKKKRILADRYANTNIMRSASMDGIKERSERFIEQCTAS VVLHAYAFDCVSHHLFHPYGSDSIRNQDDAEIMKQVTFDDSLQNRLIRYYNPTLHWLI GGVLSIYAKPRETPLADDLVLSATAKTDPSPFTLLSRMEEKGHDLEHLDMAAECLDHM AAGIDTTGDALCFLMWEISQPRSRIVQTRLQQEIQAHKDLTPEKLPYLEAVVLEGLRC FPAIPMSLPRYVPSGGRTIDGYFIPEKTIVSSQAFSTHRINEDVFPEPNTFNPDRWLA PTGDKERKQLFFAFASGGRGCVGKHLALAEMKTLLVDVYSRFTTLPDESMTSDMMEMS DQLISSQPLGKKCLMKFVPLDAEH PFICI_11770 MLFSDDLPQQQVLQSSGSFTGYRPQLQQLGHHYLSASEDFKQPL CGNEEGWGPLSPFRYDFTPCFIDVWVAAVAVFGIVFGALALWYVLRKSKAADVAKDWH FWTKQSLLGLVIADIVVQFAFQIVSLPNVWYGDFRVLTTVLTFVSLWMVFAIQWSEHA RSRYANGVVLFYWLFLLLVFAVKLRSLVSQQIYDTSLPYFVAYTVGVGLSAVAFVFEW FWPKTASAYEALVDDDGAECPAEYATVFSRLTFSWMTPMMKFGYKNYLTEEDLWGLAP SDKTSTTGSQFDNAWKYELEHRKHPSLWLALFKAYGGPYMLATVFKIFNDLSAFAQPQ LLRLLIAFVASYYYKDQEPQPPIRGAAIALGMFFVACLQTSMIHQYFQLSFVTGMRIK AGLSAAIYKKALKLSPEGRATKTTGDIVNYMAVDAQRLQDLTQFAQQVWSAPFQIIIC MVSLYQLVGWSMLAGIGVMIIMIPINGFIARFMKRLQKTQMENKDARSRLIAEIINNM KSIKLYAWSTAFMNKLNHVRNDRELHNLRKIGAGQAVANFTWSTTPFLVSCSTFAVFV LTQDRPLSTEIVFPALALFNMLTFPLAVLPMVITSIIEATVAVGRLTAYFEAEEVQPD AVEIEAAAEERGEETVIIRDGHFSWDRHGSKNVLREIHFVAHKGELTCVVGRVGSGKS SLLQAVLGDLWKEKGDVRVRGNVAYVAQQPWIMNATVKENVIFGHRYDSNFYEKTVKA CALLDDFTQLPDGDETVVGERGISLSGGQKARVALARAVYARADIYLLDDVLSAVDSH VGRHLIDNVLGSRGLLGSKCRILATNAISVLSSADYVYQLKDGEIHEKGTFPQLMAMR GGISELVKSTGQDSGPASEAQSPEGSSSEASSTYMEPDVIDKEEMEEAQEGVSELAPI KTGGSSRTQKRTGSMSTLRRASAASFKGPRGKLSDEEDPSSKTRQTKEHSEQGKVKWD VYLEYARTSNIVAVVIYLLTLVAAQTAQIGGSVWLKNWAEMNSDAGGNPYVGKYLGIY FAFGVGSSGLTVIQTLILWIFCSIEASRKLHERMATAIFRSPMSFFDTTPAGRILNRF SSDIYRLDEVLARTFNMLFVNLARSGFTLVVVSVTVPAFIALIIPLSAMYYWIQRYYL RSSRELKRLDSVSRSPIYAHFQESLGGISTIRAYRQQNRFELENEWRVDTNLRAYYPS ISANRWLAIRLEFIGAVIILAAAGLSVISVVNHSGLGPGWVGLAMSYVLQITTSLNWI VRQTVEVETNIVSVERVLEYARLPSEAPEVIHRHRPPVAWPANGAVQFQNYSTRYRAG LDLVLKNINLDIKSHEKIGVVGRTGAGKSSLTLALFRIIEADTGNISIDELNTSTIGL LDLRRRLAIIPQDAALFEGTVRDNLDPGHVHDDTELWSVLDHARLKEHVASMEGGLEA KVHEGGSNLSQGQRQLISLARAMLTPSNILVLDEATAAVDVETDAALQATLRSPLFAH RTIITVAHRINTILDSDRVVVLDKGEVVEFDSPQELIKKRGIFYGLVKQAGLDTS PFICI_11771 MGQQHRFSGREYYPSLGPSSWDTRGAAIDENQGTEQQHVSGRTG APPEPIQQILRNHAHLPVKCFYMFCILEDGKDVSFCTPGTLSQASVTSLSEHVKHMFP PNGISASTPSYEDQIPYRRSAFDIKPALDLETPESFSVGSQIPRKRRGHFDDVQPRTV TKYTKPIMVKDAPQLWLFYEQRFKNCQQAACKLIAKIWIKTVEPKKQSNHPYTGNDGK APDWWPKAHPNASADEKVRHKEPDHLYKRERLRLLGHILRMVMEPPSRQHPDIKKLQL SVAKLEEVTMEALNGWFADTSSPSNGAKKPYLEEIFKIAKQEERFRDGMIDGTTRVHV STDDRLMDGKMSDTEETSPSRVGDQDRKSTSRSLTPHGGLAAELAPYGSAQGTLDEAD ESTNGAYLNDVMIRGHTYAPTLESSDFQEEVARYGEVAAIPSGPPMQGFASMNYLSGP DPTRRPVAETQSEFPASSTAGSFDHWANTSSANSSAVYSMTGHPFQPNHSTAVGHSTG PMTPSHNYSMFYDGMPRSHDLGPPPFSRLGPMPSPTGYPDYGQPGLRDLPDPNVKQEA YTRNIN PFICI_11772 MFSCKACTRRALATLLESSLPLGANRIPLRTCTAPNSAAAVRTY ATTTAFATQESPFEDFNERNDDNSTGKPRSKKSKKSKQPVSKSKEVSVRQRLQYMDDP FHIANEVQRILAQDRFEEAVLLTREASKKYKTTVAWNHLIDYQMKNLKLHAAIKTFNE MKKRSQLPNAQTYTIIFSGCAMSPHPKLAVSEAVRIYNNMLTSERLSPNVKHMNAVLY VCAKTEDIETMFTIIKTADDKERPPNNLTYTTILNALRAVVDKPQRRDMPIPDRTEEI QVTIRRAKGIWDDVMRKWREATLIVDEELVCAMGRILLMGNFHDNDAVFSLVEQTIGI PNDPERLSSLAWKAVKGEREALSDEKEGTESSRTAAVTVEDQSRSLTQVQPGNNTLSM VMTAIENSGKTNLARRYWIILTKTFGIVPDANNWYALLRALRRGKSSTKTAEYLAEMP KYMMSVKVFRTAMLTCVRDNLNKSSFNNATRILEIMITAIRIPDMIVLRNYLRVAYAN RRLFEEMAEQKDPNAAKLAWGRQMCTALENLWEPYQIAAKELTHGGLAPVKDEQSVTD GDKQIWTKEVGLRTELAALARKMIAAHDRLIFETMVPPVVAKRLEPRRNALNRFVVKY FEDREKFEPGWNRKKAEQEAREEQEDDW PFICI_11773 MNNLAIVLGKTGKYKEEEEMHRYILELRESVLGAEHPDTLNSMH GLAIVLDDSGKHREAEEVYRETIKLRTQVLGSTHPLTLRTSRNLQQLLEDRKEYHPEE VSSPRRSFDQALIDREEYHPEEVSSPKRSFVQALKDRLRHHRAKGTGKTSRKSADLG PFICI_11774 MSTEKEPKNTVDPTLYLNEPVDEEVGVTKRGDALRKDLKSRHMQ MIAIGGSIGAGLFIGSGSALANGGPASLVICYLIVGLMLLFTMQALAEMAVLYPVNGA FFTYVVRFVDPSWGFAVGLDYALSWLTVLPFELTAASLTIGFWRKDLSVAIWISVFLV LLIIIQFFGVRGYGEVEFILSIIKICACIGFILFGIIYNCGGVGDQGYIGARYWYDPG AFNHGFNGFAGVFSVAAFSFSGTELSGLAAAESANPRKAIPKATKQVFWRILFFYVIN LLILGLILPYDDKRLAEGSTANTKYSPFVMAIQDAGVQVLPHIFNAVITISVISVANS CTYGSTRTLQAMAERGMWPSIFAYVDKKGRPLWCVVLQLAFGFLAYIGVAGNQGTIFN WLLSLSGLSSFFVWGSICLAHLRFRAGWKHQGYGLQQLPYQAPAGVIGSVIGLMLNCL AIIATFYTALYPSPDASPDPEVFFQVFLAAPVSIAFYLFWKIYSRDGRFFVRVHEMDL KSGLRALLPEDEPVPEKTWKGLPMRIVRSVF PFICI_11775 MAQYGGTMPMAGPRAGQQVAYAQQQHPVVPMQASNAPAGTFSPG TKIQVGEHRVVIQKYLSEGGFAHVYLVKLPKPVDGTDLAVLKRVAVPDKETLRGMRTE VETMKRLKGHRPIVTYIDSHASEMAGGRGFEVFLLMEYCDGGGLIDFMNTRLQHRLTE PEILNIFTDIAEGVACMHYLKPPLLHRDLKVENVLITMVGSRRKFKLCDFGSAAAPKP APQSIVECRLMDEDVQRHTTMQYRSPEMIDVYRKLPIDEKSDIWALGVLLYKLCYYTT PFEEQGQLAILNASYKFPSYPVFSDRLKHLIASMLREDQRQRPNIYQVLKEGCLLQGR EVPIHDIYANRAGSGTSHSSYQAPPSGKSTPVVGAVFAPPQEEKQKIPEVVPMRRGRL PAASAAQPPAPQQQASKPSPSPMKITNGDPFAALDSKSGAKSGKADELSSRFPTLDQF SILHDQGSKFEFDSPVSPPLDGSQRVSASVADAAFAMPQGTSAVPQSSNRLSGDLSRV KSLANSTTTPPPASAGKPPMTQPKPEGGQMSRASAIISSNPELQAIASPPPQNVYQTP SRPAMVSTGTMTSTPPPQELPKRDYPPIYRFPSSDHHRSSSLPRQPEIGSPQLMLDAN QQPRPGASQRVPSFQAQAGHLRHPSSSRPSLEGGRPSVDLMEPISKSTSQSGRPRPTS TYLESNLDFLREKEAAPRPLRSPKLTGTSRSSPALDPQEDTVIESNVEFLRSMEETDN KRKDKSSKHSKRSSLTSLSGTKNILATKFGDAFKRFEGGGTSQPARTPSPFKDLDRSE LTPIAGSEATDSKSDDGRLLGDQEDMTPEQRREAEAKALAEEERRVEAAAAEYRQRMA VRETGGSATAPPRSIGGVSRAVSIQNRVQNLLQESQKSSSAPKTAEGYGRFSDAAAAA TRPEKALPDLPRKPVGMTTGNPPAKPTTPKPSAPPKPTHLNSIPTGGRISPTKQRSSA PAVPGHKAGATEQLVGLGLQGRPTLDMTAQEKEDYIQDFSKRFPSLSAIEMVERDLGA ADARKGGR PFICI_11776 MAGAALSHLVRRASDEETDPEAGDNAEQEVMASWALFILLVLLI ISFFTSYMLQQKKVQAVHETVISIFAGMTVGLLLRVTTGHSLQQLVSFDFQIFFNLLL PPIILNSGYELHQANFFRNIGVILTFAFAGTFLSAVVIGFILWLYTRLPLDSLDMSFV DAISVGATLSATDPVTILAIFNTYKVDPKLYTIIFGESILNDAIAIVIFETAQKYKSG SAASYGIFSFLEGVGIFLLSFFGSLLIGILVGVSTALILKFTYLRRYPQIESCLVVLI SYATYFLAQALPKMSGIVSLLFCGITLKHYAYFNMSRRTQLSTKYLFQVLAQLSENFI FIYLGLSLFTDKDLSFRPLLIIMTVLAVCAARYVAVFPLSSAINWFIRYRARRRGRDV TDELPRNYQAMLFWAGLRGAVGVALAALLTGDNSYALKATVLVVVVLTVIIFGGTTAR MLEILGIRTGVVEEVDSDDEFDIEAAPGGSYYKRSGTGIGYTPRARNGSVGLSNLHNK RRDSEPKRKSYASGSHSPSYDGSGRPDSLSRKTSRVQVAEDMERGDLLGGRSGNMTDD SDLGSDIDISDLPPPARRPPRRKDSSPGILTPSGLSEEETGVFNYPTSGHRDAQPVSA SAAIRQLFTSQDPQALFRQLDEDFIKPKLLLDGPGAPGPRNNGEGSS PFICI_11777 MASSLSSSSSSSSSSRAPSPVRHNAKPPRGILKTPKSTKSSEQT PLLSSSTREQDAGARPQHDDDSQAHKSLWYILLLTVSIGGLQIAWSVELSNGSPYLLS LGLSKSLMALVWIAGPLSGTLVQPYVGMLSDNCRLSWGRRKPFMLGGAIATAISLMLL GWAKETVGGLLSLFGADPDSHGVKTAILVVAVLWVYVLDFAINTVQAAIRAFIVDCAP VHQQEQANSMASRIVGVGNIVGYLAGYVNLPKYLWWLGDTQFKILCAIASIALCGLVL VSVVFIPERDPNLDGPPPKNQPGALTFFRTIFTSIKRLPPQVAKVCAVQFCAWIGFFP MLFYTSEYISEIYIEPYLEANPHMTPAELDKLYEKGTRVGTFALLIFAITSLATNVFL PFFVAPTYDGPVTTTGPAEAPGALPHGDEKKKWLDYLVIPGFTLRRAWMLSQVIFSVA MFCAVFVRTVEAATGLIGLVGITWALTLWAPWAIISAEVSRRDALLRSRRQAQQSQAQ AFGGNDSTEALLDQERRLHNEEDVDKAGVILGIHNMAIASPQMLATVGSSIIFRIFQK PRGTPGDHSIGIVFALGGLFVLASVIFIHRIQDDIPAEEVIAAEEGESPAARPGMLRR RSTAQATMKRAALARSSSFGAGLEY PFICI_11778 MKATILLASLAKAAVLWDGRFNDFSSSADLNKWSWGNQVGPYQY YIHGSGTVDKYINLGTSFKNPNDTSSKQGAKFTLDSTAYWNGQNMRRIELIPQTTAAI NSGKVWYHFSISRSDTNAPSVNREHQICFFESHFTELKYGWISGESGTSDPYLQFMIS QNSKWKTEWKAGVWHNVAFEIDFSKNTVGFWHSEGGAPLTQLVAPQSASTSSNGADWH LGVLELPRSGYSDANEDFYFSGVYIESGSMTTSVNGPAA PFICI_11779 MLSQLSILALALLLSFHGHGATASTTLEKVLIQRNLEHNDNPPL TESSMAPATAPPPPPHPPVSSFTASCDDAFCSQGSRYCYYWGGETSYEFGKGPVPGET QTILGACTVVTSTVPGSVVTQTITDSVGAVATVASTVPATVMVVEVWE PFICI_11780 MSTQSPITPSIRFNYTISVRQQPIAARSCGFGERDRRVIDPPPI VELKIEDPAATPEDIRRGLEVPFSVVHCTIWNEAGNEDISFMPEEYRQQRRLMGTTVA SPFNGRDEHGREGCFFCFPDLSVRTAGTFRLRFVMVMVDPMANYIGARHPVRAQTMSD VFTVYSAKDFPGMQASTPLTKKLKEQGCLISIKKGNERGGRGRDDSDGDDDDDDNASG SASTRRKKQRK PFICI_11781 MFSRALRVQRAAAPSLRAARAARAPTVGAFRTVTTDAASASLEK GVPEEDDKPFTINLSDESFETYELDPPPYTLETTKNELKNMYRDMVVTRQMEMAADRL YKEKKIRGFCHLSTGQEAVATGIEYALDKEDDVITAYRCHGYALMRGATVRSIIGELL GRREGIAYGKGGSMHMFSKGFYGGNGIVGAQVPVGAGLAFAHKYTGRKQASVILYGDG ASNQGQVFEAFNMAKLWKLPALFGCENNKYGMGTAAARSSALTDYYKRGQYIPGLKVN GMDVLAVKAAVKYGKEWAINDNGPLVLEYVTYRYGGHSMSDPGTTYRTREEIQRMRST NDPIAGLKQKMLDWNVVTEEELKGIDKEARKHVNEEVAIAEAMPVPDTTPQILYEDIY VKGSEPQYIRGRTAEENYYF PFICI_11782 MSIPTQIAETVQTAHIQRDPSPHHDANPSTSASTREPVRLESLR KQQHQRGRGDDEDDIAVVAAEEDSDGEESDIPVSVLRPHRRRHSFPPMPDLRFEQSYL HSIEGAEGRWGKIAWITVRDQIMMPLAQGVLYNLAICGWQFWNRNAQYSGSSAGARLR RWWYEVNNWKIPQKSKRS PFICI_11783 MADDDSSDLSSLSSLSPAPSDDENDVELKNDKGILKFFHKLPKG SKPAQANKEPSPPPRKRSPSPPHDYVLADNPAIAFIVMFRSRFNEAFPRSLANFGPQE LERDVTESIPGDRVEHFLCAVLGLLLNRKQDVKPGHYGRALEDAIASHKSQWPKVWEE KSPLSGGATFTSMNPTERLTLLRTLVLWSLSSSDTIKQIINTSYKGNRRDDDLNQPLS VQPWGADSDKRRYFLIEGLDDTNFRVYRESDPAGFNRTWWSVAGNIEELTALAEKLQT VDGRPKGKKLAQQMLAAVPRFEASEEKRKRREYRQQQKERFKRPEPGFSMYEGRTRGK RMKYTYSDDEDFLTDSTGARRSARNTGTSTPIEPAGPVTTASGRHIKAPSRMTVDASN NIVTVDGDQDRSSARDASTRASSKESSVGPTGRPRRSAAVNHSTNGWSSSRKKDEYNS MDDDEEESEPELGDDEDDHVPDESEEEEEDEEFDEDVEMADEDNDLDASPQSMVVKLK VPEKEGVKIDFDKFRYSGTAPKANGKPSAETEETTTEKQDPAVAEKGNTSPPPSKSSD AASLLTGRPPLSPKPNALQTKPLAYRESPEKPFAGRPVE PFICI_11784 MSDAQANEAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPPKD QISRAAKMLAEEYGTASNIKSRVNRQSVLSAITSTQQRLKLYNKVPPNGLVVYCGEIL TSEGKERKVNIDFEPFKPINTSLYLCDNKFHTEALAELLESDQKFGFIIMDGNGALFG TLSGNTRDIVHKFSVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAELAVQNFITND KVNVAGLILAGSADFKTDLNSSDLFDNRLATKVIKVVDVSYGGENGFNQAIELSSETL GNVKFIQEKKLIGKYFEEISQDTGKVCYGIDDTLKALELGAVEVLIVFENLEITRWVL KDSAGAEIMLHTTKQQETDRTKFMDKETGQEMDVVSQDSFIEWIAEHYKDFGTNLEFV SDRSTEGNQFVKGFGGIGGILRYKVNFEQLAEYDALESSEGSTQVTTSSSATAAKEPA GPAPPSPQAAPAVKAEPLSYVERRKITLGPATSLHTAKQVARPSPLRDMMTS PFICI_11785 MPADKPIVCYLGPETSYTHQAALQAFPAADYEHLPALTIKDVFD MTQSGRATHGVVPFENSTNGSVVFTLDHFADRDGRYGDLSVCAEAYLSVHHCLLGYAP PTDGQAAATAPATAPATGEEEKGVVAVAQPLASLAHVKRVYSHPQAWGQVTRFMRTHL RGIETIDVSSTSRAAALAKADATGTSAAVSSALAAEVQSLEVLARNIEDREDNTTRFF VLRKDACGSELAASPSQVAVDGKQKTKSLVSFTVPHTSPGALADVLDCFRAGGLNLTS INSRPSLQEPFQYVFFVEFEGHRYDDPEGRVKGVLEGIGRVAQSWRWLGSWVNMRA PFICI_11786 MAPGAIFPTDDGLDICQEPVSIPQSIALSLNVKHTEIEDLYQCT PLQEALITLSTKGSSAYVKHVVLSLNPNADTERLRLAVEDAVKAIPVLRTRIAHSDHL GFRQVVLSKKVEWSEAASLEECQEKTESEKWGIGDPLVRYTLVKDAQGATRWFVWAIH HALYDGWTFPLMVEQVCQRYDGCLVKPLPSYKHFVEHIASKNQNGSEQYWQSALTNVQ HSPFPALPELSYAPKADSTVEHLCPPIPRVQGISRTALLRAAWALVMKRFHDSEDTIF GTVISGRLEALKGIQSLIGPTVSTAPMRIKVNHNDTVESYLGAIETQGHELNSFGQYG LHQISKLGNDARQACGFQTLLVVQPGEETHAIASNVGEWKRLETDVGRSSYALTIQCF INQTGIRMVAIFDSVTIDSWKAQKMLEQLAFVTQQLAESPPSRSVTDVNTTMSPSDLQ DIWRWNSEVPPAEETCVHDLISTVASRQPDALAICAWDGNLTYKEVDEMSTRVARHLV SKGFSVQSMVPLCLEKSKWTAVAMLGVMKAGGVSIALDTTQPEDRLKSIVEQVQPEFI ISSVKNKSLAQRVGGCNVVVIGQESFATMDTMPVTIMPSVLPSYKLMVLFTSGSTGSP KGAVMTHSSFSTGIKHHGSVFGIGPGERVYDFASYSFDIAWFNVLQAFSHGACLCVPS ESERKDDLENSIARFKATVLFLTPSVCRLLHPDNLPDVRCVALGGEPQKWTDFQSWPA NVRKLSVYGPAECTVVSAATDAKILQRGDMYIGKGLGSANWILTNSETPTLAPVGTVG ELWIEGPLVGQGYINRTNEAFVENPSWLLQGCSSHPGRRGRLYRTGDLVRYNPDGTLA FAGRKDNQVKIRGQRVELGEIEHHIRRQLNEVCHPKPFDGLVVADLIVPESSSNPTLV AFVHQKSDHVGLDEIQSEEAWSEAIHQLVDGLYERLSDVLPSYMVPNAFIPVRKVPMT NNGKTDRRQLRDMGRTSFRACTLREQDTMRITPSNNVERILLEVWAEVLNMGSSDIST DVSFLRIGGDSITAMQVVSRCRSQGILLTVGEMIREQTIQKIAKICTVATHVSSLVEE EPEGIAWALSPIQQMFLEFHPEGLNHFNQGFLLKLRNTIPSDVIQSALEVVVDRHSML RARFQQNEHGKWTQVVSENGPTSFRFDEASVTSIGQVDEFVNAQHDSIDIVNGPVFAA GLLTDAQGVQFLSLVAHHLVIDLVSWRILWHEVESMVRKGESLAHRPTSFHSWCELQQ KESRSLSLDRVLPFPFNRPNLEYWGISAAENTHGGIKNYNIHLDVDTTNLLLGKSNQA FGTEVTDILVAALDYSFQQVFADRQPPPVHLEGHGREPLGQVEVDLSETVGWFTTMYP LQLPVAAAEQDMADWVRIAKDLRRRVPGKGRPYFNHLYGTPRDGQLFSEDDVPEVTLN FTGVYQQLEKSSGLFQLQGRSGESGRSLSSLPSAKRFCLVEVLVGVANGVMSISFAVH EKMRYQLQLEAWMTNFAQKLTLAATCLSERAPYFTPSDFPLLETTYKDLDTLIGQTLP EASVSIDRVQDIYPCTSLQNGILLSISKGTASYANYFVWECHAQSNSPILAEQLRTAW ISVAAHHPILSTVFVPRLNVGDFVQVLLQQQSPRVSVINSDARRPADVLKELERPVFT AGEPEHAFTICTARNGDVACRLDVTHALIDAASVQILMRDLSKAYDGMAASSNAPFSQ YIRHVTNANNAESLQFWTRLLANTQRCYFPSESAIGSPIHAKSQTRVSLPLSTTSGLH PFCQSKGITRSVFMQIVWAIVLSQYTGSRDVCFGYLASSRDIPINGIENTVGPLINVL ISRINLDGTAQHVVSDTFEQSAKQLTYRYTSLAEIQHELGLNGGPLFNTAMTVRESRQ AKGQYDGDLSFDEIDGADPDEFDVTVVVGIDGVSTDVSLVYRDGFLSPITAQGVAGMM CDAIEYILSINIQPNDNNIQDDLCLYDAFFFHRTGFHEDLGVEFWGKEFEGSEAPQFP SLPSPTYTPRLDDAVHHNIQGLDFRNLKFAATTIVVAAWSILAARYASSDQAIFGTVV AKQTTAHQRDQMGSRPTFSPTDTLGVPMFVKMDQAWRVSEFLAHVQKKEEDTEHFGQV GREWLRRISEELRRACQYQTLLVVLPEQSEGAHSILNIRGQRSEGHKAGKTVEQAEAE CSLIITCQLQEQGAELFISFDSTIIPREQMTRIIHQFQHILDQVLSLDHVQLHEISMA SSADLHEIWLRNATPPEPVSACVHDLIAKTVQKQPEAPAICAWDGGLTYQQLDALSTK LAQQLLQLGIPLESPICLCFEKSVWTSVAILGVMKAGGVSVNLDMSQPRSRLQSIVDQ VVPRLILSSRGNIDVARQLGPYKVLTLDKELETLANPSVELPLITSKSPLYIVFTSGS TGTPKGVVISHSNFSSAINHQQALGFRSTSRVYDFASYAFDVSWSNCLHTLAAGGCLC VPSDEDRKQNLLESMRNLEANYVDLTPTVAQFLSPLQVPQLKTLNLGGEALVPGTFDH WPEDIRIINAYGPAECTVVSTYAEVGRSKQDLGIGTVLGTVTWVVDPDTDRLAAIGTV GELWIEGPLVAQGYLNDPERTAQSFVQDPSWLLAGSAGFAGRSGRLYKTGDLVCYNVD GSLSYVGRKDTQVKINGQRVELGEIEHHIQRALSNVAPDFNGIVVTEVISPLGSHHPV LLAFIGQVYQNSRKDGHQHDKMDTGAIKSIAAGLQAQLSAVLPSHMLPTAYIPTEDMY MTATGKADRRRIRQTYSLLKLEQIIALGSATHERRQPQTSQERRLQSLWASVLGLDTN RIGLDDDFFRMGGDSIGAMKLVGQAREQGFSLTIVDIFTHPRLEQLAKLLVKKDEGHQ MSIPPFSLLGAAVDRDLAVQSAASLCRIEPDQIEDIFGCTPLQEGLLAMTALHSGHYT GQFVYELPSNVDLDRFARACEQVTVDASILRTRIVDLKGAGLVQVVIKDPAPCIRTDN LEEYLEADKKEPMGLGTALTKFALATNPNGPKVHFVWTIHHALYDGWSMPLLLKAIDQ TYRGDSCSLSTPFQRFIQYVASVDEQAGTNFWRQQLEGSDAPQFPLLPSKNYHPTADS RLDHEITWKEYGASEFTVSTLVRTAWAILAARHTDSDEAVFGTTLTGRQAAVEGVELI MGPTFATVPVRVSIDQTTSLHDLMLKVQNQAAQMIPFEQMGLQNIRKICNDTEWKGDF QTLLVVHPEKRDLDDASLFCQLQHPDKSNGGEKDSFATNALEIECFIKKSGVDLRITF DSAIVPRPQAKRLAQQFDHLLQQLRSDQNRQRSIESLNMVGKKDLLDIWNWNATIPAS VESSVPKLLAETFHQKPSKTAICAWDGEFTYGELDSLSTQLAHCLIDEFGIGPDILVP LLFEKSKWAPVAILGTIKAGGTCVPMDPSQAEDTLKRIVEQVNPKVILSSSSNELLAK QLCAGVQVVNIHDALQRTKKRNNANTAISPDNMLYVIFTSGSTGAPKGAVVNHRNFCS AMKHQLSPLGYTSEHRVYDFSSYAFDVAWSNLLYTLYAGGCVCIPSELDRKRDLTGSI QRLGATYLDLTPSVAELLSHSQVPSVTHVVFGGEALTEAAYSHWPEHVRLINVYGPSE CTINSTSTIINPNEKHQRGIGNGIGAATWIVASNGELAPIGAVGELWLEGPIVGAGYL SSPTETLAAFVENPSWLLQGDGSTRPGRTGRLYKTGDLVRYNPDGSLGFVGRKDTQVK IRGQRVELTAVEDYMYQLLRESSSGPPERRGVSKASDVALVAEVITAKDRNQPALVLF IYPGESFVKTERECFQEVGQIAAFLMKGLAESAPLYMLPSAFLPLQELPKLNSQKVNR RELRATCSSLTWDAIAARNALSKATHVRRVPKTKAEVQIQALWARILELDAVSISLDD NFLQLGGDSIKAMRLASAAREEGLLLHVADILRYPNLAEVANHAETDHGLDDKDFRPF SLLGSDSDVDNIKCNVLPLYKTTKIQDIFHCTPLQAGLFALSMQNEANYIARFIEELH PDVDTSRFINAWNRTTESSPILRTSFVQLPEGGIYQVVTEPQHCWQFGSDLDTYIEQD KVRTMGLTEPLSRTGLVQDCKSGKRFFVWTVHHALYDAWSLKLLFDMVQETYERESSS TLVPFQAFVKHVVMIDDASANEFWTRQFERLETQPFPSLPTSTYTPRIDQNLRYQVQG LSWSQNSFTASTNIRSAWSVLAAKRNAASSAVFGSLVSGRQAPVAGIDRIMAPTIATV PIHVTFDWDQTIRDLLKAVQGQAADMIAFEQTGLQRIRCISERAKMACEFQTLLVVQP ARLESDGSSLFVPKDEHLVDDDDETMTNFSTYALTIQCELEEQGMRILASFDSSVLEE LVVRRMLQQFEHILQQITAEKYQTTAVSDMSFESSQDLHDIMQWNETVPAPADTCIHD LITQMTRRQPEAPAVDAWDGQATYEGLDSLSNQLAQHLIDLGVGPEVTIPVCFEKSMW MPVTMLGIMKAGAAFVPVDVGQAEGRARLILESLQPKLVLTSKKYRDFARNQGYHTVA PEDVLSCGATEGMDTLGNVEVTPQSAAYIIFTSGSTGVPKGVVMEHGAACTSLLAHGA ELRLSPTTRFFQFASYAFDACIMETLTNLIHGGCICIPPEESKLERLAESINEMRANT LFATPSVARILQPDQVPSLSTLAIGGEYVSLTDTQRWMHLPRLLEVYGPTECAVLSVM QLLTGNSVPAQTIGRGVGCATWVVDPENHNSLTPIGAVGELLIEGNILARGYLHDELR TAAAFVENPSFLAEAGRRGRLYKTGDLVRYNPDGTLICVGRKDNQVKMNGQRVELGEI EYHVGQLLASPSAAHGSGIELAVEIIKAGDATQPMLVAFICPGKSALLPADECAVKVR EMTAGLADKLAKVIPLHMIPSVYIPLQSMPMMMTGKLDRKALRCKGSSFTRSELAAMS MQTDTPKRLPTSQMERDLAQIWARILNVNTEIGLDDNFFSLGGDSISAMQVSSAARSK GYHIPTRDFKSKNTIAKLCNSVVVQSEAARPLVPSKATHSGGHFSLSPIQRFHFETRP EGPDWADLPFYLRITNRIESGLMYDALHQLVERHPMLRARFSRSEAAGEWMQYVCEST KDSLLFKRFDETSETQKAALIAECRASIDIENGPSFVAALFEDHQEQTLFLTTHHLVI DFVSWRLIFQDLEDFLTRGEFITLPITSFEEWCRLQKDYAAKTLEPTDALNHVPYPQP ADYWGTEDAKPGKESYTRKQFYLDDATSSAVLGSRSADLQARPHELMMGALSYSFSRV FQDRPLPRIFTESHGREAWEDSIDLSQTVGWFTTVFPVQIHVGPEDSVVDFVSKTRDS LRNTPRQGWSYFASKYLNENGRKAFSQDHMEINFNFLGQFQQLERESSLLESVNLPDN CKPVGMAPIDTVGTIDVVIFIERGQVHADFKYNSRVRYRDRIEAWIQMFKETLVKMAK TV PFICI_11787 MQLQQCNDDGFGPVVRGCGRDFDFTLLFENTILSILPSALVLVV ALGRTWHLYRQKKLISARMLQVVKLLTLAIYTGLQAAVLGVWSTQRHAPWSSSSIAAA GLSLSSAIALCVLSYLEHGRNVRPSSVLLLYLLFSALFDAVRARTSWLLSPGSALAGI SIASVVSKAVVLVMELHEKSDYIVAPAEAYGPESTSSVLNRSIFFWLNPLVWNGSRTV LRPGNLDGLTPEMTSTSLGPRFLDAWAGASERRQSYATMRAILTTLWWPILGTLVPRI LLLAFTICQPVLLQALIRYLGEPSTPESESIGKGLIGAYALVYIGIAVTTGAYWYHHY RVLIMIRGCLVSAVSWQTLKVNTHALADQKSAVTLMSTDVERIIFGLRSFHEFWAIVI QVGVLAYFLQRQLGVALVVPIVVTVLSAVASVAVSRSSHARQTAWMEASQSRIGATSN ILSSIKAIKMRGLTDVVSSIIQQLRVKELELAAKFRILLVWTVGLGYIPQFLSPVLTF LVFVLQARATHLVFDAPRAFTSLSLLLLIAQSLSQTLLDLPQLVAAFGSSSRIDEFLS AQHQRDFREFDQLSEKAVALSRFDKVPKSTDTAKVNPLKERVPEPASVGDKDKDIIRI NHGYYGWGTIDVLQDINIAIPRGQLTLVVGPVACGKTTLCHALVGETPTAKGRLQVLG DSKQIAFCSQTPYLVNGSIRDNIVGFSNFTQPWYDTVIAACALSQDIGKMPSRDNTVV GSDGINLSGGQRQKVALARALYARIPMIVLDDILSGLDSKGANHVFWNVMGPEGVARQ HNVTIIFATHAIEFLPFCDHIVALDSSGRVAHEGDYQSLRSQEGFSMYVSTAQDRGIK NADGQSGGDAEASHDAAAEPSTIDEVIQNNMGGDFTVYSYYIMAVGNWVSSILLLLVV LYAAAYNVPSYWINIWSSAADPGNLKYWGVYSALQLLALLLLFAAAYHLFIVIINRAG SSLHAHLLKAVMEAPLSFFSSTDVGVTINRFSQDLQLVDNELSLSMLTLLLTVFLSLG QVILIIVSSPWVGVAFIIIIPVFYALQNFYLRTSRQLRSLELEAKSPLYTSFLETLSG LSTLRSFGWASETLDKNLKLLDSSQEPLYLLYMIQRWLTFVLDTIVALIAIIVVALSV ALKSSGGLAGVALTQVMSLSMMLTTIVLQWTIVETSIGSVGRIKAFVESTPSENKDGE DLEPPPAWPTKGSIQLDNVSAFYETNPDSLVLKNISITVEGGQKMGICGRSGSGKSSL LLLLSRMLEMKEGSIIIDDLDISTLPRKTLRARLNIVPQEPVFVTGTVRLNLDPSGEF SDTEILDALKRVHLLDVMDAKGGLAAEMDSGILSHGQRQLFCLAGAILRRANIVLLDE ITSNVDQATDAIMQTIVREQFRDCTVIAIAHRLSTIANFDQVVVLDHVVVREVGNPQQ LLREDSRFKEMWVENEAL PFICI_11788 MAPGDHQQHLRNVAEQAERDLNSYRAKTGAGKFSSSDDAGIDSR VENRFPGAQVQYDTELSTNRGYNKRIPPEEGGDLDARGRQTRGEHFEGTGGPEHKLAQ QQRDFGGENTFDTSGRRQPQASDSYETGNRDVMSEGKQFAQENLQSEGQPRTKGKFPG SEYYTPETVPGSISSAGYEAPESVTQASRESENY PFICI_11789 MDPVSLILGITPLCISAIKGIKAAKATLKTLRHSKSELKRIRKK FTKETHVFLDECHLLLQEIVDPADVVFMIEEPDNPLWSSAQLDEQIKTYLGRRHDDFK DVMQDIHDIIMSLDKELSLVSFETTETTTTQRIKGAIDVTQHKSKWLAKIENINELNQ DLKRLRKTAKQIQQAKPRIQKHETRQIPTYYEHLSPYTRSFYQALTQNWSCSQSRHVY HDLALFLGQAGETVDFLMRNRSVSGFKTTTDTVKLNVMSQKIADRLQAPLTPCSSVAS LSSCDAPRPSKRLRVKDVDEVSQASTLTANTITIIEPSLTCQCAPLDLSQAEDVCGTI SQKTNTLTLPTASVLYIDDETGFRHVLGHGTDTWPSVTLSNQSSFPLHTVLQQSIANN LSIPDQLRLALALARGMLLFNPSPWWQSYWSLENIYYFPSNNDDTDLATSLQTLHLSA QINSTTAVRDESYMHEKLSPAEPQDGSDEAQLLYGIRNLALYSLGVALLQIDRWSSAM SADDVVAIRRLARQTSRMGPRFQEVVRKCIDCDFGQGGDLEKPTLRNAVYGSVICEIE DLVARICEK PFICI_11790 MHSAQAGVDIHQMQHMDTGPEIQQWQNTVLDSHDAPSEKSPLAS LFAACSELFTLLIQSLSQETPRSRLCELQRSHDRLVLWADAYGIEQGDFEGKLKLSQR IGDFTLRTLKNKALLTLAGLLPLVDQHGARAAKISLKAADLSIMAEKLSVLIQGDDYS EYSSSDESTDSGTKDDDDTTAHSEKLREVTKDLTTDTEFLLDLGARFDEQVVNPLVNE EAVDPQTVDGWDPSEHFAERIRRLYPSCDNDLAKRLGKANWERVLKFQESKERNAPES VTLATAMSIFVDPTHLLHEPKDTTSLFNDSGLGTSVQTSNHQARSRYAASLTTQGGWN NNVLSIPKLSTRAKLGNEFSCIACGERLKIQDEAKWK PFICI_11791 MALPTDQSTETQPPSTSAPAHQPPSPSQQLPMSAASQVEVVSST ESKVENLSTIKPTPSAASSTKDSKPVARVLYDFAGREPNELSVKAGEIIEIVQKEVNG WWLARSVQGQAWVPSTYVDEVVPEAPALARQQDPQPLISVNPSLVKTKRKKNSRRVSQ NHKQQDVQHGTSDDAFDSRDSDTFEIEESMIDYSDEEQQDRVGSVRSDAQASPAPSQL LHHVPTQQTQPYEQPQLDIGRPTSVTAGSVPQLGYQQPLHVPGHREMLEMHEARRQQR LRLRQQQAQAQAQAQAQAQAQARTQALRMGQGDSSQASQSDASSLTSATRDGMQQSVH DHMAPGMAHNPSQSSPTITKDMTNYLAPELSPEGSAMNQESEQPHISAEKDPNSDNES FLEEQQLPTSKARSKTRSDFPSRAWLASIGLHGFEFSDSVTYPTPADAPKAGDSINGF AMGPEFAISDSLLESLSEMPPPPSPKSVSVHGYQPSSGPIMLSSKHDLLASDEPDKRS KGKEVEGFLETSTPSSSLTARSSAVSGVNSQRRGEPLPPFMVDNARDPIAVKRARNTL AARKSRERRAQRLDDLEQKIATLEAERNHWKEAFLAMGQDKSNEDTTGASVNDKHE PFICI_11792 MPPPSTTPALPRNGSASTAHSKHIKPSTDLFSSKRRPSESQPTG HDLKRPKTTPPPGQSATHKMSKVQGKRPVNADLIDLTKPRGASAFQPSQGAKKLVIKN LRTVSRTHELEQYYAKVWEDLDAALQSVFARQQPRKPLDTLYKGVESLCQHLRKKNID NKTHNENKLYEFLRQRCENYLNVEVVKSIHGGAGANPVEVLKSVLKYWVIWCQQLASI RSIFSYLDRTFLLNSKDHPMINDMGIQLYRRMLFVSSKLGSTALTGIIDLVDRDRRGN PSFEASLLRESISMLHVLNIYGKSFEPRFLESSRNYFEEFAEIQSALSLKSYITACKR LLAAEDHRCIAYNFDSLTKRQLMDSAHSTLIEGYSEKLLDTGSVSKLLDENAVDSMQA LYELLRLSSIQKKLRTPWEEYIRTAGAVIVNDTERGDEMVVRLLEFRRALDIMVRDAF NQNDDFTYGLREAFGHFINDRKILSSWKTGTSKVGEMIAKYIDMLLRGGLKTIPQSLL SDNKDRMVAEQSGLASTGDEDAELDRQLDQALELFRFIEGKDVFEAFYKQDLARRLLM NRSASADAERSMLTKLKSECGSSFTHNLEQMFKDKELARDEMTAYKSYQENTGNDKAP VDLNVDILSAAAWPTYGEVSLNLPANVAAQIEQFEKFYHSKHTGRRLTWKHNLAHCVV KAKFNKGSKELLVSAFQAVVLDLFNQIEGKGEEFLTFDQIAKASGLGDGDLRRTLQSL ACGKSRVLSKLPKGKDVQTTDTFKVNKAFTDTRYRVKINQIQLKETKEENEATHQKVA VDRQFETQAAIVRIMKSRKTMTHANLVAEVINQTKSRGAVDTTEIKKNIEKLIEKDYL ERDGNSYEYLA PFICI_11793 MTNVVHGLGINPAMAIPTVTATIEARALDVAPLMLMDLLASSHS SRRASEGGPLRNQVAWHGSQPTNHLFDVVGDLRGDSRTSSSRPRADNMIMSPSSGSQS VRHKKMGTKYSRSQDYGDVRGRSR PFICI_11794 MSSSVRKRVIACVDGTWFNEDGQEDRGQGNNSNVFRVESSIQQG MVTDVDGRQIEQITRYYPGIGVGVSPFEKINEGILGRSCEKLIDEVYDFCVDNIETSN DEVWLFGFSRGAFIVRAVAKLLCNGVIPRSKGPQIKSVFKKLGSDPGSHNSGQRQNIV RNHGKPFPRIRFLGLFDTVKRALGLKDFPIVDENRIETVRHVMALNEHRLARYPVKAK DDTQYIDPSRSIIEAWFIGSHQDIGGGALHDGLSLYPLQWILHEARANGLVLGHGPKE PHDIISDPLQLVLPGQFPSVPVSGPLNNKGAPWRFRYSNQLEVSMYDIRAVHGVVDLK TQKQKLTKRSAPPSLATHNVRLNAGALDSLKLGDRGVFGERLGKLNGYNPDSRSGTIV HPSVYLLVESYCTPHLASSLEGLQHHLESFREEAMLRALAPDGIALLDVYPWIRDFNP TMATPTCRILICGGAGVGKSTILNRVFGMSLTGISSMERGAHDVENGYQDAQHPGVII HDSEGFQQGGKQEVKALTKFLERRSGSVDMREKLQAIWLCIPADDERPVQEGMANVLK EVARITPATPVIVVCTKKDKLLMSKTNRFSYDDIDAICRNDLLPDDKLLRREREILER RQERIELAITKDILTKDAWHLLQNKRFQCVLGGEVPSDSSENAQYDARSITELIQKTA ELIEDGLAADGMIAAQIQDLDIKIDRAVEKTLQFLRKAMFTSTSAGVLVVANAVGTPT IARLLCSEIVTGCYGIPKHMAAKAEGLLARIVGKNSALFIGQSIVQNVALLGVGSIFL EAATAARVVLKCACDLILILDRAFRLEGRAKFVGYDKISAVALSYVTRGRGKEKSRRS QVHAAINNLIPLLSTRLVASHFGASILKYRLEIKDILIKYRLEEGDYSTPRYSVSEYE DKLSLMASIEEDQEDEKELSKGL PFICI_11795 MATGEDAPRDGTAAAVSGNDASETRPVQQDTAAAQVKDALAVLF AFRLVNALCVRTYFQPDEYFQALEPAWQLVFGPDSGAWMTWEWEYRLRSSLHPGIFAL GYGAVANYLSTISLPGHYRAFALLAAPKVVQAGLAALTDWFAWRLAEKLFGRNTATAW SVLLMSVANPWQWYCSTRTFSNCLEATLTIAALHYFPWDLLGLVKSGKDEAQAAEVFQ KSGSVNSLRLSIVFAAFAILLRPTNALIWAAIGTLAMTRLTMDGDSPFTFKTGLVLIR ESLLCGSFALGLSLLADAQYFGEWTFPPLNWLNFNIAQSLAIFYGQNDWHYYLSQGIT LSCTTVSPFAVMGLLSFRENATASLAAKNAFKALAFAVLITILTLSFIVHKEVRFIYP LLPILHILAGPYISSFFIVPQADGIPTSRGAVGIQRKPLVVVGLLVNIVIAAYLSYFH SAGPILVMDQLRADFQRIHPKNLTMPMPFVYANETAEPLELFALFLTPCHATPWRSHL VYPALRARALTCDPPLHTAPGSAEREAYVPEDRRFDDDKVGFLANELWPVNGKKQELP RYIVGYEGIEPALQEYFGANGPGSDKGVVLKQTWSAWNGLFTDDDRKSGELRIWDTGL YSEA PFICI_11796 MAPHANDVTENGSSASSRYHASSTKGAIEAEHKFAAHNYHPLPI VFSRASGVDVWDPEGKHYLDFLSAYSAVNQGHCHPELVKALTEQAGRLTLSSRAFHND VFPKWAEKVQQMFGYDMVLPMNTGAEAVETAIKIARKWAYKVKGVPQGKALIFGAEEN FHGRTMTAVTLSTDPESKDNYGPYVPNIGAVNPSTGKAIRYNNVEDLEALLEAHGKET AAFIVEPIQGEAGVVVPDEDYLVKVSELCKKHNVLFICDEIQTGIGRTGRMLCSQWAG IKPDLVTLGKAISGGLYPVSAVLGSKEIMLVVEPGTHGSTYGGNPLGCAVSIRALEIM EEEQLTEKAEKLGNIFRQSLEAFNSPMIKLVRGKGLLNAVVINEDQTNGHSAWDLCLL LKEKGVLAKPTHGNIIRFAPPLVISETQLRKAINIIGDALKELPSLKQHEYVHVGIEN PFICI_11797 MADRHSSSSSRRTRTGDGAVGQFIIGSEIGKGSFAQVYLGRHQT SGAAVAIKSVDLGRLNKKLKDNLYSEINILKKLRHPHIVALHDCLESTTHINLIMEYC ELGDLSLFIKKREKLLTHPATCDMARKYPSVPNAGLNEVVIRHFLKQLASALQFLRAS NLVHRDVKPQNLLLLPSVQYREGNGEARPVLSASRDSLIPVVGLESLPMLKLADFGFA RVLPATSMAETLCGSPLYMAPEILRYERYNAKADLWSVGTVLYEMVSGRPPFRASNHV ELLRKIELANDQIRFSRDCQVSSELKTLIRGLLKFKATARISFENFFNDPVVIEEIPG LVEDDLPKIERSLSKKDTRIASKTDEPRSTPRRMSFRRQFRTESDTTQEVGPSSPRER PLRSSPLASPAEFPGDEPAQPASRIARSPREDIAPGLGIRRPQPVPSTSAPVQPTLLS ERRRRGPSDASLNRYIREEPSPSSSAPKESSSKGKARGADQGDDDAQDVAFMREYVVV EKKHVEVNAFADQLAAQGAQAQTMSPKAGQIVRRATQQGVPTSTTGAIPAERSRALQI AQGNRPDPNRRGSYDKALSASPKSTTSVISKAIQDASLRLLGFKYPAHLLGKGASPPH LYSPFPAYPAPNAPPGLLTDGRQSGVIDEDSRVAQCIEDCATRSDVVWGFAEVKFKQL VPLAPSQDHGLGGAPADQLASEDEDGLTTEAVVVLSEEALVLYVKALSLLAKSMDIAS VWWAKKARTDPMVVRDTLAAQNLVMRVNSAVQWVRKRFNETLEKAELVRLKLLEAQKQ LPEDHPSHPQNNQQELAAIAGASGAEGIVLPAGLSAEKLMYDRALEMSRAAAINEIAN EDLPGCELSYNTAIRMLEAVLDNDEDVTRKRSQGSTNDQEDTLDMNQDDQQAVYKMIQ MIRGRLAALQKKMQMIANASKAQQKALPRSRSGDITPRSAPQH PFICI_11798 MTSAAAPSKQQPAPTDPPQHLAAQPSSAVPQSPPTKRDLKSWWK RFQVQSKHQEAHEPRPVASSSSVADQIVTESRPQGIFGVPLRQSITYANVAISLVDDD GKSYIYGYVPIVVAKCGVFLKEKATSIEGIFRLSGSEKRIKELKQAFDSPDRYGKGLV WDGYTVHDAANVLRRYLNDLPEPVVPLDLYEKFRQPLKGHTKQAVGDTEGTQLADNFN EAEAIEKYQELIKILPPLNRQLLLYILDLLAVFAAKADENRMTAQNLAAIFQPGMLSH PNHAMAPEEYRLNQCVIIFLIENQDHFLIGMQGTAADEKTKQDIEKGTPVIVAPVTPT KSRSAGPVRTASNASAGAESVSRDGKIRRNRSVSSRHSRQSNGASTPGSPALATTPIT SSGGGGLGRSNTVPSKKSPHIPSGRFQRQDGSSAAAATSTSPSPGTSKTTPPPTVEET PVQSTGELLSVAQASGPESSLGPGSVAAQKSNEKLLDPSSAATSEATTPAKERIIQNL FNLSPPDGDKRQPNKLRKKRIPGSANPSAQSSTASLTHSGAISPAHESNNPFDKSTRI EPTVPEDVPAETTSESTPTTAAAPFAGTLPTTQTEPTRTLKPKPSPPTSLHSSFNEGS DLEQIDDNASNHGLEQDKERKRRWRLSRKKEDMPGAQHFHHHNLGVNNHAEGSTSTIG SGGRVRKSWTGESSDVAATLAETESARERDEARGPLGWIKNKYREAKETADQKRAKSP PEDQGIHGFLPRRGKSIDMKRSNEDKPAETNSEPHNVPLPMSPPPGRTTHEEVPKAS PFICI_11799 MQNANIISADVSGDDNAEPVGDLVYDELGVVCPPHTTERHMVWR IDTRLMPFVIIMYLLAYLDRINIANAKTFHLADDLHLTGTQYNTGMWYKRSEAQKRYS LFFSSTSLAGAFGGLLASAIGKMDGVRGYHGWRWIFILEGILTVVVAFIFFFSFPSFP EQAPWLREDEREYVRARLQADQGYSAAERKVGFRDVVKVMKEYRVWLGGLMYFGLIVP AYGYAFFAPAIIKTYHYDAIQTQLRSVPPWAASFVFSVVIATFSDWFRHRALFAILPL CLSITGFGILLSVHDNLHLEYAALFLVVMGTYGAMPIIVCWFNMNLGGHHRRSIGVAW QVGFGNIGGIIATYVFVDADAPYYVKGYAITIAFTALSALASVAYLISLLIENKRRAK SVHDVGLSEYEKRELGDLNPEFKYMY PFICI_11800 MAFDTASDPPSKGIADERGANLVAEPGIPRGRAAWFGGPNVRIG PRIAPIVAPIGSETAATSSSDLSNAEDIMLKQKSDEENCAIQYRTCSWQKTAALLFSE YICLAIMSFPWSYSVLGLVPGLILTVVQALLVLYTSIILWEFCLRHPEVRDVCDIGQM LFWNQKWAWWFTAVMFVLNNTFIQGLHVLVGAKYINTMIGSGDPGVSCQTVSFGIIVA LISWLCSLPRTFDMLSKLGTASAFFTFISVILATIFAAIQAHPATYTEELGNPIVTAI PIAGTTFVSGLNAFLNISYTFIGQITLPSFIAEMKEPRDFPKALWACTILEILVFSIV GAVVYAYVGNQYMTAPAFGSLEPLFKKISFSFMIPTLIFLGVLYASVSARFIFFRIFQ NSRHKNEHTVVGWVSWSGILCKYPVATWILAFIIANVIPFFSSLLSLMSSLFDSFFGF IFWGVAYFRMRRADGSVAITGDRSFRGWFEGALNIIIIITGFFFLTAGTYASVEGILL EFEAGTVGSVFQCTSNGI PFICI_11801 MKDGKFTHGNTVAPTETRVFPLLSLKGRTAIVCGGGSGIGFAVV EAYAEAGANVAIWYHSNNEAPKKADAIEREYGVKCRAYKIDVTMADDVETAVNEQIKE FNGRLDIFVANSGIPWTKGPVIEGPLEHYRDVMSINPDGVFYCARAYGKVWKRQMCTG TDVFGNKLHNYSYGSFIATASMSGHIVNIPSVQAAYNASKAAVSHLCKSLAVEWCQYA RANSVSPGYIETEISTFASAETKNTWKDKIPMGREGQPHEMKGVYLFLASDASSYTSG SDLIIDGAYTCV PFICI_11802 MGRKRTACTACAAIKAACNKGRPCSRCGRLSLSCQYVQGDTIVY TGPEAGPQGAEAILRRSKAACLNCRRQRKKCDEQRPKCGNCSRLQLRCVLPPQQQRPS PSSELAVSAPAEDGHKRRLHFALFADWIGLVEYEAEPAPLTSSTSVASVSPALVDLPI PSRAEHAAYATFLPSTALNNLTGVKPGSLVSCDIGERHLWNHFILSVARVLVNSRDDA RNPFLAVAVPMALDSFLVRHALLALSACHLGRVYSSFRHDLQKHRRTALAVLKEEMMA LSQDCDLEEILMGTMLLLLTEICDSTSKKWLLYLRGAHTLLAMLPTTVHESRGSHMLL TKLYNHVCCIATITSNNVPDAIEFQSDLLRSELDGQDCIFGVHGLHRLLPCIRKMKFD LDHEPSLATDGVFQAHICKIELEIQSWAPHDAVNDGLRSQDIRAAAFCTQWALILYLN QTLRRLKSNDVQISKAADTIISALSLIRLSSEVEAHLLFPVFMAGVGSVTKANRLTVD YRLRGMAKTVGFGNINVAHGLLESIWQLANQGEWTDWDELMQAECPILVLF PFICI_11803 MAVGASTASIIRTDDSHILETSSLVGQDITMQSPFPDILSEDYP DFRAEISKNRFAVIKNAVPRERAIQYEAKAHAWLNSFEAGLDLDDPSTWLAENLPLAN SIGSYAHYCVAHEKFVWEARQEPGVLDAFSKLWETDRLLVSFDSLNVTLPNRRDTPRR EPWEHIDQSPLRRGVHCVQGIINLSPSGPEDGGLVVFPGSHKLNDEFFDAKSRTDKES WQPLKDVYLFGADELKWFASRGVVAHKVCAEPGDLIMWDSRTIHYGAEPTERSSQIRT VIYATYSPAALASPDQLKLKREAFQSYSGTSHWPHMYIVPRSNIPLFPDRTVDPRGRN EPLEKPELTDKLLKLGGMKDY PFICI_11804 MPQYTEWFQLTTTTTALNTCASYIGWGVAALFMGPAVEAVGRKG GVVMSVILKLIGIALMAGAHNVLMFVIGRIILGWGTGTAAIGASTWLAETLPSKIRGL GLSITYSVYFVGALMASGVCIGTEYIDDEWSWRIPCLLQSIFSIGCVCVLWFTPESPR WLAYHGRVDESLKVLASIYADGDASNTQVLQEHKAILDQLNWERNKGKKLTYSEIFRT RNAYRRVALAMSVAIIGMMSGNNIVSYYLGDMLNGAGIYDDTTQLQINVILNAWSLVC SLIGTYSMDKAGRKTLCLIACIGMTISLFLVGALTKLYGTGENLSGAYANVAMIFVFQ GFYSVGITPITQLYPPEVMNYSIRTNGMALWAFCVTATGLFTTFVFPFGFAAIGWIMY MINAAYDVLQIIYVALYWVETKGLTLEEIDNIMDRIKKPAVAVIDGIPGDAGSQLRSS KMNMGAGVKEMGKLEE PFICI_11805 MSFSAIWTQFFPPRSGAPLTEDNLPSQAGKVFIVTGGSSGLGYE ISRILYGAGGKVYILTRSEEHAKEAIAKIEAHYADAGNTSAGSLVFVNMDLMDFATVR AAAHKFLELEGPNGRLDILFNNAGTGALKNAPSSRQGLEYHFVVNSLGPYLLTRLLTP ILTKTAQSCPKDSVRVVWPASILVEMGSPKEGIQKKFLEDTATVKDENELYTTSKTAN WFLASELARRQAKGGGVVHIAGNPGQYNTNIWRHTPSILYYVLWPILRDPIHGANTYL WMGFSEAVTMEDSVTGRYAICEGRWHPGQRKDLILALVSAKEGGSNRASEYFDWCEKH IQDFVGKDETRLASSL PFICI_11806 MRLYVGRPRHLGIGRFIQSMRAKLNDWFDSLPAEIKLDTALLQT YLPAICSIEPARFFSTAYSSQTFSLPPLPPPSTSCTRPREPVPQRQRRHRLLGLYSKS FVLQNMTYIMTWSIYSAANINEVDFLGNDTDITAPGARLSMSMYVLEQCIPQMPGTKR SIEILKYHHLPRPRSIASTKHGISRSFPESSSVADVDEDGARKLRRPRSTSIQVSTTT AQQRESLLEDAQMCLSSIIDSAPSTDSESLLRSMSSSHAQQTEVDISNAAAPLALLPS YSGQKISFGSEEDQIQSWIRVDTAPLPTEECPAGSSKAAQPQTYPETDDWGTLYSLLT VQDVPDVDTMFAANGLEQTDLSQGVMAVPSFVESEVTGHQIDPWAAFFDENME PFICI_11807 MAKGGLTRTRVQMVAVTSIATILGGMWIAASRGEPQCRAFPGSD DWPSSADWDAFNKTVGGKLIATVPVASPCHDTFPGVEHDAEECARVQDNWARPAFHDE TTHSTMAAFWANNSCDPFTAVDAQCIVGAYVSYVVNASCASDYQETIKFARDNNLRLV IRNTGHDYMGKSTGAGAVAIWTHHIKDKSILDYHSAVYTGKAMKVGAGVQAAEAQSLA KSSGYVMVEGDCPTVGIAGGYTQGGGTSPLGSRFGMAADQVLEWEVVTAQGELLTATP QDNSDLYWALSGGGGGTYGVVLSMTVKLHPDAPTAGATLSFIDSSDVYWDIVQTFLQN LPTVLASGVTIYWQALPGNMFFMPQSYFPGGTAQELQSLLQPTLKALNDSGIPYAWST QDYPAFQDAFLTLNPDMNISEVNLGGRLIPRSLVATHESASSLVDAIKSITNNGGVLA GVSMDVSQPRTVPNSVHPLWRETLFLAFLGTLYNRYNFTANIVDQQFVTTVLNPALEN ITAGGGAYLNEADINQPAWQTTFYGSNYAKLLSIKNKYDPDHIFWGPTAVGSEVWEPL NDGRLCRTNT PFICI_11808 MVADAVPSITNGQEQSVLDACSSGDIVALQKLFNIHGIEPGSKP IITQCLYGTWSRVESRSIPSCMIPTTMELLENAVAAKQLAMVEFIFETYPLFDLSEGQ CVASAVMEHPDAAILKRICDHQRAFASISMDDHLHTFFTRACEKPPDHIAPVLNILLD YDADISDGWGGLGALWAAISRGHSVEVIEKVLKCHLSQHVPIRSSHAETAIGRGDEDI VALIFSNDQMEFKANQSARYVEQADKTGDKAITAIVQQWVNKKSKQHLSAPRPWLTRT WQKLLRSGP PFICI_11809 MAVLTLKNLPPEIISTVCSHLPNRDIKNLRLTNRGVCSTARLRL DRVFLSPNPCNIDVLKAVADHPVFSKAIAEIIWDDARLVNGPQGGDSNDEDNEWDDGS EPEDDPPEGCPAWYARACRDNNEWIEIRVGDDIPRPSQVSRMRQVEEQMPLNLAWEYY EQLLLQQEEILQSEADIKALHYGLERFPSLKRITITPAVHGLLYEPAYETPMIRSSPY GFNYPIPRTWPLGDYGGPVGGDPFELPPWNETDQSPYRGFNCVLRELATNEHHVSEFV IDVCTLRTGINYRIFDDPSCVQYQQLTKLLRRPGFRRLDLHLNADGDYWRNWTCLRDD HLHRALSEAKDLRHFTFDAFHDDMQYDQCVDRGTPESRAVFTPLRTFLPLDRWERLQH LGLSNLLVRQDDLLSAVNELPSTLTSLELSFLTFLDGGSYHGFFEDLRQSSSWRARSP RPKIITNHRKDETYRYFHVEDQAYEFLYNEGENPFSVPPSYRWTPLQLDGGILRDPFD PEHDRPYTHDANLMRLGIIKGQDSMIETYEWLQRRKQEGKIMQILADTGAKAYTDTDA AVKYLTYGNQSWVSYDDAETFAVKIEYAE PFICI_11810 MEVAGLVLGAIPILIEGVRTYSELYSEWDKSKHLLAKRRRELSI EHIKLQQTLDHLGPELAMDTIMVEPGSEEHETIIGTLKDMGDSIHKLREQLDINEQGL PKSNRRAKDQWKRIKRSFKKKETIDLFDEIHRYNDNLRVYFEARREISSDKTTVQVSV QQTRHISKICQQLRKDLCTLHDALNRVRNDTCANLHPSIINLAWHQQNFNLSGNITLS FPDRDTCHQSSWSWQGVKAEIERKEPIKKMNINRSAMATNLESPMRAVRLNTPVDDIM EPSTASASTTDSTPQLSEQILTLCSIPQETLSNGFLSSSSVDGEARIKFEKSLPPGKS MDTLPWRTLLTNASDSSLQQRLVRKRNMSRKDGLAIAAAATWSVLLLCGTPWLEETRV GAKDITLLADSASGKPDDSGFTGASPAVQFDFNSPSPPGTAGQEPPERGPEKPHSMIR HRALFALAILLLEIGLDRPIATLEDDDTSLLKSYEIADGVVDELYDEMGDAYTEAVKR CLEFNFEGRKSLKHFGNESLRQQFFHGVVAPVQERYSQEQTRHRVLGDIKEAKLH PFICI_11811 MSSEVSASADEQTSDADEVPNGVEFQDIPSLYNRLHVNFRDVLI ALNGNPMAVDSAALEVAHEEFTRLKIWGVQSRASVSGIHGLLDLEEQPEIGNLLIDVL KEANDCLLRILPRAATCDAASLGEVIIHYIY PFICI_11812 MAFENINELYRLKTLLQKPRLKGRYLHSRRSYRNLPGYQQDYQH VRQKINFWRTKPSGDPDEEEAADDHETQQSAEEAPDDPGISMDELMERQNAEDASLHD MDDLCRRLAAANSKRRKQLWYWESHPYLDDAEKLLDMEPPKPFRKTDPIGLNTTDADS FKPPTTAHTFSTAARSAIFPESRDRNNQEEAGTVYEPSVLGGSGRSKLRIPDAPLAAE GENTIECPYCHISLSTDKISNRVGWKRHVFRDLRPYVCTIGGCSDPNRQFVTRYDWTY HESQVHHRQWDCSDCDQAFEDKEAFILHMSDEHIGRWTTRQISLLGELRERGKDDTEW FSCRLCLTSLQKQSYFRHVAAHLEEISLFALPKLVSDGEEQAQSNEANENNAARSLEY DLSSKESEGMSDFNEEEQVELVRALTERLGREHPETLDSIDRLASIYYDQKRWRDSEQ LEAELWKSRKESLGFNDQLTLKNMSNVATVLANQDRHEEAEELRVELLKLRKEVLGQD HPDTIRSMADLGSTFSHQDRFEEAKELQVKAMEISKEALGFKHPQTLHIMHLLSSTLA NQERYDEAEEMQLELMELYKEVLEPDHEDALRNMANLALVWRSKGRYVEAMDLLQEST ERLRTTRGADDIYLHYLNILNSWIQAQKDGDTAGV PFICI_11813 MYASQTATPRQNAAPGPRNQAKNVRSMSAAANQDSAVRPSTDLG CQSNCPQPPSTGLSNGNVTSIVIGYYESWAPYHQGNCPKRPPSWIKTDSISHLNVAFG YIQPETYEIAPDLSSDEIMDQIIHLKEDAPGLKIYISLGGWTYSDNDTDTQAVWGDLA STPIKRQKFISQLANFMLHFGFDGVDLDWEYPGAPDRGGKDRDVDNFVALVKDIRTYW DAQSMGWGLTFTAPTSYWYMRWFDIENLVKHVDWINLMTYDLHGSWDSPEDQIGSYVY AHTNLSEIQDALNLLWRNNVPANKVNLGLGFYGRSYTLEDPSCTLPGCAFSSGGRAGA CTGESGILSYNEISQIADYKKVKPVRDDKAAAMYFAFDQDQWVSYDNAATIKEKVDFA DKQGLLGLFIWALDLDNDNYDALAAVLDTRGGLGTFGPQNGKGPANDTKWQPSVGGCY LGECAKDPSCGGGYQSVGDKVRCDDENEHRWVCCPRGNAPDASTCEWKASLASWAGAA SPPCTNHCDSDQVTIAKSKWFIEKENDQGDKKCSSGFAVYCCKAKTDAKESCGMMSDE CISIGDDGKPKGDDPCSKVGRKFVTYSQDTCAEGSWRPWCCDDSFDKGSECKWQGPAG DEWPSEDCENARHCSSGQVLIGVSKKGGGSDCAHKYYAKPPANPNWGIGHPMMMERAL CCPAGETTFEKKAPVPLAWLFPDKVPDSDVQNWDLEIEADASENEDPNENGFGWVIMT GPDKDITSLDKRDGSHWELFDCPDRETMDEGGRYTVRAVCSDDTEDSNCDRIFLGQVE RTVVEMPPTCGIGRHAMAVSMEPAEEQGLPHYLVKRLVKRGSNLSQPPRVYDFVFDYD FSVLHGRSDSDVQIRVDYSDDPHYWSAFVNHDPNVPPVKRDNVRRSMEAEVERDFGGS WVRYTNHRYRKERRETPEHEMEHFNKRYHGGSAATWRNAMAILNNEEFEQEITSPVHH VKETFPFYLFNENLQCTLGGIPFDAYFTVWADLHVDIQTSAQLTLIGRLNDLSSFKES HVLFRNRGSVQAGLHMQALAQLRFSTGPLELFGAANFGAAFKVPGIVTIGPNLRVLGE LTGTFTVHTQAHYELQVSDWDFTQRYPNDGNDVESGLTGGSHIGDSPGPGAQPGKNDT SFRADVAASGDLTLKITPLVEFGIRWDPKFKVVPTAVSLQLYTYATLYGRAGVGTDTA PYACYGAVCGVDLFAKLEGPYVFRYTHWD PFICI_11814 MVPLVCLRALALFMACVVVLGKNFGPPQRRQDAARQKIKGPRNK SPVVKATGDISFGSEGHKQPLQRRTTVPEDHVFFDNYQANFSWSDPAVRAGLGIRGPV GDSSHLDHYGALFKRTPGSFPGSDASNPAFRAASCLGCLKRQNGEPVKSTIAELSTTY LESKLLKRGPELLGKCVFYTSVPGWLEDPQEKFDRRLLGGNEHPGLSKIATDWACGKG YYTIWNLFSGKNSVTGSNQQADDPTQYNFWEVYVKGSWLNFLEINGEQFQYFENMSEA MASQCGGVVYVMTMRPQRLFKYQFIWGTKEWPRLKARKDAGGPLAPTMLVSIDAAKPT DQYEIDFDTQTVIRKLSKRDANYLPVDELPGPHKRDTCSSNVNYERPDDDWFG PFICI_11815 MESSSPQAMCYRQAALAVLKGDLSTRDALQACLAHLGHDGALHL DDNELGDRILGELFWKGDFGPVAACIKLCESAPLPDIVHGKVHFLDDQATANQSQVDQ LLSIFLAATSESARLEDLQSLPGSEIMGSALFRQALIITAISINNLNLAKELTYDATL PLPPDEQQCVFKMHISPFSTRHRVPECLLVPLINAGWLQASPEYLGQAACSEDAAYGI ALFEALQNGGVDLYSNPIYGEALLRAAVTTSQPELVEYLRARFPLPLTDDMVIQAVEA RNAGGVEMLRYLLDHNLDVNHLRSDDILGSDPRDRAERDYAAGTGPMPISETKTALHA AAAKGNHEAMAYLLERGADKKLKDGLGHTAQDIAEARGLVEALELLKRD PFICI_11816 MLSLILLLLATLSHAQVQLLNVSANDGTSSTCITVLNSQVTCDN NLVRAGDTIQGNPVFGTPLFLTSAQLASLCTTSCSSSLSGWERRVAGACGATLYDQPD GGKAAPAALVQNYIELFDSLCLKNSAGQYCNDVIGKALAVDPSNGMVTGTPASTILCD DCYLSLVSTQLAMPMASTSILADDFKFLTSSCSKTGWTLSSPPTATTFTTRPTVSPPA AANCSGEWHTITASDTCTGISLAEGISTDDLARSNTIPSFCYNFPTSGDLCIPSDRKC QPYLVKSTDTCYSLQKQFGIQYAQLISWNPTLGPKCNNIDSIVGYVICATTPGGGWVN PNPQPSMTTTWSTGTPLWTASLTPMSAYPSATYVANTTAAPYATDTRMDCITYITSPI LTNYTGNGTTSLACEDVASQYGISTSDFVDWNPSLNSSDPCTMAVDTQYCAQTYAKVS QNIVGACTELDIVPAGYDCAGFASSLGLELDRFKLWNPELDSDCSNFKVGTQYCVAVA HYKQPGIISTCNKFATPNNTNWALNPCQILETEFGLGHARFVAWNPAVLNNCTGLYQG YDYCVSIPNFTPVYTTTTSATVAALVAQATTASSLTDTSTSNVVSSTTSLPRSTSTTV A PFICI_11817 MFFQTFLASIVLVGATWGYTVDPPTAASSDTIQDCTLWMIASTG DTCQGISNTYGLELSQLFTYNPSLASACAIVVGQSYCVEQNWGVPPETPTTSKTTTTA APTTTGNGVSTPTPTMGGLTSNCNKFYFVPKGSSCKAVLDTNGITIAQLYAWNTDVLA DCTGLWAEVYVCVGIIGETTTPNPTTSATTTTTTTPGNGVTTPTPTQPGMVSNCQKFY FVSPGTSCSAVLAANSITLADLYAYNSGVGADCSGMWASVYVCVGVIGGGQVTTTSTT TSIPPTTTTTPGNGVSTPTPTQPGMVSNCDKFFFVQPGTSCSAVLSSTGLTLAQLYAL NSGVGADCSVVSGDTCSVVSTKTGVSTANIIAWNPQAGSTCNIWLGYYICVGI PFICI_11818 MAWASVSLTLSIIPSFLIAATSVWLLVDNMWRWDSTQRLDDMRK LTIVFAGTVPGLCLVSTGGILTLRVLYSFSTGLLWVVFYPDLVSGPLFVNGLVPVLFF SDGLIGLSMAGYPVAEDEINGKTHPLSRPSSLLSYLTLAWLNNVLKKASRDVLQTPHV WQVDDFLSVSQSLAEIPNLLKASRVWPKPLPQNLFVLICCRFPFEIWVSGGLAAVYII LSIIQPFLVRWVLEERSLISIMTTFVAGILQTTCYSHSLLLTRILGMRIRSALTTLIC DQIISRSMSQSSSAGDHEKSEASVLIEVDAMAVYNLTEHIHGTWVMPLQFLGGLGGLT LLLGWKSIMAGLGVSILFVPMTIASMSLVSKRMRQIMLAKDSRVSLVKEVLSYARHVK LYGWERLFEDNIRNARALEMGALAKMSLANAVIVFLTTLLPVAFISTAFISRIVIGLG LTSTVVFPALVLFGFVSQAMSMLPRMVIFYQAGVISYDRVSVFLSTTELDNDSEQHSL SDLSVAWSASINAVDLDIPIREGGYTKTLLRNSTMIAETGKLTVITGPVGSGKTSILR VILGEIQTRAGSVLVNGQIAYASQRPVLISRTIRENITFGRVYDPVWYRKVVDAACLT PDFSRLPKGDATMLGGTVSILSGGQQSRVAIARAVYSQRPLVVLDDPLAALDAKVQRS IVNNILGPRGILRGSTRVVSTFSMSLIAIADVVYTIEAETIKLCSPTAGQGSLGTLSA EEEVPNSHRAESPTEPLRVGRILTETVPISTEVLVGRLPVRVYAQTNATEITPLLPRD VASVAQPDEIDDKGISFHTYWTFLRTGKVWGWPLTLILAVVITLLNVRSVYCLQQVAQ DFEMVDAASGLLTYTLTGISMSLVTATLIMVVFLACLMPASQSLHDQLTKGVLRSKLT FFDDSPLGQIVNRFTNDVNNIDGPVGGGFIRIVMLSVTVAASLVVVLTTSSISLLYMG PLALMFHFIQSVYRRAARQLRRLDNDARSSVLAMAVELGSAASIIRAHGQTEHFKRLI RSKVNQQISIWVPFLCLDIWLTLRVQCLSGVAQAMTAILLLKLHVSSSTLGLVLTFCV EITQALGAVVQTLANLEADLCSLDRILSYVDNPREEESDSCDTPSQFPSAWPKRPSIT FDRFSAAYRPGGDLCLRNLNCHIAPGERVGVIGRTGAGKSSLMLALLMALDRDAVLPG GRILIDGKDLYRDVSATELRAKIALIPQEPVVFSGSLKMNLDPLQRKSDESLLDIVSK CRLVEILGIDDCDSPLDYHFADGSRRLSVGQTQILAMARAMVGKRNIVIIDEATASVD AQTAALAHEVMNSAFRGSTVIAIAHKIETVINYDKILVLEGGAIVEFDKPSTLLRDPE SIFSALVRQSRASSSD PFICI_11819 MPGNHSVSSSNPRDYARNVTRTKGACSECRRRRRKCDLQKPSCR RCVSAKITCTYAATVLEFRDSTAWAAQKVEAAKARTRASHASAAATSDRCASVADVNA SDSPDADCYRLPPASPLIPLSETTASPLTSRTYPTNISVPSGGPCSVSPEKAIASEFD VVNWDGETGLNSSIWMVNSIGSTSHAIGLPPNDSDLTADNSDPVYDESTRALNVDQGT RIGSQGYSGAESNTGLSPGQLRKTPDLRKTNDSNSFFPADEGRSVLELGLLGPGDANY VDTMFPWPPPLQSPPRSLQTTPDVPVGDRLYLAHFVTHVANLLPTEMQSLRTTAMTEV HVRLAAMAHGAAHLAFLQGAPKADQQHGSRWVSKEAHRKRGSELTARAWRETDSNSSL PLDTSLAVILLLCYHELEAGTFHSLWSLVSRLDLRIMASMNDLSTLSYGIMQGWSHIR ALAKRTWPLVQPTALESRMENILCQLEEVYAMSRRVDSICIMAVRLMYRVISCKCLGY SGSPSKDTLRNIRSWWKILQGTAESAQEQLFDDDYENALHEDQIYTELQSLGERLQDC DIPQEFPPDFEAMLDRSASNTLSPGSQTDISASDPLFYFTSHDQAMSCADYAFAHIVT DIRLLKYAIAPAGGKRTPRAPNPWLALLQHIAQGLDIANCSSRNAYRMSIISQILCGA LLCCEPTVLNFLDGLLDRMLAQGLHREDAMTPLLLLSRVINTLQNEFRQGRQIFLCSV TFNEWTAKEELFTSGQGECLIILGREASGTLFSDSVPLIYEMS PFICI_11820 MQIPCQKQAVCDETVILARLLIPGRGDPLPNAAVVVSTATGKIT FVGPQRDLPQRLCSAPQIRVVCLLPGLWDCHTHFVGITKVDFPAMIQTHPAICGAAIA RGFYDTLMAGITSVRDVGSFAIEAHVAVKAGLLLGPSVFGAGGCIGITGGSSDATTLP LDFVYATQGVHHTKPWPGTSSLVLADGPDECRRAVRQQIRRGALCIKVITTGGVMSTT DNPQYRQYSDAELEAIMDEAKLQHRAVAAHAHGTAGIVAAIKAGATTIEHGSYLTKEA VELMKCRGTTLVATRHIIEAGLRMLDTWPAPTAAKMVAVANRHLESYKLAVQAGVKIA LGTDICSSNPTDEISHGRNATEVVWAVTKAGMTPLGAIEAATANAAETLGPQTPKKGI LQVGWDADMIAMDENPLEKIQLFADPRNIKFVWKEGKLVKSPSDCFWPPPLEELCGWN IVSHTDCAGCGQAH PFICI_11821 MRSQSIVWFATAATATLLPAQTSFSSQHGLPPFSVQLSPDTAAV CNSSTPGTAGWIDSDDDSHMFFWLYESKNNPTSDPVILFMSGGPGGSSTGAGALMELG PCQISSDGEHTLDNPYGWNANATLLFIDQPLTVGYSYGGRMPRNLKDATTNTHRFLQQ FFVAFEQLSELDFYITGESYGGSWVPALGARIVERQQSDLAMVIQSSTGYMPKHINLK GIAVGNGLFRQSVQSSGLFESACKGPDAIFNPAQCDRLEPLASRCEILESVCEEFGYD SVGCKTAASVCGVFFEVFAELGRNPYDWRQRCSGDVLTCYSSLRGIETLMALNDTRKA LGVPDQVSYALLSEEVITAWNEEDEIWKPSHQYVNYLLDMDVRVLIYVGNTDFLCQYG GMRRLVNEGLSWHHQPSFRYRPLREWYVDGQRAGLGKAIEPLSYMEVEQSGHLVPFDK PVEALAMINSWIQRSLPW PFICI_11822 MLSLLLRTLLAVPQQRISNVQHATTQSILTPHDATGLGENNAVP NRFTKVEQDDSVCAAGSRQWTGKIEVTHGNELFYWYFESQQFAERAPLLIWLTGGPGE ACTVPLLNGGGPCTINDDGTATVNSPHSWTNFSLLILNPLFLLSEIAGVGFSKSNSKP VHRPKTIDDVTLDLKYFLSTFVSEAFPELRGRGFHISGESYGGIQAISLAYALANPET GGPQPDLSLESLVASSSFADISYSAPAYYDVLCGRDHQYLNRTECAIMAAAVPTCETA AVSCRQTTGDEHCWAMAAACAPILQFWVPPEKNIVHLEKPCAGFPTCDPRMKAIETYM NNTEIQKKLGFAKNHLVPFVGMDMEVNIYMAMHGSVWLPTSSKYEYLLKNTSVRILGR GGYLDPDTPIEGAIRGFEAFHWWGQAQFRASRPEPWYYRGTDGDMVRGGWRRGYSRLW FVSFDQTGHLIDRDEPESSLHIMKQWIQGGVPVQA PFICI_11823 MSATVVFADKAPKPLPQFSQAVVYNGIIYISGNIGLDAVTWKLV DGGVKEQTRQALRNIASILEASQSDWREVLKVNIFLTEMSNFAAMNEAWDEVFTADYK PVSN PFICI_11824 MADIPRYNAGASSHGVKPTDVKLGHALDGSDPDVERAHSEEVRA QAVTTSRMQKILLFSALGLVSFVVFLDNSTSATYQQYAASEFNGYSLYGTLSASSIIV CLVVQTPLTKMSDILGLGWPFAMCTLCITIAYAVLAASRSIGMLAAGLVLSSVGTFGL LVLGQLVMSHYTSTRSRTLGIAMFYLPNIITPFISSQLAERVLTDLTWRWGFGIFDIL YPTSGVCLIATLFYLEHRKRSSQAGTHKTLGLVEFLSQIDIVGNVLIIAGFGLTLLPI TLAGTGLATFQTHFIIAMLVVGGISLLALFGWENWIAAHPILAPSFFRNKTIALVAVI GALDYFAVNISHTYLYSWGITARNMDISQANLLLFLQNVVQCGTMIIVGLVVFKIKQY KWIVVGGCIIRTIGYGVMVRLRGADNSLGEIFGVQVIQGVGSGVMEALLLPAVQFVVP RSQLGQVTGVVQLFRFTGAAIGITVAGAIYNNVFESALWKYMPAGTNEAYVEGIYSSL LGVVPEWGTAERLAISEAYGDVMRYMVIAAVATSLPMFALCFLLPNTKLSDERILVVA EETHEEKSGTIPL PFICI_11825 MADFLPSRRAQANLGKAPAWSLMQRVNQIPPYDPKTQPDGLINL SGALNNLMQGWWDEYFAKSPIDFDLSQILPYGSISGTDELLSTAAGFFNRFFRPSVPL EANNILAANGITSLIDLVSWTLCDPGEAILYPTPTFYMLDFDLGARNNVTTVPVPCSF MEDRFSEEVAPKLLSLLEKTVAAQLAKGVRCKVLFICNPANPQGRCYSAATLASLARF CRSHGMHLVADEVYSMSQYSSGLGSLDQFSSVLGIPEERPGSLSHVHCLYSLSKDFNM GGLRLGFLVTRNAEIRAAADTVTWFTWISSFSALLVTQLLGDLDTISGYFSVYRKRLE LEYWRTSEALTRCGIPYQASNSGLFIFIDLSQWVGYFSKDKNGSRELNLCYYLIEHGV CLNPGEYAGSDRPGWFRFVFTEMPEANVLAIERIRKAIDLLPKMSSQRLENGRTSIDT ATTLQGESAQLETAKMEGVAPSNWSKIRKSFPIPSCFRGE PFICI_11826 MRCTAVLLFLACGQYASAGYNVVQSAYSNSTIRVKSWSADDKSL CDGGSRHHTGWVDVVNKHTFFWFHEARAGIENAPLLLWVQGGPGGSALAGMFLEHGPC LMDTNDSTAFNPHAWTEAFNVVYVDQPVGVGLSYVDGNDEAFYPNRTEESTLHLLSFL NLFYEAFPKLQLQDLHISGESYAGRFIPLFGAEILKFNEWVPDDGHRIPLRSLMIGNG WTSPKDVLPAWYDVACYDYRGYPPHLDESVCEYLLPLVDKCRTALKTCAATRDTDMCV STNSICKDQFADVVENNTTRSAFDRRLRNCNDGETCFGKMPPLINYLNSPKVHKSLEL QTQTGGLKSSWSLLDVPTANRYMAAGDYHFPSVLELENILNYRPSSTERPVDVLYYVG VADIVCSPLGVQQTLEGLQWPGSVEFHAVPWADLPYKTAANGPGGRVKSAKSLTLMEL EEAGHLVPLDQPVMALQMVKDWLRYLETGVPLTRSESSSHSSEAAASRSKFVVQEL PFICI_11827 MSGIDYTSPLNDLLSPYNRPAPRVILFKEANIVDVEKGQVISGR DVLLHGGQIVSIEGPMPPDIAAEAVMVDSSNFYLCPRLIDCHCHLNLPPGPPNLTGIV QASRAEVAIRQPLLCRDILNRGFTTIRDPAGSSLAIKQAIADGVIAGPRVFFAGMALS QTGGHGDMRTSHTAAESGDCCGGQIFANVARVVDGVPQCLRWAREELRCGADFLKIMA GGGVASPTDHIDHTQFTAAEIEAITTVAKNAGTYVTAHAYTPEAIRLAVDNGVMGIEH GNLIDEATAQYMAERGVYLTPTLATYAEMASDKWAGFLPPSLMPKNEIVLRSGLESLR IASKSGVVLCYGTDLIGPLHIAQARGLRLFSKVLGPVETLRTATINAAKLLRKENMLG QIKPNFAADLLVLNANPFENITIFERPKEHLLAVIKDGRVESSRLHALAKEQF PFICI_11828 MLETGSCLASNDGQSATMNPFTWAQAAHMIYLDESVDVGFSYAD DGYSPGNHTDDLGGTARDIISALQLLYLAFPHILGRKLHLAGQSFAGRSLPAIAESII EHNTKSDAAALIPLESIIVGNGVIHSPSQLPAIRDTVCAASNGLPALFSRADCDSRLA SLSSDCAASQQACLDGRDPEGPMCSTAALTCENGILSAALYGRHDSTNRSPRCFHDDE YTRADDCGISRVLALHSFISAYGGDELLIGRQAARQHVYKGVVTPPSVPLLRETGSGI KDIQRLLHQNVSTLLYYGAYDILAAPAFAERALDVSLDGHVGEEWRASIPRDAAELGL GFKGSVKSASSSSGKGIIWFVQVDNVGRLVPSQSPNGALRLAQTWLHQFGAAVESESH KIIENEL PFICI_11829 MAPAQTKGAAKLRELIANPEKIVVAPGVHDGLTARMALNVGFDA LYMTGAGTAASRLGQPDLGLTTVDDMATNAGMIAGLDRDVPVIADADTGFGGPLMVAR TTEKYILQGVAGFHIEDQITTKRCGHLMGKELVDVDTYGARIRAAAHARERMGQDIVI IARTDALQSLGFDEAIFRLKAAVKAGADVAFLEGMTDKDQMARVVKEMAPTPCFLNMV GGGLTPLINAKEAQDLGFKIVIWPLLGLTSVYIATREFCKELKETGEIKNRYGSDGKI DGGVRDVFELCGLSKCSAFDQEMGGTSFSKGV PFICI_11830 MAVTESYVESFKLSDAESRRIFLEQIVPKYLGHLQSPDNVGHED RPPLAVFIIGQLGAGKTRAAPTIKQVMQGRRGEPAHLIANKYKEFHSSWEQLLSEKPM HASMVTKADDRAWLAMGVAHAMERRADVLAEMACRFPEDFCELTQTLHDAGYRVEVMI LAVPEALSWIGVLTRYNNDHLREREQSDRSWGLPQLPHDETCANLVNAARFVDESSAV DQVVVVRRGNLVAYTNEKVNGSWVKKGSAVDALLFERNCGILPAEQRAAEEDIKELQK DGSTIPKSSIPEIGHLTSFFDITSDERQLRDLALPRISTANVRFGTQVDLSLGLDIP PFICI_11831 MSSSTSSNKISAFKAARCDLLVDEIKYDSCGHCFYTMLDHSLGR TGDRRAARHPKCPKCAIDGKCLVCLQPWDDCAAEACRHCDTFFEEGILYSRVKKLHDL GLGIRDDRPSLFRVLRSRELEMWERRLTRPALPKKKTVTGPYR PFICI_11832 MGEAYLEIGLEVTHECIKLSARQELAIPTCNADGGNVCSWTVNG IDLLVKIPQNRLETLGQGSCDPKDCCLNKVPGLFLVLFFFSAALFGLGDYFDILNNIC KAAPFSPSEGDGDGVDVTSVADVAGLELDGIDVPSVADVVG PFICI_11833 MASKRKAVESPEGEQKRHIPPQPPEPCPFLIQVPTIIDVDVRGD RILVVGTNQCKIMADGTHEHKEAKSFRICSRALARSSPVMRAMLFGKFTAATQDTINF PEDDTAAMEMLLQLSHGNLTPVYDFTLKHDVSQPDPIFVDEVYEMVALANRYLMSHHL RTWARDWASILLKGNRFFPSCPHAVRYKKMEKSLFIASVFGHLQLYAVVFSDLVWSQR RDQKLFATSIEPDGVADSLRKVRLHQIKGILDPIHEAIGSFLGENDSSLYACNQDTQH LQSQCKAYTLGALMRDLNKESLWPLPNPESVHEATGYFCAKIKRSLWSDYTQIHPDCP IPQALVKQMRPFTPRLNDTQEYPWPPRSIVDSLLLRAEEFGLRPTYPEWFVTEGSELK YNCSIRSVSQDNTISWSVTKLPREMRW PFICI_11834 MALESLPTELILLVFQQIADTEALQATARTCKKFQQVVEICLYS HVLCTRRASANRLLELCRADPRRATYVHDLQLVYSTRHHDFQNTAPVDLCFFPCLNSF VSESPFCNSHARIGAKSESVWQADMQAYLRAFEQASLLSSIPSCAKPLGFLKSVILHW TGSISSRFWRTTPLCPIFLLPELQSLTVSCVKIRVEDEDRDISQFAKSTKLESLSFVE SVVSPRALEQILSYPTALKRLTLHEVTHHATDTLSYDFLRDDVETSHEALELQAESLE EFDFSGHHDPRGMMSSRPLTAFNLANFRSLSYLKLNWSVRLDQPPPNLRTLVLQDLSP LTFRGGAENVLARLPKLTECLKSASRRQEGFQVDLRLYRIPLHFFGHHRRYDVADGDH QPLRRVFEELRHKMIDRYTLEEHPGEDLADIPEDTSPVSAGPPATERETWENVPVRLR ILTSKHRNFIPPYLHGEKSHRWVVRYDSDYLDIPPYFENSGNPEGDDSSEDEDMQEAF RLDSAMF PFICI_11835 MEALSSLLSWQSITATILLYYVTLALYRLYLHPLARFPGPRLAA VTRLYEGYYDLYQNGQYTFKIAELHKKNGPIIRISPWELHVNDAAFFETLYNRQEGIW HKYDWAMDAFATKGATLWTADHTLHKNRRLPLSPFFSKAKVSNQQDMIMRHVQALFAR LSGFAASQKTVDLGAALTAFVRDVVNEYIFGKHYNDLGKEDFDAGITVAAQAGGLLWR TTKFIRFFGPFMRSIPPQWIIAVADPVIKEFFRFMIISMNDTRNFMKAAITPDDDGPR TLVHEIVQSKLPAAEKSFERIFDDMSTTTGAGFETTAAVLRIAVFHIYSDTKIFEKLR AEIAAAANHDWKTLEQLPYLTAIIMEAMRLAPAIATRSARVSQDKDLVYADWRIPAGT PVGMTIHLLHQNEEDYPEPQRFNPDRWIDPDPWHLGNKTFVPFGKGKRNCIGMHLAWA EIYLLLGSIVQSFEFQFPSTKAEDFHVTGDNFVLVTPSTGVMPALVTETMTGNIWKEV V PFICI_11836 MESDEFPDHNDDAPTCTFLCEVPGIVDIDERGDRVLHIGTNKCE IDNDGNHHHTEAMRFRVCSRALSRLSPVLGVLFFGPFLEANQDMVYLPEDDPKAMKIL LYIAHGQIDPVYEVADRYPGDEDSHRLLEDLYDIFVVANKYLVTQPLRPWTSSWSSAL LPLTRPSSNIKDHCERLEKLLYISSEVGHFEMYNKAFLSLVSHHQNDREMFSTTLEPD GVKDRVFSVRLRLIEETLGALKELIDPFLDDNVEASDYDCSAKGPMTQINCRLHTLAV ILRHLKKKSLFPLPEPKDVIESAASLHGRVTKGLWSGPTLHKDCAIRPQLSSALSNAY TVTTSLYLPPAPLFETMSSRATYFGFSQPVEESEAGPNSEPNGLSQDFNYTNEGWGGE LSDFHHEADPWGSASSASWMN PFICI_11837 MNDPMSMWLWAGPEPVSSVKVIGPAAKRIREKLIQRETSQNAIS VEEAERQYNEAIQKQRAVAAKRCAERNFFNLHFGSCLRCAHSGMSCDYSPTPERTQRY GHRYRAGQCRRCERAGAKFCIMQGSYSHDNLVQEQVIILINGSDPTKLGLTEEDLEEI DAAVDEYTGKNTFQLQPGIWMAEPDIKRLPIPSFRTAQHDGVIKSWKDVLPDPRNLSN ESCEAHVAQVLMLEIYESIQGEKKKAAALRRGMSSQEENIIGRTQVKEGMSEQKDQEL PEMFKGVHSTDLIKLHVPRLCYKQRQLHVSEHPKFKHSWLKS PFICI_11838 MGGGHEKKRKRGEEGSSKSRKKASVQTPALPASIKVASVKTVKT CPPVIGTLNLPFVFAQVADTKQRTATTPGLCLPGDVQFQAYSKPESFTPKKSKKSAPT PTNLVLHSSAHRTLDYTAKEDGVGGNESHLKHYIGVFDPATGSLSVMEAKKMAVRGVV RSQKPAADKAADRAVSKTMTELRNDLGEAFGTKKARKAIASITQNAIAPEKDILSAGG SPRKLDATAKAMMESIQESTRGMATREELQASVDQAKPVPPGNFGATEIQEVYRPEQM IGADILISCPIKDWQDSAKNQLPLQLPYQFISSNMYTIAAGPKSTDRLRLLRYLNYLI IFIKIAKPGAQRGVLRVPPKQQLHDKMENAPAPVIESIRRRFSANGEIRKFHRDLLMT YSCAIAAILMNYEFETSALRQDLGLDERQFAQYWREIGGKLSNPVGKVKGTKMQVAKL ALPLEFPQVRFVQRSR PFICI_11839 MCGSYNPHRGKRPRGAPPRRPHGQDQDQPTFTQGMSQDQPTFTQ GQAQGQPAFTKGQAQFQPTFTHGQPPGQPVYTNLPVQHGTGLPPQQYGYVPPAQYQPY GYYPPQNHIPYGYHVPNHAPPQYVQGPVPQWNGPGPIAAPQQYAGPVSQTQPYGQNPQ PGGQASPQDPSYVFGSQEPHGFETRISDNGYNPKRPGGKPRGKKPAPPEAWVPLHKDE LRKVLERDPASIPRLPADRNKIWHQHCGQMHAPEFCRGPLDGGVLNTCGLCGGAYHLT ETCLYWDLIDEDKRDSLENYLFIFARQSLAPLAATIDMKDRGQRDWSPPLSPFAAAMY EDLQFKRDQKARRGPYYKTFKYHALADVRTELERLPPADPCLGIWINSGPPPNHQAAG AFNDYLNTDHANKYSPRWTLFTNHPVALEGKRVVSLPEWVIGVDSIVESNNRKMKNKR SLSPDHVSQGSSPYTGRPADKRRKLNERQPISGRHRHEKTKQDLDEVKEDSDHSMLDT PKVKTSQSPDPLHRVRSASLTGGAKVKVEDTS PFICI_11840 MPNSHRGNRARNAPPPRPSFGRGPQSFGRGQQSSGRGQQFTSHG QQSSGYQNQQSSGRGQQSSGRGQNYSGLQGRIARYMDFRDELRQYEEVISSRAQQPTY ENNSRRDQGQPQATTGGRGRGRATTSGGGRGKDTTSERAASPSDKVWIPLDQDELKRR LDQDASTVPRLPQNRSTIWHSHCGQMHAPEFCQGPLIQGVLHTCALCGGPNHLTEACE YWRLVSDDGSSLEKYLHVYARQGLAPLASKKDFSKVVDITGFRPPLCPNSAALYENAQ YDLDRKAGKSSYFLRFNYNALRPLWTEVESLPKDDPCLGKWSQLGPLPNVDAPWVWEE YLSADHATPYNPNWTLFSNEGVEVPANRVRLCHFRRWVTGTEDLLAKSKNSKQKRGRS PEAAESSHGVPKRVRSHKNRDYDPMEDVQYDAPLDALEEKPIRERVKSAVALAIVEAM WESRVQYKPVDCGGDGEFAGYRADGIRLLCRRRFTHHPKVQIGIEIDARLLSIRKRAH ENATRDAKLLKIANEKEIENQFPFPVTMMDRYLECQECWQLEYKGAFTDQEIGSGLRF YEQNPFASPSWVQDMLDTYSDDKEEQY PFICI_11841 MDDARKQEIFQLFKECTNEPLKNYSKEETFLHYVRLCVTKCASS GNPDYGLRFMFSRIYQTLLKTMYGLSLPNPSDVVDRTEPVDEADRANLKVLREYFDTR RPSNMDECFQILFTNGRCIDQLPVNPFAARPPSNPFASSSGVGRAQPHEQPAIDSSAP IAVANPPPNAFAGDSRVRQTQCLSTVARLEAERPLVNDVRNWQANFRNLLRDGDGRAG EKIFVLASDPQLNASAEPRSD PFICI_11842 MSVVEYPLRVLLIGNGGRENAIAWKLSQSSNVESILVVPGNGGT ATTPKTKNVDSVSPEDFPALLELAKKENVNFVVPGPEAPLVAGAVDFFSKAGIPSFGP SKAAARMEGSKTFSKDFMKKWGIPTAAFENFDDYEKAKQYLDSVNHDVVIKADGLAAG KGVIIPTTKEEAHQALKDIMLTKEFGSAGDEVVIEEFLAGDELSVLTFCDGSRIKSFP PAQDHKRVGEGDTGLNTGGMGCYAPTNIATPELIAEMDRIIQSTIDGLRKDNLTFKGI LFTGFMITANGPKVLEYNVRFGDPEVQTVMPLLSQDTDLALIMEACVNGNLDRNGLVF NVENKFSTTIVVAAGGYPEAYGKGDEMTIRDPPAGTYIFHAGTKLQNGKIVTAGGRVI AATATGESLRAAVDKAYEGVKLIEFNKMYFRRDIAHRAFRPTSDTKKALTYAQAGVSI EAGNQFVERIKKAVRSTAIPGADAEIGGFGGEVDLTGAGFQAPGLTLVGAIDGVGTKL MIAQQTKKHDTVGIDLVAMNVNDLVVQGAKPLMFLDYIGCSKLDPEASAAFVEGVCAG CIQAGCALVGGETAEMPGMYQGDDYDAAGCAVGLLESNNRLPRLDDMREGDVLIGLAS AGVHSNGFSLVRRILKEENLDYSATAPWESSGVTVGESLLTPTRIYVKSLLQVVSKLK GLSHITGGGLTENVPRALPKTLAAEIDVATWQLPPVFKWLKKAGNVDASEMARAFNTG VGMIAIVAPSEVDEVVRVLKEAGETVYTVGKLVSRSSGEGCILKNLESWN PFICI_11843 MLLIGLTGSIATGKSTVSSILSSPPYKLPIIDADVLARKVVEPG TAGYNAIVKYFGPTTPELLVEPSDTMPENGINGKGRPLNRPALGRRVFGDSEERKKDR GVLNKIVHPAVRKEMYKSLLWCYVTGHWAVILDVPLLFESALDRMCGVVMVVAVREPE VQMKRLMDRDPHLTREDAQNRVLSQTDVRLKARRCEARGKGKGVVVWNDSSKEELKAE IDNAIQEIQKRSPSWWNWFLLLNPPLAVLSGAWTFWQNVQINKRWNELELKEKAKL PFICI_11844 MRGKQPNSFCEWVIGANYTRRKKTTRRTVASLTVETDDETDTDT ISLSIPRGKRQSRPARSKPEKQVRFSEAANESSEDAKEADTSATSAEPSEAEVSENET TDAAQDEATDEDIDSDCPCGKCVSGRERLKKKQEEESDADAHTKHKDKKKKVAAKNKS KSKPKEKNGKKKKDKEEEPESETEAGSGSETAVDSSASEDEEMKNTKPKNKKQKGKGA NKKQAETSGEESEAVNDTEEEKPKNKGKNKGKNQNNQPKGNQKNKNKGGNANKKSDET SEEQEVTEIVPSVKKKKEKKSKGFVQPPPRRPDLLMPVSAHVVQVEHSIESAEDPRPN AFYDAEHGVMRVYHGGAYGNPYGVLYPKRWGPSMPLGTPHPSQNPWIYGFPPSHAPAP PLQSTPTTRVAVGPGPGNPWYQGEGVVQVNNPVTPMPKDPRAIYNESPSIRAAAKKDG NNVVPFAPQGSRAGSNGGRGIQGAPEAKEDGPGDNVGNSNDPAKEPWSPEHSKKLAEA LEEQAKKQSNSRAGSKKNSQAESPKGSKAGSQKNDDGWNTDGNNNNATEAWDAGNVGN PGLDWGGGEKNDWGNGENNDWGNGENNAWGATGDQKANSVDANDAQNKDNEANNSTSD KAPSTGGNVMGRGDHPKEDSPQSRQSNESMPGAWPQLGGNVLGGSTKGDTAAENDNTN DNNQEWQNQNVAVETGGWWQSKEGQADAAKPMW PFICI_11845 MVELLAINPKRGDDKFYRYKMPRLQVKVEGKGNGIKTVVVNLST IAQSLARPGGHVIKWFGLDLGAQTNMDPPDDRWIINGAHDGETLQKSLFVFIEKYVLC DDCGNPETDLTIKDDVVRKDCKACGARSKPLVHSNLINFILKTQTKKGKKSKTTKAER QAARRAKQLGLQNGENGNASGGDDNSENGSNENGEDEAGEAGSDDEFTRQINAAAQDI DAPKEVKDDDWAVDMSEEAVKARQNRLPTEFRDKLVLEGADEDEDGEASGPTVYDELG NWILEKATETGGIDKVEDLAIYKKAKELNIEAKHKTVMVLVQALFTADIVTQIPKRAG LLKQFLKDPENPSKISERHEKALMGGIECLLAKEGKKNPKLLTADVVSNKILYQLYDQ ELISEEFVRKWGSKASKKYCDLSTSRSIRKAAEPFIKWLDEADEESSEEED PFICI_11846 MESASYPHSEVANLEQLADPRYLAAMAEAVTQEQSEYAAPSSSS PSRRKRSAPDSSPAESRRAKRGAPQATMSNHADVEAEASYVESAVEAAQAAAAASVNA ADFTALQQATAVDHHESADPANASSTAAAALGSMYPTIHVPPTTEETFAAQAANENEH SSYGNSDMVPTDSLPDPSNQGPPQGPQPLGQNGLRSGAPVFQSPVQQPAGHRPAVGSE EWHKQRKDNHKEVERRRRETINEGINELAKIVPGCEKNKGSILQRAVSFITQLKENEA QNIEKWTLEKLLTEQAIQELSTSNDKLKQECERAYREVTAWKSLATSHGLNLNQNQNQ SKDETSTSS PFICI_11847 MADPVALKLDHENHLLLDQPLLRLPFELLRKNFRSAHFEVERDG TYIRNLLKETAQAAVSAPPPTEDILRSIDNMLARARGVKRKLAGFAEEEQRLYRHEGA RVRHLAEIYSMQSFEDVKYESWSRTRLQRMLVDYLLRQGYGESARMLARDGGIEELVD VETFEQMARISSSLRNGSVTEALAWCTAGDTKKELRKMDSNLEFMLRYQQYIELVRPY TPAKLNEAIKHAQKWLHPYRQTHAVEVRQACGLLAVPPSRASAYPAYAALYSPQRWQV LADLFIATHNRLLSLPSIPALHMALNSGLSALKTPACHSQDSQQTAENTTVASLQNVC PICSKELNELARNVPYAHHDKSHVEHDMMLLPNGRAYPKERLEDYAKKAGLLEGQVKD LRTGEIFAEERLRKVYIT PFICI_11848 MPQPPNRFQSGPPSISPYPHQFANHPSQSHAASHQPPGYLGNSQ LNNPFGAAAGLGGLAAGINAGAGFGVGNLAAAGDQTGLGSHAARMGFAHGAQLQQQQQ HPHQQTHGPGGEHTTRSGNAAKGRIRDVWRHNLNEEMAVIRELINDYPYVAMDTEFPG IVGRPMGNFIDKSDYHYQTLRVNVDMLKIIQIGIALFNENGQTPAAKPEQLESKELSP FMRKYLATYGSLPFAWQFNFHFDIKEDMANENSIHSLQQAGIDFEKLRIDGIEPNAFA ALMTSSGLVQLEEVKWLSFHGGYDFGYFTKCLMDDVLPNDGSRFEHLMKIWFPSTYDV KHLLKWAIKAQTLGAFQPSDPAAAEILTKFEQKSGLEALAESFKVKRYGQAHQAGSDS LITGKIFFQLKDKIFGGTIPDEHIGKTWGLSVGGTMTVPYTGAASAITNEKENAPANL LNGRTNGPSTPGTSTINLVSTPAAAQSHNTNGNGISVGPMTPGGAGGVLSQFYPAATR PFICI_11849 MFPTRLLRAHHGEKPNITGFDVRKFAASAGQPRYDPWERAEAWR YTGQFSRWNRLKGAFPGLGIATVAFAAYCGYEYLFLKDDHHHGEEHH PFICI_11850 MLRGLSTNPRPSSYGPGADIDSPVHGEFGRHNDLTTGSSTTPLT FEPSEETTAAPYLPATRLRNAAFTDEQPAPQQLLQHRRQNTAPSLPRPRTAQREGSPL RRHQRHQSDMPFTGDGRVGRKQDAIPVRQEALVIDTTPKALSKGTTAAPQTASPGFFG ALKARWTNASSAQIDYDELANMDIETALLPPESLSGREAFSPAAYKNLQMNAIGLCTK MQTAFRQRTMALEELQAEREADKEEAEEAKLRVESLKMQLELMAQKADEQQQALQRLM AELNHEKKARQEERLVQVAGASMVNDDLGYEADRKQWRKSGGTIRSEVSGFDTESIHS VESESVFSRSRSPTIMTSATESHYDLASGASSMYQGRVPAPGLPSRQKPNREMSTLQK LMKNIAGDSAKDEDKGDGCRNCRGQDSSMAWDTVSLLRDENKGLKHRIGELEVAVEGA LDLVNGVGQA PFICI_11851 MLQGDIVIIGAGFGGVWSALAAQRLIKLKQKELQVIVIAPEPLL GIRPRYYEANVSNMACPLGPLFESAGIRFVQATVTGINTAGNFIEFEPKSGTDSKIQY ERLILAAGSQVARPSQVSGIAKFAFDIDTIRSAAKLESHLENLASLPPTPARDTIVIC GGGFTGLELATELPGRLGKHKQIRIILVESAKEIGPELGSGPRPAIKEALESLGIEVR LGLAVTAIDADAVHLASGERIDTKTAIWTAGMRATPLTQQIAGPRDALSRLHVDQWLR VPSTRNVYATGDTAYALADAEGHYALMSCQHALALGRISGHNAAAELLGEPMIEYSQP AYNTCLDLGAWGAVVTAGWHREIKLSGNSAKSVKTFINQKLIYPPQNVEDALSAANPQ GIDSDEILSQMLVTVK PFICI_11852 MDPNSVPASRGSRATRSSLACLPCRSRHLKCDGKRPECARCTES ARQCHYTESRRGGVSRASLAERRSRQSALNNNSSHSSSCDDMPEIRQEQLANGYPDQD YSFRLPDLDVVSDPAGLTSATTSNAETPAGPLDQNYSLDNDALVQKFYRDFHRFHPMV VPWEHLVRLYQDPDKQPRLAPLVAVLRLIGHLFDAQAWSQPLQNFVEACFSQAHPAEP VMVQCRLLYSMALFWFDKKDESMAEMDSAVQLATTLGMHRRDFATAHGSGDPVLAESW RRTWWWIYIIDAYYVGTLRNREFAVKHIDADVELPCNEIEYEQGDIPTPQTLENFNCR EFYPEAEFSSFAYLIGAVKSAASAITMSPKRSSKEASSHVIQAADAMIDGWHLLLPKK LKNVMSRSGDIDELMFQAHLVLHVATIGLHRPLSELRFNSVEDHSSCAREPRPEMTAT EQISIHTIRVLRSVEAQVRILALPVRPFNHTPFTTCMVSEGTLALLSACNFLLEGKER EIARDQIRLIIGCLTSLGELWPRTARNVKEIQFIARHVFGLESKGGGNATPTSIQEPI LCGGGESQKLSGPDLEILESNGILADGITNNADDWYTTSDLSRELSWWINE PFICI_11853 MKAVQVIGDSSSPHIICNQNVPRPIAQGRQILVQVHAAGITADE VTWPELYRTASRIPGHDVSGTIAFLGPDYDGPLKVGQEVYALLHADRGEGQAEFVICH ADEIASKPSSISHAEAAALPIPALTAWEAIADHVKIGAVAKVLVTGASGAVGSIFVQL VKHLTGAKVIALASPNSHSVLKRLGADEVLDYHIPDWEKLTMGVDVVFDTVGGEMLTK TWETVSDEGTIITVADPPPPWAFGRGPAAESAAHPGVRYVYFIVSPNSRRLAEVAKFI DDGVVEALKVRTFSIEHAEQAWSNARGRNRGYKAVIDMTMDS PFICI_11854 MSPQEKARPRENVTILYGHELKNAPGKSIVTIELDFPPNGYTPP HRHSGATVIALVTEGSILSGMNGNPPKVYQAGEHFLEQPGCHHTVGENNSATEPAKAI VTFIIDTEIVKAGYENLTVLDE PFICI_11855 MKAKNAVAGILLGTSALASSQVSIEELFGRQIEPSSILSCDATN WPITTVGGPNLPQEPSENLVSILSQVDPARIEASILKLVSFGTRHTLSTQTNTTRGIG AARDWIASEFQTYANASDGRLDVNLNSYIQQPDGNRILFPVNISNVVATLKGTETPER LYVVSGHYDSRVSNVNDYESDAPGADDDASGVAISLELARIMSRPDLPQPRASIAFVA VAGEEQGLYGAQHLAQTYANATPRVNVEGMFTNDIVGSSRADDGTTDPYTIRLFAQGL PPLSVENSSIRDTRLTIGGENDTPARSLARLVKEVAENTATGMNVSVVYRLDRYLRGG DHRPFLEAGYPAARFTEPNEDFAHQHQDVRVDGSGKQYGDLPEFCDFDFIARVARVNA AALWSLANSPGAPRNVRVNSTVLSNDSVFYWDPPSGGEDDVASYEVVWRATDAPFWDH VLDVGLIHNATVNLSKDNVIFGIRAKSTDGLRGVAVLPFPAS PFICI_11856 MGRSLLRGFTAALGLALGVSATCQEVYDYIVVGGGPAGIITAER LAEAQKKVLLIERGSGPTAATGSNHTLSWNDTLTEIDVPGLSADIGGLDVWTEYICSD VPNYAACVLGGGVTVNYMVFVHPPAHDFEKWPKGWNWDDVASAAERLYERNPGTTLPS ADGQRYDQQLYSILSNFFDNLGWKSVDMSEQPDEKFEVYSYPAWNIKDGKRAGPVRTY LPLAEELDNFTLQLHTKVLGLVRSGSQVTGVEVETSNGTRKTIGLAEGGRVVLSAGAL STPRILYNSGIGPQAQLMNVSVSNVSTLPPQDQWLDLPVGATLMDHPIFAIQVQTNGT FGMADFDAILNGTDASVEPYETINSGLLTEGKHRAIFFTSNVGADNITRYYQGSCAPT GEGIFTITSYMTHGLTSSGSLGIDTNGNTIVTSNPYMQTAGDQQAAKMFIQSMVDAIT APGTGLTLISATNTSAIYETLTIGDHFVGTAKMGTDDGRKNGTSVVDTNAKVYGMDNL FITDASIHPDLPTGNLQATVMVAAEAAVAKILAYE PFICI_11857 MHFNRVILALSAVGSALSLPQLASNGNLFSLATRDTCGDDFTGK ERFDCDKPAGHVLEDNGSRCGTKDMITVNENQCRVYCEVKRVGFVGRWETAPGKFGEL QQPGSKGINLEEGQETTVSYGFSLGLEASYESVIGGGASFQWSKADTKAKTVQRQASG SPDYASKWVFFPKMITTCGTLTEKNYIEAQTNGRYVEPAHCGSEEKTTHNVCSTTFAT KEGSVDAFWAEVFLWPDGVEVPLDVQSDAFRSAYKMFSTGPSGPGPLGS PFICI_11858 MEPQETFQVKHISPFTVMEELSDADFTSVPLDEPGFFEAATNEQ GEHVSRHGSINWSDFDGFLDLKGSYEFPVMSEAVRNNRPSLRVINVPLGKSWDKMNMT RDDWDRLQSKFELHESTVYTIVDLTGAFATYFYRAADSSRSVERIKIVIKVANKITIG YEALSLAFDLKTLATRVLLHGAMPPQWKDIVRLLYNSLHLCPHPLLLPVLVFASHRRN MERYRTHIDKSILALEQETGFGASGFLVTASNATSGRQDFHIESALVRLQSQQTELAT VINISRYSESLASFLIDANIQLSAALGWQDHASVMKAEDGVLHMLVLAQSQAKTSTSV IQSLKERVQSQTNLIFSLISSEENRISRRVAEESAKVAISSKRDSMAMKTVAVLTMIF LPGTFVAAFLSMPLFEWNADTGAYPSSTPFQWVYWAITLPLTILLMAGWRAWWAIEEK SWRLELKQAEAQNRQRLSARPNTGRTSALAPRLSDVSDISMESRLVRWQDLRLRNLIA RGR PFICI_11859 MYRISESVVGNPQVNHFVNNAVENAGVKSLHGWHFGPIPYANDG WIPPRQVAAAEAGTAIPIVSNNDNADDTRPHDAEVLSLLNPGVCCIDLDGRGTMCGVT FKSQPSLRRHYRQFHPGALVSVRRAMISVPERLAGFNALKHVVLNKIWRNASFLKEPG RGPRGGIIDEYATALEYLAATDAKFAARWGTLFHREGVFRLRPAREEYQADLPRGQRP ASSMQPDTRIHDPLIKPSVSTGYDSGSDTPIVTQARRVKRGGRTRHSPSPVESPDRRQ SKAQRQPAASAGRKPNQFRRWYDSLESDLARFQSLAFRAFLSANIEHSQCPALHEYDH GWRESIKFVSSCQRLEY PFICI_11860 MADKLTRVAIVSSDKCKPKKCKQECRKSCPVVRSGKLCIEVSPA SSLAFISESLCVGCGICPKKCPFGAINIINLPTNLDSMVTHRYGPNTFKLHRLPTPRP GQVLGLVGTNGIGKSTALKVLAGKLKPNLGRLGEDAPDWADVIRYFRGSELQSYFTKL LEDDLHAVVKPQYVDQIPKAFRGTERSVRAIFAKQADASMGNLDAVLDVLELRHIYDR DISHLSGGELQRFALGLVCVQQKDIYLFDEPSSFLDIKQRLKAATMIRSLIRDDNYII VVEHDLSVLDYISDFVCVLYGKPGVYGVVTLPYSVREGINIFLDGYIPTENLRFREES LTFKMAESADEYLNEKSRAFKYPTMEKTQGDFHLKIDAGDFTDSEIIVMFGENGTGKT TFCKMLAGALKPDGAAKMPEMSISMKPQTINPKFEGTVRQLFFKKIRTAFLLPQFQTE VVKPLQLDSFIDQDVKNLSGGELQRVAIVLALGKPADVYVIDEPSAYLDSEQRIVASK VIKRWIMNTKKTAFVVEHDFIMSTYLADRVIVFDGQPGIDAHANKPESLLTGCNKFLK ALDVTFRRDTQNYRPRINKLDSQLDQEQKLSGNFFFLEDPTKSS PFICI_11861 MASNDELDMSMEVEPSRCAFLYQPSVATIDIDKRGDLILLVGKH KCKIKANGDHQHTEAMAFRVCSRSLARSSPVMEAMLFGNFREATQTTIELTEDDPKAM QMLLHRAHGSLKEIYADIDKERREDCPEGKFVDDVYSLVVLANKYLMTQHFRPFMAQW CNLLMSWEAEEESRDTSIFYERLEKSMWIASEFGHLELYQTMFRYLTWHLEPNHHLFR HVLEPDGVADHIRLGRLNDINSILTPTRNAINALMNDADPTGLYLCNQPVREDRLNCQ AHTLGHMIRNLTVNFLWPLPNAEDITETAQELVVDFQNTLWQDHTSLHENCTTKTAIG TQTDNTLERSGEYDSIPLAILQSMYSTAEGLNAFEFESMFSNAMANVVHDDEAL PFICI_11862 MEAGRAAERVAFACEACRKRKRKCDGITPSCSWCLQKSIACHYV LQRPKKPKLDYNYVNGLETQIELLKEEVYRLKGLCQSVPQSQGMPDTSDNSLQDGNPR TSKDDSAINDVSSLMWRLKIGDNGESTLIGPSGNHCFSNTIDDSTQTNGHATQEVILN QRHEMDHTRLILLFSKYINPTFQFLDQETLASLTNHASQEPNFLACSVLAAGSLYAEE VEIRSYGNHLASRIEAKSLETCRQSPNLATIQSLAIMCWRELALGNENMAWMYNSMAC SLSIHLGLTVISLQELRRGEIQGNHTPEMPKDLRQRTRTIWSVLLLDRVATSLLGRHC IIPWRRIKAPYLVEVLGAAATTDELAFDFQCKLWYLHDKHMDRIYSYEFKDLDKTERY RLLVEARDELLSFRTQLKAQFDQKEESETPTIIFCHMAYHMSQLLIHRPYLNGPIHDH TRQIVDRTTTLEAGSMVDLIRRYQKVGSFDKVPPFVVHSIQTAAITLLLNATSEESSM RSPSIHRLRTCVDALESMGSRWLSAKRAITTLRELAERWKISRALPMRYSGPLHQADV ARAIDSAAISRVGDPGHQTGFGGSTAPATLAIDYFDVADLNMAESGLFGSPYLAFSST ED PFICI_11863 MIKEAPSTENPLHMSRLRKELYFVALIYGASVTGVVGPVLVPGF SLVAASLNVGLTQVTLLNGALIMALGVSAYICAPLAEIYGRRIAYLVTSLMLVFSCVW GGFAKTYGSLLASRTFQGLGMGGFFSLAGTVSINDLFPVNERGRRAGIWNFAVIVSVN VAPVISSYIITALGWQWSFKILAIAFALAFILVVFFVPETLPNNNVVDDHEMSRPDAS SIALRKLDTEVGMVQAHPTDGQDVESPRKDGANQKILERNLLTQQPFLRPPKSACNGL VHQLFILVAPLRLLRHPIIIWACAMWSVTFSWTIIQGAVADQIFEAEPYNLSATSVGL LIGVPPLIGSAFGTILGGYLCDLLARMMAFRNGGIYEPEFRLPVLIPGMITTAIGSYG LGIVLDRGLSVWASAVLLGCLNFGVGMNCTSIVAYTNDACEEKTAEAFGVAMLVKSVF AFGLTFILNDYYIVHGALAFFVTWGSLTAGVTLLTIPLYLYGKRLRVKMAL PFICI_11864 MEGSTKTSVHVAVTQAEPVWLDLDATVEKTCVLINEAAKNGAEL IAFPECWIPGYPAWIWSRPVDPELGSLYIRNSLQIDSPQMRQIQECAAQNKIVVVLGF SENRHHSLYISQAIIDADGKILVKRSKIKATHMERTIFGDALTECLDGVADTRAGRVG ALSCWEHAQPLLKYHMYAQREQIHIAAWPPLYPADGDQGQWSMTSEGAQSLARTYALE SQAFVLHSTAVISLAGVDRMKTQTGIAMSAPGGGSSAVFAPDGRKISTDLPETEEGLV YCTLDLNLIVESRAFLDVCGHYSRPDLLWLGVDKNEKARVREVNGLALGRGDSE PFICI_11865 MADYKLADFETFHNVIGNELSATEKTRRAVNPSDKQQLAEVPVS TQDDVDRAVAAAQAAFPAWRDLTQDDRAGLLLKFVEAIEANQQEFAQLLGKEAGKPPQ AVGIELFLLSHQIREVIKYRLTEEVIEDTDERKIVLRHVPLGVGVGIVPWNFPMLLGI VKLVSALLAGNTFIWKPSPYSPYTALKLGELGAKIFPKGVFNVLSGEEDLGPMLTGHP GVAKISFTGSVATGKKVAAACAPTLKRFTLELGGNDVAIVYPDVDIAATVPKIATLSL LHTGQVCFCIKRVYVHEDIYDAFLAAFVDFVKTLKTGGSADAQAALGPVQNSMQHAKL LDLYSDITKQGLKVAYRAELDSSETKDGLFLPATVIENPPDDSRLVVEEQFGPIVPLL KWSDEEDVVRRANSSLMGLGGSVWSTNLKQAENTARRLEAGTVWVNAHFEVGPQVGFG GHKESGIGVESGLDGIKGWCNAQAIWARK PFICI_11866 MAASTPDYPPEYVNHDDGPRVVRVMTAVITLATIFVALRFAVRL RLRVRFALDDWVGLASLLVVWAEYVDGYLCIKYGGVGLHLPIALQRKPDALRYTFIYM FAGELLFFTGLALIKWSILAMYYRIFPTLFMKWGYAVLGSMTAAWWVAVMLTTVFQCT PVHKYWDLATPGTCINANTFYISTNGVPNIVMDAMILCLPMREVYKLHVSRKLKLAIG ANFLIGSLVIIASIIKLCVMIQLYRMGSDADVTYYLADLIIWVEVEPCMGIISATLPT LRPLLTFLLHQVGLSQNLSDRASTPGRPSLITFGRGNMQKKKNGYNTTASIDNDHDSL EGLSGWPEEHHRTATAAPGDNKGGAINLTKYTPGSQQHINVRTEMAWTESNRY PFICI_11867 MYAFKDFAPFLSGSPLSSVQSLLVVLGILFSLFIVSGTVSRRRN EPPRMQETIPYVSNILLFMTDQAAFLDLVQKTFKKTGSHVIKCYIGFRPLFFVAGPRN VQRLFGSPDVLDGNFIHFLLMSMQWGMSATELSKFKKDRSGRLPKPIPGSGPVTEDQR YWRSHSRLYTDFLSDPRYSEALGSDFLRRFTAQLDQWQEDTASEWTTARLLGTLKTQM SRCAAETLFGTRLFDLNPGFTECYWQYDEVAGKLLLGLPSFLMPEAARAKRRLHAMVR RHIDSAWASQSAGDVDAECLWEPHFGSRLSRESAMWMRAQGFSDHAAAGHTLASLFGL NGNTVPITAWALMELIKDPSLLAAVRSEILEGGLNAETGELDAQRVMNLALLQSIYIE TMRLHVSFNVSREVRDGPFEIVPGCWADTGAIIQTCSTVAHLDEEVWGVDQHPASEFW AWRHVQTEEAYDDLTGRMASRSRFVMRARPTSFFPYGGGYWVCPGRHFGKMEIMLALA LIVIRFDLEFVKWTNLDGSETDRPAVDDKRYAGSIAMFPDRDLCFRWRKRQLEK PFICI_11868 MTRIERLKAVLPHELQDDGTLNITAFEGAIVTRVLQAEKQRAFH FEVIFYPNHPRLRNAPSKPPAHFHPYQEEYVSVTEGALTVEVEGVEHIVRPGDPEFVL RRGVNHRLYPTTTSDTAQNQTERVRCNLSAEGTPNAFALDLVFFENWYAYQEQIVVHG ARLDLIQVMSMFDGGDSYLSLPWWVPFRSLVARTLGIVVGRWLGGLLGYQPFYEEWTT DWDLACAKMETSMFQTRFSDKRKTV PFICI_11869 MAAGSQAVTNTEKCAAHKKTTTTALSQQYGGKHTGRWVSRLPES WIPYVQLARLSPPAGLALIYFPHLFGALLAAILKDAPPMELLRACMVLLPWSLFFSNA AHAWNDLADAPLDALVARTQQRPIPRGAVSSRGAAIFAASQAILGVAVLRLGIPGRAA ESASHYILPNALATLYYPYAKRHTHLAQFVLGSCLAWGVIVGCVAMNYEPFAIEPFLT MPTTASPAWSPFPSVRVSPPVVYLVLACVCWTAIYDSIYAHQDLVDDKRLGLRSMAVL LGDRYTKPGLSVLLCGQLALLVACGASSEGIWPYYTIIATSGCAISLGCMIAFVKLKD SGSCWWWFGHGFWTAGFSITGALAAEYFGRRLSLHQLWP PFICI_11870 MSTACAQSDVEGTCSKLASLVSTVSSSPGNTSYVELAHENWSAT AWASPSCIVQPASEEDVQTVVDLLVSEQVPFAIRSGGHSPSPGAANIDNGVLIDTSSL THVTYDATTSTVTVGSGNRWGDVYNALDEYNVTAVGGRVLDVGVSGFLLQSGLSYLSD LYGLGCDNVIEHRIVLANGSLVTASADQNPDLHWALKGGANNFGIVTSFKLNTYPIHE VWGGFKFYDIEQLPALYAAMAEYQATPNKDPYANVMLQAFTTNVSTGAVLDIVYLKPE MDPEAFAPFYSIPTTSDSTGIQTLTEMLANQYVPDIPRIDWFATSFLPDAELYGTINN LTTSEANLAPIKSLIAGSMAIGLQPISASLVLAGQERGGNVFGLPTVNHTWFVLDAGW WEASDDAAGHNATLAIRDSIWDAATERDLGVEYLFSNDASYSQPVLQSYGSANVAKMK DVQQRYDPDFVFQKLVPGGFKLGI PFICI_11871 MESTILMTGANGSLAIPAVEYILQHSPKVALVLTVRDASDGDVN TKALRDAVAKFPEARVSIRALDLGHLQAVSDFAGSIANEIAQGTLPKLSSIVGTAYYW NLVGPAELTGDGYDKTFQVTYLSHFSLVLRLLGSFQESGGRVVLYTSDGHEPGKNGLE KIPPSIPADAAALDLLVKPSPDDPSIDALGHGFHRYANAKLALVMFTHALNRRLQKDA QLANITVVVTNPGNLADSRALTVNTPRKLIILSKFVLRPLRPLLSMMDPTARTVAEAG RDTAKLATGEANPGERGYFTLLKPDSGSKDSQDEEAQEALWSKSVQWTGLEAKDARLL PFICI_11872 MSWGMGMDSNWSRVTVVQSPFANCPTTTMGQLQAWVSDLNLRDP LVAASLLILSLSITATIWKSYDSLSSFPGPRLAAWSRIYSVWIVLTGREHEWLLQAHD KYGPLVRWQPNLLLINDPTMLPKIYHLRANKTRHYNHSPSDVKGMVEESDWQKHRAKR HRIDSAFSPKAIFDNEELVDVFVTKWIDALSAQFATNGNIFDFSDWGNFLALDVVTKT FFGQEMGFIEHGDKNSILADTRANGPTIHALARLPRLKMFLLRSFGRFLVPTAGDGSG LGNVLLLRDQLYQERLNTKDKDLRIGYFLIICTTMRSAMKSSKKILGASDTTGHGIRC LIRNLLQHPPCLARLRREIDDTVRRNGGIDQIRFAHINSQMPYLSACIRESLRHDPPI VSFLPRWVDGPDGVELCGRFVPPGVEVACSPYVLSRNRDLYGPDVHSFRPERYSEASE EWVAKAARYDFVFGYGPRHCIGQRLSHFLTCKAIVQLFHHFDVDLIDPGSGKAFLNWN YSGLRLRLSRRANDGAI PFICI_11873 MSQVCSVCYGILEKLFDDETYSDVTWDERGMYRVTKFGVTKPSQ NEGAQELVNCLTLFESCNLCRLFKASGMPGRWTHDDAKNFEPHIRIAPDAQLPGNKGI GLISLGGYSGAGIHLVADASSQAYGRGDVSGTFLHNTGTPESFVKCREWFTRCQKQHS QCEYTMAGDMIGLEHPLPTRILEIDTENDCLRLVETRGTMGRWAALSYCWGGDVPLKT TRENLGQRLAGIQMSDLPKTFFDAVKVTRELGLHHIWIDSLCILQGDVQDWKSEAGKM GAVYEFAEIVLVAAAAENSVAGLFMDVNTDEYREPDTEEYREPELTWAELPYIKGGVE AGSIWGVANAHRSTSMELFAPLKTRAWTIQERCLARRSIYFAKDGIWWCCRQYESTCW RHDAKSFVDHQVQWRETGDWSLLLKHFQSGRLTVLTDNLPALEGIASRAAANRGDKYY HGCWTGDMPDQLLWCGPVERPAELADRPTWSWASTYGRRRFYIYDESGAEEPRGVYCQ SFDPGTDGELKVRLCPILTNIDAIQTPLDDDTLRRNLHLHIGINHVAAFLCSSLTNML MIKVDGQIVGGAVVDDMVWYLNQVKTGNALAGCVLLRAEKYGQDDSSCDSPNRYFGLL LVRKSGDPDTYSRAGLMMLHSTSCVEKAIRKDFVLI PFICI_11874 MSLGDEQIQLDSLAGDAATTGHTPHSVLVEGSPKVPASKPAMTR YPPSFWLAFLGLCCTGLVSALDGSIVATALPSIVASLQGGDDYVWVVNVYFLTSAALQ PLYGQLADLWGRRYVMIGATVIFLLGSGLCGGSTSMSMLIWSRAVQGIGAGGINMLID MIICDLVPMRERGNFIGLLFLFVSLGATIGPFVGGILTDRVTWRWVFYINLPFGALAL ILLVLFLQVQWKKDLSTWERIKRVDVVGNLILIASTFAILWALTYGGTRYTWQQGNVL APLVTGLIGLIIAFLWEMSPWCKYPVMPPLHFKNQTSAAAFFISFMSMLLAFWINFFY PIYLQAVLGNSAAIAGVNTLPRAIFFPLFAAVGGVIVTKTGRYKPTHIVATGLMPLVM GLS PFICI_11875 MGGQNHAAPTQTQTRRYQSSSAPSVNYSRRYACDRCRGHKLRCV RDHLTTEGACQRCRKAREKCTIGGSTRSRPPRDSVCRVVSHNESSSSASPTRSARLVF PQQAWESVEYGDGQNQLRDDNDINWLDTLDATYSNIACELDPETLGGGAIGGQDYGDV GSAMLTTTQKTSAGAYAFTSAPEHFEFSPVVNISGDREALTLQSSQQHRKDTPSNSND ASSSTPSTCAHEPPVALNAAHRLTPQGSSNSGPSNQTSATDESVAPNAELKDAAIHEL SELSTSLMKDLHRVVSCKLASSFILTCSDKRPAEYLFKTLDGSTSQENAIGRMLQGSE KFLEIIQSFNQLSHSPDSLPDNAMIDQTLNLHDHEEGPDSSERADHAQLERRWKMLQS CLERQSPIPTALTSGIFNLSWKPDMTSKLAVLTCYTCLLRIYETVFSVIHHTLEFSPA LAATIKLPPTVPGLEINGFVIHRHRSLQIKVLIQISTYMLDSVEKSMHCMLSDSIFQA LLKTVLQQEGLECSPGNETG PFICI_11876 MTSNNLQANLSAQGVESPSFAESSPPTLPSDVHQLRDDVLDATA ELHEVLLEPLMLIYKFAGVSNVVSIDSIVRFKILDMIPSGGRVSFEEIAEKTNLDKGL VRRLLRNAISMRILTEPESDMVAHTKTSKFLAIPYISSWATFESHDTWPAIAKVGEAI EKWPHSEEANQTAYALANGGKSVYEVLGSDPEAAMRFAGGIKSLDHVPGCGDAFVAKS YDWASLGDVRIVNVGGQRGSVAIDLASKYKNLKLLVQDAPQVIQGADSTVPDSLKERV QFMPHELFGTQTEKADVYFFRMVLRSWGDQYAVNILKAQIPALRPGAKILIQDAVLPE PESATPLWRQRVQRSVDVALKFYFNSYDRHLDEWKALFAAADERFALHRVIETVDSNL SVLEVHWDV PFICI_11877 MQLVVLDDLTPSSEKGHVVVHECIIVLTGKAHIHSGVADAVDGL KEIAYDDGREKGGVEVEVKVGDVFVILAGMALKTFGAQPEADLNLMTLGQGHDIKAQD RRGTLES PFICI_11878 MGQKGGLIVMESAPLMDHMDHKDNKDCMDYRASPVGILFYYYLR FLGHFGADIGVPDIKGSLSKRKSPASSLSLMMIAKITLSKVVMEEMDETGEMDVQVTM GGLGQVIWGLLGLMVDLGLTLVRAHKEFQGSAALKELASSLGSLWIKRMF PFICI_11879 MVSSAFSSVGPELAAVLPANDKPWYRKRNQVVLNFCLMSLFLLS SGNGYDGTMLNGLLALPHWLSFMEHPTGAWLGFISGAQNLGSIIFFPIVAWSTNRHGR KPTIFMGYFFLCLGVGLQTGATSIPVFVASRVVVGIASAFFGGSVPLLMTETAYPPHR GVFTSLYMCGWYVGSFVAAWSTFGTRNYDNSWSWRIPSALQLLLPVIVLPGLFMIPES PRFLASKERYQEARAFLVKYHAEDDETSPLATFELEEITKSIQMDRESSRTSSMRQTL NTPGNRKRIFITVYLGIFSQWAGQNVAGYYLVPVLDTIGITTTTQQTLINGFLQIWNL ACALMGAFNVDRVGRRPLFLAAIGSMLIAYIHITALSAVFAQGVSPAVGTAVVPFLFL MYGCFSLAFTPLMVAYPVEIWPYTLRARGLSLMWVSTATAVFFNIFINPIALEAIAWK YYLVFVFIIAGSGVVIFFTFPETRGHSLEEMARIFEGQDTLLPREEALAEKAIASGTA EHVEVESRSVKRDA PFICI_11880 MGAYDENTITQLVTEIYQLQIKLCAIEADQVAFPPDGGHDINVE LCESLHYSPAVISLMKKLPYPKKREMQLDISLLPQGFPLVYTDDLDIELGRDPQNAPS GGLEPLRLDFLLPQDVALTLMYTRDGVSHILDTQDGKLLPTPALACLSLMFQLGTIRL FRPQGYPPASEGYQYERPDDTFHYRNWPPQDAETYLRDLVNNIKTLKSIPCSLYPYYF DIDEPDVQSEMKEIIESYGWPDQFRRDEWKSRMEEHWQDTM PFICI_11881 MLMAHSKSLRLVLTASVIFQFFYLAWAQSTCSDTSDCAQGCCST SGYCGFGPSFCGDGNCTSSCDAIAECGPYAAADSFDCPLNVCCSQYGYCGTTSDFCGT GCVAGCDDVVEPSCSGSSSDKRTIGYYESWSYTRTCDSWSPRNMNALLWTHLNYGFAL IGDDYTISQMNDFDAELYPLFTGLKEQNGALKAFISVGGWAAGGKIFSDMVSTATNRA VFINSALQFMKTYGFDGIAADIDWEYPAASDRDGVAADTANFVSFLQELKVVFGSNYG ISVTLPSSYWYLQGFDVVRMEPYVDWFNFMSYDIHGTWDGNSPYTQAIVQPHTNLTEI TEGLDLLWRNNISPSKVVLGLAFYGRSFTLSDSSCNTPGCAFSGGGTAGPCTGTSGIL SNAEIQDIISEYDLTPTLDKDAAVKYMTWESDQWVSYDDEETLALKKDYANSHCLGGT MVWALDLDDPSTSTSVSNLQATGLKLTGDDVDSNPTFALSKLSAITQQNNIGLVSYWT DCSSDPQCAAGFTLVTTGHGKIFDADTMAYTGDGCHGGGKGYNRALCVESDVQLKDCS WFGKPKDCKQTCPSGYALIGQNTHIGGASTSCKAGHFSSYCCGSVTTSGVQECESDII TPFSNGIGITIRQSDSSVVEKYQGTSADDALVGTSEECDYMLSGLAVMIGSPNYILNE IPGSWQTYRGLGVAAWSPVMNSNYPTASSITCTTTTTDTTTMFTTTSSAKHVTCDGNR YAQACGHYSSVGVNNPSNRELVCPYDQWGEKKTLSVKAYEDSHIRAWLLWVPNLPAGI YNKCARDEYPPKHFLDSTQTNYNQWIRFLPFWENSGAGNLWVGICGNPGRSTTVQGGR ISALTCYETMSVTITLNKMSMQYTNLPSDNLDINSCLPIITDDSGFALLPNDVWYGGN ANNHHDSTAYKSAIPSSLTAGKTAPRTSPAKRDVQLQMLEQILQEASGHGDLFAPDDI EDFSPDKVLINDGDVSRKATGRELWERLGIIDCESAGCLEEAAAMDIAFEDEDDELSV AQTPPAIPTRDRPATPTAMKAVSGSKPTAMAKVTGV PFICI_11882 MRLLIATFGFGQLAAASTTLWNGLQSVFISANITTACDAAFNTS LNCPETVLQYLPSSIQAVGWNTSTLTSMCTSECEASLGDLADAVATACGNDLRLPMGG QSISYEEIVDLIQYKFGLVCLADESTGEFCSDVEAGWNITEMVLLEEATWPTYTNKCY YTANGGDWLPLVDYDNSCLNPFDHSVIYTSVDNLVSLGGAKTAIEYYKELPDPIDDDN YGWSEPLDFDEYPLEIQCSSCFLSRFEYGLTNQWGDAWDEITEQVWANMKLNCDLDKT ISPAINLTGSGIINGSFNYEITPVSTSCPQNLTIGATRMTCQEVAVSFGVPLSGILGL NNAISCAGVQNQDLCSPLSCPIGVVNSSVIPVIYDNLVDVAVYVKQFANITMTQFKTW NPYLFSNTLKNGEAVCVGPEGGAYVPPTATAAVPSVYTTTATPSNPTPTGTIDNCGLY YTVQSGDYCDLLCLQFSLTFSDFINMNPSIDSACSNLLLGDDYWNFSVAPVNGTTVPA VTTTMSPSGSSSSSTATMATSQTSTSSTATYATPPAATVSGTTAECEQWYVVQSGDTC STIDAQYGITLEEFRAWNTYVDSACDNIWPDYAYCVDGPALSATSTAAATSTTTTSTG VVTPTPTQAGMVSGCTEFYEAQTGDGCYDIATSYGITLDEFIEWNPALFK PFICI_11883 MTTTNRIIVLSSIIARDTAIINNFLVASKAPTPSFNENALATIP IPDDATDIKDARSRVIESCSELKALLTGPKELLRFQWTDHVSVKAILRFNLDKSFPLG ESTSFEAMSEFSGLSVRNVRRIVRHGIINHYFFQEKSPGVITHSALTAILASDEVMRN SLRVELDEFWPAGVKMADAMEKWPNSEESNETGFSLANNSDKGMFDIFADNPERAARF GLYLSKPDPTSDGLLDNYPWADLRTMVDVGGSHGSVAISIAERFPKMKCFVQDLSDTV AEGASHLPADLSDRVEFMAHDFFTPQPVKADVYYFKSIFHNWADKYCIKILQKLVPAL QKGAKIIIHERILPGLESLDTVDARRAINMDVAMQQLLNAHQREMHEWRDLFTAADAR YHYIGARQPPGAIRYIIEAEWQG PFICI_11884 MEVIGLIAGLPGLIEIIQKTAEIASQLKNWKSLAGEMNTLLQQL EGLENTLKDVQVRGTYPSLSPSQVANFQANLDKIRVDLTNLNKLLTHCNTSAQKGGMR FMRQVKLLLTGFDKTTKKHIERIRDTRAELTLAIVGQVHQGLSQSTSKTDLLLQLEKT LSPSSRDFIPPKLNTTCDWIWSDKHLNTWVDSFQHDPSDQFQRLFCLYGPKGCGKSVL SASIADTLRDKGKISTFFSYWAGSESQRKFNGLLKTILWQLLKYLSADNVSQVASILM GASSSDERPLRAAMDFTVRMIESKVYMIIDGIDEAEKDWNDPEDQSLLTIHDLLQTHT NIHILLCGRETSLSRAITSSPSSLKISQDFLRDDINALIEWHLDSSSKSHVKLSKDLV RESLHAKSGMMFLWVNLVFKELNRSLSTSSMRVILSNTPPTLDEEYNRMFDSLMKRSA GSSTRPSVTMKTVKRIFSLILAAPEPLTLAELSYACAAEMAIPSAHDYELLTREGLVD SCGDFINESNGHFHFAHASIEEFLTRPVDQWSRADSNVLYFQIDRMDSQKSMIESCFN YISSMEMGYPIHDKSMHSLPESYPFFHYASRFLPVLLANAMSVITNSALEDFIASKQF CGLVEYVVHTLEMDSWDLFLQYLDLLHSLSDFRIPISTLMAAIKVELGQREKELGEHD PRYQTWASIVGMLSAYLDCSITEYAQQDASITHASGLAAEPSTQVTALTVHFTAQNHG AILAQLRPNISALLQFRLLESLRSVPVAILPTWVIILWALQTWNGQNENSPRARPMLE TALRRVRKRRNFTESVCLLYLAIMEDHNTQLRHEVDKCSLFSWFRESLEIAQDLPSSP QVEAVIQRALCGVTEALLLHNDRENAMFYSRQLEESVCSNDMVNDDAGWLKRMFYRGS AWAKWKVLVLGIHTTTLSGHNINKDAGRMNQFVLDLHTERNWHRSPAILEWHWSKSLI LWRSGKIDECRISLRLILEHLNGLEGTRHHAEYWPDTAALLTQCLLREGKEAEALEWL LKAPIAHMLQKPVQVQSLGITLLKLGCIDRALEAYNCWGREKLLQDLRQLEWTWLLLD VERLLARGIDAKDELRTSALYDAASGAHSFLVESLLARGARVDFRHDLSWDTPLTIAT KREHHSTVEVLLDQGADHDHQNADGKTPWYYALTGGKPFMVGAFLKAGVDVDRHLPTG WTPLTLAAYNGHDSVVKLLLDHGADKRRRDLCGLTPLAWAEQVGHSSVVQVLNHGDQV DSKGSSPSFSGSHMDWTLF PFICI_11885 MASPNAALSADAQAHSSPSSKRMSIQRYSKFKERSFITSKNEHI PLLESLSQSPRIVLLGDSMIERMQTTGQCTSLEPWPSASLLDDAHLESERTKECPYQR LEGVFYAGVGGDKYENILYRLYGDEERQLPGLVDALRPPDIKLWFIHAGTNNLHPKRG LPAASVEVLRLVLETILAISASETRILLSGLFYRADVADQLVDEANTKLEDLVASIND DAKTEPRLFFIRAPDSVRIEVDLEDHVHLNAKGYRLWFEYLLPKLAIYSI PFICI_11886 MAEILGIASAAIGLVPIVVEVVKGFGTLCKAMKVAKACAKQLKD LDVNLRTQEQIFINECELLLRPSMASTQSLQEMTRDFDNPTYHDGALEIRIQSRMSQS YEQSIEIIKAIRLSQQLLQSELSTFAIVREEKQVHESLRATFKRLKTRLEVGFNLSSY EKQLDQIRRHNCNLQAIRRQLDDLHTAKPFKHATPLPNRPSLPSWISPVRELSKDAYF ALSSAFSCQDDKHTDHYTALETSTRSPGQKSSRLEVAIVYCHGTDIEDHTFLRLILQS CLPHPEWVSKTGTAHVPKSTRRVQFAEPEPERHSTESCPVPPEQRNLISLSAVDNACA YLESTMRYSTRQHTDYLAYLYQESPCERSFYITRHTDGYSPDSYHRRKSLQDLFKNAP ATRVTMPGQLRLAIQLVYAVLQSHSTPWLRELWTTSDLLFETTGPNHQNLDLDLFLRS RLLSHNDTDSTTTTLERRVHRDKGKSIPSDRTDGYGRDNYDICNMTLFSLGIALLEIG HWAPMSQMRLNGDRDDIATALRVAQENIGLGKKYGRIVRKCMQCEFGYGHDLGKVELQ SAIYSDIVCPLQELVDRLDGP PFICI_11887 MVGHDMEIPGDVGIVARLCQACIDIIKTMTVNGAEDQSFQAHIV PLMRIQHSLVLWDIDHSVANGNLDSILQTSRGLQKATLVPLRSIIRILAFDMLRYVPL RTREQILGQRYEYQLLLDESEFSLCRDDDSDEEHTASEPDEDASETTDSEESGIHVIL HRLSNLVRCLFDLGGALESPAPDPEYLYPSGTTEPSLNISPYQAYAQKIRDRFPSAPK TVIEYLGQANLKRYQRIMQRIREEEEEEEAQVRDTHASPTDIHSTAGATEIARNSSAW AWLMDAEFRDSALGSSIPTGTSRSRSSLSEASSTISAMAGEAWKSFPRLTDDAKHGKP FQCDACGRILVISKTRQWNRHLEEISAAALPRNASEHIGDDEASEVSASSHASSAVPA SQYSDHEDNGPVNEEESTGDTGVNEEAPITYGYLFNEDRSPTEMLEALLGSIFNYAVA FIEDAADVPGGLLYMTPHRTAALYRLAGLNYDSLFADAPHKAISYIWEVLGVQHVLLP GENDFQPPSIPGLTRNGFIRWQSIQILLGPEEHVPFMQHAALHWGLRHPRTGQLLPRD LPANSFPKVCDPEIDRWHKECADHLRNNYREPSKTRMTPLTGGAMGPDGWAEKANKPE KNPYPWVDGPSVNSTP PFICI_11888 MTTNQKKSKVYRVQGLPDRPGFDRYAATQLIASAINDPTVTAGD IEIYSLAPSVDPHKIWNRKVATVMFSHAPSPLATAEGSDKSVDSEWRFPVPGFPEPLV LDSHFLGLTVLNDVSATEHRCDCIVLSGLASHPFGSWQPKGDNKSYMWIRDTLPTSMD GVRFILFGYDTTLKDSDSFQTIVDLSNSLYLTLQTNGWASPSTKPLVFLAHSLGGVLL KQLLVILAGANENAQFMLSIIKGAIFFGTPSRGMALAQLLTMVGDRPNKGLVEHLSET SSFLPNLETQFAGISHLQRMNLCWAYETKTSPTVEKIDGIYKRSGPRSIMVDHQSATG YRTLNPSSTIQIDEDHSHMVKFNVGDRRIPIIINKIREICFLGETPHRMEPTALAMNM SSGVQYESDTSYARQDLLDDARNSELVGWDYDLIISVLRAPERDQRYGQIVQNVGHTF SWAFETQSIGLSQWLREGEGIFWINGKPGSGKSTFMKFLLDDDRTKEFLHYWRSMSGQ IIASFFFHHRGTHLQKSFEGLLGSLLSQLLEGDVRLRGLIGDFLSEKSTGLYEAVQSD VKTLFQLCNIKSDSTVHQKHMVTLLCENPSLKLHRLLQDHVPYLKSADRSLIKKTLLR DFDGISHATSDKSVYAAAEQNTLRKLLPTFTENEEKIKFIIRSWSESLDISGQVGNFL TEAELKPDNKQHITRLIGRQRARQKRQISIQLMQWTQSDLEQGLRRVFDQDAFDLEVC LFLDALDEYAGSPEVVSAFLKDLVKAKLGSKTRTKILFSSRPWTVFRDHFGTCPSFSI HEHTLEDIHEYCASSLPECSRIKALIRPFVGDIANRARGVFVWVRLVMTDLVSLVTAT NETDEELPQKLRHCLDSLPDELEEYYSAIIHRLPASTRKQTYILLECLSRSSDIIYLS EVPELLACGMSDSLSEALVAITNENAPGFADPEGHVGTISGGIIDIVAGNGLQYSALS DYSRNSLKNKRDCVLQLMHQTVKDWMELPTFKHTVLGTRADMTPENGHNFLVKFYTYV NCGLGKRSMGSSSGSAILDHAVQAEMTTGVSQYMYLSRLPANVLGHVLRQKNDWPGTF TRHPDIPQRRGYGGGMPVKDIDWLSSTGIPGSTEVPGSAGGPSELGVPGKLTEPPGGL GIPGKERVLQNTRGPSHVLASGLYFAVVGGLSLYLADSVQHDSFVFQRSSEPLVSILL ELVLYKSAVQQSDMVAARLESAKLILNNGFRIQLYPDAFSLMFEGIWRSPNQQTAVIY ADLVWVAVRNGLSVATLFGIGLDPGSPTSVLHLSPPTLAEYLLEKGANPNRLNGAGQT PLDYILEPDSVFQRSPFGLDWLYDVVRLLIKNGAQRRKGTRHSQRLQGHKLLQRLNDS GYDIGPLLSLQSQSLSLDEQSIPPSEPGNGVADVPPSDTSAGQETARNRLARLFGGSG GFFRW PFICI_11889 MSSAEFDRLTLAEDSTDSNRVTPLSILDDDESHQIICEEWWEEA LRCCKDMLNDEDYKTLEEFGSEEKFVEALLGLREDFGTTIPQGISRLLGETEFFRQQF RDILANFMFIMLPRSVTTGMAWGLTYLVVQVMAKYVKRDDDTASKYAKMILDIRRQLA LVKRGTPRDKDMDPAEKQELRHVYITILQALIQFWRDSIKYLRGIPKTSDVQLEQEWK DIEKSFAKAFAAIGETEKFLERYYKQTNFRQQPKQPDGVKLKIFPVHTIPPPMTSLFV GREDILTIMGQWLQSDRHDRNGLAIYTLCGIGGVGKTELAQEYANSAKTKLDAVFWVM SEKKDLLAAEFSNIAVQLHLEGAKFDGDAANNRRLVQDWFRTADESWLLILDNVEDFD HIKDYLPPPESKGSVIVTTRYPGLARRLSLHGGRSSTVEKLGKDQARNLFLELLFREE ENSTTAKETQFQAPELPPQEVKALDFLLKELDGLALGIQQMVASIKHGLPSCTHNLAK FVKRYQKHLPHLLEKDPAMRPHRLNTLWAMTFDNMRTHSSNPYAWNILGTLCCFQPDE IPMKVFLDLNPSLAAGNLEFCSDEFYVVDGISFLRNLGLVDVQGESDDRISLHRLTQV AFILQKDLEGSERQTIFESASVLINDAFPKQIEGRMMYGDWEKCREYIKHAMSLYDLY GRLKGASLPLSCTQAFAELMTNCAWYVSDLGDWDDTLQLTHGAAEACKEVDEGGLTRA HLLNTESQIHFSMNKLDKCREALEESQRIREKRLGLVHEELANTYMNLGNLEAAEGNY DKSLDFYERSIHIREQIPNPGAQLMVGLCHLNLARALLWKGDFESSGAELDKSQSIME EHGGENYHDIVFVHFMRGNLYFEQGDLEKARELYQASLDMLVAQMSTHPKVAAIHFKI GTTHYRLGRWQEAMVALSKALHVARNREENTLGEQARIIRRQAQVLESIPSPGPSVEL LRLVNGDSRDSETLRAIAERLRFRVTGIDSFQARYTDDEEEIAFNMLVGFFDR PFICI_11890 MSLPHKDPAPTGPMASAFAVVPGFEFSENGDNVVDHHLILEDKL QPWKQSYVPDKKNSAVIEVKGVGTRLYCDQAGRGGF PFICI_11891 MPQIQGRIRYVQKLPKYETEKPFIAAIPEGTVDLEKIPITNLEY EEKLVDFVDVRQALTDFTLQTSGFQFLSHKSKYPRVSTTELDGYQRETEDVLKKLLDA EEIVCYDFRVRLPLHRSPLVLLRRVSFLASQERPV PFICI_11892 MDQPKSPLENFGKNESIYKTLHERCSKWFVRHVENTAKSSRPSK PETTNFPYMPSPDILLIHLDALRVSLSRVKETSVREIYKEFRRELETRPASRTNGASV GLGLGPESPSSSDNNDRNPTVHGVQYVFLLMGLLSMLYEPSLAPRDGRFDVVLSRPDG DSPRFSRQMKGQTEEVTDSLEVKDHESLSFYQLYASFGRRLPGASTTEQATGSDTITA SNIYYSNLRRVGKLRIEWVNDMLHHLDLDERNRTLRVFAYPSFCTLVCLSDPKSTSPL SKLMSHSHINPVSQAEDGEEKGRHQFFDDYCREMLISFGIIFAQESGSRRAVRTEARS NWLRRWRADEKENTMGLSWAMIRQADEIIWELCTRSWEENPLFNYLQSPPTRSNYSTQ ADFPFLGQKLIRLQDYMNVQSPNDFRTLLFDRRDPLRFWTFVTAIGFGLASLALNTVT IALAAAQLRQDASNTSGSNLTAGS PFICI_11893 MTHMLNLNPTAKTVAGAGMFSDLIYPKRDGSSGSSASAQEHLPP AQQVVSALSQDPAYSVVQKEMPYLNVLHKLLTSGNNGGVNWDEATLSADYVLQMLQDA AHNFNDVSSSDGQPSKNLKSILATTSEISGTLVPEAKKGVAMGNKFPQADSADVKGWQ ARVQESYKQAQQMTAFARALPGGSPVGSTLMAIPLDPNEVIAKSNVKSAQAQATPDAI KNRLLTAQDCYIKTKMLYNNSIKLATAQKENLIATQTQLKRLNEKNAGIEEIKTLLES CINVTIEVKTQIMNLVILLRSVSATIEEVTKFIAAPFIKQIQAIETRGDQTKTIGPFS LIDLQRSLVYSSATMICSHYSVVGDIAAMWARLSGNDMQPGFQLLDEIFSTKNDLSNR QACQTLLTWADKAIGDIQNFAEEFNRKISDDMTTQNTEMAKMINQFKVPKTPEIVNAI QAGTDEVLNAATVTITANADARPLDRSAPIKV PFICI_11894 MKNLGVFALAAGSLPTISAHYFFPHLIVNNNFTGYFEYVREDTQ GYMSFKDGYSSTDLRCNVGSQDFANQTGVYKVKAGDELGFGTDFNALIQHPGPMQVYM SKAPGDVREYDGSGDWFKIWELGPQQFSSDGIEWGVTDIGNFTFTLPEETPAGQYLLR IEHIAVHGAGDYGGAEFYFNCAQIEVESESTAIPSPVVQIPGLYTGYEPGIEFYMYRP WIVNYTMPGPVPWPNAVDANVTASGVTVAPTDATWTLPAVTNTLSATTSAQSIQDTLS EIATATFASSAPTTLISAASTLETVLAVSSQSVSASSAATSPLPTKLTCGSHKRRSA PFICI_11895 MGSLSEPVRGIRIAIDRGGTFTDCVAAVPGQEDILIKLLSVDPS NYPDAPVEAIRRVLEKATGRSYPKGQKISLEGVESIKMGTTVATNALLERKGERTAFV VTKGLKDLLHIGNQSRPKLFDLTINKPDVLYSKVVEVPERVTLEAWTERKVPQEIDIE SEPALIRGVTGEAVRILEPLDIESTRKSLQEVYDEGYRSVAICLMHSYTFRDHELAVG KLAEEIGFTHISLSAELSPTVKIVPRGNSSTADAYLTPEIKRYIDGFESGFQDLRNSG CRCEFMQSDGGLVEFSGLSGLRAILSGPAGGCVGYARTAYDEQDKTPVIGFDMGGTST DVSRYAGKLEQVFETTTAGVTVQSPQLDINTVAAGGGSILTWEAGMFKVGPDSASAHP GPACYRKGGPLTVTDANLVLGRLRPEFFPKIFGPNEDLPLDIDASRRLFEDITKKINE ETTVKLTLEEVAAGFLDVANESMCRPIRTLTEAKGYDAGLHNLASFGGAGGQHACDIA RKLGISRVLIHKYSSVLSAYGMALADVVREERSPCALTYSDDRLATFSAELDSLEARA TAALLEQRIQKSRITSERYLNMRFQGSDNPLMIQQSPDRPGFLDAFKTAHQQQFGFLP VDRDVIIDDYRVRCIGASTVDVEKPWKAEFTTLGAIAVTEAKETRDLYFKELGWHKSP MHYLGDLAPGSKIAGPALIMDNKQTIVVTPGATATVLTNNVVIDIEVTKKEDISSEQA DPIQLSISGHRFMGVAEQAGRALQKTSVSTNIKERLDFSCTVFSPDGGLVANAPHVPA MIGSMAFAVKWQIDYWKGNLKPGDVILSNSPVCGGVHLPDMTVITPVFDDNEKIIFWT ASRGHHADVGGILPGSMPPNSKELWEEGAVIKSFKVIEGGVFKEDELIELLMAPGKIP GVSGTRCLRDNISDIKAQAAANHRGSQLIHGLIADYGLKVVQFYMGEIIGAAELAVRD MLKRIYKSTNGKPLEAVDYMDDGTPIQLKVTIDNETGGAIFDFEGTGPEAYGNWNAPI AICNSAILFALRCMVNMEIPLNQGAIKPIEVLIPDDSLLKPSEEAAVCAGNVLTSQRI VDVIFRAFGTCAASQGCMNNLTFGTDDPENGFGYYETICGGAGGGPTWDGVSGVHTNM TNTRITDPEILERRYPVILRQFCLRPGSGGAGFHPGGDGIIRDIEFCLPIKVSILSER RAFAPYGMEGGEDGKRGQNLWVKKNGRVINLGGKNTAMMNAGDRIVVQSPGGGGWGEP SLVGGNGLVGSVAKSIQKTFQGVASGTVSMIQSMGESA PFICI_11896 MLRYFQDFLRSGLIAPEQTTHKALSALLNEDDPDEKNRLTEQWR DHKLAELNFIGVVGALLAGVLTSTGSWPSVLSNGKTQPWTIRALWFSGTLFALFAVLI AAKQSLSLHRLSGHRDGLLYIRSCMSGQVTRDGRVEPRRAQVYAWQMSILMLTAAVFC MICGITVLVWTSAEWGPSKDGNQQSWWDDSAKLAVTFTIVLVFTLGIFCFTQASLAVS SVVQDDRGGRRSRDHPFVFRSESSNIDA PFICI_11897 MAHREVTPESPGLRTPPVTPAATPTKPVPGAENLAARQATIQAL SSELETLKKQYNELVSRKILHPSWRLHKGSSAQPSRTTSPTYNSSPNHNISDPVTKVS PEPETTKSPNVDKPTQGYLRKRLPKSSTAQPSRTTSQTYNSSPTHDSPDPLTETSPRS RTTKPPTADKRIQTCLGKPLPRAQCESSGVGNNYIFQVTPKDFPDMTIIKIGVTKGPE HVRLAGIARDCEHLMVEAQDDPEHLPIRLYQRAETLAHQELREYRWPVGCRCKVRTHR EYFHVPRDVALEVVQRWREFCRREPYDGQGQLRPFWRQRLDRFTSLCGVAESEADHWE RSKRWTKFMNPKKHEIMWYDVKQMLSSLWKWRWLAVALVQSFSIAILALPRVYPIVMF AFMVTWVSAETSGIAQPLFPRAIGWAPAIIRRAEHDGLGDELTLLDGSVYIDPFDEED DRDLEDVEMNEA PFICI_11898 MAVRAQFENSNEIGVFSTLTNSYALTAVGASENFYSVFEAELQD VIPICRTTIAGTRIIGRLTAGNRKGLLVPTSTTDQELQHLRNSLPDEIRIQRIEERLS ALGNVIVTNDHIALVHPDLERETEEIIADVLGVEVFRQTIADNVLVGSYMSLSNQGGL VHPKTSIQDQDELSSLLQVPLVAGSVNRGSNVVGAGMVVNDWMAVTGLDTTATELSVI ESVFRLGEGNAPGNISGSMKDTMVESFY PFICI_11899 MSADANGGSDSRDINQASSGVPSLPPLRSITNGTRRSAALAAFQ THTGSRHGRWRAERLIERHMTRDGISDSDMRRTRASQNARDYQHLQTMRALHDTMDDT NNELRALLDFAQPSPHTYSEQLSFPSPPLQTQELSDDNPRAKRRKLDSDCLSSGFKGF RYGKYGQVEPGALTMEIVSCDGGIYSDDSHIYSAENILKNDASVYCTKGPRCNIVLRH QGSTVFTLKELVIKAPRHNFSSPVREGMVFVSMNADLLARTARYQISYSRATGSRRTD RDDQPSVPILSSRNTEAGTSVSRMRARRLGNIGMDGMEDGDENEYRSAQIPPEFTASP PPFHVTTVCSDDEDDPSMRPFWQQAPNRIGALPFESEDSGLDDSDTMPSRDHGLRRRR YNLLGSTEVETAQDSAGGPSSAGEGDLMAAHARFFIEPDKSKCTIKFDPPVSGRFILL KMWSPHPDSTSNIDIQAVVASGFAGPRYFPAVEVR PFICI_11900 MEHQEQQQEAQQPQPQQNNEASVTTTMPERPAQEPATAPVEQQP IQDNGTAQLHQLPVDSSNNNNMMPLPDQQQTDPNGLGMGLGMGQFDPNGFILPPQDLN FAAGANGMAFADPTLMMMAAGQQMGLANMPPPDMNGHGNENGITADEIALYDRQIRLW GMKAQQKIRSANILLITLKALASEIAKNLVLAGIGSLTIVDDDVVSESDLGAGFCLSQ EHLGQNRAQAAGENLRKLNPRVNVFADPNGIMTKGASYFSAFDIVIATDLNPTTLAFI NTATRLYNRQFYAAASHGFYGYIFCDLIEHDYVLQRDKSNVDTKVGEETRTRSVIDVK TKQEGEKKIEVVTKRELYSTWDLASETSLLPKDYRNSKRRLKTVTPALSCFRALWRFQ ADQNRNPGPNRSDLETFTKNATANHQLLGLPTETLKSEFLRSFLQNIGSEIAPVTAIL GGQLAQDVINVLGANQPPIQNMVIFDGNKMQADMYPLHPEPAGGMRLGRAQLDMSMPP LPAVDFGSIPQQTQMSMATGEQQFPNPAM PFICI_11901 MDEHSQADGSPAPSPARTGRGGWRGRGGRYSGRGGRGGSLARKT TVAKRGGNRGRGRGRNKTYTQPRVQAAYERSKDLRELYSDVSSAMKPALERLAEHTLN QMIADPEFHTKVPEYAAVQNELDDRLKARLEHLESEEQLRRHMVEHKSEHDDIISTEK FNNGFDYKTDEFLDGVLNRLSILSELRRDGYGTNIPSPYTFVQKPDSVVDEQGPYVFH HPLKGHEVPYPHLLQADTKASALRTTLGRIKQKPGPKRKAEETGEGQPESKKLLGASF TSAPDSSTPRPRHIGGLLSAETELDGEPESNAPSPTPLEEGLSPESSQESSTSGKKEK DLPDLPTGASEPDQWGVRTVYKRGPKANNRIIIPCVFDFDDDDIGFRDSTNDSTRKAT KNSRGRFLNKPNSRAWHLDQTIVTYNALDNKDGDLDPEIVKKHKVHPRFGFFLPESVN ESEPPHEHVDTTRPIVVVTPNGTTLHASRSVRPKLMDQALRADAGKTKITAMMESLRK DMELEVDDITTPEIRQKQQDEQERQAELDKIAAAEEEQQQEEEESVDEYEQANAIVEE RQVLRIMPSIIANDPRSNLTRQRGAANLISAATQLDEEDFMRDMGILSNPRASRPFDP VRNAFRPVHHPIPPRHHPVDTFGLSVLADMSELRSAGHMADPSMIDGRHAPLAPQPGF LQTALNPAPYASIAPAPQQSIEGRPPTPARNPFTGQSTGRNSPALPPLRPTRRDKGLS TGLYADGQPPYAASSAALAAGPPQPPPLKQEYDHAHGVGNGFYPPSSTHRPFHHGFPH PEPIPPIAPRQGPPVPAPLIIPSQQSHPSQHLPGYPAALSPTLPGSAPLASLLSHPMG PSSPALTGSGLPGPPGPLNSPTSSTPQSRGSISSNNGQQNSAKYRKIAAAPVPYSRQW PSNGGTELRLSNYDPKGAIKDYMANEPPPRTGPTTIRGWSVNNGQRNRGRAGSRKEGS VEDTESPK PFICI_11902 MGKRRKLSQKGPANAPKSGSGKPQHKNAPGQKHHTTGGSKATPK PAKPAHKQQQHEEPTIPFAPEDKILLVGDGDLSFAASLVEHHYCADVTATVLEKDVEE LREKYPHVEENIAKIEAEDSRVWYNVDATKMGPYTDKKGKDGVGIMDRIIFNFPHVGG KSTDVNRQVRYNQEMLVSFFQRATLSLAPEGTIIVTLFEGEPYTLWNIRDLGRHSGLQ VVRSFKFQASAYPGYHHARTLGVVRNKDGEIGGGWKGEERPSRSYVFVRKDEVPKPTA HKTKKRVRDGDGDSSDDD PFICI_11903 MAPVDIGVFIPIGNNGWLISTTSPQYMPSFELNKQIVSKAEKYN FDFALSMIKLRGFGGKSEFWDHNLESFTLMSALAAVTTKIKLFASTAILTLPPALVAR MASTVDSIAPGRFGVNIVTGWQSAEYTQMGLWPGDAYFGYRYDYATEYVQVMKDLWKD GVSNFQGKHFKMDDCKLSPKPAHDIKIVAAGQSGRGVEFASNYADFNFAMGTGINTPT AYKDATSRLVEAADKTGRDVGSYVLFMVIADETDEKAQAKWKLYNDGVDHEALSWMSN QSSKDTNADANSTAKNISLPEGAVNLNMGTLVGSYETVARLLDEAASVPGTKGIMMTF DDFLVGIENFGQKIQPLMKSREGKC PFICI_11904 MGFSKLFAATLLAMRLIAPGAAAPSPSALEARADSTYWLPNMAG SETAVFADDSSYKVFRNVQDYGAKGDGSTDDTDAINSAITDGSRCGLGCDSQTSKPAL VYFPPGTYMVSKPIVQTYYTQFVGDAVTVPTLKATSDFVGMAVIDSDPYEDDGANWYT NQNNFFRQVRNFVIDITNLGPESGACIHWQVAQATSLQNIVFNMNTDTSASNAQKGIF MDNGSGGFMADLVFNGGGYGAFLGNQQFTTRNLTFNNCNTAIFLNWCWLWTMQDVTIN NAQIGIDMSAGGVGDITAGSFLLLDSVISNTPVGINTLYQTDLTVTNNTMILDNVDFS TGVETAIRYAGDNSTLVAGGQVIASFAQGRTYDGSSGKAVQAAESSISKPEGLMSDGK VFTRTKPQYESLDKSSFVSAKASGCKGDGSTDDTAAIQKLFDDATAGQVIFFDHGAYI ISDTIKVPADKQIKVVGEMWPLLMADGTSFNDQSNPKPVFQVGEAGDVGDVEFSDLII ETKGAAPGAIMMQWNLAGSSAGTAGLWDVHFRIGGSAGTELQSDKCAKNPNSTHEANT DCEGAFLLFHATQTASVYVENCWFWVADHELDLEDHSQIDIYNGRGVLIESQNPVWFW GTASEHSQMYNYQITNARNVWMSVIQTETAYMQGNPDATTPFTVNEGYFDPDFSTSCD GSSTNCARTWGLRVVNSSDIYVFGGGLYSFFDNYDQDCLATESCQENIVSIEDSSVHL FGISTKASVNMITLDGQSMALDKDNRNTFCAAIAKFESDGGPTDSGSGSGSGNSSSSS SAKPTTTTKAASTAASSTASTSATKSAASGAADGGDYGSGSGSATTASDSAAATTSAA TTAVGAGSSSTASAATPTSTAAQGSGTDTGSDGDSESGSGSGSGESSATTTAAAQTTS AIPADSGEGSGSKVTVTITHTVTATGLCSAS PFICI_11905 MAASSNETDSPRGSSSSSSAATSRTPPHLPTPAESLLLLVYPVL LLFGTLFSALSPETRAAAYDAVGQSHVQAEAPSYFARKNNLFNILFVKRGWAWITVAF FVFVLSHPSLQVSASRKLKAGLRWAAVTAWWVLVTQWCFGPALIDRGFRFTGGKCEVA EAAVFEGGFEDTNKADVLTAVACKASGGKWRGGHDISGHVFLLVLGSWFLLQEVGWVV ARAVNNSGTAGGGFSIFGRRGDERCIVMHDGAVKGAGVEAEKEGGARIQQQQQQGMTF GAKFAIGVVGLSWWMLLMTAIYFHTWFEKLTGLLTAFIGIYPIYYLPRWIPGLRSVIG LPGI PFICI_11906 MASKATEPKHGLRTSRSFTRQESQPPEPLTRTQRANTFQNGSTK PTSRAEPDAFETPESDDPIEPPRASVDMDDIPIELVSKIDNFIDSLSAKVHAAPPNID DISRRFQDFYNTAAQLIQTHISSLSTIQNRSSPRPSVTTRPSAASILRAKAAALGSKE KAKAPEVDQQMLTPDELANRKRARKALEHKRLLLEEAVERRLCEGIYDRIYRHRTTQD EAQDDKLRSKTAALSVVGIGPTDLGVDIGELPEDNIAAVAKKQEEISQSLEQARKDLT LMTEKRYPLGKLNYLKATHKSIVDTLSHFHPSSSADEIMPMLIYTLITMPPEKLHVVS DSKFIERFRWEEKLDGEAAYCLTNLEAAINFLETVDLASLRASEALSGPPKRENSPDI TKTETFPPAYTAGISATSSTPAIVTPASASGLKAPPSPTSARAAAQRRRLSDLIQTPA QAIGSARDSFFDTADQGIKNITNSLGDSYKFWLGKIREDSKELVVPKTLEDARKLIGT PPPDDDDPADTSRSSRRGAEHDAQTLGREDRMLSLVGGRKASVASRDRSADSTRSASS SKKVAFSAEDNKEKAASSAANPMISSSPINPSLVEQARNLGNSLNPMNKFSGMIRPFG RSVTTPVQASTPPPKDSAGKPVDGGDLATAFPDIAAALPPKEVKIPQIKPPNKRFIEL QNPADLKLGEVLELLRDYRRLAGALKDMGAFKET PFICI_11907 MMRRKRPTCNSAGRVTAAQLLLSFLALSSSTVAYDQNAQIVLDG SPIITPPMIVEPPQPAEHTFTLRHILHHGTYRHPGLHRRRDVTEPQAQVWLAGDDGFE AEQMPPLKAKSSAQKIERLVDRRPMVVDPMVAEARERGYVLAGMPSAWTLDDVSGPDV TDKGTVLTMALIASDAYVEKPSLPDWDEVGKPFNRSADFGWETDGLRGHIFADENNST IVIGLKGTSAAVFDGEGTTTNDKENDNLFFSCCCAQQGQWSWHQVCDCATGTYSCNNT CVTQALRAENRYYQAARELYSNVTEMYPDSNVWVVGHSLGGAVSSLLGLTYGLPVVTF EAVPEALAAGRLGLPVPPHGDPQYPQTREYTGTYHFGHTADPVYIGTCNGATASCSFA GYAMESACHTGYECTYDTVADKGWRVGIGTHKIRSVINDVIKKYDTVPKCKYTPECRD CGNWKMYESNSTNPSTSSSSSTTTKTRTRTETCKTPGWWGCLDETTTTTGTTTSTSTS TTTTSTSTCKTPGWFGCKDEVTTTTTSSSSTSTPTATTTTTCATPGWFGCKDKTVSVP SPTSHPITSPPPLPT PFICI_11908 MFAFFKGRAAKDSANGGEDKQDRGPAAAENSSITTPIATNDLAI PATNPAADHPAVPVEPVPAGPEPEEAEPSAAAAQQPSIIATTKPAINVSVEYTLTEAF PTWQPDESGREQSPGTPISIINSCDEELSSEPKTPPPRSTSTTVSATSTAASSPLSLP KRAATPVAVATPHLQAPQQRRSSPPPTRTPPPPAASSVLYTPPRSRRTRSSHVNRSQP DVHAARVQRSATLSSVGIAKRNSLKDSKTKTFQRHSIAESQSSSSATPDREGLTKMEH QKWITVQQKTFTKWLNTKIEPRGKVVKDLVADLSDGVLLIHLLECLSQESLGRYAAKP KLRVQKFENANLALDFVKSRGIQMTNIGAEDVVDGNRKIILGLIWTLILRFTISDINE EGMTAKEGLLLWCQRKTACYDEVEVRDFSASWNDGLAFCALLDIHRPDLIDYDALDKS DHKGNMQLAFDIAHKEIGIPALLDVEDVCDVPKPDERSLMTYIAYWFHAFSQMEKVEN AGRRVEKFINNMQGAWEMQSAYEKRMAELLRQIREQVEAWQQSTFEGTYTDAKKQANE FGSFKRGKKREWVAEKSELATLLGNIKTKMATYRLRAYEPPPELSLEVMDQEWATLTK SEMMRGQLINETIRDIKNALRKSFADKANDFALALNTMQVAISGLDGDVEDQLAHVRK LSDNLPPLDAYLKKIEEVEEKCQEANIEENDFTTYTYDELLYELGLVKSSVSKKLAFL ENQMVARNMTNLTPIQLEEFESVFRHFDRDDSNSLSELEFSAALASLGLVFSEDEMHD YFDETSRGTGRVSFEQFIRFMVEVTEDQNTAEQVFQSFREVADGKPYVTEMDLRHSLV PDEVIDKLMEIVPAHTGPDMQHDRGKPQFDYISFMEKLISTGGSTNGRQTPASKINGS P PFICI_11909 MACRSRITPVLRRASLAGLVRTAPASGASRTALRCLSTAGPRQL RASGPSMAKFTTDTYPDIKRDERFTQITPEHVQFFRDLLGKESAVIDGVTAEVAADDM EPYNGDWMRKYRGHCKLVLKPASTEEVSKILKYCNDNMLAVVPQGGNTGLVGGSVPVF DEIVLNTSRMNQIRSFDDVSGILVADAGVVLEVADQFLAEKGYIFPLDLGAKGSCHIG GNVATNAGGLRLLRYGSLHGSVLGIEAVLPDGTIVDDLCKLRKNNTGYDLKQLFIGGE GTIGMITAVSVQCPQRSSAVNVAYLAVESYEKMQQAFKEARQQLGEILSAFELMDLRS QQLVQRVRSSKSPLEGEHSFYCLIETSGSNSDHDAEKLGNFLEDVMGKEIVADGVLAQ DETQIRNLWSQREGVPECLGHWGGVYKYDVSIPLPDMYKLVDETREKIDAAGLRGDSD EFPVVDVVGYGHMGDSNLHLNVAVRRYDKRVEDVLEPFVYEWIAKVNGSISAEHGLGI AKKNYIGYSRSETMIALMKQIKNLYDPNGIMNPYKYV PFICI_11910 MDGSNLSDFELDKLNEQDKQQLRTFLNNENQKARVQSTIHSLTD VCFKKCITGPIKSGQMDKNEQSCMANCADRFLDVSSLTMKNLQTMRQ PFICI_11911 MAPNTKAPKGNKKASAAAKAVLKGAHSQTKRKVRLSTTFHRPKT LQLSRAPKYHRKSINHEPRLDEHKIIIHPLNTESAMKKIEENNTLVFIVDIKANKAQI KGALKKLYDIDTQKINTLVRPDGSKKAYCRLTADVDALDIAATKLALV PFICI_11912 MTIKKKRVTKSLAHGRPPTLQKPKSISRQATKALINAHHVLEKR KAQAVSKGDTVQQIAIDKEIAALGGIEKYQQASLQGQRNDRGGDSSRVLMEWLKPVHP ALKEASQGAGRPLRMLEVGALSTTNECSKSRFFSMQRIDLNSQGEGIMQQDFMERPLP KDDSERFDIISLSLVLNFVPDARVRGDMLLRTLEFLVQPGNVDTELQKFFPSLFLVLP APCVTNSRYMDESRLEAIMTSIGYIKTEYKLTQKLVYYLWTRTNKPASRTKFAKTQVR TGGSRNNFAILLNGSSG PFICI_11913 MAVSSSVQVVLLDIEGTICEISFVRDVLFPYALKVLPEVVKTQW DEPKFKEYRDLFPTEYRDNQDAFQAHVIDLASRDVKISYLKNLQGYLWEEGYRSGEIK APLFPDVLDTLAKWHGKGVKLMIYSSGSVPAQKLLFKHTNAETPDLTWMISDWFDTIN AGLKTESSSYETIASKHPDVLPGQFLFLSDNVKEVEAAIKAGMRSVVVKRPGNAELAP EVYDKYDVVETFQAIEDDFVIKRLQALGKRTADEAVLGEGSDAKVAAAEAPEAENPDA KRLKTTTGGDDEPSPARAAQDVAAPSATETKQGTTENQEEPSRMDKLLAQAVGDAPPT PKTTEEAKETPEAAE PFICI_11914 MECLRNNFQNGVVLGGRYKTISPLNHGSFGMVFMAEDIETRRKV AIKCMTKKSAATNDCGIEFAVDEKSEELFCHRRVSDHPNIVNLIDQFETEAHAYLVLE YCSQGDLYEAIRTGYGPLETEHVRSFMLELVDAVSYMHSKGIYHRDIKPENIFLSESG SMKLGDFGLATTEKWSYEMTVGSDRYMAPEQYDSAGAGYSPAQADIWAIGICLLNILF SRNPFTTPTEADPLFLDFSRDKQSLFDVFPTMSMDTYEVIVQCMNMDPAKRSLVGAKK ALRDVVSFTTLEEEDDDFCGLDRQPMATANREPLRTPSIQSPQMDQGAFPWSKALQAP IRQLSVIPDNESYTEELFPKSEDTVDWMSQPSIASVMTSNFGASMNSFKLSQPLDVPR KPTKVSPMAGSLPISMAPKPKANLGAMSMVFGRSKDVVSKSWSDMWEEDEEEDEAEQA RQLQALKELNSRTWSQDSKENATPRPDEMPLESVSQAPALDEKPAASAQDTFNVDGDL ASDFFFHESDPINIVKPIATNNHAVHTPSKPDKWTALGERRRAYAGSSPEQKSPNNFA KSSHHQYGSATKKQFGVGNGEITDNGFNKWNKDRNTPRDCPWNKGRDWTWRKDRQDSL FGWVGWRQATQAH PFICI_11915 MTSRQATTWEEYERASSPGRHDSLSSSPRGSVQFEDQQGLLDER EDRGPHDWTNQLRRRSSVQNRLAAIAGIGGVNSLRQFSRSWQRASAFPEIIPQRPAFM FAPDQEPAHNTIEPLSYERTDVEAGARTSLLRGQLEVPENAVLDDGDDSGSAGPHRSF SHDNEHKPFDNGLSRVISPSGSVRSNSIFEIPPHLSAMPLVGSYSSQRTYGTVGSEIS RPSIAHAAELWRQQQESGADLPDGTRPPIMVKEVEQEDGKIVLAVAGQSTLPQTVVNS TNVLIGVGLLSLPIGIKYAGWLCGMITLFLGATVTSWTARLLAKCMDLDPTVITFSDV AFISFGHRARVITSVLFTLELLAACVALIVLFAESLILLFPGALTLTGWKIVCTLILI PLQFAPLKVLSFTSFIGILSVMSICLILILDGLIKTESPGSLIEPAATYIFPENWLTL PLSFGLLMSPWGGHSVFPNIYRDMRHPRRYNEAVKITFSFTYMIDATVAVVGYIMFGD GVRDSVTNNLLRTTGYPAVMNVLLVIFIAIIPLTKIPLNAQPIISTMEVLAGLRQQVV AEDQVLVGRSSMFRGIMKVVIRIMTLLAFLVIAILFPAFDSIMAFMGSALCFTICITL PIAFYLKLYSHEITAKERLIMWTVMTLSFVLSVVGTVWAFLPKSMIGA PFICI_11916 MAPNFEELRTSLREGDLLLPGDAGYDDSLKRWSATCIKPAAAVA RPKSAAEASAIVRFATKHSIPLRVCGGGHNPSGESAAPTPEALILDLAHMRSVSVDAS AQTATYGGGCTWADVDNALWEHGLATVGGTVSHTGVGGLVLGGGYGMLTGRHGMSVDC LVSCEVVLASGEIVTASETENADLFWALRGAGSNFGVVTSFTSKAFPQGKVWGGVMLW PFELFPHVVDFVNTYDKTTDGDQWFMLIVTADPRSGARLCGASVFYNGTEEDGEKFYK PLRDLQPRLMDTTAQIPYPKANTMSDPMAAPGRRYMFGGANFQCPLDFSVMSEAAEQY FAGLEKPGNEEVRTSMFALEMIPLDKVNEYGQLDKTSFNGREKKYNAVTIMSWDTAER DGAVRDIVVQTTKVFKDKFGKHDAETGGADTYYNYLSPENPADRALMKTGRLFGPKAP RLRELKAKYDPTNVFFKNVDLSPLA PFICI_11917 MIDIKIKAAAVHAAPVYMDKKKTLEKVVKLIARAAGEDTQLLAF PEVFVPGYPYFINAYAPNASTVAAYAAESVVVAEDLHDVQAACARHKITVVLGVSERM QGGHTLFNSVVTIDADGTILGVHRKMQPTFAERFIWGQGSGFTLKTYQTAAGYRVGGL ACWEHTMNNARQALIDQGQHVHVACWPALETMAGFEGVANVQIEALMKSHALSGQTFV LCASNFVDDTCLEWMEEKLGPQDNVKAGGGWTAIIHPFCSVLAGPQTGCEDKLVTAEI QLKDLDLVKMWVDATGHYSRPEVFKLQVDKSPRWRDDQDIVGPIPYSE PFICI_11918 MSLSWTLGPPTESPFFRFLRSQFQASPQWPSKETNLAGKIALMT GASSGLGLEASRQLLSLGLSRLIIAVRSTDKGERVAAEFRTQFPEADIQVWHLEMESY RSVQDFALRIDREMNRLDIAILNAGVQALHFETVSETRHEKLLQVNYLSTMLLAILLL PVLKTKSPPDAPGRLTIVSSGTARGAEITWSLAGAGILSHLDDSRHSWNPVTRYAVTK LLGHLFVLDLARRVEARDVVVNLVDPGLVKDTNLQGGASAPLPIAAFFYGMKTLLGRS LPVGASTYIDAVISKGVESHGSYVADWNIAAFAAFVYTPNGEAARKQLWTETMLEFDA WGAHEILKGVKDTVTGTSAMVS PFICI_11919 MRPPLEPTGQLPFVITHATSRTIESSQRKLIRSHVMRGKNRKKS RPSQPASSSSSWTDQNGEPGRYHGSGYLPMKVGGEFSLMRFAAEMTPQTLDTIRKLRY EMFPIEFTQASEGNEASWFEPVWKDEACLHLTLFTTNTLLAGLADSAEPGHHEHHYYY HRTAMMHFDAALGILRQRLGSADRDMDDATSDSTILLVVGLAMASTAVGDAQTAAQHV AGLKRLVDLRGGCGAFRGKRLLQSKMYRVDIEVALSTGRDPLLKSYTSWDPYIFPSQE SASLVDHLNSNIKSYIDTLDPRLRNIWNDLFQFVRAANIAEQCNRSIDTDLYLDSMIS IHYRLINLGYENSAHEAFRLGLLVFASTLFLQWRNMKTRYEHLAQRFKRALMFQEDVP IGIKLWLHIIGQVFIFNDHEAQDSRSALTDLLAQCQVNSWAKARNLMKDMLWVNFLHD GAAEQVLRDALPAQIHTG PFICI_11920 MAANTQEEIQPKTEDSKGYAPDHEKAIFQEPVGEKIPGAVNDAP ISDSTEILRGPNGEEYPTQDELNKLRRVKGPINWIIYTIAFCELCERFAYYGTTAVFQ NFIGQEMPPGSTTGASGLQGQAGALGLGQRVATALTLFNSFWSYVMPLLGGYLADTYW GKFKTINVAIGVATFGHILIVISAIPGVIASPHSAALAPFILGLIFFGMGVGLFKANI SPMIAEQYEAQQPRAVIKTLKSGERVIVDPVLTYTVIYMRYYFCINVGSLVGQITMAF SEFYVGYWLSFTLPTIMFLLCPFVMIFCKNHYVRHPPTGSVLGKSFQVWGLAMKGRWS LNPIRTIHNMRAPGFWDSARPSRQAVQPSWMTFDDAWVDEVRRGFKACTVFCWYPLYW LSYNQLTNNLISQAATMRLDGVPNDIITNLNPLSLLIFIPIVDKFVYPAIARTGFRFT PIKKITTGFAFGTASMVVAAIVQHYIYVYSPCGKYADDCELGVPQQMSVWIQTPSYVL IGLAEICASITGLEYAFTKAPKNMRGLVTGVFWFSQAFSSALAQAFVGLSADPLLVWL YTTVAIISALGGIGFWFSFSKLDKEEEALNNLTDSVFHGSKTADEDVEATLAAKAEQE RIRHAQGLDKVKDEVRHG PFICI_11921 MPETGPKRAWRPLAPAPTASGGQSSEIAGPSRRSNLVKNACRNC QAKKTKLECVFDTRFEGMTKQQSAEFEIARLQAIITDLEEKLRVLRHGTYDEAQSMLA LMRRDVQNHDNDHSGARVHDPLQEVVPSASCSELIQPGHRPSPEISDTDKEHDFPPLL FNRRDWTREPTTLNNDDNANSEHPAAQKKPPELLDHSVGNSHPNTENDLTPRHSNNFK NLPFSSAILANHHPPQIQQQQHANMFQSLWSILPLTTLPGPSSVKTSISSTLLQAQLL IKAGEPIDSVAGKSCNIAAIFNQEQYSHSRLLSKWAARLVFSIQRKKHTFAAFASMHL AWTLARWMIDPRLESFLAIPEWFRPTMAQLYMPHVELVDFLFWPLLRETITSHPDTLQ RDWRWLEDMSRTVECDWFISVDLALDNDPLTGEVYLTESAKTCAEELDNWSVGSSAQR YIDATSIVKVRPNYE PFICI_11922 MSVMLSTPSRASTASSSSYQPISRQNTMSSYDGTRSARQSKRYS MSALYMSMSANETDLEIEDELAKAQKTLRDLKSKISSQSKKNFVLEKDVRYLDSRIAL LIQNRMALEEQNEVASHLEDATELQEGAFPNDDKTQKYGNLMFLLQSEPRHIAHLCRL VSMSEIDSLLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDSTPEYSSLLRANTPV SRMMTTYTRRGPGQSFLRSVLADRINSLIELKDLDLEINPLKVYERMCEQIEEDTGSL PPSLPRGITAEQAAENSQVQAIIEPRLTMLTEIASGFLNTIIEGLDEAPYGIRWICKQ IRSLTKRKYPDANDQVICTLIGGFFFLRFINPAIVTPKSYMLIDGVPAEKPRRTLTLI AKMLQNLANKPSYAKEPYMAKLQPFIQLNKDRVNKFMLDLCEVQDFYESLEMDSYVAL SKKDLELSITLNEVYAMHGLIEKHTGELCKDENSHLSQIMSELGKAPPQVPRKENRAI NLPLFSRWETAIDNLTAALDITQEEIFFMEAKSIFVQVMRSIPANSSVARRPLRLERI ADASATSKNDAVMVRKGIRAMELLSQLQEMKVIDKSDGFSLLRDEVEQELQHLGSLKD GVILETSKLEEVYKTIRDHNSYLVGQLETYKSYLHNVRSQSEGTRRKQQKQQVLGPYK FTHQQLEKEGVIQKSNVPDNRRANIYFNFTSPLPGTFVISLHYKGRNRGLLELDLKLD DLLEMQKDGQDDLDLEYVQFNVPKVLTLLNKRFARKKGW PFICI_11923 MPRTVIENASIFDGQDITGPRTIIIDGSQISHDSTAAKEDTVVD GTGCTLMPGLIDCHVHVDSPSQLASFAGHGITTVCDMACFPMEKYEKLRSADGPTTWL GAGLPAFAQESTHGKLLKFAGVGMDQAVHSPEDAVRFVADRVQDDVNYIKIIADLPGI EQECLDKIQEEARGQGKMTVAHTAQYAAFSRGLHAGFDILTHLPTDKPLDKPMVDQML SQGTVAVPTLTMSENMTSSWTMRLIRGKMEFQHALDSVAAMHLAGVPILAGTDANNTP ILAVPAGTSLHHELELLVKAGLSPIEALRAATSSAAKHFHLNDRGMIRPGLRADLLLV EGNPAEDIIATQKIQRVWSAGKEVCLATENASCIVM PFICI_11924 MTGWKVVKRIKEIEPLTESWVNLEQENLYKVADGDIRDSAQTSG AFTPGTLTATLRKTIGLSVLDEHMSRLDNNQIAALEQAETVNSSGGRGDPSKCRLYAV LELNKLQVEIETFTSSFLDFTWPLIPNKLDVSRAADLTIRIYLPVSGDSGPGLCLGSG KVSLPSAAAKPVVKWLHLAGGTGKLAVGLEYKKSLAPSAIADIHLTTIVGGGVRSARN TRTGCLYAARTEYKSRVDSWSSLSPQGLRFDIDCSFIAPLHLAFQEPEERHLAFSPFA SGGHLFYHLQRARRFDTARARFYAAEIVSALEYLHERDIVCQELCPTNILLDSLGHII VADFSLHRPATQNELRGAAAALEIPAPELLSGQAHAKSADWWTLGAFLYEMLTGMPPF YAQSVREIHSNISSGLIQLPDISDTSASNFLLRLLDCTPELRLGANGASEVKDHAFFH GIDWQRLLRRQVEPPFKPSRINVYSSQNIYQHLPRKVEKVESDPAPLLKMDKSIWSCL LVESWDTKRFCPPDSWDRLVQTSEGSLLHAVIKGDRDIIQTLVKVADRVDVTKALGLA VERRDQVMVRILLDGGASCDFADSDRPAPPSHYDACYSTGNGVNVTEPEEFLPPLVRA VKLKDVHLVRTLLHAGADPNVGFHDNAFRPFHTGGEIPLIVCGRVIQLALVYDNEEIV QLLLAFGADINQTAPEWQHHNCKTMERSLYFKITALLEKSSRDYADKGVR PFICI_11925 MSGWASLPRELTRQIVYLVIESSPELLCEYATISRDWQEYVEEK TFSKLKVRSTQVQDLRRIVTPRRQSHVCDMIFEVILPEYDAKAVAYLKETMAAKADNN RAFTNAIYELFDELTDWKRPEQQRGVSLDLWVDCPSDRAPCELGIVRRRRHRFNTSLL ELLPEDGDRRLARLEIITEFSCGQYSQRSCTVAPKACCDMAAQMPNVHKLEWNLSDND RRRNPRRRVKARQDLAAGLQTIPDSVRHFTFSYHRDGSDSHDWIPPRLYDGDDQTPDP LSVALRQLSQRLVTLRLGPAIVSPDVLWPLPLSSSPSTGSLEHGDHFPHLEVVYLLPA EVTPAGEWLLSGSPSDDDLIRMGRYSDGDDDDDDDDDDVEISFENDLGFRTQFNSSLA EPYFLAAATAAQRMPKLKELCLEWQLRPGVGLGYLIVEEKSSSPRAMLATWGSPPIEL SHEAKQAWRKVTENLLGSPEMDFSARDDDGRDFHGW PFICI_11926 MDDNRLFRLLLGSEQHLRAHLEDIKARASRQPDHDQLASVFRAS AVDLMMLFRSHYCGRLVDIGIRWLISEILESVALSFLGEVEVYKFKYQVRIPWGAYEV ADLGHLIDLFAALDMDVDGYFNILCSNGRHYSRNLVGATYLFAPHCPPGPPRFVEIRN RYFTVRLPAEMMWDEAVKLKQNVQPLLRVAVQLIPSPLSLRFKPYLTDKYAPEHSRHI IGMRRYADALGHLERWIAILTLACYLWHSLDHLIPIHSDGNNAINVDKGIRDRRWTQP LLALSPFFSRYLGYDQI PFICI_11927 MRVSDAGATRAVRASAQDAARRRATRSPTQSAHNDNISRRLEAS CSVDERLQSVEQPDPPDLSFQDATQVPSEAATTPQYAEADSAMSIVRKIYKLNREHIG DCSTSAIPDGDVDSASSPGFNMHPRVSISTILNCQLPDDLTISSLLEDYFEAVHWFSL VIYEPHFRKQLLAVQHGYASISETSFLLLLSMVLSMAAWYRSSNCSADDVGRWRLLSN ELLSVVESRLVQIMDDHSLTAIQCCILLGSHHVYHGRPNLAFSLLGAATKMAHALSLH RCLKHGSPGDIEERKRIWWTIYTWDRFASVFYGRPLTIDDEACDVEMPDVFAESPYFK EGSSQLAPASTVYSPYQIELNKLYVLASPALKMIFGTCRSQAAGHDSDLKYRSLINTV TKKLMDWHNQLPPHLKLNLNRDFKPDEATWATRAHTLQSLALQLTFDNLLIVIYRPLL SQQAEDLSAMSESISQEPHLQELDNDIAHLDGDTSHHSQRHVSEYWWSAAVRTARVTQ LTQLTHLATNSHLVAFMAMNLFHSAIVLVLNALSSPLSDKTQGVKRALTRILRLQELV GRRSIFSRQSSAVLKNLIALLLRKESEAMLGVSEVATPQSRYEGTEPWISGHTYDPVL LGEDALQVSQDPTRGSTHPESADILSAPTDSLRLNQSLAAVQRAVFPSLYETQTSQNP GAPYVHEATGPWPASFSGGHEAGVSHNAGGNHSDMQMGENNVFWLWDLPLGGSSLG PFICI_11928 MAIALSIVCAFTEAHLSNIDLRPHVIYASVMAYNIIYGLTWGPI PWLLPAEIFPQRARSKGMALSTCSNWIFDFVIGMWRPTLLPGVAAAITS PFICI_11929 MLYSGAIKVGLPLVHSNPSATLTDPTPGIVAGLLVNPTFIARFL AGHGGADVTSDHVDSFVNGIPVVCLQVSAAVGSLIAGRARDIVGRKKCLHGLAFVMGR TLQGVGVGFFSKTVPVIQAEIAAPHRRGLMVGIENTFLIGGYALPTWVEHGFYYLIPN NTSWQGPYFIQMGLAFVFFSMSFILPETPRWLARNGFTAGYAQTVAHLHPPDVNTEAQ HIKQVVLEIMEAVRYVATLGESTWRAMFTRYRKRTLVGITAQMFAQINDINVISSYLP TTLAAAGFSDYKSLLDIAANSIPCVAATILT PFICI_11930 MGSTFTNLSFVLKEPKSIEFAERPIPTISSPHDVLVAISYTGIC GSDVHYWQHGAIGHYVVKDPMVLGHESSAVVAQVGDQVTSLKVGDRVALEPGYPCRRC VQCLGGHYNLCPDMRFAATPPYDGTLTGFWTAPSDFCYKLPDNVSLKEGAMIEPLAVA VHIVRQAQISPGHSVVVMGAGPVGLLCAAVARAFGADIVCSVDVVDSKLKVAKRLAAT HTYSAKSVPPEENANNLKDLLGLTGGVDVVIDASGAASSIQTSLHVVRPGGTFVQGGM GRSDIEFPIMALCQKEVTARGSFRYGSGDYRLAIDLVGSGKVDVKSLITMVVPFLEAE QAFEKVASGQVVKILIAGPNQHSA PFICI_11931 MGVSPAGSSIPPSRQKNCHNCVQTKRRCDRRMPHCSRCVERNIP CDYSRTRTAIQADRQARRDNANGPASISHPLFSPGPAAEMDYLGSIDADMVADLMPDY PAEPLLQSPIDATHGIDVLMDNQVDLMGGNTPASMSQWLVAFEDDSITERPSTPVDEE ITRSYQKMAPMCDNINTWSLHDPSTPLYYITSRVKNFVNDMATHNATPFLHPFLYRAH TPECIMSCFSTSVLYTARTPTNTAMVMRALCKSAHELVAAESVRFVTTTTERLARAQA LLLYQIIRLFDGDVALRAQAERDSALLNTWLAELCKMRDNLSTSARLENSVARAQPPE WEQWIFAESVRRTILMAYSVLGLYELMKDPEWDGEPNPWAYTHRWTLSRSLWEAKSSA GFQRAWKEKPQFVMENHSFERFLEHGRGSDVDEFAEILLNVYMGVDETKEFLSSRETP VPVQSA PFICI_11932 MFEHILPGPSDPMFWLKKRADADHSPDKVDVGVGIYRNEQGRYQ ELEVVREAKRRLDKLDLGHDYEITTGEVEFLQLAANVMFGEGNSAVSSGRVASVQTIS GTGANHLGALLLVTNIQPKPKVFVGVPSWGNTVPLFKHVGLEVETYRHVDPDTKQVDF ETVLRTIREAPAKSIFSLQGCCQNPVGADFSVEQWKTLAEELKAKEHLPFIDIAYQGL GDGLDEDAAGVHIMTETCPEVIVCQSFSKNFALYGERCGALHVVTKTPETAANVKDQL RVLIRQEFSSSPAYGSRLVKIVLSDVELRKQWVSELAEMRARLHRNRAILHEYLTVKL TTPGNWDHIITDKGLFSTLALSSGQCEELVAKYHIHLPASGRINVAGLNAKNLAYTAE AIDAVVRKA PFICI_11933 MRAVRYYGPQDIRLEVNLPEPECLPHQVKVRPHFCGLCGSDLNA YKSPRAIPLKDTPHPITNEKWPVTLGHEFSGEVVEIGSEVRGGLEPGDRVAVQPTICC GHCVPCRSGSTNLCDSFGFVGLTGWGGGLSDLVSVDARFVFKLPNSFPSDIGALVEPL AVAWHAIEQSGIKSGDNVLVMGAGPIGLAVIQCLQAFQPKQVIVVEVARNRKEVARRL GVTAIIDPNEEDVVTKARLLCDTQGPDVAFNCASVPSSIKAACEAVRKKGTIVNVAVW DSDIPINLNTLMFGEKKLFSALSYTTTDFENVIKLFENNGLPKVGEMITRKITMDRVV EDGILALIHETDKHVKILIDVTA PFICI_11934 MSASPEKSELSRAEPSSNATPFQRPISVAPVTAAPVTKASVEMG GFFHNVDGGGRGWASVLGAWMIQFSMLGAVLSFGSYQTFYQDQWLPVSSPLRIFPDPS LMPTQRTIRTQQSRGLAPYSSLWNFCEHHQAAFDSRLYNQKAKVMALIQPESRSLGVF GGFLLDGGHWRWTVGGGSVLFVFTFFMLSLCHPGQYAPIILAQGIGMGGGLGFAFLPV TGLVAKHFKKRRALAMGIISTGAAIGGFVFSLLGGKLFYSNLGFPWTVRVSAFIVLAA CIFANLLLSDPKVSNVTVEQPEAVLAGEKINGQHQPKEGYVDGMGSREKAETAAATPS MRNDTEVTSPDPEGLADDIKPHKPRSMGQMLRDPAYLAIISMGFVVSLGLYFPPFAIQ SFALDHGIPSGLADWLLPLLNLTSVLGRTIPNWLADRYGLFEMYIPCTLLAGVIQFAL GGATNSGSVVVLAILYGFFSGSIPALYFPMISSLDPDVASTGMRMGVACMPVGIASLI GNPIAEALVGPNRTWWHGLGFAGASQVVSAMLLTFAWIMEKKRHRT PFICI_11935 MSVAQVIDTTLASVVASPTTLDLLRRLHTEALHEPAYVSTDGQA PSTALDLFVALDLDKCALVYLLLRATGARHVVEAGTSFGLSTIYLALAVGQNAALHNG EGKVIGTENESIKANRARGHWKEAGEEVEKWIELREGDLRETLKTGLPHEIDFLLLDI WCELAMPTLRLVRPHLKVGAMIVMDNVLAAQDGYKELMTYLQDPQNGFRMTTAPYSGG LGMAVYVGHERT PFICI_11936 MTEKAWPRYFANVNASNGFASALQDQLTEYEDDIFVTQNSCMKI TDVTKEGIKSHLIHNEIDFLSTYKALPRSTTRIISVESDNTISPLNINSSLAETLFNV YSIRPDFLRILLSFGDEPHIAEARSSNHAQTTSSNGDYTIMYKLNYVELNGRGPKNGW STRHMGIYHHHSQDSDLLILLHCSPGSSMRQRMAKLLDSSQVTLSNKWLLDRPQDLHL LAISCYADNWRMYLRHIGDRFSHLNDIAMTSTAETAGKDYQDHIQELRHLLDLSMFAT ACCQSTMTVTANVPTLHSHFKKELQSFASVQGVLDDFVTSSASLQGRVRNAIEMVVNT LAMRNHLEATKLDQEMRDLTWEMKRSGQETAIITKKLQELTENTVDDSAIVRLITIVS AFYLPGSFVATLFGMNFFNFDDKSIAISRDFWVFVVAWTVLTLLTGGLFYASYQRKKR KQKHTDDAKV PFICI_11937 MKHWVAETIHGDKVKAGSLETTLDGKPITLDVQQLRNQIPKHCL KPSLARSFGYVVHDLTIFFIILMLTLHLEARLAHEHPVYRNMVCYILFPILAGLPLTG LWVLGHECGHGAFSTNKFISGVVGWTIHSALMNPYFSWRSSHGRHHQYANNISTDLNY VPPVRDEYAELFRGKIDLDRLAEDAPVVVLLRIVMQQIIGWPWYLLTHITAGPNSAPK KSRGWWDNSHFLPNSSLFRSNEFWYIVASDVGIILMSGAIYSLALNYGLETVVWAYFI PLAWVNHWIVMITYLHHTSPNLPKYTPQAWTYLRGALATVDRDPGWLLRHAFHHIVDL HVIHHLFPRIPHYHAQEATEAIKPLLQGHYHVDQSSYFGALWTAFTRCQWVEADADQT AKSPMYAGLDAHGDKGLQNVTSVDEKGVLWYRPGPMPPPAVTMR PFICI_11938 MSTIWAKHPFKALYAAYIVTETIAILPWLFLRYMPRAARPSPSW SIKICVITALLRQLLRYHGKTQSTSMSTVLSDHKKHRDRFALAQPADVDLYSGVLTPG ATQPAAVGGLWHPAPLFPGSPNIEDEKVVLHLPGGAFVMAFGTDENTQNISRAMTKHL KATRTFVAQYRVSVDAGTRFPAALQDGVTFYHYILSLGVRPENVILSGDSAAGNLVIG MLRYLESSSKLPLPGGAMVWSPWVQVTPQAAADLAGYPNERFDMLPGSLLQWGADAYF PGTQPSAEQLAYISPLHRPFKTSVPLFIHACAAEALFHVDQEFAREMAKIESNRVKFH VTEMGSHDLIIGYTGFGMENEIGSAIEDADKLFRQK PFICI_11939 MFRQALLVALGIAQLPLALAQVTADFESGWDQTAWPIYAPDCNQ GGSVTLDSTTAHGGKNSIKVAGAGGYCGHVFFGTTKVPSGDVYVRAYVKATTALTDSH VSFITMPDSAQGTNKHLRIGGQSKILMYNRESDDATLPDLSPNGIATSTALPTGSWQC FEYHLGTDGSIETWLNGNAIAGLTSKPGVTNPNAAQWQRSTIIPKITGVYFGWESYGG DTNTFWYDDIAIASTRVGCANATSA PFICI_11940 MTSSHPNGPAIVLVQGSFQLPDVYSKLAEALRDCGYQVFQPPMP SLMMSNKPDFVLKSLETDALAVRSKIIELVDAKRTVFVVMHSYGGLVGTEAVTEDLTA AHRQSQGEEGGVVHLFYFAAFILSKGQSVLNTFGNSPNHDVKPDGRFVLKNAAEVLYH DLPAKEAERWASRLLYQAPKVQEYALTNEAFRFVPSTYLVCEKDRGPPPQYQHMFGTK AGSKILSMQCGHSPMLSHTSELTEMIVQAIKSVDGGSR PFICI_11941 MRMRGRSRLKWELKNSTDMRESASNGCEGCEFFLAAIKQFSDTY QLSGAFEAFVKRAEPILFVSSGADSYSLETIIGDHFNHVCIELCAADQDASNVFREGR RLIPQDPNSPEVFELARLWLARCTQHDHCEAQEETPLPTRLIEVPDNPELPLRIYVTQ QDSHSKYMALSHY PFICI_11942 MSEFTPKVQRLALALTLLLQPAACSFSLDTVVQDYWYYVHNHLA STTSDACLSAYAAPIDCDETLLGLVSSNSPNFNPGPADLERTCTTTCADSLNAYVQNV VDACTAEGDGALVETDEKPHPQVSVAVVGQIFQYEYAWACSKAGSSWCYLDYPSSDEW ARSDFTCTNQCAAQFFYNAHTLPGANYWFRVYNLDYKSSWWENQWSDGWNHLLDCISS GDISSSQTESWGSHMSPTAAAAAASDSTYTTSTQSDGNSQTTTVTGSVTTSATSTTSS KSGSRTASSTTTTLPTNTSTNGAGHLRVPFVRLLR PFICI_11943 MKVDSVITLAILALGNGAIAALSPADWYKTMKPPNERFVLNAEQ AQKAINAAAAVASQNSSPSTIVVLDPAGFVISLLRMDNAWIESVDTCIKKTRSVSLFN GAFTTEELNPIIQPGSEDYGLETANGGLMFVKGAIPLYINGTFFAAIGVCGGSGAQDV EAAIAGVLAVNGTTLT PFICI_11944 MVDELKLRGHGSTAGDVEEGRVLEVIPYGKNNETHRGLKSRHIQ LIAIGGTIGTGLFVGSGSILAAAGPAGMLIAYIWMSILVWFITLDLGEMTAYMPIKGL TVPYMIKRFVEPSLAFAIGWNYWIAFGITMGAEATAASLVIEYWGSPVNPAVWIAIVL AVCLLLNMTAVSLFGEAEFWFASIKILTIVGLIMLGIVLFFGGGPSHDQLYFRYWSTP GAFNTYLVGGETGRFLGVWYAMIRAAFSFILSPELLTMTAGECEAPRRNIPKATRRFI YRLLTFYVLGTLVIGVTVRFDDSALLSAISQSASNAAASPYVIAIQNAGIPILNHIMN AVILTSAWSSGNSFLYAASRMLYGMACSGDAPKIFCRCTKNGVPYYAVLASFCLGFLS FLNVSNTGGEVFNWLTSIVVGNGFISWIAILITYIVRPWLVYYFAILQGD PFICI_11945 MGKLLVLSDAHVRTILLSLSKETVQRIATGLSKSLKDYSSGDTT SAGAAAQQASRTVRTRPDGVVNLFMPAMSSTAMASKLLGVPSPSAPSPGSPQRTAPRG AMLVLRPDGDPMAVLGAEELTAFRTAMGALLLFPRRKHVQNILVFGAGKQAIWHIRIA LMLRGSDVRSITVVNRSPARTAAMLAELQNDDQAKWSVHESLEIKAGLEGLEPGSPAH GAKMEELVEAADVIFCTTPSTAPLFDAELLTKSGKGRYITAIGSYKPHMKELDPELMK FATTGGKLVFVDSREGALEESGEIIDAGIGGDQLIELGELFGGQIITSDDGSLESWLE EGFLLYKGVGSGVMDVSVAEMLVLLAHETASGVLVDGF PFICI_11946 MATTNDPMRVGILGAGIVGLACALKFVDAGYSVIVVARDLPGDA SQDWASPWAGALLAPYPGGDQQMQEISLDFYNRHSSVEGTGISVSLPFSLELKTEKPQ SYDKAQELRITEYYDDRDTDETIWYKSLFSDFTWMSKSSLPPHAKIGFSYTGLTVDPT FLLPWIMERLRQGNVEFKRHTVKALGEVRYLTGAELIINASGLGAGSLARDSSVEAIR GQTMFVSIPPERSGLYNQAFLFQGSEYTYAIPRRFSGGVILGGVSQHGSEDRGVQAGL RRDIQQRINAVPNQSFTWLNVEVPNVAIKDIVGFRPGRKGGLRVEREDNTIHAYGAGG LGYVYAFGMAERVADLVTKLSSKL PFICI_11947 MASDLQQLKERGAGGVELHNYFGSPKGYPPTDWNIYGYGTTNYV DLFKAAMQVSSENDMTFDYAMNNNGAVPAEWDNPGLSWALKSSSVTINGTFTGKIPGW GQSDFLAAHTYAITRVETVNTRITTPFGRYGSYTRSTISNDSLTEVTDLVATDGTIDV SPVPVAGAESYVLQAWYMYQPLGREIIANSHPSTFLGNGSLYADHFSATGAKVITDFL EEYIFIDGAKELIQQVGHYLWEDSVEIPAPVYWTPGLEKQFKAQHGYSIVPFLPLIQS IGGYQASAPGPRIVVDAAAFNKGVVGDFLSTLNVGLGNYYNHLVNFTNSLGLKFSGQI GYNLPVDMLRAVPVADVPETETLSFGNNIDGFLQYSGPADLARKQIISIELGADYKLS FSQTWSRLLLDATRAFIGGVNQVIIHGATYSHNFTQTTWPGYTTHGYDYPEHSRHQPS WDVGCPEALAYLGRVQWVLQSGTPKVDVVFWDRQSPQSAFPFALYQPSDLRVAGYTYE YLSPDNFALPEANVVNASLAPTVQSFRVLVIRGNSTLTPEGIEYVAHYAEAGLPIFIS GALTYSYDTANMTAINNANETLKSLIGAYNVHQVPYEDLASSIASLGIVPRSRIDTNG TWYTRWRELSNGDVYIFVYNEGDYSTGTITIEASGSAYLLNAWTGGESRIGQYTREGN NMTIPLALHNLETAIIKLNASEPLDTYIIARTASILDLDVGTDSVIRTKVAHSQLSSS LILSSGETVNLSVPEIDAAYSIKNWTLVVEQWLAPDNLYDLDLDAKKVNLTINIEGTV LSSWNDLGATNMSGIGYYSANFEWPSVTGLEYGAYLSLPAVEQGLTGALNGKPLPAFD ITNPHLDMSPFLVVGTNSLTLRVASTAWNSARTYWDQIKTGGKGPSHGLDTLPDMQDC GIVGEVVITPYVVLQIN PFICI_11948 MALSSYTKPFFQNEPCPSYEKGSSDRAAIMKAYGELVSSLPVNV PLEHISKGVDTKSIQQVNPANHKQVVAEYIPSTRFDVQNAIESALQAKSAWENTPFED RAAIFLRAAGLVAGKYRHALMAATMVGQGKNIWQAEIDAAAETCDLLRFNVQCAMDLF KQQPAVNPSGMWNRLEYRPLEGFVYAISPFNFTALGATLACGPLLMGNVVVWKPSPSA FHSSWLLYKIMIEAGLPENVLQFVAGDAEAITSTVLENRAFAGLNFTGSSAVFKQLIA RIGQATGEDKFLNFPRIVGETGGKNFHLVHKSADIENAVNCTIRSAFEYQGQKCSAAS RLYVPESIWPAFKENLLAKMATIKVGSPEDVTNFVNPVIHEQSFDKLAAVIERAKTDS QLTLLCGGKTSKETGYFVHPTIYQTSDAHHEILQKEFFGPLLAVYVYPDSEWAEITQV IDSTAPYALTGSIFARDAAAIRYAEDKLRNSAGNFYINTKSTGSVVGQQPFGGMRGSG TNDKVGSVNVLSRFTSVRAIKEDFEGTPDFRYPSNEA PFICI_11949 MTRQLGSAKELPDPNQGLQFEQPALSVPRATVPPSPHRLTLESV GLDSQLTAADKYRQLGHSSSWSFSRRVRELIRDTGNGPELHDRIIIRDGSYGVPWERL PVDLNNLDVPSPEYAEYLLSTISYSLGSMYYLFDKAEFLKKFQNFSKNKDPEASALTD PWLIQMLIILGLGKSIAHREPGPSGPSGHVYFARAVQAFPSMYFLYEEPILSIEILCA SALFLQMMDMRLAAYGYLGDAIGMCLAMGLNRKHDASRISPTEFSHRSKLFWTAYVLD RKLSSLIGVPPRLHDDDIGLPKPSLAAALTVSETIMAFHIDLSSRLGEILQGSNFVHA VQSVLKRQSDGSKMLQEKLKLNFSMLAVSETRAVASLYVLHNLCTIMTIRPVLFFIFE QKVGHGQNSNVSDASRHLLNLCTEAALNIQEIIHELSEHNIIVPDLLLPFDVDALFAS AVVLILVDVLLPSGQSWDAGRTLKIMDDMALRRCIIVGPYKRDLIEIESFRQHVRAAA TLHEGNDSSVGPHGPASTTAGFARHDINGGLVSEQHVMFESALEFLNCSFPDCLPASD LDPFAWVWGDDRE PFICI_11950 MKAFRVKPKSATTLWKQFANNNNNNNSPRLPVSAVRMQSTKPPA PNPFVLVPTLEEFRKIESTREKFDPKLDLVVTQTPHPEWKYGQGSTDATSATKSHIEV DPAAEGRSMIANYKLLVSAIPRPVSFVSTLSKDGIPNLAPFSYFQVVDHDPVILVIGF SARPGRPKDTQKNLTETGECVINILSDHFVEAANATSLDVPYGTSEWELSGLTPRDSS TVAPKRVQEAVFSIEGKLLEMKSLDFHGHAEQGKPAGALAIIEAKRFWAREDALDKAQ ENVDLDVLRPVVQLGGISYARVRETFELPRPSLAVEMKNDEKGLKKFLGNSS PFICI_11951 MANSKSAFLNPDRNPLLHVLVRKLCFDHFTAGETETEVRQTINH VKSLGFKGVILGYAKEAHIAGVDTDMGIPKSAPGVFETECVNAWRDGILKTLSLIGQK DFLAVKFSGASKATLDALAHGEDPPQNMWGAMLEICDATAKQGSRLWIDAEQQDLQPS IDEWTRRLMRRYNQGDKALVYTTMQSYLKHMEYNVGKHLEMAQAEGWILGVKLVRGAY IATERRNLIHDTIEDTHDAYNRIVKSMLRQEYPGVSPDKIYPRSELFLATHNEDSINK AWSLQKSLLQAGKPTIELGFGQLQGMADEVGCSLIQLCQDEKAADKTQALQAIRDAQL MAVPNAFKCLSWGTTSECLQFLLRRVRENADAFGRTKYWAVSFREEILRRLTSPFSLR AKERSQQGIR PFICI_11952 MLTKSKTFSWVPRGPVDPMFKLKALADGDKSPSKVDLGAGVYRI ENGQYHEFESIKRAKSRLQALNIGHDLASIQTVAGTGANRLGAAFLKKYFPSAEKSSQ ANTTKAFVGTPTWGNYEPLFTHAGIEVEKYRYYDRTSGLFDFDSTLTAAERAPEQSIF ILQGTCHNPTGMDPSREQWKCLADIMRQRHHFAFFDVAYQGFGSQNADHGAQDVWAVR CFAELGIPMLVCQSFSKNMGLYSERTGALHVVCSDAAIASNVLDSLRSIARWEYSSAP AYGALLANIILNDKEIYSAWGLELAAASSRIYSLRRKLYHLLSVKYKSPGDWENIISE QGLFSYLKLNEAQVTQLVKEFRIYLPENGRINVSGLNEDNLEKVASSIDAVIRRINIQ PFICI_11953 MHQQLEIRSLALQDCEAISASDNPQGQEQNPYNRFSNKRKLVIV VVTSFCGVLAPFSSIGILSATPELSDYYETTDSIINISNALYMVFMGISAPIWAPISQ IYGRRPVMLLSGVLFSAFSTATIFAPNLPVYFVLRMLVALHGTPFLVVGTAIIGDIYT SLERANALSWFLFGGLFGPAIGPLVGGIIVTYRPWQYIFVLQAALSGFALVLASLLIP ETIHKLGDADLYGLGFVQKATQLWRRANPFRSLYLLSRPNLAMVAFASGSIMWSMYAL LTPIRQVLNPRYNLTSPVESALFYLAPGCGYLVGASMGGRYCDWSLQNVMHARKENCT PEDRLRCSLVPLGIITPACILIYGWTVQEAVGGISVTVITMFVQGVAQLFILPALNSY CLDVMPERGAETIAVNYITRYIFAAGGTASAIPIIQSIGLGATTTIAAGFLILAAIGI AVTISRGKYWRSKAMGG PFICI_11954 MAEDRTFVGVGTSPLPSLDDESSTTQKPTIAIMSFGEMGAGIAS LLTLYSYPVITNLDGRSEKTWERAKSLNVKVLPFAEMLEAASIFLSIVPPAEALPLAK KVANVYASSSPLATPLQYVDMNAISPDLCKEIDSIIAPSRITFIDGAIIGFPPKMLQD QTWFRPSITIAGPELAEPWTSELKSLLNFRHVGSAVGDASGLKMCFGAIYKGHAAIFI QAYTTAHQMGVLEPLRKHMAEYFPSVIAIHESSLNGSQRKAYRWIKEMEEIRMTFQSY GGWGPEVFTGVADVFRTVSQTDLEKQSQNSVGEITEIICQSLMERK PFICI_11955 MADEPTADYPPPSKRPRVLVACRRCKQRKTKCDGLEPSCSSCLR TGSECRYVGSVGPTAAETKIYVKTLEHRVAELESILSANGNIDVAGDHWERVRPRADS IDALSSAVCDLSLNACGFYVGGSSSLAIGSLLASLVQSGQRHSGNRPISRPRRHLAEL EPASEPFPQDNDYHVPDISCFDDRNLDRLFDAYLNFFSSQLPVIHTPVLQKFHERRTE ITDPFEASILHLVYAIGGRCLELAGMARNFTFSADQHYEAAMEWRDVIIGHCDRRTVI FLALAVSYCLRAPRAPGPWMMIGHAVKLCVSLGLHRKSNALQPDPQRELDTRAFWACY CLDVDTTIASGRPPSISNRDIDVSLPLDMEESSTSIQDFQNAPTQNGNKPRRLTTSLS YFILGIELRKIISSIQHEIYRVDRNVNASHELFDMFLEELDLWKADALLFSKPTNGAE SSVHQETDGSRSPIENPMLIIQYHNATRLLLFPQLTEPQVHTPYLRRCATACIDVCQR YKQAHDANFQICYSPHSIQSVFLAGLTLIYCMWLEPREVPYHASRGAITDCSIMLYVM AERWPEAQRYRNIFERTRISMLKIIAGDTSQKTCAALDGINTDEASFVGSLGNEMGGS ASFCLSHILQSVSNQRRTETAPHVASISSPVEDIIPEPASTGQDLLDTAHFGSSYNGL LWSIDFSGT PFICI_11956 MASTKLIQFNILETCATGGNHFIGQWKNGPQDGCRRKDRLDYYM SLAKLAEKGKFTSIFFADSYGANDVYGGSTDATYRGGSQVAQLDPFVIVGAMAAVTES LGFALTANAIYLNPFILARSFSSLDHITNGRIGWNIVTGYTNSSALAMGFDGIMPHDQ RYAKAEEFVNLTYRLWEGGWDDDAQVFDVERDTAYDPERLYKTEFSGKFHKLSAIHQV HPSPQRTPVIFQAGASSAGIALAGASSAGIALAGGNAEGLYCGSISSARTAQYVKTVR EAAKAAGRDPSNVKAFAGVATFLGRTVEEAQAKYQRTLQNVSATAGLARFCAYTGIDL SSYPLDKPFSFDAGATNAVQGVIESFKAGNENNDAWTPRKLGERMSCGGMYPHVVGTP EMVADFMEKWMEETDVDGFNLYASSSPDTMQDVVELLVPVLQARGRYWKDYAVPGGTL RENMYIQPGHRRVRDDHPAANYRREREAERKARDLKAARIS PFICI_11957 MSPINIIKSYLHFRFHRWDVYASNRPLHGKQESHACACDSEAQL EAKTGLGLCDQGAPHRDSTFHEALAVTSSGRYEVLQIKSKGSLGESEVQICTRAIGLN PIDWKSVDFSMCMPEFPWVNGRELAGVVEAVGSTVSYIRPGDRVWSSTYYRKREAGCF QARVVVPAHTVLPLPSDLSFEDGACLGVPGLTAAMTLWHWLGVPMPCDATMHPTAQGA QGQGPILIWGGSTITGQFAIQLATLSGLDVIAVTSGKTSAVAQSLGAKCVVVRDGKTN DHIVAEISAAVASLAGSPDALTKAIDIVGPETAIHTMGALSSSQPSTIAALSFLPSGA TVPDNITVANVQMKDFVLCPESRKYALELNRLIASKIVQLPSHEISSCGLSGIPTGLQ RLKEGDMGGKKLVVSLQTDRI PFICI_11958 MSGGEIHMKAGEDIETTSGHQIGTADEARLDAAANFLALHEHEH GSYSDAEARSVLRKVDWIMLPMMFVTSTICALDKNLLSNAALYGMKQSTHLVGQQYSW IGSILYIGFAIGEVPAQYLILKLPVAKLLAATTICFAVLTVLMSACQNAGSLMAVRFL MGIFEAFIVPCLYIVTAMWWRRPEQPIRLALWYSQLASIFTGFISYGIGRTQTTIDSW RLLFIVLGGFTFLWGFCILAWFPDSPLTARFLTDRQKYIAVHRTRDNKTGLENKVFKS YQVQEALSDLRTWTLFVYVLTCVFANGGLTVFSAQIVSSLGFDRLQTVLLGMPTGVMM SVSAVLVAWPSLRFENVRIKLSVIFSLSPLIGTLCIKYLPESNVIGRLLSYYFIYVYY AAFPAAFSLAMANTSGHTKKVTVNGILMVAYCIGDIIAPQFFIESEAPGYRTGYDALI AGSTIAIAALIMYEIVVRIENRKRDASFGKVETMEVDYDDLLDLTDSKRRISG PFICI_11959 MQFHNLLILALSATSTALPSGSGTNEVHSVEKRGCYSGGEPWGD SKDYAFQLAAGACNSAMGQRTYTALSSSTSTCYDLGNNRHVNFNIFKLTGDDRFLGYD ECYDGLQKEINGCGYGGDSSYTNWRYISDPNAGGC PFICI_11960 MAIAAASTSAASAYVSSTSLDKEGALRLLETCPNPVAQTLNPPA KLENPERLLRVFRDDVSTILRPEPEQSEKRSSHKPLILLNDRWFHLVDAAVTMVRNGH VDYGFGVIQFCLDRGRIFLENAPPAVRMCQVLFRMNQTHPDLCQSMIKYLHQLAKLLL PNGNQTRRTLEQMSSLDIGDLSAVEELLLRFCGDYAENEIRAESGDLQSAAEKLRIWG WSGADTSSPIVRKMVSMFEQEAPLWFVGIPIYPLRPELSHLWQDLECISVEEWTELFG AEDLGMLIHTIWQFGPVVEQGHSLEEIASMQSLHLCEELMFQVDNSRTLKQIESNIRT LDRMCPGLTSAQAKLYRKNTWKYTRQIIENRVDIAKRSV PFICI_11961 MQKPTAIFLLLLHEAAAQKLPNQPYVYGAPPPQPEVHEPYVYGK PNPSNNQNPSSNQKPYVYGSPPFNYGSNASNGGNDASKYISQITIDEIIPSDYKGNIK CCPKDTYFDGSSCVFPESSVCPKGTYLSNNVCVSSTGPSCPAGLVYNGKACVTKAPPS CPQGSTFVSDTCVADSGPQCPSGYRLEGSNCVSETDANCPPGTARKDKLCVLKQPPVC PQSLYYDGSNCVANQPPQCPSGTSLGGSFCVSTRPPGCPSEHRLESGKCIHDREPTCA HGSYFSNGACMAREKPLCSIGVFNGRVCEDTREPECPSGTILQDSTCIGREKPACAPG LAMAQDKTSGKSACCLAGLEYDGQGCSKPAQSSNECPSGTVFDGKRCFWTPQTEPVCP ENTRFDGKDCLSSARPYCPNGSTFNGKACIHGTSPSCPLGSTFDGTNCVSSSRPTCPY GTFLNGQSCSSNSSPTCPDGLTFNGQACVSSSRPRCPTGSVLTGDVCAYPEQPDCPAG STLVGKDCVVSQTPACPQGLAFDGKDCVSQHRPACPTGFKHDGKGCVATSDPSCPTGL TFDGKHCVSLARPECGSDAQFDGERCISTVDPSCPSGQTFNGMTCVSRDRPQCPHGTT FDGSECISELKPECPYGTKLEDGTCASNKEPECPQGTRFDGQSCISVVAPSCPAYLVL SDGKCISTTKPTCPPGLTASGGSCVSTSQAECPLGTTLDGNRCVTKQKDSDCYDMRIC PAVQHQPLGTAVI PFICI_11962 MKKSLPSILAVLLDKSLAQKPFVYGAPPAAAPAGAVLPGNLANP IPAGSGAPAYVYGGPNSGSGSTGSGPQEQKPLGQSYGGIPIEADAKPSSALIGRGPGN AGEHPIPDGNGCPASRAELMVDQLDYADVPICPDGDGKLYLTHHGVSMRIQCCVHGGK PDLGEFIADDFRHCMNTCAQTKDCNSVQYLAHRGDDKTRTCALSTEGGFTKASCGAED MHQYAYVIDAPAIEPIIFPSLKCTTECPFSNGQLFKSFYGESFLIDCGMRHGTQPFYK DHKSTLRSCINACGKLQQCQAVDYDGNRDACYYYTHQSLPTITAPGFASARSMGCEGD TCGDAAKQCSHNITAADQEPEQNKAFPQDGILGNPSSLMSLCETPSSHWTQRIGGKAH RFTCGSGTNCAYDMNIWPGLLPTMTIEDCIKECGKHPECRSANFFLDGVADGTKGRCN IRKCNSPTLQQPGLVGIYPL PFICI_11963 MHSKTVLLQMLGLVGLGNAFLRGVHIPLNIPIPRPPPPAPAPAP RPPPAPVVQVPAPKPVPVPPVPPVTVTAPPVTVTAPPVTVTAPPATVTAPPVTVTAPP ATVTVSQCVAPTQAAVDVNMCQGKDPFLDMPLYDSSGAFKCCGPVYLWNQGGALPVCV PFICI_11964 MELSDNQIIVPALASLSYDPIHGGWSVIGLVVDDDASAQIPQAG VTDPFPTNSQDTADAFWQDMQFFEPDPSVVCELTQPVGYIFHEDPLVVYFPDFLAPNM IAHILDSTHEQYEPSYVYSERGTEQDDSFRSSQSAFPPRDEVLKCLEQHAQAAQSWEE NMKLEAISVQQYLEGGFFQLHHDTLIDEQPGAPSRASTFNVYLKSDCTGGGTHFPKLP RPQDESWCQFIDCDSAEDGVVFKPIAGNAVFWSNTRLDGSLYEETLHQSLPVLSGVKV GLNIWTWVFPDDEKKT PFICI_11965 MAATQNGHRNGVPLSKRFSDIPASVDVPFQGGQEDEAIEISLGA LADDPTELCEMLENESAARTYWMTVAIAYAKHSNIDNAIEMLIRGGNAMQNNNPKEKL SMVSALCWMYLWKSREAPRVAPEGTLSSEAKTKEYYLNQATQSLNEALRINPAFPPLF LARGVIYLLRASLVSPSKSSGPTAIDPEKADLLRNALKCFEDAIRVSHGKNVLALMGK ARALFSLAKYPEACALYQDVLRKMPDMVDPDPRIGIGCCFWQLGFKDDAKQAWERSLE INPESKIANILLGLYYLDSSAKVPTNSPDFIRLYKKAMTEFTQKSFKMDKNQPLTCAT FAGYFLSRKQLSNVESLSNKALQYTDVNNIASDSWYLLARKEHVEGNIERATDYYRRA DEARGGLERGYLPAKFGVAQLSVLNNDLGEAKLRLEKMIQQSKNYEAMVLMGTLYAEE VFANQYAASKEDKTTEMNKAIQYLENVRGAWKDPKRNQLPDASVLLNLARLYENDHPG KALECLQQVEQLELDQIPASEYPADVEEPALVKNALRKNLPPQLLNNIGCFYSQAEKH DLAGEMFEAALGACMRISEKGDDMDTDALVTTISFNLGRSYESQGRTDEAIEVYERLV SRHSDYTEAQARLAYIKLRRNPNKEGPDAVAKLYQENPSDLEVRALYGWYQGKVQSRK RPASVNDDPELRHYKHTLQQYDKHDRYALVGMGNLYMMAAREMRRETDQEKQKRSAMY SRAVEFFEKALQLDPKNAYAAQGIAIALVEDKKDMKSALPIFLKVRDTIRDANVFVNL GHIYAELRQFSKAIESYEAALARDNKANDTGIIACLGRTWLNKARHDKDMDAYKTALD FAKKAISISPDQVHFKFNVAFVQIQQVQAISKIPEAQRTSVQLEEALEELESAIKALE EIASHPQPPYPKSDLEQRASFAKTQRNQLSREVEKQKEYEEKNKEKLAAAMEQRQAEM KRRESEREKALAAERERQEKLRKEREEIAARDLETIKQRIEDEKVRQEAELTTDSETG EKIRRKKKSRAPRPEGQSKKSRPRKKKTEDDESGTEGEEQPKKKRRLTKKETKANSKY KSAEIIVDSDDSGDDLERAERAISAVSERSEADDKVRADSDDDQMDVDNGAGGDDDDD EAAGTTRRRARRGRVLEDSDEDEDGGDAEPSGHKSDDDDAEPTKSADVDMADEDDE PFICI_11966 MLLHSIVAFASIPIAFAKVQFLGVAIAGGDFGCQIDGTCPTSSV QFAGDASDQMSHFVGEGMNLMRIPTSWQFLVNNQLGGTLNDANLAKYDQLIQACLGTG AHCMIDIHNFARWNGGIIGQGGPSDDQFVSLWTQLATKYAANDNIVFEIMNEPHDLDI DLWAQTCQKVVTAIRNAGAASQMILLPGTNFDSAATLVSSGSADKLMTITNPDGSTDG LVLDIHKYLDEDNSGTHEECVTDNVDAFTELSLYLRNNGRQGLISESGASSDASCLTN FCSQNAFINQNSDVFVGFVAWAAGSFSTSYVLSLTPSKQSGQYVDNSLMTQCVFGPWL SSSNTSTPTTTSQIVSSTTSASSVSSSSSSLTVSSAIAASTTTGIASIVLSGTSIVIP STWSLQTVTSLLIDTEPPTLLPPSATAYSGMTLITAATSTTATSLASATTASSTSPVP TSGSIPASALLQSVVARLVACGLLVLCFL PFICI_11967 MRPKVPILKPVVWCVTAIGTIYFGLAAFEVRREIKNYTKYGFNS KPDSYDALFAASYSRKHQQSHRQAVSVSPFDLWSNLSETQKVILANIALNTGIYAATS VSGPHTRWYFSHIPITGRNYTMLTSMFGHLSGMHLLFNMYCLYSFGPALARTSTFQDS APHLAAFYLSAGILSSLAAQIEARVPSRRFWRGSGASGAIMAFVGAFGMSFPHAQIGI IFVPGSVDAQLALSLMAAFETYGLIFGIPYLRWGHSVHLAGLAIGAAYAHFDGNGKIW DATKRTAFNQMRRVGMV PFICI_11968 MKATYLLQSFLGVLPGGAACASVTNFTINLNASRMLQLISLTEL PAQEEYPGLGASLGIDLNVLKSLQHQWTTNFSWPAEQAALNKYPQYTTTIEGLKVHFI HQKSGEPDAIPVILNHGWPGSFAEYVPLIDPLTTVATTSNGTAVSFDVVVPSLPGYAF SSAPPANWTLDDTARIFNTLMTEVLGYNTYAAHGNDWGSTVAYSMYDQFNTTVRAVHL LGIPFLPLSPEQFPDYNITLNEDEQFQESLVLAFDAGYSLEQTNKPNTIGLALYDNPV GQLAWMGEKWINWSDPRAGTPPSVLTHNEILREVSLYYLTKSFVSSVFTYAQNSGALK STYSKARTDAPMLFSSFKWSGAFWTEEVVSWVGNLVSYVYHDFGGHFPALDNPPALVD DIRQIADYWTT PFICI_11969 MSRTYPFQIPVDSAEVSQPAGPGAIPEPYSMLFGHIPLLMALKK GLPSDAHDTYPARRLGLDWRDYFPKATTAPPLAYLDLWPFLSQPLIWVYSPQACAQLT QENPQPRHSLFKWSLTPLTGGKDLTCVDMAEHKVWRSRLNPGFSSMNLMSQMDMLLEE VVVFTKNLEKMAGEDGSWGNPFPFYDQTVPLTFDIIIRSTLDYPTHEQTQGPSPLLQS IRQLIQFVKKPNLASKLERWLPAYRYDVSRHTSIINNIMVPHIQSRIGREREPGRRKT VVDLCLKNIPTSTKGIDISRQRLEYIDVVLSQVKVFVLAGHHTTAQAICWLLYDINTN PAVLERIQSEHDELLGSDPNEARNVLSTQPYKLKDLRYTSAVIKESLRIHALGQTHRE GSANFSFYLEGILYPTDDSILQTVPTVTQVSPDIWPRASEFLPERFLVSPGHDLYPPK NAWRPFELGTTRCIGEELAMIEMQLVLALTSRHIEYKFGHGEGSDASW PFICI_11970 MYRQMVRAEGTAPAPAAITTPLIVVSIIFPLLSAIAISLKHWAQ RQSKHVLHAEDGWLWLSWKFKLPLQLSSLALSTIIWIFARSGINTYKVPTLQGTQDSL RLPLTAVKIAILLFYKRIFTKRTFAIWAWIAIALVSVWGVLFFLLILVQIDPITVSDM TKVKLRFDSTAMGLAQVGTSITLDLLVLCLPIPVITRLNMNRSRKWALVFIFWLGVFC VVAAIMRLVFLKESLAKIDANYSLVYLQDKIFIFKVIEPNASIIAACLPLYGPIIKGW RAPSSIIASIRSMVSLGSNGQRGGSSGSGQDRQANSASAEGQSELGTYRRLSEQERAK DSQKGSKISDSQS PFICI_11971 MHHFWTQTFGYVIHPKIPVDNIQRIADVGTGTSIWLFDALRQVP GQAQLDGFDISLDASPPPEVLPANVKLHHWDVKQPVPEGLDGVFDVIHLRFFAFVLMN DEIPGVIAKIFQMLKPGGHIQWEEADMETLRFDKAKPESKTDNLEGLFKLLLVQDPRF KPTWANNIHHLFSEAGFVDIEKDTKDAPPHIAFQWHECGLMIHELIARKTKNEFLAGE VKRLLPLAVEETKNGAYGTSLRFTVVARKP PFICI_11972 MDLPSAWRRQGQELLEKLTAQCNGKGAGSMSSAIYDTAWLSMLR RPVDSTSGATQLGDWLFPECFSFLVTQQLPTGGWESYATHVDGILNTAAALLSILKHL QTQPDNHDWRIRSQNATRALEQMLGEWDIHSTDQVGQEILVISLLQLLEKEGVLVAFP LFGELRTIRDAKLAKLPASSVYKNRSTLYHSLEAFIGHIDFDRVKDRRETNGSLMNSP AATAAYLMNATSWDVQSEAYLRDVVDRDGGIHCGGVPCAWPTTIFEISWVVPVLAEVG VQPTEQDSAGIAKVLMDALSQYNGVLGFAAGILPDVDDTAKGIETLELLGHGGSTSIE PLIHAFEGEQHFLTYKGERTPSVSANCNVLSLLLLREDRAQYVPQIAKAASFAINLAY NGHVKEKWHRSELYWIMLLARAFALFYDHEDIIEAVFEMHPNLREQVPMVLIQILMRI LHDQQANGSWSECCEITAYGILTLSSLVKLPFVRQLHKGNLIASMAIGKSYLVSNRQS WSKSRFMWIEKVTYGSNTLSEAYCLAASLTPMPAVIEPEPSSAISSWSEANGLLIPEK QLLGMMKTGDLLARTPLFLGTTPSSLRMAEMQACFAMQALQRRPLDIFPRTAKGKDKY AFIIPLALTLCAQSRGCSVSVSTLYEMMVLSILNFHVDEYMEGVVERHFGEVLDDVRT LVVQIVDVYHDSDGERDLTLTEASKEESNALQNGGHKQIGGTSGHDEVNGSERKPSLG DVGAVLSRYLDHILNHTAVLSASSRHQQRLATDLKTFLLAHITQAEDNCRFRGQFATQ SGVSNGSNGTHVVESIPRQYHKPGRSFYNWVRGTSADHTSCPFSFVFFECLVLATKYD GGKTRPAGTLASARTAYLAEDACRHLATLCRMYNDYGSMVRDSEEISLNSVCFPEFSD LATGQTHTSETAKSELLLIAGYERHGLGVALNELEKELGSGNLMTSLRMFVDVTDLYG QIYVLKDVGTRTQ PFICI_11973 MSSSNPPQPIRVWLTPPGPNPWKVIFLLEELGLNFEIKSFSFAD VKKKPFIDVNPNGRVPAIEDPNTGITLWESGAIYQYIIELYDTERRHLSYEGVKERHL CSQYLHFQMSGQGPYYGQAGWFQHLHVEKIQSAIDRYLGEIRRVIQVLEGILAAKGDG EQWLVGDKMTYADMAFVPWNFRLSEVFNAPWDEVWEGAPHVRAWHERMVALPSWKRAM ETRAVLMDEQGLQWNGVPKGIETFNDYEKQLAAKDEGEKAKAQ PFICI_11974 MQSSTEAPAPSSVSTVHNEHDDAKETKLDPATGGGSETPINEDI DPRNEVQGVKLILIHLAICLCTFIVGLDFNLIATAVPVITAEFDSTRDIGWYGAAFMV ALCATQPLAGKMYTLFPKKPTYLIYVFFFELGSLVCALAPSSRALIAGRVIAGFGASG IFAGGFTILTTIIPLHKRAVWTGIMGSTFSIASIVGPVIAGGLTQNVTWRWCFYINLP IGGAAAAIFFFLVHLRPAPTEMAPLKEKLLSIDGLGLVLFAGATTMLLLALQWGGVEY AWSSSVVIGLFVGSGLVFILFVFWLVRRGDSGLIPPRIFTVNRNPALLCAAAFFVNGP FQTIIYWLPVWFQGVLKNSPTSSGVNFLPTVLADVLAAFIGSALASQLGWWNPFVILG SVTVSIGSGLLTTIYPDVSGAHWVGYQILGGMGYSLSSNLSHLAMQTSLPQDLVPLGA STLLAIISTSCAIFMAIGQAVFQQLLQKNLSPVVPQDIIDKIIDSGVTDFSSLVDADA LRTVVAKYSLSVTQVFYIPAAAPVISFFLILACKWISTKSKQSPAPKTELNEKAVDTE PGSSV PFICI_11975 MDSHVTVVSGLSGLFWSFCYALPFALLLYGVADAISTAKLVRKQ AGYPFVGAPLPFVPKSLLNILYAWKATELAQRGYEKYKAKAFQLIRNEGSVILLPVSL LEELSRLPPSVAEGTSALNGDLTGSFTGVDLILENRLHHSIVQRKLTPRIPLMLPQME KAVADGFARFMPDSEEWTVFQPYKALAYVSARLNAEPVVGPTFSSNPEWLHTAVEYTE NLFRTVVVLRSVPLWMRPLVSRLLPSYWASWRILRNGQELLRSRIQDLIDKNNSGTWQ PENENIEDLNVLSWLSGVAKGRDRSASVIGHVMIMVALATVHTTLLRMVNVLYDVVDA GPELLHELLDEIETVARRGWNDNGNSYDALDKLDSVLRESQRMSPPTTLGMKRLFKQA YTFQDGTHILPGTYVAMPVFAIENDPSTTANPEKFDGLRAYRAARAIDANSEGEHLFS SPGPNFLNFGYGKTACPGRFFASVVVKMVMVKAFTEYEFKFLPGTERPKNIIAHEFLF TWPWTKMLVRRKAKGSCPF PFICI_11976 MDSATVPTQSPSLITTALVVVTILFPIISAVAIWLRVVARLQSK QPFYADDYCIFVSWWLSLALSILVWIYAGKSGINFYNVDFLTGTEASLELIYISSVYV QWPLAVVKISVLLFYKRIFSTPIFKTVCWCAIGLIGTWGILFFILVLVQIDPVAFPLT QVSLRFNDTAFGLAQVATSFTLDIIVLCLPIPIIIGLNMKRQRKLALVLIFWLGAFCA VAAIVRTVLLEQSIREVIGSNDYARVSNQSKQYIFLIIEPNCSILAASLPTYGPLFSG GGGMESIFRSLRSVFSLQSYRSGSKSSSRRMYESSPSQQLKSSQSTESQIELHRVENW QGRGFQEVSVSHDDRAPPMPSALRNGIAVTNGISVQH PFICI_11977 MTPYSDLNASAAHFDAIQQSLDSHHIALYLFAFAIAVGIASVLN SNSPASKDGLFTVNKRFSWEPSYFSRLRWITNADVIMDEADIKAQGRPYRLARGDTDQ IVLPVEMIPELNALGMNVLNSRESHAFGLLGHLTGMDVVRHTSFHVRVLLSYISPALP SLFALTGKRIAAGLEKEFSQSNEWTQMKPHRAVVRCIGEAIALSLFGAEMTENNPELV HLTHEHTNNVFQVCFAMRCVPQCLQPILVWLLPAKWRLLSGWAKFRSYVMPRVAQLKA QKKNIGSEESEPVNPDVISWMVEDGRNELERDPKVLTTLVGSIAAGSTYSITNFCCRT VLDLISHPETLKIVREEIRQKHAEINGQWTAADLASLEKLESAMKESSRLTPGTLLIY SRVVKKDHVLSNGLALKKGQFVTMSASQRTMDPSIFEDPLEYKGLRFCEDNKIEEHRA KPFSSINMDTLTWGAGRWACPGRIITDMSAKILLVKLLDEYDFAFVGDKPLQRAIMHE FLFFHPESYMLVRRRRDSSGIVFVQ PFICI_11978 MKSTLSVSLLVGMACAQSAAVTPARTQTVVDLFLGAKRPGNYSF DGRVITADSTATTYEIVCKSGALNLPGFPTTTCDLRDPPWTVTNGPSLMIGVLSTAIA NVTALLQETCTVEDRTAAYCNYTFAESIGGTSTSTSYTTVITGDNYYEYPVAITAGTE NLPLATTTSESASPTPTSGSFRSTLDMMMLLVLAGIIGIWIGY PFICI_11979 MNATRNMSYPNGLDMPTNNTSEPLLHDTATVHRCTGGFFGRAWD EMLLYDTETAERCTGSLFDRPQGEMLADETEQNQLE PFICI_11980 MRGRDLALDVNGFCCIQLETHPNSDDPQSQEKFLAQYFPLLTKA VKDLIGAKRVQIFNYEIRKRHPDFRAGEGGDFKHMQPAAMAHIDGTLSDSQRLVQKMN PDHYSALSKYRCQVLKYVVNHACESSF PFICI_11981 MYSSDDIHHVVGSNHGAATNIPAGVQDNKVDDAAALSPTQPNEK DSIATDSSLHGPRLWIVTILNATMLFLVQTEIFIVTTSLVAIAEELGDFDRASWILAS YFLGYVGFVVIVAKFSDIFGRKPVYIFSILVFTIFSGCCAAAKTMEQLIILRAFQGLG GGGSYALATILTIEIVPPENSVTTWRWIFLFNVPIGVVGLAMAIVGIPSGFPHNKESS RPSQSPPPARPLDRLDIPGCVMLLLATMSMAAAFQEAGSRFAWDSAYFITLLVVSVLL WIALMVWERRVTLATTTREPVLPWRFLTSRVMVGALLGIILQGGPLTVTNLQIPQRLQ LVNGQSSLNAGVRLIPFGAGLSVGTILSANAVKRAKVSIVYFVIVGALLQVLGYALLS SMGSSVEVPRAIYAYQIIAVAEKRDHAVAMGAANQFRAIGSTIMVAITTAIFNGYVYP RLSALGISDPNRVIQTYSQADIDISPELWDEARQVLSKGYNRQMYALIACGVAQAAAA LLLWKKNQAPSPPTDVIDARQGESPTEA PFICI_11982 MDNKLQYAAGFIITLLLVFLGSRLLLNPLRGYPGPLVGRITNGY AGWHAIKGDVHLIVLTSKGKGPVVQQAPNRLVFNTLTAIQDIYLNPRVAKAPIYAYAR FRSTPSVFTALDRADHRRRRRVVGQAISERSMRDFEPIMMSQIDVFLAKLLRSSQQKD VVEMTSCCKYLAMDVVGLLAFGASWNTQTEEKLRILPRAFSALNPRVYLFMNWPKTHK IDPGVQWLVRERIEKFRKILAGFIADRMALPRDAKHDLFSFVASDERIDQAQNEGIRK SEIWGEAGGTTTGTAMCTVFYYLSRNPSAYAELASEVRTTFSSGRDIRHGPELAGCKY LRAVIDESLRISPPTPGVMWREKDPLSPEPLIVDGHVIPPGTFVGVGTYSLMHNPEYF PEPFAFRPERWLEGGNDETPEGKDARSAMRRAFIPFILGDRACAGKAVAYLEISLTVA KTMWYFDFQRAPGLTGELGSGRKGAGGGRDRPDEYQLYDYFMADHEGPNLIFSPRDKH CEGLV PFICI_11983 MSSSSFPGWDSMSSAEQADILASPALAAPNGTVSNLINPPNRND IGIPVMATFFALVIITGLLRLYSRVFVVRNMKLEDYLGFTAYCWDVFAMVNGIYSTGA LDLRHAHADDLVQWIWIYRLIYAVVMLFAKAAILLEWRHLFVPTRTRNWFFWATTAMI VVNTVAYGVAIIMTCRRCNPPKKIWQPWVDGTCADLYSQKSTDIATSYINLVMDIVIL LLPQPVIWKLKLTKQRRIGVSLVFSLGVFVLVCAIGRIHSNTVMDYIANSTRGGAINM IWAYGEATFVMVVFASPGIPRAFTKQAWVVSLVSTFRSWTRLGDSTKGNSNGSVWARK TPPSWSIGGSNGKRRKPTQSDLDLMETRDAENLESGYNNYPSSVSELAKLPGDGTWNT AIIEMEESARFNASSARGLGQHGP PFICI_11984 MEVKHPTEPIAITGFSFRMPQDAIDESGLWNIMEKGLNVKTKWP ASRSNPDAFHDNGSKNPNTLPSHYAHFMKEDPGVFDAPFFSITPKEAASMSPRQRQAL EVAYHTFESAGIPMEDLRGSLTAVYGASMADDWTLMSSKDAEMVPRMSITGNAASLLP NKISWFFDLRGPSVHVDTACSSGLTALDLACQSILSGNAKAALVFGSSTLLTPEASLH LANLNFLSPDGCCYSFDSRANGYGRGEGVAALYLKPLRQAVNDGDVVRAIVRGTASNQ DGRTPGLTQPSSDAQIELIRHTYAKAGLDLKKTRYVEAHGTGTPMGDPLEARACGRTF GAERSPDEPLYLGSIKANIGHLEGSSGPAGVIKAIMMLERGIIPPQALFESLNPAIDA ATHNIKIPTAITPWPEPGVRRISINSFGFGGANAHAILDDAVHYMRSNNLQGFHHSTD VPELVPSGLNGLTRSSHSAVNGAIHGVDASATSVPQLLVWSAVDEPAIQRMLQSYAEY YTKHITGRPRKLAQLAYTLAARRSIMSWRSFAVLEDDLVAPGPEAIDEDSATITKLPT AKPLRVNLGKTDIAFVFTGQGAQYAGMGLELLHYPIFAKSLQKSDEIFNSLGSEWSLL VDAIKDQEKIATPEYSQPLCTALQIALVDLLRSFNILPAAVVGHSSGEIAAAYTSGAL SHHDTCKVAYLRGKLAGQLARQLHISGTPGAMLSANLSEDEVPTYLENLGLSAPDEAS VCLACVNSPKNVTLAGPADLIDTVKADLDKRGVFAQKLNTGLAYHSPAMRAIAQEYAS KIGPLEAGKQSGIRMISSVSGKPVQSDTLASPQYWVDNLVSTVQFAGALKALTTQTTA KLSDSTSEPLVLTDLIEIGPHAALRRPIKQTAPQLRYHALLQRSASPLQSTLHLVGSL FCLGYPVSVTAANGQDQGKTPYLIDCPAYPFDHSRRYWDESRLSKDWRLREGSGGFLL GRRTHDWNPLRPRWRNLLCVENAAWLGEHHVTGTLVVPGTGSLVIALEAARDVVASKN RKISGFNYKNVELLSPLRVGRTARDAVEMEVHLDQHQPADGKESTWFQFRIFSHSDGR VTNTCTGQIQVLFEEEATSLSAYERKLEDEKMRRRSREIRSHCTQPLDVRTFYKRFLK YGFRYGPSFTVVSDTIYDPVGQMSAAGKVNWDPAAHETAGDSPVHPGILDGILQVLLA TAPKGLKGTSTMIPRRIEKVWLSNRIWSKMTNAVHVASALAGAADDGNPSMNFWALAD DDTPLCSVEGVQCTEISRPDQPEDELVDRRLLYSIDWKPRLSSLAPGQLQNICDTTSE RLNNYDSECNKMAAFFPKVELALRSAAKSALHTVPESCLSDLPSYFSKYIDSLRWQGT NQSAEAANDKDLSPAALEALLQECEVEYPQWHLFPAIARALPSILRKETDPLELMFGT GAAKAFYTNVYGSHMLSGGFQTFISLAAHENPRLRILEVGAGTGSFTRHILSTLHGIE KERGGTAFEEYVFTDISTSFFANAQTEFKDHLARMSFKPWNVEHDAGGEQGGLEAGSY DIIFAGSVLHATSSISKSLRHLRKLLKPGGHLVLQEITSAQVACVNIAFGTLEGWWLS AEKWRQNGPLVTQEHWNRVLKDNGFQGIGLALKDFEDDAYHISTIMVSRASDAQDDLP TQGKPVGAPRAQRRLITVNDQESACQTSLATELGLLGDIEQIVVNFATIGPEWTASPG DVVVCLIDVGSPRFADLSEQDFKRFQWLIQGSKNLLWVGGGAGDISQSSKTAGSLPFD PRSGIATGALRTIRSEESHKRIVSLIIDQAQNYEIKDMATFVAKILDSCFAKDESLNS PEVEFAVQDGHITIGRLAYEKQLDDERESHARPHEQIQDWSTGPMLALEIEKPGMLDS LRFVEDPSYNDDLADDDVEIDAEAWPVSFRDVFSALGKVGNGKHLGWECAGIVTRVGS ESSKHFKPGERVVLGTFGSIKTRPRSKMQFVFKIPDNLSSIDAVSHVNPGMTAWHGLV NLARLQKGEKVLIHSAAGATGQMAMSIATMIGAEIYATVGSDEKKQFLQKEFNIPETR IFQSRDTSFAQGIARTTNGQGVDVILNSLAGEGLQASWECIAPFGRFIEIGKVDIMAN ASLPMGIFAKNVTFAAVDLVSIVKANPALGRQLLASTMELVAKGSLQVPKPLHLYPIG ETEKALRFMQSGSSTGRIIITKTGNDQVTTFLVQRSEWRFESDATYVIVGGLGGLGRV IIDWMVSKGARNFLVPSRSGASSQAASDLVARLRGQDIYIATPKCDVSSAAEFSKVLR EHTDFMPHAPIKGCINSAMALQDAIFSNMTHDQWSRTIQSKVQSSLNLHNLLAPDVDF FIMLSSLVGIYGAMGQSNYAAGCSFQDALARARTASKAYQGTSVSLDLGWLLDAGIVS EREDYRRKWEGAQDIAGVGATDLIAVLDHFCDPARHSMSAPDSSSSSHGRNQLLVGAV IPADLDRHGESIPPSMHYPLFDGFRVDPSRINGGGGSLNSVPETQSASARFRAATSFE EKYHAVIDALRDKLARGLDVELSDVDIGRPVSSYGVDSLMAVELRNWMRKDYNVDIAV FDILGGTTVTGLSRLVATRADESANGHGERDAE PFICI_11985 MGGFHRHAPDDFLAPLTTHNLQRLEKSLTIPSELSSAHVGPPAV RKNQHRTNQHCKRTADHCQPRGHVDPLDPNQQVRCNVMEVMPSRQNERRRPSHGLSAG SIDAKKGSSKIFYTTTSLHDGLWDPELKVVPSARSSNTSRSVQHLSTWKQLPALPQVT YLAEATPGPSASAWHGDQFDTLRNDTADRTPDSQIHPKQIQISSRSSRSASLSDHDKD LRLLSIALMTVDNGFEDQWWFQGPKEQIDWWSRGWGNETNSTLPHNVASAVELPVDAD THMRDLSSISSQQAFPNDLVSPLSDSGTL PFICI_11986 MRRFTPWLILLRVACLLPGTYADTIAADNVTADLSSLQTWWHEN GEINYETPVQEGNVRQSHVYSAWVKSTVDTSNTYYNTFVYETIPRNGQGNIIIPGDPT STTTADDAVTIEADIWITMAWTQFLYSSDTWVKVTRRGDNPSVASNVVIRPTNLDLTV TDDGAGNVYILVPYSAQGLRFSVEFKDNLYDYHDSCATTTCDFVQNWHSDGPNYVSSF TDKNPIMGTEPHDALLIFASPFPSDDLVPDQTAATTYIVHPGDVPDLSSISNEVVYFM PGVHYMPATTHATLSSSVNWVYLSPGAYVKGAMQFTTEATLIKATGHGVLSGERYVYQ ANTAENYTNTKSNSDSLRMWTGDSTDNVQQTFLLAGPTTNAPPFNSIDFKGDLTTISI RQFDYKQVGAYFGQTDGTTLYKGSTVRDTFYHSGDDTIKTYGSNVLVENVVVWKGKTA PIIQYGWASRNIHNITVNGVNVIHMRYSSNGSHPSIIGANQVYDISESLSNNADLSKI MSNVYFGNIRAEGIGGNLMRICPLSNYKNFTLENISLEAFSVKTNGIYKSELPLFTDS TGTVAALEGFVIKNFSINGTRITQAAGNYGPSSLGALHIASTYLTNGNVTII PFICI_11987 MKSLSLIVALSAAALATPTKREQTYKQVVTVWYTGSFDTIGGET GSGSHLYIKDAATGETIDTYDGDKSVECLETCLVQWQPRCFVNQKDFAASDDGYGNIV SCQVTGAGTGEGSSDCDVIGVGSACESTCTVTWDALTDGTC PFICI_11988 MSSDDMGKSGLITDYTMHFGKLLKRATALILSDRLSIECQNDME AVVIKARGYVLGYVEAVDDDMRTNNRQCIDVSWRDIFGTETFRGHDIVSWTIQTSSIP LKRGDIVCLIEGATRPTIIRAYRDFSAVLVIAAQPPKYLDEHDVHLTWGSVSKQIAVP QRDFLLVWDWNYSPEMFTIHDCYSAWAHSNEWSEEITNTDTDVHLARANQVLKCGILS LDAGNLAIAEQRCSEALLYYESALQVRDSVGRCQKEFAELLCKVQDRIDAIFYTPLSH DPESQRAVGVRSISLLFFWVLQSEYRFVMKLLSETGMVDPNIGVHGWPSMLSLAIRMG RLDIIDWLLRKGANVNSLSFQHSKEMIPLHEATEMGHLAMVERLLKAKANVNATTRFR KETALQKAAGAGHVAIVDCLLRAKAHVNATNGPGSTALHKASEAGHLAIVERLLQAKA DVNVGGRFGEHRPLEAASGAGHLAIVERLIKANAKINGGGYFREKSPIQAASGNGHLA IVERLLQAGADVNIGKEFGLETALVAASHGGHLAVVERLLQAKAHVNGGTGPQRSGRT ALQAASEAGHLDIIERLLQAKAWVRETSIDEETALSLASKAGHLHIVERLKLAAVSR PFICI_11989 MFQIPLFTNMLLTWTLANAVVAGTTTPPGFTPSCDSLLGVLYGN TSITPGMTIETSTVSTAPSLMLPIHNRNDTYVVLLADLDGTLNNQSGLLHWLYQDGAV RDDGTIFNSTSLAVYVGPSPPAGETHRYLFLAFSQPEKFATSINYDADIPISRAGFNP QAFANQTGLGLPYAVNYFLVSGTSSNTTTTASSPVATVTSTFVSVALSATQMNSAMLV SAVFGISAIFVAL PFICI_11990 MPRRSRGCQECRNRRIGCDQSRPTCRQCAVTNRACSGAKLDTII IDQTEAVTTRCQSRATGGTQRLARLPKVPSPRAIWLSYYVSRFIQFMSLHTGGPTMSP LLDELGAMPVNETSSTLKLSLYAVSAVYCGVAAHDQSLVSAAVEVYGRAIVQHHTAIT QSALAPSITTISTTLLLSLFETVWPTGPNGYSWHMRAVQKLLALARVELASSQFMRQN AVHFQYQTLFTMVTTPEVDAAASWDSECWSDLCWFQLKQDQSTPNVSDRLTYQLFRLG EVFVQRSRNNSTINNTKVRVAEIMISIDKLWDDYQADLMAQDSTLPISSLKGVRYTNE LTAMTVAHFAAAQIMASVLMTPRQEPVQLDEDAPLAFAYYFP PFICI_11991 MVAPSSQFQRHRTLCANPGVYPNTQLREPRPHEVHILKKHAKYL EENDERLFNDDPEEHLTDIFEIDAEKSINLKMNHFRTCDTQLQHMQHEATQWDIRHVF FKANDSRSPLNCSSDMLKSCFTFHQVDASFLESLYTFGDQEKPLDLCLAHFKSSHTID LDEGSLIAIPELRLSGRDLRMSYLLRSMEYDTSSSNQNKWKWNLRQTAVYHSFDVETG QSFWCNIKANDEFKNRIKDSTSHLNLGIPGLEQEFRVYRLFAAALSTHLIYLLWCDEN WRWCINDMEVAINEILQKARLAEIDDTKSTVPEHLLRRATTMNSTISRQTRGTAPRAS SGLMHHLVQAPHRYVLALRESLFGPQKSIDDVEMAAPVGNSVDRKPQPDRKPQPMQHP LLTLDQFRVSELQALQLWGERIERWVLAVQLNITVLREISAYYSSLTGSLLKVHPSMA QKYELEIRHFGQEVTNIVRGLETRKIQLQSLAAQLSEGQKLYDGLLQYRNIQIGQTFA ESAETSAKKMQTIAYKTAQETASMHIITIVTLIFLPGTFVATFFQSGVFWWKDAPDDM EGGWSYRGDAFAFFSCLCFPLMIATLGVWLGVWYNMRRDRRKEEENNHAAG PFICI_11992 MPPRMSYGAGAHHLMDEFVKALEMHANAENCRGRNGLDETVMFI PNDFLFTYWTEDIIRDLLLSLSGAATYDTSIILSEYIIVFSILTKMYKSDWISHFVEK QIKDSSLPLRPDSNMPKSWTDQRHMEDLWKDFINEQWMFCPYTFDRLSNAELSESYIL PIQSKECLQDKAVDGDLAILYKVKLHPSCRGSLSEYVVFKEYQREDPIAAATYEKEIS IYKTLKPGSSAFKHIIEYYGSFQILASGKRTIMLEYADGSDLSAWFKELKPPSEVEHL TAFWANFFDLLLGLEAIHNLVPPHSGRESWYLEGIHQDIRPHNILLVKKPSSSHPYDV TFKLVDFGTGYIKKQSLDQFRETHRDNFGNATYSSPESLNDRLQPESDVWALGALASE TLVWSIQGWKGVENYCNLRAAKMVQGLPETHRGCFHNGIHRLPIIDDHHREVLKGRLA EDNISPLVSKMILEHMLVEHPSALEGRASPNPQFRRRARETHRLWKMKLQMIPLARPY STDDVRGRLHHTVTEPVLATIVTADDFLQQSEFDPDESSAMSRYSSVTYRRPEGPINS FHESYDAHSVRRGPSQHYRPRNPILQNEDSFERLPMHLLSPKPDRSSLIRDLMNQMVQ TAEGTNTQQDPPRFDNDTITINTIYDKFIARKDGKGRVGAAFSKFTGSSSDQKDPLKV HPDLGLAISKLKNSGSSGREQVFLIDDSKSMSRFQTSIAKTVRVLAYLIKKGDVDPDR SIELRFASQIGDKKQNAKSTPLEDAINDWPFEDSVCEMGTALEALLLDLLPKRYAADP KPVSVYVLTNALWSDKTPTSLCGVDEAIEKALRHLDNVNVELGKKWLGIQFIGFFNNK PSSENTIGRRRLEFLDDKLGELFEALDLKFTRKDIVDTRESDDDIRDILLGAVSDVWD KKKG PFICI_11993 MGREILDGEVGTTGSNETLSVNSSDEYIDARIRAQIGTSYHPMG TAAMGKMVDNDLNVKGVKSLRIVDASVFPVPISGHLQVPTFAMAEQAAEIIYANRRAA E PFICI_11994 MFRARTVNILALGLLSPTLATNLLVSHFGGQIYSLSLSESEGNS DLIISTSTSGCGRLPAWLQYDSETQTAYCVDENWSGPGVVASFLVASDGSLTQTGNSS TAGMSVHSAVYGGVNGRSFVVTSEYTPSTITAYELPLSNETGALQTLEFTMDAAGPNS RQDKPHPHAGIPDPTGDYLLVPDLGADLVRIFSINQTSGQLTACGAGEAEAGSGPRHG VFWSSSTCRDKFYTVNELGNSVTAWTVSYPLSGCPTLVKTQTLSTYAEGVVPGNTTKA AEIHIRDNFLYVSNRGDETFGTEQDSLVTYTIDPNSGNMTWLEASSAYAWYPRSFQIN KAGDLVAVGGQTSSNVAIIARDITTGRLGDLVANVSVATRGTAGGENGLSAVVWVE PFICI_11995 MSTAAELAAYHHQVHESTIAVWTPAAIAVIFLAVRLYIRYSRLG SWLLDDWLLFLATAALITGCVLVSVSFASDISNNDGKRHFFTYHQASSVVLTGATTWS KCAFILTLWGVTNSRGAKLFLVFLMASSHLLLVVSGLGIYAPACGDPKIPLRPYYAGV CLKETVVRFMFLLPIVYGGIMDVVLSLYPWMIVRNLQLQNRERLGVAIAMSLGAITGV IVILRSVLQFKKLVGRFDSLAFLQIFNTLEPAVTVILQTIPIFRVLYKEARAVRSRYN IQSLPENQTSQSRLRKPPSARERASVADGYEELEMEHFSNKPNDRITEVLKDR PFICI_11996 MLKATKAPASFAQFAKFPLELRVLVWKYTLPSIGPAIYPYRTGL WDTRLIDEGDPRFSVMNHNLEMFYQHEYLHNGAQTEVPTLLVNHESHAVARAWLRDQH YQLFRDGDRAPEATGDPKNWTFKRLSDPDLDIIYVAPERFIDFLSEEENRTMDNDLIH INPLPSRCNYRTTFDYRVLMDSEVAFDDLVGGRRHDIESFSVIMQDSPRSVNVASSGW WDLELTIKDTFTMIWIGLASG PFICI_11997 MDHVIAPETGLPAPVDESNWLAEIETLVGQLDSGVWADQWPEFQ QLDRNPFESRRIKDKEPPISASPPPKKPRLGSKQTRSHITPAKWENVKDIISDLYLEK DYTLNTVREIMSKPPHDFHASPKMYKNQFEIWGFAKNITKNRVQRMLVPRSIKTKVAN RFNASRIDRYLKRTKTPQVMTTHESDPLSTISELSDPSVEAMLISELYDPSVEAMLSP ASELSDPSVEAMLTCGFFNRGHRKYTITMDSNACDGAPFVADSWGRQEYHGLDERECA QSCRCRAACVFSDIDTEGHVYHNLVHEHTHKFGVVCGAGSVFVDIYDTRLLSTLKKAI PDAYKFFSETQPILGACSSWRINGIDLLNHCQELKSFMNGDSSGSGLSSDDSLDLKLL VDGLLEDGQVWNHLDMEHLYREGLVNATIRDKFLHQRLMRGIQSLDTVFKTATERREK VDGEFGPTTPESNPWFRGIYELESSPTGFEFHQDTWDHHRQQVDENEDSNTPLDADTE QQHQIDLNTKLVAACRAGWEPAVTSLLSSGASPSCLVEIGGRVYTPIISAIEGRYESI VQQLILTGANLEHMASVQSEEETLRYTALWAATKTNQPSIVRLLLEHGADLIARSIVQ DGVSQRSFTCMSEAARLGLANMFNLLLMWDTSYSEGLKKTKFIDDSHPDAEQAKKLFE AIKMGSETSFVEALEKLGDPNILMSMGTPLSMAAQFDQPDKIRTLLNHGADVQLASLY LYRSGQQQTSKALVKTVFGAQNIRVRFIKQYERLVQLSESTDEDKLKSFKRHCYNYRQ AWAIGIETMQNICCGKPPDGPDGLQRTLALLAVARAIAETTVADTGDIGVLDKFDTDL LRWQLLFSDDKDLSPYRRAVHDLWKVDLEGSLFLDPDFEDPDTLGRFNGLISGLIEGA RESLGLDYPSGHGLNETFSRWKMRHKDKSKATPAASPAPSILPVQTNEVWGKAHENEP PDPSPESTAPPTCDQVVSRKMILQKTSWLDSRSKAATGIFFLDFTGVAEDLLRGYIFS VVFFFIHGLYSSARLCMGKCLGLVERFIVMLRVLSLLQACERVSYHKVQDDSSNSPHE QDTVTDSVQLAGVWPATTSSNQWYSMIGCSLGTWL PFICI_11998 MQKAQPGSRLRAGSEEFECLGPLSPYHVSDNATSPVSTDLIDPE LLRGAPPTPPTSIPEACSPLVLGGGTPGDVTGHRESTPEPPSYAIDCILERWKKDVFL VRWLEYGSSSWVPRSDILDDDLLEAFENNYSGYQDGVEMARAERHSGRTR PFICI_11999 MASKDDRIRSVFTTSRRHVSEGSNWERFSSIEIWIDPTLTSHIV LYRVVEGPIQDISEHVKVQAGEKAMTHVLTLSNGAVEQIQSLQPGFQITLSDLGAENA KFRGNLGEMEWRGFCCATSTIPFATMTEGERPIADNVKRFLDISPLVGKTDDQGRSTT IDQARDWATDIFHEMIQHSLSDSDRRFFFQNFKVLGGLFRSQHLGDQALPTLLTDSSS ALCLSSTVSRNYLTLHRAAVLSMCKALKSSEWLQEKYRSGISDKAEEGLTTLQALASK RREQLQSETEISFHNQYLDAMNISYFAAYLCSNPALVEFLREPDAMFKQVRDVLNSPN YLAHWLPKLIDAGKDKTARTLKMRLSIIHDKLVLLLKMANPLAAPWQNEGAEYKEVNA TVNKLVDAAKESGLIDLTNIHLETEILDLRIDGWAMVDTQILSMRKVITSSHMPAQY PFICI_12000 MTEAPNICAAIEQKLPPSDIVETPPSAEQESRYTVFDTNAVDSA SDDQRPQAFGTLYIGVKTVTSINYHSILYKPFTEDGDGVVNDISHCVSVIPTGNDQVI HYRKVADDRTPYEFDIEIENLGSGNACFKEGVMTFGYTSWKWSGSFVTFATRVTQGTS LEVAPPPGFNSISVEERGTIDELDKIDPIAHVGRGEEIVQGDDEAQIYGNQFLQKIMM RSLDDDTIKALLPGLAALSPAEQTILDKNREFFRRGAVALLAEKLKDDGKIDPDLAKH IIYRWESYLQLVSKTAIPAGQTDPAVAYGLDRKRDAKLIATLQEQFRAASMACYELGY LKKCPEWSKFTRFPAYWFKTYAKTLLSEEHVQTWLAHTIQHGASDENAIDGKEQITIW MKKLSLLKHCAEAAGATSKEFEIDGIAGQLMGRLQMQPAYTQPLDTELSDAGKLLSDL DMKKCSPEFSGRFDKLSDTARDSMRALQTSAPKLLAALTDPTNKLRIDALSYWSKRLP TALKLEAPTVRQFIEYLEHAPIEESLLSELIPDPSLHSKAWFASSGGAKTLVQSAIHS AALYNFYSSLPTSNGQAFSKESSSEIGMSVLASFGETTNMLAKGVNPGVRVLAYTMGI NGFTKSTISWVCNATKGMNTKIASIFEKLIGRAAKILHVVAVVGCVYNLITSFWDMNK KVDPNNPDDEAQHIFATIQFSLACVETFLLVGGFIAFLAGWTTCSSLAGPFALVVALL GGLAMIIYEVWFAPDPMKDVKTFLEKVAMVTGSYDTNRNIPKKEYIKFLDNLGKGSKY KSLPNEYRPEKLTDITKEDKPKKNTKKWIVITAAQDQAARDLESAIQGVFVAMSWYHP DNFEKLATAYLHLEHGCGYVRADNLFDPSFAAYMQGDSKFLDRYQRGIEDLRIQLNGF FSVFLTQTERALARRRTLILELMKGGRVVEF PFICI_12001 MSPQRRALISVTSAQATLFNGTETTGLFISEALHPYNVLRAAGF EVDLASETGSYTPDWLSQQPDFLQGEDLKTWEDTNSEFRKKLDNMPKAADLDASRYGL FYASAGHAALIDYPTATSLQRIAEEVWANGGVVASVCHGPAIFANLLDRATGEPLIKG KKITGFTTEAEHTMKIMDDLRSWNTEMVEEIAERLGATYQRAPGIWDDYHVIDGRLVT GQNPASAASTAIAAVKVFEQL PFICI_12002 MATKNAELDFSRQPRPTSLRYRQKRSQVARACDGCRAHRIKCDN NFPCSNCESRGRHCSNDGTARTSTLSQAHDEIARLKKRVEELEAELQRGQDKQYPHQQ ATPSDSSSSDLANLESHDPKSAPQKVHWQGIAIRPTRSPNETWYGASSLYYYIHRLST YLSSTSRETSSANSMLPASANTGKLLGRSANEPEDTVRSLKLSADGSTSDGVYLSPIQ EGYFISLFWETYHTSVLPIINEAHFKEHYQSLWAESGATRRPSALVDIVVAIAMQVGI AALPSDQQGALAADADATIAGRWHYRRGQMLLSLEAESPTLSTLQCHLLCICYLCGGS FHNMVDMACSLSVRTAQILGLHVESSDMPEPERQLRRRLWWAVYLVDSKVGMKLGRPF LLRDDYAMPEMPSDRFDAANTSGSTFTPLGQNATWLSFNLEMTKLYMKVRQAHTAFYG KETCAHTSQGIWDDDQVLEEYARLLSSHTNGLDQWATLVPAELKTKRRTGGKPFFDDG SFLEVEHFSPAWVQRQRVLLELTYHHLCVNLYRPFISFAARPVMDSEAQRVATRCAVH AISFSNIAHQILSTTPILNGWLETFQGQWSSAMTLIGFVLMYPHGPMVSVARAGIDHS IAVFDIFGASFSVAAEAARITRELCNKVDAIVAQRRPRHDTLPSSLDPIFPTSDADTN SICGNVVIDHGLMSLDAVDSAFSIQNNLDGLGPGLFDMALDIEFWADLDMLWPQAEIP TVP PFICI_12003 MSSTFKVILVGGGPVGLAAAHALTRANIDFVCLESRPTIAIDAG ASLVLSPMGLRVLGQLGLLPALNQVSTPIKAISRCDHKGNDLGTSNIFEHLKNNHGEY PRVISRHDLTKLLYDTLPVERQERMLPNKKVSNITSTSTGVTVNCADGTCFEGSIIIG ADGAHSQVRQQMRRLAMRSSNGDGSSVNAENPFLTTFRALWMRFPTNIGLTPGQAHET HGDSLGIQLFAGEDTAVMGMYERLPRPTQERHRYSQEDEEALMDKWGHLPLTDGLTVR DAYNAKLYTGFVDLEEGVVDHWSWDRIVLAGDAAHKFTPSTGSGCNNGIADVVVLVNE LHKIISSPTAESAEQRHDQVPSTAQLTAAFKAYQEARHENVAAGCQQSGNATAMATWQ NTILKIVDKYVMPNQTVQRYFMSKGAITFSKSPVFDFVYGPEPLQGAVPWVQPILASK EISI PFICI_12004 MFVNVIRGALLGLSLGAEARSLSRANSDARQSSSCENTATSRNC WGEYSIDTDYYQTTPDTGVTREYWLTAEKIVLAPDGYEREVQVFNGSLPGPTIEANWG DEVVIHVTNAIPDNGTAIHWHGIRQLNTNHHDGVPGVTQCPISPGETVTYRWRATQYG TTWYHSHFSLQLAEGLYGPIVIHGPATADYDVDVGAVMIQDWSHVSAFEVWEETQRKI ALYQPVAENGLINGLNPFDCDGSTDAACIGTSERYEINFEQGKKYLLRIVGIQADGWM KFTIDNHNLTVIANDLVPIEPYTTTNVILASGQRYDVIVEANQDVDNYWLRAIYQTAC NNNDNDNKDNILGVVRYNGSDATQDPASTVDSDIDDSCGDEPYDSLVPWLSHEVGDSV IEDYLALGWFYELDLVFHWTIQSKTLIVNWSAPTIMDVYDDGGPTPDFPDNSNVVSVD VVDQWVYWVIQDLTLVNAFHPMHLHGHDFYILAQGSGAFLPGITALNTKNPPRRDTAT LHGNGYLVIAFKTDNPGSWLLHCHIAWHASQGLAMQLVERASEIPSLMDADVGSMTDT CQAWNTFYSSPDQADDEQDDSGI PFICI_12005 MTVAKTLLTWLLATATCAFGAMFDPYYANLTWQPPRTLSNWSNL TVTTPTGTFIGTLNDTYPNVRHFLRVPYAQPPVGDLRWMPPQRPKVSNKTIDSTYFGP ACPQFVSGTASLWNMYQPPNTVINIGEPTNAGAIAWSTAEDCLSLAIWTPSYANKTSK LPVALYITGGGGVTGGINIASQLPTNWVSRSQEHVAITINYRVNVFGNPKSRALDETS LTLLDVRAAVEWVSDNIELFGGDKDNIMLWGQSQGAGLTHQYTLAWPDDPLVSRFGII SQPPDVRINLTQTPDPYADFDILSAALGCNYGDDYEGELECMRHISFVQLTETINNWN STPSIAFNRCIPDEKYIFTNETDRYEKGLVAKGPAVASNAATEIPTEGNLTASISNAQ AWTCANYRDSVLRQSYGLDTYRYFWAGNFSNISPVPWLGAFHWSDVLMIFGTYMLDVG ELPELEVESSEAMQDYLLAFLKGTAAAQGWPRFDANATDGGAILEFGNGVVVKNLTGD YIDGSCWNSSATFPYYG PFICI_12006 MSANQNIIVLDCTKDLNSVKTPLIDCIAARIPYGEWYYGIDIEK KNKLVIFSGNSLSLSRLGIEYADKNRTGAETKPHLEALGDEWKDCIARPPVDLSVSLS LPLEDIIKSPVVEPVFFYFSHADPEWERSMERSDKVTKYTAEGYRGSTWGWATEGVHH KSLGDAEGRCFVVISGWESAKAHEAFRRTDEFKVARGQAHKGLIKAVEWFHVHVNKAK PFICI_12007 MASVPKSLQESADATQVSYQQLGKCGLRVSVPILGAMSFGHKDW QPWVVDDQEEVNKLLKGAYDRGLNTWDTANVYSNGVSEIMIAKAIKKYEIPRHKLVLL TKCFGTVGEEPGVMHMKYGDLMKQSKDYVNQGGLSRAAIFNAVDASLKRLDTDYIDLL QIHRYDPTVPPEETMKALHDLVQSGKVRYIGASSMWTYQFARLQTIAETRGWTPFVSM QNHYSLCYREEEREMNKYCHETGVGLIPWSPLYRGLLARPLDSEPTVREESTKGTRFE TKRGDKDTPDSIIISRVEELAGKKGWKMSHVALAWIIQKGTTPIVGFSNLSRLEEAAA VRGKTLTDEEIKYLEEPYKPKEIVGHF PFICI_12008 MTSSDIPTCRWGIITTGLISSWFVGDLVMPRTDAKANHIIQAIG SSSLGKSKDFAEKLLKDTEAKPALYGSYEEVYQDPNVDCIYIGSPHGFHKRDCLNAIA AGKNVLCEKAFTLNAKEAKEVFAAAKAKGVYIAEAMWLRHRPLVADLRKLLYKDKAIG NVFRTTSDFQMYLDIAGLPSTSRYKDVSLGAGSLLDIGIYPLTWAILTLEADAPAQRE MPTIKAAQSFVDGIEVTTTILLHHPSTDRQAIVTSSTERAYGATPASSNTIATIDGTD GFVEVEGHVPSHPRSFTVYPKWTGDEKPKGKKYDYQRPQQGFIYEADNTALDLAAGKK ESSIMPWSETIRVLEIMDEVRRQGGTKYPVD PFICI_12009 MSDEIEALYYECVSDGTSKPSAIPAQSSHHEDAATKSFFKHSSA RRTNTDAYITSALTKQYPNLQIVVSPAGSCNLLAYAQAGFASFEVIEENNGGLPSSLQ WDIYVPPTRRLDGARGGLIERALFGKYMYKWKESDFIVYFVDGRDGQASYPTVQNFYI LTTEKQKAHQLILEAGRWNADLHEEVWVFDGGYWQKSKDLYESFRNASWDSVILDPQM KESIIDDHLSFFSSRETYTHLKVPWKRGIIYYGPPGNGKTISIKAMMNTLYKHKPASI PTLYVRSLFSVGAISVYQGPEYSIKQVFGKARQFAPCYLVFEDLDSLISDSVRSYFLN EVDGLKSNDGIFIVGSTNHLERLDPGISKRPSRFDRKYFFPNPNLEERIAYCHFWQGK LKDNKDIDFPDKLCKAIAEITDKFSFAYMQEAFVAALLALARKSDEGRGKKQKKEFMK DLEDEWVGIAIDDDDDNLDDLVLWVEIKKQINVLREGIKESKQA PFICI_12010 MPNFGRPRMALSTSDYRDVHEDGGLKPADKRRIGLLEDRTVDHS TQIRDLQKELTSVRSTLEEALDFLREVTQENSALKKSHESTCQNLSDLCSDMRSLSTE HTNHVSKEELRCELGKVKDMVYAVKADHDSLDIRTTAVESEIDSLHEHVERNEAWQET MWRRQKISDESHQTLKNQVGPIHPSGLSLVEQIDSQSSTIVAIIYQSNGLAENVEYLQ NEVHQSSQQLNRSLAMVQDDVRDLDSDISKLETDLYSLEDSLHKEQMCSRHDSEHIQR VEERLQNLRHEMNTQNKRQEDSAQDVEHKLIAQIEQVQVECTVPKAPLAEQWGPLLER VTRIEASAEVHHQEFKERITTIENDLDQVKEDEPQNLEPEKMITADQCIIHQNEYVDW LRNHDFQLPYGMHNFKNRVVRVDDYLLFSWGGQEWFLRRAAHFCSEPKRAERHQRGLD DDAYEAKGRPYFEYAYRSSGFEAIHRWSSRSSVCTSRPLPKYLVHLGEIYSNGNPQGA SNMPLISTGFHLFMDIITPKKPLWIIFSREASDGTTAAFNDQYRMFDQLLDKRFDVAM IAESIHDWNSKLWDTTEPRLVAWKAKTCMEKSFQQAGTVFTYPHLDTLFHTLDSERAG SPGDGPDWYKGAESEDYL PFICI_12011 MKKYEFGGPIGALGIVLGLPILQWFYVFGCNDISGCPIPSLLDP KNISLETLKAEAGWPADGIWGLGSWESFGWTLAYYFLSLFLFRILPGQEVEGTELACG GRHKYKFNGLASSSIILAVCLAGTIAQGAEFPLWTYITDNYLQLLTANIIISFALSVY VYIASFSVKPGDPEKRELAAGGHTGNMIYDFYIGRELNPRINLPFFGLIDIKTFCEVR PGLLGWLLIDLAWLAKQYRNYGYVSDSAVFITVVQGLYVMDCFVMESGILTMMDITRD GFGFMLAFGDLGWVPFLYSQQVRYLSVYPLQMGWLGTAGVLAVLVTGFTIFRLSNAEK NTFRTNPNDPKVAHLKYIETKRGTRLLTTGWWGVARHINYLGDWIQSCPYSLPTGIAG YVILSAGSDVPGAFKMADGREVIQGPARGWGMLFTYFYILYFGVLLIHRDGRDDDNCS MKYGEDWDKYKKIVKWRILPGVY PFICI_12012 MRATLPSAFLALLSLVGGSLAADADKTTTAEACTATNPKSGAFF DLRPDIAVKLPSDGSKVKSGVPTKDYKINGYDYGWNFTMNICAPVVEEISNVRGEINQ EQWGNISAYYTIKGDTYSLGFSNGELKRRGTKLVLQYTGGSTCGPSAKRDIERVPTRR SVHSGASYKYSDYDDEDERSVATESVHASKETDSPKQRKSATISFHCDRDPSASSATV AFVSVDPDECAYNFEARSIHACAGAEQHTAGSVGPGAVFGIILAVAILVYLGGGVFYQ RTVANQRGWRQLPNYSLWAGIWSFLSDIFIAATASCARFFPKRGGYHYLSGSPSRTRQ SRDREAENRLIDEYDEEWDD PFICI_12013 MKVFIFLSLFVTWVQALATFGDSKNVARQESLSTSDQDATPAFL QVVQPYFPPRKSLDDPACRQTIVQHDFGNSYGSPYVGTYAPPADCDFTTTILNLTVTS QGRQYDRLALLYLGDTEIWRTSTAMPIQSGIQWTYQKDISVFHTLLTQDQKVFLSLDN ILSGTLYTGTYEVTIEALYFNDVYTPSFAPADEIHPISKLASGQNTTSEFSLPGDSGS VNLTLARNIKQAVVSIIASGNSAEEFWFANVPSVYTNTFPGPLGELSGYSPFREVQLL IDGQLAGVEWPFPILFSGGVDPGAWRPIVGIDTYDLPTFEIDVTPWLSLLCDGEQHEF QLRVVGFDSTAEDKIGTIGSNWYVSGSLFVWLDESVNQTQAGEITASTGEPLFKYLPD LTQATSSNGTVTNTSLWFSLGVERTLSISSTIETADGNKTVSWNQHLSFNSIQKLTNA ALNQSTSMVTQGTYSGSASAEVSTFQYPLNLYSSYDLADSNPVTSPGSVYCLVDRSLI SDGVALLPLMSGMIAGPESLAVRQNVTSMYYWNNTIVEATGDNNTCSGASWLSFVGNS TSDNGVDAFGQQLQELDDAWVNQQQAWGTIPVPTTGPLPTPN PFICI_12014 MVKIAIAGANSQLAREVINHLATTGKHEIIALFRKDPESVPSLT GVEWVKTLFEDKSELVGLLAGVHTLLCFFPAHLDKNSEAQKRLIDTAVEAGVKRYAPS EWSAGMNLAPSLDVIPWYSGKIEIREYLKQINEKTKVLEYCLFQPGWFLDYLSHPHKT SEHASTFPTLVNFEKGTAITTQWTHDNPMAYTAVEDIARVVVRAVDLEGEWPVIGGFR GNQLSVAELIRIGESIRGKKFQVDLLETKDLQAGVLKTDNFSRITLPGVPEEQGEAWS RMATIGLLQSIERGCWDISDEWNQLLPDLKFVKAEELLKKSVPA PFICI_12015 MAGWFGKAAPARVHTDTVAPMRYFDDSIAQGEIEYHLPAEFTPE RPAVAFSQVQTGMAIAAHPVASQLPRAHGNRPIIAGDPELFTSLVRPEGMPTSINDWL TQDRGLLGLHVVSFTDATIVVFYFNHTTFDLMGWGALMTAWTHVLHGREHLVAKPFGG DPGSTGFDQLKDLGTNPTEPHVLAHKHMKIGSLIGYGVRNVFDLAFKAKENRIVCIPG AFIDKLRARSMAELSAAAEPGATPFLSDADVLAAWWARLAASTSQSPDSERTISIQYA ASARKALGMSVDYSRDPYLSNLFTMLYTIMPARDLINKPVSHTAKEIRRSIVEQGTRE QVESYYAIQRQTPGKMLPFMGDAGMHAVLMSNWGKANLYAHDFSPAALFSEDRGKAQY PSYIQATQVPFNYPEGFLVIGQDMQKNYWLYGFRMAGLWAKVEKELAELDV PFICI_12016 MPSDIQNIVADSSDDASNQADDDWSSEDQVENDKVSISEEETAK TTGEHPQAPKKTPANRSGTPRHPPRNRPSLSLSKYRISKRKARKLRLESRKFRKRLEK MRKQMATELEAQVANLERQVGQQIRSELEAKVANLERQMEEMTIRLSDTEQTNKGPNR KRSSDEGDTHMQGMEDDPKKQRVK PFICI_12017 MADVVAPAASDAKVVEPTVESPEKAAAPAAESTETTAPSDTTKV AEPEKSAETAEKGAEPVAAPTETLEKPAEPVAAGAPATTEKATEEKPEASAAQETTAT PLQQLWATAKTNGHPEIWGVTLADPDTHVPSQIVFQKFLNANDGDLTKAKDQLTKTLE WRAKSKPLDSLTKKFSKEKFEGLGYVTSYGGETPETKEVFTWNIYGIVKSMEATFGNV DEFIAWRAALMELALQELSLSTATKPITATEDPYKIFQVHDYLSISFFRQNPAVKAAS KETIRIFALTYPELLKEKFFVNVPAIMGFIYGAMKLFVAPKTIKKFHPMSNGGALALE FPDSKVENLGEKLPKAYGGKGEDLQAQGKATQLE PFICI_12018 MLKSIVSCVLAVAALASAEPIPRDLESRADSTSSGNANFDRLPA QDHPVYPIGYYGGLYWTGIYAVNASDTSEGLIPKSLPNIASFGSVTADLPIISSVFQG TKVNSFQLSSLYFGCTDEAGRVPVACKVSVYTYDKLLNQLSYSPFYFAPQSNSTSKLV FADLSATPAGVSYARFVVKFVVGYRGLASIDNVKYSITTNGTTDAKLLVAS PFICI_12019 MYQNALAIFFQLCLATFAFAAPLAGEVSATTTDAWQYGTGGGII GFVVLVLDIIVFIEVLQSNRPPSHKLLWCLVVFIFPVVGMIIYWLFSNRQAHKAGSYE PLA PFICI_12020 MGDSKTPAESWQAKASAKRARRDSAIPAEWKLPVTIWDSLKLPL ESNKNNLIELEIVKKSGILTRKELEITENYKVNALLDLLATGKLSALEVTIAFSKRAA IAQELTQCLTETMFDQARERARYLDSLQAQGKLAGPLHGLPVSLKDGFQVAGVPATLG LLSYVDFVSDTNSPLVDILLDLGAILYVKTNIPQTLLTTDSSNNIYGRVLNPWNTALS AGGSSGGEGALVAFRGSPLGVGTDVGGSIRVPSTCNGTYGFKPTTSRVPYGGQQTCNN PGLKFVVAAAGPIANDFDALELFVKTVLDKRPAALDSSALDLPWRTVGSQEGKKIRFG FLSEDPNFPIHPPVRRTLENAAKALKSEGHEVIVLSPDEGLVTDSVAIAGLILGLDTT PAQLVQAGGEPFIPSLVAIQQASAKMRMSPEYSKFAHLSQLEGLEKLAALNKERSRIL AEWNKLWAKHQIDAVIAPSTRTTAVEHDAMGIPAYTLLLNLLDYPGCVLPYGKVEPID VADERGPAELNIPCKKPNDPMTWGSETNMWFSQTMRSFCKGHRVQFNCLQASFATKSV FPLQRWLTIVSSTL PFICI_12021 MGQDNGNHRRRDRYGYRYSEGNDTYLTRRVENTNYHSDVEQSRR RHDSRQDLSASQLPIRLNASVSSPADAVNTARRNREPSPPLTRRSKRSVSIGQAPESE RSQPLDHLQNPSRAGGSRGVSPASDTSRYRDKWQRPADSDRVIPSINIVSPDDQIPDD RHTSRGRSWSRNPGSAVQRESSAGSLRSTSPATDSLGKRSYRYSELKRGEIRLVRILP AKMSAIKCAIEHYSLANVPEYVAVSYAWGDVGDTEQIYVDGMKHCISASLFGALSAFR QRENEVFIWADALCIDQQNMIEKSKQIKLMTSIYAKAKSVAIWLGPEADNSQSAIGFL KDLSDRSNSGQEIKSWIVSPELQQDLAAVGGLFSRSYWKRLWVVQEVYNASNITVYCG SSKIAWRALNEASDIFDRYESVIDAHFANISTDRRRYPTLPVSFKHVKALFYEGPRSL LDRRELRNQGEAVLLHVLRRCRSKLSEQPKDKVYGLLGVLPEPVRSQFPVDYNDSVKE VFTNVVDFLIYTTRSLDVICESIHFPQHSSSANLPSWVPDWSHNPATTSLSSSYVFSA SGSTVADWKFTNHRLRNELEISAVYLDSVKSYGVSVGTQCTLADYMMAFLHWRAILVK TFGRIDQEKTSRKAHEAFCRTLNLDQKTLEGRGDEWMESCYHVFSSLIKDRLPYLAID SELQSFVTADTGVERDERRQFLQDHFGKHMMGRSFCITNGKRMGMGSGSMLADDIIVV PFGCQTPVILRKETSSPTKYRLVGDIYIDKYMRGRAMDMHRDNILKEQKYVLV PFICI_12022 MFACSGRDIDGYAPPGHSHGAHVTVFSNLVEIREELEQADEECF GWAMAKHALGYMTLEEYFDRLIDHIRKDGVRHTWDKSRSNIAHVLQADLIGGAFKSRM LKRNLGKLSHADGEQLKALCLLFYNEADVAQLQSRISLEVSVTLGSLSPWMVDILAMI AYARRHVDVLEYLVKHHRASSTRAKTTFDLIGTAILSSRQGTSTIFQHSPNTLHNREL EWRFWTALLNAEPGAWLHYPLSETKDAAGLPAGLYYGLHWLADYWAKDHELRASPACA EYLRALSARGVVLGAVTMSRFLSVTEGTSFNFAKDGPFIPVDAVSARILLDCFPLGYS LSNDYPGGDSSMLALPITQWPTNNADRLVVMEMLLEQGLVVDGKIADYGWGSTTGRAE EQVQDTCLIKAAERADTDMVNLLLKHGAQRGIQGAHGHTAAQRARSKGHRKMANYLET L PFICI_12023 MSKQAFGVETLGTRATRRYHLATVVITAAAATLVLDILHLRPGP PTTQHKAFGLILVLSGIYTQLWLHANHVYYRKMAQNGCAPAPTYQNNPLGIPFLLETG RGIKEHFLLELRVKQFAALGHTFQHEMFPSTTTSFNTDDPENIKAILSTKFADWVIPE SRMRGFKPVLGSHSIFTTNGLEWQHSRAMLRPAFVRDQISDLACFDKHIAKLIDKIPR DGSRFDIQDLFGMLTIDSISDFMFGQTTNVLGNASEKDIRFGVWFDAALAKIGFRSRL GWLTELMPDSELDKYARFVHSYVEDFIAKKKQTLAEQGSQEQREYVFLDELWKSGEPE EVVRGQLLSIFLAGRDTTTSVLTYLFYELARRPDVVSKIQREIRDLGVEDPTWEQLRN MKYLNWAVKEALRLNPPVPLNSREAIRDTILPVGGGPDGKSPIFAPKGTNVRYNVWSM QRRRDKFGNDADEFIPERWETIKAGFEYLPFNAGPRICIGQNFALTQMAMVTFRLLQA FQTIEAKDDRPPVLRLAINTSLLNGCWVSVTPA PFICI_12024 MANGISTSLLAISLALSSTCTAASVKLSAPQMGWNSYNYYSCAI NESIIADNAKALVDTGLSKLGYQYVTPDCGWFSGLRDSDGALAWNLTRFPSGGQGLGD IIHGYGLKFGVYTDAGYWQCGSLNQFQGSLGFEKQDATAFARWGADSLKYDNCYNTNT TVGADFYSEESGSSQRFRTMGHALAEVDRDLVYQLCQWGVGHDLGSWASGIANSWRIS VDIYNNWGSIWRILNQVIPFAKLTTAGSYPDMDMLIVGLGVLSKEEEKMHFGLWSIFK SPLILGNSIANPISPDSLAVISNQEVIAINQDPLGESAQLVRRSEKGYDIYAGKLSGG RMVLSVANWLNSSQTVTFNISQVLGIQSASARNVWAYQDVGELRQTYQTELAGHEMQI LVLSDIEYAQTVSLATSYHVASEAIISGNATVVQCALGQCLPAQSKVASIGNGSANAA VTFTNIYSDTDGERVVGVDFINYDIAWESSWKKPQGTSSRNLTMSVNSGPLQQWSFPI SGGNWFDSGRLVLDVDGFVKGVNNTLIVKAADGGMSGMAPDLVGIELFG PFICI_12025 MSPTLRRLFIFQRDHGHVVKDGIRLTSALVADVPSLNAPVPYVP WTDGQKKTPSDFVHPGLWHTHDDLETIRNGVLAEKDPWYSTYTLFANDTYSQSTYTMQ GPKAVISRGSISNYTTFSADARAAYQNAIMWYITKDEAHYNVSAGILDAWGSNLTNII GTDRSLLIAIEGDMFVNAAEIMRWEGGWVEAGAKWQGGSGFSIQLYWLFARQSIIIGQ ANYGIASIKALMNFAVYLEDVSMYNFALWSWQNDPCAGIQATIQASTGQSSESGRDQS HATSGLGWLALGARTSASQGHNMYGYADNLLSQGAEYTARYNLNQTVPYDERWRRCEA VLVNGPWTEISTANRGVVNTTGTSVKKLPQAWDLLYYSAKANGIDAPWTTKAKAANDA AGGEAVFSGNEFPGWGDLLWAV PFICI_12026 MSEAPSYDESQPDGGNPLEVDVNAQYVGYEWNYTYLVFCGYIVW LIIPGIGLLYGGLARRKSALALLFQSFMVSAVVSIQWMFWGYSLAYSRTAGPFIGNLD NFGLKNVMSAPSPGNATIPEIVFCLYQLLFCACTVQIVVGGAFERGRIIPSLVFGFFW ATVVYCPIACWTWNANGWLYNLPSLDFAGGGPVHIASGWSALAYALVLGKRKKNPEDK SHGKPHNTTLVFLGTVLIWFGWFGFNGGSALNASIRSMLAAFNTNTAASTGVIGWVAV DYFKHKRRFSVVGACEGAIAGLVGITPAAGYVSVWLAAVIGFLTAVVCALMENVNEWL HIDEGMDVFKLHGIGGMVGAFLTGIFATSSISSLDGATLAPGAIDGEGIQVGRQFAEI TAISAYSFTVSCALLLIMKYIPGLHLRISDDAEAHGLDLDQFFEEEIGDWGLVHELER QRYANAIVGQAPAHDTSSDDAVQEQKVSATAVSHDKSA PFICI_12027 MVRLSLPVIVSLIAGASATGCGYKLAPCTDGNVCVPDSAECTMV IGRCPGTCTAPITDGRPCGFRIAPCDEGDVCVPNDASCTDLDVCAGQCYPLPTSTVKE RQTPTTTYQACGGHVISPTPCPDDYQCIDDPRRGGCGMACDMPGICVPNDYEPCSGFL GLQCEDGSGLQCYDLPNDDCDPDNGGADCIGICLAPIKNEY PFICI_12028 MSQQSVFVTGANGYIGLAVCRAFVSAGWRVFGLIRRPEAAAELY SAEVVPILGTFDDLSSLSELYKHVKSVDVIVGATEVFPNYGEHYLQVNQYIRSLAKVS NDHGVRPLVLWTSGCKDYGNTGVDGSPSLVPHTEDCPATPSVAFLQQRATFSLKIFEN TDLFDAAVLRPTNVYGRSSSHYGRWFEVAQELKTTGAELKLTIDPKSILHAMHVDDCG EAYVALAEHSDRSQVAGQCFNISAHAYETSEAVWRALEREYGIEGKVQFVQESDVAEP TPFSLAGVFGYSQWVSSVKLRKLTGWKDRRMLFTENLPVYRKAYEVAVATKADDIARA DLTKAFLDTQ PFICI_12029 MSGQEDWNNHFRFDTTWVPRIPIVAMGKNVQEIIRAKQILYLSM AMPETWSGPCSSWFKNGAGGKLAMFPGSRITSFELMNSPRFEDYKIEYRDGNTFAFLG NGFSTTEFDGSDLSWYLGNEENPGCNLPGPLPT PFICI_12030 MRPPIREPVFLKLSGLGSHSPWYFLEDDEKDHLKGTTGFAREVR PKLDETDWHIMRQWVDGCLERHQSHCPCRDSFDVPGFKVIDCRTRKLVPWHPETKFAA LSYVWGSPGTAPDQKVEFTHIARDRNVLPKFTENVIEDALVCASKLNIPYLWVDRYCI DQEDTHDKRKHDEKQRLIQSMDQIYSSATVTIIAAAGIDASAGLPGVSKSRHFSPQSR IVLGSHKMISVVNPNDDIRTSKWATRGWTLQEGLLARRRLVFTDTRVLFQCTQSHYIE GLSGEFDSCQEKDYELDFYPRLATRAFPNSVIGSDTPSSSIASVCHDFTSRDLTNDED ALKACLGIFSRFWTSEKPEYQYWGLPFKAKSNDAFVLSLLWKVDPHRYQYPETYGLIP FRRGCAPSWSWLGWRGEMGFYHRYRQEDCAQSQLCVDIKIPRHQEQALMSTISDYIEH IDGGGLYQEWLPYLRLSGWIATLRFETHRGDFNTFDGYVKCYLPLGQSDIGEGQILPP MWANVLENEKGFNPSRLLDVILITHDGDTSRVYCLAIHPVEGKTDTYERLGVCEVYCD SPEIKEIQNTSYLIARGGQSWERRDLECRFKTITLV PFICI_12031 MKILITGAGGFLGQMLAKELMNDSNHYLILTDVSAVAPPASLPH TERVTAIQADLYESSSVVVQPDIDAVYILHGIMSSGAEADFEMGFRVNFDATRNLLDQ IKSKRPGIRVIYASSIAVYGRPFPDVITEGTYPTPEGSYGNEKLMCETMINDYTRRGY IDGFSIRLPGIAIRPGPPAQAASSFLSAIIREPLASRKCTVPTADRSSPFWVCSPKIA VLNLVRLLTIPSTCLPSHRRAINAPGSLVTIQEMRDALVKIAGEDRLKYVEEAADTRF GKLVQSWPHNFDVSLALSVGLFPAESFERAVEDYIQTLGV PFICI_12032 MRNAALGQAAGPPSSRRKRATVACEKCHSRKVRCNISTKGFPCA NCEQDGASCTIFRPKRTRIGIGLSPTSRTTHAESSSSPLPQMSSLQSSMLGNVSLAAQ NQPLVSPPESHGRSIGCHPGQVIPSPMPTQTDVDDGSPDDLVNPGSFNVSLEGQDVQD AVPFYVGNEQGLELMLDICRPERLERNHFVVPYTAAKSTRPEDMSYLQNNGVFDLPPE NLRCELLWHYVHYIHPMVPIVDLNNLLLDCAAGGPVKTSPLLLWSMFYVSARTLPLPL VKVAGFRSNKEMQHSLYKKAKCLYDMDFEKNKITLLQSLILMSNRYADTEDRTGPWHW IGVAISLGHTIGLHRNPCLGNSTGPQQSYFEAQMSLWKRLWWSCFHRETWLALSHGRP MRIHPEDCDMPMPAAEDMWAGTSLLPDDIRQKYIPGPEDCAAFSQVHLINLGLSITLS KLLRAHYRPGKSPSIQQINTDDAMIQEHAQPCREYCEHSNPLVQNYASHILIHYEVLM IILYRPYLLKLPSCNGTMNLEDWQALALKKAKLAADNISTTLNRLTALELINLFDSTI ILALKPAMQIYLFLSTSTNTFYQRHAFLQLEFYMLVLRELLPSAWTARMTIDLFRKAM GKLAARRGQATQQYGDSGFLPENPSTSTADENFAMRGNWPPLPWQTPDDYMMDNMTDL SIPNLGESWDFALETVLDETFESIN PFICI_12033 MAELQEANKLHGNVTTCTGRTLRHGIENKHSWDRRTIRAYNQPL KENAGFIHLQGSLFDSAIMKTLVISDAFRKRFLQDPGHLNTFECKVRVFDGPEDYNQH LDDEAPGESDQNLVLVMHGAGPVGYPGAPEVVNMHAPASLLKLGIRELPCIGDGRQSG TSGSPSILHATPEAAAGGNLAILRNGDMLRIDLDARRVDLLLTSEEIQSRRAEMEDKI KQLTPECQTPWRDIFRRETTQLDQGMVLKRAVKYQRIADQWPLPRHNH PFICI_12034 MIPNLISAPMQNSASIVHVNLDISLFKCEAPPEFRPIGSALTQR RKDEAEHGKIHDIATKLGRLFNDIVPPTPTLIKAYGCRASEIMSNQAINPQGTDADGF FKDHIGADARSLWAAATSGPSAISMHLLGCMLAKAWSPAEATGLWFELVRERQKEIKA TGGRGYMYREVVEASQQEIERSELAQWDSSMRAWLRRAEQTKRSQHCQFNLIAGNIKL PWTATGTTYQKVIQSWLRSMKTMEDLLNNLPQEATDRSLLHSIESWHLYPDLLVFQGT GTVLVHLNDPLLPSSAILSLGLEYTGQSKHETNSWSLALSHLRHYGSSVKVTSPRSQP RLTMSKVWLIALGNILRQWQVENSRIPDAVDWFRQLGSLLRRYPRAESKALTWLWRIS DACHEVLGQDEPEKEHDLSVIKYGYRRATHFLDSNPMFTLPFFGLCNPHCMSAIKVTG DINRGVAYLREACSSVNMTGFNAAVCYKKPLVKGVTYHEWATVQSGPWQHHDGTEGNG HVRWIYYATKDGADSAKYSLQSLQNRKADIERLGEGCFIINDAKEQPSGEHLADNQHL TWKNPPFLFADGSGEARIDSVSQNSGNLDFQVWLKEKTTLPSSLSSSSTLSSWQSISI GLNDAQKTVGSIRASTCWLQSLTATKNLLDHLFSILQASNATSEFSWKKPTRQALALD LDNTITQANDQGYASYILMSNHNKPSTQYLASLRILELATHIYGQLHTATISPRIVTL KLNDALWIPAGLRACVDSGDGESVRYQSAEDWAVGLTRAQTFACIAMFESGRFNVDPG QLEEVVALGAEDSLFVAGILLSDPGSSDAASQVHHLIGNTGHSGMVLLVAPLEPMVRG TAYDPYSVKHRPFDGRRLDAFENSSLHLSFTEWKFPIEWQCTGEIDQELFLLESVLSV RQGGKRIGDIDVLQFERSPYIVFAPPDSCACKDKEHSVLGDVVSINSWDELLDPPGTP SIVHAHGNWPARLAVAALLSQLGKGHCIAIMKGGNVCWSCFREEFSVPEPHMPEFIID PFICI_12035 MSCSDIKSKSADGKHALPDLELQTTNQQGQILSLTGVNVSSNVH RTRPMTLWETISASWIICNSWAGIAATIALAITLGGPAILIYGPIVMFLLVGCCAITL AELASVYPTAGGQYHWTSILAPKKLSRGLSYFCGTVNVFSWITICSGIAIIGPQLILG MVPFFNDEYIAQPWHVFLLYQIFNLLVLLYNIFVLKHTLWVHTLALAVSILSFAAILI TCVARAPTYQESAFVWNTLLNGSGWDSDAVAFLIGLVSPNYMYAGIDGALHLAEECTN AAIVIPRALLSTITIGFVTSFAFMIAMVYCVQDFEMVVSTSTGVPIYELWYQATSSRT VANFFLCLLLLAVIFALNGAHQTASRLTWSFARDSACWGDTLIKRVHPDLEVPVYALL VNFGIMFIIGCVYLGSTSAFNAIIGTGLTLQHMTYAIPAALVMFRKRSAKILPKNRAF AVPNALGYVANIMTVATAVLALVFYDFPTTLPSTGDNMSKCIVPISQESCLQFSRLWR RCFSSNGSIWLYQLVCTRQETFSWTKT PFICI_12036 MTSRDGFSWTPQEGLKPGVPSLGVIQPPTNITSTAKYDLIVVGA GYCGLTATRDATLAGLNVLLLEARDRIGGRSWSSNIGGYPFEMGGTWVHWHQPHVWRE ISRYGLSTALESSFDFSRGVNHFRLNSATSSTTRSHDDEDKLLASGLEKFVNVDGAHG RNIMPQPHDIFHNEQSRVFDSMSAQDRLDQIANDLTPDERTTVEAFVLLCSGGTLSNM SFLEFLHWWALCGFTYQGCLDMLITYKFRQGQSSFARHFFQEASKTGRLSYSFSTPLA SIENSSTGVSVTTRAGATFTASRIICTVPLNVLGTIRFDPPLAKGKQEAIGIGHVNQC VKVHAEIKNKDMRSWSGITHPHNKLVYAIGDGTTPSGNTHIVCFGAAQNHIQAEEDIE QTINAVEALAPEMRDIQRLVFHNWAKDEFAKGAWFFSPPRLLADHLDDMRARHGNVLF ANSDWAVGWRSFIDGAIEEGTRAAAEVVSELLNEPGVARPNL PFICI_12037 MTPPKTKGCFQCSRRRIICDLGQPHCRKCKQKGLECSGLGRIRF VPGVASRGKFKNSRIPAIQQVSEEQQIPAPAPCSTVAGRREILLAVRPSHSDTGEKDP ESPLAQEVDLLFSSPIAEQSQGDETASIAILQKAAQQLSQAVYPTPQAWLPPIGHHAR MLLSHFSNAVAPVMVVIDGLKNGYREFILPLACQDNLLQRAVGVVAAQHLSQSQPQLK SAAEKGQKAIIDRLQRDALSGTSVFTTTTWIALIVLLVGETINPSSSFAPLLRMLLLT AQNCNEDTIPRELHDFLTQQTHMFEIIARPQLSEQEGVEIISQSLFNRLDWIPHDFPP GSEHNLAVEISHKTFVEAFQIYLGRAKGDSTNTHASVKKLRSLVSQLSPSAHGAHALV WPCFVAAIESVDPDDRAFFLQRLAQIHSRTRFGNVQAAIDSIPRICDLNETSSWTSSL ADALPVLIM PFICI_12038 MLPKITLGALLPLVSFFGPVHSWQQEVHNQIGFMADEMISTATR EMVASILEPEYQGSLGRAAGWADTVRRNPHPYSYTWHFISARDNPPDDCGLYYHRDCQ KGGCVVQQISNQTKILEKCLRAQQNGRIDAKGDYNSRSPGKIVCSEALKWVTHFAGDV AQPLHTSNRATGGNSIKVKFNGTDSDLHKVWDRDILYALAPLPNGPPATSLHPFFAGL VSRIRDDAFRSPRSTWAMCDFDARRDTFCPEQWARDSNAIVCDYAYGRYVEGSDLFLG SDRYAQGAMHIVEQQIAKAAFRLTGWLDALVERVSAEADGPDGSSRTDESRDKPRIQS TSNEL PFICI_12039 MASLAIADTVTGLLGVLEAVATTLASAAELRRKWGYDDATVLSL ENQLLTLQGVVSQTKYRVEQAIASQYGAPALHVQLVLYLDRCITCCRPLIARIDGDIL QQHRLAASGPRRSMSKLMMRMRSSKKDANPMAQPQQSLSGHRPSSSIQHSPSSSSKAS KLEEALPVIQHHMAAWTLIQQVCNSAAISQQEYILASPQTHVIFQAMEETAAWVYANR DATSILSSPSSSTSSPADFSPHSTSTGSSSYDPHFTTHAYHQWPHQPTTVDEALGYQM EAHPTEAHPTEAQTSSSGKAVAVYTSHAEEADKFLAEEYRVETHDKFSVIPPTPTTHE KEAVAGPSRGPVETREKFSIIPSGKDARYRGSGSGSTSVETREKFSISTLPQVDEKMV SGPSQIPVETRDKFSVDPVHSPMDSGKVLVDWSALTPIDPQDKFTVTSPSSSIASDTT LASTFRTMSLKEQNPSLKSKWLRRGLSSASSGGSEPQKRSRAIDQDLKKEKKKKKQNE YKILLLGSESRSYLLSAAHRKEQKKLSEQDLLDYRIVIMSNMAKCIHALVEQMRIAEV RKDSRYLWAYVELIEEYLPDHGINTWPARELDERFYEALQTIVSDSLVSDLIQKSSFD KRAHWPGPAKHYFDSIPRISAQDYKPSELDILHTTTYMAKTRGVNVIRIKDAEIGVEF IDVGGLSGKKGKWIHQFEAAVFVVFVIDMEECQHSGQVLETIKNVEAVIDSPWFKEAS ILLLINKAPEPSKKEDRTIYNNVLKTTTSMLETFQEKHVGRVMLATGVIMGGGKQVIE IILGNLRDAILIKTLRLQTKLL PFICI_12040 MAERSSHKTGVAHVDAAETADKLGTTVDEVIATRITEEDMMRHS AEALTFRSRTGLRICAIMFVMGCNQAGYGIDWAVIGGINAYDSWHSYFGFGSAGVIIG TINALMTVGTFVGAPFLALGDTFGRRGVNFIGNFLVVIAALVQGLAPNLPCFFIGRLL LGFGTALCTAPQYMAEIAPLHLRGRLVGIFGACFQVGSIVMNAALIGFTQWKGSDWQW RTPLILEGLFPFIVCVTIYILCPESPRWLVMKNRHEEARRVIAKYMTTHNDINSPIVG LMMTQIEESLEESSTGIKAAYDYRVFFTKQVRFRTLVLLVYSLFQSWNGGGIIGQYLT PALDTIGITSDIDQLGINLGLTAVYFVFTAFGSYLIDIFRRRTLIFSGLIAIIIAQTA VTITSWKFTETGSHAAASLTVFWIFSFQVASASLIATMHNLYPVEILSLPLRAKGMGL YAMFQGAAGVVSNYAISLGINKLGYKIWAVYIGYNLVQLVASYFIFPETSKLSLEEID SIFETKHTNPVRLSLTISKAKRETRRAERTETYN PFICI_12041 MKSDHAHLCLHYDSPATEWSRALPLGNGRLGCMVHGRTTTELVQ MNEDSVWYGGPQDRTPASAKHLAKLRQLIRRGKHGQAEELAREEFFSSPASMRHYEPM GSVYLEFGHQEQDVESYKRWLDIATATHSVEYQVHGISIRRDMIASYPDKVLVMRITS SEPIQFQVHLGRRGENEWDTNEFFDRLRVESMAEAASARIVMDATPGGHSSNRLSCVL GVGCDPKLGSVVATKTCIKIKSADCLLVVGAQTTYRCADPEGDASRDVREALGRSWQD LLSRHVADYQGLFQRTSLRLWPDFYHVPTDTRIANRDPRDIGLIALYHNYGKYLLISS SRDGFKALPANLQGIWNPSFSPPWGAKYTININIQMNYWPAATSNLLECAMPLVDLIE RMATRGQRTASFMYGCSGWCSHHNTDIWADTDPQDTWMPATLWPLGGLWLCIDVLQML QYRYDRALHRRLFPILEGCMSFLQDFLIPSADGRYLVTSPSLSPENTYISDSGEPGIF CEGSAMDMTIIKTALELYLWSIKVLELPNASKLKVEEIISRIPPLHINDAGLIQEWGV EDYPEHEPGHRHVSHLFGLYPGHVINPVRSPQLANAARRVLERRAAHGGGHTGWSRAW LLNMHARLWDAEGCGNHMELLLGNSTLPNLFDNHPPFQIDGNFGGCAGIVECIVQSFQ ALAVGNEGENEVEIRLLPSCPRSWSEGEVSDLCLRDGWSISFEWKRGKIIDPVLVRAK PGDSSRARIIFPNGTALVVEGPGEHRVRSGDDAAA PFICI_12042 MAEPPSSPPNPNATTEESLTWYKNQYEQLAVELAEFRESSHELE AELEKDLEAADKRERSLREKAEGARFEAEEWKEKCKKAKTEANGAQNALEKEITTLRD TNRTLQLKLRDIEVANDDFERQARHTTSSLEDLEQKYNQAIERSVMMEEEVKIGEKER ENLRIENQRLREELSDLKVEAEILQDKVKKAENRHLSTISTDISIPGSPTFENSPRST ASSPLISTPPDELSLPPPRKLTPGLSDPPSPPVSEASSSLPRPASRLRTPMPSSATKS RLPSAANFSTTPRPRAMTTTSNSTRTSGFRSATQTRTPAPTRTTAPRATSHRIPPSTS LNHIRTLTAQMQRLEARVQNARSKLPAPTTTPPRASPRSVLGSTSVPSTVTIRSRKRG VGSGASAALDETPSQSTGAGRTGKHVPRLSTSGVSRLSFGPLPNRTSNYDPDSSSVSI SRPSSRASAASSQFVRPDRPVSRAEIGRPVSRTSMSGTKTPLSLRPRSSLSGSLHGYS QSIGRSEFDEADEIYESRTPSRRGTYSKFTSDASTSSIPMPSTSGIPMPRRQSAASSR RSSVGINTLADLGETY PFICI_12043 MKFTLALALVAAVAVEVEAIAIPADTTSSLGSELAKPTKKRKGC GRPPSNSTVLAYSQSAFSSSSEVASTSLASYTSSSAISSTTPIVTISTVTTSSESTSE TTSSVPTETSESATLSTDSTSVTSTVSTDSSTEASTTVSETVSTPEASTTVSSTISTT DSTTETSTTEASTTEASTTISSTISTTESATEAPTTSSSTASATESTTTETTATSSTT APACSATNAVADGGFEEGDSSTWTKGTLAYIESNTNNAYQSPYGSWFAVLYGQRSTTA SISQALTSVGSSSTLSFSYRLYGRLIYPTSTCTATVTYAGTTLYTTAFAANSAALTTA TRGWQTVSVPVDDLAASGTLAFGWTCSSITTDSLDFTLDEVYLNTVC PFICI_12044 MASLSSAAPPTTRAIGWIGLGSMGSGMASRIQQHLADQGVQPLK VYNRTSSRCEPLEKLGALRILSIEQLSRESTIIFISSSDDDAVISIIEQILGSGNAQG KMVIDTTTVHPDTAKAVAEKMSASGAHFASMPVFGASPAAAAGTLLAAYAGPDNVLEA VSPLLKGVVAREVLVVGTEPEKALLLKTTGNLLMASLMQIIAEAHVLASKTGLPAATL ERLLELNFGAVAHTDSVRMTSGVYCPAPGAAPWSSLDLALKDVGHAMGIAEREGVSAR AGRVAYEGLEKARDWAQGEGRIIKDHGHTTSPGRARPLDSTSLFGVVRQESGLDFETD FVKERDGTTRRSLP PFICI_12045 MLIEPFQLVGFSTRSVAPPEEDFLSSDFWFEAWRSILPCLPHFK QAPGNNSLESNLDWSSLNPASCFVWQPTTLGMPYTPPSHRSPASSAPSSPDVSRRSSF HGTGSVNGGSPAASSPRPALPRSASYLTKHRRTPSANVSTSPSVSHSSMEITPPGTSL NLKAMVATQHMPVRQSPPPITDARSMPVGAIISPPDSQTSSEDEGAESALTRGRQIEN LKELKDAISAIPQHRASSPDGNSSASPGDLLVLPQQRDHLADAAQANLKLDTAQTGQR RILHNRSKTEPNLMAVAKSASETSAASDDSELDEPPFKPQMVRKKSGELVRPALRPAA YRRPSSMPGTPTFSKAVHFDSHLEHVRHFLQVDRPLAVSAGSSPADNYESDTEYPFDD KSTTKSPPFEWELVLANFPGESLLRKSLPARVERVWMSSDQKSLIGSIAIANLAFQKH VVCRFTFDYWKTTSEVGAEYTHEIRPRESDGGHDRFQFTIKLSDMANLEAKTLFFCIK YTVNGLEYWDNNNNLNFQVDFRKKMLPQNGKGNFKGASSRPLNSLPRSNRRSSPSASP RPKSMPVGSLDEFGHDGKFISFDQPIHEYLGEPEPTMLRLKSSKSTTALPSDNLANRL STPSGLAFANRYDFGVSLNAAKQAHKESKGSPRGDGLYMKPNKKASFATQPGLTKEAP SGPPVPSIVASKVPGTDSPIMSSASYEEIVNKYCFFNGSKQTSPQIKDGTLQSGRYDG PHDGFFTRGTNSTNSSLDGSPDMMSAKSHGGLHYTLHQNLSPYFQNQHIAVGASPAES PLGGPTTAVRHTLSPAPVIKADTPTPAPMTAGFSPLAGTSPSVTVDAAPFMVQDRFPF ATEAHSRPAIRG PFICI_12046 MTSLSKPVFLLVCGGWHPPAAYDRLKEQLEGRGYEYYCPKLSSL GPEASGVTYQADVEVIRQTALPLFEQGKEVVLVAHSAGGVPAVVATQGLEISQRLAKG DKGGFKQIVFIAAVVIPVRGSDTLQTLGGSWHPAQGGVEPYTKNNLMKLPKGAEKGLY SDLPDKESEKYYQLFQPQSQDAFETPVDYIAADVTIPMTYIVTEQDAVFPAAGQRAIL AAASVPGIRVESIEAGHNPFASRPEELADKIIRVSEVTE PFICI_12047 MDPNIFFSTPHSLLILTRFSGPFTHPTSFTCTPDGHLFSTKLLA SPTLDDKAKMQLINLATFLAVGVSLVLGHVVPHVQDNAPPDVAADAMLTLNASFARPS IWPVQS PFICI_12048 MATNGHGPATNGTNGTRTVPQTNGKASYAEKHRIADHFIGGNKL ENAPPSQVKDFVALHDGHTVITNVLIANNGIAAVKEIRSVRKWAYETFGDEKAIQFTV MATPEDLAANADYIRMADHYVEVPGGTNNNNYANVELIVDIAERMNVHAVWAGWGHAS ENPKLPESLAASPKKIVFIGPPGSAMRSLGDKISSTIVAQHAKVPCIPWSGTGVDQVE IDEHGIVTVEDDIYLKGCVSSWEEGLEKAKEIGFPVMIKASEGGGGKGIRKAMSEDGF EQLYKAAASEIPGSPIFIMKLAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKII EEAPVTIAKPPTFKGMEDAAVRLGKLVGYVSAGTVEYLYSHADDQFYFLELNPRLQVE HPTTEMVSGVNLPVAQLQIAMGIPLHRIRDIRLLYGVDPKTATEIDFEFKTPQSEKTQ RKPVAKGHCTACRITSEDPGEGFKPSNGVLRDLNFRSSANVWGYFSVSTASSIHSFSD SQFGHIFAYGENRAASRKHMVVALKELSIRGDFKTTVEYLIKLLETEAFEDNTITTGW LDELITTKLTAERPDPMLAVICGAVTKAHIASEECITEYRAGLLRGQVPSKDILKTVF GIDFIYEGSRYKFTATRASFDSYHLFINGSRCIVGIRALSDGGLLVLVDGRSHSVYWK EEVGATRLSVDGKTCLLEQENDPTQLRTPSPGKLVKYTVENGEHVKAGQTYAEVEVMK MFMPLVAQEDGIVQLIKQPGITIEAGDILGILALDDPTRVKQATPFLGRLPDLGPPQV IGNKPAQRFGTLYNTLSNILDGFDNSAIMKETLDETIKVLRDPELAFGEWNSQHSALH SRMPGKLDTLLQQVLDKARSRGAEFPAKALYKTLNKYLDDNLSAGDADLLRAALAPLT AVLDVYVDGQKARELKAIASLLDKYHDVEKLFSGRRLQDEDVILKLRDENKEDFMKVI QIVLSHSRVGSKSNLIVAILDRYRPNQPAVGNISKYLRPCLRNLTELEARQTAKVSVK AREILIQCSLPSLEERTEQMAHILKSSVIESKYGEAGWEHREPSFDVIREVVDSKYTV FDVLSRFFAHEDPWVSLAALEVYVRRAYRAYNLKQIYYQSDESDAPSYLTWDFAFRKM GQPELGVAGNAAYTSVPQTPTHEYSFKRISSISDMSYLDRHTSEEPTRKGVIASCKYL DDAEEYLQKVLESLPHKKNSPKMIPDLSGKRKPVRSETLDELSNVVNIAIADAESVDD NDILTRLRAIVDANKSELLARRVRRVTFIAGHNDGSYPGHYTFRGPEYQEDDSIRHNE PALAYQLELGRLSKFKLSPVFTENKNIHIYEAVGKGVETDKRYFTRAVIRPGRLRDEI PTTEYLISETDRVINDIFDALEIIGNNNSDLNHMFINFTPVFSLQPSEVEHSLQGFLD RFGPRGWRLRVAQVEIRIICTDPATGLPYPLRIIVTNTSGYVIQVEIYAERKSEKGEM IFHSIGGTTKIGAMHLLPITTPYPTKNALQPKRYKAHLMGTQYVYDFPELFRQAIQNS WVRAVKAQPALAEKQPAQGECIDFMELVLDDSDKLAEVAREPGTNTCGMVGWMINART PEYPRGRKFIIVANDITYKIGSFGPKEDHFFNKCTELARALGIPRIYLSANSGARLGI ADELIPHFKVAWNDPKRQEAGFKYLYLDEEAKKRFEDGPTRDVITEEIVEDGEKRHKL TAIIGAEDGLGVECLRGSGLIAGATSRAYNDIFTVTLVTCRSIGIGAYLVRLGQRAVQ IEGQPIILTGAGALNNLLGREVYTSNLQLGGTQIMYRNGVSHMTANDDFAGVSKIVDW LSFVPASRNLPVPITPSVDSWDRECIFTPPGKAPYDVRWLIGGKQDDEGFQPGLFDKD SFVETLGGWARTVVVGRARLGGIPMGVIAVETRTVENITPADPANPDSVEQVAQEAGG VWYPNSAFKTAQAINDFNNGEQLPLMILANWRGFSGGQRDMYNEVLKYGSYIVDALVK YEQPIFVYIPPFGELRGGSWVVVDPTINPTAMEMYADVESRAGVLEPEGIIGIKYRKE KQLDTMARMDPTYGALRKQAADASLSKEQLEEVKSKMSVREKQLLPIYAQISIQFADL HDRAGRMKAKGTIRQEIEWKNARRFFYWRVRRRLNEEYILKRMIAAVTSHKPKLAHDS AASSKERSRHMELLAAWTGIADYETNDKDVATWFEKEAETITTKVKQLKSDASATELA ELFRGDKTTALKGLKEILHTMPVAEREEILKYLK PFICI_12049 MLCHQCRRATTRAVRQLGSASRAQSTWAQAPVRATLRASRKAAT PLASVLQARRQAVGAWSLKQYSTSSSETSSPTASSSPSPSTTPEKPDYLDAAESEIWD KLTAEFAPTELMVQDVSGGCGSMYGIEIASEKFRGTNMLKQQRMVNAVLGEQMKGWHG VQLRTKVPS PFICI_12050 MAYKARRSGRTAAPVNQQNYYSTHGYDQSYATQDYNQTYDYSYG YGYDYNTMAAAGTSPTLNILIRPAETVGKNKTFSPPLVLEVVNPVEGKEYSIMVLAHD AAGNYHNPMNKSQYFSKGTIMKTANWTTVDAQGTTKMLAGFEDLSLEVAGTYDLTATI QEFGPDGATGGESTKFRIKVRNEAVGQVPRQAPNETQRVILDQLGVPY PFICI_12051 MLSLQKTIRAFHVAAAPRAAKTATRRGQVCRYSQRTNKTTPPPL QSRAHVTLPVWALLALPAAVGLGAWWSNTRDTRPAPHELNRSPQTRYGSRAAMQKAAD EITSLLGEDSVSFDEETIESHGYSDWSTSNSPGRPVAVVYPKTTEEVCGIVKICNKLN VPMVPYGAGSSVEGSFSSPYSGICIDFTMMDKVVAFHPDDMDVVVQPGVNWLDLNNQI KDDGLFVPLDPSPTATIGGMVSTNCSGTNAFRYGTMKDWVVSVTVVLADGRVIKTRRR PRKNSAGYNLTSLFVGAEGTLGIVTEITMKLAVIPQDTSVAVVSFPTLTDATTAATRL IRSGIQLAALELMDDTQMEVVNRHGSEAVKKIKWDESPTLFLKFSGTTDGIKGDVSRV TDLVKPYSPQSIFFARNKQEEADMWSARKEALWTMTSIKPPGYSIWSTDVAVPISRLA EIIELSKQDSSKLGLFASVIGHVGDGNFHQAVLYETENKSHQKFVSDCVHKMMARALE MEGTVSGEHAIGIGKKECLVDELGEDTIHLMKTLKQSVDPK PFICI_12052 MTTSIDKCPGKTENQNLQANSLFDVSHVTAVVTGGGTGIGLMIT QALQSNSAKVYITGRRNEVLEETVKRYSTGPGSIHALTGDVSTKDGCVKLAQTLESKE PKGIHLLVNNAGIARDDATKYSSAGQPDMKDAKAISEHFLKSEQDEWANTFQTNVAGA YYMSMALLPLLARGRDATPGYTSQVVNITSISGVMKGPSGGQPIYATSKAAATQLSRV LAALFTEVGIRVNTITPGIFPSEMTAGSSGEDNKSTLDMKLSNPSGRTGHDTDMGATI LFLAGKGGLFYNGQILYPDGGSTLVQPAANN PFICI_12053 MVRSNLVLSLLASAGAVSAAASRQAIKVVPGSYIVEFEDTEDSA NFFSHASSVSETTKTYDSPLFKGAAIKFNDLSTADSEAKNLLSLPSVKKVWPNRVYSL PETEVVWTGSPESGALTKRQDDNTTDTFSPHVMTQVDKLRAQGIVGEGIKIGVIDTGI DYTHPALGGGFGPGYLVSYGYDLVGDDYTGYNTPVPDDDPYDGCAGHGSHVAGIIAAQ PNELGFTGTAPGVTLGAYRVFGCDGSAGNDVLIEAYLRAYDEGSQIITASIGGSSGWS EDPWAVVVSRIVEQGVPCTVSAGNSGDYGLFYASTAANGKKVTAIASFDNVESPALLT VSNYTVDGGSIEKFGYAAGEPSDWAGVNLPLWTASYNTSDTAGGCDAYPTDTPDLSGY VVLIRRGTCTFVQKATNAAAYGAKYILFYNNVAGATAAAADTVDGILAVGMVTADQGV TWVNALAAGSDVELDFEDPETAPVNLTTTQNTVTGGYLSTYTSWGPTFEADVKPQVGS PGGNILSTWPQTLGSYAVLSGTSMACPLVAAVIALVADVRGTFDPAVIEALLVATAAP ANLNDGSGTYDILAPVAQQGAGLVQAFDAAYATIIPSVSYLAFNDTDNFVSTLNFTIT NTGSEDVTYDLSSVSAATGYTFSTSIYPDIFPGIEFTDDAATVAFGEDKVTVAAGSDA VISVTVTPPTVDATRLPVYSGYINLNGSNGDSLSLPYQGIVGSLHDTTVLADGYLALS TDSTLAPITGSNSSFVLYKNDANTTTVVEPLAVSDLAFGSPLVKYEVIDSSETNLGQI LGSPIEFASRDPWSAAWNGTLADGTYAPAGTYKFKISALHIFGDASDESEYDVAESAE FAISYAN PFICI_12054 MASLQTYGNRHNEKRPASPEPETQLNSAATSIRAGKESHDVESG SGGHGHVDINDPNRPRYGFKERLHHFTWAWYTFPMSTGGLSLLIFAQPHQFPGLRQIG LFVYILNILIFTCITTLMIARFFLHRGDFTRSLSHPREGFFFPTFFLSVATLITSTQR YAIPDNDVALTWAIKTVFWGYVIVTLILAIGQYSFVFASHSFGLQTMMPTWILPIFPI MLSGTIASVIASTQPDIDAVPIVVGGLTCQGLGISVSFMMYAHMVGRLMQAGLPNREH RPGLFMCVGPPSFTALAFIGMANGLPNKFDPNGDGMIDAEIIRIFASIGAIFLWALAL WWFFVAVIAVIASPPKYFHLGWWAMVFPNTGFILATISIGNELQNEVVLYFANGMSIL LLCTYFFVLYNLVRAVWIQDIMYPGRDEDVEDH PFICI_12055 MGIPMGIGIPRPIPGGPPLPMGNGPGGAPGHGAPGGIGGGPPVI GLGMCCPSTHSVSSISLPESDCPLEPLLDGDPDVAPEVVLFGLWSGQSLEPLQALEMS RESP PFICI_12056 MTFNMIQNFAQQEPVYESIPGTPYTPATSLGYSSDGDEKGYYRK GHAQGNAIQLPTLSRFLADFTLGFADGLTVPFALTAGLSSLGQTNTVILAGMAEIAAG SISMGIGGYLSARGDIAAAAASKKDTQNKLGDHAHFDQEKAAERRTTTILEEHLGPLR LPQHLYDAVRAHVNAQPGVAAAILEQRQSLQDANDSDGDDELCSPVWNGLSVAMGYLL GGLLPLFPYFFVAEVCAGLKWSFGVCVLALFIFGFTKHYLLQEEVEDKHWSRAWDYQV RRLNRIKESVWEGLQMVVLGSIAAFAALLCVKASESIVS PFICI_12057 MYTTLLSLPLLAASVAAQAENLYTATATADVAAARATAKTSSPT SNVKGAAFDRFVVIWLENTDYDMAAENLAWLASKGITLSGYHAVTHPSEPNYVAAIGG DYFGMQNDNFNQIDANVSTVIDLLEDKGITWAEYQEDMPYTGFEGMAYVNQETGANDY VRKHNPAVIYDANAGKTDRLSLIKNLTLFYEDLENETLPQWMFITPNMTSDGHDTDVT TAGTWTRSFLEPLLDDERFMQNTLVLVTFDENESYSIQNRILGILLGDAVPSDLVGTT DDNYYNHYSEIATVSANWDLHTLGRFDVGANVFSLVADKTGDTIREWTGEVALEDRYF NYSYAGQFNTNGGNSRYPGPQLGDCGLPHNRTVLPSIKEAWYGNPAPVYYDFGLEIPD GLNPPAGYAPVE PFICI_12058 MDPESQKNRYLRLKHKAKQLRVEVELSAAITEGTDAFIAHVQRE GNFNVGLRWMVSQILCYTSMEVFNELLPDVERPESFEPINDEDRFDFPALKTLWDNCY TTLRMDQIFWIVWTGGKQRIEPPKNWLAQPSSQRPSQPQAPGRSSTAQRPSQPQAPGG SSTAQRSSQPQTHAGGSSTAQSSFQPRSQAASEPFLSNATRAVKVEEAITKDLLGMTS QSSPDFIKGYESDFGSVRSFRYLLPRTLIYSKHIAPYIRQLQLLLGVRIITEWRPHAI VISINEDSQDIKLNQVARKVLAYENLYRAREYFTSYFRTIQESNKVPDLASFIHNLKV EDVLQRVNASKNTEQTLSRFDSQSAPAPPPAVSNDSPYWD PFICI_12059 MLLVPLLLLASTALAATPTVYFIRHGEKPADDDETGLSTQGQQR AQCLRSVFGATSAYNIGHIMAQTPLANGKRQRPYDTVLPLATDLGLTVDTTCDRDDED CVANVVKNYSGSGNILICWEHKQLNNLAEALGADNVDGYPDDSYNLIWTDPYNWTEIT DVTSENCAGLDN PFICI_12060 MTGTGVVAGIVTGTAMIVEIDVIATVASATIVVAASRAPLPPSP PPRVSTLSTWPYEVETYDEPPRGSWSALSKEAFMKLWEEDRDAIAPPPEDRNTIYHFN CRQVHAPENCRGPLDRGQLNGCVYCGSKEHMADHCPYFLLVPEQHHDKLRVYLHVFAR QGLPPAASRISFHRVQIGPMTRYKVPVLSRNTARMYARQQADRDIAAERMHWWKTFDY SRLESPDIEIQRLPQSCANLRKWSNSGPLPTRVGNTLYDLDEYGPDEESEPFNELWTV FHNLQVQPGPGGTRAVNIPEWVFDGRGQYQGCLEYPPPQHDPSRPTALSPPRTRVPQA VPHSIRQGQAFQVNKPEPFIKREPAIKREPTVKREPVVKNETLIKREPVIKRESE PFICI_12061 MSAQDLRKKYPQDLHSQAWLLACRQPYGDVVTLSDWIGDVHVEQ NKTTRASRLVSCGHLNTVLVIVRHLLSLGLIPAAAAAANSPLFEAAYHDFGNGDEDMD SRVQGRLLANMFPNLTSMKDFVALYPVLEQLLESPLVKTSLFQNPTFLMWDHEVCQKV NGVFRTTTISDEDNSRRSLIVYDGRTPLSESVSQSIREKSKDDQKGIRLITAFPQFLC IRYEPTNESVTQRRFDDLARFIMTAYSRDPDATPSIPAPPVKQGRKYVLVMVVAQEKG NVEIRTYELSSRPVLPEHASDDYDVTSWRLGAPTHKYTLFYTRLDHEMPLEDSLEVYN LTQDQLAMWRQPPIRPRAAPSPDLSAFPGRDFVPSSSQAYEESRHNKKRLPSMAPFSE DHYFVPSQVVAEAGSPAGSLSGQEYSARRTLSPTSRNSSRLGAYDIPSDSPTDE PFICI_12062 MDDEIQDSQMENNSQGDDSDHTDTDGPSNAKAGSRGKRTIMPAL PSAISSPDYREKACQASNRNKSIQKALESTKRISQKEYQTTQAHLERMSYSADPWDLL ENDRIILKAQESEIKTIEDQVRQTHYNVDEESKTRQSYAARMDRITKRVQEKGMAVPS DFTRAVLQLNLHSIGMGFLKAQPGMQMLVEDGHSHEVPPSTQPPRSLMGPPALPAQPI ISPSQAETPELHGQESDGNAVKLRAELANVQGQLNAVKGQAEDLNNQLIGHQKEVDTR DEKIRALEGRVTEVNVELGLEKQAREDEEAERTKAQEQATLYKGRYEDLSNAKVQDSN QLILANADISQLRRKVEQLNNNWTTARSLADSLQRHLNNQTTTSSDNYKKLCKAREDE ALLKIKLDKSNRRIADLEASVRQNGYLKDELTQAQGQNSQLQSDNEQLTGRCHGLEEE IAFHKQTLQERTRKITELGEELGRANSSLATVEDDLANDRAYVEQLQKEFEESQQKVN SLENRAKTAEELAAQYETNSIALNTFINDQLQSLDLESTPYEAEPSEMVAFLVSRIKS LRVTLTATEVDLDKLDEDHKSLKEQSEASKSTITDLRKQVHQCTMDATANTSRLEAEL AKSDQDSRFETTRLEAELVKSNQDSQTKISKLESELAKANQDSQSEISRREAELSESK MNNSRLEKELEKSKMDAGSTTPRLGTELEQSKTTVSGLREQLEQSKTTASELQQALGH SQTTASVLQGQLRYLKSYSDRRALILLIAIQHSTSHADDTISGLCLELENLKVRSNDA TDDLQIANEQLQALERLKNVSIDKIHSLENVDTENKFLKTRVESLEKARRDVSIKSLE SKIALEEEIQTHQEEAKKNLAQVVQLESKLKQFVDKANQNCVLHETNYEILNKRTNEI LNVMIGRLPHAKWDYFINVFCVKSRTIRTLDRKGMLAVLTPWISDETHLFGDHGCVFI TAARIFYMIHMDEWKPADAVRALQQLYMLSILIMHCGEDFDGVGKLLNTIMMEKLCAQ FSAHAYLHDFAILQLLQLESFMAQDEEFRPKVDLGMMKSPDTHLPCILGQLIQDGKHM DRPAIQAALNKNTYHAVERVVICRDAAVEGFFAVVDPENATVRVVDRKLARPVIYNAT YTNRTAVITSPDNDRWDDQVFDHISGDTDFSWDANIAILPEIDSALLVDAEDTSDEDL AREIETTSLAFMREHVPEFVAETEARQAAVRAAREARRHE PFICI_12063 MADDNMDVAKRLDAAIPIAQLGPEASRDSAVHGIITIVWPFNKV NNSVAFILAEPEARLRLAKGQIRVNCVGASAKAISECGLGSGDKVRLSLEGVEFVAND AKSRIPGTESEWQLSFSKRLILQAKIGYAEETKNVDIDASAEPEVEPLAPVLPVPEPE IELDLPILPSATPVKNTALQLKDGEYESPAFVKRARISYGGLFEGDLFEDDGGIKGQG RKRTRFSLDPRSWRYTSRSPSPEQSQDDTDQEQLSPARPQMADGESQTMELDFAAPEQ TEKSHAQTSSFLQANVDQGQQTISQGTWTAPEPQEQFTGIPSKPTFDNAGIQVEQGWN QQPLPMAYPELPPEIPFANLERPFESPIPNGFDGDLMPRHDFVHGNPFTQDSLFAANQ EISNEQTTVYPDPEHYETKPANLAHASPFVENVPLAEATVTVPADPYTRETSVPSERA AINAGTSTWAAVNQTDGRSVSRPVSSRHGSGNGTTPQSAMVIDESDSDEEPLVDAAHG SLGDAPVSRPDHVASALQQAAQNPFIQGPPPGHDVTEEDWDEQVHAYADHAPDRYDDE YDEDEDGGDYDTSNYLAPQDDEDDGDDMDLRQHALEPEFNDGEEQSWDEEYDEEEYDE DEEDEEEEPQVNKPQRPASSAPVVIDLLSDSDDDEPPPRPPTMPAVRPQVSMQATSPD YGPGSEDEEEEEEEEEEVEEEEEVEEEEEDEEEEEEEESEEDRQAILDADHDSEEDSD IEETTANEVDMLVDYDQESEDESSVSEVAQIPRLPPTIPVVDSDQVMEAVVQESQSNE DQPPGSQEQPSISEPISIEADQETDQEAERETESIPAKVESEPKIDAPLPPLTQSRSP VPHDQDVVMEEATETLVEDPLPTLAETRPTELYPAETKMEVDDAAQSIPEDKDITDES TVEEIVVASKDEPEQAKSPQTRPISAIDAMDMLADADPEDVVLVEPSFLTRAAEAAEV SQPDSATQEILRDILESTPIAEKPTTQIAVPSDDDFDDAVSFASQLSGDDALQAALRD EDSREYDSDGYETTVLELSQDQDGKAIALAQSSELVATAETGDDEMADGIVESAQEPA PASPTPPPAEKQANVREPSLDANEHVNEAGIPMGSPEWAAKERHEHEEPDVHKSPSAL RATPVTRKNEASLAVESPERAAIERHQEQVPDEPEHAQEEAETELMMGSPERAAIERH QEHVPETEPAQKVETEPVIGSPERAAIERHQEQVQDAETAQKADAEPMIGSPERAAIE RHQEQVPEPEPVQENAETEPAIGSPERAAIERHQEQQDAGPMEESAETEIKSPERTAS QQEQAKQVPETTPVQQKPEEFFIGSPEKTAKRRFQQNHPDSEEMASDPSLRLARAAIA SKNGHRQRGSTPDSRPQTRSKSFQKSPSPELPDNSVQLAKAALQTPSKTGRNEAQALA SSPASSKVEEETSSLTASKLKLVRHLRDELPECNTLKVLRQHTGKSVEVMAVAMMTPP TPVRAKGGPREYMMSFTITDHSISPSGVCEVQLYRPHKESLPQVKHGDVVLLRSFTVV ALKGKGYGLRTNEASSWAVFDREDEAPQIKGPPVEYGEAETIFAAYLREWYGLLDDKA KQKLEKANQKIIDAGKAK PFICI_12064 MRSSTSPLVALSRATRAASQSQCLMGKRTFTSLPTLRPTLARPT GTVFRTSTPTTSLAPTAPSCSSETADIVPKTTISSSPLFAATQIRCGPRATLARSSRL VRKRRHGFLSRVRTRTGRRTLQRRRTKSRHILSN PFICI_12065 MPTPIDNALRSKNAVLGFAGIITAAAAWAIWGGDMFPAPADPTG DPEGWTREEMRQWLAARNLHPQSGDSREQLLERVKVNMRYQA PFICI_12066 MVIADVLKRWELLKPRRALLCTGTDEHGLKVQQAADFQDVPPKT LCDTNSEIFKDLARKANISNDHFIRTTDPEHREAVEYFWRRLQENGHIYETKHAGWYC VSDETYYPENMITKQVHPHTGKTFMASIETGNAVEWTEEKNYHFRLTAFKEKLLEFFR ENPNWVTPPSRMREVVNWVENNLEDLSVSRPASRLQWGIPVPDDPSQTIYVWIDALVN YITYAGYPRWTPGKENRGGWPADVHVIGKDIMRFHCIYWPALLMALDLPLPKRILTHG HWLMDAKKMSKSVGNVVNPFFAIDRWGVDTMRYYLMYNGAMANDSSYSNEGVVESYKK GLQSTTGNFLNRITKPNKWDVSEAVREIYRNMLELDIKSPRAQAFARLTDAHQELVDS LAESVGQDFDNGAPKAALRKIMHFLGESNKYMTEAAPWNLSNNGERDYTRLVHCIYLC AESMRVAAILLQPFIPEKAAEILDRLGVDSKKRTLEYAKRGRDGEYGTPKCKTNQSMT QQKTSENEIQRAHCDFDTKGVARSAIREATASDRLSLEEEYENQISWRTSADKLTFIV CKPLSDGGHKVSAGVADANEHMVGDINLFLTPWEDDEEQDDEARGSRQRLCVGEVDIM IAAPTDRGRGMGKAAVSTFLWFIKKNMGAILAEYASSLEDGGVLEIKELLVRINASNE GSKALFKGLGFEQRGEVNYFGEIELVLKDFQGERGVSEVQEYRELPFDRSGLAA PFICI_12067 MSRLNHEELVKRVEEQHARYISSLGELHESMGGRTLEGQGPVAP TPPMKPISVTSTFLGDIPPISTEAARRSRRLTNELGDRRALLPKNTPASLLSLDLDMS DDEDFAQPPSNTAPEPIINDKICVQQSLPEYTYHDNELYFHIKGFQFPEATQIALDDV YRRREELEPQNLFQLFNDQHDKLYDSAAYQVFDIGRDALARPRHAAGSKDSDMILDAK TVWDTLKHIDGSHVVGRMTSLMDPSPLMLGALHLSMQSHFDMDELFQHLVTREGNKGK TKAYMDRAFETSETRQRSCFFVFKYYTVVGDRLTPAPWQHYDHRPADKRAADHIDICE CSSILALSLSGDPVRTFERKKRKSKAEQGKIYDSFAPWQLLNIQCFPDDVHVMRSEDS QTTFCSGPLAFLDALVMEYRDAVKRNIDLSDVITKLITPPVTFMFDDRLRDKLLFEDK HFTYSRRYFWAYNSLAVINDGIKSMMNAYTDTFTKDFWAGKHPTLFPHPDSGAQNSPE YVAYIAKLRPLRHELETAVALLHQVHAKNEATRQEIKSLRDQLFSGSSVKESRRAIEQ GDNIKVLTSLSMIFYPLTFVTSVWSMTGFPLDVDDWQFPVTMICACVPFIVFILLVQT RTGMEFLMSHIERLDERFKLMMTRRGMLKRTREASSIAVGKTESAAEPRPRRRPRRFS KRETVVINVQPPKLPDGNRWLWWRKPGEQQGVV PFICI_12068 MASSTSSSEESLVLPHVNGNGHYNGVNGTRNGSNGSVNGNGVNG RSSPEDNNPRARMFRKKSNAMMPPFMVSAPGKVIVSGEHAVVYGKPAIAASISLRSYL HVTTLSKSKRTVSLRFADIDLEHTWNIDELPWAIFQHPDKKKSYYSHVTSLDPDLVEA LQPHLEDMSPHKSEAERKIHKNSASSFLYLFLSLGSPKFPGCLYTLRSTIPIGAGLGS SASIAVCMSSALLLQLRTLSGPHPDQPPDEARLQVARINSWAFVAEMCIHGNPSGVDN TVATQGKAVVYLKGTGEQPPTVKPLWDFPELPLLLVDTRQPKSTAHEVAKVARLRNTH PKLVGPILDAIDKCVHTMNDLIAEDDFDKDEVESLSKVGEIMTINHGLLNALGVSHPR LERVRELVDHEGLGWTKLTGAGGGGCSITLMNPTVPRTRWDQLEEQLELEGYQKFETT LGGDGIGVLWPAVLKNGMDEDDEGGMEIDLEKFLSAPGNEGVERLVGVHSGGAEREGW KFWRVESR PFICI_12069 MPPKGKPAKAKTADAPAKPEPKTVLERAQQVYELTNPYQEAREK LGLHGLSTVERTAYFNQDYLCKGEVHLLGKKAQKELWKQVNEANTPLRRVSKPSVGDW GKDKYGRPIGEYSLEQYEDRSEKRSRHINLLNQSRKFRERRLKAQRVRGGEPQVKFTP DDLAEIEQERARRQEMAALGSELYGHKMGSYATDPDWDDVIPVPQTEPEGALASIAYP DDYAESTAYLRAVMIAKEYSPRCLKLTEHIISMNPAHYTVWLYRFSIVQALDIPLLDE LAWLNEVALEHQKNYQIWHHRQLLLDHYYPQIRDSPDEVRRFAASERAFMTDMFADDA KNYHVWTYRQYAVRKLGTWDDDAELASIATMVDEDVRNNSAWSHRFFLVFSDPRNTTP GSHATGHDPAVPADIVDREIQYAEDKIKLAPQNQSPWNYLKGVLVKGGRKLGTVRDYA EQYVDNLGSNDEQVRSSYALELLADIYAEAGEKEKADLCLRRLGEKWDRIRLGYWEWK RMEINKAS PFICI_12070 MAPRTRRSLGVNGAGVGDNNASPAATDPGVTPSVAPKKRGRPSK VEVPSTSVSSIASPALSISDTGEYSTPATSHDVTPGVAANGQSTKTTRSRIVIELPAG LQDDDDDELSAFPAPTTISSRTRSARRSLRPAAQVVEDSDDDMDLDFDPSPDATLARR LQKEEYAKAAEERDTPFIDESNYEVDNASSMDLDYGSGSSEDEALATSAPARKKAKAV AKSKSNAKTRAKPAKNRKAKSKAALLTAPEYTPDPDDPDADAPGFETPSDFSQWEITD DEVEATGEMGQIGRSRHGFSGPVHSRRAKKERLRLEFYHPELKTMWGTLKEETPAEPP RAEQPTNITRTLKPFQLEGLAWMKKMEQDKWNGGILGDEMGLGKTIQAVSLIMSDYPA KQPSLVLVPPVALMQWKSEIESYTDGTLKVIVFHGTNSKAKDMTAKQLKAFDVIMMSY NTLESMYRRQEKGFQRKDGLLKTKSAVHTIHFHRVILDEAHSIKTRTTMTAKACFALN TTYRWCLTGTPLQNRIGEFFSLIRFLNMAPFASYFCRSCPCSTLEWDMNDEGRCKHCN HGSMRHVSVFNQELLNPIQKYNNKGPGAEAFKKLRLMTNRIMLRRLKKDHTNSMELPV KEIFVERQFFGEAEHDFSSSLTSENQRQFNTYVAQGVMLNNYANIFGLIMQMRQVADH PDLILKKSAEGGQNVLQCCICDEPAEQAIRSKCRHDFCRSCAKSYLNSQDQPDCPKCH IPLSIDLEQPEIEQDEALVKKSSIINRINMENWTSSSKIELLVHELHSLRSDSASHKS IIFSQFTTMLQLIEWRLRRAGITTVMLDGSMTPAQRQASIDHFMSNVDVECFLVSLKA GGVALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQTRPCTITRLCIEDSVESRIVH LQEKKTSMINSTINSDDAAMESLTPEDLQFLFKG PFICI_12071 MTRSSRRTRNTIRGPRSALTDFLASTNASDALANASARRTAAQE AAAAAAAEAAENANNESATATNEDGQEDEEEDNTTPASGRRRAQQLKRKKEAEAIEKI KKSKAFQKRKRQGDDSDSDDLAAEIFKQKSTPLPGQMENCAICDKRFTVTPYSRAGPD GGLLCSKCGKDLAKDTPTPNKKKRAAGQGAGRRKMQSRILDGTYTSGAKPLLSLCIEL LAKNIDLADDLGALPPIVVDKIARHVSKRRLLNSQTLNLFLEPQHDEVKIYDGAHLSS DDYVNVFQRVPNLKNLKIRNGIQFKDEVMEYLVTRNINLEGFSLHGANLLSEDTWLAY LKVKGQHLKSLQIYYTDKHVGDDLLKSLEKLCPNLERLKISNNQKVTDKGVEHIAKLK SLQHLSLDLRTETTTEPYVKVIKSIGSTLRTLSLKRVPDLDDRVLDAIHEHCRSLDKL RINSSEVMTDAGFARLFKDWKNKPLSFVDVRDCRFLDANKPRENPHQVGFCSEAFRAL MLHSAKSLRRVNVHGCRHIDKEAFEDVFGPSKTYLEMKKLEVSFCEQVSDFILGSIFR SCPNIRELIVFGCMSVKDVRVPRNKILVGVPNAQGMIIEGTEDSHDTVDQS PFICI_12072 MTETKKQQRSVLITGCSEGGIGHALAKEFHRRGLQVFATARNLA KVEHLRALAGLEMIQLDVADPASVRAAADEVRTRTGGALDYLVNNAGAGYQVPLLDAG LDEGRKLFEVNLWGLLAVTQAFAPLLVESAVRGGKPRVVNIGSVVSRVQMPWGGLYNA SKGAMASLNDALRMELRPLGVGVLHVVTGGVSTKFYGNSAGQKLPEGSLYSPIRADIE QAVAGHTASTLQTMTPEKYAQKVVSNTLSSWPTTTYWVGGSAWSSYMGVKFGWDSICD LIVGYMTGMLALRKKYLAATRSKKA PFICI_12073 MAANSTGETPTAGGATTPGEQVKPYQIHVSSRYLDLTKEKLEIT RLPHESSKPKSEDWWEPKPQVEPLIDFWLEEYSWRTKEDELNAQLPQFRTGFTIPQSE APIRLHFVHIRSSHSHALPLLMIPPFPFTNLSFGHLTKVFVDPEDAANNQPFHLVIPS LPGLGFSDALPNNTPVISATGEMLNSLMDRLEYPHYLATNLGSGSASPAEIDWQLVNY LAVEHMDSCRGAHFISPPLAQPKLKEAPVEWAKWSLASLFKMPMLGYVKEDFSALERS RPEKKGSKSVTAQFGLNQLGLREPNTLAYALCDSPTGLLVFALKSLQTLGPKRQFTPA EIITFTQLAWLPGPEAAMRFWSYCLQHPETTMKKAPPRPKIGITVFLGDEEPEPQPEP PTEAQAEAPANEQAETPADGQGEAQAPEAPAGPTQDVEAQKKIQVQDKEAYSCPSWAN ARYHVLYTHRANGKSGLLAWERPELILNGVQGLAKEVIKLDSMFQPRADDTVPLQQVV VGDESATGPDPDNATGEAAETVPRPSSPASEKEAPAQPKINTIDGLLAPIPEERGQPH REISEETRVASDAEEQEAKLTAKSEDTLAGSSPGMKPVESPLSS PFICI_12074 MAAAGYDRIDVPNYKRYVRWSTQAFRNFRRAHDNPNADLSSEDW RDIQVPAPALPKLFVASDEPTTHWYQDHANNEGLSRILGRQLPDDDVNHRYQRRLRSI TGYFNRHGQGTWTPVKILAKGGQGMAIHFRHDLDGNARNVVMKIDLRDWFSKSLQDEK RATLRQRRAAHSVQIIPPRLAGGLQIGGNLYTRDPNVSDDSSDDELSDYDGEGAGDPR PRELRMPRGQRLPGYWDRSKADHDRRADAFINAGGRRRNREDVESQLEFMLLEYMENG SLSSLIKKRSTHDQADRPPNRVLWAFWLCLVRGCIGMDYPPSKFHPNRRPPPGRPEGD PAAREEHRRQGIMRRSRRLEGSLPADPGSVHGERAGIMHMMQDVNRLGLPLWWQQQYD QAMESFRRYADDLNEELPAEDDLLRRRENVVHFDLDPNNVLIGGFEMSAQQIQDWGAH ISRTRGPGGTMPSNKEPHPGRIQNEHVFVPRLKIADFGIADRVKTYKRNIYYWSRRHS GKRLFLAPEQFGREWDMASDLPNDADIGEAQIPGSFSRKTNIWQIAWCLWCFITMQKP PMPPQPQIPPNYRHLINDDTPVDQYDQILESAGYRGPISYCYKLCENVRQPDDPFGWV DLDLRQTLYRCMYHNPDHRPDFAELISQAMGKVGGGIPGEDDGVVRAWVEKWFYNAPA PPPPPPPPPPPNEFVESLTHYQSSNHGGGGMELDGAAFVFGGSHGGGYFNNAAGLPPL TPAQQAFLDRFRTDYPHGAQKIHNVAGEQQCGRKYNPFQVMAQEADNYTVQAVADSIR VQIPSAMLPAGAFRPTMSDLQAIYRQLYATGVFNAAIAAGVEVPDRFYTADTLAAILE RWGDSHGVLLQLVVMLPTGAPYLVPTANAAGRPVYIYSNWSGSGMPMAQCHYEGLHPI EAANLLSLSPGEV PFICI_12075 MEAYKNEVRLENYLFYKFRKSGRNPFRPANLAGVQSKADYINLG FHNVPRANRLPIFQDIQVKAPKTWQHGPRILSGNNLLGPPLPPADANYNLQTALNTKT LYFDQQAPQWTPIKVLARGGYAMAVHYKHNVNGVDRDIVSKIGLNARGAESLRQRQAA HSIQLYDVPGLRARPTRPPEPLSDDSSDDQLTEYDDDGVGDPRPWRVRRPRRNRSWLE DDAKNRAHESRRQAYDAWMSANPNPNDDWLLLEYMENGSLSELIKRRTERADPNPPPN RVLWAFWLCLVRGVVGLQYPPNMFHPNRRNPARRFLGSERAPPPGTLRRSRRLRHQDP LPHAEYDATNARSNRLLRAVSVLDVRSWQYSPAAVNRHTDLAGDLIEELPETNELCER GENTVHFDLDPNNILIGGFELSPEALLRWGERIKKGLYKEGKPIESIPSTPPRPDRQA QEHAFLADFGLAERIKSYKKNRYYHRRRRNGKVFIAPPEQFGPEWETLNGNPSDDDVG EDDVAGSYSFKTNIWQIAWNMWMLITGLAPPSPPKPVFPPDQNHHITASTPRSQYHTI LQNVGYNGPISYCAILCDSIDSPADPFASVDQDLRWTIFRCLYHCPHHRPNLNELLDQ AKEKIGPNIFPGETDAQINTWVENWFYSAPPPPPPPPPPSGGGGGGGGDDGDDGDDVS SGGGGPGGGGPGGGGPGGGGPGGGGPGGGGPGGGGGGPGGTLSLSGTGLWVNIRYNAA FPSGYRRIMTPGTNAQCGIRALVESVQHQFPATDLPAGVNFPTFADFMATATTLEGEG LWNVVGAAGLTGSTASGNWGYDQLYAILSRWSTDNNVNTSGINTGLGSVVVMSGQANF LWLGDSSDYYPIFIYNDNAGANNGGGSHVPIMNHYEGLMQVPNESEKGKGKGKAKK PFICI_12076 MHLEHLGKLVFKAADRFVEYYYDTLNKPHRSSSPTQGLSQFYAS TSARLTAAGLTPDISINGHVCSSASGAVQEFQDLLEKQGHPVHFDVLSVDAHPVNPHY VLGSTDPEVQSDRGDKLSLSLQVAGTVRFGKGDEAVVRGFNDAFVLVPHWEAQGRNAP RGLRKWVAISQNLRYL PFICI_12077 MGSYTPDRPAEKLWIETPLIPSTAMSRAAGCNIFLKLENLQPSS SFKQRGVGNMMLRATKANPGGNVHFYCSSGGNAGLACATAATALGKPCTIVVPVLISD FMMNKLKLLGADVVRTGAHWAEADAYLRNVLLAADPTGVYVPPFDHPHLWEGAATIVE ELVPQLAALGVGPQGPDGIVCNCGGGGLLSGIMDGVEQQSSQHWGKKPEVLVVETVGA ESLHASVQAGELVTLPAITSIATSLGAPTVSKQAFEWSKKDHLTSIVVTDAEAVMGSV KLVNDALLLVEAACGATIAPVYNGELRKWLGKGLSDEEWSRKNVVLIVCGGANISLEI LRGYQEKYGVE PFICI_12078 MGASDSKLVFKKGIFRLSEERHIPADDSYWTSFWELPEASEDIF SLFSAADVRRTRDNALENLETLILAVTSRLFILRHHPSFPDPELAPERDALNCVRVLN RIIPYLYEADHLQAWEEQFFWGTRRKRTRRAAIQNEVLFDEAQADDGEPKTPVTEFEE TKPLAEELIDTLIDLLFFSDFTVPRQPHGKPKVTYAIWQSGVGCNTTVPTTKEHESNR CEILRLLLTLTSQGMYMSPSLLPTKGTRSLTYICTCPDKQVVLSVLCSLLNTTLKYNP ATWKVPYNTLVFKDEKQILVTYTLQLLLVILLYPIPEQVGGSTPKNYYRHFLGRLHRP QDFQFIVDGMTRILNQPFHANNSYIPGAQSTTKFSPEIIMLFWEITQCNKRFRAYMID TERAHDFVILILYYATEYKTDASKQGVVRMCAFLLQTLSVEPKFGINLNKAFDGQDLL PPVIRILNFRGTYADYLIQSIYSLITTSQGKLSAIYPALLAVINNVSAYLQGINMTTC SKLLQLFTSMSSPSFLLANDSNHDLLSSLLESMNTIIEHQYKANPYFVFSILKNKKRF EALRSFTLESGQEEIERRNRRRKEDGQAADPFDMSRNSVDSIASPTTTQSQTPTSGHA AEEDGTFAIGDDDSEDEEERPTPAQSSPSENPSRASSVVSTDDAVPSQLRGMSEKARG KMPAGVASFSRQNSVTSLGSYSMAGQSTTGAFEPSAHWIESWLPELPLHTILTVIQQL TALITRQGLGTDSPSNATLKAIRDADLVGIEPSAVRVHSFEWTPMALGWYESLVWSFV FASEMQIAKGTVGIWNGTAIKLFKVQETAPEGPTLTSPRGAVDAVGSNIVSRIGAMNL RGPVTGNVGNIQQP PFICI_12079 MATMTQTTAAEPQHIPLTRLHDGPQQTDVEASIPPPEAPPFDAV DAHAAAPIFKLIVAGFSFFCAGVNDGTLGPLIPYMLEAFGIGTGDVAIIYGTTFAGWL VAALTNPILTAHLTLGQLLGAGAALQLLAQCLRPRGGFAQFCATFSIQALGMAYQDSH SNAFVGGLENVPHRWLSFIHACYALGTFVGPLTATGVANQAPGIYGGVEGWRLVYFGL VGIGVLNLAGVVVAFGDSFWSRRAAAEGHQTEGGGSARKTKVAMLEMGSLLRLKVVWL LSLFYFFELGAAMTASGWVVEFLTTSRGGDIAQMGYVPAGFSGGLFLGRILLAEPTFR FGEQRMILIYSVACAALQLVFWLQPNVIASATALSVMGFLFGPFFATGMSVASKLLPK SSQAAALGFIFVMAQAGGAIFPSITGVIATSAGVAVLQPIVLALILAGGIDWFLIPKI PGRSD PFICI_12080 MSWLQTVLGGPVITHTLSPSLQPRNQHHGTYSVPFFPSEDSKLR ASSLTVRRGGNCPNSLEVLQQLLGRHPGHNVTPYLISALPSADSPAAAKIRESFGIDS IVDLTRCVYHPGHSEPASSYIIRSDATGSRTLVNYNDLPEVTVDDFKSVANDLLLGGG RTWWHFEGRLPETTLECITHLRKSSPEARISVEIEKPGRQGLQELAAEADVVFYSKSW AEDQGYQTARDCLEAQSKVARKATLLLCTWGSDGASCWSLPSGRCLSCAASPDGKEVQ VVDAVGAGDTFIAGILFGLLCKADADADADVEARLRFAVQLATCKVKREGFSDLGRDV MGSK PFICI_12081 MLGAGFVTRPTLDILSEAGIPVTVACRTLESAKSLSAGVKNATP ISLDVTNDQALDAEVAKHDLVISLIPYTFHATVIKSAIRQKKHVVTTSYVSPAMMELD QQCKDAGITVMNEIGLDPGLDHLYAVKTIDEVHKAGGKILSFLSYCGGLPAPEASDNP LGYKFSWSPRGVLLALRNAAKYWKDGKVVEVAGPELMATAKPYFIYPGYAFVAYPNRD STPYKERYDIPEAETIIRGTLRYQGFPEFIKTLVDIGFLEDSERDILKQPVSWKEATQ AILGVSASSQADLEASLLSKASFASAEEKQRILGGLRWIGIFSDEKIIPRGNPLDTLC ATLEKKMQFEENERDFVMLQHRFEIENKDGSRETRTSTLCEYGEPKGYSAMAKLVGVP CAVAVQQVLNGTLSEKGVLAPMTPKINDPLMKELKEKYGIYLVEKTVS PFICI_12082 MVQYIHTPWRDRAELLKVRHQFYPAPPNANTIASVKGSSGTTSL PGTTTIVSFTVENAKTRTETDQRRQAVSRVAMWVQRGSCPHMVESTGLLMAAVLDDLL VHEARSASSGGLGAGSTSAVRLAYSAAFSRFVTGLLDSHQDKQMKQSMYSIAKTIGLP ATFVELRHQCTHEQLPSLLKLRSAAQKSLIWIWDYYWRHLSDDDFDSGAGSGGNTAAD HVKGGTSCSDVVSAYLLADDVGKKGLEKRLHQWDESTLLRTLDELGESAEDPRLLLRS LQFSQEILDGKLEKLSSAKDKNEQQHVDAPQQDVMETQKPKAVPQRKGWSRYEGTWKP KPIGIV PFICI_12083 MHIQSIPMWVGSSDNYAYLVIDDKSKDAVIIDPANPDEVAPVLK QAIQDGKINLTAIVNTHHHWDHAGGNKRMLTELGNEKLPIIGGKECDGVTQTPPNGDG FKLGSISVKGLYTPCHTQDSICWFMQDGDDKVVFTGDTLFHGGCGRFFEGSASEMHKA LNKTLASLPDDTKVYPGHEYTKANVKFGVSVLQNEAIKKLQSYAENNKETQGKFTIGD EKEHNVFMRVEACHDETDPEIQKATGETDPVAVMAKLREMKNNFK PFICI_12084 MESTLGTPPRPTSAGTASSNSPGAAGNSPSPQPLQTRKRSGSGG GILSRLPFMRTTESKSNLKESRHVDVAEDALHRSPRALAPPESNGHQPAKTRRRRGSL RKVALLGRGMQRERRELRPIIPMDNTSTHAGAVTPALGVQTGTDGAAAEAAEIVSSPV SMDSPGIGLGISDDNTPRASVDGFMRRSDILERPPPRPEPEPQATSPTISYTSTEDEE DGISLKQYAPSPLRPGLTGHSSGSESYFKPARAPSLSLQRRRSVKHTKSPLSLSGLTA SPLPAPPEEFDYSETEWWGWVILIVTWIVFVIGMGSCFGVWSWAWDVGTTPYAPPELE DDPTLPITGYYPSLMILTCIMAWVWVVTAWVGMKYFRHAKISGD PFICI_12085 MAPGSHRPPPIPIPTVSSPSIELLPSSPERPDNSPGSGKRSSHK SNTSAPLLHVPDGLSGHDNAPQRHQDLFYDPNRLSPVAPTFQHSPGLLSPTFSSDMAY NGSSGLGGSFSKENTPPIEITAPNPFNFQTQVISTSPVKPNVGQRRGHRYKHSSISAQ HQIFLEPPPRAPLALPRDLPIPTLKEAWKSMSIDQRRRLYWCCCHLVVAVSIFLSAHN SLAMTALSHLVFFDVGSALIGVAVDVLGNFEVWKRSSIRHPFGLERAEVLAGFATSVF LIFGGFDLVSHNLKHVLEGLGDHESHHPDPHSHGPRVQPGHLDITSLVAIIATLVSAY GLKNHGRISRIMRTSYLASLPSILSNPFHFLTLSTAFLLLLLPLLSIPIHVWLDSTVC GLVAISMFGLGTRLAIAQGLMLLMSYGGRSSASGKHQDIGVADVVSEIETEPSVKRVE EAQFWQVHYGVCMANVKVCVPRGCDDGTLSKLRTRISNLVQNRLGEGYGKGNSLRWEV SLQTRTDK PFICI_12086 MREVNFSIPNVNKASVGITTALYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKQGRSKDMMDMWKWNLAFQCVVNIGVRGTEGV RTRVVEADMVPVIATILDNYIKVVEKCREKAEEAKQKHISEHHHRHRSHSHRDSSKST SFTNRTSRGDLEQRPFRRQAPPPSIDVSAASFAGPSTATTAEHGNLEATPTGPSFPVT SPPDRTTFTGHRHHHHHHHHGHRHESRQTVASPARNVVQPLASAGPSGDADGFIRPVR DVDRLPSMIPVFPHPGLNTSQPASPTTPLPPPQARSPTVRPASVLGPNARTRRRPSIR HQNSTADANVDSDDLNAESVQSDESQDVDMSGNNEMQPAVGFQDIAMDEGDSTLVGTG LELTTPTVSEVPEAGTFNITHRAPVDGSIQTGTTTPVPTIGLSPNRPVVPTAPPTLAT HSGGVPRYLLDRSLPNSNPQMLAAMPREEDVLMSLQLLAYVSKYCNLRSYFQNSHLVP KLKIGSELQLLDGDNVKPESTNEEEDEEEYLLPNDFNIFPLVEKFTVRYHSTDMQYWA GVVMRNLCRKDDTRGGIRQCAYYQCGKWEEFTRQFAKCRRCRRTKYCSKECQKSAWAF HRHWCVQASQ PFICI_12087 MAAPGRPRLGMFTSGLSSLSQSASDPSSPNVSSPAEQRAGAKAN MFQTMRLPTQHVWVCYFDKQAKDQKKAEDGDYHATLEQLDTEIKSVADFWRYENNIPI EQIKMRESIYLFKEGYKPIWEDRRNILGGSWTFRVPKSSGREFWKWIQLMAISETLEG VLEKGDQICGVGLSVRFNSHLISIWHRDASKQKSIDGLLEVVLTSLPEELRPKGPDNY FYKKHSEHAGFKAPPELQAVIDSQKRAQEAAAANAKVQVPAPTEAEASDA PFICI_12088 MPPKRKAASSSAAAAPKERQSKLAKEHNITAREEAEIREAFALF SEPMDGEKEGVIPIDDVRRAMVALNIPPTKAELKEFLEILDPDEEGHAQWEPFVAICA LKLHQRDDSDDGDEAHAAEVAEAFALFTGSGGGQQQQEVGTETLTIAHLRRVAMTLKQ DVPEDLLRDMVLEANYGAGVGRGVNRKEFEEVMRRAGVWK PFICI_12089 MADQINMGGLSLADQQQPRSYIPPHMRGRVGGGPGPAGPPAGVP AGVPPVGPPGAGPAINGGLNNSAWAGNNNYDARPAPGGWTGPTPGGPDFPPPQQQQGG RPGGWNNNRPGFDRNAYGNPGAGGGGGGHARGSGDGQWRDGKHIAGPANPRVERELFG TADDPSKQHTGINFEKYDDIPVEASGHDVPEPVLTFSNPPLDDHLIRNIELSRYKMPT PVQKYSIPIVMGGRDLMACAQTGSGKTGGFLFPILSQAFQTGPAATPAAGGGYGRQRK AYPTSLILAPTRELVSQIYDESRKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLLVA TPGRLVDLIERGRISLQNIKYLVLDEADRMLDMGFEPQIRRIVEGEDMPTVQNRQTLM FSATFPRDIQMLARDFLKDYIFLSVGRVGSTSENITQKVEYVEDVDKRSVLLDILHTH GAGLTLIFVETKRMADSLSDFLINQNFPATSIHGDRTQRERERALEMFRNGRCPILVA TAVAARGLDIPNVTHVVNYDLPTDIDDYVHRIGRTGRAGNTGHSTAFFNRGNRGVVRE LIDLLKEANQEVPSFLETIARESSFGGRGGRGGRSGGGRGQGANRDFRKFGGGGGGGG SGFGGGFGGPPSGGFSGSGGGGGGYGGAPGGGYSGGGAGGGGGYGGGYGGGGYGNPTG GGGQASWW PFICI_12090 MRGATVLKASDDKTRQLNKHTLVAFSGEAGDTVQFAEYIQANAQ LYSMRNETDLSPTALAHYVRGELAQSLRSRKPYNVNLLLGGVDPITLKPSLFWLDYLA SLAPVPYAAHGYAQYYCLSILDKHHHPDISLGQGIKLLELCTDELKRRMPIDFKGMTV KAVTKDGIVDIEFNDDKIVKSA PFICI_12091 MSSFFTVPGAQKKRKQAPTTADGPKKRFAGTGKPSGKATKAPPK AAPQNRRQERDDESISGSDSEDDNVAADDEEAQDSGSDSEREGETAAEKRLRLAERYL ERVRQQEEMAVGFNAEDVDRDIIAERLKEDVAVFKGKVFRQLADELATDKASHCLFRA DTLTTTNITTCAPFCYTASKDRTIIKWRIQDLPKYQYPQKTKKKPKKQAPPKKRPEKV AVIKPSKSKDKDFQGHVAGEILCIAASQDGKFLVTGGTDRRLCVYDANTMKPLKAWTH HRDAVTALVFRRGSNQLYSASKDRTVKVWSLDDMAYVETLFGHADQVVDLDALALERC ISVGARDRTARLWKVVEETQLVFRGGGAIDKKRLPNIDPRSLAVEGSMDCVSMIDEEL FVTGSDNGSIALWSLAKKKPLYVMPQAHGLDPALKPEEISAEEVPDARIVPPPQPRWI TALRTVPYSDVILSGSWDGVVKVWKLSDDKRSILPVGNLGEPSSRDSPEFNGVSEDSD DQKKNNGPQIGREPWVVKGVINDLSVFERGDRGRDGLCVVAALGKDHRLGKWKKVQGG RNGAVVYEIPKLGKSVVTNGDKATQ PFICI_12092 MPAPSKQRKVAIVGSRSVGKSSLAVQFVDGHFVESYYPTIENTF SKVIRFKGQDYATEIVDTAGQDEYSILNSKHFIGIHGYMLVYSVASLPSFEMVQVIRE KILNHLGTDWVPIVIVGNKSDLRPEQRQVSAEDGRKLAEKYNCGWTEASARYNENVGK AFEVLIAQIEKSANPGEAPAGGKCQLM PFICI_12093 MLDVTDFIKERGGDPEKIRESQRRRYAKVEVVDEVITMFEDHRR TNYEAMQLNTKINEVQKQIGAKKKAKEDASELLSQKVAFEKEKKALLDLAAEKDVALK KLVKTIGNYVHDSVPVSDNEDNNTVLKTWAPEGVTPEKKDVLSHHEVLTRLDGYDPDR GVKVVGHRGYFLKQWGVFLNQALINYGLQFLSEKGYTPLQCPQFMLKDLMGKTAQLDA FDDELYKVVDGEPQNDKYLIATSEQPISAFHSDEWLQAKDLPIQYAGYSSCYRREAGA HGRDAWGIFRVHQFEKVEQFCLTDPEKSWEMFDTMFANSEEFYKSLGLPYRVVAIVSG ALNNAASKKYDLEAWFPFQGEYKELVSCSNCTDYQSRGLEIRFGTKAQTDTKKKYVHA LNSTLCATERALCCILENYQTEEGFNVPEVLRKYLPGAPEFIPFSKELPKDTTSAKVK AKEAKSAKGKVAAAVEQAGEKLKNVTV PFICI_12094 MTAPSAASSSRDHSVENCPFCGFRPESEYHLRLHMEEQHPEGGP SPFVVQDQSSSASRPSSEDHLEWAACPICDEVIAFMEMDEHVDLHSAEHDGEMATTSH GNEQGRTLHTTREYKSPYGSSEADQLEIIKRHGHGSADERHQRHRHHHSGTKEHFKNF LNLPAITRKRASSQPLTDEAHPQKQRLGKAELGRFANEDAMPDWLITLLRQKGQVIQG DVIPIIARLLKSSRDTQYAYLCHPAVDHISKLRKEGGFCGYRNIQMLASYVIGARAEG AHVFDGHLPSIFDIQEFIEEAWDRDINAAGRTETGGVRGTRKYIGTPEAQAVFLSLDI PCDAQGFKDPHPGNAEARLVQHIESYFEQENYDVSDKVRRTRLPPIYFQHRGHSMTIV GFEKKTNGSKELLVFDPMFHDSDAVMKLRGMHHSRTSSVNGLLKQYRRGSRYLGKYTE FEILKLTSRLPDARTKEEAAMGNYSPSGRLKVASQMLP PFICI_12095 MADQSGQYSKWFMKSIGHEGLNNLLVAYEDKSAKAVCTFGFSKG PGHEPILFQGITDGKIVPARGPAYFGWDPIFEYQGQTYAEMDQVEKNKISHRYKALEK LRVWVENGMKDE PFICI_12096 MASPPIVNFITGNANKLREVKHILEPAITVNSQSVDLPELQGTV EEVTLEKCRVAAQQVGGPVLVEDTCLCFNALGGLPGPYM PFICI_12097 MVTRTEPNIVITGTPGVGKTTHCEVLAERSGLRHLSINQIVKDK EAHEGWDDEFQSWVVDEDKLLDAMEDDVSKGGCILDWHACDLFPERWVDLVVVLRTDS TVLYDRLKSRNYPEAKLQENLDSEIMEVLLQEARDSYSEEIVVELKSDDSDQMETNID RIEAWIKQWKEDHPEGHDGSS PFICI_12098 MSSSYDVGTRAWQPDATEGWVASEVVKKTVDGNKVTLEFKLENE EIKKVELSLEGLESGNDPSLPPLMNPTMLEASDDLTNLSHLNEPAVLQAIRLRYMQKE IYTYSGIVLIATNPFARVDSLYVPGMVQVYAGRQRATQAPHLFAIAEEAFADMLRDKK NQTIVVSGESGAGKTVSAKYIMRYFATRESPDNPGGRSKKGADAMSETEEQILATNPI MEAFGNAKTTRNDNSSRFGKYIEIMFDDKTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLIAGANDAERKELSLLPVEQYDYMNQGNAPVIDGVDDKAEFEATKKSLTTIGVD ETQQMGIFRLLAGLLHLGNVKITASRNDSVLPPDEPALLKACSILGIDATEFAKWIVK KQLITRGEKITSNLTQQQAIVVRDSVAKYIYSSMFDWLVEVINHSLATDEVLSRVQSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVREQIDWTFIDFS DNQPCIDLIENKMGILSLLDEESRLPMGSDEQFVTKLHHNFATQEKNKFFKKPRFGKS AFTVCHYAVDVTYESDGFIEKNRDTVPDEHMEVLRASSNKFLGQVLAAALAVREKDVA ASSSAVAKPGGGRRIGVAVNRKPTLGGIFRSSLIELMNTINNTDVHYIRCIKPNEAKE AWKFEGPMVLSQLRACGVLETVRISCAGYPTRWTYEEFALRYYMLVPSSQWTAEIREM ANAILSKALGESTGQGQDKYQLGLTKIFFRAGMLAFMENLRTERLNSAAIMIQKNLKA KYYRARYLEARTAILEFQSLARAFMIRRKAEEWRTIKAATTIQKHWRGYKQRRAFLKI RADLILAQSAAKGYLRRKEIMETRVGNAVLLIQRVWRSRRQLRQWRSYRKKVVLVQSL WRGKQARKGYKKVREEARDLKQISYKLENKVVELTQALGTMKSTNKTLVSQVENYEGQ IKSWKTRHTALETRTKELQAEANQAGIAVAKLQAMEEDMKKLQNNYDESNSNVKRMQE EERELKEKLRSTEAELDEVKKEGAAHINTVNGLKQQLADLADQLELARRSGPINGELT NGHAAVAAPPSGLINLVSSKKNLAKRRSAGEDTRGMDRFSAAFNPRPVSMAVTNGAQR ALPPTSGFVPGVDSIEMELESLLADEDGLNEEVTIGLIRNLKIPSPNQTPPPSDKEVL FPSYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDEAINPGAFWLSNVHE MLSFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLQKMIIP AIIESQSLPGFVTNENNRFLGKLLQSNSAPAYSMDNLLSLLNNVFRAMKAYYLEDSII TQAVTELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLE HLMQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAV ASRVSEKSDVLLLQAVDMDDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSAQ AIAQQEKYDEEEYGDEGIPEDEEPLESEA PFICI_12099 MAAPQNINTSSSIPKPDFTHRLSVSTSPTNTFQSPPNSFGGALA QRAAPKQLKPFNTQDIKILLLENVNQSGRDILTGQGYQVEALKTSLPEDQLIEKIRDV HVIGIRSKTKLNERVLREAKNLLVIGCFCIGTNQVDLEYAAKHGIAVFNSPFANSRSV AELVIGEIIVLARQLGDRSNEMHRGTWNKVSNKCWEIRGKTLGIVGYGHIGSQLSVLA EAMGMDVIYYDVVSLMGLGTSRQVPTLNDLLNQADFVTLHVPELPETKNMISAPQLEQ MKEGSYLINASRGTVVDIPALINSMRSGKIAGAALDVYPNEPAANGDYFTNDLNTWGE DLRSVNNIILTPHIGGSTEEAQRAIGVEVGDALVRYINQGITLGAVNMPECNLRSLTL EEVGHARVIYVHQNVPGVLRKVNEILGGHNVSKQISDSKGDVAYMMADVSDVGLADIK DISESLEALSSKILVRVLY PFICI_12100 MAEPIKNKRDVVAPTPQNTPANNAPISSHAQQPGVSSIKEDELA EHASLFAQNPHLVSMIQGRLGSLVGRSSGYIESLPAPVKRRVAGLKGIQKEHSKLEAE FQEEVLQLEKKYFAKFTPLYQKRAEIVNGAAEPTEEQVVAGEADDEEDDDEANEAEKT EEKPTEETAENVSGIPEFWLSAMKNQISLAEMITDRDEAALKHLVDIRMEYLDKPGFR LIFDFAENEFFTNKTITKTYFYQAENGYGGDFIYDHAEGDKIEWKEGKDLTVRVESKK QRNKNTKQTRIVKKTVPTESFFNFFSPPAVPGEDDDAASDIEERLELDYQLGEDIKEK LIPRAIDWFTGEALAFEEIEDYDGEDLDDEDDEDEDDLSDDRDEDDEESEEDDDGAKP NKEAAECKQS PFICI_12101 MDGYNERRNPSRSRSRSRPANGRRTLYRMSSNHSSQSVFEDVEM AQDELFSGPVAESLPTSVSAFAHRRGRADSTASFTYYNEEEEEPQIYDEDGRPLYEDD GSVAVDLDDIPFGVETIEDDESAVVELENGHSHDDYSMHRRSSTISRNSVHARLLRTD SGRTDVSGHGMPGRISQKLYMVNEDLTIVIAGFRTSRIGFAAYVFLCVTTLGLAYLLL RWLPRWQVKLIGEPCPLNDCQWVVLENQWGEMSILPVSAQPYDRPMSTVFGAPEKLFA QMLEEDADPILSDLRVLNYRYVRLYFHPLKDKFVICSGWKDPNWTHIRTLRAGIDGEE KEQREHVFGSNLIDIEQKSSFQLLVDEVFHPFYVFQIASLVLWSMDEYYYYAVCIFVM SAGSIIATLIETRSTMKRLREISRFECDVRVLRNGFWANVSSSDLVPGDVYEISDPNL AQFPSDSLLLSGDCIVNESMLTGESVPVSKVPATDESLSVMNLGAASVSPETARHFLF CGTKIIRARRPQDNGEDEGVALAMVVRTGFNTTKGSLVRSMLFPKPSGFKFYRDSFRY IAVMGCIALLGFVVSFINFIRLGLAWHLIVVRALDLITIVVPPALPATLTIGTNFALS RLRKKQIFCISPQRVNVGGKLDVMCFDKTGTLTEEGLDVLGVRLVSHTTKRFDELVAK ASSLVKPSNYETTPDNIKDTSRAALFTMATCHSLRSVDGELVGDPLDLKMFEFTEWTF EEGRQEGAGEQENEEQGGLSPSVARPPPLALYDTDQFGTAQGRNTSVELGVLKSFEFV SHLRRASVLVRAFGQQSADVYVKGAPECMREICRADSFPEDYDELLSYYTHKGYRVIA CATKHLKKLSWVKSQKMKRQDVESDLDFVGFIVFENKLKPTTAGVLKELHESNIGSVM VTGDNILTAISVSRNCGLIDKNAHCFVPHFVEGHFQDPNADLHWESIDAPDLKLDKRT LLPLPVPAERDASLPYDITNLSNYSIAVTGDVFRWVIDYAPTETLHRMLIKGRIFARM SPDEKHELVEKLQSIGYCAGFCGDGANDCGALKAADVGISLSEAEASVAAPFTSRVFD IRCVPDVIREGRAALVTSFSCFKFMSLYSAIQFTSVSFLYASASNLGDFQFLFIDVLL ILPIAVFMGWSGPYPVLSRKRPTADLVSRKVLTPLLGQILICILIQATAFLLVREQDW FIPPHVNPNKSNIKNSENTALFLVSCFEYTFIGIVLSAGKPFRQPMWQNYPFVATIIA ALAINTYMVLAPSHSIKHLMQLTNISPSFKMTLLVLGLAYFVLSWTAEIYVFQGLARW IGKAKLRIMKTPKQRKEYKVIAERMRT PFICI_12102 MASVKARPQLKQYGKSTTKKPTSTRPSRQPSETSRAPLSRSASD VPAATKADEYDPWSFPADDSPTRVPFNGKKKIEPRAGNGATQVPIERKRKIAQVYPAN NQGHEPTSKDASPAPPKPKQRSRVPSPTDNAAAPKTKTYGRSRQIRSLSAEMAVDGAD GILSSPPPTPKDGKSNGKSVSKKEELPFSPNTMNLFGNLNVGDAKARQQHIPVRPRPK PSNTAPNAVRRIEPPRQHGPSTSMSIQPAKKPRKKLIDALVEQMDDSDDAMEEEKAGS QGTQVSWAETVETFAPPMESQSSFISPSQTSGSRRTFGSAAPRTFARAGSSGSSLKRT YGSSNVTMLEEDNILESLVMPDEPTFSARRRLELTPKKLSRPSVEPEDEPNPSTTPRK IRDIHELRQAGANSRVADEMFDLSSRLGQPSAKPSSQRRAALLDVADQAKNKDFRYRL RDHGLDTMILQKIGEETDPISGYLIAAFLIQILASSAAPHITQTLQTEDAGHLFGRLM QLDEDIKRLVRDRKSNLSKRHQGLLLAVQSTLLELPIWQPVKPVSITPRTIALKCLDM IVAQDAHLGNDDALFPPAVTEGLFSALSSASEPDFWDATTSNDTFDVSCTLSVLEFHA VKAMEAQNSEQLTAKYLPSVADAFGAALQNPTAHGDLQSLLLKLVLNMTNSSITAPDI FIARDLILPLSTSICTGFKQASSVVAGGEEWTEELLNGLVLRLGILINFAEQSEPVKA AVHQCQNPEGGSPIKEMIQIFLTNHRTTAEADSEAKSQLNVAFGYLSVLLGYLCLFKP IRQVFRSCQSAKSMGPLVDSIQEFISHHRAMEASLLDAGVEDPRAHGGYTERLQGLVD QLDASAAYD PFICI_12103 MSAETTEVGPTKCVGPDYRSESQKPTATVSTPVKYDNVITLPQT PQLIALLTMIRDKNTERADFIFYSNRIIRLLVEEGLNHLPIVEQTVTTPVGRSYAGLQ FQGKICGVSIMRAGESMEQGLRDCCRSVRIGKILIQRDEETAKPKLFYDKLPEDIASR WVLLLDPMFATGGSAIMAVDVLKSRGVPEERILFLNLIASPEGIENFATKFPKLRVVT AFVDAGLDEKNYIIPGLGDFGDRYYTM PFICI_12104 MGKRKSSSKPQGPKKRAPLATTFTCLFCNHEKSVSVKVDKKAGV GSLSCSVCAQSFQCGANYLSAPIDIYSEWVDAADAVAKEEAGNPTRTQASNSRSKQAA DRYDDDEDDRRYEGEGIVDDDDEY PFICI_12105 MASEEMSAVLQALRAIQDNQSVLSTGLLNVTKRLDQIAPEDQAK ATSSKSGASNGLGLSASPIPAPGSPATSAEKENAAVQAQKSGFTSRIILTTYPKQIGI DPLALNWGAEEPQERGPVVVSRSSSTIGRRNAIGAHGGSYSIYFALALASKQLDINHR PDFTNTEPAASIGPFPQWGDPKKIVAMDPWGHLAPWLFKDTIEGQNVDIRPTIAITKA HMKLPELEESVRSGRLVPDGKVCLNKSGELAVTKFAVEPVWYLPGVAERFGIDEGVLR RSLFEHTGGSYPELITRNDIKVFLPPIGGLTVYCFGDPAKMSDEKVRLALRIHDECNG SDVFGSDICTCRPYLIFGIEEAVKEAQNGGSGVVIYFRKEGRALGEVTKYLVYNARKR GEDRASDYFKRTENIAGVKDMRFQALMPDILHWLGIKKIDRMLSMSNMKHDAIVGQGI PIHERVELPEELIPEDSRVEIDAKITAGYFTTGHRMTEDELKAVQGRMWEE PFICI_12106 MSSLRDSRQLTRRESSPRPSARSSSRRRPQLLRACATEPSITTS SAYRGVTASPNSSRRATEILSRVAVSNAAVLSSSPNSTRSFASSDFEAAGLTEAEKRE LLRSNISPYSACYFSFPNFDAWDAEQQEDGKEMP PFICI_12107 MAVGKNKRLSKGKKGLKKKTQDPFARKDWYSLKAPAPFNIRDVG KTLVNRTTGLKNANDALKGRIVEVSLADLQKDEDHSFRKIKLRVDEVQGKNCLTNFHG LDFTSDKLRSLVRKWQSLIEANITIKTSDDYLLRLFAIAFTKRRPNQIRKTTYAASSQ IRAIRKKMVEIIQREAASCTLQQFVSKLIPEVIGREIEKATQGIYPLQNVHIRKVKLL KSPKFDLGGLLALHGESTTNEDGQKIEREFKERVLEEV PFICI_12108 MASSDEALPVLKVLIIGPSGAGKSALLMRYCEDTFDPDTSTATI GIDFKTKRLSVRGKAYRLNVFDTAGQERFRTLSTSYYRGAHGVIIVYDISSRSSFAAM DKWIEEARNNANPDAVLYIVGSKLDKDASGGRVISQEQGQAYADAQGAGFCEVSSKTR ENVRRPFIEVVDRIAQKPELIKPPNANNGTLSIGNLGSDYSSACPC PFICI_12109 MAPSRAMQPLQALRQLSRATAATITTPRAACLYYSTKRTVDLAF DHHAPEAGRETRGATSAPIIFMHGLFGSRKNNRTVSKVLARELGRHVYAVDLRNHGDS PHVAEHNYSAMADDVVDFIGRHGLEDPTLIGHSMGAKCAMALALAEPRLVRDIVSVDN APLDAQLGSDFGKYIQGMKRIDAAGVTKQSEADKILAEYEKDLPVRQFLLGNLHRPQG STQQKFKVPLGIISKELDNMGDFPFKDPEQVRFEKPALFVRGTKSKYVPDEAIPLIGR FFPRFQMVDIDAGHWVISEKPEPFLKAVIEFLTPKE PFICI_12110 MEHSKSSTSAPYGHACTGCSKAKTRCIPRAVGSCERCHRLQKEC TPSVAVRKRQAPKATPSSSSSKRARLEDRLEDLVALLQSHNAQKVQEAAPAEAASETD GRHPWIPRNWSKDVPRKPEAVPRAYGDTTSHPVASTSNPNWQEMAVTPATTVNSACDP LTPSSRMAELSPTMAEAMLHQFRTVFMKNNPFLYIPPSATAQEVQHERPFLWLVIRAL CTKSSTEQHELGKLAREVLSNQIMVECERSIDLLLGILAYMGWTVHWLVGRPFMCAFC GMASALVSDLRLDRKSREDVLSNPNLSCFKQYPFPRPGQAASSKVRTNEERRAVLGCY FTTTLGSTYMKYEAVRWKPHMEKYMDHLWEVSEAANDRILVTLIRISAIADESTQLTT RSEDSEATATMFHIKALLASLQQVKSTVTTDILQNPLVKLHIHSTEIVIYEPAIFQQT STQPFKPLDFKRREYLHACIDSCKNFLDCFMALDAAEYIGLPFWGLIEFAHCTQALYR LALLDDPGWDRAAARQTADVIYYLEETAKLFDRMHIVARAGMDASEDDIFTKAAMSLR STIPAWAATLEQVGAVTATHNNSNLTASTMGTNPEAVDPMLMDFTDDLWLADMFTSWG GAPGL PFICI_12111 MDNRSIMDNDSTLTRVQSLESATTVAPPTTARTQDDETDTQSHA PSHEKDVVKKKKEQPDEPTNNESAGDENKEYVTGVKLLVVMCSVALTCFVMGLDTSII STAIPYITSEFKSLSDIGWYTAAYQLASASLQPLTGKFYTFFKAKWTYLIFLFLFEVG SLICGLANSSSMFIGGRAIAGLGSSGLMNGGLTIVAGAVPLEKRAFYTGLLLGVGQMG IICGPLIGGAFTEYVTWRWCFYINLPIGGALGLILVFLSIPDLTVKPPFTLALVRKTI PELDLIGFSLFAPASIMFLLALQWGGNNYPWNSSVVIGLFVGAGVTAVIFAFWERRAG DRAMIPGAVVKQRVVWTSTINGMALMGIILTAAQYMPLYFQGVRGEGPAMSGVDMLPS ILGQLFAVLISGALVQRVGYYLPFSVASGSISAIGNGLVSTYRPWTATAYWAGTQVLL GFGRGFGMQMSLIAVQNVLTAHQIPVGVAFLIFCQNFSGAVLVVVGSVIFTNELVSEL ATYAPSVSSVAALAAGASADAVRALVPAGSDELDGVLLAYSLAISKVFYLLVACSLVG LISSFGMGWINIKKKETKATDQAEEA PFICI_12112 MYIHKPINREKAEIRLARFIKDNENNIENKEQRIALEIRPASLE DETVRYAALSISQIADHNDPPWLWADAICIDQSNQNERTWQVAQMHDIYKRADPVFML LGSSSENTDRAMEFIASVGPRAVASGILASPSWLSEQQVGDFHDLVTARLQSPTQDIK QDGIRDSDLLQLMYELIHDERLYTSTIPGEAVCAGIAELLRKEYWHRIWIIQEVTLAR NPILVCGNQSISMYLLDAIVYAIRHCLRTVSRSWRQDSEEIWAKFQYFAWNISGTEFE VLPLTIRRQYGNKPVHLVDILVQKGVAPYRPWYSASDPRDLVFALLGIVSDGTHLGLK VDYSCTIADVFTSLTRAFVDDGQGPSFDLDECVPRADEDNLPSWVPDWTQIGQGGLLV YPINHYREFRATRGVRVPQDDSDLQSPILRRSGCYVDVITEVMEPPTWIRADDYSPSY LPDVKGWFESIIKFTKLGPDSGPGEDYIWRTVMTSRRHDRERTSWQMDPPGGDAISEF IRKVFRHESIDADDLTPEVAQYVSEGIYYFRKDEPQSKSLKTRLAYIMREWPMVIGTI CRERTLFKTAKDMLGLGHVAIKPGDHVTLVWGVDSPIILRPRNGRDTSEGFRFLGDAY VDGIMQGEYLENNPPHEIFDIM PFICI_12113 MNIGLQEVYLPPEGVEAVANICFVHGLFGGPWKSFSAKRPRSDA QSPAQAPDGSSTNQGPGDAKAAQQVFWPRDLLPRSINNVRIFTFGYDADIGRIMGAAG LNSLYQHARNLLNATSDLLEQTPEPLPIIFVAHSLGGLVVKQALNQSATSTDARKNRT LGYTRGIIFLGTPHHGSSAAMYGRTAFRLSKKIAFQSANIKLLTALERNSEPLDQLST EFCETLEKTNVLRMWSFCEEKQVRFGVVGMQIVPADSARIRHIKEDWGSISGDHRQIA KYSSTQDDGFVKVNNVLKGWVRDIERDPQNNFSESEEYKECLKTLDDADARLRVQGVH QVSQTNSSSFEWLFTEQVPFTKWLQDDTNQFSPVFWITGRPGSGKSTLMRFALEDRRT VELLPESTGSPLAYFFHLRGKSTTQKSLKGMLKELLYQLLHQFPHFYAQIGPIYKRRV RMLVPREWDLDSLAEGFLQIPNLVSRSKTTRDRVFLFIDALDENENQHENETMMSLIK RLSAEYESTAKNSQSPLLKICLASRSWTLFQRELGENQRIPSLAIHEFTTNDIRSYAS ALLDEPLQSLRLPVAHQSESLLVSEITGRANGVFVWVRVVVDNLRRHIIDGTSIEVLR TKVLEYPQELKDMYEYTVTRIPEDYWKELEVALKVLYSSQKALTLSELYVITQICIDQ HPPWDFEASQRTLAWLASRSGGLIEEITISPTPGYSLDQQQPGTISSVQFIHQTVQDF VRAGIRGLPEPTYGGPRLLIPSGHYLITFACLENRTPHTCLSEVAKDVFSYFRECERD WDTRGRLNDSYIGRWTSTYLIESLFDGFDINRPHEVLYFFMNEPDRRKMVKIIAGLQG EDLSVDEYFDNSTSHGERQATVAPSPESMRKTLIEAVSTVHQGQSATSRQPAIQESAN LNIIPVRKRLQKAIRAVMALNRCSKSLFSDVMLFCQNLYYPRFRDRDVNSERLVTMAA LGQRLAKDRTDRPRMLKKYIAYTTHMDFTGPQSSGWRPTPMALDVGPAPEVFWEQMDL PIVRVATAIPSSDITDAMLRHMTELLLEHPSQLFGNLDSIVQIPFMATDRLSVFEYCA IFKRQDTGKWLQLILRHAPSLYLRYPNILRRVLFLKMGLTHEDEEPDMKQGLVFPGLL AAASSGLSLRHLYQGLYDPALSFRRAVL PFICI_12114 MAAVQDLAERVKDAVVGGETVYIDTDAGADDETADGTEAKPYKS LPHAYIQNIDKPTPKYQIRASVTGPVAEGEDPSVRLQWKDPAKSAVKKADSAVTAHKK KLQKQAQAAAEEEKQKQARLANLEEAKKIVLKEDSSLPKAVKIKIGRKDIELGEGDKK GTRVKVLGRIHRLRAQKQATFITLVDGYGHLQCVLQAGDLTKTYDALTFAQGTSLALY GEMRKLPEGATAPDGRELLVDYYEVLGASPSDQDAITNRVSSQQNQWDPQMLDQRHLV LRGDNASSLMKIRAAVERAFQKVYDDLELTKVSPPALVQTQVEGGATLFSAPYYDETA YLTQSSQLYLETVLPSLGDVYCIEKSFRAEKSLTRRHLSEYTHVEAELDYIEFTDLLD HLEEVICRVIDIVLDNPTIAGYLKELNPTFQKPSRPFMRMKYTDAIDWLNAQDPPIPN EEGNPHVFGDDIAEAAERKMTDAINRPIFLTHFPVEIKAFYMKKDPADLRVTESVDCL MPGVGEIVGGSMRMEGYDELLEAYKKQGIDSKDYYWYTDQRKYGTSPHGGYGLGLERF LAWIANQHTVRTTCLYPRFMGRCKP PFICI_12115 MQDLSVSGIPTGPSQGSGGRFGHSSKPSNSDTGFSHGAFTSNIS GFADRHPRRGNIPSINTQSMNQQPPAPTNAQDMTTPGTGFDMQFTPLLPSQLLLGSPF QPGTPAFANNQFQNLNGYQQGQHGGQHQNNGMGSPVQQPMSPQQYQAIVSPSTYGAPQ FFAPQSPTGAFSNMGGQMQMQPTSPVQMSPTAMVTGTSRTVYLGNIPPDTSAEEILGH VRSGQIESVRLLPDKNCAFISFLDANAATHFHSDAILKKLCIKGQDIKIGWGKPSQVP TSVALAVQQSGASRNVYLGNLPEEITDEELREDLGKFGAIDTIKIVREKNIAFIHFLS VANAMKAVQQLPQEPKWQSPRRVYYGKDRCAYVSKTQQQNAAQYLGINPGYAHMLTGA DREVISSALAQQSVAAAAVATTAGGINNLGNRTIYLGNIHPETTIEEICNVVRGGLLH HIRYIPDKHICFVTFIDPTAAASFYALSNLQGLMIHNRRLKIGWGKHSGPLPPAIALA VSGGASRNVYIGNLDDTWTEERLRQDFSEFGEIELVNTLREKSCAFVNFTNIANAIKA IEAIRSKEEYRKFKVNFGKDRCGNQPRQLQQNQSQSPRDGASPPPNGGSNGVSPTGAT SPQSAGSGSNMFGQGNNPLTMYLSQVSQQAQQQQHQQHNILSSQQAALFGTAASSPNE LSLDIPQQSHLGHGQSASISNGYAGSNGTPTATTIGGLLAPGPRGSHNRAVSLPVFNP GFDNGGNSPVSAIGHPEGERRGHQYQSSFGGMGSGFGLAIQGGLNGWVEEEVAN PFICI_12116 MTTLTPRAPYTEEELKQLYPSDLKLQFVQILLRHGERSPVSARF SNAGLPAFWPYCNAVRHMRNAVLDGSFTSPQFTTLEWKRRLEAFGPNDEPVIASGPGG ELDAICDMGMLTDKGRETTFNLGSRLRRLYVDQLGFLPSTINDADSLYLRATPIPRAL ESLQETFLGLYPAGTRAPNFPAPTILTRSIADETLFPNDSNCRRFAALSRAFAQRAAD RWNTTDEMEYLNKLYGKWMPEASPRVAVDGKPRLSGIMDTVNSTLAHGPETRLPKEFY DKKGLAIVEKIGVEEWFAGYKESQEYRMLGIGGLMGDVVSRMVGSAERSTADGEYEVA QNLNVGGVKAGKGATPIRFGMSGCHDTTLAAVLASMGTFDTDKWPPYTSHIAIEMFRK ADLPTPATTKPTIPPAESSAQKSGWLSSFGSVFGFGSSTKPGLPPPGIGRKKTEELAA DERAQLDGYYVRLRYNDQVMKVPGCKPAGKHLDGDDSFCTLEAFKAIVDKFVPQDWRG QCRASADKPTFPSKPEPAGY PFICI_12117 MRAAQSVCLICRHRLATKAAVQPRQWRAQLSSWPGASSAAGDGS VKAASTVQTVQNTTGLNSGDSGYARPERPAEVGRRTKPRTTPRPRGSNSKKTANVDVA DLFRQIVDRPGTENNSPETEHANYINIKLVQDVGKLQDMLDAKAPISDAFKFLQTTLY PATQQEGIIVPKVFYTVASNLLHEVIHMKKEDSLNPDLPPTAEILGICAHLGEFRASD WLPLAGELVKYLCKMKTSADDYPSIEAFEDHLGTKNKIISDLVETWKVLSLPRPRPGK IRADASEILDGFWFPRLDKFAITKHARSNNFSLALSSLFPQHSPNQLGDKLSVLAIAT LTLLIDGARSNTSSRRGALRFMTKVAHLIKATGVNEKALRKAIKITYPSIEGYVMGQW PKLEEYIDEVNRSSNPFGERQGSSTTSRAAKGSHDARYFEKKLSQAYVTRNWSEVDRL WQEFSGALVQSTADKTSTPNQSPHIFNSFINTYMALNKPDKAIEVWNTLPKCGLKPTL KTWNVMLDGCKKAQNLKGLTTVWQRLISSGAKLDMPIWVTRIAGLMECNDPAAAVMAL EEMALLWREAQQKKNVHAAPLTIEPINAALSGLIRLNHHSAVQRLLAWAKEQGINPNI YTFNLLLRPLIIEGRDKEVEAIFKHMEALGINADPATFTVILEGTLNKLEAWDPQRQV EIVATIFDDMKEAGLEANHQNYGKMIHLLLRSGDRAQASVKAVLDHMWQQGLELSPHI YTSLVEHYFSRNPPDLETVNELIQRRRLLDYDDMDRIFYDRVIKGYAAAGDHETAFNI YRRLSSAGFLVNLDAQYELLHALLLADRRNDARDMVEDTVNRYAEHRGEGSWLGHRYW HTAERNGFIDWIPDVRGGKAVMRDPQ PFICI_12118 MSRALISKSLRPAQPILECRAAFGLRRAPAYIHTSVARPAAANL NPNTISAITEREKLITGEAGPVADGPTAQAQKYAGQPLTSAIVADIMKGEQILTGLNG PISGGPAALVQRIFDAGTGTKHHSGMLDSATISSITEAEKKLTGSAEPVKGGPTAQAQ KHANEPINSQSLHDITEGEKKITGGQRVKGGPTATAQSELSRSRS PFICI_12119 MVSPARESEAPELNRGEFKERIPAYLVSSYQVHQPDLETVYSSN GTTTQVDPEVNHLGYSSYSPSNGAYPTNHNTYQQPGHYDDSNQVTTHGAAYGTGGEYK PLPPDQQEKQDKRRICGLALPTFIFFIVLGLVVIGASVGGALGVKASQNAKDAQDATA SDSSATGAASSTLSNQPKATATTTSSSSSQTTDSSALSVPTTDVVVAFDCDARSGQNQ TMEISGGHLYVYAVECGVDTISNGNTGIDLFAATTYTFEDCLRQYLQRGVF PFICI_12120 MQSPAMESEAPELYRDDGQFKEVMPSSNLGPYQQQVDPANRGGG NHQSHLEAVRIGQVDPEVLGYGTYSSTGTGDPQLAPTDHDEPKPAPSDQKKKRDDKKR IWGLTLPTLIFIIVVAVIVIGASIGGALGGLKATKDRNGALNSVDSAESRSLSTQPKA TATTAATATVTTTQSADISNYIAPTDKDLYIHIDCAALEDDHQSTQMANGQTYSYSVE CGKDIVRFTGDVGIDIFAATTYTFEDCLMVCSSYNQNSNSTGCKAIAFNRCE PFICI_12121 MASNITMPEALPPLPSYELTPAPHLISGLDDFYLSLIAPIFAYW AVSAIFHVIDVYDLFPQYRLHTPAEIASRNRATRYEVFRDVILQQVIQTVVGAILGWM DPPQMMGKEDYDVAVWATRIRLAQRALPAVLGLLGLNAAGIAKNMSATHPLLAGVLSG GHYPFLTTGLAGPDGIDVPAFATWELAVAKTMYYLIVPGLQFFLAVTFVDTWQYFLHR AMHMNKWMYTKWHSRHHRLYVPYAYGALYNHPVEGFILDTAGAGLAYLLTGMTARQGI VFFCASTIKTVDDHCGYALPWDPLQHISGNNATYHDIHHQSWGIKTNFSQPFFTFWDS LLGTMWKGDTSLKYERSRKNAEVAMAAETK PFICI_12122 MIIFKDIVTGSELISDSYDLKEIDGILYEADCAMISVGGETFDT GANASAEEAEEGVEDGVSKVNNIIHSFQLQEMPMDKNGYKAHVKTFIKTVKAHIEADT TKDAETKAAELEAFKSKGQAFILKVLKNIGDYEFYIGEAGPSDNDDQHVALLNYREDG VTPYFTFWKHALKGEKV PFICI_12123 MSSRWKDLAKNGWHPEKEGTTFKGQMKSLVGRGDSSQDRSSHVA VPISSLRDPASFAPPPKRDPSAPIPPPTSSSYAPALPSSTRPGPPAPPARFEQQQSPG AAPQQESPMEAAPRPAPKKWQLDTTGLSTAHLPPPPGRRDGADGRSPVSAGTPVSASG TITKGPPPSLPPRLPPRSGTNSPVRTASPSLPSRSATHTPTPQPVATAESGFLNQGAA SRLGAAGISVPGFGIGGNKTGPAPPPPRSPATSISPAPPPSQGTTWQQKQAALKTASQ FHKDPSSVSFSDAKSAASTANNFRQRHGEQVAGGLRTANSLGQRFGVTPPAQQASAGP DATAGAYGQPASESAGSMSVRDLASAIGKKKPAPPPPRKKPDLSAGGTAGAPPPVPMS TRPTF PFICI_12124 MSASINSDTELLTEHFGYPPVSLIDDIINSVNILADRALTSVEQ ALLNVPPAAIGFKKPKGSKAAGAPDPAELAKNEIDAGTHQLETLLCASIDRSFDKFEI YVLRNILCVKPVDIRNWMRLGHYDGLDFSSLSITSEANEEGTEGNTDAEAGDRPTLES INQLRRRLRESMKLNAMLHEEQQRNAQLLADLRGLLGARDDKVKDEEQATATGTDGEN GVTTAPGPLAFLRDGVTQLKDAGGDTPLSTTTAFTLSQLQALRALSTSLRTMTPHLQP AEGAEEDETDSGQHKSWRRERLEYVETATRRHLESVQGLELGKDGEVRDGDWQAEGRN LVRGEVEGLERVVSMLGDEAGAPSSNPHDDRMDES PFICI_12125 MQLTFATLFAAAASASTLTQRDAGSYAITDFYASCIPHSTLCSY QFEVDAATNCTILLQGPDYLPAVALTGCEDAAYSWSVAKTDDAGLELSVTTVSTDDPA TNVTGVYDITSDELVVTNNGASSSQSYVGPLDFTVDTA PFICI_12126 MEERAPLIVFNAIRKPDGIAHGEDESRRSSLATAVEEIPETPCK ELRPERKDRFEGVRTCLCKVRTPILVVVLSILVVWATNVVGITYLSNIIYRACQMPGV PTIASQFPMGLCSLAPPSDQTFAKVLDAQVKYEKIFKYIEKRATLPDRMTETAKRVDT KVDQSSFDEERSETPTIYSLESKFFHSAEFSHAVLDLGSFLKQAKETAQRLVVANQVA KASLQALISKKTRWKFLNTDPFRTWEVSKIHDGLTETSMEQIILVIDRAEVARKKIED LEDGIQTTETSTVYGHFPARDFSVHLQEAHALLEIVRDGTEKIKNDISKAQKHCRFFK KASHTDKQKMELESMITIWTNQLDHNIRLLGEALKGPRFVEATASSKTFGTIDPLFTT TPSLTPSLSPTSFDT PFICI_12127 MADDLVYFTDMTPNWLTNVDGLGMACLGITIFLTIVSLLAVSLR MFARIESGATGIDDWLMVIATGLFTTDSIFACLDVYASLGSHDAREDLTDWNKSAVVM YLILWQITYAWCLPFIKCSICFSLFRITSEVKYRLLLWAVMVLATVSAMVGFIAVVIT CQPMAKNWDPVIQADINIGYCMTGNIVQNLSYYVSASSIVTDWACAIIPCFIVWNLQM KRKLKISVAAILALGAVASVTTIVRLPYLSAYTAPTDTYYQVANIVIWSEIECGVGII AGSLPALRRFVKSILDKSSKGGSYDPRSGSGVGTQTIGGGKGRSHTGKMSRSVKMSNL SRNGNNTVIEASKGNNNNHHHGNWIELGDDSDSQKHIITQTNEISVSVEDSSDLERMG SSSIRHHH PFICI_12128 MAGVAPGKTYTPGLDFSNQSYSNLSQKFETDVKTAHDIIREKLP LLGELKALLQITFGDKPPFWIDARSGTATILESCSDEPDTKLTIKPEYISQFYENKLE PRYGLFKDAFFNEASMPQGKVPVAIKFADLLTPVPPVAPKHADQFPRLPEATEDIEQV KRDIKEFGYGIVKNVLSPEQVAIMKKAVQEQAAGEREAGIAQVDGGATGPNQRIWTLI NKGDDFLDLLNHPLIDEMVPWCLGEHAVITTYTANIARPGNVPMQLHTDQVAVQPPIR DLAFGMNMMFYLEDITEANGGTRVYPGSHIGPIAPPDIFTVEGTVAAAAPAGSCLVFE SRLWHATGPNVEKSGERPVILLFFMRSFIRQQENNFLSLRKDVWPKLSDRHKRMLGFY TTGALGGVDGEVREGLFVEWKEGVGKMRAPHKPLA PFICI_12129 MWPFSSYPEATASEVDGKTYDYVIVGGGTAGCCLAARLSEDPSV SVLVLEKGHVKDNLVSRIPLLSQSFWMGAPLQVQESRFTEPIPNANGRINRMWTAEGL GGASRINAMLLTRGAPGGYNEWADKYGLKDWSWEHVEPYFEKIENAKAHANSDWRGHA GPLNVKQSLPSFKWFDYIGTAAEALGLPIENDYNDPSAPAMGLFRLDMTIDDQGKRVS AYSAFLNKQTATQRQNHLTVCTGVVASHLDIDQTGTVRRVYFCPVETKRNQPRDKTES MPYVNVRREVIICSGAVCSPLVLMRSGIGPQDQLEAQGIPITKELPVGTRLQDHWSFA IMLELPKYETLSGLLESIYGLWHMILWIVLGVGLFSRSSTPLSIFVRTTAIDDQTMTI NSDPENMDMLQARNIPDTEIMIQAVNSFERAIEGRSLMSFFPTMVQPYSTGRLELVSK DPLANPRIIHPMLRDSRDLQPIRRAVRFTMRLAEEFGEKSGYPYPAPLAFAPGVNMNV LSDWEMNVPNTLPKEYALGRGQAIASIKSPAPKSPPPKTPPRTWRTVTDDEIDDYVRR VGMSSLHVASTCPMSNDDKTGVVDQKLRVHGIKNLRVADASVFPRVPSAHTMAPTIMV AERCADFIKDWWKDSNVE PFICI_12130 MKSFATIIGAALAATGAFAQQAVVKNNCQTNIYVQSYPFDGSAT GPLTTVTPGQSFSEDFKTAGSTVKIAKTKTLDGPLFFGYSFSTGPDYAYYELSTEWGN PFADAHNTLTPGDGCQIFDCAAGDAACYSTPASKKVYGCPQPVNLEATLCA PFICI_12131 MPSWLASRMVTILIEKSYTGWQASLAVHRIHVIHELQTRKTMEM LLSDDKYAMHQAFERRQIGPRDRFLNTNGDECTLSQLALLFGAWRISNHLLSLLHDTL ERPPFGINWQRRVLDEERLHELQTLVKRDNFGVHVRFQLLGNFDGNLLAFDSIRRQIW SDDHFYSDSFRNHRIQFAWFLAISANAMAPTFFRHALSPHGILRARDMYTGRLHLGYW DDTLLHALALGVGSAALHGQETSKKWALVASEVLPLVDNYHELAPVAAGDKFRTPLFA SLFISLIPWPTPKAQNRSRNVSLSKRLQHMETGIKAWLQVLKDAGVNLQEYGEREREL FNLESYERGFQMYSGEEVSWCDYLRRDLVLIGFQHGQEVNDWKLWWSEHTDVYAGDFW NLIESSVGSSDQRVPGAWVEDQESEIIQMSGTVGESCSDKTTTDACAMQY PFICI_12132 MAEVLGAVASGLALAEIALKLKGLVNQISDAPATLEFLVNQVET YAGVLDGLELGENRDGGIPPSLHSSLRVAALQCQNAVDQLSLVVRDLSALSKTSSRRR KMAAIRFVLRKDSIAQFEHRLQTAVQCLALAHQLYMFAWQKTQLPDAIISRLQSQHMP SAPKASITTVGNMTGLAMNAWSEAASAGASQPISRDTALRRRTALLPDARGPEWPPEG RVDEDEDEDDTALVGPSQRPGKVHPYRKAKQFRRKLHFSTWSYSYVFEMLFQQSYTGW KASLAVYNIYDYGDSSHWEDALDFISTNEVSELYQAFERRKYTPRDRFVRPDGYTVSF AEIASAYQLWEVCNFLLDINPEVIDLRVGYTVNLPQDADCIRQQQILLKRDLLSDNAR FAILAQFIGDAVSFDQLRRQCWPDDEFYHDSFLIHRNRLAWYVALDAPQCDSSPEIFR HILSPQGSLRRQDIYSGELNLQFCDDALLHAVASNVADGAWCSLENLRRKGTTTLQTS TVKKWTFIAYDIISLVDDYHVLAQAEMGGNQTALFALLFRSFKFGSERMSMHKSLRRC DIGLQAWLKMLQSSGVDLMRYGERETELVGIETFARQFEMQTDSVGCWWHDPVGIELI GFQYGPEVEDWKLWWSEPTDVFAGDFWKLIEPPYQPIPGAWVED PFICI_12133 MAPKQATLGKFFGNPNGSKPAAAKQQSKLSFSTKSAAKKTEEDE SEETEKNGASSSSTESPGPKSKVKPDPEAKENAAPADSKKRDRASLSPKNKVKKSPVK VKSEEVDEDEEDVPVSKRARRSRRTVEEDEEDEVMADAEPAKPIKSPKTKKAAPKKQA AVASKTKAKPEPVEDDASAKEEASAAESASEVEDVDEEDEKPEVAKKAREKVQTKLKS KVKDPYPDWKEGSPVPYAALCTTFSLIELTTKRLEITEHCALFLRQVLRLTPDDFLPV VNLMINKLAPDYAGIELGIGESLIMKAIGETTGRSLQVIKADQKDIGDLGLVAVKSRS TQPTMFKPKPLTVRGVHKGLMDIATVAGNGAQGRKVDGIKKLLSSADAHSTGKVDIYK DKGGPSEAKYLIRFLEGKLRLGLAEKTVLVSVAQAMIIHEFEKKGKVPSTTDMEQAEQ ALKTTYSELPTWDVIIPAMLRNGIMNLRETCKLRPGVPLKPMLAKPTKAITEVLDRFE NQTFTCEYKYDGERAQIHYVAKEHKDEYIDGVPGATKEAAKGIASIFSRNSEDLSKKY PDILAKLNTWVKDGTKSFVLDCETVAWDVNEKKVLPFQQLMTRKKKDVKIEDVKIKVC VFAFDLLFLNGEAVVEKPLRERRELLHKSFQPVEGEFAFATSMDGQELEEIQQFLDDS VKASCEGLMVKMLDGTESGYEPSKRSRNWLKIKKDYLSGVGDSLDLVVLGAYYGKGKR TSVYGAFLLACYNPGTDTYETVCNIGTGFSEQVLEDLHKSLSEIVIDRPKPFYSHSSG GQHQPDVWFEPKYVWEVKTADLTLSPRYKAGWKEGVDPGGEKGISLRFPRFIKIRDDK KPDEATSSRQVAEMYRKQEAVTKNKGPSVDDDFEY PFICI_12134 MSSPRTTSLRRLTQLSSQVSESSRSISSPSNSSGPINHAVYCAA HPPAPIPLPLDLKTLRASLLARPEVLTPDYLSPMPSQLLNASLSDFLPAAAVPTSTTA LAEAYHLIHFPLQLQPSLLVADGTDPYCLPGAPFERRLWAGGRVSFHKPLPTTGQEAV CRENISGVSVHGKPGQEKIFVDVVRRYGEGAMFADGDEAVTESRTLVFMKGRTPEEAK AEVAKGARVVKVPYKPTYKHTLTPTPTLLFNYSALTYNAHQIHLNPQYCREIEGHRDL LVHGPLSLTLMLAVLRSQLKAKERIHSIDYRNIAPLYVGEPLSICVRPQKDVAAEEQK WDVWIENNEGGLSVKGTVVVGRE PFICI_12135 MAMLAPKSYPASLGSAASTLVGNSPSANMPPTRRAHPMPSSGQG FASPTESEFSDLDGPDAVKNWNEDQVAEYLRSVKCGEYEKLFRKNHINGENLLEMDKE VLKEMGIDKVGDRVRLFLGIKKLRTRTYANVKKRNRDSFGGLADTQYTPSTGSPRPPN SASSRAMPTPSSNKRYSRQVDLSSFNSGLSAPIDSGKATSRPSSPLPSADLRAARGQR YPTQQGYMSNAAPNTGRLPSSPPEQPQSQRLVNTHTRNQSSTDGSLMAGLPQGQDVIR VISTGGVTKVVKISGCSTCEEVMRVTLRKFALREDHDRNYCFWVLAGVEPDPKQCRRL GDTELWRIIKDQKRPERGRLILRRVPAGEPGDSELQRAAAIAMEEAQQTHARGLENVQ DKRSQLKVQKLLGENWDEGLQQPLSPLSFQDRERNLQSAARDLERPAPADMRGAPRRK LLRQFGGLRPPSELITSDLTSYFPDHPKDEIDRTARLSMRRSTRLSRVNSRLSVASNL SFASSIQDAPPIPTIADSWLTANGQAKPRTREPSRLQHQYRDSIASSMLGTLTEESSL EPNRKSYVSFADSGSDSATGISITDPDGQSHIMRQSYFSETSTQGSGSLKEISEALQQ DGEDEDAELESFLAGDSWDDNKWMKGALIGQGSFGCVYLALHAITGELLAVKQVEAPS PGANSQSDSRKKSMIEALKREISLLRDLRHPNIVQYLGCSSSQEHLNIFLEYVPGGSV QTMLNSYGALPEPLVRSFVRQILQGLSYLHNQDIIHRDIKGANILVDNKGTIKISDFG ISKKLEATNILGGAANNKHRPSLQGSVFWMAPEVVKQTSYTRKADIWSLGCLVVEMMT GNHPFPDCSQLQAIFKIGGGRATPTIPDTASPEATSFLNQTFEIDHNKRPSADELILS DFLSPVT PFICI_12136 MDSRNAAQQMIDGKGSSKTQRRSSLSSMKSPMRVFRSLSIGSQM SHGSNASDPDIAGHGTERRKSTLRKTRPPTDRQDLGRRPSKASSEDYIEVLSNSTRPT TPSGGCSRGTSISGDGTTVVKFGPLKEESSVLRTRREHLVLTTTGLFKFKNRQVAIEQ FPQVSFTANTSDGLTRVESIASVRDVGVGAEVHIPLDRVITVYKDEGTKPSFGLEVWW KDHSNTGYYANIELNFALPEDRDDWLKQIQHAVRQRTKASWVDDYHMSMDQELELDLA RILEAKYPHQSAHLDIYPVVPRRPYGPLRSNSNESKKGFRDNSCFYLAFSKNICFLAQ FTRSPTGHKVNPSVVQYGLVTLSKVNAHANDERFDLIFRLPLDRPKKLELSSQYHRSI VSRLFKSDTYLKPAWPLWTRRETFLIEGESQQMPLPSGEDYGGFKRTLEAFLEGYHSA PVEWKVNWRDVKYPPEFQLLPPAKSTTYSPHQLLAVFRALRFNDFFKSLSFRGVDFST LSNLSDNAFRMEPTAWLSRTGKHLTRDEFEIVEKSSVLFQEVVAMLLGSESIRHVDFS DVLSKATPPTLATSSSPGNAGCEIVPPIILLIRSLQSRCKSIILSGNSMDQIDVSEIC QTLQSQPKTLRGLGFSRCQLDEMAMVTLWEGIHEQRQSLEFLDLSGNFGGLAASRVAQ TLREATNLRKLDLSYCLKGTLDGPLLKPWTSSPYKDPWRLEEINLSGWKINFDTISGL LRYLELEESDCLHQLMLNNCGISGEVATAILCRVGKYRDMHLHLNSNPLEAGSVDWIE LIHGDETPRKLSLDMIEFKHVANFDKLLKALAKNTTLEYLSLIGTGPPGRASPQTSDL LSTFLEENHTLQYLDFSGYSGKLEDAHLGWGLSGALGGLKHNETLRQLRVRNHDMGSA EDITELCRVIALNKGLAMLDMQNNNFDHHQLAKLVHALDLNQQIMSFPISETDRTSAL EKERQVFIKTLKKPYKGSLGKSDTARLEGILDWLRQHWSSETQKAEAILQRNQENPLN HELEFDKECLDAWDDIRLPTWLTRKSSGRDKTRARDTMISRSSTFIDSNEGMLSRSST FSEPRDATLSRSGTFGEIPSTSAFPGVLGSRAFGPSEPTLGTYTIEEEDLSSVAGPSP SSFGGSTDEDRAVADRGSRSQRDDLWMKPHAK PFICI_12137 MAPVLSARAEKVARILKTRFDHDGRYFLDAYVGSGIQADVFRIK KHGSDIPGPDRVAVKVPAMPELRTAKSEMIPEKEALRLFSGAMHVVQILDMRENVVGA DPLSRDIKDAPMMPVSGWMYLEWLDNGTLHSFLQKARDVERPVPNRLLWRIFMCYIRM ITAMAWSKVHVSGTHQLEEIQSNIPDMIHFNSDMHSQNMVFGDFDPNSPHLEHRLSPM LKMIDLGYIARKKTANEAIWKHNVGELIWQVGNEMKTIVLAGARISLEDKNLDSDLIK IVRWCEDPSSSKRPSLQDLHEWVGRAITGRDADWYKKEMPDPWANSLEEDDAVRQYVV ELIVNASTEEAKGP PFICI_12138 MFLQLLHLAQAVLAGYGAQQSYIAITNLQKYEDTSKKLAKYSNE AERQLHTTRTTQASGALAIAASLLAALYLLFKGGSGGALFRLIASPVVGVAIFLARNH VQGFWAGGKRVPLPKMEDYNEAQRRTDELLKVLDWLVLSWAATSLVAVFKGY PFICI_12139 MGHHNRHFAGDAHVGIWGPPTSAANFCEEDYAVTRFIAEFMNTL SNLAYVYFAFKYPGRSTQETSTISRLDSLSWGLLLVGLASGAFHATLRQGPQFADDLS MLFLTGIMMQYIYCQNQPAGLSRVIAAAVWFSVASASLVYVHSGDIIIHMSAFGASVA LIGVRLLQLIYRRQRPEQETAELAARFWTGAAYLAAAFAVWNADLELCVPLLRAQRQR VGWPWALALELHGWWHVLTAAGAAQMIQLVRSLCNNDQNGRKCQPNKEGSRRQRASPP SSALHRGILPKESQADLERIGSSR PFICI_12140 MVRDSKRGHGQWRGCYGFQDKTTQGSEPKRSPSRGLSMGERYYY YYEFDGTSEEFNPSEPFTTRCPYLPGQQVNILDVPVEQTLKRTRSASMNSLRPTDFKT MDPQDKYITPRPAPTAPLTLGPRRGTSTGIRLKHKSSTRSLSPASVPGWTGKAKRLFG LRPSSRSSDRDWTPETVDSEDSTSFTASTQRPDEGTRSTTPSEGSRSRDMSPESLRRF LLDNKPVAQSPVLHSQKLTIPDDIVEENEDDDNFATSATSEHASFNPLSPPPFKRTQS SPAMAGLKTISTSPMIPNMIHELTKPQCRDDSALEDLRRGSIASVKLSVPPPRLPCST TSSAAPSPISPQSFDSPHNNNFSFFEDMTPVDHGFSFFDDLPEDDKRISGVPEERFRQ PSLTAYSLPHSGMVGQKDLPVDAAGRSFGSPSLVSGDAHEIDSSSLLSVKGIDAGFDD LVNELSWIARAI PFICI_12141 MKLFHVLGALHIVGGAQAAKDHVVASLAEDYYGSSRTDDLLLGG AQNGGWCGLHVHDDDSSHCHGENSVEYHAAGDASANSQPQPSGEDDEAAIEEASRLWP ISTPCAPNGTRAYCVYTHPGFASGRGISILTSARTAIAIAKSVAFSAYFTGEPAEEEQ QEEQRGFLLNLGEDESSPLWRVQEMPGKGKGLIAQRNLEAGDHIMSTTPSVMIDYNLF TDLAEADELMALQVAAVEGGLSDAHRGIFMALSTHDDDFADHAERVSKIIHTNSFDIT LDGIVPKNDEGVDENFYTVFPEISRMNHDCRPNAEYYFDPATFAQHVHAARPIAAGEE ITISYIDPIQTRQDRLDRLDNSWHFPCSCSACMQNRDMTAASDARIHQILEIRKQLRE WAPSSQATPAMAELMISLYEQERLWTMLYEAYTYAAIEYNGAGEPWAATKYARLAVQR GLASGGPNHSDVHEMEALARNPWEHWSWMLRTRKRMNWEPHAVS PFICI_12142 MNSRLERIAWQDLPRTFRDAIYVTRQLGYSYLWIDSFCIIQDDQ KDWIEEAVRMFEIYSSAVLVLAAHHAKNSQGGLFTRVGKSREVRLALPSSSFESKHMR DLPALIDMIGHRSDAVCPLINTGEETTTNEVKTTYEVAPLFTRAWVFQERMMSRRMLI FTASEMVWQCNDDISCRTRSGVDNESFRALFQAVMAGRKPDVWEYGPSHVGFKSRLPR LGSRLQFDMETKQNIWYMAVERYSDLSITKPDDKLPAIAAIARKLKQFMRPEDQYLAG LWSESFYEDLLWRVRPGRLHDNARGIDRILSARPHHPSGGSEPCWPAPTWSWASVQDP VSFMIHRYKRVGHEAKLHPQCSIEKFTTCNPDQDQFMLPARNLCKLPMEARTVPARLR KLEDGKGRIFFQIGLTMIDGECIHIHQHRHGCRVHVDADPWPKSGWEAVDPTHFYGGD GGGRDDDLDEERIQRQNKDYDSDADSSDYSAEDVSLSGYDSENILDLPRPRHRSKTKT KHHWCKPVQLAEVAYVTGVTDDSTSRNNRHADRRKRYIFMILQQDGPYFARLGLARVD ELDSTVRLFAKLKRIQQTKRLVIV PFICI_12143 MRLLSLQDGRLSLTKFNKHIPSYAILSHTWGAAEDEVTFEDVSR NEARNKKGYDKIKFCAEQAAIHNLEFFWVDTCCINKTDVQEFQNAINSMYRWYQNAKV CFVYMADLSTEGTRQTHQDSAWKVRFRQNRWFTRSWTLQELLAPREIKFYSNDNQFIG DKGSLVQELEAITRIPASTLLGADSAPFSLEECIFWAGDRESTYEEDKAYSLLGLCGV SLAPNYGEGVEDAFERLRKRCHKKRNKQGTIQVYESGGKDNWHYDSQAHEALQLSRVA EISPFAQSHPNNFEETETGPLNKTTRRLVDIKRDMERASQQRDEENMREIEREREQKT REINMIQKQQMDWKVRNEELHKQVYGDMEKRMQKQAEEHQAEISRLQERMEAMSASTS SPASNSVALVTQPREEEDSSESSGSSSDSQAEQRRREDRRRREQQQQQLRQELDYRIA IELDYQRRFNDPYFLEARMAPVRWNRGPCNDPFCDICRF PFICI_12144 MDSEDSDIEIVPPPRRSGFTNLPPRAGQPRLITAVKNNNFPLLE KLLGQSNNYNRAGLLSQRGPRDTTALHWAAKLGQVEVLELLVAHIEIDAKDASGRTAL FYAVDSKANQLKVLEILLYSGARVNQYDKNGKTALWVAADKGKLHAVEKLVELDKSTL HKAVQRCDKDTSAHDNKLKVVATLMACGADVHAVNKHGATLLHLAAYNGCLAVLNLLK GYKDLATKLDKFGYTPFGIAAERGWKHVLECLLEHFDVDIEAVSGSWTPLIHAADAGH LEAVKYLVSKGANLMAKSRTGYLPVFIACINRHDEVAMALLASMTRSQALLVTNEDRV PLISIAAGSGCTRVVARLLEYLQADNSVQRVLSEMIDRCTVAYEAIRGNHHATAMLLL ANGASTQGVDGDGNTVLHWAAIHGDHILIQSLLEGNSGSNQQVANMNRKQETPVTLAA AGQHDEVVALLLKHQDPHRIHGQNTNGWKALHWAAWYMRLDLVRLLITKGADITKKDA SDRTASQVAQDLVPKSIEMLEWLTLPETLSADQVDTELQRPVLQESAEDVCKDTQAYI MDIYGQRMIEKTGFSVHNIIYEYGPHEIMSAAAKARRIDNNPDIRWIHLPANNDLVQT VCVDHAGLRSQARQNERERYPAPAVVQNRIDSQSFESFDDLSDLDDETDGGFNDVSDD EDAPREETYRDKRDSNQQDVFEVTIGLGNTDVTSQMDGSTTEIDLKAQDLSLAPNPEK IEVKKVTFSPGPPGDMKSPRDGLEIDLKVEGGSENGKSEASEEHYPRNILLRRPRLTN PTADLHVKLQVFIEECLPHENVAYPLRRLQSFFKMPYLTFTKAKHHIQSQRMLENGIG ANQIAPSTDGNSDGAAWERKIVQSYGEQDVLQLPQTLGRFYYHALSNIDDEMKDHVLF QHQDRKSKTTPESDRAICMVDQLWVFLVDNATVITSCSKQQDPDIVDIQKSICEHLTQ HKDRRPHLGSAFGMIPLAIAVCARRTIDWQLGVDKERLLGVFASAIALASRREVELFD EFTQALATEDRLLRQYEKPPRRDIRQEIELLREVKRILDELNIIKTVLLSQKSVLDEA FSYIAKPPGRQGGWTSMSTADREIVGVVDYYRTLSKVDLVHQEVDKLIHDAKEVQNNI NHLLDLRQKDANLSEAIWTRKSSEDTTRQSRTVMVFTVVTIVFLPITFLSSLFALDIK SFPHDEGGNLSYSPEWAYSRLVGITFAVSVPLVLLAFFVNEVSDWISGLFSRGSKSTG SAHGEVAGPRTAQFSNQKTEEIAAAEGEEIASDQASRWRNVLRRRPVIQRPTSSV PFICI_12145 MDEYFHPSRLFRTPLEDDEIIRASKNPSFYSNLQKELRSLFDEH QHLLQRRVNLPVKEIAEEDSDTNGDLVSKHPSFLFDTAVIKSSTKPPQKTGSRDAQSQ FWAGITRFVDNSESKLYTRLLDVRQKLLYEIEYLKTSAITDDFEKLQYGSEENHGEDL EEVKAGFEGEASQTLEAGQADETSEDESSDAGSESNDAESESNEAEPKSNEASGRDNE PAKEGENAKDGEHEPRWITIHRVYCTERSWRSAHEHHENISLYLDRPVIDLNEKRTVS GYYDPFSYRKSSRYAGTLDPKGKCPIPDIQDYLKRHENIAFILYKDYHCQEYVSSVPN AVLFGSSLSPEVDLPPPRCVREEIVLASDEMKTAMAVLSSAIPEGSQKHWWSSDKMQE PYLLLYHYLDMVKETLSSMVGAGALADSQVDCAKLMMGFIDEHLKPQFDAASSLMERG LITQAHLSKLFLPEQVLVAKDDNYYAGYMCSRVTAKDYPSFEETKNSLNELKVEYSPS KSGISLKVASWKFDGQFRLETEDIALVWPEGSPIVRIKDLSIYPMKYDEDGAEEILKA RAEKFWMCRRRSYICYESQDKNVAPDVKPRFMIDYETYRELHPDADVFSGDSRDDIGE EDMDKDEPPGRGLEYLFPVYIDGFAIQDKKWRRLLIDRVSLIKWNEDAFKRLVLGDES KELIQALIEVHMSSKRSADMIEGKGNGLLVLLHGPPGTGKTLTAESVAELVKRPLYRV TCGDIGTDAEGVEAYLESVLYIGKIWGCVILLDEADVFLEERSLADLQRNALVSVFLR VLEYYDGILILTSNRVGTFDEAFRSRIKLALHYNTLSRAERKKVWRNFFKTLREVQDQ DVNYDDLDDHLDDLAEFDLNGREIRNAISTASELALFRKQQLKYHHLRHVIRIGAEFE KYLDKTRGETAEERMIDLGVRS PFICI_12146 MSDLSNILKIKDKPSFNIKSTISQDRTNTEKQHQLLLTALERRP KTTQLKGGRFEKGIGKRDKGASSSNSIAKNSLSSILNVPDKPKIEIPDKDVELVMDQA GVSRNAAIEALEQNDGDIVNAIMSISV PFICI_12147 MPVQLSPPPAKAGNTDWYNPTTGTGTLSVAQQAYQDAVDYYRTE LTQSQFKRVAVGVYVSMLDMQESVTQAQDVYNGTHKSKKKMQKWLQRFSSQIMFYGQV LDVLVQHHPEYVSLVWGSMKFIFVGVLNHGELLLQYAKMLTEIGQLLPRTELNATLYP TLRLREAISLLYAQIIKFLQDASKWYLRSPAGRALSSICSPFEVRLKETLEKINECSR HVDQIASAAARAELRDVHIKIDQLMNLTANLHIMSSRIQPEFAEIKGQLWDLQLARFL KSISPYFNSDQNARLIIKISRKRIYMQMDDRVLRKICAALTMWSSVAGATMLILRSGP RAEAITHGMAASIINIIRATNVPVACFFSPRKLQGTLKVTDILKAVVAQAFTYCSNGS SLGRAQISTSNLCHGNSQDEWFALLQLIVARVQKLFLVIEAEPLFCSFDKEEAAPFLR MLSKLIDTANTQGNHLKILVFTYRLGRDQLPDWKGAPGFMNFHISEPSPSPATRKSIS AQKSRGSNLRLRKALPLARPISI PFICI_12148 MSFQFVDNAAIDDKTRKLIRSHAAKGKSAGKGRARRRPHQTPEQ PTRDSRDANTQLECVDTSQDENLTLERPWYDGVSLLGVSFQMSSRTKNQFSRGDDSRS RSICYCKF PFICI_12149 MFNAAFSSMIIGDDDTAEAMRHLSRTFQLVNKKLSGSEATADTT FASIVAMTQYERLKGNYKKGLIHLDGLERLTNMRGGIGQLSQRQPALAKKIFRADLEF ALHLGTPTRFHYTLLRNERVELLLDMSTAQETAQNSRISPFDRVLPDLQEVLQYIQTF ATLINTHTHSPAMIDGNAFHDVLNFLGYRLIAINTLGSPPLQDDMSNVLLLGLYCFVI TFLAGLNRKIPPLPLLGERIKTAADKHHSSSVNCHEVLIWTLLISRTAVLCPADDTWI LPKVHESIQFLGIRDSNELQTRLSKLPWIGVLHDTICKRLYTTVSQRFLMPPLLGI PFICI_12150 MSAVSFTQFVREQWLHLPLAVSTETCSGGTYIVTGANTGLGLEA ARHLAGVGAKKVVLGVRNMKSGEEAKVDIEKTSKTRGIVEVWPLDLSSCASVKAFSKR AIQELDRIDAVIENAGVAYNAYKSIEGHEESVVINVLNTFLLAVLLFPKLEDAAKKFN ILPHLVIISSETAFMANARTELSKVQSDPLRKMDDEKLADMSARYPFTKLIQVLAARQ LASLLPVEQRRVVINYVNPGLCKTGLSRHVGIVQRLQINMAKNLLGRTAEQGSRNLLY GAVAAKESHGCYVGNCEIEDDRVPDWITDAKGRKTQQRVWDDIAQELEKIEPGCIQRL EGSH PFICI_12151 MLQTLWLAILAVSVGAIPPSPDSLSTGITIIADNDLQGVDSPTA NSSIIVLSARPYSDALVACKALGEQLWSPELGSTSIQHNLDYLRYKDNALGSSQFWIA SKGVGSRAIDRTGRVSWPAIASRLPVICTQTAPFSNESFKDTSEQWQVTVRSNGEYLT GFRDRYSFRFLGVRYAPQPERFTYSTPYVGNGSQVSALEYGSQCVQGGNVGSEDCLFL NIWTNYLPSTNSTIKDLRPVMVWIHGGAFTGGTGNDPTADGGNLASRGDVVAVDINYR LTTLGFLALDDGVTNGNFGLADQINALDWIRKNIKDFGGDPDKITIFGQSAGAGSVRA LLASPKSVGKFAGAIPMSNLGGIQYGTTYSKYYTIEEEMQVAGNAILNATNCTNAASR VNCLRAFSAYDIANLGTSARYPVVDGTYLTSDELQLYGDPLPAKILIGIMRDDGAAMI SYPTTTDEETYLNTSGFPIPPANLYPIPTLENQTLALYNMSSRLATGGIFRCIDQATV YTGLRSGRFQPDVYYYEFNRSYQTTGWPGLDTCEPPKTASRPYGDTDAEYFKCHSGEL YYVFGNLARQGLPMRDENDLPFEQFVLDSFSSFARTFDPNPDAGFLEARGYQNTIDEL EVAGRWLPATKDNLTRRTLQWPSIQQSFSELEQCEAIGLGINYYEQ PFICI_12152 MLLETVPSDKTILGLSALSGVLFHHVYARRVEVDFLALQLVGVA IASCLLLTWWFVNTWNLPLLVALSKLGLSVSAFLVSLASSILVYRGFFHRLRNVPGPF AARFSAFWTVKQSSGEYKFHRKLNTWHSEHGDVVRIGPRMITVNRADAVTPLMALGKG VWWSHQGNDHSKLSFSLSRLSDDHKQRRRPWEQAFSTPTMDKFDADIQEIIGDFLQKL KEQGTLDVPAVMGRLSFDIMALVGFGNKYGTMVSGVSHPALVAMRKAHYAWAGLRWVP WLMGLLSALPGGGSDFVPFLALCAEVVRERQIQHKADRESGKKDSETRKDVMSHLLDA MEEGGPSAPPTQEAMDSDSRVMIAAGADTTQSVLANAIWFMTATPSALVKLQQLLDKL FPDGPESFSYATLIGNKETIEWVDAIINETLRVRPAGISGNPRTTGPEGLIIPESKFG PQIWIPGDVDVLAPTWVLHRDERFFERAEEFIPERWSADSKLKCEKEAFFPFNIGKHA CVGKPLAMREVRSVVARVALGFDLKFPPNTDWHSYEATIMDAFTMALPSFDVVFNERV K PFICI_12153 MSDQGATTAHEQLGTGSSSQDASLERIVELLKAKDDTSRFVGLA LLKSTLDSSEQLRNDKFVVTTIWSSISAKFLDRLLRTGSKPGARQADAKEMLDLAANV IYTFAVLLPEDAKAEDKLLGRIPLLVNAIIQSSEETTDFIVKTLLTIVSSSSEGSDAL AAVQDWSPLIEIAPKQPLVLSILSWTWINGTHDAKVMSQRIDECISSLCASYKGTDAV TLLDFLGKILGRLNTDLLLERPTWLPAAIAFVHDLARSKPTAMSREAYTNCAANLLVT YGDMASQILFRDIFKSSKSLSYLFVSLILIDLRSSLPNLLAKLNTAEYPAISERLTSA LIILSFFINHLLEMIDLAESEDIFQEVQPDLLLKLRDSVMEALSLVLEYLRDRWDAAV SGAQGLHPDARSAEAHTGSGSLKTLAWDSKHESASEDRLLLAALKSLGDWLREDDAPG LRREATGLIDLLMDLYQPSTAARIGLATRPLVLGVLDGILKEDDGVQALLEYNGWSIL SQDLILILLNSEKTGRSEHELGQHISAILMALSESKSTTPDDWLDLITGVAAYDVPAL SQPPISLQQLWADLLELCISLLMKAPPGVKRRYTHSASALGGIGKVICQKDLEDDIRR QIQSATAQLYDDSILSRSQ PFICI_12154 MATRISVGEEGSSSSSHSFSDQPPPRAHQRRPDHDAGEEDGDDD DLDLFSAPQDGDLLGDDPMSEPMSFKRKQKQNKTVLSRPSRLLSAITGNRLGTPPRSP NMSGGNTPTRLQGLGIDTPTRSQTGGSIINGSKDGIPLDWYVEGPGRRVGYEDLTAID WSFEYTKERQRLRVLYSSATGLVGYIQQLLDASQIWFILVFTGIAVGALAAGIDIASD WLADLKSGYCASGPDGGAFYLNRNFCCYGYDQGANCVGWKPWATALGITSAGGKWFIE YFFFLMLSVTFALCAGVLVKEYAIYAKHSGIPEIKTMLGGFVIRRFLGGWTLLIKSLG LALAVASGMWLGKEGPLVHVACCCANLLIKLFPSVNDNEARKREVISAAAASGISVAF GAPIGGVLFSLEQLSYYFPDKTMWQSFVCAMTAAVMLQAFDPFRSGKLVLFQVKYGSG WHGFEMIPFALIGIMGGIYGGLFIKTNMAVARWKKSTTWPLPGPLTQVVLVALLTALI NYPNHYMRAQSSEIVSSLLSDCTQVLDDPFGLCKTGIATAGTIVLLLFAAVLGFFLAS ITFGLQIPAGIILPSMAIGALSGRAVGIIMEIWQHNHPGFIAFSKCEPDVPCITPGVY AIIGAAATLAGVTRMTVSIVVITFELTGALTYVLPIMIAVMLSVWVGDAFSRRGIYES WIHFNGYPFLDSGDDGHGAQSVPDIPAGQIMTRIEDLVVLTATGHTIASLRNVLESCE YRGFPVISDPREAVLLGYISRSELVYNLTSATSPPRNLPPETECFFSHQPLADPRSTL DLRPWMDQTPLTLPSRSSLHLAVTYFQKLGVRYVLFTERGELVGLLTKKDCWFVLNGA EESRKDRQGLQEDGIGVGGRLGRTREDGDTVEERGLLGREGGDADETASPIIDRDGIL PFICI_12155 MEDPHGDEDLDALAYQLTDGLMDASGTIGAQDPWMPATSGSFRP AVEYNQFYPLSSSVSNLEPNLSGSTFPPAGRHLPSFHEGFGETLSADANQNSLWYLPL SLPATSTRTTTAIGTQQDFDIFRAANVSDNSRQDFSQMPVEPGNSNSLGDAYDNFGNL GGGSINSAAAGDDLFAPLPFSSPPQDSDTDAPSFNQYLPPTTTTTQPQPSGTLNHSAS IQHTPWLPPLQQSFGIPAGSGGSHEPNSDDQFIGLLGGDFPSPSLPPLSSSPPRLNST NTQVSPVVPQSSFLSRIRGIFNTMPTQATSHTAASERGRRPRAPSLVDLTSPKLDREG DAHRALDRSTSMRAPNSRKRTRDSTTGSRAGTTERRTPSSTKSRPTKALKRQISKRED DPFAESSPAPQAEDDVLDLTATDELPPELQLPKVDNRVKLSKFQCSICMDDATALTVT HCGHLFCSECLHSALHIDHLKRTCPICRQKVELKTRPGAKQPKNSFYHLELKLMTANR KGKRPVGQ PFICI_12156 MPLKETASSFFTKAGVERQNLGNSQLYHIGGGGCAYIIAPDGRI ITSDLGEEEEGLLIADGHYSRPDLLWLGVDNREKLQKRTDFELLTKIQRLRMREIKRN GC PFICI_12157 MTSHFKPIPLEEAAHIASYSLQPPDHPEIEIAQAKHRINLANLW NIAPGSRILELGCGQGNATAVLAHIVGTGGHVDAVDPGALDYGAPFTLSQAQDHLTKS AVGERIRWHQAEPEDFLQHTASNEQDTWDVAVLAHCIWYFATPLVLASILRALRGRVK RICVAEYAMHATEKAAVPHVLAVLARGMLEAFREESSENVRSPLTPGSIKDIAAEAGW VMSGPDSMVVPEPGLLDGKWEAGSVKSKSFLRDMTDNITDQRIRLAVQTSRDAVLIAI ERLEDEPIRTMDVWVAQFKEKQ PFICI_12158 MLNHAGLHLRPTPKASFVRLAKHRAAQGPRHRQAWLLRPSQLRS QSTAAAAQPVEDVTSALLQSQSLARKSEARFNEIGVQHLSAYVYNQVFPSGSKPPPKE LVELSKDHLRRHDLLGKNTDNTPPIAFDVPPIQGQSLDEHFHKLGVDCAEPFLSHAKQ FARENAPPRPRKWVRRSGWTKYYSDGRTEAVEAPDDKLLCFDTEVMWKESSFAVMACA MSPNAWYAWLSPWLLGESQDDKHLIPLGDPSQDRIIVGHNIGYDRARILEEYDLKQTR NCFLDTMSLHVAVNGMCSQQRPTWMKHKKSRELRDKISKETNNAELATLLANNNFREE EEELWIERSAINSLRDVAKFHLDVTLDKAARDEFGDSSREEILGRLDHLLDYCAADVA ITHKVYKIVFPNFLETCPHPVSFAALRHLSAVILPVNESWDAYIANAEATYHRLSDAV TERLVGLTDKAIAIKDDTKKWENDPWLRQLDWSGMEIKMVKGKKKGDPPRPAARQKMP GMPRWYKDLFTKKDMPINITVRTRVAPLLLRLAWDGYPLHWSDKYGWTFRVPVKDKSK YAETQLVRCDMSDEPIEAIKEDRGGFYIKLPHKDGPTARCANPLAKGYLSYFDKGTLS SEFAYAKEALEMNASCSYWISARDRIMSQMVVYEDEKTNAVAKTSAESHKQGYILPQI IPMGTITRRAVENTWLTASNAKKNRVGSELKAMVRAPPGYSFVGADVDSEELWIASVI GDAIFKLHGGNAIGFMTLEGTKAAGTDLHSRTASILGITRNDAKVFNYGRIYGAGLKF AATLLRQFDPSLSEAETLETAGKLYANTKGTKTNRKALYKRPFWRGGTESFVFNKLEE FAEQDRPRTPVLGAGITEALMGRFVSKGGFLTSRINWAIQSSGVDYLHLLIVGMDYLT RRFNINARLAITVHDEIRYLVKDEDKYRAAMALQVVNLWTRAMFAQQVGIDDLPQACA YFSAIDIDHVLRKEVDMDCVTPSHSTAIAPGESLDIFQLLEKGREAYLDPSIIPEKYA PKLNDIPYTPRIPVMASLSDATSMNDLSFLKAQITDDEAELKEILKNQKKITVSGAGG SGTVGGSSGPGRGRPRKLVPYSSQPQLIPHMYQPFESTRFTKSFESGKASKWVFERMP PKSNRGWGPRI PFICI_12159 MPVKHDAVESSASRRPLAQKLSGLIIRRGGPGKGGPKSPEIIDE KASIFRFKRQKKAPSPEATPEFKPLEVDLNRLSLSEGWLDTHKDEELEQRQDEHKQQQ QQEQQNSSDNTDDSTPIANKYSTMLSLGVQDQGKIDWPYLQKQDSNSNKRPYSMVETS SLAYRRPLQSSSAQSVGSVLDRGRPVEPKRYVTDPLWKTNAILPDPPAQSAPVVEKAT TSRHVPRKSIQSIHNDTNALSATTKHNIAAAPQAKAPEKLDRVGRHSMYATPNPTAAL PALKPVSTPSSRSSSANPLDRIQQWQKSVTSAPSSNPGAPAANPTSAPSSNAPSRKAS TTTTRGVGNRLAWIKELEEKKSGSLGQDIGVLKKASGSVSNRLAMFESKQAAAAPPLS NKKPPLTRTNSTTSRLSSVGLDSTFSANGNTTATPRTSGETVRSSHRASSVMSYYDDS FREKMENVAGSYSDAKDKEKEKESKPEKAGLQRVKTSFVSVDPKKKAESATSDSDVNE TEAKEETKSAEPVTAIEQPESKTEDEPQASQSISPVVETAKPEEEIKSAEPAVAEVKT SEEPKAEPQPVEAAAVEKAEEKSESS PFICI_12160 MADSEQPTLASLSAKISELSGALSKFYEQQNLPPVSFAADSPSR YNELSPEMFMVRQNLLDALNDMTYLAQGPADSIFNYAHSAVPDAAALNTLNAFDFWSA VPIDGSATYEEIAAHVSLPRGVVYRLVQHGMTQRIFAPAGPGRVKHTSRSAALAQSSG LKALVSSILDDAGAPVMVLHEALRRYNLGKSELTQRVDETAFALFHAGGQFGGFRNTW DMLENDGEGSKKGWRQRNFVEWMAYLKDLFHLEGVVLNYSGWPTEGKVTVADIGGSAG HDAIVLARKYPNMEIFVQDLAEVQPAFDRTVPSDLKDRVRFTEHSFFSPQPVPADIFL LKMILHDWPDAECIQILQNLVPVMKPGAKVLLIEYLGGAGEEEHEEDSSTPRSLKQYG TATDLRLMAIFNGKERPIHAWKSIFEAADKRFKVSDTSAAPLGFFGVIEAVWQE PFICI_12161 MSGNRQAKGKTPVWNWRNGPLYDRPPSCDRDSDEAPDPEPFAYA SSSEAQTPNSFVYPPSSGGQNSVNDMSSTKSQRSVDNLATGLGDLGFQSQGGYDPGQQ MNALMHQLSRSGQDQYLSPETAAYDSYGSYEVPGYGLSGFPSSLHMSSGSISRASPGI SAYGDDEEDMTGFNPDQPFDSSSQVRSYRNPAYASSSRDPPYPHQYSTQAMSSQPPQY AQVYQDKRGHSQVATSSSSSYQGEGKSKGKKKRNQY PFICI_12162 MEGRQEPKSQSEEPDYTRMILDDFTTGIQTQVARFDSQSQQKQK QPAHFNDAATPAKLDYTRVVIDERTPRILSTSAGCDSRLPKQKKQLDYPGTPASNSVG KFSPDHDLGNQLRSLLRHQSEPKSKHQDISHILTHSYGYPSDISVGCGGGSRDSLASS VSGGSDQHSDASSMDEYEYQQSANGQKRPTDDKRRVEAEPKTRSVDQ PFICI_12163 MEKYIPHVAIVGGGLCGLALAIALEKRNVSYTIYERQDSFSEIG AGINIAPNAIAAFDLIEPGLGSLVMALGTRNPNPDVWMVNRLGAPTERYPDAYPIACI AAPGVGHTAVGRYELNNLLASKIDQSKARFKKRLKEIYQSETRVKLIFEDLSEEWADI VIGCDGIHSATRKAILGAEHPSAEPRFTGLAGYRAVFAMDKLIEAIGPDIPTTSCIWS GPGGYVTMYSIEGGKKVNVGFWRDKEEIRETLANERWVLKNQKAALLEDFKHWGPTMQ KLMNMVGDDTQLWTSHHHDLQLESYYKGRICLIGDAAHSMGPHWGQGASQGMEDVYVM GEVLAGICSPGDTSVPPSESIQAAFSAWQEVRKPQFEWLVHSSHHAFLWWSAFWRPDL TEKDMLDRHTDAAARLGMIWGANIGRQGEVAKFVMQKSITTAAEQRMRHHRF PFICI_12164 MAANAFEYDQLDRSAQSIRLLRILPYADTLDCVLETFDIRECPK YQALSYVWGSDDASCEIRINQSIVLIRNNLWDALQELKTLRGRSDFHYECEDHIWIDA ICINQNDDVERSHQVNLMSLIFSQAARTIAWLGREDNNSTLAMKTLAKRQCPKSLAPT VCLEEIWTAIDAVFSRVYFERMWIVQEVLLSNKLLLLCGSSFCSWHDIIWFHDHWQDW FEQNVMCDLPLTLITTKEFLDRHRRLRPVRKLKYLLLNLRRRQCLDQRDKIYALLGII FKQSSDSNPQLEADYTISSTELYSRMVTFFKVLPEGDRNVIYAELLNILDMPKSTRHS IRLARDIDRIIYKIENHPVGGIVKRLDVIGSVKDRAFLYMILDEIETRFGVSLTSFPA ALELRNFSTMSISGDIARDVFTLLMLCHPRVMLLLHLWQQCMTVDNHLQRLILLPTSH DHHAPKWKNYAFAASEDGLLDISVFMEKTKGVRTIIIEFETGFWWLDFPRADARIITE DSA PFICI_12165 MKHKPVNPQTASSLDTLMGVDASKKRKEHKAHDVKPETASSISD LMGLDVKATSHERQLRGREEERSEIKGKGAKIDTVLGATVSDGKSREGSRG PFICI_12166 MQRSSSSDKVPPHYDAEKTAYHSSAGDDVTGHIRGLETSAETAL HRGLKARHITMIAIGGAIGTGLIIGTGKALAQAGPGSVFISYTLVGFIVFLVMAALGE MAAWLPMSAGFTGYATRFCDPSLGFALGYCYWFKYIIVTPNQLTAFALVMQYWVDRER VNPGVWIAIILVVIIIINYFGIKFFGEFEFWLSSFKVVTICGVIILTLVLALGGGPDH DRKGFRYWQEPGAFKPYIKEGSAGKFLGFWSTMVTATFAFLGTELVGVTVGEAQNPRK TIPRAIRLTFFRILIFYVLSVLLIGMCVPYNSKELVFANSSTSNASASPFVVAIKLAG IKTLDHILNACILLFVFSACNSDLYIASRTLYGLASDGDAPAIFRRTNKDGVPLYALG FSACFCLLAFMNVSDDSKTVFTYFVNLTTIFGLLTWISILVTHISFRRARKVQGIPNS AMPYNAPLGIWGSYVALFLCILIALTKNFDVFVGDFKTKYPTFITGYLGIPIYLILIF GHKFSTKSKRVRPHECDFYTGKDIIDREEEAFLAAQAAKKLEKPTSAGAKFYRRYVSW LF PFICI_12167 MAEDGSGVLEALQSLHGLLLSVVDRPKDQRLDLPQVIGPSLDLL GDRIAAFLDKRARSKTSRDAVISGTIQIDGEEYQITKEFQELALQVADEIDLDELEAS RLILETEDDERLLGRPRRECALIRFHQQRKYLLDCVRLLLELSKIDEVPEEVEDWLGA YVAELILGSVPSAKASKLIPRCMAAMRDIRAWQQRITDQVAKANVLGQATLAEFRETI EFSRISLVQQHELVAVILSYAVEKRVATAQDFIDFFGLLKNIDRYDSFTIHLFPALGS FITMFGSTEGNGDLTQARKLNETVCKQSDDDPRSIPYFRAAVKSWWLAEYSGWYVDDA AGSQLQGIDIDAEDAQRARIFTEALKDGAFDLLMAVVADVQSSDWQDPARIAIRQWLQ RKTPPLPADTYSFSDFFQKALASQVEMFVDAFISNLPDILRKLRVEEDEQRQMNHAQE QDVDLERFLMIIAFSYEGRPEAADAFWGDPDSHLAGFLQWASRRASTPLVSAFCEMLQ SLSEDAESATSAHEFLLDDGFHASGKMRKNLSLTWPQIFKELDFFTKKIREKQNAPPQ PQGFKAGRFNHEPVEAELESAMMLECYLRLIAKVASNSEAARLFLLDHQNPNLLDLVF TLVSSHVPGRLRACAFNAVSALLSRKTLEQSFAIWSELDACLSGAFIPPSTSKATAIP QSPALIMESLFEEMSPRFEEVGSFIQLLSALSSLPEGYELRDTLPFSESLGAATRVRP GIEPFIDFALGHVFGIRSQDSSDVPQQRILRYQCLEFALTCLTIFNEDLIIFANETTI PVDSAIAPKDLATYVTLHPFARVMDWMYDATFMKTLLSTIHQEPSDVGSAAPDSPLLL SILRAVELITKALELQPTYLNLVRPLVKSQSRAGGRSMFTPLSNFAFGSIEDGLMTSL NIISDLGSYCGIGHTDLTLASLKLLQKISTSSIIISAWQHGSERPSRRNKAIVALEDN GFAESIAGSFISEMGAPLDMFRQADSASYQTKVYILDFLYSCIRANPDRPTVAHLLLG FHCNATTIDIAPGSPFTQGTSLFHSILGIPVELPITDEQGCVRTWLIDLKYKAMRIFQ ALWSSRLSSQLVLDQLRENDFLFHLLLQGLVVQPSSLWDGLEPAGPDFLIAPASHGYV DYLSIRAMALEYITHEVCSVSQSQLPALKRRVFDALSGQIPVDGGEAIPVASIFEFHD FLPQETQFVTDPPELKTYRDLDIRVCLEADVDGDALYNLNRVKEIIHLKRNATQLPGQ IVSQQDLAPIEVEEEQIQLYAAYLNRFKQVNTRNHKVLNAWTKLLMIMTETNDFKGTN RVSFILQVLQAILPSLEMYGLENPTAAFELVRLAKVLIFNLDFSLMSGGDKQSRAIGS LVSDKLFQLFQISLNAVAKWSGTAELRTLYYSICYRYITALVDHGSGLLSGRQKTAKT IQVFGERLMNVLCDDASGGDAACQTAALILLGTLVNLGRNESDNYVVDMLNRLNFIGV LVDSLRHLMDDWMQVNGSGNSDQLNYQNAKLALLLQLCQTREGAKNVLHANLFRSIEA SGLFAADPELQVDPSDYKALEKHYALLVKVSRIIGAAIVSRGSHNVGQGRRFLTEHRM LVMHVLKRSAGIGNGTGKMEAVLDDKIQDLAEALMVIIMATGFLEFESEAYQPPETTS TPVLFH PFICI_12168 MPAPKAATRKPRVDNLLDAIKSIEDAGAGDRLHALDNLIFLFDK RTRQVEAIKDKEYHRTLEALFRCINTEKTAYFKAKRGRSSASTSAARLTKCAEAIRIV LDHGSTKLKRNTLAAVVDHITQTLPDSEEGYLQPLLLEYVRALLAMLSYPSVVESLAT YSGVTWLDCIDFILEVIDWHLESHAGTATPSRDSPAPGTPQALSHPGSTLRSTATSSS QRGGHGLHQTVLHDLLECLCLLISAPNAPVLKRSAKIKTSALQCIRLRFLGVSSVLQL GFFILNVIVQVTQTEDTTQTNQLVAEILPLIRQWWQAKTTSQENALLNSIQVEALKLL FNVHINVAHLVQSGDSGIVMELEELCDMLWNQYSQREGRSQLQQDDLTFSNVPQHPHA FQVGTFALRLYNVEAERKWAVIHILAIFEAILWKQSRSHHGVVEENDEHPRKRRRIAQ DSNRLHQKLKMPRDNMRMMALQLIPFFVSHVRLSVSDVEENLSIMASLVGHKNAKLST WATIASASLASAEIATHKDLLPIWKQLWYMAARNLSISATCRASSVLLSAIVSNDLLS YHEISDDINNIITAADVSGPSVVVDSSVILMTKLLQIRNYRLPSASHATCNHVIRWLF LRWDPADPIFVSVYSIHVAPLDIINLFRAASGLPSLVLKGHPQLISGPLVENWSMLQD RLEIVRYLLLVNDDEPRAMVVKPTKASPNQYSLQNAESADSHAVRKLIAELMHPKADE LQALCESWSKKGEGSSQITIDKFRSLLNCLVVIAFTLVYTTELNTRHSQDLEVVSTQL LRHAFEVAWLSSENQVFSETTLKTVRPYLPCCSTSALSDIHQAHPQVLAFFSELSSQF DERMSQHSSSYAEDTMDLDEDFGTQESHSSTTSRTIDICRRDTALLDAGAFIHDTTQR LRFLHAIQLDPGQIGLVPSAYIRDLLALADEELVSCRLLNKELFSGDLVVGQEDAMRV THRFGDLIDSKNFCSCEVAMHAFIDTIEGFMPIWIDERSELSSEAEQLYEFLIEKALT NNLLSPKTQIALTRLLFRLTEIDEKFPERFNLPTTISSIFSMLQNSSISIKFYIGNNL FRIFNRFVLKTHDQYCIDVVNSLPLDPEVPEGISLRLYVLSQLAKNWPTLLRRCVYHI FETPGNLLDSTRHATYCLEDVALSLRLENAQGLFDLFAPQLLYTWLNTDPIESIPFGI FGFQTLEDLLLRAQPEAAAILMMRGQDEDFANLAQLLGKTPAELAKKCFSKILAYSMA YDISMPKSDQHTNGESRIRKLLGREIFLDYVYTEFADVIGTFFGLIDQEDPIEKSWAK DDSCVYAAKIMDEIKTLSHSDVELSGNQQPCFRAKYLTREIAILCSRTEHEMKHLFTP ALVVSVARRLFNTRHSALGSLHACSVIRKVRVLICLAGQCAYESYSLEMLLHSVQPYL TDLESADDALGISQYLLMRGLPTLSRNPSFVAGYALSTLASLRVFLESSQASTTQESQ FKATMSKAQKFHKWLSQYLEDYQSPSLRDEQHLSSFKSITQSAASVRSSGNAERGTHE STLLLEILQDETRQAPLLSESSRQSALRILAKDFRLPVLSRQDAIYSDIEATKFATAI WKTLHAQPTDNDYRNYRVWAGRVIGKSFATSGHVDETLLRESKLAKHLQYAPSSTTSE KGLLSLLQSLTSDADCFTAGLAESALRNIVSEAASAEDNVLSEACGTVLSEQLLLTSD WSIYRTPPSDDLQVDAVPDNIAFAPEALEDPFWARQLAIHLTQSVKKDVVLGALPQVL RHVKGFAEDAFPFIIHLVLLAERDKQQLAKKNLSIAMKSWLKATGPAVRERLGLLINA VLYLRAQKLPGEHSIADRTQWLDVDLATAAAAATHCGMHKTALLLVESSSNEGSRQSR RSSAIRAQESTDVLLEIFENIDDPDAYYGLQDGTDLSNILARLEYENDGAKSLAFRGA QFDSHTRKRDVAVRSDERHLVKTLNTLGLSGLSHSLLQAQQGHDGTSAAVDSTFINAR RLEIWDLPVPAATENPSVALYKAYQGCHQAANDARVTNAIHGGFHQVMQNLVKSDATT SHIRSNLNTLAALSEMDDALNISSASGLNDLLSTFDARLHWMNSGRYDNVSHLLSTRW TTLSMLGKSIQTQPRGDVNLREIKLAEAQSLLSSSKVYRFHSAHQESLNIATALNDSI DQAADLGLTIDAAARIEVAHSLWDHGEMVSSIRMLQSVADDSDLKKQDIEVRRSDLLA EIGHQVSVARLEKPESIQRNYLQPALKDLKGKTDGPDAGRVYHQFARFCDEQLQNPDG LEDLARLQHLKQGKSDEVAQLQQIVRNSKTDDQKRRAQNHLVKAKQWLELDDQELRRV EQSRSEFVRLSLENYLLSLTASDEHNNDALRFTALWLESAGEDYTSEAVKKHIEKVPT RKFATLMNQLTSRLIDRQNLFQKLLLELIYRICLDHPYHGMYQVWSGTKSRTNKEDDI AVLRQKATERIAKRLSQNPDVSDKWRAIDKTNKSYHLLAVDRNETRYKAGQKMPIKDV AAGNRLVMDLREYRIPPPTLQIELVADRDYSRVPLVSKVDPSMSIASGVSAPKIITLV GSDGMRYKQLVKGGSDDLRQDAIMEQVFAAASSVLKLHRSTQQRNLGIRTYKVLPLTA SSGLIEFVPNTTPLHEYLMPAHERYHPKDLKGSSCRKEISQVQSKSVETRLATFKRVM DRFQPVMRYFFMEHFVDPDEWYAKRTNYTRTTAAISILGHVLGLGDRHGHNILLDTVT GEVVHIDLGVAFEMGRVLPVPELVPFRLTRDIVDGMGVTKTEGIFRRCCEFTLDALRE ETYSIMTILDVLRYDPLYSWSISPVRMAKLQDTRRDEETGADQAAESVLDLKKKAASG LVNEPSEADRALEVVRKKLSKTLSVTATVNDLINQAVDERNLAVLYSGWAAYA PFICI_12169 MFLKITFLAGLSAIVFASPLSTRATCDLATLQAATDNYIAAQIA GEATKLGSVTAQTTYTENFKAADLSKGILSQALAIAHNHSLHDTTACSTYLELIITDA KHPSVTGTQMYFAQDGSGINKIETLVTDKGDWLFDVAGTLKWASQEKWDEIPEAQRDT RAVIQAAADAYADIFNNKSVVVPWGQPCARLEGGSYTGKGEATDRCDVGIPSGVKNTN RRYIIDEAKGSVDMFMTFADSLPDSHEFRVEGGKIRYVHTLTVMS PFICI_12170 MKLTTLLSIALSYHTALVGACGGHGQELKHWSQEELDDLERKWG VEWGFTGIGSFAHLKYVKCLTTPSELFDIAIIGAPFDTAVSYRPGARFGPRAIRHASS RQTSFRGFNPRANINPYQNWATILDCGDIPVVPIDNAVALEQMTAAFTELGSRPPVSQ VHSKPKLITLGGDHSLALPALRALRPYYPNLRVLHFDAHLDTWHPAKYPSAWESKQAH FNHGSMFWLAGNEGLVSNVTSKPNVHAGLRTRLSGDDYSDYDDDTAQNWVRISADDMD DLGTAGVVRSIMETLGTEDPVYLSLDIDVLDPAFAPGTGTPEPGGWTTRELIRVLRGI EGLNLVGADVVEVSPAYQGAGEETALAGAQVVFEVLSSMVKKGREGMARIAETKDEEH DEL PFICI_12171 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQTDLRDDQSVREKLAKQKMQPVRKEDGERMAKELGAVKYVECS ALTQYKLKDVFDEASLQTIFSSAETYTCR PFICI_12172 MGIDEIDTLLERYLLLLDEYTTLRANLNALQAGIYQNIARANFS AERGMRFGQNHYDDRVQASRKLEISLDDNGCAVFKVAGATLDQTAPQKCQTEAAAVGA QDPAQEETDANVSHNGKEPLVHEDKAPRRKNPLQWFGLLAPLPLRQAQAQNVKAVEDI IPRLVTVNAEMEQVEIAVRRARKKRGKAEATAKKHQEEVHRQEEATA PFICI_12173 MGPPAPSFDYYAELGVSKSATSQEITAAYRRLARVHHPDKNPTN QETATNKFQRVQQAYEILSSTAGRRRYDTFPTTRGTCTCGHCYDEDDYEDGEWYSDYE DDDEYYYDFTFGPRGTGPGPRPYFSSGHRHGGFTFGGNRFRTYEDMEKFFQQQERADA ERQAIIQEERRKKEEAEAARKKAKEQAKQAEENAIQKEKDTRKDAEKSKQDERWQKAG AVTKDEKLKTCLHSDFCNKVPQRKKFKCGTCGKKGGMTAFECPFCSSFLCQQCVTKFS ERRAKGEDLPKPKPKQESEPEPESTVTPVEEEAADESEPEPEVVPDPEPDPPTRSTPP LEKVKQPVSAYQKDIEDKYGCLNGQTGKKAKKNKKANLSTQPLKSSSPMHEAAPHVSI PGVKVNVGLKAAKRGSPAPSVSSRSTQDDYQPHMNGTNGGSVRKPSAEPTLQKTQSNG SSIKTSSAPHNDNIKKSNGIPGLHPFPPPPPAPEPSVTGASEVSEESVNDFNPPTGPA SSMRFRKPSTNGHKPQPAFSCYICGQPGHLARNCSQPRTCYNCGGTGHLAKYCVGKTN GSNEWQQAAPPLEVDPRSAFPDFQIYDEEEYEKNTPTKVAIRGATLARGITEPLLRQA MECFGPITECVIDRKAAIAWVAFIESGAAQNAIAASPVPVAKGAVRISTWTGDYY PFICI_12174 MNCSNIDGDVAPFCEPANNTEAKPGDRLIVTWDPSFFANNSVLV QIQANFSPSSGSDPTPQDDDGFTSNSLRAGTGSYSWDISSSVLNSTSENGVDAQLYIV TAAADGETMQRTLGPLVRVVPAASSEDNFSASGGPNLAAIIVPVVVGVLLLMAIAGFL FKKRRNPDWKLRDMFGVGSKDGYGSRKSRAERSLGAGAVGVQMGDMEVSRPQDGRNVF REEMQRQQAARV PFICI_12175 MSFSKISAPKAFVFDVFGTVVNWRQSLALSLEAAASSAIGEQQV SDNESVLIERVKNMTHTDWEWFASEWYSRYINFAHSAQPGVTDFLLQEEVHRRTLKLM ISKYKFEGLWNAQQREDLVFLWHKLEAWPDSASAIARLAKHGPVITLSDGSVQLLHSL NDTNSLGFSEIWGSDTWAAYKPDPAVYEGAVAKLNLQPHEVALVAAHLGDLWAAKKCG LKAFYVERPFEERYSPDEIEKAKLGGWVDMWVPYGNGGLHGVADRLE PFICI_12176 MASSEIGAAASKKLILNAFVESCSGHQSPGLWAHPNDKSWNFND INHWVDLAKLLERGKFHGMFIADVLGAYDVYKGPHNPDPAIVSGAQWPVNEPLAVVPA MAAATKNLGFGVTIATTYEQPYHLARRLSTVDHLTRGRLGWNIVTGYLDSAARNLGHA EQPQHDKRYEIAEEYVEVTYKLWQSSWRDDAVKLDREKRIYTDPSLVRQINHVGEYYN VPGPHICQPSPQRTPVIMQAGTSKAGKAFAARNAEAIFVAGHSPIVVAKNIAEIRLMA KEQFNRDPSTIKFLALLCPIIGKTQEEAQAKYDDLIQYGSEDGALALFGGWTGIDLAK YGDDEELRHVESNAIRSAVEGWSKSSPGVPKWTKHTVANHIKIGGLGATVIGTPEHVA DEMERWVREADVDGFNLAYALMPYSFEDVIEDLLPVLRKRDLFWNDYAVDGGTYRENL YGKEGVSRPPSDHPAAQYHWKAE PFICI_12177 MAFLESRAAAAVEPPLPQAVGYAVVVGIGFLIAFVMVFVTYILK KTVGEDNKTTEMFMTANRSVGTGLTASAVISSWLWSTAMLGSTLVGYNFGVAGPFWFA AGCSPMIVFFAVLGIACKMRIPEAHTLLEIVRIRYGKVGHLVWIALCLINNIIAVANM LLGASAAISALTGMHVIAATFLLPVGVILYTFVGGIKATFLTDYFHTFVITIIICFFT IKTFLVPEIGSPGGLFDLIVKAGESFPVEGNQGGSYLTMTSKNAILFGIIHVLANFGL VIMDTGFFAKAFSAAPQAVVPGYIVGGIAYFAIPWCLGTIMSFAALGLEDTPAFPTFP NRMTTTEVSNGLVLPYAAVAIAGSGGAAAVLLIIFMAVTSTISAQVIAVSSIITFDIY RQYFNRAATDRDVIRWSHIGVVIFGLFSAAFSTALYYGNVDLGWTLYMLGVLTCPGIF PTVFTILWKKQSKAAAVVSPLLGMATGIAVWLGSAHALGGEISVATTGQTLPCVYGTT ASALSPGLYSVVISLVKPANYKWEEFRNERLAFDKPITTDTGKPEVIQSESTYSEDKK KLKHWGLIASIWAAATFLGHWVLWPLPMYGSGYIFGKGFFEAWIIISIIWVWGTMLIA GFFPIIDGWSQLRAVYRGLKPAKDAPITSGTTSPE PFICI_12178 MGVSIPVVLARCATRLKLTNRLWPDDHMSLLSLVALLAIVSIQI YSVSEGSGMHYWQTDLDKVQLTRQLFYVAKILYVFVQCTGKIAVLLLYQRVFDTGHGA QWLRRAIKVMIVLTFAIEGTYIFIIAFQCLPVAALWDPSIKDFTCLNAGVAFAAGAVL NIASDFVLMILPLPALWKLQTSKRKRFGVALMLVIASLGIVASLVRIKFLIGGSSAFD SSFHNVDIYSWSLIELFTEATLGSYNMCHPIDRLKHISAISSVTTKQVKPKVRYRIDP EI PFICI_12179 MDHYAADVQPDPAEFPNTIMRRRTSTAHPDDMVGVGPEPARAGD QASVPSANAAESVAGDVDTCRICRGEGTSSEPLFYPCKCSGSIKYVHQDCLMEWLSHS QKKHCELCKTPFRFTKLYSPSMPKSLPLYVFASHMATYLLRNILVWLRAALVISVWLG CLPYLMRWVWSFLFWISDEGFGPTNQLSMSPNSNTTMAHLNLVAFTMATQGLTTNSCP SGPLFPATTTAANKRHIAEQMPDLLQAAASSLHLNVSGIKSFTTSVTRLLFGGSALSA ERSWSKSVNGSAISWNLPQHETLLSEVSFLKNLTPHPTINGIVIRIFEGQIITILVIV CFILIILVRDYVVQQQPEINMRAAFAAQMDNPDGFQEAQQAQVPRAQVAVEMAMGPDP LGNADIAFRDDESMVAQDGEAAERDTDMMPEEPQPRQRPIAEFRRRIVRRDTVEDEIG GPVTSHPATPLMPPRAPLDSGARDRFLLENMDEESQTTVNQYLRIYRQANGDPEKILR MIRDEGLEERLSYWVNATRAMTLSESSSSSSAASVEGAPLPSSSSAPFRNQTASSTAF NRLDTDIGRFSVPAQALGNTQTDSQDSANGSNKGKQRAVDEDNEIPSLSLVEDIRQWP ISTSPGSSTGDELLEIDSVHLSPLNRPRAVSDGPPLHDKIHPLANNSWSFAALSTSEA NTDEQPGEVPTFEAAITDIFNMQQGPTHESSNGMPDAHVSDNAADHSSQSSSWVNVEA PEAPPIQQEATDLPDATPEQEVVQPPAGLVARVADFMWGDIDAGAEANGQDALELFID DQNAPFMELNRNDDTEDEEDDDIGRDEIMGEEVAADVMEAAAAAGIDPEAIEDAEDFD GVMELLGMRGPIGGLFQNAIFCAFLVSITIFFGIFLPYNVGRLAIWVTANPMRIVRMM LSVSRFIQDSCLFAVGWTSSFIFDVLYLLAKMFHLEKARLLLASASSDARELTSESVS RIWDSIVYETMFISSNEVRNFSVVSHEALMTVKSHTRILSSAFTRTIIFLLGGNYAPK WQVVKSTLTAAAPHALDLGKNLTTAITRPSSWMISLSIPETAGTLDPSLAYWNGTDRT LAIMGGYISMSILAGLYLGRGTPFSSGNTAQEWEASIIDVLNQASGVMKVILIISIEM LVFPLYCGLLLDFALLPLFESTSFRSRLLFTYNYPLTSMFVHWFVGTGYMFHFALFVS MCRKIMRKGVLYFIRDPDDPEFHPVRDVLERNVTTQLRKILFSAFVYGALVVVCLGGV VWGLSLTIPSVLPIHYSSNEPVLEFPIDLLFYNFLMPLAVKFFKPSDGLHVMYTWWFR RCARTLNLTWFLFGERRIDEEGSLRLPSDTDQNTPWYQRLFLQIDSTGTVTSATWSGI FEGGKSKPASRVSPDEMAQMDKIKSDLVESGQLVPDGRFVRAPASDQVKIPKGRTVFL EVTDRDARLDGRPHLPEPDIYSGKNYQFVYVPPWFRARIFLFILFIWMFAAVTGVAFT IVPLVFGRRMFKLLLPMHVRTNDIYAFSIGIYILGSTVYFLLHARATMDQLREYVSAS ANSVMDRAVYAKFLRLAGIGSRLAYTYSILLLVFPLAVSLIVEFYLMIPLRTWRYSHL TDQAHTLTDGHTVNVVQSWTLGLLYLKIGTRLLVRMGGRPAQAARAVLRRGWMDPDIN VLTRAFVLPGLLSSVFLLALPPLVAQAAFSRGLVIIEPWTANKQIIAYRMAYPIAALG WVMLWFLRGIFTILERWRARVRDEAYLIGERLHNFGGMVGTGSRGTAVSAAGEWRGGA RL PFICI_12180 MSSASQGSKIKPQVKWLDVSQGSLGLENGASFGMPWPRGLYQPG QRFAIEGNGQQWSLDSRELALWSDGSLKWTVHSVSGQVEYCEKYAIKASTEEARAGIP LRTVEDEIELSNILGLRIKFAAPGNSCLFKEVSMNNNIICSGATIIASINHKVFFTTV REIQVEETTFSRVVIKVSGNVTSAQGQTQLPFDVRVYMYSHSPTLKIVHSFIHDLDSD SPLTSLGLRFSVSFQSTELYNRHVRLGGSEGGTLREEVQGLSGLRFGPCRQHMIDQTS GTAVTLRETEWEKTELGRGLTYVPSWNDYTLSQLSSDGFTIKKRTRKGCSWVKVAGGS RADGTAFIGSAKCGGLAVGMADFWERYPTQIDLGNLTAETGSVTIWLYSPLAEPLETA PYHDGLGLDTYEKQLEALNVTYEDYEPDFASANGIARTNVLFVKLFEAIPSNTHFSHF SSFVRNMPRLVVDLEYMHSTEVFHGCWAPDYRLQGRNPYGSEVEIEGNLELLFDFYKG QVEQHRWFGFWDHGDVQHTFDPLRHAWKYDVGGFAWDNSELSTDLWLWMYYLHTGRAD VFRMAEAMTRHTGEVDVYHCGRFKGFGTRHGVQHWSDSSKQLRISNAMYRRIYYYLTG DERAGDLIAELQDCQFALLSLDSHRKVQKHSDIPDGFAMANIGLDCGPVSAAWLSAWE RRSTGWEKARTLLLNMLQGISRLTHGIGNNAMLLNPATGEVRECTSPTPPYTISHLSM LFGFPEIFAELIHYARHEHPEIVQQFLKVWLQYCRAYNGGRHVQLEEFGFEFPTSAGW NQSHSTITAFAAVEQEDDQLAQAAWDQFFNCKASGNNSGGYDRSHDWTILAAAGPEYF NPGQEAPWITTNEAARYGVSAIFNLANIGTYLQDTQCGELDIVDCQTTLRRIESS PFICI_12181 MSGHVNGQTNGSLNGKKMNGTTGVSSGINGGINGHSIAPRRKTP PTKPRRSFLGRLFSIAARLSIWYSILTILFRCPATLDACTEGVPKICKPYFQTKQVVS PHLTPYYDAYAAPYVDLARPYYDTVDRVVIAPSRTYAVKYGGPQLQKARELGTIQWET NVQPQLVKYQGLAKTQYDQVVAPHVSKASDAITPYYDIAKTNALQTYHDVVLPSYLFV QPYALQGYNRASSFTTSTAVPSALWAWNKTYVFLDSTVWPHVRDVYAVKVEPQLLRIV DRLGRYKDIKPKAGAIEDAYSAAIQAAKSTFTKPSASITSTAVSADTTIQPEPTQSST VAEPEPEASSATAEASVEETPVTLSKQEIKDKAAKEVADDLELWQGKFTKAADEGAAE IEERIEALADEIIQEEALGKGLPLVAELNTTVTAEIAELKTTILSILEQHKDNGDPDQ FEQEVVAAVRSAGLKIKDNAQKIRTWRENYEQQIEVAVTQAAQDHVHILESIRDLALQ RIGMKWAWMDGVTYKHWQKFHELRAKFDEWVEDLKQLVITHPGLKDAQNAGNDVESQG MAIAAEAAQQLAGLKQVAAWKALAGDYTDDFDASSMKLAAEAVQRKAAEAAQALKDAA AGVQDAVHSIANDIGESVEAAGESLKGDAADVVSQSTSTTTLSVDETVTATISLSEVE DVETSAVPPLESLVEEPTHAATDVAFESEEAQKPIAKDNTETAAAPIEPLPSSDPVDG PEQIEETIVASPEEPTPPPASSTTSIKSALFGAAAQSVPTRQPILDIDTDDIGSSISS AASKVQSDIPHSITSAAQSAYTAAIAEAANQYSRAMSAVSVQISGEPKPVHEELFTSA SSAYFGALGVANSRLNEALTAASEGVYGTPTTNWVPELPTVPSVDWERVQSIAQQNLQ DSVNWAGEQYESAKVAIGLAEPTPSTAAERGQKMLDQAKHNYYAGLGVAHARYSEFLA GASSAVSSLTATPTPTNLQESAHSIASVASESAGSVVSAAGDSASSAAAAANDAAASV VSSVSDAVSDAGDSIAENWDYLLSQVSSQVYGAPTPTPWYEDVYAAAGDYASQAGEYA ASATDLAADYASQAGEYAASATDAAADYASSAGEFAADSAASASSAAAAQYSVVASIV SELVVGKEPTFSESVYSRLADVYGVVSSTAVSVASEVTDSAASAAHAAAETFSAATEN IKEHVENLRDEL PFICI_12182 MAELNEKPQVDTDQSSNGNGHRHGLKPANETVAARNERKEKDAI ADGKRELKEEDCEDQLGFAFPAWYKWWILTVIFLVQTSMNFNTSLYSNGLAGISEHFN VSEQAARVGAAIFLVFYAFGCELWAPWSEEFGRKPVLQLSLFLVNIWCLPVALAPNFG SLLVGRALGGLSSAGGSVTLGMIADLFDSDRQQYAVAFIVFSSVGGSILGPIIGGFVE QYLAWQWAIWIQLIFGGFVQILHLLTVPETRTTIMLDNIAKKRRKSGIDPNIYGPNEI ESFWDRFTVKELIHTWLRPFRMFLTEPIVLTLSLLSGFADALIFMMIQSFALVYKQWD FNAYQIGLAFIPIGLGYVIAWLSFIPAIKRNKAARARNPEDEHAQYEDRLKWLLFTAP CLPIGLIIFAWTSSGPPLHWIGTMFGSCIIGIANYAIYMATIDYMICAYGPYSASATG GNGWARDFLAGVLTVPATPFYSNIGAEKGRNLEYASTILFCISVLLVTAVYVIYWKGP ALRKRSPFAQTLASGATEEGGRRLSLVRTPSNVKKPGPGSRRSSYAASNRAADRSASR NASRNASRANSFEGTSSSQNQTPGAATPTVHPSRPVALGDRFGPGSRRSSYYSSKNAA SRNASRNASRNASRDNSRRNSLEDPTTIPSGHGHPLNDRSVHLSTIPSVQQ PFICI_12183 MLLFPRPSALKDIYGDPSMNLKSELYGTGALGPPALFSTRDGKT HQLLRKALGGSQWSIGFLKKTWEDRIDDQVNLFVEQMAKLADGCRDIVLSDKVAEFAA DIITMVSFTQPWGFVRNGRDERGLLESWRIGLPFFGLAGRWRWFRQNIIANDALAKFF LPKMSDKKGMGYLYVQADREVSSRERKMQEEGGSFLERPDYLQYCVEARDAITGQNLT PIQKRAHVTLLIQAGADTTATALGSTLRFLLMHPRVLEKVKAEVDTADAAGKLSNPIA YEESRQLLPYFGACIKESLRLNPPAPNLFARVVPPDGKTIDGVFVPGHTEVTSVSYIV QRDPVLYSPDPEAYRPERWVEQDADKLSEMEASQFVFGTGPRVCLGKDIATMELWKLL PQIIRSFDIQLVEKGSYIVAGGVAYNQGLIARLTLRAQAGK PFICI_12184 MSFLLISLGVILIISAVFLFGRFNKSAIPFGARPLPGPKGLPLV GRIHDVPAEKTWLKFYEWSREYGPIYQQSMFGSIHVWITSEEIAHELLSKRAATYSDR PMIPNLPNNRYAGEYLALHGRNETWKRQRKLAQQLMTTSANASLHDYPTKERDRFLYL LSRDPSQYREYIEQFTARTVSRLSWGSTHPADLLRKTTFGLLETISPAGALPNVISCL RHIPFFMSPWKQKEFARHEEEAAAWKNNVGYVHERINDGTAQPSFVNTFINKLTNQPD GIKWGSEAEASYVVGQMAIAGALTIGSPIQSFLLAMLHYPSWQSRLQAEIDEVCQGNC PAWEDREKMPLLRAVVKEVIRWRPPVPTGIPHATEADDVWNGYFIPKGATIHALEWGI TRDEKMYPNAEMFNPARWLEPAYPTFKEPLTQYPCLNGFSQFGFGRRTCQGVPIVEQD LFLAMGGIAWAFDIIKKRRVDGSEIGVHWDDFSPLLIAKPAPFEFDAVPRSDAVEREL LQMWNRGRGEDDVETKRREAGDATLGVLNFEREFKMYEEDEAQSLGGSDTSESSGRES GSSGSQSI PFICI_12185 MATDASSVDNVTQQLKDLSLPPLDKYPNCYPEINPVDIYRSHIT SILHKITGVDTKIIYNAVQWTMSLDKGDLVLAIPALRVKGKPEELGKQWLEQWPESPL VHKPVQNGTFMPFFFKAGPLARTVIPLIRANKTQYGSNKIPGLKDPNDPSKGKKRIVI EFSSPNIAKPFHAGHLRSTIIGGFLANLYEAAGWDVVRINYLGDWGKQYGVLALGFKK YGSEEELLKDPINHLFNVYVRINQDTAAEKEQADKLKSEGKEAEAQAILDEGTDEQAR RYFKQMSDGEPEALALWKRFRDLSIEKYKQTYARLNIRFDEYSGESQVPEADMQAAAK KMADMGLTEEDGGALLIDFSKHIQGKAGKNLGKAILRKRDGTALYLTRDISELLNRHE KYNFDQMIYVIASQQDLHCKQFFKVVELMGYKDIAAKVQHINFGMVLGMSTRKGTVKF LNDILRDVGEHMHTVMRKNEDKYNQVENPEAVADTLGISSVMVQDMTGKRINNYEFNM NAMTSFEGDTGPYLQYAHARLCSITRRVSLTDEELATADLSLLTESHAVDLVRVLSQW PDVVSNTLRTLEPTTVMTYLFKMTHALSASYDHLRIVGSEPELMKARMALYDCARITL NNAMRLLGLSPVERLV PFICI_12186 MDMDGMDMSMDSMSSSGMFQATNMSYAYTFWYLIAAVMGMLMVV RLLNYGQNWARLRNSKMLSTEHPTKPKTWYFQAWATLTAVGREVSYPQLYIPSKRLSW LTPPPMGRVICLFVYWAVIIYMMTAGAVVKDAYFWERIGFRNAWVTVTQVPLLYLLSS KTSIIALLAGSSYERLNWLHRWVARTMFVTATVHGFHFWSEWVLYDYVDLELAMMPSI RYGLGAWGILLWMVITSLKPFRSMAYEIFVLQHILAAIMFLWVIYVHVPAYAQYNIWF AIAVLVFDRVFRFGLLLWQNIKLNPNPSRCKGGQRFGHQTQTSSVGDSITILTIKDVH FTWNAGQHLYLWLPQVGPLEAHPFTIACAHQMPETCICNSIQLVIRSHSGFSKRLHSY AKRMEALGNKKTLTAFVSGPYGRPPRWDIFETLVLVSASTGASFTLPILESVLQCKST ICTKRIDFLLTARQGEEIGFYLDRLHDALNAASEKGITLSVHIAVTGNGQLESFSRSQ LSSVSGSTSGREKKTLAVERTGTTEKSGGGCCSTDVEASAGISPRKRLSQASNDSHIH HSTSRPDVASFIRCAVEATGGETGIVVCGGTALVARVRTSVAKLSDERAVHKGTGAQG IHLHVEEYCF PFICI_12187 MPSIASITAATLLLVGSCQAHFLLLSPSPAGTFDEDSESSAPCG GVTPSFSSDNITDFAVGGDAIATQLGHPQANFLYRFTTEESADGNWTQAYPIVQQSGL KFFCIPDVTVDESYVGQTAVLSVVADAPDGLLYQCAVVKFVSGVNSNLPSSCTNTSGV TGSFMTDDKLTALVDTTTSSNSSDTATGTSSSTPSSTSNAAPSQFGGSIDGLVSLVTL GVMAAIGAGLIM PFICI_12188 MISRSLLVGLAGLLALPCGWAQAPTAQTVNGTYQGLHLSEWNQD VFLGIPYAQPPVGNLRYRWPQSINSSFDGIGDATRYGYSCMQYGSTFNISEDCLTINV IKPSGNFSEPLPVLVWIYGGGLYAGSTADPQYNLSGIVKVGQDIGQPFIGVSMNYRLG MWGFLQTAQILAEGSSNAGLLDQRLALHWIQENIAGFGGSPDEVVIWGESAGAQSVAY HMFAYGGRNDGLFRGAIMESGGPTGAQIETLSWYNTAVENLTRAVGCWGVSDQLACLR SLDQATLFAAHPTVVWNPLLDGDFLTGYPSQLIENGSYNAVPIITGANTDESFAISGT PNTEEDIFNSIFTWRSYSLTPPTVRKLFELYPDDPCYAVPYAITNCTRNEARGLQWRR AASIGSDLVMVSQRRKMAELYTNAGVDVYSYRFDQRIWNGPEWDGVKHFQNVAFSFQN ISGLLGPSPEYDSHLRLARGIGQAYAHFVNDLNPNGAAGSEEDILPTWPSYDLEAPKN IVLNATESWVEDDTWRKEGIAYINTPEVSKELLA PFICI_12189 MGQGSSSPLADCLNTVCNGRSDCVAYPDTILYQALWVKPYNLDL PVTPIAVARPESADDVSAFIKCAVASDIKVQAKSGGHSYANFGLGGVDGQLVLDLQKL TQFSMDTNTWQATIGAGHRLSDVTQELHDNGNRAMAHGTCPGVGIGGHATIGGLGPSS RMWGSCLDHVVEVEVVTADGTINRASETENSDLFFALKGAGAGFGVITEFVVKTHPEP GSVVQYSFTATFAKHADMIDIFEQWQTLISDPKLDRRFGSEIVMHELGLVITATFYGT QEEFDATGIPDKIPAGKVSVVLDDWLGVVAQQAEDAALYLSDIQSAFTSRSLAFRQDE LLTTDEIKSMMTYLDETDRGTLLWFLIFDVSGGAINDIPMNATAYSHRDKIMFCQGYG IGIPTLSQTTKDFMNGLINNIQSAAGQTLTTYPGYVDPLLTNAQESYWGPNLDRLGQI KSDWDGNDVFHNPQSVRPSTLDGTQQSKAKNRRRKF PFICI_12190 MMHSLLQTAAATTPPCGTPLALLRAAGPALGRHALATRSTAASL SARSPSLLGIYSSTTVRCYSGGRYKVRPSQAQAFPAKLSETSAYPPPSGCRTPEQHLH SWHRPVFCGTSHRPLNISTVLAPTALKKISTTESASTSASTTQHQQHRVYSTSKVADM YTASFAFFEAIWEAGVTHCFVNLGSDHPSIIEAMVKGQREKKGQFPRIITCPNEMVAM SMADGYARLTGKPQVVIVHVDVGTQGLGAAVHNASTGRAPILVFAGLSPFTLEGEMRG SRTEYIHWIQDVPDQKQIISQYCRYAAEIKTGRNIKQMVNRALQFATSDPQGPVHLVG AREVMEEEIEPYHLEQDVWDRVELGGLPKTAVSQISEALAGAKKPLVITGYGGRNHKI PGALVDLANSVKGLRVLDTGGSDMCFPASHPAWLGLRFGVEAEIKEADVILVVDCDVP WIPTQCKPADTAKVFHIDVDPLKQMMPLHYIPAQHRYQADVLTSLEQITEHIQTEVGS KIDAATAEKAWEGLVTSHKKRLDDIAAKAGPQSDDTFGTGYLCRQLREQCPDDTIWAI EAVTNTAFVHDNLQPELPGSWINCGGGGLGWSGGGALGIKLATDAENGGPGKGKFVVQ IVGDGTFLFSVPGSVYWISKRYNIPVLTIVLNNKGWNAPRKSLLLVHPDGAGSKATNE EINISFDPVPDYSGIAKAAAGGDLFAAKVDKASELEGVLKTAIETVKGGQSAVLDIKV NFGS PFICI_12191 MSFGTAIKKTLDIGSNAAYGFWLTLPSAAVAKTILRSCSTDPEA GFSWVLIDAEHGLISDKDYYELTNAIASEGASPLIRVPWSEEWLIKRALDAGAHGIVT PMCHSAEDAARIVRYSKYPPLGTRGYGPMFATHAIPGVGTAYDAGAATGLLVVVQIES KSGVENIEEITRVEGVDVVFIGPFDLAKQMGVERGGEEHEAAIQRILNATKSAGKKAA IFCTDGAQARQRERDGFDMVSVTTDVGLLGDGMKHELQAARGFQAIDSLERSGY PFICI_12192 MAASLQLADMFSVKNKVVVVTGGGTGLGKAISQAFCSNGAKVYI TGRRPEVLEKAAEELMANASLGGEVICIPGDVGTKDGCASLVKQIGEREQHIDCLVNN AGVSRQIDYPAWDHNDPEQVEKALWEGVDDDHFTFTNSVNVNGVYFTTVGFVPLLRKA DDPNVIVISSLAGLANQRAMGSMTYALSKAAFEIDSRHAKKKKKKKKAGYRALAICLS DQSFSMRIRVNCILPGIFPTEMTTTNNTSNEESMNKYAVKAAKRCTAGRGGRPEEIAG PCIMLASKAGGYMNGGFLMIEGGRFMGASIHDGLRMPEDTYVN PFICI_12193 MGGSNSQPTYRDLPNNTASCWWKDSCLRRNVLYGVGCMMCPFYL GFDQSLLTGLQALPQWTSYFNHPSSTLLGLMSAAIFLPGIFMGFVAAWICGRWGRKNC VLVGATLMIVGGIWNALSADTAQFIGSRVILGTGGAITKVAAPALLQETAHPRLRPAM GNMYYGFYYVGSLLSAIVCIIGLYIDGEWGWRMPCMLMILGPIMAISILITAPESPRF LVKLDKTDEALSMLAKHHANGDREDPLVKWEFHEITAALEHENAGKNASYSDFFKTRG NRKRLAVSLLIAVGVNWVGNGIVSYYLSPVLRSIGIAAPSELLGINAGIAAFNLIISE AAGYNIDRFGRRPLFLISTIGMIFSYAFVMGFSAGFAYTHTAALGIAAVPFLFLFYGF YDIAWTPLNYSYCVEIMPYNIRAKGLAIYLCVQQIANAFNQFVNPIALAAITWKYYAV YIAVDCVYVVLIYLYFPETKQLTIEEVSLIFDYDMKEGRQLAIAAFEARMGGDQWSDQ TKDGENGASNHVEFQQNAFNNKVVD PFICI_12194 MSSNVETTQTVLAESIPTLKLVGGSSDDVPQWINELRTRGWTVV PKAIPKERALHYANEAYNWLESWNQGYSRHDSSTRTAKHLPYTHRAGIFARYGIAHEQ FVWDLKSEPGLVEKFEQIWGTKELLVSYDGLNLSVPENGRPKTDPAFAPWAHVDQSPL RSNLQCIQGILNLLPNGPEDGGLMVFDGSNRLYEELWEHFDHKKGEKGWNTWEQQFLD EEMCAWLESKGCKWVKVCAGPGDLLLWDSRCVHYGATPSSTNDRFAAYVCYKPTALVS DQAKKQREEAWALKENTPHDPAILRRLQRDPPEDHPTYEQYKARGLQEPVLSKRGKQL AGLAPY PFICI_12195 MSYSEKNRIGVQIGKRADLAAHQTLAIFGCGPIGLITAAVGHAY SARKIVAFDISPSRVDFAKKYISPLTGKPIIDHVFHIDPIPSAPPPTKTNGFHVESND ATLGDSEANGGDHAQTEGDRRWECARQRMLDIIEQCGFNTEGGVDRVIEASGAEDAML HGVAICKQGGIYLQVGLGHVQTHVFPTIAVTNKELDIRGITRYTASCFPSAIDMLARG VVDLRPLITAIIPLSRAKDAFEAVASGKELKVIIKNQEV PFICI_12196 MSPSSTHVQPSHVKALGLPPNTSCLLVKTRIILIEPIALPTLQP DGVLVKVISNGICGSDMHAYLAGGVGGRPIVEPTVMGHEAAGEGCK PFICI_12197 MTSRRDQDEGQDTAPNSAETHVLNKRKRNGPPPRNRRESNATEV ESQQPQSRTQVLEQTTIASGEDDGEHPPDLTTASQDERTSAFTPRSGGRSEEILGGDQ CCNDNAGNQSTNSGRVPTASAEFPDVVQPDVVEKLVEVFHHTAYPLRPYFHWPTYRAQ VRTRQYRTDWGLLDFYHGSVYHGIWASM PFICI_12198 MKAKAILASACLQDGDWRKAVVHLGDYASLSAVTGFCQESNWPV GINEIQRQERRRVHWGVYQQEQYLSSSFGFPSRQREADAIVKYPAEVSDDEDITQTSV CCQLDELSFLVGWNFCTDLYRLLERLRGSAKAAPQMPRMEPGNKIESQTLRMLLVGIN KPSVHLRCAIASELLDELSSIPMAFFNASSIVSLHHLARIGHILSDITKDTLPIWTYL QVRNILIVLADLLEKIESARLSNAGTALKLRAEIDRIGNCIKQASQNDQQQTSQLSMS QSLLTDWRNASTGSPATESTDRTNMTRSPGPPNGSSRTAMQVSSQYADHARDWPPGES ILVGHGLDSMREIQRHTSVPTTFNPLMIPPNHQGYGAISMSQPGTMQEQQDMQFDAAH GQRLGVDNLLSGDFFFSQWPYLAS PFICI_12199 MIHPPTTLDTLPFEMFMGAVDPATLPEPKDQIPTEDELQRAAAR KSMPEAHAFHLLQDFEFWAERVLTNTAWAYYRSAADEEHTYHENREAFRRYYFRPRLL RDTSNGTTTTTFLEIPTTLPIFISPAAMAKLGHPLGEINLTRAAGNAGIIQVISSNAS CSLEEMFDARQVHQQLVFQLYLNKDRSASRSLLEKVERLGAKAIMFTVDVCWESKRTK DVQTKLSSSGSRNANNVQAQGVAQAIGGYQDRNLTWKDIDFIRSVTKIPIIVKGIQSL EDVELCVAHGAQGVVLSNHGGRQADYAPAPIDILYEIRTYRPDLFSKIHIMIDGGVRS GADVVKALALGAKAVGIGRPFLYANGTHGEEGCNRVIEILREEITNTMRNIGVTNIKE LTPELVGPAGPWVGVNRPLYAQDVAG PFICI_12200 MPDQDAPSTADDATPTTPPPAPIPAADPKLIGQARTPPPLHHAG PLPSSQDLFKISPIAALRLLASGTEALVRMTGDIPPTPPPSTHTVPQMRGFQQEKAAI ARSHSEKSLARLREQEEEKEKAAAAEAAARAHHSSRSETPSSSCYQPIDGVHLRHTPT PAPPPGSPEPYIVIGENSQPLNLQHSAITRKFYSKAPPPISIEEYLMRIHRWCPMSSA VYLATSFYLFRLGVEERAIPVTRRNCHRLLLAGLRVAMKALEDLSYPHAKFAKVGGVS ELELARLEISFCFLAGFELVVREEQLKLHWESLKDGASLGNLGDGGSATEVGRVPVLR LEHRRRLDAEVNG PFICI_12201 MTIDVDTVLKGKYPAKAHAKRVVEYIRTQIPDASGVIYLEGRSD ALLEDSDEPVPFRQRRAFMYLSGVDLPDCYLIYDIAKEQLTLFIPPVDPESVIWAGLP LTPEEALAKYDVDTVLPSTELNATLAKLGSERKGKDSSVFAIAERVADHVTFLEFDSK NLTILGEAIDECRVIKDEFEIALIRKANIVSGAAHKAVFESVKKAKNEFELEGVFLGE CNKWGAKKQAYPSIVASGRAAATLHYVHNNRELYVEGAAKDLLLVDAGAEWDCYSADI TRTFPISGKFTQKSRAIYDIVLKMQHDCIAMLKEGVSWDDVHLLAHKIAIDGLLSLGV LKGDKEDILKARTSAAFLPHGLGHYLGMDTHDTGGHPNYSDPDPLFRYLRVRRNLPAG SVITVEPGIYFCEFIIRPYLKDPKHSQFIDEKVLDGYWDVGGVRIEDNILITKDGSVN LTDVVKDPVDLEKIIQQS PFICI_12202 MSTHKDITIIGGGIIGCSTAYFLTRHPSYCPDTHRITLLEASST NSGGRTADSITDPATLADPNTPWKRNPAHDGIAGGASGKSGGLLALWAFPQNIVPLSF QLHDELAKEHGGSERWGYRRCFASQVDCRARLPPGFNSEDGALAAEAVEGEASKGLHK DHKKTTQALKKMGAPEDLDWLDTDHALSAYDNMGTPDNTAQVHPELFTRSMAQLAEEK GVRIITGARVTGINKSPNGQAVENVVYEKDGQSVTLPTSDCVVAAGPWTSRLLPHVPV TASRAHSVVIQTPSPISAYALFTSIMLPSGFPTPTPQKARGSKAAQGPLKRTQMVEPE IYARPDGTAYACGPTDQTVPLPLTNAEVQVDEARCEDILHQVGGISNELGKDGKVLAK QACYLPQGGPWIGEVDGTQGLIVAAGHTCWGIQNAPGTGKLVSELVFDGVTKSAKLGG CDPSRAF PFICI_12203 MSTLLRVLLFGAITYAQFVTPPDDLVEAIGHAGVSVRYKQVPDG ICELDHRVKSYSGFADIAEDQHIFWWFFEARNGHPEDSPLTIWINGGPGSSSMTGLFA ELGPCSIDYFGSVVNNPYSWTNSSNMLFVDQPSQVGFSYSQPVPVHDDDGRLTILQEN TCPGGVSEESTCGTYSHPEPSMTANSTLNAAPAFWKTLQGFTGVFPQYSKNGLHMATE SYGGHFGPVFAAYIEQQNDLNLPGSNKLQLETLLVGNGWFDPAIQFQAFYNFTVNPGN TYDYFPFNGSMETLLYDNLYGSGHCLDQLEHCKLSGLDSVCVAADNFCMEHVEDMYHQ AVSRDVYDIRELTPDPFPSQFHVDYLNTAKVQQGIGAYTNFSTFSHTVYQTFRETGDD AREVDTIRDIQFLLNRNITVSIYAGDADYDCNWIGVEAVAEEVMAGQFDEAGYGDLQT FDNVVHAQVKQSGRFSFTRVYESGHMVPFYQPLTALTIFDRAINGMDIQTGALTVDNH YLSSGSKKSTYREGNTTVQWTTIPVNVTYDSAKNMPGEPWTVSETLPTDSEYFRFYPL QNNSLGSDRSTWRLLLQYILYLFYS PFICI_12204 MSVIQYMTSSATASKETSSSIQGSDSLASDMIDSRVERRLIRKI DLHVFPILFIIYMMSFLDRINISNARIQGLDEDIDLSGNRFNFVLFVYFVPYILLEVP SNMVIRKTRPPLYISGLMFSWGIINMCMGFTHSYQALAALRFLLGATEAGVLPGIIYL TSMYYKRHEFQLRMSFLFCSTLVGGAFGGLLAYAIARLSMSCGYQAWRWIFIIEGAAT AVAAIIASFLIADWPEQCRFLNAEEKHLLKRRLTDDGSECARMDTLNKYAYKLILTDW KIWLGSLIYMGIGTTGYAITFFMPTILNEFGWKASEAQVRTIPVYAVSAICMLVAAYL SDRCRHRYGFLLFGCLVATVGYSLLLHQNSLSQEIRYAALFLVSLGGNMATPMSLAWL SNNVSGQWKRAFSSGFQVTIGNLAGIIGTNVFVSSEAPQYPTGYGVSLGMLWLGAMSA TAMFVGLCIENRKRSAGRRDYRLTLPDDQVANMGDYHPSFRFTL PFICI_12205 MIASESFGDGKSNAGLIYIPCGIFLVLCPLLVGTRLWSRIRKGG HPGADDYTILASLGFSVATGILMFVACHYGYGQHGSILKPNDKAQALKYFVLTQVSYK ASINLTKSSILLLYMRIFGKIKWFRWVCTCLIITIAMYCAASLVVTIFQCLPVQRAWD KDIKGTCIDNGKFWYANGGFSIATDLLILLLPMPLVYALQIPRVQKAALILVFTLGIF VVITSCLRLTTLNLQAQTPDPTFDIASTMWTVIEMNMAILCACLPQIRPIIVKLCPKL MAASHSNGRSARLACDNDLPKLAKGSPLGNEESRWAHAQGKDGIHLTNIRKGDTSSEE YILQDDKTIHKTVGYSVEFSKKRSKDTLDSCV PFICI_12206 MPQFLFIELPSFRIRDAAGRTVTLNHKQLGLMIGLYHYVRAGLR TADVSDISASLLFSNGVPDVWTSSNTRGGLHAEENMLLTYFQSFDSPGAYPIVDAMLL SQKPCQSCMGYFEATGSGKQIKVGNGVPSFKAKFTPRSDRNYTPIFYLSRSMETALRN DLWMQLRSMWAAEFASTIVSSPDVVRGQAYFIMEGSPWYAVNDQETMTDAEVVQAIQT QGASLTYWIGR PFICI_12207 MPSFTVYKGSKDGAPKQATTTKPDQLTGDQVFLRVTASGLCGTD LHYRTTDMALGHEGVGVVEELGPDVKFLQKGDRVGWGYEHDSCGHCNQCLTGWETYCP KRAMYAGADLDQGSFASHAVWREAYLFKIPDGLSDEAAAPMQCGGATVWNALRAYNAQ PIETVGIMGVGGLGHLAIQFAAKMGCRVVVLSGSDRKKDEAMKLGAHEFIATKDQKEI KVSHRLDRLLVTTSAQPDWDLLMPILAPGATIHPLSVAQGNFSVPYMPLLANGITIQG SVVAPRKIHRDMLEFAALHKIEPVVELFPMTEAGIKDAMDRLDKGDVHFRAVLKPE PFICI_12208 MESFLPLGGFQLPFQRRLSRLYNDTKKSSDFVKESVSEDPEIKA MHRKMKIQKDRLVSWGLEWSDPSNEKEIDESLSKAGLSDLVGSIMSTIKDILAEAEPL WLSSKRRLNEKETEGDKKIPIVVWDKGRFEDLIKDLTASIDTLHDLSRTRSSAATSAR AARSAKSTEELRPFSSTRMQTPQQIDPRTLTTMTSRIAVMSKQAYADLNNGRQWSPLL LEYAAFDPIYSTTGIMPSMSRFEKLSNGLQSESHRSPGAWIGLPLLLGYYEDMENSRL GLVYQFPANFKSMPSENLASNLVDNLCTLEDLLARPAPRLEARFRLAHNLANTVFDMH ARGVTHGNLLDTTILFSTNGDEADVRKPLISSFDLFADEGPQISSTSATLHRHPLDPR TTTDSPLVSIRDSKTLDLYSLAMILVSIGLWTNLENLTSSPSIPESVLDQLGVQCGTL YMRAVQVCWNAVEQELSRQISGDEILSQVQIRASRYLEACSILDGVSDLDDRIGDVGD PGGRERQPSLAISMSSLAGPSTDIRQPRSAATTPIVHEERRDVPRPTVVSASAPSTGK SHTPLLAEWSVLRFTDSKVNPSPKLRLYPQIPLPPDAVEQWNTIVMPQVNMALRHFCR KHPESIEITLESIGESPQKTMPTVLVVCTSVAKVRSIITKKLGALFDGPTGFALKVCR GSVVRSRKDIGQTGENDQDEPANPGFQVRPQNGASIGAWIGDRHLPPVSFGGLITVND KTYGMTVHHMLDDQDEMQANNPPMRSAAHDYSFEDSDDTSGSEDYACEFSDAESDFSA TDLTSDDEDEDEEFEEDGDIPGVEPGCGEGYIITQPAFDDVEKGFYPSEETEDEDHLD TYRLGEVHASSGIRRRYESNGYVHEIDWALFEFQQDRFPIINQVPRLKQQDTSPAVHF QPTIVAPLEELPGLEVQCSARTSGLQTGKILPAIASIKLYGRTSMSHTYQVSSKAASD SVPVLGPLSNSAVNTRSIPGVSLGIPGDSGAWVVDRRRGHLCGHVLAFSHKKQIAYIS PMDILVKDIAETLEASEIRLGGECVFARDVEDLSDLIEDDDEDEAQRRVAIRMKALGT DMDKMQLTEVHV PFICI_12209 MLRQLAAVLLPAVAAVCTQPGICVVEPSANGTDSAPAIIDAFKR CGHNDVSKRGKVIFKNETYHIKSVMNTTGLSNVDIDLPGTLLWDQNIDYWLNNSLPVG YQNQSSAWLFGGDNINWVGHDVGTLDGNGQVWYDFVNGTNNYPGRPHQITITGTHDSY FSGLRFVQSQMWTMTIIHSTNILLESIYVNSTDTKQAVGFGFSSLNTDGADTVYADNI TFRGWTVDNGDDSISMKANSTNILIEDCRFYTGLGVAIGSIGQYRDTFEYIENVTARN IEINNMRYGAYIKTWTGVPSGYPPNGGGGGLGYAANMDFSNFTLNNATGIFALTQCTH YNDASGDCDTSEFQIRNLKLRDWTGDCTSDVVADLQCSAAANCTGVEITGIDIIDTVN GTAPVNYLCDSVIDPVGFNCTGEPWEENSR PFICI_12210 MKSSASYPLRQCGIYHNLPDFDPSIQGLSAIICGANGISGFATL RALLDAPERWTRIYTLSRSSLSEEQMSLIPTELHSHIKQVSIDLSSSGQDIANVLREA KVQADYVFFYSYLQPTDPKASGMSVEMAQALVELNVPIFSNFLQGLELAALKPKRIAL QTGGKNYGLHIGRTRSPLVESDPQPRHLQPNFYYHQEDLLIEYCKKHPETGWNFIRPV GIIGVAQRAPLNAFYPFAIYAAVQAQKKQPLQFGGDFESWQFEYSHSTARLTAYLTEW AVLEEHCKNHAFNAQDGSLFTWDRFFAQLALWYGVDRGVQTPDLEDSSFTVQRFAGGE KAPLGYGPPSLLKTTFRLADWFQQPENKAAWEEIMANSDGQVKVNVFEDPAMKTLISE FDYARRANLSINKTRIMGFTGFVDTLESLHEMYKETAEFGALPQLKVASASPLV PFICI_12211 MPNERHLGIGLLGHSQPGSCIHSPIVISDDPIIISDDDDDGDDE AHEAQDQLVNPEANVAKDASPNEPETEQANATPKKTQEKKSNTVDDAGEEPSKGQASK RPATDEVKGQAQGIAQEHRNKKAKVYHKAETQSGRPKDEGCGQRDIGKYPVWSADLSE DELKTCLVFYNHLGSHGYLSQYAKIPFREYFVDKRNNVTFVEWETAEHRMHYEKAKLF GDDETAEEIRNAKDANAARLLGREVANFDWKVWRKHRLDIGIGYSWNAGKYKNDTWGQ NLLGRLLMEIRARLGIMARDYMTSPSMPRIVLEDEDFDDDKGWEGVVKEEEGEGIGEE PEDVMP PFICI_12212 MKALADDAMNNPNEKFIMKWAKNRSKISKANKQKTKVVIKTVSW MVLRFLSFERFNEFKLMRLTAPRTFFSNTCRMVRIIPKKAFYGMSATPTLNSIQDIVG IVSICRFVALMPFKLDDAMIPTQEGALRAWAPLDNPEV PFICI_12213 MDEDESRAGARSQSPALKVDSPPEDDSYNVGDSSDAHADSMTEE QRLRAHLLQDVESSFVPPISPVRVAGPEGGVDDTFMFDASASSKKAPSPLRESRHGDY NGGVDHGAELPVEGGRAGRGEAPTTEAENPHEVRSTTPATATASEPDEERDDEHDSYN GNSHDDSHLDRHTTHDDSELPAQNISSATPSSPLLDAEHAELHGDHSFDHTEPGNTTS SLETLSSSPTAAAAARTISRAISMASQHTASGEEYSTSDAEHSISRDSSRLRLPWADH SFSAGASSRGDHTAELDPQKLSVDAGSTPGAALRSGNRPKYLHSRHASQRSSVSSYSA EEDGSSDNTVGLGADFAIQSGGAVPAGGMQRSLSNVLSRSVSMGSWASGLDDLPDSTR TLEPLEPLEEIDGFPERAGKLNGSEDNLATPKATKSNTLLPPTDTVIAKHVQNVEVPE SLAIEYKTKNGLETPIKSFRKTMVDFTPVPGTAAKNGRSMTLKEQSSTIERLSKENFD LKLKVMFLSDRLDKLSEEGVKEMVSENVTLKTQLAKLQRDNKGLRRANKELEQRLRDE VDTRPSTAKSGYSSDGQTESPIDDDEREEELLYLRERVEQQITEIERLRSENMTNQAD KRRLAETVKAMGDQAGERMGNSLGREEEADVFKDLLEQETARREQTDEDNRRLNEEIF RLKQELNMAHGAPAGSLSSGAGGMHHTTNIYNITRKAKPTSPTRSRPMSGLSGDMDLG SMSQSSTLVEDLRRESEQLRHENAELRREVGAQTSMLTSRNREKERLYQEIEDLKLAQ RRNGHAPSTIDTLLDRSASRAGGHERSQSRISARTRLTMAAEDPGREEFENKLAEHRD KINELRLQNQELQRELETCMEDFEIAVEGKKEAETTAMSLQDELDNAMNDLIAIQNER DEALQEASEIETKFNDLRQEAQEEILALESFVDQQTEDMQRLELELQESTDNFSALQE EMHKLSEAFMRLEDDQEQKYRRIEELEAEVADSNKEFEELEAKLVESNDKANRLGVQQ ESSQGEIAFLREEQEADKIRIGDLEAAVANAEQSLREERDRAKELDQRLANERRQREI IATREKEEVQRFVNEQNKDLASAKDEARRLRKNLTSREVEAAEWKERLMELENNLREA LGDLNGTRSSLLKSIAKVQKDLETTVRELDTTKASVLEKDRIIKQRDALLESHGLEGK KLAELLDKERQAHRNTKNSYETFQRTHQHVSRTVTNQDTRIVELESTRASDKKRLAQL ENNFKDQLTERNNLLLVLWTKLSAVCGTDWAHDHSLINGRALPSLEAVATMLPGFSKN LLAAIKHIESVIGGFQTRIKGVEKELWKEYQALDNSLDLRSKKLDRLESIVRNGVVAG DFSATARISQLEAAIRALKIENATLMRANDAKTRAVGGYFDWRGDGDGKGDVDEYGSP SPHIPTGPIKKKPGDAEIDGQRKSSLTTKSKASHLEATSSSKTRTNTMSRSSSTNAHA PAEYEATNSTSGGGDGDTKWMFRLRELEYKLKQEREARNMDRSAAKQRILEGERQKDE LVAELVRAKRRGGE PFICI_12214 MVSKKPHVLIIGAGLGGLLLAQALRKRQINFEIFERDAHANARQ QGWALGLHSILNDIQAHVPDELPPIEPSVNHLEPLKLPAQFACYVNGLRLAVTGSPDT FVLRANRNRLRHWLATNIPISYNKQATSIEEAEYGVTVHFQDGTQVSGDILVGADGIN SYVREYLLSRPNKETLQTNPFAVIVGETTLAGAEFERQLSLSHSSYLGISASKTLSIF VGLDKVSDDGRTGYYYWYLMVPDEHTDNLDHWTKHATRAERLEKALEYGKQLDDTFTE IIKLTPEEGMRTDAFSFRDAEILEHEVRGGRVTMLGDAAHPMAPFRGEGGVHAMRDAL ELAEAIDRCGNDGGETILENIAAYQEHMMRRGVEAVQKSRGQVRDDRRQDEPWMIWGY PTKPTPEEAVKLSDWL PFICI_12215 MSAGDYYGGGGGQQNYGYNQQQQGYPQQHGGQSPYPPQNQYASP PPQQGGNYYGSPAPGSYGGHSPQPGYGHPPPPQHQHSGYGQGGGYPPQQQYSQQGPPG GYPQHGQGGGGAPQYSQQQPQYGQGAPSHSYGGQQQQNPQGQEGDRGLLGAMGGGVLG AIGGGAAGHGILGAIGGAIAGHKMEDHFKDKKHKKDKKHKKDKHHKRDRSRGGSSSSS SDSD PFICI_12216 MAGRPRFDKSQIEAYFTRIALPDHERKYSVSDLSDDEQLRFLQL LQRHHLVTVPFENLTLHYSWHRVVDVNPPHLFDKIVAERQGAGAGAGRGRGGYCMEVN SLLHTLLLSLGFRVFMAGARVYRAPTASYGGFSHCVNIIRIGDGARYMVDVGFGANGP TVPLPLDVGRQHTQIAPADMRLVREPIPQNADQECKLWIYQQRINARASWEPMYCFVD FEFILEDIRGMNLSPWKSPTSLFTQRVIVTRFTIKGEIEVEGAQNPRVKALELQDEFD GTLILDNNKLKWRRDGETVLEKQLESEEERIAALKKYFDIHLSHQDVEAIRGTCTQIK SQ PFICI_12217 MDHRLERQTQQGRASTRSETLTPVLIPTIDKVDAFATPVVDSSN LSPTRQGDNMDSSHTPDTSDEDVPKEHKTIVFLPPPQYPAIQFDIAGHATWGDNAYSL IPQTQNLVEAIRLRRHDQDRLLRQRILTVENSSVWFGMRLPAGVKQRDFLAAPKPSVN RARRQVVAIDCEMVGVNAGKPGENWERSELGQICAVDVLTGEILVNMLVLPAERVINW RKRFSGLSYPAMIQADKEGRLLRGWKAARTKLCSYIDTNTIIIGHSVENDLHMLRLAH SNIVDTSIQTAEAVFGDKLSFDRIWSLKDLAKALPKINIQNSRQGHDCVEDTLATREV ALWAICYPEQLAAWAAQMRIDLKKKRMELEKKLKEQAKKRAAEKRMLEEAAMQQVDST MSQLVLA PFICI_12218 MATLAMADQGALSIGNNIDDAAISGHIPSPSSPAPEKQSPGWKK SHQRLVTAVRVSDVVTSDKAQEIPVQKDIPVTPGDNGKLINATKEETVMKSLADQEPS AGIPQPSELPSTSFEGHSLVDSMNESESSSSSDSTVIGSSDERGVSRGRRGRSRKTSS QSTKLDALRYLESDSIRTSSNSSPFGRSSVAPLNTSPSSQSSTSSRSSGFYSDGLTGD DTDRSTSPEQSPFNRGLRIRPGLPYIGRRHSSYGTPEMPRGNTTLPHVSPKVLTSRIL GQPHPHVSNLPRAEKLPLTGYEQLASQLSSQGSESSGPHLRPIYRRFEKLNHRLLLHL QDEISELEEQLHRLDTADTQTRRLQTCIMPASRRAETLAGGELQWHRTDILGKIGFKL EQYNRILASFQATECFETPTMADVHEYRGYLATHRPIVEIESQFLDAGEDLICLGDTF DSGYDEDAAPTPTPRRGSISFEQRSLDDDPQQYFPTVNDKDSALDDPSVPINLALAIA VVVPTLIFSLIPGLLGRMVVVALVGTGVVGILRRGHVIGTRVTKDFCICAGTYGAIMA VIASVCG PFICI_12219 MPRASTAALSRYLLHGQVTRRGCLRSLQATAASSRRPVSVPAAL SSSRCVHTETQAPTAATVVAPSTAAAHVPLRKQLKDEAKKAKASGKKKKKNANNQLVE GWELTVGIEIHAQLNTARKLFSPAATSFNDEPNSHVAYFDLATPGSQPLFQKETLIPA LRAALALNCDIQSTSRFDRKHYFHWDQPSGYQITQYYEPFARDGHITLQPRDGIALED GDGLRIGIKQVQMEQDTAKTMAQAENTHWIDFNRTGVPLIEIITHPDIHHPATAAAFV RKVQILLNAVDSCVSGMEAGGLRADVNVSVRRTNDPKGPLGTRTEIKNLSSFKAVEDA IIAERDRQIAVLEAGGTIEGETRGWSIGSTETRRLRGKEGEVDYRYMPDPDLSPLFIG QDLISRLRGTLGQLPDAEVDELVQEFQLTPKDALSLVALDGGNRVEYFWNVIDALVSR LPAEATSNLACFQLAANWILHELGRLTANPHDDSVSELGFTADGQCERVPVDYLADIL LFLHQRQITMKVAKELLWVVFRGTVPSQYASIADAIESENMWFNELSDEEYAELADSA IEGQDHIVQQFVEYKQYPQGKLMFLVGRMLRLGAEERINPQNAEKAMRNALEEKYVPM LRAHTSEQ PFICI_12220 MVSLSKMVLAWTAGAVARAALSDCFTLQGIRPDGTDLHHVIVTG DNGVFQLFQAKFTTNHTAATKFGIARASSHLVDYTNKRRIANIGLGYDDDFMLFDPEP LLLNRDPLLCGLSKDDGVLSCAAKSDATVHVMVACSGAGPEVFIRVPGPLLEEESNDL IEPCYEATLVASQAEGCVLPLSSTSRTISPASTKSATKYPHPNTTSADHHWQPESTAS TTSRHWNIHHSHVTPHQPQRPSIF PFICI_12221 METQSKPPARKPHISEEPITFFNWYKHIDWLNVVFVVGIPLIGV VSSYFVPLHPYTFIFAVIFYFNTGLGITAGYHRLWSHTSYKATPILKFYLAACGSGAV QGSIRWWSRGHRAHHRYTDTDKDPYSVRKGLAYSHMGWMVMKQDPKRIGRADITDLNE DPFVVWQHRHYVFSVVAMAWVFPALVCGVWGDWKGGLIYAGILRSCFVQQATFCINSL AHWLGDQPFDDRNSPRDNMITALVTLGEGYHNFHHEFPSDYRNAIQWYQYDPTKWMIW VWKQVGLAYDLKEFRSNEIEKGRVQQLQKKLDQRRAKLDWGVPLDQLPVISWDDFVRD ARVDGKALVAIAGVIHDVAGFIQDHPGGKTLIGSAIGKDATAIFNGGVYDHSNAAHNL LSTMRVGVLRGGCEVEAWKMQPSEKEKMVSDSTGQHIVRAGDQVTRMSSV PFICI_12222 MSSSATTPLLDHIVILVPHSFLTSPPEWFANLFTFYPGGRHAGG LSDNALVLFADGSYIEFFAFVPGIDPVQREQHRWGKQKEGTVIDWALTLPGSAGEGLG ELGKSFKTIQTAVKESHTGISYSDLTPGGRDRPDGVELKWAISSAQEGQDGSKKNLEP GLLPFWCLDDTKRELRVPYKQDQVVKHPTGAVGVSLVSVTPTGGAQAERLDKVYNALL SGETSEDDSIWDLATLEGEKIHTGGQVRLEPARDVKRVSIALFTELKELEGKKVGGNV DDNIKLEFELVAAK PFICI_12223 MEDEYIPSDALGPQRCNVCDKMTGLKLCSACKVVSYCGAADQAT DRPHHKKACKAIKKAREHLEAEEARLRALPADMFRPADVFNTCVGRFWGILDTRDYMR ARYAAADALLKVNTRVAVEKALDHLTDMLRLNRSDNMGLRSIVPALQLRLGREQECYD FLKWWATTGSQGDYDWGDTSLPHLDIRGADVLEGIGMFSRNSEVAHLVALTLLKLRLF LDLSRFEDPDYMDDIDDPDHKFDPYERSPGSLSRDLMRRDNVDLRSMTEKLQKQYHML LSRVQEENPHFWSLLVDDAIDPVVPPMYSPGTKEEAMLVLYYCKQAWEESEDAILMVD ADTAKLTPVYKGPNVAANAGTAQPSVGNLEKRRGTGKVFPSIFTPPSPTSEPEDHFPL SLLPPKHVSRFVHLHDRKKGLVYVDGACSNNGKLSPRAGWAVVYDDRYGLTDLKGRLE SRGPFGEEYEATSNRAELRAAIAALRRKDWRDEGFECLVVATDSSYVVNGATAWARSW LRNGWTTSEGHAVKNKDLWELLLGEVERWDEQGLKIELWRIPREANTEADAAAKKAAG EMMEYEFTDGPAVLGSRALRYKVIAVGLDHQGLLEEQYPDLCSVIRNRGSLYQASGET SALQLLGLEVPPTVILITDGAVARLTKVWERIIDLLRGGVTVVLAGFFSSSLNEGQFT RLFAKIGLPWERGSYHRATVKLRHQSVPAHLHNSLPVEDSQKPLFVKNVDKSAIWYAE SSNPNEGAVVFASVGNGKLGYVGDCSGSEASTAIIKAMCGLSP PFICI_12224 MAPYSYIVQPQKGHGHTHTIIFLHGKGSNGEECANELFESETSE HYAPRGPQTLVDLFPTVRWIFLSAPQTQSTRFDCIESQWFDMWSVENPEEQVDLQVEG LAESILLLRQTIAEEESKVPQQKMFLAGISQGFATAITAFLLDEFHFAGLVGLCSWMP MGAYRAIENSKKVEIRSNGNTTRVPVFLGHSRDDNVVPIDNGLALRDFLSEREFAVEW HEYSNGGHWINEPRGVEDVVGFLQSNGNCS PFICI_12225 MLKPSTLAICSSSNLPTFVWPFSSITSPSPCLRSRRLSASTQRT TRQQRRSVRGYATVHDGHNNSSSNYHPDPSKWPSAANPTPYQIFDQKMTAPYSKARFY ELVKLYHPDRHRHSLDDTLSDKTRLERYRLVVAANEILSDPGKRRAYDLYGAGWDSVR SMDNMSFRNADHSWRNEPGNPSMNATWEDWERWYGERGGGQKQKQQTVFMSNELFVVV LCAFIVAGSMGQARRASANTMAIIEMRDQKHDAISHDMARRRTEQGPLTRHERVESFL RQREGWNLASSTASHGHHHDEEGK PFICI_12226 MSDQLAEKLRASTISDNNAGSSEDWKSNLNLPAKDARHQTEDVT NTKGLEWENFALKRDLLMGIFEAGYEKPSPIQEESVPVALTGRDILARAKNGTGKTAA FVVPALERINPKVAKIQCLILVPTRELAMQTSQVCKTLGKHLGINVMVTTGGTGLRDD IVRLQDPVHIVVGTPGRILDLAGKNVADLSECPMFIMDEADKLLSQEFTPVIEQLLRF HPKDRQVMLFSATFPLSVKDFSDKNMVNPYEINLMDELTLRGITQYYAFVEEKQKVHC LNTLFSKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHAKMAQHARNRVFHDFRN GVCRNLVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRYGHLGLAINLINW DDRFNLYNIERDLGTEIQPIPQTIDKALYVYENPENIPRPANTLPPPRPAPANQNGQR SQQDQPQRQPINPGGQPGSNDPRQGGRGDGQQRQSRGGYRGNNQGGRGRGGFQNRGGY NNYRGGGRGQQPQQPQA PFICI_12227 MGWLDRIPRAGNLYIGGQRALFQTNLVKEAGITHVVSVIDYDVD ARQLERMKQLHIDAEDVPGENLLCYFNTTTTFIDDALESGGAVFIHCAMGKSRSATIA CAYLMWKYGISPSEALAQVCEGRPVCQPNIGFMEQLSVYHQILGEDDDKIRDKIIEEW EKTRFQGKVWEWDSRTLVTGKSKL PFICI_12228 MSQHSVQYDGNGLKIINASLFRMGTKSMAMAYQILGFKTHHGLL ESVMDSPWTQIEEAAEATWPGVPGGTPRPPYQRKDWDALWGDKYDAVTDLASPFALEL IDAYPEAKVVVVQRDFDTWWPTFQSQIRDKVMLEPNSSFHAFITWWFLGIRPVHAMCK VILGFFGARTRLEVDADCARAAYDAYFREIRARVPLERRLEYKLGSGWEPLCAFLGVN VPNEEFPSANEKQENSKESRARENTFIVKSIKVSAPWLLAVGAAWAAWGYAK PFICI_12229 MDPTSALGAAAASAQFLGYGVKGLIYAARLLRDIKGAPDDSLRI VARLEKEIASMTRLLSSDSSTFSQFTTAQYVHIAPWALEARKALDEANKILAPLSSQL DGLRKEEGAGKRLLQAWKSILTVKAMREVKKQLDTIQTLNVSLVRELHVAGFETQYLL RIYSDPKSYGSEQSAEALAKVTEIATVNNELQQSIGNLSSQYDKTSEMLMASSDLVAS GVEMLNQANQDHQVIMTQAISSIGNEISAVRRGMQTVDENSRTLNLSMKSIETTVIDQ TLLLETQLENFIRIQGDENQRVLVVEMKKMLVTELVATVNHFARDNLVSTKPKDSISQ PSVVAKSTNSSHTTRSSSSLRCLCKRGKISTSKRYGLFRFKIDHQSSQLCPLHGKIAQ HSYSIETRLSPWLNGALELTLGALCSNSSWSILPSLRFHGTVKRSESPIYQLFDNLYK ILPYDGGSGIRLYRSRPDYRSRPDYRSRPDAGELLSDLIFGIKQAVTSGSASCSDVDE NGKSLLTETANLMVLMKISEISGEISTLLEMSRKAELDPAASMRGYFGNGFDIKIFEF IFLFRSHIYILNTSLYNTIIQYEEMAESFQTRYRKVKDGEGKILMQKWITGDPDFAAA AGHGDPLQQAVLRRSFDGLKGHFRNDKKYDGQSEDHVSLLVMAVGWLEGLQYLIEHQN HLDLEAALSYAASQNAAESLEIILSANSTKLFTLEHLLPGAYPGHFYPALLHTSVSDL SSFRRAVEELRYRNDDFRHALLDLFRVPLESPPSRHNIWVRDFTYNNYEFHDLLTQKL RNPECLDELDPFISASLYYVTFDNFSSNHMYYQALFDAGFVHTDTLCGRIGTPLAWLC QQSHGVSILDNVEKWHKGVWWFLSKGANRSFWFWRGKETGMDWSHLQFYVAATMEHGY YSPRKLLRYCGQEYVQDGCFCPCSSGGCIPPFKFWRDCEAVGHEHCRDRLSRRWTDLT DWTNWLNYSASQKEHTYREVCRLELFDRLGLKHRCCMGSSDNYQERELFRQEDARSEN QLELLMRFYRSVRRLLLDQPVERFWSIWWATVDVVVLPLLPVEACTWPHFALGETEGG WPTDDAVESFKNRIAIAREDRWAELLESNGYKDWDYDDVIKAHFAQLLQRAKEHKQRK QSWKRHRLVLKPRFVGRKHRGISKDRTDNEWQWVSDSDDEWV PFICI_12230 MEQTTSGGLETLAAEKSEIQAQDQQDHIPAIQSLASNGASEEAN RGLKRAAEDEAGDEQQEADESQEVQITTEGDAADKPLSKNQQRKLKRQKMWEEKKQDR ALKRKEKRHTAQERRRLEREEEIAKAAEEGREPVLQRKERNRDPKKKTQVPVSIIIDC QFEDKMFEKEQISLSSQVTRSYSDNRMAQHPVHLTVSSWGGKLEERFDSTLRAQYKQW KGVNFAREDFVGAAKVAAEIMSGEQGGTVIDVLKPNESGDSLFLGEMAPTGKAKKNDP TPQPEPEAEDVDKSIVYLTADSPYVLDRLEPNTSYVIGGIIDRNRHKGLCYKVARERN VRTAKLPIGEFMVLHDRHVLATNHVVEIMLRWLELGDWGAAFMQVIPTRKGGKLKDDV EGSEAAVDDQDQEEAEADVAAEADAAAEEAAAVDVEA PFICI_12231 MSSRPELKVEDETGFIRYFKSLPTVTDETVRIFDRGDWYTAHGE DANFIARTVYKTTSVVRQLGRNDASGLPSVTMTITVFRQFLREALFKLGKRVQIYASS GGRMNWKIVKQASPGNLQDVEDELGQHFESAPMILAVKISAKQTEARSVGVCFADASV RELGVSEFLDNDLYSNFEALLIQLGVKECLLQFDKSEKEKDPELSKLKQIIDNCGVAI SERPAGDFGIKDIEQDLARLLKDERSASLLPQTDLKLAMGSAAALIKYLSVMQDPSNF GQYQLYQHDLAQFMKLDAAALKALNLMPGARDGVKSMSLYGLLNHCKTPVGSRLLSQW LKQPLMNRDEIEKRLELVEAFANDTELRQTIQEEHLKSIPDLYRLANRFQRNKANLED VVRAYQVVIRLPGFLGTFEAVMDEQYKDPLDQAYTIKLRELSDSLGKLAEMVETTVDL DALDNHEFIIKPEFDDQLRVIRKRLDKLRSDMDREFRDAADDLGQEENKKIFMENHKV HGWCMRLTRTEAGCIRNKSKYQECSTQKNGVYFTTKTLQALRREFDQLSQNYNRTQSS LVNEVVTVAASYCPVIERLAGVLAHLDVIVSFAHCSVHAPTSYVRPQIHPRGEGQTVL KEARHPCLEMQDDIQFITNDVELVRDSSSFLIITGPNMGGKSTYIRQIGVIALMAQIG CFVPCTEAELTIFDSILARVGASDSQLKGVSTFMAEMLETANILKSATAESLIIIDEL GRGTSTYDGFGLAWAISEHIVKEIGCSAMFATHFHELTALADTYSQVRNLHVTAHISG TDSTADKREVTLLYKVEPGICDQSFGIHVAELVRFPDKVVRMAKRKADELEDFTGKHE QNGLGVGYSKEDVEEGSALLKEVLVKWKDQVQGGSMSKEEMVAKMRDLVKNDQRLLAN PFFKAVEAL PFICI_12232 MMKSTILELFLWCIVASSVAARFGQPQHQTSKYNDEHDLDFSDE SNLGYASQTDAIDAYEAKGHKGSEGFGHGKGPHRGHNEFQAHSGHDWSYRGGSHGHEA HPKYGNEDYGSKGSGGYHAKPFHDAYDYGNDKLNGNSYKHAGLTGAYPQPTESESTEP TEPTSTDTGTISPGPDSTSTTPTTDTSTSSTTSLAPTFTEILCPEVDLQCVDNFYVGC SKKFNQINPSQQSRTKIEGVQDARICHQRCLDDPTCTAWEDSDMPYGQYGECYHHHEV ITLNLTAPYVASSLGVDSFGVRNYCQLSPGPLDPIPPPFAPATTTVVTIPTSSSSDLC PSFDNQCLNGLSIFCDRSLTSDAVPNPFFGCSIQFDITTERQCHEACAANRPACRGWQ VYPDGDCCHITEGFVSPMNPLPPKTPDTVGYSFASNQGCTTTADDILCGEDQCTDGIR VQCRRDLSTDAATGISDAVGTPNVRTVLACQQACAEDDMCHAWYGYIIENEFDSGLFS YSFGCFRLLNRTITLRSDRPAGPPNTLFATYYGIKGLC PFICI_12233 MSAWDVKGKVAIVTGGGSGINHAFVEVLLNAGCSVIVADINLRP EAEATLAAYPHPAKQEGSPSAVFKKTDQSNWAQLNEMWDFSLKTFGRVDLVCPGAGIW EPPSSNFWIPPGTSDIAKDDPNAAVGQWYTFAVNTTGPLRLAQLAFKYWIENKIQGNF LFVASMGGYCETVSTPLYYASKAALLSATKSFAQMRQRLGIRVAAVCPGPCYTPLFLP EWAAAKVRPTDFTMTPKECAETMLKVASEPQYGDGNIIEVMMMGTREAPRLNVRHVPY DKLLPEGVGTLGDDNNIIKEEEKLWAHLPVNGMTPM PFICI_12234 MAHNSIVSYGTWTGIASPDSAIPNGTTGATYMEGMKMVTLWQIF YMGGSVFIKTSICMTLIRLSVQRRYTYFLYGIIVVTILTTFVTLISVWIQCRPLAASW GEVAGECMDVSVLITLTYVVSGLNIGTDWSVALLPIAILWKLQMPRKIKIAVSFVLGL GVFSSVATIVRIPYSSAYTATTNYLVGLGHLIEWTVIECDVAIIAGSLPMLRHLIKPL RKEDSEKKSGQSTELVTIGRLGLKKGPVYDTIHESDNLEFFDDKTNESTRSMVPRGRA S PFICI_12235 MASFDAPLDFVSSLEAGRVSKIVLNCIGLRSFSSIRLNSDAESL RQFVEIFSNADLQELVRSIHYDVILPPVSDKRLQNKLQSNAEAAENAVAFTRALSSLF TILSAWKVEGIDLYLTATSPSDDAALRDGSRSVHAKPGRNDLQYITFNGTVLSNAQLP SVRAIAALNTLKLDCHSGKASGRRLHPDLVCAMATALPALESAAWEFSMPPRRMAARR QTFREALAHLLMLPSLSSIRMLHITLHDKDPRNEETGPENFVLDGRDDGLSAAVQTTF TLPELRDIHFGGKWSLSPVAFKGGFGPSLASVYIDLSSVTPDGKWVFDIVPLPSDEED VSDGDSPSESEQTFYDDFDSADSDAVDWVDKKAEAKANFELPATDSRGDPSPENFMPL ATSIADAVSSSNGPLRVVEVHLLGSISHIHFGYLGSANEPAEQPRFSGNWPGWSRDKI QSQRQGLITRPSWQIFVWGEVVRWKNV PFICI_12236 MAAKSFNVLGLCNGSLHGNSEILLKAALDSLKSSITRPTKVSWV HVPSVEIPRNPKPLKTAADISLGKVTSMKAGASSSPTEEPADDRLAILDAILDADALI FATPVYSHQPPGFLKAVTDRIMGPFTDAAFVQRVLERKEAGDPKFKDQVADARVLKPR VVGFLVVAGSKYSEHYTMALPTLHQFVYPIHAKVVDQIIFSGFASPGSVIFKDGGSCV ERAKLLGKNVASQLGKKFDDATYLGPKTEGSCPYCHLSQFEFIGGTTNEICCVICGMR GTLVAQGNAIKSVWSSDASQSCITMEGKFLHADHIQDAGMEEAQARQAFTPQKLETVK SDLLGLDIPVLPLPSTKRSQNLSKSSSFCSVM PFICI_12237 MADQNTVVADAIAAIENRELTSDPVTIIKPVAPGVAVAEKAADE KIETAVTEAVPQEAPETEEKAPTTEENPSAESEATVDHVEAPHSCISSVTPVEPAAEE ETTKTASEAGGNSSAASENDGTVDGSAPSDTGLTADSSIVSDNGAANGPSNEKPLLAF TAPPVMGHTSPLLRLATEMTKQGYEVIFMSTVQFKEAIIKAGAEFYESKTPFCDFNYL KSRHTLPPGLPTLCADMENMFIKPMLPRSAEFGSLLELVRQRDPTRDVVVVTETMSLA PMPFIYGAPLPKGYDTFPKTINISTVPIMLLSIDTGFTGPCLPPDSSESGRARNKIMN EMLVRGPMGGTDKVFHESLKSVGATSVPKVFFQNAWLESYDTTLQLCVPGLEYPRSDL HLSIRYSGALPKRPLPSNFQYPSWWSEIKANAELPAEQRKKVVAVAQGTVALDYADLI LPTIQGLAHRSDVITVAILGVKGATLESSEDFEIPENVRVIDYFSYDAILEYADVFLT NGGYGGLSHGVLNGVPMILAGTTEDKAEVCARGEYAGFAINLKVQRPTSTQVGDACDK IFTTPSYKHRALRLQQENEDLDALAIFERQVSKYAKTQL PFICI_12238 MSGWKHAFNVSKEDLKKATPPGTVVVTPDDVSIGEVVEATGNLH RFPIPSADPADPLNWPNWRKHALLVVAAIYSFVANFASSSVAPALQLWPMFFPMDQRP FTELTRLVAVNVLMIGAANIWWVPLSNILGRRPVLLAAMLILVLTTLWCGLATSYDSL LTARVLQGIGSAAADTVAPALVGDVYFMDERGRAMAVYTIFLATGSIVGGLAGGNIAA TLGWAYIFWIVLALAAACLIATFFLVPETLYHRKATTLQPADLTPQGSSKGVTLQEES AYPPYTYGKSLGFRKPSGGVVHHFIKPWRSLALPGTWVVTLQYGGLVGGIVTISTIGP QLVAMLPYLWGNNAGLINVGGLIGTIIGYIYTYVVSDARLKSSAKHHHYVEAEARLPT MFPALFIATAGFLVFGFCAQNPGPNVWVGLEFGYGMITFGLMQAPSIGFNYLIDAYSY LAADCFVIAAILRAVVGFAWSIFVADWIQKDGAAEPFGIFGMLMGVFSLLAVPLWLWG KRLRIATASTFSKERVYEQSHM PFICI_12239 MEHELHDTPAPSTMSTGNQTMVESDETKAEASASKNEDVRATIS SSEPLSLKPEDDNNHISGFKLAAVVSSITMVVFILLLDVSIIATAIPRITSDFHSLDD VGWYAAAYQLASATLQPLTGKFYTHFSTKWVFVLFLFLFEIGSFICGVSTSSIMFIIG RVVAGLGGSGLINGALTMVSGAVPVERRACKFSQNLFGQLGLISGPLLGGVFTQFSTW RWCFYINLPAGAIAACILVALDIPDLTKKEPFSLALIRKVIPQLDLFGFALLAPTSVM FLLALQLGGNEYEWGSATIVGLFFGSGVLAIIFVIRESRVGDKAMIPSKIIKQKIAIS SAIQGMFLFGTVTVASYYFPIYFQAVKGAGPALSGVYLLPSIFSQLALVVSSGWLVTK LGYYLPWPIASGAISAIGNGLVSTFTPTTSTGQWVGYQIILGIGRGAGMQMAMIAIQT GLPGYHIPVAIAFQVFCQNMLGSILLVVASTIFNQSLAVELPKHAPSVSIEAATAAGS DAEAVRALLPDGSPELNGLLLSYSNSIDHVFILLAVCSIASFVAAFFMGWTDTRKKKG PGKGAA PFICI_12240 MLATSVAPVLGALALWWVFRPWVQQAAFSPLAKLPTVHWSCSWS PLWVLYLRYSGRELEVMHEAHQKLGPVLRVGPRDVSVSCYNDGVRTIYGGGMDKPDYY DYYQYHDVENSFSTLLRGDHAVRRRRVAGVYTKTFVQNSPHLAVLSQKIIDGRLLPLM ADLAKKGETFCMLRLTWALSFDLITSFIFGLEAGSNFLADVSKIDKITDAYDNRYPHE TFWKKETPLLHKALASCGLSPLGARNTKYQNAKKFLEAFTLNFCHRADAVLKRGKNVT KESGNYPMVFAAMRNAVDKEYPNLSEKAKMTIIASEMFDHLSSAHEVFGLVQAYTLRF VAQNGGAQSRLREEFLGNQADQPYSPQSASTLGGLPYLSAVINESFRLRPTGTPLPRI TPQGKTTRLGGYEGIPGGVRVNTYQWFLHRDPRIWNASEDWIPERWLKETGGQGKDQV LWPFCSGPRMCVGNHLTDYLMRQIMSSMMTNFELRNQYTELLDREDIGTAKDRIPMVI KALS PFICI_12241 MHLPRYLGLIAALGAAAGPTNTLMSRQSATAITVNLDQTYQRID GFGCSEAFQRAVQISKLSEAKQQQALDLLFNTTSGAGLSILRNGIGSSPDMSSDHMVS IAPKSPGAPSKPLVYSWDGSDNKQLWVSQEAIKRGVRTIYADAWSAPGYMKTNGNDAN GGSLCGVSGASCSSGDWKQAYADYLVQYVKFYEQEGVNITHLGFLNEPDLTTSYASMR SSGTQAADFIKVLGPTLQKANLSHVGINCCDAEGWSSQASMTSQLASVDQYLSTITAH AYTSSPNSPMGSARGHPVWQTEAADLNGAWTAAWYASGGAGEGMTWANNIYTALVSAN CSAYLYWVGVQGGDTNSKLVRISGDSVAASKRLWAFGQWSRFVRPGAVRVGVAGTKSG IKTSAFRNVDGSIAVQLINTGSAAASLAVGTTAAGAGIKQSKAFVTDNTHDLDELAIS ATDSAVNLSVPSRSMVTVVLQY PFICI_12242 MLGVLRSVGLFGLLFSGARAIDVDFSDNDSIKEGAATIAWGLVK YYTGNNSGDVAGNLPDPYYWWEAGAMFGALVDYWAFTGDDSYNNITFQALQHQVGDDA DFMPENQTRSLGNDDQGFWAMASMTAAEMNFTNPSSDEPQWLALSQAVFNEYVTRWED AEDTCGGGLRWQIYTFNNGYNYKNSISNGCFFNLASRLARYTGNDTYGDWAERIFAWE QGVDFINSDWNVLDGAGNADDANCTEINAAQFTYNAGIYLIGAAHMYNYTESDTWKER VNGLVTSISSVFFENGIMYEPPCESTSCNTDQQAFKGHLARWMAYTAKLAPFTYDTIV PLLKSSATAAAEQCSGSPTSGFKGHTGTACGFSWLDNSTWDGNSGVGEQLNAMSIVMV NLLADSPSPYTSTTGGSSVGNANAGASDSSKIATARAITTGDRAGAGILTALVLASLL SSLVLMIKD PFICI_12243 MTTLIESTPRAVRMSYRIARGEQGVLTFEPYKSELLPLWRFRTS SIARKSAADLWKRFEEFNEQGDFVGMDMARKFIQMGMTRAKRYANHAGGRKYKKGTKE ELPKSETHKDKKEKEAASLVFRQVWERCRAHEGYQKHKQEFLEKQKAWEKSGAGKELK VEDT PFICI_12244 MANPHPIAKLYPEEPYHAKNAITSGVNGAAIGGAAGLIAAAVQN SLAKSSVGAGGIFSRSGATIATMTAVPTVYCFVKDASANLREKDDTLNTTIGAFFGGA MLGLRSLRMPQILGQGALISVVLTAFDYTGGRLSGSKTDSQFVDEYERKEYLRNNRRR PLTETVADLGEGRGIEPPGYEERRRERLREKYGVEINPVSATVE PFICI_12245 MKMHMFLLYSRDELYSSGYDGIEDMDCGEDLVLDRVHLLCVVPN CQCKMVIERLPPRISLRQVASMFDKQRVARNLEEARAKEPGRLKELEHYDPDIVAMLQ RYSRDCLDTKPGEDPRRIKLHNKKFMVAFKNDFDVLWRSLGCTQQRHPDGDEAWVFPV LEESSSTRTNWGTFRARWEDVEAECRALGAKPAEPSSRTIRSAWDMLKRVIGCAVYDH ENSHRDVEEADIALLGCCRNFKPKDVVQVAVLLAERCPQRYEEFIGAAARVIKEDYNA AEALAMYQSEVESRPKKEVLDAFKFFDASIDQRAPDHFISRYLVMVDADKSIPFKKRA LECLQIVGNHLGTDLSGPISPEDLSLLHASSTSSKMSVSEAKAILNSADLDYTDEILQ DIAQDVTADRARVAEALEVFAEHHQASNPALAAQLVSWAGIFKSTNHPAIDASPPTTK QAIDPNTPPGLHNIGNTCYLNSLLQYLFSVTTIRDLVVNYPDHSLDLNSEAVTKRRLG FANGLEVSLEEAIVGRQFVECLQSLFKDLLSTPNIASEPSQKLANTALRSASTLLEME EKARKSGNTAPPLPARPSPGPPESTSAETNKISVTVQPINDSVETASNVSSQTLVDDY GNVANDNMDENTGTTQESPRPVAQPTPSQAPDLEKLSEHPTVDIYNLETVSERISQLL EQSDRKGTDQQDVEEIIGFIIEHLMRSISSNGPMPGRSDLQADTITETFFPIMSNYVL NPRTSDVESVQLVPDRWINAFPHDQTGVSTTIYQALDRNFTLQMLEDGSKRARYNALH SLPPILHIRIQRLTSASAKNTNPILLVDELYLDRYMDTPRDSKLALMRSQDWAMRNHR LLMEDQIRAAGISSKTQSEQKVNNNEDSNVENIDPGQPSALDSYEEVMKGILDLAPHG FKRPIKKRNIDSSSKRNDSCLQDINALLKKNESMAIESLKPTRTEEDVAAYYESLTQH KYRLHAIICHSGNARAGHYWIWIRDFERNVWIKYNDSTVTVDTREPQAVIDELNTTGD PCYVAYVRDADKSNLVGIPKRAPITQSTSDSVNVETIEGVAPDTDTEMPDLINLPQEH AANTDTTMELDDSRPYQLL PFICI_12246 MDVDVPLLLFRAQYLQCLDPDFLTWPPTALLRQLDAQVFLYKRI FDPVKVPYRPSVSYEVKVLEMLIDRIQKAMPGAAAAESEPLKNMQSRLATLRETSSSP SQDPLSWQDAYLTYNCSPTLEAWQPITLHEPRSRIAGTAHTGHRTWEGALNLATYLVA HPALVDGKRVLELGAGTGFLSILCRKFLGAGAATATDGEDAVVEGMRENLRLNDVDDN AQIGVAARRLWWGTELEGNWRGDDDDNVSKAPVVDTVIGADIIYQKEGTRALVKALRA ILDLQPQSTIILSNARRFPAVFQVFEEDCQENNLCIRTISNPVTPISKQQSLFFSTAI PLELIEIKHAVE PFICI_12247 MQLKALLLLLGATLAIAKPLQVRGGGGDEGGGGGEGGGDGDGGS GGGGDGGGDGGGDDGGSDYVACTPGALYTNAQCCATDVGGIADLDCENPGTIPTSADD FAASCATVGKTARCCVIPVLGQDVLCTDPQGL PFICI_12248 MRNAQQEEIPGQALAQIWRPVVNAFTATGITKPTDRLIALYGVG SRIKRVCGWPYVAGMFLKNLQSQLCWKVTEESKSTRPETPIAPTWSWASISSPVNMMP QWELIERDETGEGPQAGDLNKQYLCDVLSIEPGTSAAENSGHTSSATLHVSCHLVPAT IFESQPLSLRFNPV PFICI_12249 MNNIKALKTSIPPDFFGKTFRDAAEVALELGISFVWIDSLCIIK DSPEDWQNESVQMSQVYGNSSCNIGATSSSNTFGGCFSSQNCDELKPIKYKFNEQHDG VEFYLTNATH PFICI_12250 MPGDHVIIVGAGLGGLALAQGLKKHGIDFTIFEADEDVNTRTQG YRIKIFPDTVPDLQYLVTPEVFDEFVATSAETVMIETAINAISGQPTARRALRGPKPY TVDRGFMRKVLLRGLEEHIQWGKKAINYYIDETSSTPITVYFNDGSSASGNLLVGADG NHSSIRKQLVPQHEIFDAQGICLYGRTYLTSELSEKLHPGLQQGLNVVRDTAPPIQQI IFDSELPISMFVERLHFLERNSSHPELPEDYMYWSMLIPAKLLGFTEALVSNTYNSNT ARQLATLLTSEWHDSIRCLIELQDESFATKLRIISSSLPLSEWESSPYVTLVGDSIHV MSPAGGVGAATAVKDAFVLTKALTGAQGISLASVKAYEAEMRTTAKIAVERSFRGGKL LYGQPPVENCPRISDI PFICI_12251 MVSTDAKAYLEKMPESCPDIEYLAVDAYFGQYSSPLLRLHEEYA KEPGNYVSIVVSPAAPRSRGSVTIKTSKASDPPVIDPNWMADPIDAEIAVAGYKRIIL ADDVQEAEIYPGPGVETDEQILEQIRNSLVPVFHASTTCRMGKTDDPDAVVDTKARVI GVKGLRVIDASSFALLPPGHPMSTVYALAEKIADDIKRGD PFICI_12252 MVSGVGPAPTLEGLNISVIADRPGVGKNLSDHAMFGPSYRVKVA TLVSELANPMPLLDNYFRNAKGPLTSQGVDFMA PFICI_12253 MGAEYDYVIIGGGTAGLTLAARLSEEPEYSVAVIEAGNFYQIDM PLLLSTPMSGGSFTGTSPSDIHPSIDWGLVTSPQGGANQRQLHYASGKCLGGSSARNS MIYQRPDKGSLQTWAGMVGDKSFTYDRFLPYYQKSCTFSGPIPQPNASVHGGSDAPGP KGGPLHLTYPLDPRPFSTHLGSAFSEMGIPNAADFSSGELKGSQFCLLTLDPNTAVRC SSQAAFLETCQARPNLKVFRKTAVQKIVFDSDKRAVGVDID PFICI_12254 MAISLTVAIGAIAATYVFLVTLLRLTQGPKEPPSISDAIPFVTP MINMGSKGVNFHRLMRDKYNLPIYTLRMPGSRLYVVNSPSLLGPIQNQIRKLSFTAFE ATIAANVFGVSKESNAIMGRDLTNEHGYLMNFPKYVHPALSAGAGLDAMNRRAIEVIA QSLDAHVSKGSTTIKLFDWVRHELLFASTEAVYGPENPFRDPKMEKA PFICI_12255 MYLSFASVQAAALLLGVQAVFAQESFILYNATKLDITLGTECVD ALSAAISCNSYVRTFLQLGWRGSLGDVAFTDSVCSGACSASLKGWFGDVTNRCQGKQL DKSAPNRLGGYLWAGFNETCVKDPRTKQYCNDIIANFSTVPDYKHMPRTELCHTCHIR RLALMQSSQYSIYNDYYKEVLQYVYATCGGSGPTNIPPPLTEVPTETPLYCVTGKHYT TTRKGETCESIANATSVSSAALYMGNQALIPDCRDINSGVNVCLPLTCQTYYVRPSDT CVSIETALELEFGQVRNFNQWINFDCSNLQVAAEFWGRSICVSPQGGTFTGTVPAPAP TTAPLGDGYTRNAVEPPAKKTVASGTTMNCGKWHVVASGDTCSAICIQEGIEAGLFRL VNPSLSTEACTASLKPDSALCVGPTYSWNLTVPATTTMSLDSGVFL PFICI_12256 MLLWLHLYLWLCLSLFLKSTSAQTCSSSSPCAEGCCNKWGNCGF GPDYCGHDCISDCGRKSQCNPGYGAKWSQKDKCPLNVCCSKHGYCGTTKLFCGDKKVS HKTCSKTNGNTRIIGYFEGWASNRPCNVFWPEQIPVGLYTHINFAFATIDPVSFKVLP VSDNDVALYKRLTHLKQKDPDLRVFIAIGGWTFNDPGPTATTFSDLAASVPRQKAFIE SLVSFMSTYGFDGVDLDWEYPAAKDRSGREVDFSNFPKFMSRLKSALDTAKKGISITL PASYWYLQNFDIVELAKSVSWFNIMSYDLHGTWDKGNEWTGAYLNAHTNLTEIDQALD LLWRNNISPDKVVMGLGFYGRAFTTTSPSCLEPGCTYESGATKGKCSREVGILLNSEI DDLVKEHGVKPKLYKKEAVKVASWGNPTQWVAFDDEETFQLKSEYAQSRCLGGLMVWA ISHDTKDSKYNKALAKVANRKIKALSIRDGSDAPYSFVDIPNDQCKWTNCNEGCPSGW VLMTREDPGKRGKEYMFDQTGCGGIGSHAFCCPPGQKLPTCGWYTHHNGKCDGTCPKG MGYVGSNNMYCNNGGFQAACCTQDTKSIKLYTLCELGAFPFCDTQTGCPSKSGDQSRK TLLTSSSTGMGGAEVNVSTAGGGWPGGYSRGGERKFCCDTSNKKMTFSDCEWYSGLGF GPADGGDDFCRPNCPNNRVRVAMDTGAKECSGGGKASCCLPNYSDTIEVENDKLGVWR DELKRWLNDPTCAKPGAIISRRYNHANSNSQSLFRRATNPGEAKTESLLLALVTKVGT QAMLEAMEKVWNDGTGNKFPHFKMPGFRDYITNLPNYGTEGPMELCHDIMCSPYYWDA RASKGKTKTVDCVDGICTSIDSCDLDETDAGVAKRNVNAELDGAKYRDIEWMYRGGNN AHDAQLDENGALISPNMRRFMNLEKRITGARPFTASLTSPSGERAAIIITLPGYYNFD DYPSDDPLMDEVVDFASRGDCTNTRIIHLSLPNGETFQIEHLFDGNVMARFMSDAAAG RLRSGAVARAGPVSISFFRQARTMPLLPNAPPLPGGADYSSLYPRVMDCLGSFTNTET FVGAHRDINAVKTNLMRGHNPIATSRWQRLATNAAAPEYVLLRLRASIGVIRYLNHRG TPNINGRLAIIINNVGIQWDHGAATWNAANPNNRVAIGDLWREWAPDFFAYLVLHTQN FVRNGITQMRNYWGVSTSPLTDQVLEILRDLEDQLGDLEINTDDFDT PFICI_12257 MAMLESILSGLQRITDDGELAPDLPDATPDYLNWGFTIYRTEYG GSSDESWLALLDNIQAQIAEELQAHQDDEENDEQRQMAETLQALFRLDTHSDITLLQD KPMDDLRELFLRSVEDDHGAFRPHQCGYFLLADSEVLQDAGRAVNPEFWVKCVQGDYV AANYTPKNNRMGGQRYFGWMKMTTRSMLELWDQLNVRDLASLAPKTIGGLHVTTWNGE LS PFICI_12258 MPSLIRSLAWAYLACGCVSTAWSSDRPRDSHERRQDGPVAPGTA TDCTWYDTAYDSSFTCGFFEKSWGLTHAEFVDYNPSVKNDCSGIIIGNSYCVEVNFGL PRPTTRSTTPTSTKTSTTTGSTPTTTGPAKPSPTQDGLIGTCTKFYFAASGDTCIKIV EAQRSVFTLADFVSWNPAVKSDCSGLWANTYYCIGVPGTPTAGTSTRPATTTTTTVTK STPARPSPTQDGIAANCQRYHLASSGDTCQKLVNQYGTFTLDQFYAWNPAVGKSCSGF WLGNIRHTNVANEKTDHSNHYNHSATSRHVQPSCTNSHSTEANLWV PFICI_12259 MGKIDFLLHENATGYALFKVVNQPDRISGADSQNLATFGKMVQL VNFAPWRNAADALENINDISEGILNEYLRSNLELNLPKASSKSPVTLAVQDKNLGGAI KAAFPGVDAETADTSDIAADLLRGVRTHAEKLLKGLQEGDVSRAQLGLGHAYSRGKVK FNVHKNDNHIIRAIATLDNLDKGINLFSQKLREAYSWHFPELYAIVSDNLGFARMALF VGDKSTLSEDKLHELAALVDEDEEKASEIINKAKISMGREISEADLENITSFASRVVK LAEYRRSLYNYLTEKMSTVAPNLAALIGEVVAARLIQKAGSLTNLSKYPASTLQILGA EKALFRALKTKGNTPKFGLIYHSSFIGKANTKDKGRISRYLANKCSIASRIDNFAEQP SKKFGEVLKQQVEDRLEFYASGKKPTKNADAMDQAMADILADGQSLAIDAEMIDVPLP TNTEDKEKKEKSKDKKEKKDKKDKKDKKRKQSDVGAEEPEAVDGEKKKKKKRKSEA PFICI_12260 MVKLVPVRTGHQDNAHGVPTYWSKSGHTLQKYITALATTDFLLF GYDQGVMAGIISSPAFVSDFPETDGDSTWQGFVTSIYAVGCFFGALFTLMYGDRLGRR YSIFLGASVMIIGVIIQICSVPPGYGATAQFIIGRCITGIGNGINTSTVPTYQAECSA AHNRGKLICIEGGNVAVGTLIAYWIDYGCTYGPHPFVWRFPIAFQIAFAVVILVLMLR LPESPRWLLSHGREEEANTVLAALADKRREHEEVQTQITVINDAIRAAGMRSGNTSMK ELFTNGKTQHLRRLLLGAGSQMMQQLSGCNAVIYYFPILFQTSIGTSENLALLLGGVN MVVYALFACTSWYAVERLGRRKLFFIGTIGQCLSMVLVFGALIPDTTSAAKGAGVGLF TYIAFFGATWLPLPWLYPAEINPLKTRAKANATSTVSNWIWNFFIVMITPVLVDSIGW GTYLFFAALNAIFLPILYFYYPETAGRSLEEIDVIFAKGHDENISYVKAAKMLPKLDA QQVRDYARKYGVENEVSEEDDLESSPRISREKDEVDNRENAGVLS PFICI_12261 MEPSLPVSHPSLSYWHRTTRAYPHLDRNRQTDVPPSSKYVIIGS GISGALVAWNLIKDGVKGDEILILEAREAVSGASGRNAGHIRPDAFRGFPVYAAIHGP EEARKIIENERIVLEQAKSFITSNHIDCDFQDTTTIDVCLSQEFVNHQEKSLAAYKQV GGDTSHIKFHDAEDAKVKTRVPDVICAYEWPAGSVHPAKLTQWVLDDVINQGAQLWTY CAATAVKPHVDPGSSGLRWDIHTPRGVLAAETVIHCANAYSAYLLPHLAHFVTPRRSQ VHAFVPNASGAGSNVLGLTMSLRYGLHHYFSVNQVKGSGTVILGGSSTRSDADSNANL VASMTTFDDSNHCLKYEENSKKEFSMLFPDGQHGQSRPGEGFDQSWTGILGMTPDNVP LVGSVEGLEGQWICAGFNGHGMARVFTCAPGLAMLISGQPWSATGLPLCFQYTQQRID RYAKTRFQVAT PFICI_12262 MFTQAESRSRCEKDLVLRLDIFVILFGCTRRIISSALSAPIEED LHSYVNEFDYFTNYIQAAYCFAMIPGQIIMAHVGPNYWLSGLEIASGVITGFMAVTTS AHQICVLKVLIGLCEYGAWFGMVTLLMHWYTPEELAMRLAIYHLCQAFDGVVSETVQA ALINALDGLHGISGWRWLFSA PFICI_12263 MAIRPVLCAKLLVLMSRSHLLTLEERVTQQQLHLERLQFLLEKN NIPHDNQTLISETGREVSRQIRAQASVHPPQDTPLGDAGPDAGHPGPYAAATQAMLDR ILDLTQRRAVEEPPFSQILLRRFISAKSSPQVASPISPESILTRKRLLPDLMHDLVTT KVSLPSSREAADSLADAYFQFANTGLPLLHETSFRQKLDFVYASPELVDLGSEHCDND FKLAVFFVFAVFAVAIVIRQKRDPFGIPITLADRYHKMALQFLDDAVVPNDYIGVQAL LLIAMYSYHHPTTWDVWKPVGAALRLAIELGLHKDQETTEFDALILDTKRRVFWVAYA MDRSVAIAINMPLGISDGSITTKFPNEVDDEFIKHSGINAPEDGRFWSKSLSIHLFRY RQLQSEIQNILCEKPWPVNSTLDLDRWQHQMHARLWAWYKKCPGGSNLNDDQRINLEN FELSLYRALLFLYSPSPNISEPSDAALVALSEVATNLVQIYSRSFREYKLTILWQAVE NLSSAGTSLLYSYTHSASVRQRVAIKRLESLVHTCSSVLWGMVEHFPAFKGKRDAFDI LASATMADITANAEKENSSFGWPRDGNQDMFGGRDDVGMFMDDEYLENGFFEMRAEEF HDRPTPFSTSEQQRMI PFICI_12264 MAGHSLVSDLVRDSKIETEWLGSCLRHVFYDTGPSAKQRRIRRE ETWVRQKFVGRGAYGCVYLEQCEIGSSQKLRAVKEIKKSVVPGEELDYMRELEAVAKF SHQKYSHCFVQSHGWFELEDSIFISMEYLASGDLQSHLQGPLDDIEARQITLQVLEGL TFMHDNGFVHRDLKPGNIMVVSKNPDWFVKITDFGVSKRRQQDVTTLHTMQRGTFGFV APEVLELLPDKSYTFSVDMWSMGAVVYRILTNATAFQNLAELFRFATGISAFPTHALE SNQTSKEAQDFILKLMKPNPKDRLSASSAARHIWIVGDTQNSLTSNVILSDIETIDSP LLVETNPQTDSMGSKDWSMDSGGSSTIRLKPSSGDITKISNQLTEVSVTENDNDIGSN DTNVTTTIFTPEAIQRIKYQKPSIDEVPEDRELRPNLPLDAPFVLETMETSEFEADPA TSSPQVTVEMETLRRFEVDDNNGPFTGVSEDATQDMEYDLDGSLPETIIFVEESSGNS SNEWTDTDMDGEVDDTDVRSGGAISESSDLEGEDRFQSRIIELGPFPKGSCADCGAFP QPKGQAWKRVSAGGPCDECLLEHIALALVSPKYMPPRYFKRWDVDRRSIGLILSPEVN RAWDLLERLQYKAQDDTWKCYEGHSHPLAFHVTMGPLPIWKNSIRCLGCLNEKELGST IDGSSRKSRHYTEYCLYCSKLFGSCSCPEWLDATIRSFVDALKQKNVLREVTHTAIRD ALYERGDMMNPDSSEPLRYQLFSESLWRSTLKHISPPQPLSTQALQRLNRAYGSADSL DVSPRRRGPCAVYDGSRYAESTSGSSDGSVQPLSGVQPLSVQALMKLNEENGSTHFDY EKQRRAQLAVYGGSRYADSACDDSLYHGFARPRNTRTRNDTQVQGRQESARQSLQTSI RQRKARDDVEDAIAFEQEHFGQSTGRSGTKKRHRTISPARVSGMNFDRVDH PFICI_12265 MGHEHCSIHLEVFAMLQSKQEKAIAKIESLLKSPSRGGGVNKWR VPPLRASLDNTIHELGEWQRLFDPTWYLILRIANKSIDEALSFDKRELESVDNESTIS SSSSKSSSSSKTLVSAGNLRKALAFDSASDIHVTLSDNKLDWDQTETVPYSTTKIVHR IKSPKRYIVNTIDCSSDIDLNQVRTDAESLARKLSRVESDEFGLLAARGLVKRKREGT TQIQSINLVFSLPADAREPRSLRQELMKPQTFSLSKILDLARRLAQAISFIHTCDFVH KNIRPETIISFGDFSLDDQAAGKTYLLGFDSFRNINFHTLRKGDAALERDLYRHPSRQ GLSAHNKYVMQHDVYSLGVCLLEIGLWTSFVEYEHVEEGDASNVEPKPKPSAALGMET GDFEPRIIEATNSYDHIKDHLVALARSKLPLRMGDKYTSVVVTCLTCLDEGNEDFGDD KDMQDEDGILVGVRFIEKVLFGLSDISF PFICI_12266 MAHEYDTSYSYSTLQVVPGTEPQIAPDRSLPQAIPASPEPEEYH TADKYTYVHEYDQTSATAIAAPTSAAAAATPTKKRQICGWGVSPKIFWALIYGAVILV LAGVGGGIGAAMSNHNSSERAAADSAGTATTGSAATSTRKATTPAQTAVTYGSRTLWR DCPAANMTVYTPSDTDQQFRKLCSNLFIGITGQAVNDPYESLNDCIDACAAFNQDNES AIKSGKKNPCSSVCWRNTWNTDYPGQCFGFTMVNTTDGQFNVSTKSKDHECDSAAWIN IDVWNATVG PFICI_12267 MPATLHSVLATFGLVHVAAAALDTCALATQYFGNDYPWYIDRIP FFETSDQTITDVYYYRQKIYRAHQRDIGADGFISTEFLDDVSWQVAPWGSLSDATAFH LLEGRWNRDRIFKESYATFMYGSQANPRGFSEAIATAVWQGYLVDGVVDDVTGYLDSM QTVYLEWESDHYDTSKQMFWIAPIQDATEYTIASIDASCGVDGFQYGESFRPSINSYQ YANALAIANVAALVGDTSVAEAYNARAATLKDLVQDNLWNSTFEHFIDRYYATTDCAT YWDPIRGRELVGYTPWTHDLPDDNATYANAFSHILDENLLLGQSGLRTVEPSYEYYMV QYRYDSSTGQPECQWNGPSWPYQTTQVLTALANLLDHYNNTAGASVITKWHYTNYLRS YAIIHYNPNRDYTLVLEEDYNAETGAPIVGLSRSPHYFHSGFIDQVLSGFVGIRARGD DVLEVNPLASEDITYFRVENVLYHGNDVSVQWDSTGEKYGTQGLIIEVNNVQVASSST LTRLTVNVGRLSPPTWPRTAPVSIQAQNDGSYPVGSVDVDGADTNKIHDTIDGRLWFF TESTYDVAHGYQSPVGDGATEHWFQIDFGTATTVASSEIAFLDASDQAIAVPLDYRIE AYINDAWVEVTSPAYDAALANGITHASWTATSANLVRLVYTPQSGFAVRIIDWKVYDT LVTDTSTVCS PFICI_12268 MNYDYKFDLDDNCPTEVPFCPINPSDLDLNLVPRYLFRVFSRDS SGWNSSKWMRSEAALHTKVNDIFTRDDTSGIAIALNEHIRWQFNTPIRHRVFISWTAS LAFAIQLAIHKHKRERLSLHEIYICVLDTAMFPSGTFVRDLTLMKEFKSKVPDTQNIR FNGSQTTWSRRGLEAMYRSHIKGRCYDFAEYLAQGQLRVKGRSTVLSCDKVINANLFA LAPHCERSLGRRDNGLANEVHSGRASFAADSPRSITLNEYTSAVRIAQEFPTRWRIMM IASLLALHCRPTSDSVHIPVEPTLSKGEMIRSRKMELTCHLTVHSR PFICI_12269 MVEFKDLARVTIFGSREKNGVSFEPARDIPSLTGKVILITGGLG DLGRRAAIELARHGRPARIYIADVPRSSEAKQDILDQINQEVREIPESNVSDAKTDTE FRLLDLDLTSFDSVRACAAEFNAMEQRLDILLLNAGIIRVALGTTREGYEVHFGLNYL GHALLSKLLLATMLRTAEQQTAARLVVVSSEGHAMVPKNGIQFDKLKTECSQMSYLHR YGQSKLALIALVRELGRRHPQLTTAAVHPGRIAGAMGLSLAKESLLVRLSAPLAPLIC VPVQVGVKNHLWAATSPLVVSGKYYEPVGVPDRESALAKDDNLSKRLWEWTETELEGI AL PFICI_12270 MKLLPEKCAILVSLCATLARGFQVQTSSGYIKGHASTEHSDVDE FLGIPFGKAPIGSLRFQPPVKYESQDEFVANRYGYTCVQSPATVNASQPENWQNIARS QQEYTNYTSEDCLNLNVWSKRKRPGTCSKKLKPVLMYFYGGGFHSGSGNDPSYNGAIF AQQQDVVFVTFNYRLGIFGFSGAPGLTQNVALLDQRLAVEWVRDNIRGFGGDPNRISI FGHRAGGASVDFYDFAFYQDPIIAGVVPMSGSVNAFGRRFENTSQAGWTETAKLLNCS ITSDAETATCMQNADAQTLLSASLKASKVVSSQLDTAAQLYAGITSLFGPTIDNKTVF ANYTDRVNAGQLSRVPAILGFNNDEACFFTESGRLPNKPEVVLGVNEAVFACPLQWGA TWRANAGVPTWKYLYEGAYPNLYAPACPGKPWHGQELYVIFNSSELATGYAATPAELA GGEYWRNALATFASNTSKGLTTNSSQIQWPEFGDSKGQAAVTLGGNSTFEEVAPDLEW TVPCYTPRLRYGAPNF PFICI_12271 MSPKAPEVPSCSQCIRAKVSCSGYRDEFALRLRDETLATGTKVC LRKGNNPIPSSQGVHSSSAKRLQGPYRDAMTMTSGLTNTGISLTPEHISLSFFMQSYA PYSLFDYLPDLYKLGPAFGESMEEAVLVPSIALLSYQVKDPALFRLAYARYSKLVKKT QQALIAVEQAKSDSTLVSVLCLALFEAMSLRENQDMANWNAHIKGAAALLELRGSHQF DSWLGQKLYLHASHSISASCVIKKVPAPSALLEVDKTVPPHDTKCLLALRRGRLLRML AEYQQKKLIISKEARFQQCKSMIEDIGGQMEEMTLVDPIKLLNSGALQNLPASVKNYM DSADSYSSIRWAQAWNILRMLRIFMADALCGWLDTFISRAESLPSSDAGQAEQIASLA KLLHVMTQRVKDSIDGILRSVPFFINLSGEPRFTARSLIGPLYSAASAKLVSSEAKAC AADCLRLIGNCYGLEQASEAAKSADHGSDFDSWQVDSSFGRVYGLC PFICI_12272 MSNRNTFILENVRIFTGDEFIDNGYVYVKDGTIEEVKAGSSPDR IQLHAPIRSYSGYSVIPGLIDSHIHALSGNMASIEQSLRFGVTTVCDMHNNPDDNERL KKLAAGPEYKSLYADFKCAGLGALIPGGWPIPVIKKKFSHSGKSDSDLAEYTASWPNL SVPEDAVPFVEQQVKQNGASYIKMFHEVGDTVGMNLPLPSPDLQKAVVDAAHQLGVVA VGHALSYQGTMVLLRAGVDGLTHIFCDEPPSDDYIALMKETGAHCNPTLGLVASQTDE GRELFEWFMEDPLSERLLMSRAAYRPHGLASSQKPKSSIKHARANTRALYKAGVPILA GTDASGTGVGLPYGLGLHMELYALIHHAGLSVADALRSATSIPADRFKFHDRGRIEKG KKADLVLIKTDVAEFLEDRQNRLMPLAAVFRNGTVAAPYERFWNWGTRT PFICI_12273 MAADSAQKFHGLAGKTAVITGGEQSFRGILQTIDRSFVTGASGI GEAYVRALVDSGVHVCFGDKDIAAGARLASGLPTTRFVSCDVSKWEDQVHLFEEAAKF SPSKKVHYVVANAGIAPKDDVFAFEGPEGPPKKPDLKTIDVNLVGGLYTVKLAMHYFI QQNGIEKRPDQEDTCLVLIGSGAAFLDIPRGPSYPATKWALRGVMHSLRRTAFYHGSR VNMISPWYVRTGILSRDQFDQVEKSGVTLAEASDAARALLHILQDNGINGRSFFIAPK KWAPQGYIDLDIDDYSGNKLLQEIQAEQIAPAPVELGLFRV PFICI_12274 MAPDNVENPSALSRIPLQRMTHQVLVKDQREDWAGVTNRRERKK LQNLLNQRAYRKRNPYTTSTGSVPSGEQERTKISREKAKKKRILLGHLAQQALASYMM GQPHLDHLPGLIHLNFLRSLANNADVLQLRVDWLDCNVISPFGFLGPPKPPCPPLVRE RRLPENLAPTALQLKMPHHPWIDLFPLPQMRDNFLVATTENLTEEDEIRLWNDMIEHM SDDANNSTGLIVWGESWNVQNWELTETFLRNWGWLLRDCPQILESTNYWRNHRGDDLL TFDK PFICI_12275 MIKGQAISTAVMALILQMTLAVAQNMSYGANNFYRSENVTIQPV TFISQYQTTVAGNLFTSRDLDYDARSPAIVVGHPMGAVKEQSANLYATKLAEQGFVTV SLDLPFWGASEGEPRNAVSPDLYAEAFSAAVDYLGTQDFVDPGRVGAVGVCGSGSFVI SAAKIDSRIKAVATASMYDMGTVNREGLRQSQSVEQRQQVIAEAARQRLREAQGGDTI YTSGTLDILTANATTIEREFFDYYRTIRGEVTPPGSLPNLTTHPTLSSNVKFMNFYPF NDIETISPRPLLFIAGDQAHSRQFSEDAYDRAAQPKELVWVAGAGHVDLYDRVDLIPF DTLTRFFREYI PFICI_12276 MGVLLRVLLWSNLAVAAKVNFPFESIQLAEADVEDFREVSFGNA SVPVPNTDCRAYPGASGWPVDADWAQLNKTLEGSLLHPSPIASVCYEGPSHNSTQCNT LLRNASTSRFYIDDPLSVLTAWTEGDTCFATASPVGLNCTQGGFPEYVVNVTNVKQIQ ASKLRLGVDMAEMTTGPAAVNFARNKNIRLVIKNSGHDWHGRSTGFGSLSIWTHWLKD FEFLPQYEVGKYSGRAARVATGLEAWQMYQHMNENNVSIVVPGSYTIAPYGGWMAAGG HNPLASYYGLGADQVLSLQVVTADGTFVTASPDENQDLFYALRGGGGSTFGVVTSVIV KAHPFINVTRSSVDFAVRNATNSTEVETFWRGIDLYYHFGKAIVDAGGTAYGDITTYN VSLNNSFTFSTQIEMPAMTAGELFDFVQPLIGDLNAIGISINNSQPVPSTRWTSGNNG LGDVPGNSRFASRLFPRSNFEDDETFKATTSAIRKTVEAGYRFHGIHMQPTESIAGLP GNSSVNPAFRTTIMHADLFDNAALRGVTPEEWFSSYQRFNVSMSKLRDVTPGSGAYFN EADVEEPHWQQAFFGSNYPTLLDIKKNRDPWNLFYAADTVGSENWKVITSDGLPTQNG PLCKVSA PFICI_12277 MQTYNRIAIYGHRSWAGAPFTKTIAAAGAPIKVIYRPGSDISGL PASVTSVQVDIDDEAALISALEDVDILMQVSCVGRDDIMRQQAFINALPQTKVRLFVP SNLGYRCDESGRRIPMRKMKQDIEDAADARGIPLAIVVPGSFAESTFSVGLLGIDITN NRIAYTGDSADQKINICTRKYVAAAYASIFATTTPEKLAGRTIGVSEIQVTGREIAAV MAKRNGQGPTEFHHTLQEVEDNIDSCLKAKSPLAAIWDYRRVWGNGQHVEMIKPDVWE VPNYTKATLESLLLDGELEPYKDVDPRLVAAVNSTFY PFICI_12278 MTPSNTGWPAIAAAGLVVLFTIVAYRRYLSPLSSVPGPFWASFS RLWHVYITIEGNQNEQLVEAHEKYGPFVRLANNEVSVSHPDAIKKVLLATLEKGTMYK MSTFPDWRYENPMSITDPKRKVELSKQFASGYTLSNALKAEESIDRRVSLFLDRLNEY ATSGAPMDLASHITYLTLDLLGEVLFSKPFGYTEAGSDIKGSIATNEKLIGVHAVVSH FRWIQLLALNPVTTKLMPWGHLFNTAYDALQERRANPDARFDVIAHWLKTAAAHPDRL NDRDLLGNTTANVGAGSDTMSCALQSFIYHMARQPDAWSRVRDEIRKAQETEGACRSS IIAYTDASKLTYFQACLKESMRIHNPVPMGLPRIAPPGGLTIGDRTIPAGTTVSVNPW VIHHSKEIWGPDALEFNPDRWLGPDAAQLDKYWCPFGAGYMSCPGQHFAKIELSKILS TVVRDYDISLVNPQKKWKWKAYFISVPYDWPVYVSKVASS PFICI_12279 MFDPVIPIETQMQVSMVVIPVVFGLLATTAVGLRIAARHISHRA LDPSDYVMIAALIVNLAFCGLIAAEPFTGAGMHMTDLVARYGTSPIVTYTKMTVANQI LWALAVCLPKVSILMLYTKVFAVPFFILSARISGVVVILLGVATILGALLQCQPFAYN WDQTIEGGHCGDQVLSFKITASINVILDIVVLFLPMPYLAGLEMAWKKKIILIVTFAG GFITCIFSALRIAAVVNMDYADLTYLAGLPSIYSVIEPSLIITLACIPVLRPLLGGDY SSRGTYRGSGPKKVTDRNVPCQSFRLGTRKKTGFFTIDDVHSQGEDSSSNK PFICI_12280 MASSDNFVLLDLLPGQHGENIICQTRLACLETCGPYETLSYVWM EAAGWRIIQVSGQEVEVTCNLHAALQRLRHEKKTRTLWIDQLCIDQWDIEKKAKQVDM MRVIYRQCRLCLIWLGEIPQGKGFTFTALDVGNVFEFIQQSAKPGDDLDGQQSLVEKL SSPDARDRARNAFTAFGIKGNPWWSRIWTVQESVLPKAAQVVWGSQMVEWSDTQQASR NYCQRLWSLPLVLIKIFRDLLDYVISPVRGLEIAQGGDNTLNLLERWRYRGATDPRDK IFALLGLFFQPPFPSVQHCDYTLSAQALHTRVTVDLLGIEGGLRPFVGIRDSINEHLP TWVTDFGKLPNVDTSERWWNHVHRYARSNADAYTTFTYKYLADIQALELAGIRISGVE EVAQESLSAYEHTEVDNQDLLRVIRSWHDDTNWNAAKFGANYPNGNTRANAFGATLIG DFLMDEFPTRGAIRIEQYLVFQYATRGVEIDSRALSRSLTTFVINQKFFVTTDGYFGM GPKMMIPGDEVWILFGGRVPFILRRKNTHGEADNGYTLVGHAFLYGIMNGEATQSRWP EKRLIRLY PFICI_12281 MFIPSFLTLALAATAVAQGGRGGGGGGGGRGGGGGGFGGGGFRG GGGGRGGGGNGGGGRGGGGGGFGGGGGGFGGGGDFGGGGGGGVPQPQPTPEPVDPCYA NPYYPWVVAMEDNEQGLEYCYDLYPKETKTWWTTKTQQGEFATKTYTVDTTTVTKTKT SLTTTTSTTTTTSTSTSTSTSTTVTVAKPKPMGTKNKRAASFAAFMAQGKGVVSKVCE CIVKPDEQDPYTWTRTKTVDGNGRTRTVDVTATKTTTITTTKTKSTTSTSSTTTTTTT TTTTTSTTNGPIVQPTGKPGSSPCRAGAQNLSGCNRTCTCNRDVDLTNNYCALAQPGD GLKQCTNDDQCLDNQVCIDFLSNNQFKCYSFTGCSSTFNGGLKRNED PFICI_12282 MIGLKTLLISALSVVSVAARAVEVVAERSDVALDAEKRATCVYH CGSVCYWQEDIDEALAKGYSLQKSGSDINDYPHQYNNYEGFSFPTASPWYEFPILSSY NVYTGGSPGADRVIFDGKGNFDALITHTGASGNNFVACTKG PFICI_12283 MAEHPSFTLAGLLAVGGTMGWARTRSTPSLVAGVGLGASYAVAG YLIKENKDYGTELALGNSIALLGSAVPRIIKTGGRAPVPIALGATGALATWYYQKKVR EFRFGV PFICI_12284 MGFDVLAKLSNASQAAEGFVKKKWDEHKLRDAGKAAQAELNRRI DERNQYLQLITAKRTGSSAQDMPPLTCNPADPHKAVFLVTIPITFGRFKLSKNSYSLL AKLSPAASIDGVNHWALAVIDRGLNPCFFYELMSDDLAINALGKNQFRFDEVTPDFIE TWTSCYYVGETIKTHEQIEKMGAEHLALHPRYNLLNSNCQDMVEILVKQLCEGRTISQ GKLREELSAISPKIALDLMVGRFRSRVDTFGENADPEMIKDNDVEVQKEMDMIDVLWS RVRGKPISPK PFICI_12285 MAPRTHTAVVTVGPRKPLEVQQRPTVTPEGDEILVRSRFTASTP LDLHRADGGLLVEPPQVLGAVTVGVVEDVGPEAKLFKPGDEIFGWAHDGNVRAAQQEY VTVPEWKFAKIPNGFTMEQVATIPENFVTVFNTMATDLDLPTPFPKPADYVPERAEEP ILIWGAASSVGQQAIQVLKYYGYRNLIATASPAHHDYLRQLGATEAIDYRDPDVAERL LSVGKKVRGDTQPVIPMVIDCIGSQEGSLNSIAKVAQRGTRVAVMLPVILKHASNEQI PEYSMEVQAAAPWAEGVEPRGVRTHFVYNNAFFKENLASKIMPAFIAEGIVVPQRYRV VEGKAILDRATNALNALRDGVSREKLVWRTSEH PFICI_12286 MPAKKQSNSDGVEADGASNGFCFSETESKIIHAVLQRTDPSMNS IIDWEKVREDLASASVESTRKRFRQISLKRGWFKDEHNGGMSTPNGKNAATRTPGSKN KKVPAGDNDDGAVNDTPVKKRKTATPKKVKAEPDTTEENGHEGQDGANIDANGMGMNL SFDEV PFICI_12287 MAAVQLLLALLKAGIEIAGATVNDDNQIDLYSKVKEGAVPGLCI LQFTGETKLEEPHDLVGGVGISCFSGNTIDYYMIYQFENMGTDGENKISDPHIGDFTI SFSGSGPQVQVPLEGNAVLDSSWYATVSGTDTFSTVGLQDLALNPILMDNCAGDSVDV IIGDYEFSSDSNVADQQIIYSIVVPAKDATGQCPS PFICI_12288 MVGKLKTHKLVVVGDAGVGKTALVIQISLEHFVDSYDPTIEDSY RKQVVIDGIPCMLEILDTAGQEEYSALRDQWIRDGEGFIIAYCICSRSGFARVKRFYE QIRRIKDSTISQLNGAAEAPLRPPVPILLVGNKADRVTEREVSTQEGHGLAASLGIDF MEVSAKSDYNVQKCFYDVVRQLRRQHGEAPGQGPGQGLSGSTDYQMTRTIWGARRISV PASEKSTEAGRSRLAASLISAAKSNNERLVLALIEAGVDANTQSGSDGSPLHVSAALG HANIVNILLKKGAAVNARGPAGAPPLQLAAAEGHLAIVRLLIHKGALIGQTSSLHGTA LMAAASRGRAEVTRFLLKKGASVSVVGGPYGNALQAASWNGNPEVIKYLLDAGADVRA RGDGDCTALQVAALAGKAKAVQILLSRGAKIDIDDQNGKHGSAIAAATKGGHFEAVTL LLEAGARPWDPYTCAAPALEEQHDADEVVGAPRPANTDAQTTARIPGTESTATRESSE SQSNEASSTGLFSSERATFSSTPTSTPPPTSAQMYRAPQVSSQSEIWGPSVYSNLRIR PTISVHGFSTIHDSPNATVDIVFIHGLQGHPEKTWSYNGGGPTTKPSPLRRLFRSAST SIVEDSHNIYWPYDLLAQTQDFANTRMMAWGYDTKVVRDFFGTSDQQNISQHGNNLLV SLQQERKSNPQRPLIFVAHSLGGIVLKVALDNSRRSQHQPQYLDIYKSTKGIVFLGTP HGGAGVADWGVIASKITKCALQSPSDRVLRGLTPNSELLENLRKNFLQMLEDDHFSIH SFYETQPILGLYGLNSLVVPYDSSLVGHARKEVSLGLPGNHSQICKFRGADDPGYRAV FGALQDYVLEATRSASVPSSGNAR PFICI_12289 MRFYLFGWALLSSLVCKTLADDSDNCWTNNCTKPIEVVPAPWTL HGTGWAIPLPAVLSLPEKTYSPLERQQGSTATEGLYTGVVGAIQIIRYTDTPVGPYDE FVLIPGAFTYAGPYLGLPETDLRVSRMYVSQKYTCLNGRVNWNIPKHLASFDWTDNDD GSTTVKLYPYDTTGDENESYPADKPFFQATIYPDAGPDISVNFSSPLIPEGVPVRLNQ APLPTGNGSYGELPGTQTWANTVLALQDTAPGVALVDLDQGAGDVVAGENVNAVGDEF YPNFWPSLGQLNAAVKLENLTIIFNPAETWG PFICI_12290 MGYRTQAMMRCILAFSAAASIASAQLVGNGNLRDAYDYVIVGGG PGGMTLANRLSENSSVTVLLIEAGPLHDYEKAIMIPRWQPLSALELQYQWELLTVPQT ELLLRPVSLEQGRLLGGGSSINIMLMNLGAPAEFEAWANFGNPGWDWEGITPYYRKAE KYTPPTSEQVAEFDITYDEACHGFDGQVASGYSAWHYPQNSLWQEGLDSLGIKRAYDP LCDPLGSFFSPHALDHTNQSRVDARIAYFDPIFGAGGTPRDNIDVIVNTMVTKLIMTN DTADGTTIVTGVEFAESALSPRTTVNVTKEAIVSGGAIQTPKLLQLSGIGDAKVLEAL DIDIIVDLPGVGANLQDHPGAWNVGAVGLGIPNEPEEWLNPILDSEQGDLYYSNRTGR WTEAGDCLAFIPYNNMSSSKEIAAQVLASMDTDTSLTYLPPDTHPDVAAGYAAQVDAI RGMTINGTTAGAEMIWFGGGFEVVNVLMSPLSRGTVRPVTKDPFVNPAVDPRYATHPA DMAKLVDSQEFYRKLIATPQMQEIGLVDVTPGPLVQGRAALELYVKGVLTTAWHPVGT SAMLPREMGGVVDSNLNVYGVKNLRVVDASIMPLLVSAHTMGTTYAISEKAADIIKAT WHLDVHIFESASAFKEAGLAIGVTRNALAALDLMGPSIVQALERAGAVPMRGVRFMLA QGEEQGKVVDEVDEKTAGQRLTSIVHRAPFLKKLLADAPQERMHTAKKLDRVERNALG PVTLHFTDGTTHECDILIGADGIHSTVRKVVLGDDPSAAPRNTGVWVIMTLQPYAEAQ ESLGDGTIDIEEAREYSCIGKGTYVLHNLLQGGQLVQFVVASKDENVSPDHWHRHLLC RQSEHHAIYLWEYPPAPTYVSGLLCIMGDAAHATTPWHGSGGGMAIEDSLILSTLLGR SETTDDALAALKAYDKTRRPRTQRIVESSRVTGEILTGNSEEMDFCAKHPGTLLQRWD FILDIDMKKHRDEAVTIMEAELKSRKTTSW PFICI_12291 MKAAPIISCLASLANAAVVSLDKRAYSSSPLVVEIEHVGNSEVK ASITNTGATSLKVLKAGSILDESPVEKSKVSQGDSKVAFTGLRLYVHTEDLSDSAFQT IAAGETVEVQWDAAQVHDLSTGGDFNITAAGSLRYAEADSNKIAGQVLYNSNVVQAAV DGVKAAKVHTAFRAATKNKRVTVQSDCSSSKQSTVDAAISVAKTYATNAAAAATAGTN MEEYFKSTSSSTESAVAEVFDTIASSVFNSDSSGVALYCTDIGNACSDGVVAYTQPGS DEYIVVCDYWFQFPATGSTCHVADQPYVLIHESTHLTEVKGTDDVCYGYEGCVTDISA SEELDNADTYALYANSIAVGC PFICI_12292 MTQESFLVNLQTNVSVTSKNPPIISVSVKNTHSSEVATILKWES PLDPAALALGLVSVIPAGTTEPIRINALKISRAMPPGSESLVTLGPGESATNTLELRE PIVPGSVWASGDPATVWLSGRWMAVWLGLTKDDLQKDMQKLQAVGAGVGSLIGRWESN KVLI PFICI_12293 MTSLRLILTPHLDCDPEQHSISVRMILTLPDKVKDDILFHHVLA RGPIKTVQYTASDVTIRDTNGSLPLYAADSKDGRRRMFHAGRDVAPGEVSVEYTATPW DATESSPCGPQIALERDGGGLTSAGMAFILRPATNDVLDTTIEWDLSSAPQDTRAACS LGEGVTVTAQVKATVLDECFFAVGPLQSYPSGETKGPFGTYWLSSPPFDAKALSAKMH ALYPKMAQFFGDPDPTYRIFIRRNIQKCVSGRGLHRGFVFAWTTVAPRDEDGIDEFLM HETIHNWPRLGHSAGGPTLEEMADGWWNEGIAEYYSLFLPFRFGVFTEQDFVRRLNIH ISGYYTNPDRQVKNKDIQSRFWAGGHVNRIPYQRGMMYFLLLAYQLKKSGGRSLDELI LEMIHLRRQEQPHGIAIWNAILEKELGPDVVRGYQDMSEAIPIVLPTDFLRVAEGLDW NLQRQDQEEFCLGFSEDSLSRSGGTVKDLDTSSRAAKAGVQEGDVTTRQHSFFFDADR WGQDFTMVVRRITPDGGEELKTLSWWPRGINKVESYQLIQNHA PFICI_12294 MPPYKRLIISCDGTWLDSNSGIRNYSLFGTNEKVAIPSNITRLC RALLPESRGGVQQIVFYQSGVGSTGGLFGHLSGIFGHGLGENIRQAYTFICNNYQEGD EIFLVGFSRGAFIARSIGSLISSIGILTRSGLGAFYPIFKDWENQNIPGYTPKLETHS WPLRHRPVFQAGSKHYWHRLVDAGLSGPAIPAIKAIGVFDTVGSLGVPTIKLFKIPVY MHSTREYAFTNTEVPPNVEYAFQALALDERRAPFSPTVWESPKPGSGAVLLRLRQCWF LGAHSGVGGGYEDTSSSDITLAWMITQLSPFLAFDRSYIQRQREQNVEFYHRKRVRVL SWAMGLLQASDTKLYSTITGKQIRTPGEYCVTDPITGETTDQRLTDTCEFIHSSVRYR IRHKGAALVKSADDYDIMDKTLYQPEALRDFRYIRNAKADRYGGEYWRGYEKWVKRNP DGTVTWIVEDTIEPETAEMDLLKGWSRSRSEKRRR PFICI_12295 MAPHLTSLVYRLRGLPSYISSHTQAAGLLGWALGQQVASIEICS LATVTVSAWTGTTKTATVMFEEIPQIVQDSPNQDEWTILHPRLVHGLLLDSHFRGMTP LHDVLPPREHKYSCIAISGLSSHPFGSWQPQGGRKEFIWLRDELPQHHPTMRVWVYGY DTNLTDRTSFQSISDLSISLINHLEASDFASPTAPQMLVMAHSLGGIVFKEAISNLAR GGEKYTHILHLIRGAILFGVPNLGMEQSHLQNLVQHQPNRSLVKDLALNSPYLLDLDK RFLAHKFNHRMNVIWAYETRTSGVFEVTQDGKICKSANRRILVSKESATSNLISEHAN LTIPINKDHSSIVKYHRNDEDCSRVMLKIREIIDGIVASPSGRPGAFDISGPPVAEQQ AQPSTVTPSSGPSMSFTFMDIRQDEKIVSSVTAAILVSVLHVPELSERHEAIEARAMH TFEWIFENDELEFTKWLRSGDKLYWIQGKPGSGKSTLMKFIYNDPRTRALLDNWKNSE RPIVASFFFHHRGSVLQKSIDGLLRSILAQILRQQPRLADIFNSAIEELVSVSFPLKE QALKTKLQSHAWKQSELWKMLCQILGQKLVKLDICFFFDALDEYDGTPDVIKEFLSDL NQASSSGLTNLKICFSSRSWESFKISFSKHTNFSIHEHTTNDIQAYCYQTLESLEAVA ELLGPLVPEITETASGVFLWARLALRDLIQEVEENETTANPESLREKLNRLPKELKDY YGEIVKRCHHSLRMQAYMLLEIVTRSDGILTLSQVSLILASFTSKTVNACRNAIELSR TNEGIEGSEFAHTEKMITNACGGLVEVKGLSYVPQRMWYIQLMHQTVREFVLGPKFKT LFLDSGAKYQHENGHSFLGKFYLTQSMMGVTSTVGMRFSESISPVELARYHLKEAEMT TGKSQLQFINSFTRDQIDATSYTGGRTGWTGWTGPRVTNLPFAQFDFAATGGLHLCLT ELIIQYFVTNEEKDAALLRFLSLLGVGDHSHRTNSKDSQSILQLLGLEEGDTPLKFLC NNRVNLISQQPVVRLPPYPEAEGAKIGISSQYPIEGLPFPDPQGTIKKPVKIIEIHDD LLTVFEKLFEEERDAGSDLIALLRSGIMICNPWFTDLVLVSQFFASTDVMIWGRMFVE WSIVFWSTKERAEDTGRISVALAESIINIFNWISSDQKLLINNRAAWKLARRNLLGPN RNSSLYLEVVQKMDVLLSRDNNSPFVNSENSNIQWNRDDRFEHSTRREPEVREPEVRE PEVREPERVDEHHPVQEPSRGKKVPKNETISAFKNTLKTRIKGAFTSSRGG PFICI_12296 MADFERDSDTLSSEEATLLASEKDEFELKRPTKSRFGRSRLAKA ITYVFHCLLLLINISWAFVNFHYRTRNLTGHGTPYEPWEAPFEEKYSQYQLPLGEKSV YTTFDRSVADPEWAKISMKDGLGWIKVSQEKVEAMGQSSVQFHDQSGYFFGMDVFHQL HCLNYLRKKTVLYNHLYPSETEVEDQQVPPEFHIRES PFICI_12297 MAPPSQNSKLPWGMGLLTTFCIILAFVFHSRWERPVSQWPMNLP LRTYTFVDHPHLRDFDNAEAGQFWGAMVWHDWWDAEWRDDAGRRFPRGIDVFHKMHCL IAIREEFANLAMDDARPAKRQVASEPQTGSHEKRVVDLTLNQNHLEHCFDFLRQDILC AADMTLEPLAEGYVETDGQGVEHQCKDWRVLLDLMKLPDMDEI PFICI_12298 MLKYVYMACALGASIIFLWLSLTGPPPPIAHHTYLPEVEARPRF LLGNVTYRHFDDTAERLWDELVPSNNGAVLATNITSGFHFWAIPAMFHQLRCLREIRQ EFIALSRSGAEARRFMSDRGPGSSYANVSYCFDYVRQSILCHADTTLHPVAQLTPEQK IIDGNALWHMCKDDSILYQWAQTSGMPHQDYLIREHPISG PFICI_12299 MAFGVYTSKQVAMRKSSERPLLSIASSSSTAPSDDFEYEVDRTI SRHERRRRRKAQIVTLCRNTLIGVLLLVVMLQTAILHSTWLQSKDPRPLEEFNSLVPS VGSKVKIFKADPSFEPLNATDASWMKVMPAGGGFISVDDWSDKSLPPPIRSRDKNLYN IAVFHQLHCLHMLAEEFSNLLGNNKHHGHEDMSDDLMMWHVSHCFEYLKNSLTCCADT ALEGQKSDTDEPATDGFGAYHVCRDFETVFDFARKHRISDQGGYPHGTA PFICI_12300 MVSAKSFAVLSLLGLCMAAALPAEVSDKRYLVKYEGDSANDKRY LVKYEGDEEAAKDKRYLVKYEGDEEAAKDKRYLVKYEGDEEAAKDKRYLVKYEGDEEA AKDKRYLVKYEGDDETA PFICI_12301 MEEIWKRCWHWFWNFANVIFAILILAGSRDSTSSAFSLSNPYQR MIEMFVGDDCASLATEIIPDKYRISLTSSCRVFGDEVTCRSHFPPALDWARLLEADVV ASADNETIAICTAPFATVDGHFPTTNAMAAAIFAFLIISIIISVMVFVTPIISGKAFG RHVMLVTGFDVVLLVACIVLYVTIAQYAIAPYTHTDIAAATGERVNTLAILGIGFWLL IAALVARVIGNPVLIRSLITLFFHRCSGGSAGPKRGFVEDWRSPAAREDNTARMMQEA DERRAREVQRRAEEAQRLEDAANQYYRR PFICI_12302 MSHRRSAFSIAALAISGSWTAREIISTVATSLLPSFMRSSNEEK EPLTTTKSTKSSRRQDTAYLDGLRGLAASAVYAYHFMVPFSRSLLYGSLPSSPDSASF FGLPIIGFFRSAATMVNIFFIISGYVLSLSTLRAIHWQDWEKALHCLSTAALKRGIRL FVPAVITSLLIFVLFSGQLYANEDLFMTLPAHWVPLRPRRKASLLAQFQDWLDFVIRR LTNPWRWNYDLFASPNASYYGAHLWTIQTEFHCSLVLFFIMMALSRIAGSWAKFTLSI SLILYSCLCDRWEVAMFLCGMIFAERDIGSKKTLEPKWKDLNGHWIFRRWYRVFIVLR GLVVLCTGLWLASYPELRGAEAIGFSWLAKVSPSPQVWQAIGASCVVWSAANVTWVRS FLATGLLRSVGGPLPLR PFICI_12303 MISSRPSNDKYEKVLANDPELESNSQRTEDEDESILPKWDQGYR KRSLAAMVWLCMRVALIVASIIAWGSSLWLTHLATLELQKARALIPHSAITTAQATKT LTVTAKLSAPTHTDQKTDSHESTGHRITNPHHDSLNTMFIPGGQLPVAGYGLAYNTYY CNGWSDPEGARARGCVLDPSQGGWVHELCHDPELRAEWLRLPDFGWWLDAHRTQPIAQ ERVWAADVPGGVHTELFTPQAFHIEHCKFVMRLRVKHTTRRNRGLGYLPLDPGHMYHC LHIMTDDGSDPTTLTKVVLGEFGGGTSGFGLGGECYMPIL PFICI_12304 MENEPIYEEIPFMPTNDENGSLDTPQTEERPRLRRDFLIATLPF FCLQLTWSIQQVFGIPYLYSLGISDSNVPLFLFAGPLAGLIVPPLVAAVGDSFGSPYG KRKPLIFFGGIGVIFSLLAFASISIIVEQLSYSTAAAWSDAKVTHVVAGVSLYMLNFC IQPLSLGLRASIVDYFDPDEQVAVNLWVSCFSVMGSIFVALLALIYSPAFWDLSLVVV ALLLAMLIMVAVSQLYRYPILGVSEPVQRSMSIRSRLSHTLKTARDLPPITRWTCAVQ LRSWFAWFLVLHYTSVLVSRAYEDHNTLMAASQQSATAIAWTALLFHCTSLLSLVLVS LTREDSSYHLLSSKTNGEDDEIGDAVFTIEDDSDPETEADEADVRRASLHITTTSHVA SNHDNRQDVTTNLLQPIQIEKYKESHGTKTLETTRQTLWREIWRPSLLGLAISLVATI VTNLFPKSTSTALMTSLFLGSNGLLFSLANWVPYTLIAYEAAVRARARTANSPRPNQN QNPANHEKDGPSNDQAPGNEDENPDTECDDDHSTPRLLAVHNMSITVPQIMALVVVWL LDQGLDALGMVPDVLWTFVLCVPALIWAICQ PFICI_12305 MFSKHIRNVLRRMDTLTEEYFILTSWKYLRRILLICIVFAQWYL LWKLWTDPPLPEILGDVNGFAPSFGSKPTLFQKEPDFNPLNASDSRWSDLLPELGGGF VRVPSWQSFPLLPAPVRAPSDGTESYNVAVFHQLHCLHSIAELVEELLPTTATTTEPK ARIPSPRRKHIEHCFEYLRLSLRCCGDTTLEGQGKTVTPPGIDGFGSVHICRDISRIS SWAEENRASDLQELPT PFICI_12306 MSVFAFRFGLEKCSSILAEAVSLAQSLRLHLDFILQGKESGIST IQVKRATWFLFVIDKRYALRWQTFPLLPELDYDLPRSEKRRHTSRSSQSDTGTNGYEE DWLCYQCSYAQICVRITKGSFLSATCSNSASKEKVRRDMVREESQPLSDEMQNATINN LLADLQDWFKSVQFITHSRADAEGEASIQECQMLLKISAAYQYYEALLSVLSPLVMNK SRARYHDQSNQKDQTATEMLMQTVRSVLEMGTHLSQITYDRTLLHVPTMALCLLAIEK ADGNSELDAKKRDGRVLIAMAYGLFGRLAETLPHHKFFEHVADLVSMVDRV PFICI_12307 MYSSFSWPWTFVLHILILLSCFALTDSRLLSHHDQLLQRLDTGN DYQLLQRNSKQPSNSSYLQANTTQFFVNSTSLPDVDFDIGESYAGLLSISEDLSILER LFFWFFPSSSATSRDEIVIWLNGGPGCSSLEGLLQENGPFLWQYGTYKPVPNPWSWSR LTNIVYIEQPIGTGFSTGTPSIHDENELSHQFMGFWKNFVDLFGLHGFKVYITGESYA GMYCPYIGSAFLDAQDATYFNVSGMLIYDPLIGNAISDRNIAAVPFVDQHKSLFPLND SFAGNMHRLHESCGFQHRLTTYLTFPPPGPQPDLGTMNLTDECQGLWTSIYTEMFPIN PCFDEYQVATTCPLLWDVLGAPGSLSYLPKGAEVYFDRADVKKAIHVHENQSWSECSG GIFQDNIDASDPSSWAAIPRVIDATQNVIIGHGQLDFVLISNQTLLAIQNMTWGGLQG FQSAPSEPFLVPQHALSTYAAITATNDQSQLATLTGAGVMGTTHTERGLTFVTINMAG HMVPQYAPSAAYRHLEVLLGRVDSLESNEPFTE PFICI_12308 MDPNSCISAEAFGLDLCTFTDTFAQTEYRWIFWLSPATAGAFLA LGGLRYLHLLRRRSNITRAPIHVFALKLVFCVAFAGLRLALLVEALHVGHDQTEPLKA SRILDFAASCLLLGLSPLEHFRSVRPSILVCSFLLLTFIYDVARCPLLWNTGLWPNED GISGGFTRLFTACTPVELVLLVLETFQRRAWVVWSAHDHSPEEISSIISLGLYAWLNP LLWAGYQKPLAMEDLYPLDLDLCPNVHDGEDKIQIAETSAGFFSWHLTTWLAAPLGSH FLMAVFPRLCLIGFNLCQPFFLQYLLDYLAANTDTDTLTVPGLIVTALFIYLGIAIST ALYWYYQERFQSRLRAFLITAIYQKTNKIAHKSDGDLAAVTLMGADVERIYTGIRSIH EIWANTVQIPIALWLLYHQVGNAFIAPLVIVLIGFGISYMISQRAIPYQSAWMANVQQ RTGVTSETLSQVKDLRVTGMATPAANLVKHERENEIRIGQKARTLIAISASLSQLPQA ISPALTFAFVSHVLDQTRAYTALLLLSLLTAPLQQFLQIIPIIAASIASLNRIWLYLT RIERVDYREFRLLESTDAKLDGLDETKNKTIGLSHATFGWSPEDIVFKDVNLEISRAS ITFVTGSVGSGKSTLCKALLSEVAFMQGTIWLRSRSIAYCDQKAVIFNASIRSNIIAH SSFSSNRYAEVILASMLSEDLQQMPRGDQTIVGSKGVSLSGGQRQRLSLARALYADAE IMILDDVFSALDGSTQARVGLNLFGKNGLLRQRQTTVIASTHAVPFLTLADRVITISG DGSLADQLVDDALPQRVQDSHGSDEPRVWTNTQESHNVDPGRPVAQDPVVRTKRSTHE GNDLREKKSQQPITLPSADSQVYRQWLTTIGAIPLAIFVVLVVGSGFFPNFQTVWLKF ISSDSTQTKPQHSWEYWIGLYAFFGAATVLCVFPAGLIMLRTGVRNTGTNLHHKVVDA VMHSSLRFLGTTDLGKILNLFTQDMTILDTMLPRMVNNLSFTLSSAIGQAVVIAISSG WLALSYPVFIALIWAVQHVYLPSSKRLRILDLEAKTPLYTNFLDTITGIHTIRAFGWF PRYQARNTALLIDSQRPSYLLAMAQQWLMLIMNLIVAILSIVLVVLATRLRSNAGSVG AGLVTLTTLASTLGTIVVAYTGLETSLGAISRLTSFIQETEQELPPDNNIVPDQNWPD RGCIELHNVSAEYTDEAPVLKDLNLQISATEKIAICGRTGSGKSSVLALLLRLLDPSI KESSPLTSEKTTSAITIDGISVNQVDQTCLRERIIAESQDATFLPSGTSFRENLDPWR AASDDECTTVLADLDLLEVVRSKGGLAAPFVPGELSSGQKQLLSFARAVLRRRVKLRA TTDHVDGGLLLLDEITSSTDTETERIVLRLLMDEFANYTVLIVTHRREMAMACDRVMV LDAGRIVEVGQPAELWKRAQGRFRALWLQESTA PFICI_12309 MSSAQNVEISEIQQGQLRVLPIAMIVVPTIIILLRAWSRALLPV SPTSHITTKFWWDDWMVFAAGALNIANCGMGLKLVDYGLGQHVQDVPPENLYKFLKIL WAVHYTFDIGTVLSKASALFFYDRVLSANNSRFKHALWLVHAMNFAWLFARLFGDIFM CSPVQKAWNPALPGKCLNTGWLWTGFGVTGLLIDVIILIMPLPVLWKLRITTLRRIQI CAVFVLGYLVVVVSIGRLVTILEAGDQIDLDPTYKLVLPLLWLGSEIAIAIVCVSLPS ILFLGQRAHRNGFRSLFQPSAQLSKTGLISSGTNHPYHEESDENGLVMRTRAHSHRPS SGSSGSDPYLGRTKAMVELGGPNAWSTEHNGIHVVRGIEVA PFICI_12310 MRDIYKYCRHCLVWLGGIKEHVSVEDAERGWEVLTYVSAAGKAG NYRDSIAVAMSSGQEAFHHAVKALWTSAGQRHPWWDRI PFICI_12311 MASSSAKSPSLLTVTGKSTRHVNLLLINPNSTQSMTDSCLKSIE STLPQHVTVYGFTCPEPGPSAVEGKVDAVISAADSFRALHPLLRADPKRFDGFLVACF SAHPLIAMLREEYEQPVMGIMEAALYASRMCGESVGIVTTSERSAVMHARSTVEYGFA NYSVGCETGHVSVLELESLPRDVVYAGLGAAAQRLVDKGADCICLGCAGMTGMREAVS SAVGMDERKAMVVDGVAIGIHFLTALAQESLGTPKSGVYRSSAAGRARRGQQWL PFICI_12312 MQRMDSEASRKRKYSKKLRNVLTKFVGQFRRKSSDVSATALATP SGGSQPRVRPDIPSENIPPAADSHPGDNPEALAITTGRPAEPAILQPGERHESTVASA REKDANKSVFEGSESIPCFNEAVKSFKSMYEDRYKAIFDEESSILDRTNLKSGSDLAD QRSQYPMGGIESLIQRFKTYLPTLGSVQTLAMALSRLDPHGIAPLVAASVFFVIQASF YKFPY PFICI_12313 MNKWILFEVAQARSASAFKSEHIKELTTSLPGLYFKAFETISLI HKVPKTNVLSLLIQDQIPGIERSLSELERYDRTCATQKERVDIDLKSLEENSKLLKWV KGDKNPEPSHKSVKFQTGIEKGESQAAAWFLNTSVFKSWRDGLASEKAERVFWLKGIM GSGKTTLICRLISYFEVYPIHETRVVFYYCYGSATEKQNQGPSYEEIIRGLCRRLAWN DGGEIAQPAKEFYQQCTSLDDQKPHTNRWEQLLRDLIIHSKERILFIIDALDECSAKA DRRKFLKFVRDMQQHGVYMILSSRPHAEVDEYFKTSTIRYSVVTTEAKADMEVFINDE ISRIRTDPSHSQSAFLENENLELLSATLINQANGMFRWAQLWLGVFFNPNHESVVLKK TAKGMLEMLQKGAALPTNGDGNDMAQVYQQLWDINGDGDEDRKLRQIRAFRFVLAADE PLQTQTLLDAIRFDPEAPTELAKEIDVVYLRKLFHNFLLERSGRLEFEHVSAKTFILN MQDPASKHVIFDDDLENHRTIAETSLLLLRNPDHELWKEAHVHWNSNEVRAFIRRHQD FDEAWERAVLAVFSSRYDVEELARKLRDPDLRLEFRLDKERLNASCLALYVLYSWSKH CCKIHDNGLSASFRRLLLDTLRDRPVTLNYLEAMRVNQLPAAADIDPLIFALSHGLSP FHSPGGGIDLTPNLGDIMARNSVGETTLHIAVRKNDHRSVKALLHIHSEADSNVPLLY SVDNEGNNVLHVATKDEIVMILLEFESKWAKTTSLHPDKLQDSELLQTTNERLKTPLE NLAFYCSEDLIMAIMERFEVKASRVTGALRRRLWPTVIRRGFKRVIRLLLDRGAAIDD IALPYGTSLSIAAACGNEDMAAFLIQQGADLNGPGGDYGTPLGAAVHFVQGRVVDLLM QHEVDLDQAVRLSPDSDDKKWNRVGGRFMKVANVLQERGRRYDPDNVGALKVQFWGW PFICI_12314 MVPAQPPIIGVLAGAWSLLNRTTVYPNGTVVIPIDGLGANAVGI ILYTNTGYMSMNSMATEPAYRPTTITFPYQEGQSDADWALVGLHTFSYAGPVTNVVEI TPTSGNLTHGPLTFAHVPSLVGSDQNRQYEVLDDGNILRLSARVSSGNTAVLTWQRLA CQGRANPAN PFICI_12315 MGDLSADYIVVGSGVTGSVIASRLSESSPKLKVILFEAGADPSG EPAAAGFLSGLALQNGQFDYASASEPVPTTANRMHTLSAGKALGGGSILNYGGWLRAD AADYDEWADVVGDRRWSHEGLKPWLRKSESYYDRAADPEEHGFDGNMHVTSISASESG ERKYPLRETVKDAWLELGVPLNLEKKDGFIGGLTEMYENSREGMRQPSNTAYSLAGVD VHTETAVHKVIFSGTTAMGVQLVDGRKVSARKEVIICAGAYRTPQLLMLSGIGPSDVL KEHGIPLVHESSHVGQNLHDHFAIYLAFRLRDPSLGYALGSPLWQNPALYKALPWDWI VSQALPSEIIGRHENAKNKEKRNLYEILTAYVPPGIPGIPVDGTHIATSTMLLLPNSR GSVSIRSAKPDDAPLVRPNYLSTPFDTDTLVHATRQTLRLMLATEHMKPIVEGETPPS GDGLDGLQPITIDSTDEELEERIRRTGMQHSHSGGTAAMGSVVDGYGKVLGVDRLRVA DASIVPIPLGGHPQATLYAMAEQLASDICDAAHTD PFICI_12316 MDSTTDSESSSTQDHIQTPLDSKSSDIVSGPATLKKLDATPTLE SLQTDEQRRVLDIVADLRKCGLESVLSLPQLVVCGDQSSGKSSLLEALTEIPFPRNDN LCTRYATEIILRRAAVESLTIKVIPDSKRPVTEQATIRAFKAFITSFDELPAIMNQAS ALMGIDGASASGPRTGAFARDVLSVEIEGPARPQLTLVDLPGLIQTKTKGVSDADIDM VNEITDHYISQPRTICLAVVSATNDYANQGILTKVRKVDPDGERTLGVITKPDRLIPG SGLEQSFITLARNEDVFFKLGWHVIKNRSFEEADNSFLERNLSEDSFFRRSNFKTMPE EHLGIAALRDRLSSVLFSHVKQELPKLREDLQTALSDSKSQAGILGKPRTTASDCKDY MITLSLAFYDVCKAAVNGHYQGNYFTQNPDATFSVTSPASIRRLRAVVQVMNTTFSDT VRTKGHKYHINKSSSASKTEPTPPGTEDVDPYNPLRRNLPVALSKSEALDWVQNVVLR SRGPELLGNFNPLAIGELFWEQSARWKQLALDHIDNVSMVCGRFLDALLKDQSPKDMQ PRVWSVMIQEVLATRKDASIRELDQIWDDLRHFPINYNHYYTDMVKKQQMERSKGSLS EAIKAATSHTRLPNCNSDHTTASVDVDLAFLKYSEDIDPDMNQGSCEDVLDCLLAIYK VQQKTFIANVTTQVIERHIVRDLEGIFCPVSVHLLSEAELLGLASEPMSTRTQRSFLE DRIKKLEGGHAILRSVLASSVL PFICI_12317 MGSRHSKLRTNGDFKNAHPVATSRSSRRAGKRAEKIQQSPRSVV LITWGRNGQSSWSFHKCILIANTEINQVTEETIGTHWDWGSIVGGVKITVNPPVQQKK FLEEDIFKKGEKYGNTYHIVGTTNWSDDKIKELYTRIGEHYNVITNNCRDVAAGVARS LTIDTARMTVAEAMLREWDIKRNKVRISVPFAIAGAPLWMLTEEQMRGRPTISQRYAQ G PFICI_12318 MHNNFVVILVVAACIAVEATPLAKRQSDLQCLTDDPVILALQSL SATSFCSSLLDISTTTITTTIASDALTTTTVTTTATGTTSVPVQVSTVTSTQTLTSCS LNPLRKRGKCTKGRGHATTPTGKPGYSTKTDNSGYKTTEAPTYSEHGYPHYETKSSKK PESESQYPHYPEHYSSQNPEYESQYPDYPEHYSSKEPEYKTQYPEYPEHYSSQQPEYK TQYPGYPEDYSSQEPEYETQHASPADVYPTAAYPYSHPQPTYGVSTYQNSDTSTDTYP TSHVWPTYGASSYKSSDTLTDTYTAPYTWPPLYDSSSGIISDTFTSTSPTSTSSTTST STTKTTITNIPTELSVFDAADLSFGCSCLSLPTSSVVVTVTDAGALTATDVVTVSTTT TISQSVSIVTTTTTTTESACATPAVCGNAGIQWAEYRNFVERANDDATYSNFVPEVYK GQAPEIPGTYGNIGPIEITSTRSRLITIYNTPRAFPSAFFALDHKGYFFAPVSGRYIF SVTNVDDAVFLWLGATAYSGWTRTNADGFGVSNQGNGFTRAFADLTAGQYLPVRLMLA QSEGPASFSFFITAPDGTNLVSPDIANSPYLVQFSCDGVTAPAFANWTLET PFICI_12319 MCEKHSYYKDGRKVDSQVEQCSKAARHGPNTICEDPVKFRHPDG ETPRRSSQYLSPGFPPSPPSSDASFAPSSDGERSHKRRSGTYINGEKISRSGSRREKR SSAHVTFVEPSTSPRSPLNYDTPASSPSRSPTYTYHTSTSSAYPSHDQSHYRHPEVRM EVNRPRRNSTAQYEQSTSKSKTSSSSSSSEEERLRQQLKREERKNEETKRQLAEAKRQ AEEAERRADAERKVRKDAERERKLEKDIKRQNAAIDSRPPVVQSTAAPVYRRGSVSIK QQNTAPIADPYVSHKYYEREERRRRKEEEEQQQQARLRARLTPHTAPRGYDRPTVVYH GRDTY PFICI_12320 MPAIITVAYPRPSGEVKFDLQYYLDKHMPLVSKTWGPSGLKSWT ITEHSEGPYVIQAILQWESAGAFGTAAATEGGKEIFADVPNFTDLQPIVLTGEVKGTW SA PFICI_12321 MSEKRDLDLIVRNGRIVTAAEVLPLGVELGVIDGKISCIGTNLT SGPQTQVVDAEGAYITPGGVDSHVHIQQDNSPTGDTWESGSKSAIAGGNTTVIAFASQ KRHEESIWPALESYHKKAAGNSFCDYGIHVILTNPNEKVLEEELPLLVEREGITSVKI YMTYEPMKLGDGDLFNIMMRARGLGITTMIHAENNDIIEQITKRLAKQKNTGTFFHSV ARPQIAESEATYRAISLAEVTDTPILIVHMSAPTAVKHVNDAQRRLLPIHAETCPHYL YLLSDRLRSGPEDFEGAKNICAPPLRHDARDLEEIWLGLANGTFTVVSSDHAPATFDH ECGKLKGLSAPDEHGHRHGDFRVVPNGLPGMETRLPLLFDRTFDPSRPGGGFGDDKIR ISLPRFVELTSTNPAKLYGLGHRKGSLAPGFDADIVIWYPEETPLVSQSEADGPQSIS SSRQTGGGRGKITITNSMLHHRIDYTPFEGVQVRNWPRKVFLRGQLAWDRDGAGVTAK LGDGEYLKREKSTLLTGQMGRQPTGMLAGELSYWS PFICI_12322 MSSKATPRTITVAAAQMGPNQKTDSRASILNRMLKLMDDAASQG VKLIAYPELALTTFFPVHYLTDEEEIASYFEPTSAAEPYALLSSPHVKPLVDKANALG IDFYLGFAERWTGEDGKTTDFNTAMYYSVAAGTGVGKYRKVHLPGRTEPLPDPKAFQQ LEKKYFTPGDIGFKAFRAPGLVPDALKAEDVGPESETVAKGDPILGMLICNDRRWPEA WRPYGLQGAELVIEGYNTTAWAPQYDGTPEEQQETAEFHHRLSCQAGSYQNALWSINV AKCGIENNQGLIGCSLIIDPNGRIVAESKTMDDELIVATIDLAKCQYQRGRVFNFEKH RRPEHYGIILDQVGLQEIPLLSGA PFICI_12323 MITNGTVENGEPRELPDDVVIDDPSELEPDPGEDWDPESEPEPE PVESDESDEPDEPEPEPELDEPDASDEPPEDVSEPEPEPVSVPELEPEPDPPPDCPAD PELELELPPSELSEDPVPLESLEEPLLPVPLDELPPPEPLEPLEPLLPLLSVSPLVPE PDDPVPIPKLPPPEPDP PFICI_12324 MRFSRFFTTALAASMPVAAIAKTSPDSFAATANLLTRDEFAQFA KLALAPRQDTLDLGDALSSLLDLLKQLQTFLSTDFLNDVHTVVTGLADLLEAPFANTT RSIVFTASDALGQVDLGSLIGQISPLLDTLGKLDIGGLVDAASGLLTPDNIKIIGNLL NGANSLLTQDFITETKQLIADAQPLVSAVSEFITALITALLGG PFICI_12325 MLLKTVVSVLLTAVASASQHHQHHNAKRSDASSTPTAASSFDSA KVSSQLQVLLGGMTVSALVPIPTVTLGISIPANLRNEIMTAVPADVIVKLMNPTYRES VASDFAAGNTPSWYQTLDPELKTFFEGIAKDIKTGDAVFTVSSTPTVTGSGSAEATDD AVASSSSSGMAAAPTGIGREMAVSVIALAGAAGVALIL PFICI_12326 MKKTIRIPGKHQRAKTYLERQNEVPLWQRLSPWHRRMSVKTLEC DENGHRIVAWMKGQGDNKNGKAMTLRSTEAMCSTLPPSPLRRNFSFVGMPSTPSKELQ RRPSSTTPVMAEMPDTAIFEMMDTSPRVELEDTGIGSETLGNPVNPYGIAGWGSIGLS SAVNNSRAAYQVSEFSSSFPAVANSHTAYQVSEISDSLAAEAPPPRSPLVETHHQPAP QVSRHVLFNIVEKDETKQSGLSIPIPEPNPVLLRQKGPCLYPPPHNIFIRRRARVYSP SRSLNSGTVSRSDSLTWQVKETSSAQKKEDRPRSPNHVLGYKPWHAAQNVDIEEKQRP QGDSMALWSSLRPSSQTTRKLQKLKRVQSRQKPGCISNIGARLKSVVHKNDSEFGGPV ANRVHRHGLVYEYLGPTAVTGPYTEQDEPPQSPHQSAGGVPMLPDIPENIRMPVELDS QQTRAIPDA PFICI_12327 MDPLSITASVLTLTDAVARVYRFLQSVHYADAGYSGLCVELNNL IGFSRSISRALQGCQRHPMALAPIDEDVWKQSRNAIRDSQQAIDDLNALIKRIGGPSR SNSIFRRGKIATEIHFHTREISAFREKIRLSNLALQTLLQVINVSLSLRSNTSQTIIL KELERLNRYLENSTRAAADNNPLFELDKSDAHVVQNLNNLVRAVGDFHASASVQASTI FSGSQLLTRATQDQDSASSVVQHTTTISKRRHIESFLRQTRLPSRRNSRTPSGNFNLP SSPFQVDYPEVVADEEANNLDRTLAGGFSKMAQKALRKFDFAKAEQGFQQALDRYKIS APDDAHHSRLRTQLAICSFLQGKGSGIEDAVIDLAEFRGTKRPVAHQLLYNLALSHMH NLKFEAAHKICSRLWEDMSKPGSSAHLKKNDLFRLLVISYRSSDKELYAEALEEQHPE LASVTDDGLPSILESVINCEDL PFICI_12328 MKFTPFLASAGLLQVQAVLAQTTTTGTPTTVTSATTTSSTATCT ASLITKLCDYTEPASDSAVAEDSVEHCWEYCNNHPPCNFVIFAAGNPTTGSGTCWLYP GETYDESAGSSDCSSPYLSVYSQPTCSGGSTTTTACAATATPSAIAEVCDYPTPDDNC FSSCVASSGAVDCLSRCVEADDCNYVVFNPHNDDDSQYGSGTCWMYDSGSYNASAAST CSGDPEQYVYNNVCPKASSSSTTLLTSTTTGTAGTVAADSTASSASESQTSAAIALSR RNPLAAGMRWLARE PFICI_12329 MEEMKETKSASSTHDSFTSAPLDEKHDHHPAFSKDEFLSPDFTS EHISLIVREGIILGAGAEAILLQVANPGVGAGVNEHSNFAYRVQDRLRTTMTFVYCMA FGTPQEKKTIVDMITAVHKNVNGTLNEGRDKGKAYNALDPGLQLWVAATLYASGLSVY ERVFGKIKNEELNEKIYREYSILACALQVPPEMWPRTRRDFWAYWDHEIATIEVTQHA KDVASDLLYLRKAPWHLRMFTPAVRLVTAEWLPERIRAEYGVKRHPTRYKMLEFLVKA TYPALPLSVRSYPVKLYMNDMRKRLANKRGVIGKNA PFICI_12330 MGEKYQKFTFPASGKKDTGFEHLENVNSAEPVKLSKRAKAKRHL KRFWFCYFLGGIIFLAIFLPLFFLKIIPAIAQAIVNNTDLPIYNGSIKAISNDQVLIG LQTALDVPAGLTVKLDGFELYLYNSDTQGGISPYTSVDLPGQSVNGHTVIQIANQTVN IGNRTELNKWLTKTVYNKTTDISVTADTTAHLGAIKAAIHLQKTVRIDALNKLDGVKL ESVRVVLPPEDDGTNLIGNFTLPNWSPLTMGLGNLTFNAWAGDTIIGNVTILNVELPP GNSTRSFRGQIFIDTLIQNLGKIISSQASAITTGNILVGISGNSSTVDGEHISYLEDV LNNIRIDTEVPIIQALGDLLDSVSGEDPTLDLSGIVGLLGQLLGSDGPLSGILDGLNL TQIISTRELGRSDKEILKSLKGPLLMSLAKKHF PFICI_12331 MTTTHQEITQVVVLKAEAAGEPIANTVVLTQVATDGDEITVATT TKSECPKTEDTVNYSDTVPSYSARQVAEHKSATDLWIIVDNDVYDVTRFQHEHPGGHK VMAGVAGKDATKKFDKYHRRGILEKYKKDFKIGILATEPLGQETRSGLFGILKARKK PFICI_12332 MTGSIGVAIIGSGIFVREQHLPAVQKSEDLELKAIYSRSLKSAQ SVSEGLSGVSLYSNDSQEGYADLLKRDDIVAVIIALPIPSQPDFIKQALDAGKHVLAE KPLAKDVETARQLMDHYRGLKGQATFGVAEQFRYLNSYLHGASEIAKLGRVLGCQLRL HFTVNLGTKYIETPWRKTPEFSGGFLLDGGVHQIAALRLMLKGGGVKVQKVSAFTTQL REWLPPVDTVDATLLLDSGATGFLSMSFGSSFDDAEIAVACERGTVSVDRNGNVTVRA AGEKEAATVHKPNEEGGVATEVWAWARSIKNGKPDAEQSPEEALADLEMLEALLKSGE QGGAPVQLSLQI PFICI_12333 MKGFSAASGLAIGASLFSGAFAADAAWQTIPSITAVGQHFFYSN NGTQFLLKGVAYQENFSPNGTSNNDNVQYKDPLADGSSCARDIPFMKQIFTNVIRVYA IDPTADHDDCMQQLAENDIYVVADLSQPGESINSNEPEWNVPLYTRYTSVVDALSKYQ NVIGFFAGNEVISAGNQTAAAAFVKAAVRDTKSYIKSMNYRDSLGVGYATADVPGRDQ LAAYFACEPDDTTSSSIDFWGYNVYSWCGDSNYVSSSYNERVDFFSDYPVPVFFAEYG CIEGIPSPDERPFTEVEVLYGNMTEVFSGGIVYQWFWSENEYGLISLDGNTVSTKADY NSLSSQLAKVTPSLTQSADYTPSNKAPACPTTGSVAADVTWVPQSSPLPPNVNPQLCS CEEAAFGCAVSTDDEDSYAKAFAFICGEDDGKYCDAIAHNASTGTYGAISGCDPKVQL GFVANQYYLAQNSDKQACDFNGVAKVQGASTASSCSALLASAGTDGLGSVASPTGQQG TAAASSSSVGVPGFNTPGLFGFGNAFFVAYIVAMVVSGVGMIVL PFICI_12334 MQSYLQSRRIGLAVQAQIERGRDRAALITRNSGLVQPQQQHNGD GAITGQESQPAALFEEKTESIEGFQRPEGDVERQISSRSSTSSSTGDEDEDPGTAAYR TQTATTQYSARGALGHSLTGIHARDRATHEGKGSKVFVVGWEGPDDPANPRNWTVTKR VCCTLQISLIAGAVGCASGIDATILPQAAADLGVSDVAESLATGMYLLGQGLGGLIAG PFSETFGRNYVYAGSMIIFMLWIMASGLAPNFGAQIVFRFFAGLAASTPLVCSGGSVS DMYNSLEKTWGFPLYAIAAFGGPMLGAVMGAYIGPSPLVSWRWTEWTTMILAGLIVVL VLLFMPETYAPLILQWKAEHLRRLTGDDRYRSAHEIVDATLFSRLKISMSRPFLFLPE PIVVAMTLYLSVVYIVLFTFLIGWPWIFEYPYEIGQGLSNIIFIAMFVGLQFTFILIP IIYRITIKHVKRAEAQGGDGSQFDPEVRLWYAMLGSAIAIPVSLFWMGWTSNKNISIW SPILAVCLFGYGVMGIFICAYMYIIDSYEMYSASALTFVSLVRYVCAGGMTVVGIPFY KNMGTAYTLTILACISCLLAPIPYVLFKWGHLLRKRSKYASSKDI PFICI_12335 MASAHRNNHSGPLRDNHGSSTATGAGESVDTKLYFAYGSNLSST QMARRCPGATAVGLAHLSGWDWIINERHYANVVRRQQQQQATEKSKILKEEKDPGVYG VLYRLPPADEKELDLCEGVPWAYQKVMLEVLLVSTPRKDTVDQGEPETPDGDQSGNYG QITAANEAGEMVSALVYVDSNNVRPAAPMPEYVDRMSRGVREAHGAWGFPEWFADRVM KKYIPGLKWN PFICI_12336 MPSKTPNRNGKPVENGVNRNKDVEMTDEKSATKGGKAKKGAKEG EDMTVVVPPSKNNKQSNTTPAADADGDVAMDEEDKSEELEVKVDPVTQAIIDIKSNFA LLDRAVTLFDARFTLRALRSISSLRKRLTPDIIAQAIAESFPAASPSASVAKQLLAAV GKQDVALGRQSGPEMEIDSESKASTKNGSKKDKESKDLIPEVDIFLGILIQVHLFDTK QYQQGANFSNYLSERIHSLNRRTLDSLSAKVYFYYSLFCEQLAPLPPSPQSPIVSIRP ILLAALRTAVLRKDVDTQASVIVLLLRSYLLTSHISQADLLVSHAQFPENAANNQVAR YLYYLGRIRAIQLRYTEAHEHLTAATRKAPASSCALGFSQTATKLLLVVELLMGDIPE RATFRAANMEQALHPYFLLVQAVRVGNLEDFETTIADHAEAFRRDGTYTLILRLRQNV IKTGIRMMSLSYSRISLRDICIRLHLGSEESAEYIVAKAIRDGVIEATLDRERGFMKS KEVGDVYATREPGEAFHDRIRACLTLHDESVKAMRFPMNQHRLELKNAQEAREREREM AKEIQEGDLDEDDLGGDFEGM PFICI_12337 MAFARSRPIGAVQSRESVRNGTPRTDAKSVWGSYDLSLLAPNHN VSQSLSATSREIKRQISSMATVGNRQHPLKVSRKLGFEKHGLNLDGASLEFDRIAPGS SKFAPRSRINGYQISKDTEALHHNKEADQSHTMSEESDEE PFICI_12338 MNVQQGQGQPGAAQPPQPQPMRIPMYRPEQMRSLEILSETDKEK YERGLRGLWDTMEKNPPDSTAHKTAKAKISEFSRMVFSKVANMRRNQSQAAQSGQGQQ NTQQANQAALAAQQRANMAQPVARPGNPNVAATPAAPGQPRPAPSAPIAGQVSQQILN MANALVINPPPDIAGDAEKANRYRQEFRARYIKALLMMDGQQKKMQSLSLMAKERTQK GQPLTPEESAKYTSETDAARKIFNDAKRFAESLKAQALRGQLDGAGAGVGAAPPAGPS AAQGPTGQPVRPQPNTASGNPIQAATASVREAIGAAKNASSSAGLQVTTPNTSGPTAQ APQAIAPPVQTPTAPVPPSQPPVKLEAGSQQHHPPPVNTALAAQTAAGSAAGTPTPHS ARAQPAQAATPTTAGPPGGPARPLTHQGALALANKSTHSVPTLGQQGHAGPVSASTPG SAGIANTPQAVHSHAHPQQIGAQHPSGAVHVPKMPIPKVLPERAQQIPQPVATGGGVS AGRPTLGNGLGTAGGTMNQPVIQKNPVFTFEAEGEHILDKKKLNELVRQCCGGGPPGQ DGNYLTPDVEESCQQVADNFLDNVINTSCRLAKERGSKVLEIRDIQLVLERVYNIRIP GYTSDELRTVRKPQPSNAWLSKISAVQAAKVTRGDN PFICI_12339 MRPHTPIINTARTEPNVTSPPETPTTTGSPSFNRKFGAASVDPK HGRGRLRHSSIPPLGGVGSQFNNHTLGIRPEDISPRSSKSSDDESEKSASPSHSPRPN IYGTGFSQFISTSSTHAHTSTVVVEAAFEIEECSDEDEDAMDSGDEYEDVRRPDSYEY PDSDRGSSRPHSRSALHEVDSKLMDSFLDLKTCYSSDDDSELELDEFEIKKRALRQEK RLRRMTSGSIGKRTVSERGSDSDREDLQPWDTGDSSLRRLRRKVNRRSLLSDIIIELK EPNSDGEVVWDEDEINLARELPFWTMEVDSD PFICI_12340 MSLLSAHFEQIAISCQGIDSLPFPKPKIFTNALLSSHDITSLIR DTETHERALFSVPPPPPAPAASQDPAPPKQSSRRQTVFNVASGEVTTSGSATGRNVGA PRRHTAVAAVLGGDLHDQIRKSERGWNKENVDVEILLRGAEKLCGVYALPGALERIPA QRSQYAQLLNTMAYYEAKVQEQKDALELMNRPMSDEEGEEEEEPEEEDSTMLTEDDLR REEEEVRDLDRKKRDLQRRLRTMEKDLAGLQNF PFICI_12341 MKQFNINIISDPICPFCYLGKKRLDKGIELYRKVYPGGKDDTFN ITWSAFYLDPDLPQVGVPLAGHMERKFGKDRVPAVRERLRKHGLQDGINFNPDSKIGH TRNAHRLIQFAKTKGNESENRVVLELFKAYFEDGGDITSFDLLTDAAVRAGLDRVEAR EWLQSDRGGTEVDKEVAEANALGIHGVPNFTIQGKWKVDGAQDPQDFMEAIVAAKEGR SMSSVDGNTC PFICI_12342 MSAPAAIPTSTSVVESAVINAPLSHVWHHIKLQNFSNFWSALKA SEFVKSQEETDVVKWTFNDGTELEVKQEEHSTINHFITYSVITAKPELTYTSVVSTIR LYPVTSGSHEGSTFVEWTGNFSSDADAGVIQDAKFKRRDALADLAKAAAKL PFICI_12343 MSPSPSARDSQSPSRAMANPIHPDFLDRLDQDFIDFYNSTLGRT TPDNSAPLEEVRKQRAKFHSQKARKYAHTAFVQDIKLEAPDGYPFTVRLYKPDPRTSP YGAGPYPVHVNFHGGGFTFGDLNSDAKICMQIRTRVGIMVADVDYRLRPEHAMGRGHD DCWAAVQYMHNHGAQVNARQDSISIGGISAGGQIAAVVQQLARDAAIPLKFAFLGVPV TASHSHYKKASDSEFPSFVENEFAPCLNWARIMFYRENSDMKDAAAALDEEAAALPEF YSSPLSGELHGICPTFIGTASADPLRDEGEAYGHKLVRAGVKTTIRRYQGVPHPFMHM PLRKAEVYTHDLCDALRTAHGVAFVKPSLSVFPRRKMFQASSSSSARQQQQQLHRPGP PQVGGNKRKMARSWSWTPGKLALASRLKQ PFICI_12344 MSSHTSYKARRTPQACVACRRRKTKCDGVRPRCRHCASRHRQCV WPDDSADGSPHIATPLSPVASTVVTTIASPSAVPSALPEWPAVKRCLDLFIQYHWATD FCSFLHRPDFEAHYSETPFLAVSIVALCSRYLTADEAHNLFGCENGHQVWAQYTQLAR SLAKETSDDPKVSNIQANLVLGHSELLADAGSSHWLHIGTAIRMAQIMRLNREFHQRH SLKEQEIRRRTFWACLLFDRLIAFLMAKPRTLYEVHISVALPISETSLAYGEATNGLT LATLGVGGFMGRVSDTGLMPFYIKTLTLWSAVADIKICGGRFFEKAVPTHPASRFYQR HHKLREWVSALPPSLAWSNDNYNLHCSVGQGGIFATMHFLLHSAFCVAHQLYLPQANG QSMLTDKLDASGWSLLRREPAFINTCVSNALVVGEIASTLWEAGPTGVAQLQSVWIAN AMLSAAPTFLWLQFASDLEGIQPETRNQAQVYLSLFTAVISTWNSWAVAKPWRNTIRV WTAVYRLVYLGEPITINLDGSDEEAANTEPGQESFTDDFLKSQYRPEPGNGLCPLPGD GSHSLREASYLNNTLRLNVIDEAAKRALLHGMWLQLANGWPNEFGGMDDFAFDFPIAQ PFICI_12345 MIIREFGVRQGRKALATSLRQGPRCMRQLSSLSSETSGTPIASA DPAVEGSFQEKPTKPKRSALYMQTVKPARKRPVAVDNTKAEYELAKETRMRQRPIGTT IEHTYAPTDVFSNPPGPKDVTLELLMASQAHMGHHTSVWNPANARYIYGVRQGIHIIS LEETAAHLRRAARVVEEVAYRGGLILFVGTRPGQTQIVAQAAKLAGACHLFSKWTPGT ITNSDKILPNMPMRIVDQHDNELSGFNQHLMSRRALVPDLVVCFNPLENAPMLRECGL ANVPTIGVIDTNTEPTWVTYAIPANDDSFRCLAVIGSVLGRAGEAGQKRRLEAAANGI VEWQTPAPIQRFMDIEIRRGRWRNRMLEENKAEEAKALGLEQPPQAEVIDDVSIRQAM RELEDVDMERLVEGETDDA PFICI_12346 MSFGGQTPTIIVLKEGTDTSQGKGQIISNINACLAVQNTIKPTL GPYGGDLLMVDENGRQTITNDGATVMKLLDIVHPAARILVDIARSQDAEVGDGTTSVV VLAGEILKETREHVEQGVSSQVIVKGLRRASTMAVNKIKEIAVRTDEANKRETLSKLA GTAMTSKLIKRNTGFFTEMVVDAVLSLDQDDLNEKLIGIKKIPGGSLTDSLFVNGVAF KKTFSYAGFEQQPKSFQKPKVVCLNVELELKAEKDNAEVRVEQVSEYQAIVDAEWQII FKKLEAIYQTGAKVVLSKLPIGDLATQYFADRDVFCAGRVSSEDMERVVQATGATVQS TCSDIQAHYLGTCGSFEERQIGGERFNFFEECPEAKTCTLVLRGGAEQFIAEVERSLH DAIMIVKRAIKNHMIVGGGGACEMEISAYLHSFADKKVSNKQQAIIKSFAKALEVIPR QLCDNAGFDATDILNKLRVEHRKGNVWAGVDFQNEGVTDMMERFVWEPALIKINALQA ATEASCLILGVDETIRNEESAQPQAPGKPLPPGAAQRALRGRGRGMPRR PFICI_12347 MKWFGRGGRFADLENTDMSNIVKALKSSYPDYKPSTMARAFSKV INLVSEHIQECGELSVEQFFDKYKTEVSWAKSLSTKAISGLFRAAISSRMVLKNLLKD LPVYGPNAPPKRAWLTNCLTDAIDGLHMTFINGYSRTSPEVLWDWGFKDGEDTEFGDS DVEKLSQVDEAESDHERDDSFTNEQDNVSIDGDGGSDSWT PFICI_12348 MAQPQAPSNPNMNRSLDYLKQVQETFSADSETYQSFLKILKDYY DAFRSDIEAVVAQHQAAKKAGATADPAAKQESDEDVAKKRNDRTAKALEDVKTLFVGH DDLIRGFEEFLPGPSEKTSV PFICI_12349 MVPLTSLLFCTAGLVRLATAQADPPVTTDNGVAAYTFDISQVTL NDGRLHENQNRTLTYLKFVDPDRMLYVFRNNHGISTNGASANGGWDAPDFPFRSHAQG HLLSAWSQCYSTLKDTECRDRAVSFVAELLECQQNNAAAGFNTGYLSGFPESDFDALE AGTLSNGNVPYYVIHKIMQGLLDVWRNVGDTTSETVLLALAGWVDTRTSALSTTQMQA VLQTEFGGMNDVLTDIYRQTGDTKWITVAQRFDHAAVFDPLAADQDQLNGLHANTQVP KWIGATREFKATGTTRYYDIARNAWEFAVNQHTYAIGGNSQAEHFHAPNEIAAWLTED TCEHCNSVNMLKLTRELWTLSPDADYFDFYERALINHVLGAQNQYDSHGGITYFTPLI AGGQRGVGPAWGGGTWSTDYDSFWCCQGTGLEVNTRLMDSVYAYDTSSLYINLFAPST LDWSQKGVTVTQDTTFPVSDTTTITIDGESTFDIKIRIPSWTSGATITVNGAQQSVAA DPGSYATLSGSWTSGTQIVVQLPMSLRLITANDDTSLAAVAYGPVILSGNYGSSTVSS APTLALDSVSRTSTSGLDFTATANGSSVGLGPFYDAQGFNYVVYWKYSGSFAA PFICI_12350 MHPTTSIVTFALLLFGISIAQKSFPVDLNSTFSATGPHNVRIDT GTYGPPVEEVHYYYDQWPIGIAVSKTGRIFACYTRGTYDYTLGEIVNLTAEVAYPNLE LNTPPDGLSTEFNGIPFGSADRDHLISVQAVFVTPDDTLWALDTGRPTINQTTSPVVP YGQPGGPKLVAFDLSTDTIKATYTLPADVHFPDSYMNDLRFDMRSNVTDSGKGIAYVV DSSDEGRTGFIMVDLGTGESWRQLSQHPSTLRTPEDVPSYQGLPFYFRSEGHPVNFLQ EGLDGEELSLYGDILYYSPLTSDYLYSIETKYLRVNPSSDPLSLKKAFDNVKNLGQRG GNANGFSGDSLGNVYMLMPEHNGIFIYNSTTHQAMPYVRDPRIIWPDSANVGFDGYIY MNINQLPYQPNWNNGVDGRQYPGLILRAKLPDGASKNTLLK PFICI_12351 MVADGKSSPLISLCAGGIAGGVEAFCTYPFEFAKTRVQLYGHGS SRNPFKIVGQVIKEEGVTALYKGCSTMIVGSIGKDAIRFVAFDSIRKAFEDPETHTLG PAQSIASGMVAGVVSSTIIVTPTERIKTALIDDAKTLQRFRSPWNCISTLTAEQGLRR ALYAGYVTTTLKQIGTTGFRLGTYSIIKDWEKSRGINVNSPLTTFANGAVAGTLTTLA TQPFDTVKTKAQQAKAVGTMDAVRIILKEDGFFKGFWRGTVMRLGRTVMAGGILFTAN EQAVKLLRTITPPQYQ PFICI_12352 MGIKNLFSIIKDEAPDAVKEGEIKNQFGRKVAIDASMSIYSFLI AVRSDGQMLTNEEGQTTSHLMGMFYRTLRMVDNGIKPLYVFDGKPPKLKSGELAKRFQ RKAEAHEGLEEAKETGTAEDVEKFSRRTVRVTREHNEECQRLLKLMGIPYIIAPTEAE AQCAVLARAGKVYGTASEDMDTLCFESPILLRHMTYSEQRKEPIQEIHLNKVLEGLMM DRKQFVDLCILLGCDYLDPIPKIGPSTALKLIREHGSLEKVVEWIESSGKYTIPEDWP YADARDLFFNPDVRKAEDPECDFKWEKPDIDGLVNFLVTEKGFSEDRVRSGGARLEKN SKSSQQARLEGFFKVIPKTEEQKQAHKRKVEEQNDARKKKLKEDKKEKAKQKAKPRGA PFICI_12353 MNGNTIPEVGTRTELAWSIKEFLMDRPSLHLGGQEDFHQERRHH LEVFGFHALPDKLRAPIGRVEFAGVGGPHGTVPIRLFYPQNYEDSRRGMAKGSVKVPA LVYMHGGGYTVGSVDEFENGLRLLAEKANIITIGVEYHLAPEWPFPTQLDDYEAVITW AQGPEGESRGIDGDRVLGGGDSAGGNMTAALALRMHDEGKKPMKAQILLYPEARLPFD TPAATTNNSGFYLECNGIFSFADHYLPRAPNQSYAPGHRYVSPGMQKADDLKGVPPAA VFTCGFDPLRDVGVEYAGKLQKAGNQVHWHHFPELTHGFLQMAPWSSEATTAVDKVAD ELKKLSST PFICI_12354 MPYYYSSGQDYTYAPGDALVKKVYRNIDRAGNHHHHHNHHGHHS SSSSHRRHGKQTIVNDGTMVIDSDSLRQVDSVIYNRSGSTMYLTDQHYWSSSSSSSSS SSSGGANKSYYYGSSSRPSYYNSSGLHTCRGCSWQRELIGGYCRDCIEFKSGPRVVEV KENRRVLGGPERRLLHYR PFICI_12355 MSGTSPPAGLESLLRSKVPAGSYEALCSSVLPAIVDGIAAIAKS LRSSHQVALAGSANAFGDDQLNVDVAAENIVRAAAAKCPAVVTASSEEEPVERSTREG EDATAASAGEQYTFAFDPLDGSSIIAPNWSVGTIIGIWEGLSALHQAPATRQVAAVLG VYGPRTTAIIALRVPGAEGVCIEVGVGEQGVQDCQVIRESVRLQASPPFKTRYFAPAN LRAAAEDSKYAALVNHYISNKYTLRYSGGLVPDVVHALVKSHGLYVSPVTAASKAKLR RLYELFPLALIVECAGGKAVDPVDGSDILSKSSKDTDERGGLICGTAEEVDFAAQSLL A PFICI_12356 MPEFVKHFMILLVGEDLYKIGIMAVESRYVLPGNHESLAAFFQN HVHHRDLDRSMYTWAIYRELKSLNKKVVKLKGRAQHWIPIYLGFFGLGGIEVEEESLT EHNRKLRGYYMVGTARNLFNIPSMRDRSELDALGLGPWIERF PFICI_12357 MSSVESNRAAWLVGEGVNPLEVRPGPDQTKPEAGEVIIKVAAVA INPSEPIVQDKPVIPLAYPHVLGSDIAGTVAKVGSGVTRFQVGDRVIGHCLGLVHGGA RHGGFQEYTACLEAGVAKIPDSLSFEQAAVLPLSISTSSVALYEHLKLREPAVELGGQ KTGNEAVLIWGGATSIGCSAIQLAVASGYKVVTTASTKNHGFVRSLVATGAEDVTVFD YADADITTRIISHFKDAGLAFAGAYDCISKPDTVRVCADVAATFGSKLVSVVLFGVES PRPDVEVPTVWSTNAVLTPEGSGRVWEKFVPGALISGTLKAKPEPQVVGKGLGQIQDA MNTYKKGVSATKIVVTL PFICI_12358 MSQTFKASDVASHNKPDSLYIIVDEDVYDVTKFQDEHPGGKKIL QKVGGKDASKQFWKYHNEAILKKYKSSLQVGSLDTKKKVEEPAKPDPAVAAPKATPAA SKAVANANQEETEALEPYGDQIPFADPSWYQNYHSPYFNESHAALRAEIREWIETAIE PNVTEWDEKKEVPREIFLEMGRRGYLAGLLGVHYPVEYTKNSVKAVPPEQWDLFHEMI VTDELSRVGSGGFVWNMIGGYGIGGPPLIKFGKKALKDRIMPGILSGEKRICLAITEP DAGSDVANLGCEAKLSEDGKHYIVNGEKKWITNGIWCDYFTTAVRTSDKGMNGVTLLL IERDFGGVSTRRMDCQGVWSSGTTYITFEDVKVPVENVLGKENQGFKVIMTNFNHERM GIIIQCLRFSRVCYEESVKYANKRRTFGKKLIDHPVIRMKLAHMARQIEASYNWLENL IFQCQKMGETEAMLRLGGPIAGLKAQSTLTFEFCAREASQIFGGLSYSRGGQGGKVER LYRDVRAYAIPGGSEEIMLDLSMRQSLRVAKMTGMKL PFICI_12359 MPPRCINPPSAARLFVQLSAGARQSSSILEVFLPRQQQIRHLRT VTTVQHPRSSNPSVHHRAVRHHASLESASSRSFARRAFSSTSSRRRTQAIRNPQVDEE GKEMMLEITPRAAQRLTKIMEKDENPYLALRIQVESGGCHGFQYRMGLVTLPQSLAPS SEASIPDEPSPEAEAEMAPSTTTTTSTDSLQQELTEDDTIFAFAKDDAPVEEGSEEAL ATAPKIVLDVPSLDLLKGSKLDFTMELIGSQFKIVDNPAASSSCGCGTSFDIKM PFICI_12360 MSSFLRGKQAGMSNDLSAAILPELFAPDDRFRYGINSQISCIAY EPVQSLIAVGTKQSKFGTGRIEVFGQSRVHKFLVPPRPCSFADLQFCANRLISLDTKN EIIIWNLDTGSRITGFHAPGVITSMVTDPMLDWCFLGTASGEIVAYDLDRERLSPLKL PNFWPQYDSKARAVGLVSMQLHPRDIGKLMIAYTHGIALYSFKQNKATQFFEYQVPPG APGGNTIAVDSMRKPKVTHAVWHPTGTFILTAHEDGSLVFWDAKDGRVVMARTLYDFN VDKPVPNSGNSSAKHPYVRIAWCCKENPEDSGLLIAGGQTLDQPANALLFIDLGVTPN YQTSSWQALADYGRGKRQNVLETPSGAEIVDFYLMPRYSPHFAGAQDPIAVMTLLSSG ELLTLSFPSGYPISPTNQLHPSLSFVHPFAVKFTVTTLERSRWLGMIETRNQGELLLK GGKEAPLPHRKFVGRSIIQVAHADGTIRIWDVGHGDEIENPTQLQVDIARSLNRFDDI NITSMSMASNTGEFAVGTSGGEVVIYRWDGNRFYGKNQTQHLDPNPGGLTDISSRAEP SLKQGLQPYSLYEMMQGPITVVKVADVGFIGVGSENGFFSIIDMRGPTVILQVSMAEF AKQDKRSSFLRGDKGPAGAKEWPAVIQFGVMTLENDKFSSICCFVGTNQGRVITFTLL PAGNGYTAKVAGVTHLSDKVVAICPIVADTGKPAYATGHAVAGLREGKQVNGLLVVVT QTEARIFKPASAKGASKSFDDYLCDAASVVELDLHGMALVGVFGDRMTRAFSLPGLKE IGSAPLKMMDGSRSTDTIVDEDGNVFCWTGPSELAILNVWGAGQEISNTADILINPGL RIPARPTISNVQWLSGTQYITPTDLDLLIGGPDRPPSKRMIAATAAEQQGGASGQMNP VYADARRAEGWGEYLTRSLNERTEKLNLTGDALENAADTSQRWAEDASKWVGQQKRNM IFGGLKSKFL PFICI_12361 MLPTPTPSPHSGGSAFNPPPAASVAEDHDLQLTVHRFNQLFPVP SFMRKGPAEPLGSKLAELFQTALQLAKTKDSLHLHVVRKLASDEGLERIKEMIDAIPK TSRLQVRHWQLLLQPFFQVIAHRDAFTTAHERPLRAIHDFLLNSTSNYIEAVYKHVCC VTSIFIATDEGSNLVIVEDLLDLSSKILKQILSDTSVDSLSSALRTTVQELHGHCDNL PGNLQRLSSAKETLDHILQTRKKAKTHPVGLAQQQDFVGYDPPGELSPRGPRHDNDHA SIMDIRILPTVGEILAKRLPYAPLYDSSSWHVSGFRGLLDRHFRLLREDQLGPICNVI KQYLQKSSGSTSTYSEGNHVRTNEYTLRSLRVSYDRQDGFELHISTDQPTEVAARRTP AHREQWWVDTQNLDWERLVVIIGKSHAMFCLVSRATCRTFAQLHEKKDKNKKKRPRNY DPKRTLFSSSTHAYAVLNPINPVNADIDFILSFSGGAVQGVRLVEFPSVLLPSFKPML MALQAMYADGHAPLSEYLVGDAGQSRADEHVAPPAYTTNLQLDLRSLTKNDSSLSYCV RNEPNTEQLCARSTLDQGQATAVLNTLRRRLALIQGPPGTGKSFTGEAIIKILLANKS KAGLGPIICICQTNHALDQLLEHLYHRRGIRRIVRLGAFSKSAIVGEMTLSKIMETTQ PLKNELVANGKRSKARRKVAGQVIRALEDFSAADHGGREGLKDVIMRLNQEFERCAQA VDKGWSNVRARILREYDVVGVTTSGLAKFRDMLSSVGSKVVLCEEAGEVLEAHTLSAL MPNLEHLILIGDHQQLRPRADNWAFRVANPDGKPWSWDISLFERLVKPLMFSDKRIPF DTLNVQRRMHPSIANLVRSTLYPTLQDAETVKDYPPLAGFKRRLYFYHHSRFEDKSKS GGSGDFSFHNRFEVEVVKTVLAHLHRQNVYGGGEIAVLTPYAGQLQMLKAQLGLTYDI TMNDLDAAELETRNLMVSMGKAFGKPRIRVATVDNFQGEEASVIIVSLVRSNPQGKCG FLDQENRINVLLSRAKHGMIIIGNSDTYVHSDMWWKVLQTLENDGNVGTSFELPCPRH KGGTILASTAQHFINGSCKEKCGRPLQCSHICMETCHTKGVHDTTKCQALCGAILSCG HQCKQSCHFQKQCGPCDSISHRNQKHHVSSAGSTLRPAAGNRREPSPTTKPASSQNHA SKPVAVLKPETRVSITTAENRFQQVGGTDHLDTENALHVADDLAKIDSGICESLIAFI STSHRRLVALELALLDEQDALHKSLLHAVSVNQVAHIASKDNRLVIDGQPFKMIQTVH DAIVGRYISAVSLMLQMNNFLREMTAEEKRFDNLISNGKSQERSAKFGQADCCLRLQS MLSAVVLLIRCNVVLLADFLSFRRQTKGQKPTVSINLDEFRFLCQFVVSTARQSCYPR HEVEAHVLIAKMITIAREVSGALKHANGLEDTAVAERHLQEARDLVLKHESLSYLLED VEAVQGELSSSKFGHIVSSSTKRARWKQSGQEFQKTGYWHVCANGHPFSLEREAACTT FCFECDAPAGEHIIDRQDNHKEDCAESFDIDSEADDDLLVRLAQDFSRLDI PFICI_12362 MALNTTPPKRFGILLYPGFEALDAFGPMEVVNDLSREHDITLSV IAASRDPVSTLWKGVHKVGQSVMPTHTFADDPELDVLLIPGGWGGFESDAATRDYIRQ VVPKLGSSLITVCNGSALVAQTGILDGKTATTNKAYWKECTASGPKTSWVAKARWVRD GNIWTSSGVSAGIDVTLAFVATYFGEDVATSIANNMEFSRAPSSTDDPFASLYKCQDV PPVSS PFICI_12363 MDKIVKVGAVQAEPVWLDLEGSVDKTIALIEQAAADGVQVLGFP EVWIPGYPWQMWTSPVINNAGWVHEYMGNSMARDSPQMKRIQDAVKKAGMVVVLGYSE RDGASLYMGQSFISHEGEILHHRRKIKPTHVERTIWGDGQAESLKTVVDTKFGKIGAL NCWEHLQPLLRYHEYAQGVQIHIASWPAEFEMPDPEKIAWLYHETGEASYRASQFMAI EGCTFVAVSSQVLTEKNLEKNMLTGNPVTKTPGGGFSMIFGPDGKPLCEPISDGEEKI ITAEVNLRDIDKPKAFIDVVGHYARPDLLSLSVNTKVAKHVNINE PFICI_12364 MRTFNSILSTVTGAALVQLAAGHGYVTNGTIGGTSYEFYQPYTD PYTSPTPDRISRAIQGNGPVQDVTYQDLQCGGYTDGGINGSSPAALHAPAEAGSDVTL FWTLWPESHFGALVTYMARCPDTGCNDYMPYSDAVWFKIAESGLIDKATDEWAVDSLE VAGNAGYTYTIPECLASGYYLVRHEIIAVFVANTYPGVQIYPGCHQLEVTSSGSTTVS DLVSFPGAYSSTDPGIVWDSSESTYPIPGPTVFTCGGDSGSSATSAASAATSTSAAAV TSSAAAVTSSAVASSSSSSSAAVTVASSKATSSAVASVATSAAVTSATTSSTTATATV EAAATSSSSSADCKKRKHKKRGHARRVHA PFICI_12365 MAAAQTSNQVVLKKYQDLPQQGSIMAEYIWIDAEGGTRSKSRTL DFKEGGYTPKDLPVWNFDGSSTGQAPGDNSDVYLRPVAVFPDPFRLHDNILVLTECWN ADGTPNKFNYRHEAAKLMETHAAHKPWFGLEQEYTLLDLQDRPFGWPLAGFPAPQGPY YCGVGAGKVVQRDIVEAHYKACLYTGVKISGTNAEVMPAQWEFQVGPCEGIEMGDHLW LARFLLARVAEDFGAKVSVDPKPMPGDWNGAGLHSNFSTTEMREEGGMKHIEAAIKKL EGRHMEHIAVYGEGNEKRLTGRHETGAIDKFTYGVANRGASIRIPRETAAKGYGYFED RRPASNADPYRITHIIMETLYA PFICI_12366 MHSQAFALLAAAGVAVGQQVSNTATGTRAVERAAATALTESPTS YVKGKAFDRIIQIYLETTYYDEAIANEDCASLYERGILLTKEYGVGAPSQPNYISPAS GDTFGLNSDSFLEVDRNISTIVDLLEDKGISWGDYNEGLPYTGFNGFEYSNPKEGNYA RKHNLLQRFRSVTDYPDRQAQIKNFTLFYEDIENRRLPQWVFITPNLYNNGHDTNSTV SCKWVRGFVEGLLDDDYVNDNALIYVTWQANGEYPELRNHVAGIQVGSAIPYEYVGYH DPYYYNHYSELSSVEANWGLHTLGRWDVGANVWSVVARKTGDKERQWNEYIAQDPFEA YFWNQSYGGVFSDYKYTKHTFVAPNVKLVRNGRSVLPDIQYTWGGSRLPDYYSDIIEL PDDFHPPCGFEVPIPWQPAMPIMTPISRYPPEDYATPTGDYYIPTICRTPYTSPTFTR KPTFNPKPTFEPKPTNKPGNGGGFGGGNKGGNQGGNKGGNQGGNQGGNKGGNQGGGFG GGNNGGHGGGGGFGGGGRGGGGGGGGGHGGH PFICI_12367 MAAKLLGLCWLFLAKPTFAVLTRPNCSSLPLASNDVCNTSLPPS QRAAALTAAMTIDEKVGNLLNNASGAPRLGVPEYEWWSEALHGLGFSEGVDFGQNFSI GVTPLDEPFSSATSFANPILLAAAFDDAMIRDIADVISTEARAFSNYGRAGLDFWTPN INPYRDPRWGRGMETPGEDPLRIKGYVNAFVEGMEGPPDAVPKKTITTCKHFAGYDME DSDGVTRHNFDAIISTQELVEYYMPPFQQCVRDSRAGSVMCSYNALNGVPACANDYLL KTVLRDHWNWTDGSQYVVTDCDALQDTYEQHNYTNTAAEAVSVLFAAGTDNICSASST DVLDAYQQSLLSEDDIDQSLNRLIEALVRLGYYDPVDSNPYQNLTWEDVNTPAAEELA RGSAAESMVLLNNDGTLPLDFSTIPSVAIIGPWANVTWELLGTYFGISPFYHSPLFAA EQLGVPVHFAAGATSSGNSSLSLEAATAADVVLYFGGIDLATESETNDRSSIAWPTTQ LAEIEQLCALGKPCIVVQFGDLVDHTSLLTNGNISSLLWAGYPGQDGGPAAFDVLTGK TSPAGRLPVTQYPADYVDQVLMTDMSLRPSNSSPGRTYMYYDEAVQPFGFGLHYTNFN ASFATLTTCKSSGNLSMTFSTADFVSGCAAPYLDLCPFGNLTINVSNQGTVTSDYVAL AFLAGAHGPEPRPIKRLASYTRVRDIAPDQTASATLGLTLGNLARVNTAGDSVLYPGD YELLLDVPMQASMSFQLVGDEVVLDSWPQPPW PFICI_12368 MAMYLKRLYRGTSVSCRYSVPHKVEYAELKRTILQAIARTILSQ PVMQVAIKGAATKKPSWIKLDSLDLDHHVAWHILDAGTFEQTFQETVASQLDSEYPDL EKRPSWRVTIMYEQDPQFLEILFTWNHPLGDGMSGRKFHEHLLEHLNSPNANNDGSLL SASKLQLPTADPNLPPRIEKLAKLPLTPRHVFKTVLKEHGPAVLTKDDTEAHWAPIQT SSYKSHIRVFSIGKEILSSILAACRQNNTTLTGLFHALGILFLASRLDTNSAPGFHTK TAVDLRRFLPSSPKRYPWLHPQRTMGNYVSVMGHAWPASLVSEIRVKLSADPSTETLS ADLLKAIWSISAQAHRQIRHKLDMNLKDDIVGIMKFVPDWRKQVMDAARRPREYSYFI SNIGAIDGGLQTDATASEPQSEKWTITRAQFSMSAEVTSAPLMTSAMSVLGGPLCVGI TWQDGVLDDSMGEAFAAELESWLIQIGRVSA PFICI_12369 MEFAHAPLEGDNAFRLLRLLGGQGDIVECELFHTNTNYSKLHYE AVSYCWGSKQRPGTILINGQTLLVRESLVGLLKHLRHADPTKNRIIWIDAVCIDQQNK IEQGHQVQQMRRIYASARRVIFWLGETTPNVTLLMTALDLFNRTVKSYGWHRLAIVEQ SAWELVVKALEAHPAILNAPGRMREALHDLLKRPWFRRAWIIQEVANARRGIVQCGKH VVACRPFTLAPRLLGIQANQHCCNVLDAMPTCSRTVSWWSEDRSLFSIVQKFRYSEST LDRDRIYALRGLCSFRDDRNFLGVDYEQPVQQVINDAIAHMCMCDRRSLPDSLYPSMG AFLNDLESMHLRVLIALLKSDNIDGVRDILHHYPTSIKITPLMLSYAVSSIHHGPGLT KLLLDFCQGPIQISEQIVIAACMNEAHAPAVCNLLLPYASSIGPSLGIFIAAARNPAQ GRPIMSCLIKHFRPSETIVTAELSDAILLNEKARDELIDIIFQRLAGSVQINQDIALG AAKTPSDLMSLDAFFASKQLVVTEEILIATTQNRTWGPKVLEYLFQKTTAKYLPMSGR LVAAVIDAIEYNLDLLQLFLDYRKQDISICDEVLISILRHPEALRILNLFQDEKGILQ MTQTTPATMSNYAVVPPLDWTFINIDSEGHPALWWAIDNAQEALLQALIRKGADLSVH GPRPIIKHGQRIDDREPMRYSYAHPLYLATKLGNLSIIKVLLDNVADINQGPTSLVAA MDQDKSFQFLLEHGARINGELLVGAAYYGNISVVKFAIENGVRDELDYALFLAAENCH IPVVENLLEAGAQIKARIPNRINNREYPYRSSWTVLHCAVFSKDASPDLIELLIKSGA ELNARGDFGETALDIAAHVTRNRSVYECLLKAGAH PFICI_12370 MSKIHDATGLSPETKTSFEEKIEHVEEQDQMLQRYDLLKDLTAE QRKAINKSLVRKLDWRFLPCITMMLLMNYLDRINVSNARLAGMQDDLGMSDTQWSLGI SMFYVGYIISQLPANIILAKGRPRILLPIFMIAMSAVTICMIACKNSTGFILCRFFIG VMEGPLVPAVSLVTSSWYTKEESPLRMSIWHAGNIISNVISGLLAAGILTQMKNTAGL SAWQWFILIEGIASVLIGAVSFWFIPNWPHNTGTYMFTPEESQMAQYRQLVNAGGITE DDEGGAWEGTVMACKDPFTWLFTSLHFFVIIGQSFKDFFPSILDTFGFDETGTYLLQA PPYVFAYIACLAVSWSSSRFKDHGWHIAIVMLLSLGGTVILIATLDRAARYFSLFLLC TGPFIALNLHISWETAVVQRPRTKRAALLAITNASSSVTHWFSPYFFLTNQEPRYETG GGIIIAGCGLSVFSCLALRWWISRKNKQLEAAQRETGEFNSWRFVN PFICI_12371 MADITEDFPTIVPRDEAKISGMKPGEIARDGTDLALILTVSLIL YSLFAGLLQRGLLRRLYGEVYQALEETVPKGNERQRVSFIYHHIASICFAIIICIGAY PVISFTCGSGTLSTPMGNPNGATIGDVLSILLQVYCGYYLFEVTFRSKYISLIALAHH IGLLIIAQTAALLGSRASKSAEATKEFYLCMVWGAFDLVTELPLHIILIFWRIRRDES RLCYRIACACAVWVTVMALSETIVTAWLLSQSWAGWQLHWRIITPTLFTLWVCTQLYG AIIFLRMARQQRKICRSGL PFICI_12372 MAPEHYSIPEQTDLLFRKEILGNPLLEKNLPAEAQEAASTVTFE GSDAPTLPINWRFAESISALKAYEATILNVLLKKKYGVGPVEIKINTDHAQLFIMSSF LWTLDPAGDNITASSLGSPATQEALFKHFPNRDIHKTASSLYRAVATNIYRTKDDRFF HVHSSMNPGPILKALDMPEDQQFSTYDEALVPYHEKVAQYTAEEMQDIADSSKQAGTI CWTVDEFRNSEHGKANAQTSLFELEAHPNETQKPCWWPDAPHTSASRPLAGLKVVDMT RVIAGPAVSRSLAELGASVMRITAPHLTDLSILHPDLNHGKWNACLDLRKEEDRETLR SLILDADVFLQGYRPGVLDKYGFAEKDITELCRQRERGIIYCGEDCYGWQGPWAHRSG WQQISDANCGVSYGFGRAMGNDEPVTPVFPNSDYCTGVAGSIGIMTALLRRAEVGGSF SVKIALNYYSQWLVESVGTYPEHVWKDVWQRNGSPVYRHYHSMLYLLPRVMGTLIKSS GASIMKPEFFTKYHPQHIGKDMVIVAPVLRFPNREVEPRFDVGTRGNGVDQPKWPHDL LTETVF PFICI_12373 MSDIPDKPPSRSLRGKVAIVTGAGCVGEGIGNGRAISVLLADDG CNVICLDRNLEWAEATVKLANSEPNRGTAIAMQGDITLAADCEAAVQLALDKFGRLDI LINNVGISGAPGTAVEVDMEQWAKGLEVNISSMVQTTKYAVPAMLKNEGTAKGSIVNM GSVAGLKGGTPHLLYPTSKGAVVNMTRAMAAHHSKDGIRVNCVCPGMLYTPMMYANGM SEETRAARKGRSLLGTEGNAWDAACAVVFLVSDHARWITGAILPVDAGATAAIGIDLP KSASVNAAF PFICI_12374 MADYTQYGGPSKEWLAIADSLPQVSPDAPRSQRRRLLNEMREKA AAEGMKILGPQVKMHDYSIPTCDGSSIEARSYRPVSVDSSKALPVYYHLHGGGWFFGT LASEDATCARIAINTQVVVFNVNYRHTPEHVYPTAWEDVEDAFEWLHDHIQDIGGDAK QVVMGGVSAGAQLTASFVLGKHLGKVSSAERPSPVGQILMIPNLVNMHCYEPLKAKLK SPSLSSYEQNKDAAIMPMPVVQFFMNQLKIDGPQADDLRLNPILASEDQVKGLPPTVF GIAGWDILRDEGLFWAETLATLSVPTDVHLFPGLPHGFRRAGDKLAASERWDKVMENG ITWALSNPAASGKFDIQVE PFICI_12375 MKTATILLAFISTAWAGCYTTGDDFQNKDNARFNAQRACEGYDG NAGAFQGTFAPGEAKSVCVQGTTTQKYNMVVQNLNLDSSFDLADADCTLRLHNEINGC DKGGESDVAGWHFRVDPNNGIC PFICI_12376 MRLTTIFISFLLSLATALTPWNEWSHGRVTLQDVSIHFRYSGTG PPLLLVHGNPQYSLTWQTIGPILAQNYTVIAPDNRGAGDSSIPPNGDYSAESTADDLK GVLDFLGINETYVFSHDKGSGFATALALKYPAAVRMLGLSEYALPGFGYEDAAMPAPF WDLYANPQLAFFQIPDFAEFLISGKEKQFLSWYFYHGSYSGGTSFSEDTLNQYTTSIA KPGFLRAMFGPFSAASVAADHAFFTTSLSAGKMATPILGLGGEASFGIPSILQSVLGN LSTTSEIDVVPKAGHWIADENPVWVANRVGKWLQTDSSPLNNTDLSWFAGEATITIGY FGTYRNADLAGFTAR PFICI_12377 MASDSGDINMSHAVELDVVVVGAGFGGVYQLKRFRDEGYKVKIL EAGTGYGGVWHWNAYPGARVDTPTPLYEFDKPELWKDWSWKQRFPDHTELRAYFDYVA DKWDLRKDTQFNSFVESATWDEAQSKWTVKTREGGLFRAKFLSLNTGFAAKRHVPDWK GLEGFKGLIIHPSYWPHEGLDLKGKKVALVGTGSTGVQIATNLASAVSELTVFQRSIN TSMPMVQANFHGDKQNHPREEYPRLFKGRTECFTGFDFHFLGRNTFDDDPETRQKVYE DLWAHGDFHYWLATYNDMLFNDEANREAYNFWRDKTRAKINDPRVQDILAPMEQPYPF GCKRISLEQGYFEIFNEPHVNIVDVNATPIKEITEKGIKTSEKEHEIDVLITATGYDA VTGGLTQIDIRGATGQSLRDYWKDGAHTLLGITVAGFPNMFMTYAPQGPTAFCNGPVC AQVQGDWIVDAVKRVDSSGLNKITAEKKAEDEWRDTVMNIAYSTLLPKAKSWYMGDNI EGKPREPLIYLGGVDNYYKTLKKVAADDYAGFKFD PFICI_12378 MCAFLRLVPIAFLATGVFSVPATNEVLVAKAVTPGFTYKGCYSE PPGGRAIELKSYSDNKNMTTESCAAFCDDYQLFGTEYGEQCFCGNVLAAGSSPADGCT MNCTGNNSQKCGGPARLSLYNNTNYRAPSVATIPGWTYQGCHTEGPNGARVLSYRNPQ DVSTPQACTSACGAAGYRLAGVEYGSECWCGNTLQGGSFVDTDSQTTQCNFRCAGDKY SFCGAGSRLVVYAAVTAADVAGWRYQGCYVDNAGGKRNLDALSSYTDDNTAQSCASFC SQYSYFGVENGNECFCGLSLNSTYTPTSNSSCAKPCSGDKNQTCGDFGFQSIYESVPL KPAPSNQAVVAGKFNYKFCSFDDNNARVLAANTNANDAMTVEMCAAFCNSYSYFGVEY GKECYCGDSFSGSPAQEKDCSYLCPGNSTELCGAANRINIWGPATATLI PFICI_12379 MASPAVALPNGVPDEEPAHSQPRDDVEMADAQSTTSIKRKREPS INGIAHDVQLQSTANGDSQSHEFQQALIRDYLVVLEPFDATPSLLKRPLPDPEDSDEP SAKRNKSEDGSTQPSVTDKAAKGEYADLSAVMLDIKASVKDQLDQLRNLDADKVTKDT DIALAKTIAFDRKARELFRREISYPSTTQNPSILREFDPSSDLQSNATGNVVMRIFGE APRPRHLYTSLQQPVKTPDNPLGAVQPLRESNLPIGVKTAKAVPFSFPSAVEKDKRSK TLGELFPAPRSLPTLQPPKAPKSTTKGVQVGWHRPELTEKSKYRTGSYSGQSISTGRW LDYSNAAPPSQILTKQRERAMSLAGIGKQPPSHPESSEMDALFRGAFSSFAPSKDDSA AMVSSGLISQTMWWQKYGKRSFDRLIENESGEETGEDETNGSPHVELDEKLLQEAIEN WDTNIDPSLEEMCNPKKSKEEQDVDDILQDVSDMIQTLVSFQKIRNLTLPSAANQSRY ATDPTSNDMLNNGTPAQPSEDETATYDALKAQLALIVATLPPYAVARLNSDKLEELSI STRLEVRTDEYQGTMEEDEAAARARAAQQPSPAPRPAVHRSSSSTNNATYGGSYGSTP RPAVPSPAYYGTQTPIRPPANVARPPPNMPPYTQQRPPSNAGYRPTNGYGANTNYAQN MGRTPQSFPPPPNSFAATPPPNRTQYQPSPQYQNMGGHPPPPRYANQPPPGQVPAYQQ PGYPQQQQPGTPQPQFNQYTPNGAPGMPPRPAVSPQVAHQQPQFAPHQNFNPNTTPSR ASYGGPPPVTMNARQHYYPPTGAPQAPAPQPPPPPGQGQQNAQQTLAFQTSLHPHQVE QAMNQAKARFNATPKAPETARPPSAQGQPVAAPVGLGGIGLGGARPPVPGAVNYNTPS PSPKPQVNSPALSQIPAANGTPVSANETPVDAQKQA PFICI_12380 MANLLDFEISRVTPDQVRTVKDAEKLVAVLHAATKDGIYEQFKL SFAECFKDVVVFNSGIFQIARLRNDAEDQIIGVAFIKLRFGGSRDPGWDFVATKRQAE VHNNIFASGPDMARPLLREFTGDPILTRFAECVEARGQRLIEMDEDILGEPTFVPLHL ARHSQAIYPPVVIEPVYILPQYQHVEGLAKALLAPVLERAIAQNVRVVAYNHRHRHDY SHPTLLAPEFRLLYADIRVVVHVDTKETPGWKGDEITWDCEFQWFTIDNMRPSTNLVT VESL PFICI_12381 MANSSEPSPASLADPLLRERWPYTAGIAVALILIVPLIKWIFAT LRPKDFPPGPPVIPGLGNLHQMSPTKPYLQFHEWSRQYGDIVGLKAGTANLVLLNTPE LVHELFDKRGAICSNRPINHIMTKYVHSDPEEKGIAILQYDDYYRRWRKTFQFILGAA GIKRLLPLLEAEASSLCRKLLDGGRDYEECARHWALAVPLVATTGERLEDAPSDYTEK LYHAQDVLLPLILPGAAPPVDIFPILKYVPGFLAKWKGRARYASKCMRDDANNHLMGA KKTYQQILKDPDSVRFDSLLPKIMKEQDDGNTKDKFTDTNLAFIGSAAVGAAVDTTMA TFESLMVAFAAFPDKLAKAQQEIDNLSLNEPLSGDRIGELVYLKACISEVLRWRPTTP QALPHVLTRDERVGKYAFPGGTMFIANAWTIHRNEEEYDRPDEFIPERFLDNPFGLRP SYATQDLEKSGRRALYAFGSGRRQCPGEQFAFTSVLLAASKLIWAYDILPPPHGLDIS IENGFKDGVVLQPLHPEVIFKIRSEGRRAAVLADADRTAEIARELQA PFICI_12382 MAVRILISMSLARSINAYYTSISGNLDWQEFGRPYGLPESVTKD ALENPFASSNYSTGALLNETEHSVSSLPPSFITTVTVIELPLEKNSSQAPNQAAADLI ISTSKNQDVDTSGWEVCNVVFLFDDLQALNDMFASSDDGSCSPFTNECISQLQLLTCD DSGWPTECPDGSVSGIAWNATATSLLEADAWLSYYSSPYDASNTSEVVKLANAVIPVV QVWTTPDKLFSSTEVRCLRASKPSPTSSTTGTPEPTSSASTTQSGSLAVPTAAFQKEY LVGAVMAAAGYIAY PFICI_12383 MTLKPSQIEDINMPGLSQSFPSLPVPDKEGVFHNDSPMKPDASR QALPAPVIRILAPTPEDEEHGSEPLAVRLATLALNSHHDEPAQDGSRSSRQP PFICI_12384 MPPPPRTPSPAETIRPIHSRCDCKASSRHSTSTDASECVAAACE ECNSSSVCLDNSPLQDGVQETNNTSAAD PFICI_12385 MTDLSSSPPNYDAKKRQRVSIACLPCRAKRMRCDGQQPVCGSCV KRGIDCEYQHGENKRRPPSKKYVESLQARISQLEAQLVALGSSGPLGPDIKDPHEDDP DNGSVDEEPDPLSELTGLVGRLNVIDDGQLHYFGSQSSYNLLKGPLHSATPQATPLRM QTQGISAAAQLGLLVTISAELQDHLLELYWRWQNPWNYIVHKGAFLASLRGENDGRYC SPLLLSSIFAIAARYSDRPELCSVPGDLSTAGSAFCEQAKVLLLYESEAPSVATVQAA CLLALRIMSDGKEALGWLYCGNATRMAHNLGLHLDCAAWISSGQISEHEAEVRKVTWW GCFVVDKLFSLGLGRPSSIQKSGITCPKPSVNKDEEYAPNRKSSTRDVEDIVSKADVE LRTSYATLPSYLRLSSSQKTPTLPHIYLFHIQYHAHLILLHRPLVQSDRPQRSKSSKT NMGNGNITEQIDESADDRHMATCRDSAAEIAKLLRLYKIHYTLRQVPIAAVHLCFSAA VIHLIDARPSSAHRAQAIRHLGTCVDALQDLKRPWCTWAERSLRAVQLLAREWYHCDD FSDLQKSSHLEQRDHLAAAEGEILIAPDELGANTVRCDPGHDGVDLLVPYGVNMAPTS SNEQVSNEMGDSADQLAFLFEFSAPEQETDVLIREWLSDSGYGLSGNAVDIQ PFICI_12386 MAPQLKIAAIQAEPAWNDLEGGVTKSISLIEQAAKEGANVVGFP EVFIPGYPWSIWAKSPTDNAAFMDEYFRNSLEKDSEEMRRICAAVKEAGVFVVLGYSE RYRGTLYIAQSFIDENGVIVHHRRKIKPTHVERAYWGDGQGESLQSVVPSTTFPSVKV GGLNCWEHTQTLLRYYEYEQDVDLHVASWPPLWPFPTTKDGAPLPDWPGHITDDISLT FSQILALEGACFVMVCTQVVSEESKKRCRIDEFPYSTSTAESGGGFSMIYSPFGQELV KRLPPGQEGILYADVDLSEKFKAKQNLDTVGHYCRPDQLSLRVNKYPARPVHYAAAP PFICI_12387 MKGALLTAAALLGAAEAGVHKMKLQKIPLSEQLDSIPIDLQMKG LGQKYMGGRPESHAQAMFSESSVHADKNHPVPISNFMNAQYFSEISIGTPAQEFKVIL DTGSSNLWVPSQSCGSIACYLHSKYDSSASSSYKKNGSDFEIRYGSGSMKGFVSQDTL NIGDLKIKNQDFAEATSEPGLAFAFGRFDGILGMGFDRLSVNGIVPPFYQMVNQGLID EPVFAFYLSSENGDESSEVIFGGVDKDHYTGKITEIPLRRKAYWEVDLDSISFGDETA ELENTGVILDTGTSLIALPTDLAELLNKEIGAKKGFNGQYTVDCSVRDGLPDISFKLS GYDFAISAYDYILEVQGSCISTFMGMDFPAPVGPLAILGDAFLRKWYSIYDLGKGTVG LAKAK PFICI_12388 MTQSVVSKPVIVVGSGLAGLSAAYEALKAGASVRMLDRAPKPGG NSIKASSGINGAGTPYQRAAGIAQDDLFFADTVRSAGRRFREAAETGESPVVDREALI TKLTSQSAGAVGWLADEFGIDLTVVATLGGHSLARTHRGAKSTPGFAIVSAILQKLGE SDKFQLINSAEVLSLNVDGENEAVTGVRYTHEGQTRDLEGSVVFAAGGFAGDASGLMA KYRPDLVGYPSTNDERPGSHHILEAVGAVFVDMDSVQIHPTGFVDPKDPEARYKFLAA EALRGEGGILLNHRGQRFVNELETRAHVSDAIQKLSQSQAYTQSRQWDVTLVLDPGAS EAAQSHLGFYIFKGLMEKKKIKDLEPDIIESIDKYAAAVKSGQDAEFSRKSFGHWTLA PGEENREQEICVGKVTPITHFTMGGAAFNTETQVLTKDKTPIVGLWAAGEITGGIHGD NRLGGSSLLECVVFGREAGLQASKATIRK PFICI_12389 MSDHVHTGPVAATAPSPELTDEKKPDVVSVHVAEAQGYDSKETK RLLRKLDWHLIPYMSLIYLLCFLDRTNIGNARLDNLEQDLHLKGLQYNDCLAILFPFY IAAEIPSNMMMKRIRPSIWLTFIMVCWSASMIGQGFVKNYSGLMATRVFLGVFEGGLF PGVNYYITQWYCRHETGFRMAMFFSAATLAGAFGGILARGIAEMSGTGGLSAWSWIFI LEGLLSILVSFTAYWAIYDYPATARFLTDGERTEVERRLLEDHGHLSNDFNIKYVWQA IGDWKIYIFMLICMAGFCPIYSFSMFLPTIIKNMGYTANNAQLMSVPPYVFACIFTIG ASYFADKIRQRGIFLLGFQVVGIIGFSLLAASGKPSIQYAGTVLAAIGIYPQIPLGMA WNGANIGGSLKRGTGIAMQVMGGNCGGIIASYVYLSRDGPRFITGHCILIGFVCMAFF LTLFMTMWCRRENARRDAAAREAGVQELTEEQKALERELADNVPWFRYQI PFICI_12390 MPLGGSITHGVGSSDGNGYREILHDTLIADGYAVDMVGSRKTGK GHCHEGWRGYRIDQIAAKAAKSIPELKPNVLTINAGSNDCIQDFEINSAGDRIDQILR LAWSIVPSSTLLLSTLLPNIDTAVESRVLLLNEQIQMLWERHDAAGRKIVLVNMHGSR GPLLDQLVVDGTHPNDAGYRCMASLWREAFAEAASKGFLR PFICI_12391 MTYHHHFNSKRLSQELELKATDCVRHLSGWRPAVEEIKTWPEYK PQPLRDLKNTANSMNVHSLFVKDESQRFGVKLASFKALGAPYAVYKILSDKVFQQTGL RPSSAELRSGKYRDITQYVTVCVATDGNQGRGLAYGAKIFGCRCVDYIHNCVSDGRKQ AMEELGAVVIRVDGEYEASVARAKEDARMNGWHFVSSTSWADFDDGTPQDVMNAYMVI VEEALSMIPAVDKITHVLICGGVGSIAAAVFLGFYTRFQEFKILNKDKDTSVPRFVVI EPEEADCLFQSARHGDMIPSDGTLHTLMAGLACRAPSPAAWKILSWLSSDFMAVSDSA AVEGMKELATGASGDIPVVCGESSAAGMGVMMESCRDFVLREKLGLDSKSQILIFGLE GATDPEIYYKLVGKKPEDVFAAQTAFNATSSERPSEED PFICI_12392 MAANGVTYALSNSHKELLEKSLVDSDPEVAEIMKKEIQRQRESI ILIASENVTSRAVFDALGSPMSNKYSEGLPGARYYGGNQHIDEIEILCQNRALQAFNL DPAKWGVNVQALSGSPANLQVYQALMPVHGRLMGLDLPHGGHLSHGYQTPAKKISAIS TYFETMPYRVNHDTGIIDYDRLEENAQVFRPKILVAGTSAYCREIDYARMRKIADSVG AYLVVDMAHISGLISAGVIKSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVRSTDAKT GKETFYDLENPINFSVFPGHQGGPHNHTITALTVALKQAASQEFKDYQRQVVDNAKAL EHKFKELGYKLVSDGTDSHMVLVDLRPQALDGARVEAVLEQINIACNKNSIPGDKSAL TPCGVRIGTPAMTSRGFGTKDFERVAEYIDQSIKICKEVQGELPKEANKLKDFRAKVV SGEVEKINSLKKEIAAWCLQFPLPVEGWRVDAGI PFICI_12393 MRSNPRGLVPTLEVAPGKALYESNVLLEYLEDAYPESQPLRPTD PFDRARSRIWSDFVTSRVIPAFHRLLQFQTSSAPDKDGEARLDVLRGEYRAKLLEFAR AMDPEGPLFAGSELTTVDIVMAPWALRSWVFERFKGGLRIPEPGRGGGDEEAWARWRT WLAAVGSRESVRKTTSEEEYYVPIYQRYADDIAQSELAKATRDGRGVP PFICI_12394 MRHSFSLSSWPLALTLSWLAAVQGQFNNPEGVDIWCGKAYRSTN ASFNPGGWFEEPAKSDVPLLDLRVKPRMSIYLETDQEASLLIDAAISYQVGQPLPANY TGAYSTATSELSIDVIFNGTVIDTVAVDIGSTDVEVPLDLGQFTTSLDGLNISVQATL DGSYIYQASTELFKLPTPENYGSVSRLDSLYGGLWVQRDDEDWKHIFPYTYYVQWSLY WDSNITTLDDFAALGYNVIHIVPTGTLGEKPFPWDQFEPYLDRADELGLYLQYDVIWD YANLTGMIEQVERIRSHKSLLVWYQSDEPDGKSNPINSTGIAHQKIRELDPYHPASLA LNCYDFYYAEYAKGAEIITPDVYPISTNTSYSTVYDTVCNATYGCCGCDDCTGAFEDI SDRLDEFRRRDDLIGWSKTQWFAPQAFGNETFWTRYPTAAEEVVMTVLAINHGAKGIV MWDYPTTAELLNVTNHLASVFTTETIADFLLGTPRVQTLPVAGADRVDAAAWINDSTG QALLSIVNLNYGDIGQTVEIAAPNGTNFGSVVDILWGDVAWEVEDGQTLSSSSGMLGL QVSVLLVDISTA PFICI_12395 MKDSVVDMGWSKTFMLFVSGVLAGSSCYSDTIPGKSIALNLTDL FNNKAFGTYPGEANFNTLNESYPVPSVDTTQYQSSETGILFSFPGYTGPDLADNLICS GNVLDVPSGSYFSASFLVAGDLESTSVSANVTFTYSDNSTSLFELRSLNWFEFLTINR GEIIFPSRYTDTGVNFNTTHIFERTASIPTGKNLRSITLPTTTNATEGRLHVFAISLW EGSSLEVQSVRPTQKWLDSGAQAVEVTINNAGTECVAGDGLNVTITGEGIKTTAPGNL KRLCPGDQKTITVGVEGTPNKTITANVLLDDGVSPSKVTVDDLDFGLLTWTSDLGVLA KHESPEWFDDAKFGIFIHWGPYSVTGWGNSSPYESYAEWFWWYSTHHPQADRSDFYDY RLRTFGAEWVYDDTFPDFNASKFDAKSWVDLFAEAGAKYFVITTKHHDGFALFDAGDT TNRSAIHYGPKRDLLAELFDAAETYQPALKRGTYFSLPEWFSPDFAPYGFDQFNTSST TSWPGGLATNPYTGEKEPYTGRIPVDDFIADIMVPQMETLAYDYGTDIMWCDCGAANG TADFAAAWWNQARAQDRQVAINSRCGVAEAADFDTPEYQTFSSAQHRKWESNQGMDPY SYGYNRATPDSAYMNATTIVYTLVDMVSKNGNLLLDIGPRADGTIVDAEVDNLKQAGA WIHAHDEAIFNTTYWFVQSEIVDGPDVRFTQTNDAFYILFLEKPSLVNGKVDIAAPIP VLEGDVIQLLAIEGGEDLTWESSGSGTTAVLSITISEDLIEAEEFCWVFKVAYQ PFICI_12396 MKALSYLKLLLAGSSFWVTTATAQEQSSPNSTYYNPILPGWHSD PSCIHVNETFFCVTSTFISFPGLPIYASADLIDWKLVSHVWNREAQIPGASRNTTGQQ LGFYAATLRYHDGFFYVACPYLGGTDHNLGLIFKTQDPFDQDSWNDPVYFETTVIDPD LFWDDDGTVYIAQSGVVLQSIDLETGALSPETPLNVWNGTGGAYPEGPHLYKKDGWYY LLIAEGGTELNHSITISRSSSVWGPYESYEGNPLLTARGTDSYFQTVGHGDLFQDEAG NWWGVALSTRCGPAYEIYPMGRETVLYPVTWAEGEWPILDRIQGIMNGWPLPGSTRNV PGDGPFNSDPDTYDFLETGAAIPRNLVYWRVPRDGAFSVTDQGLQIIPSRANLTGSSD LNLTGQEGLSFIGRRQTDTLFTLSVDLAFNPESAGAEAGITVFLTQENHIDLGLALLQ SDDSEEAPQLTLRLRAEAPLVDNPPKASEPLAPVLVSVPSTWASDTAITLQVQTANET HYRFSAWPSSNPNARIILGTASAQLVSGGSGTFVGTLVGAYATCNGAGEDLDCPEGGN AYVQNWRYTGSAQAISAVELVSPTI PFICI_12397 MSIMNGNQQWPPLSGHQVNGNRSFHFPDDGPGRTQTPVDNSQSQ ASVPEASTTDSTEDDRQRAFFADLFRRTEANISHIFGEDGCYDYTAIESLRRPITLGA PLLPPTTDHAPLEERPVKRAKRVIDEDDYGDDDDGDDEDNGNDGDSTQDAATSKSKSA TQAHKGLLSPSKSGSSPVQSVTTPDKHDKSKDDLSQGSQASQERNKSSEDARKQLEQD RTATEQAVKRSFHTMFYTLENDRTAMLEQQQLEEAEKQLQAEMDKNNSNQNTNGTSGA ENHGSLSSANLGASSLTLKHLIARIDMKRDMVRASDAELRHLMNEVRKNRSKWASEEN VNQEELYEAVEKVLTELKAHTEYSTPFLQKVNKRDAPDYYNLITKPMDLGTMTKKLKS TQYKSKAEFVADLNLIWDNCLRYNQDMNHPLRRMANGMRREAEKLIPLIPDLVIRPRA EVEAEERRKLNGGDDDNGDDSDDEPIMSSRGRNTTGAKGAKSRKAPTDQKEATPGADQ KPVLQLNGLLAKAHREGSELDGSNGFATPPIAGSATPGGMNGHSGIASNGDAMDIDGP SLNGMALNHALNEAQEQVYEDDEYKIYKQLTKKDRAQIAMRRYQLFNGRKLNVDEPAL LRTKAKMRNFLRHQQQAEPLGTSSPTADSSVAGSKDAPKAPETLAEGMEEDDGDILAP DYYETQSLIPDIHPKLQWVEDGDGQVINHHEEYLKTIPSGLYTAPKGQLSERLDANLR QMQETRKVCAKIAVVKQMQIQTQVYQNQFPKYEPEPFVEHDIEPHVVCSADNLVMDPT VNRAALQRSVAKLLYHAGFEEMQPSALDAITDIAGDYFHKLSTTFKIYAEADRTDPTP VYAQRGARVQPRFTDEEILLHTLGENGYSVEDLESYAKDEVDRLGNKLVSMHDRMKTH LSDLLRPALQEGTGDGGASSFNEGSEQFVSGDFAEELGEDYFGFRDLGLAGELGGMLS VPLHLLHSRVRMTYTSQNTTAGPADAQMFDELSPPDPVTKDNIHNQIGLAKNFFLAKL HANGDQPLIEDADLPVKQQRPRPRLGATGKIVSPQKRPPKEQNAINKKKKKMEAAAAA AAEKANAANASPEKSKDKKLPAKTGAAASNSSMNAPSSSLPVAPEMSRAESMQSQGAN SQTDKTDTGMMSPESMER PFICI_12398 MLTCSIHLSGTFFILCLLAGYAGLSSLQLGVYINDKALHVLTFF ILTIVFYWIVDTNRRRTLNLTLVVCTLLLGIGSEFLQGFLPNGREFDSYDIVANIVGS LGGLGLCSWYHNRMLERRRGRRYDAVPGEDEDDLELGQGVSSEAGQEEGIMSSTVNER APTLEEEVDNWDENAVDAWDDDDGDGDISAASTKPQATELNGGGDIGEAKKRTD PFICI_12399 MPQTDTSLHGINGQINGLNGTTKHDAAGTTLNRASELEDANAVK GLLISYIRAADEAAPVRAQGGDASAQASVLVEPHAPKALVEKMAFSLPQQSGKGKDGL LETIKDVLKYSVNTWDQGFLDKLYSSTNPVGVISELVLSILNTNVHVYTVAPALTVIE RETARSFARLFGFTGPRAGGVTCQGGSSSNLTSLIIARNALYPDTKTNGNGSHNFVLF TSKHGHYSVEKAATTLGLGSAAVIPIPVDDAGCMVPSSLREAIVKSKSEGKTPLYVNS TAGTTVLGSYDPFRAIAAICKEFNLWLHVDGSWGGNAIFSSTQRHKLDGAELANSLTV NPHKMLNVPVTCSFLLTNDVGVFKKANTLAAGYLFHGGGGGDDDADNEEQHWDLADLT LQCGRRGDALKLALAWVYYGAAGFEEQVDHAFAMASYLANLVNEHPDFVLMSSNPPPC LQVCFYYAPGGKLADENSENTRRTSELVKKLVPRGFMVDYAPGPHGSFFRVVVNCQTL KGTVEGLLKALEEVGKQVVA PFICI_12400 MASDKKIVLITGANGGIGLETVVHLAQSSTEYHILLASRSVENG QKALQQIKSTHGDSLKSSVSVLQLDVTSRESVLAAKDEIESTYGKLDVLVNNAGILLI KPMDILEKLRTTFETNVFGPWFLTETLEPLLKKSAAPLIINVSSDQGSITRKLDPSNP GAKTPGEPYRASKSAFNMMSACQRYSYKEWGCRVCAFNPGFCVTNLTGEETRQFRIDH GARPASDPAKAMVIVIEGKRDKDFEENGMFDLDGGFLPW PFICI_12401 MEIKQHIPRALTFDPEYAEWRKPFGNLTNVPLCKTVREMRKYND TFTRVVWAQMPPQPTIKRKVYRIPSYDGVELEITRFATTEQLESPTPLPAWLQFHGGG VCALSIEIYAPLLAKQAAESGAQLLAVEYRLAPEHPAPGPVEDCYAALRWVSEHAAEL GLDAARLGLMGDSGGGALVAGCSLMARDRGLEPPVARQLMTYPMLDDRTIKRLSPQNP QAPLVSLPLGTLAMCWEAYVGKDKAGSLDEGAVSPYVAPGRATDLCGLPPTYIDVGSL DLFRDECAMFAARLAAADVDIEFHLFSGVPHGFEAASEISITKMALERRYRFMRNL PFICI_12402 MASEIHRRRTGRGLKVTEEIVIKEEMYEEEEDDLPRQFRHLTRH LQTNSPTMNHRVSAYITTQAAMASMARYNEVNRLFSESFPSAAALQQQLNNSIYMAPL MTNNSHFSPTSPTMPSPSAQTDSSFPGMDRRISTATTATTSCSTPPALSPSPSSHTIS TPDLRLTPRQSSAQMYPPLDVQPQQQQHTSAFTSELSNEAKMLGMVNIDVNDPMAQYF FGGDSSSFNFYDPQSNKSSQPALADNNESAFDSGDNVSPTDTTPTAADEILYSPMEGT FNSHFENYSQAGTPSAAGLMADPGWDNFIDFGSEQ PFICI_12403 MPKPRSKRQAIRDERKQKRRNAEEADEVKSAKRQRRDDAEDAND AQHEYGASEGAAGLPSFDGTERPEKEFFGMLSDQEQEYFRQVDEQLDIDDFSSQEERD MYLANVFVEAKGKELKLACSQSCSRLMERLILMSNSRQKKKLFEQFASHFLNLIQHRF ASHCCETLFLQSAPVVTRELSGERDDQPADGEEPDAEPLPTMEELFLLTLDELEGQLG YLLTDRFASHSLRVLLIILSGRPLEQAATKSLIHSRKKEKISAPWTSNATGEENAKDA LRPVPSSFNAATKKIIADSTEGMDATALRLLARHPTGNPVLQLLLELDITLNSRKENT KAEDQPEEDSNERRLLWQLLPDAPASLKESTSEASEFINSMIYDPIGSRLLETLVSHC PGKLFKVIFKHNFGERINSLVRNDVASYLGIRILCRLGKEDLAASVKKIIPEMPKLVS LSRFNVLKTLFERCHIREAHSEVSALLRALVEACEGDKKVLVPKLCFPEKDAESEKQQ SQQSLSKNQNAVISHGCHLVTTMLSISGAVSNAIQTSLVALPPDSIMSLATTSGPTAH VLTAAFETKSQNKAFHKVLVAALMRDIAGLAQSDHGSKVLSAVVTIPSKSDGINLPFH MKQQIMNVLGDNEMELRESFPGRKAWKAWQGDMWKTRTRDWIAWAKEVDDAASASAEP VWKRQQNAMKGNTNPNMVKVDETARKRRKDGEAAQ PFICI_12404 MFARSFAFTWLGAISIAAASGIQRPLALPSIKAQTTSSALLDLH KSLIEISSISESERNVSDYLGGYLRNRGFTVEAQPVKEDRQNIFAYSGQSRKTRVLVT SHIDTVPPYWPYERKGDEIWGRGSVDAKGSVAAQVVAVESLLRDAEIGDGDVALLFVV GEEKSGEGMRVANGLGLSWEAVVFGEPTELKLASGHKGGGVFTIKAKGKAGHSGYPEQ GTNAIDLLIKGLAALQQLQLPWSDEFGNTTLNVGTIQGGVASNVIPQDASATVSSRVA AGSAEDLEKLVRQVVLGASPDLEIDFAGGRGPVPIDHDIKGFETAVMNYGTDIPHLDG DHKRYLYGPGSILVAHSDHEHLTVSDLEAAVEGYKLIITEILNRD PFICI_12405 MSNHSQRSTYAHNLGKDPKSTEQGFVWDIVPCTGSNNLDMRDME FVDGGIHFVHIYGGSGVGTIQPRRIAVALPLAFVQSLANVALGPEAESRASAIKSYLN LWHDKSFLFDMILEDERALRLKKRRPDSHPIPAIFQKHPTLMPRVFKSWAPLNTVVEI YSEVVVVIYEDQNHNNLIRVRIARAPEIQPIKLAPRPCAVNAKLRFIDDHVYDISPLT IAVPSDLAKVIESIAINAARWEPFISHHCYLYCSG PFICI_12406 MKYRSMNPLLLFCPWIVQGALDGSRYLWYTQPGRYPVFEDGLPI GNGRIGAAIYGGLSEVIGINENSIWTGPFQDRIADDPQAAEKVVREMLVAGNLSDAHN YTMQAMIPTNNSPRAFSYFGNIDIDFGHTDQDVADYIRWLDTKEGTTGVSYTYQGVNH SREYVASFPQGVLVARFSTTTPGALNMNVSMSRISNILSNVAQIALNNNTITLVGSSG QQESQSPILWTGQARFVSEDGTFSTSGASLIIQNATSVDMYFDTETNFRYPSQSDWEA EMRRKIDAAYDLGYDAVKASAIADSSELLGRVTLDLGESPNDWADLPTDERITIARNT SADLQLSTLVFNFGRHLLVASSRNTGNGTSLPANLQGIWNNSTSAPWGGKYTININIP MNYWPAGPTNLLETEEPLFDLMKVAQGRGQSMADRIYGCPGTVFHHNLDLWGDPAPTD NYTSSTMWPMGAAWLAWHMIDHYRFASDADFLRDVAYPFLADVAAFYECYTFEFEGHN VTGPSLSPENTYIVPENYTIVGARPAIDIGPSMDDQLMHEAFRGLIEAAEVLGLDDAN VTAAQEFLPSIRLPQIGSLGQILEWRNEWPESAPGQKHLSPLWALMPGRQFSPLVNET LGEAAGVLLDRRVSHGAGSTGWSRTWLINTYARLYRGDDAWNQLTEWFAVFPTPYNLY NTNQGPTGPYQFQIDGNFGFVSGVTEMLLQSHAGFVHLLPALPSAVPTGSVTGLLARG SFEVDIAWKDGVLAAANITSLAGNELSLRYANGSAILVDGSPYSGSIQTSVSQILVVS LA PFICI_12407 MRITSSAVNSFCLSLFFHSSSGQRYPGDYPVQGYPVGNPYPHPA PHGNPYHHPGPHDNPYYHYPQGSRPSGRPGPPGYGQSPIPVESGTASDTTSSSLSGTD APAQTQTGTISTSSGTPADSSVASSVPSATLTSLPPDTISSVSTTTPTSSTVARNASA FVIVSPTYCPPSIRPTGVPISQSDANFSASHEGLQYQQFPNSQFILKNDSGEPFYLDL STPTQLIVEDADGYMLILYENGTYNAFAGACELEIIGSWPSPGSSPTGRKRDMTIRRQ AGSALCSDIQFFCNKNLGKAVLAVGGGLACAAIAKQVGAEIGGAVGFLGNLLGPEVGL PTTLVGAAVGGQLGGFLAGKYGQVLCANAATYLGSELCSACPPPSNCGPGTISCNGKP CQDILSDPSNCGACGNVCPSGKCRNGQCTAPVCAGSTCDSLPSCGSDCFCFATAGSTG FCGPSVACSPLADCVSDFDCGQGLVCATGTCCGRNVCLQSCGVGSARRDTSIAANASE LYTAGQGGVAGRNIPRLFE PFICI_12408 MANAYCSSTGTSITESEPGWLGIPHLSLIIDDDNVEALKGFLQQ KPDCPGWRIYHYEPNWHSGWGPVDYAIKTGSLNVFCELLTLEETLTAATPAHPYRLHE ACRLGRLDMVRHLLNRSADVQDSLERIDDLGATPLLAATGFLGYPGSEAVMQLLLDAG ANPLQDIYTAGRWRDGSDEPVEESRRQQGIDLPFLVANALTAAIEFATPALIDRLFHA GVDLCAGGSYRIEGWPRDEILIHVTPLHLACSESSVERVRALLRLGDSDAPRMIVQRD SMGRTAFHWAVLGNLNSPYVGQDPGKVHDRMLLQRNKCIQALCAHGREAATVNAQDRD GKTAMHLAVESCFPEAVICLLEQNADPNLTDSTGRTAIMALTMSSRIARMHKHVIDAL AKAMVNNLSAGDGHGNTALHLACSHYRRLWIARLLIEHGAPCHLTNGSGELPLHKAAA CLWPPSDSFSKFDIFRDVLRSQDQFISLLLERTRGVCDMDSKDASGKTATDILVETRL KVSNHVKQQEKGKAYVRLQQVDHAQIRAGFKIMPHEIQEVVETESGVWGLRDIGDPMA LSSVWHRFRGRGGLNRGGRGGSTGARPALLRIPRHRRRISLETYGS PFICI_12409 MDKQNEIDLPIFDQVNREIVTHDQDQTFWWDLMGRSLATQLKAN QYGNEEQLYYLRWFKKWIPYSFGPQPVDGNAYYDSTFTPDGSPIEYSLNWKEKKARQT VRLAIEPCSREAGTAADPLNQLASKSLLEAMAKDVTNIDMVRFNNILAETNVPDEDAE RILSKLPPSEPQVLLLIAYDLEQGEILPKAYFNPLLRAIHSGTSTKKVVFDAVRKCNG PHGSYDASLATLDDYLDSRDAVDGPHVFLLGHDCIVDSPASRMKIYVFTHVTTLATAL DAFHLGGRISGSTIEGGLEAVRSFWCHRFSLNGSDSDVENREMLPPGSRCLFVYEMRP TQPGQKEPEIEVKMHMPGTWLGETDAEVCQVMSSWFQKHNHPDLAARYQTDMASAYPE IDLNTPGELCHTWVSLTWTQKTGLYMTMYYTPKITQFY PFICI_12410 MSAKLESEAAYEATWIRMLQRQFTRPKPLPADTNLEGQTAIVTG SNGGLGLEACRQLLKLGLSRLVLGVRSQAKGEAAANGLRQTFDKADISVWIVDHESYD SIREFVGKCETLPRLDIAILNAAVITTSYTTVPSTGHENILQVNYLSTALLAILLLPI LKSKKVPDAVRPPVLNLVGTDLMYQPEFVPRLTGPVLAQYDSAENFRFFPWYSGSKIL LMCFVSRLARCVDANDVLINVSNPGLVKNTDLARNGSIMVRTVMGIYQFFLGKSVESG ASVYLEAALGQGPDSHGGFVSEWTIRPYPAVWYTTEGKEFTERLWEETMEELNFAGAS KIIKDMKGSAD PFICI_12411 MAPQYQVIITGEPDKATAEKRRLVYLRPFILFYLNSLFAEVIFL AVGVFIMTGARDLIYKILWTLVFCPLGMGGAMGGIINVFIVDHYYGTKAVHFTSILSL LVLSSCNYLCYNLDKHFGWFGAADHPLWFHWRYPMIWAVGYFNGKLLFTDEGQKRLAR MGI PFICI_12412 MLRAEVYVSSRLPIAVQRNGEPSFFSPISCTLIHGPTEAVLIDT PISTSQTEDLVRWMKERIPNKSLKYIYITHGHGDHFFGISTVRRQWPSAQAIATEGTV AHMKQQLEPQWWNDAWLKFFPGNQIDTPVELATPWLSDQFHVDGQVLKIFEVGHADTH DSTFVYVPDLELVVAGDIVYGDVHQYFGEANTSSKRQEWLRAIEKIESLNPKIVIAGH KRPGSVDAPYYLGATKAYIKDFEDVSAGSATAEGIAGAAAAIKGKVKEI PFICI_12413 MIHTSFSQYLHLTVLTEVILTLNQGDPGGITLVTDVSHSNWLDK SGHLFLRKLRRDSLGNDELTYLHQKGVFSLPHKSVSDVLIRIYFHHVHPFFPILNAKT FLAAYEDGAYDRIGIHLLWSVFLAASLFVKEETLRDAGFASRKDMKRAMYQKAKALYD MQYEKSKTTLIQAVLLMGFWYSDTQDRTGPLHWIGVAISLCQSAGLHRKSSLGTNQHN TDTEILWRQLWWACVYRDAWYAVGQGKPMRIAIADCNTEMPSVKDCEHMYDGVEETLR AKYLPDHMDLLSQLWVDLLKLTVVLIQITITMQRDGNPGDSRALEQKEEEILACRQNC IALMNNTDRLAQIHVHHVELYCNSTLLVLYRPHILQANAKRSREIATPFDSFIEQRAQ TAAARINGILAQLIASDAVDVCQGIICMALIPPMQIHMLNHLSKDPLVRQLHHHQLEI CMVVMKELKKTYFGAEIIYKIFENAKAQIQSQALTLETHAGDTQSLAQGSGHIQGVMP GPSNTSNDHADQPDDVSAVLQSLMRQHDYFDIEELDFLNFPATSEIE PFICI_12414 MASLARTIPALLALAATAIATPVSTPVKRDSCSTYRTVKDWNDL TSDEQSDYLAAEVCLTEYAATMDLGGSKTYWDELMYNHITQVDYIHFVGQFLPWHRYF VSVHANALRDYCGYEGPLAYWNEQEDASTLTDIEDSTIFQSDAFGGNGVGDDECIANG PFANVTLTFTPGESPFGGNATADDTCIFRDLSLSTLQSSDFDECLEEDNFEDMWDCVE NGPHANGHGAVGGLMGNVANSPGDPVFWLHHAFIDLQWWKWQSQDLDTRLTEIGGTNG RSSGDEPADIAAYDGDNGNTTTLTHNLWMMDLYPNVTVADVMSINYTDVCLDYVY PFICI_12415 MALSLAKTALFGILTAGLAVDACPTAAKRTGTCTSINQRKAWHN LTNDEKTSFINAELCIMNSPTRSGWIPGAKNLWDELHYTHIYQGNYMHYVGHFLPWHR WFVRTHEILLQECGYEGGHPYWDEPYDLANAPLNESSIFDPVYGFGGNGAGGGGGCIE DGPFANVTLHINKTSTEANYCISRNLNQDDLDQANTTNIAECMAYSNYTTAWECWNGY PHGAGHGAVGGLMSDPIESNGDPTFFLHHAWLDKMWAEWQTAWGNNYSDIGGPNVPPE YIIESSGLGFPSASILDYNGDGPDNHTSLNHVLWMDNIVDNVTIADVMELGGSTICAE YI PFICI_12416 MSFTSFLITLMLAGHPVSAGGFQGSPQPLIRQSAQGILFGPITL PTAPLVSNITINLINFNDEVFDISSISVFGDINDGGCGEVEDTAPESYAGQSVVLGPT SAAWDAALISNAQVSLINFNDEVFDISSISVFGDINDGVCQPATGTR PFICI_12417 MEHIIQTFMRVQRQVDDADSLIARYPELERLALSNPAAISDRDR RRLLDIPDQDVENTNLAAVTQLSKAQLLERAAKSPNALTDAEIDLLMGRYWRNVSSKE ALAGDRAREAMDLGTHAYSRGQWEDLTKRLTMAREPLYEQNELEAFQNAPKEWTWRMT ADTRAREAKEIESAMRNAAPWIQRLWTEDLKDRPEARWGYAIFRDPAIKAEKGEELYE NYLCREDGVLVWARWALRCGSVIESRFQRQRLEWPVDLPSMGQTATEGTPDINTTKIF TVKLPPQRPCSPALLDTFQRLREAFHSARAAPRKSSRQHGPGINDNLLSNVFLVVDQD AANSIISRWTPSVDDMWVWAVDPDFAPDSASSSGEAEGKTEGYQGYLRVRIQQLVKNF YEARRWHADKISMQTLWEAAQVSRNQLFVSINEEEAKQWKLSRDTGIRLLPVVARGGN LGIANASLLIVLAEKLVNKSQGI PFICI_12418 MTVPYSNLEVAVPYDVPDHFYASSTEPPKVQTPQRSNTRKTWLI IVAVVVLVGALAGGLAGGLLSRNRSGASNTDDATASTPTNATTSSSTGPLALSQLASL NWTDSSQILRRAVFYQHDNALFLSQYHQSNDSWTAFNISDVFERESISINAKQGTPLA TAATSIDDINAGLTDFTATLFYLDTDNYIQELVCTDEDLISSWSLGDLQNHKIIAAEN SNLAALAYFCPNDGQDYLCNNQFTILYQAVDQSIMFVGATGWEPSKMASGWPGGGVAM YPFASDDGTNLTDVSEIRFYYQTNTIIELSIQNYLGRYTAPGESNPILSNQEVRNDLV PKIVASPRNYHSEAIIMSMDGAGNITGTYYSPNTWNHDNKIRFQNQDGATTVGYLSVD LTSIAMDHNGTFYGISANGSAIMAYSWSAESIFGFVWKESITVS PFICI_12419 MNPVNTKPYKIPSDAIWFITGSSQGIGRNLAELVAQNPSSRLIA TARNTADLSYLPDSNPNVLKIKLDVSSTSSVQETFDTAAAHWGGPEKKLRVDVVINNA GYSLSGDTENATDQQIQRHMETVFHGTARITMQAVKSMREGSADGRGGLIINISSTAG LRALPGTAYYHASKYAVEGFTESVAREMHPDWNISFCLIEPGMVKTNFESTSKDKIPP HPGYQAPGTPRVALAEYVAENVSAGSGASADLVVQTILNVAYASFVEELDNLREQSLM GGTPRNF PFICI_12420 MAEALAALCIACNVLAVVDFTWTLLTEAREIYKADNGASENVNF LETIVNDIKDRDDRSADAYTNNQNLKKLVEESRVITSQLSAALMSMKSEGRRSKWKGF KAALKEVWGDGQVKGLAEKVGNLQSQIARHVLMSISDKVRQTGSDDKALSTAIEGPSG W PFICI_12421 MLRPSKVVRRQWKEPPSAIIAAIDDHPPWYRDVGIRKMMFPIAL IYLTQVCTGFDATLTANLQSFKEWKREMGNPNASQIGIITAIYFIGCFCGSVPSQIVI DKYGRKLGLIVGQLLTVAGAGFQAGSQGRGQYMGSRFILGFGIAFITCAGPALLSELA HPRFRGTLVSFFNPFWYFGSIIAAWTCFGTSHMDSTNTWNWRIPSCLQAFCPIVVLMC AYWIPESPRWLIYKNRKEEAAQIIAHYHGNGNPDDTLVSTQLEEIELAVLRAQEGVTW KALFTQKANLQRLLIVISMTLMTLWCGQNIITYYFSSILNSIKITGTTQQTAINGGLS IANLVSSCVGALMVDRMGRRKLWMASYTGMIIIFVPFISLSAMYAQHDRTSEGYGVVI CLFLFDIMYNVACNPLLYSYVTEVMPFYMRSRGLAVKNLVGQLALIANMYVNPIALEA IGYHYYIFFLGLNCIWLATIWLFFVETKGYTLEEIQQFFDSSRELETVVLESKGTMEK QEMESNIKSCKA PFICI_12422 MAPIAISPESSPRLIPAPVKAFPINKPELTGWQSDLEKNGFAVV KNAIPREHAVGYQEKAYAWLKSFSTELDFNDPSTWLKQNLPVQSKINTFHSYGVAHEK FVWDARMEPGVLDVFAKIWGTNQLLVSFDSLNVTFPNRKDVPRKMAWEHVDQSPLRRG LHCVQGILNLSPAGPEDGGLVVYPGSHALFDEFFDTQTDKHDWNPLDRYMFSAQQLQW LKDRGVYPHKVCADVGDLIIWDSRTIHYGAEPTEKSTQIRTVIYSAYTPARLATPEQL GLKKRVFEAYGGTTHWPHDNIIARATETFLEDGTRDPRDRDCPRDLPDMTDQLLKLAG AMPY PFICI_12423 MSSALDWLDIIDRETHSDLSSPLSSLAHSSALKVFPSRRASDAA PSEAGLNLPHISLIRYAGLDQEAQNGWSTGERYLLNHFLQSVARSMSMAEDKYNPFIR LIVPLAFESTGVRNALVALSATHLSKIYPDFEKDHLLHRNLALEDLKWRLESPESVIS NLTTTLLLCLSEVCECHSKRWLLYLYGARALLPQVVRGAPGALEDFLIDLYNYICCVS SVTSPDVPHVWGKRFGLYRSNATHALFGCEPGLYMCLARINRFLHQRSRLKTRESLDA LQCKVLAMEAKLQNWESLRDIESEEKAAACTLRWAMILRLREVKWPSLETASSQIQEP VSNINLALSLIRPGSQAESHLLFPMFMAGVGSISKASRLFIEYRIHVAEKTNGFGSVN AVHRLLDILWRSENNGGEAGAWQDIVAGEMPGLVLF PFICI_12424 MSLICGEREEKAFHRLCRQYVYTTALKVRPNCELVHDPLAFAAQ CGYVPAIKFMISRRVKVETRDKHGRTPLLLAADGGHDTAIQVLLDKGANFESRDKSER TPLARAARAGHVLAVRELLNKGATTETKDIWGYTPLTRATTGNHEATILVLLARGANI ETRDKWGCTPLCRASRRGNVAALRALLAHRANIEAKDGLGYTPLSHASKGGHRSAMYV LLTSGAKIEGRDKFGCTSLARAAQGGHKTAVQALLDQGANIETQDKRECTPLARAAWN GHEGTIRLLLEKGENIESQDRNKSTPLALAASKGYEAVVIVHLARGANLHSEDKFGRT PVACAARNKKEAVFQLLQESGAGWGMASREKNISRLRSLLRF PFICI_12425 MAARSLPNADKQHMLLQAAGIASNAAAIALIVGQSPAKVVGWLE MGRGILAGNVQDLRTDLAMLQERHSDLAASFDNSRGILDGTIKNESILPRMSHGSSIR LETEKRRQAHHQLETTVQAIRKQPEFERFLLPPAEDEILAAANSPIVIINVSCHRCDA LIVLPSGFECIKLSSNLYHDIQEHRMNLTSPSKAMLEWLWDYIVSPVLTRLEILAPTP SGQQWPRIWWIPTGPLVGFPLHAAGYHQEEQGRTTLDRVVSSYAISIRSIRGAMHTRE QPHQETPAGSRIALVTMTDTPELSPLHFASLETRSVQAVCQSMGLKLMVPVPCKREVL SVLQSSQIFHFAGHGGTHDDPLKSLLLLKDWKTDPLTSDSILQTNLSFKAPFLAYLSA CRTGQVRNKDSVDESIHLTAAYQLAGFRHVIGTLWSVDDSICVEMARMIYEVLRDDGI NDKAVREGLHRASRRLRDVWVQNDKVKIEAFTGRRSERDGKLDDDTARERPALWVPYV HYGA PFICI_12426 MDPIVTNLHASDGARKPDKMSPHTQCHAEPYLDISYPHLPSTAR NHEQPLEAPIDGVNRLHIQSKNEIHHQPISTAVEITLGTDALELSFSMADCLKSYGVD DAQISILVQTSEGFQSPHRWSTFRKTKVLIPPFLAAVIGSYAAGAYSPGSEQMQQQWQ VSETLFDLGLLMYVVAFAFAPMTLAPISELYGRYWVYVGSGLVLFLGTVGCAFAPSLG PMLFFRLVAGCGGSVYSTLTGGVLADVYLAEARSTPMAIYMLLVFIGIGLGPMVSGLV VDAYGWRWIFYIQLIAIGVVSLASGLLFEETRANVILRSMCNALNRASNRNQPSPRAD HICTIFQAQQPQCGSIIPVLRQSYAFPLRLLFREAVVFLFSAWASFAWTVLNMQYSSI GITFREVYNFNSFQVGLVYNAILMGAIISTLITIILDSWAMRLWPQRMSTPEGRLLLP GVLSILLPAGLFCFGWTAKITITYWLPMAGIGVGTVGIFSIYLASTNYLADTYGPFTS SAIAAQSMCRNLSAGVIYLLVPQMLEKLRYSGTGSLLGGIGLALTAIPWLLAMYGVEI RRRSPFAGTLANM PFICI_12427 MDWTTASANKRSALDATIPPEWRLDVSWNDDPPMGFWAKSRVLT PKELTITEMPATLLVQELANGKLTAVAVTTAFCKRAALAHQLTNCLHEFFPDLAIAKA RSLDEYFAKHKKVIGPLHGLPISLKDQARIKGVETSMGYVSWLDKKETEDSALTMLLE KAGAVLYVKTSVPQTLLCCETINNVFGRTINPRNRDWSCGGSSGGEGAIVAMRGSIIG VGTDLGGSIRVPAAFNSLFGLRPSHGRLPYAKLANTMEGQEAIHSVCGPICHSIPDMR LFVQSILSQNPWMYDSKVVPMPWRKHEEDAIKTKISSRSLTIGYYSCDGNVLPHPPIL RAVEIVKRRLAKAGHMVVPWQPYKHAFAADLASRLCVADGGTEIFSNLRASGEPAIPN IDYLIRPEQPKMDVNELWNAQLQKWNYQNEYLEKIRCFEEKHGRELDAIIAPTSPYAC VRHNKFNYYGYATVINVLDYTSVVIPVTFATKSIDRASYQFAPLSDLDRLVQAEYDPE AYHGAPAAVQVIGRRLTEERIMCIAEELVRLLRDD PFICI_12428 MKNISMILLDRAVMPRLAALVICLLLGYILWAIIYNIFFSPLRK IPGPKLWALSPLPQALMACSGKPHKRILELHQRYGDVVRTGPNSVSLCHHNAWRQAYG HLKPGELENIKDPSLFEEVGHSIIAADTENHGRQRRILAGGFSGQSMVKQEPLIKGYV DLLFERMREHSENRTPFNVVRWYNYTTFDVIGDLAFGESFGCLEKSDYHTWVAMIFQQ VKEIQPLAQLRRAYPIVGVLTKPLLQTFAAKKINEHAELVEHKVSKRLALNTSRPDFI DAMIAPGSDSKQYLKAVIDEGMRIYPAAPASTPRVVHRGGATLCGTFLPEGTIFDIWQ WPMYHNEKNFTSVESFIPERWLGDARFAKDHADAFQPFSVGPRSCLGKNLAYAEIRLI LAKLVWNYDIALADETQKDWVKDQRQWGFWDKPPLYIRLEPRKP PFICI_12429 MPEFSTKQDGVTSTGSIHNGYSEPAIDSSGRQLVGHTQQPVAVV GMACRLPGHSNSPKALWDFLLKGGVAVNEPPSSRFSLAGHYDKSMKPRTMKSPGGMFM EDVDPAHFDGQFFNISRTDCIAMDPQQRQLLEVTYECLENSGVTLEELSGTRTGVIVG SNFIDYGAIQNRDPENRAESITIGLASSILSNRISHFLNVHGPSMTLDTACSASLVAV DVACRYLDSFQADGMLVEGTNMWLSPEHNQEIGMMHMTQSGTGKCHSFDAKADGYVKA EGINAVYLKRLDDAIRDGDPIRAVIRGTAASASGRTAGIANPSPKAQAFAIREAYKNA GIRDFQETSFLECHGTGTLAGDPVEVKGAASVFSDGRSDGQDLVIGSIKSNIGHSEAA AGISGLIKAVMAVETGIIPGTPTFVTPNPAIDWKASRVQASRTSMKWPTAGIRRASVN SFGFGGANAHVVLEQAPFSHHVSSYKQVSTDFFDDSDDETSDTARQKTSADKLTPPTL LVFSSNDKASLENYVASISAHLINPTVSINTDDLAYTLSEHRSKHYYRGFSVVRSAKA KIDKSTLVIGKQASSAPRVGFVFTGQGAQWSQMGSDLLKQSSLAHKVIQDLDDVLQSL PEPPSWSLLKELSEPRSIEVLRQPEFSQPLVTALQLALVEVLKSWGITSKAVIGHSSG EIAAAAAAGLITSETAIRTAYFRGKAAKMVPSPDEPVGMLAVGVGPEILQPYFESVQG RIQIACYNSPSSLTISGTTATLQDLCTRLKEDGHFARMLQVDLAYHSDYMSEIGDVYE RLLSSKHATDGHMNGNQALSVTTRMFSSVTGNVLPKDALLGAAYWKSNMVSPVQFAQA TRALLADSEHGADFLIEIGPSSTLAGPIGQIQKEFTTGPANESTYASTLKRGQDATFP MYNMAGQLFIKGGAVDLCEVNRYCGPVFKMRPKVLVDLPNYSWNHSISYWHETQASKD WRFRKFVTHDLLGSKILGTPWQAPVFNKVLKLTDLPWLSDHKLGSQVVFPGAAYMTMA IEAMYQTAMMTKWRQEPPAEFRYRLRDVKFLRALVLDENAESRITLTLFPRHGGSIRS WFEFKVCSFNESLSTEHCVGQICVETEYQVAKSLGEAKNPLDLPVPAGIWYKALARAG YNFGPLFQKQLNVEIAMGQRKGRSMVNLQPPLAIAHTESHYPMHPTVMDGCLQTGSPP LWKGDSSAVMKVLVPKTIDSLVLMGSHELPREGITFSSATFLGVGNVEDARNYATNVS LYDPCDGRFIFEMKGLASAEIDTSDAASLDHTFTRVSWNADISLLMKADNSLVRKWFA DSNTIKTVQDLLNLVAHKNPGLKVLEINMSPGDSSSWWIDPESKTHAIRAASSDYSLV VSEAKTLISAQQELSPRSAAARFHLLDTSQQGLIAESVQFDLGIIKSSSTTVSESTNA LVLNMMRKSMSETGFILTSGLSQEAISGFGRTVAVPQLGADIYLSQIRPEGNETANLR EKHPNITHISFLEPETKHAHSICEVLEAIGSGRWMVKTAADPLRDIDSSGEVVVILDE LFEPILPHLDERMWRILKHVTQKRCQVLWVTSGAHINVTDPTKASATGLLRSIRAEEG LCLMTLDLECTSDVQRMATTIESCLEQLCTAEVGDDDPAAVDYEYVERDGIISISRLV PDLELTALQSDDTSARQTEVMGLHGCDTLVRLQCERLGDLDAICFAEAAPQPIPLREG FIEIQIHAAGLNYKDVVVSMGIVPGDDSALGYEAAGVVTKISPTAARTNPDLAIGQRV VAFSQGALANKLQTTPGRVHRIPDSMSFETAATLTVVYLTSLHALSIAKLTAGKRILI HSAAGGVGMAALQLAVNAGAKVFATVGTEEKREFLKSTFSLTDDSIFYSRNVDFADQI LSATDGKGVDIVLNSLTGDLLVESFRILADGGVMVEIGKKDILDRSSLPMAVFDRNTT FRGVDMSPERVSDDLASQLFSELFDLLDNGRIQPVHPIHQFSWGDIPSAIRFLRSGKH MGKIVITDGEAEVQVPIRRAPKTFPFDDNRCYLIVGGLRGLCGSLAIYLAKMGAKYLA VIARSGHKDKKSQAIVKQVNALGAHIDLLTADVTVAADVDRVLTETRKPVGGIIQGAM VLRDRPFDSMSVTEYHEAVTCKVQGTWNLHVAAEKLGFNLSFFTMLSSISGVVGNRGQ ANYSAANVFLDAFAEFRLQRGQAACSVDLGVIEDAGFIAERGGFQDKHFDNRMFNGID DRLLRKILYFSILQQRGQVGCEKSPCARGSRVSLGQMITGLVMPQPADSWLRKDARFA ALFTGQGSTTAMSGGNNNNKGDADVQALLLMLRTASSNPAATLTATIKVINQCFMRIL RLSEPLDPARPLSIYGIDSLSAVEVRNWVRSELGILVTTLDIMNASSLEAFCNKIVMK MAASES PFICI_12430 MTKEMIVAEVAEYFHAAGFTVLSYDPRCIGASDGTPRNEIQPTR NMEDYHDALTFMRGNPHVDPSRISFWGYSYSAMIALCAAALDKRVKAVIAVAPLTIWE FSKWGKVLSKAMKDRESCLAGNQPVYVPMLTEEGENPAGFGTGFADEDIYSMIERAAR IEPNFVPQTTLRSYYHIAAFKPFGIMPFVSPTPVMVVTPEHDVISPVKLQTTMIYDVL QEPKQRLLVSDRGHMNVLSGHGSAVALDAQIEFLRQTFHA PFICI_12431 MSSKVQSAVTLIFSWTEHPFAHISVAAIFLVFIYHVKSQSQDFP YLNPKKRFALTASAVRKEFMTSSRTILSKGRFQYPDTPCMVNSEWGEVLVLPPHLINE LRNDPRLDYLRVTQDDSHAYIPGFEPSNSDPKLSAVITRHLTKVLAKLTKPISDETTL ALQQSFTDSQVWHEISLATYIPNLISRLSSRVFMGEALSRNESWVQASARYAAQNFAS GDDLRAWSRPVRPLVHWFLPSCRETRRQLAETRGVLEPLIKERESNRRDALARGENLI DDDHCLEWFEQELDDGYDPATALITLSMVAIHTTSDLLQATMLNIARHPELFKPLREE IISVLQTHGLTKQGLYDLKLLDSTLKESQRLKPVMLVTWRRAALEEVKVSTGLTIRKG QRIAVSNAHMWDSAYYHNPDRFDPYRFLRMREIPAEQSLSQLVTTSEKHLGFGHGNHA CPGRFFAANELKIALCHLLLKYDWKLPDDHEPQPISHGMTLGLDPNAMLLVRRRKEEI NLDSL PFICI_12432 MAPKNARYFKHEGKDIPILDLETIDFEKLVCNDIDEVQRLLKSC QNEGFFYLDLRSINGRRQIDDKDRLLGFMRRFFHSKFADKNEIGLPEQKHGYEPIGLH AGLDNDSRDGYECLKVSLSELSKDTDLNLPRIINNNDVKILRDFAAGGDLITKTMLSC LSNVLGLTGENRFESFHRNWRPSNSTLAMFRYIPSEETETHAPGQKSTGHQQHTDIGS FTLLFSDQYGLQVQPANEANAEFGFVEPRDGHAIINVGDSLRFASGHQLYSCIHRVVP LNEERYSIAYFLRPEVDKTFRDSEGRTLTAGQWHDEKYEVFASTHEDQATKVPKTMLL GGMPERIAQGVLVG PFICI_12433 MLEDNHKQNHGLATTYEREQLSKKLDGAIDRIRHRGPDGSGTWV SADGTVGLGHCRLSINDLSECASQPLHSDDGLVHAVINGEIYDFERLRHECEEKHGYV FKSSSDSEVLVALYKAYGAPEFFSHLRGEFAFVLFDEREGTRRVLAGRDRFGIKPLLW TKLDNRVLFASEAKAFLAMGWKPRWDVRSLSSSGWMIDDRTLFKDVRKLRPGHWIEVS QERGFTINQYWDAEYPDKTQVESRSVEEMILGVRERLVESIRLRLRADVPVGVYLSGG IDSSAVAGIVTELIRKEDVKLGSERATRVSCFSVKFPQESGHDESDIAERTADWLGVK SYKRHVDEARIALDFQDAAYHAEHHHFDLNTVAKFALSTLPREHNIKVILSGEGSDEH FAGYSFFPAEFLRFPDLNMPSTALAQDDVLRESLQKSASEEMDAVWRSFGATKHNKTQ GLDIQDDLMGSTMPQNLLTSEPADEVFSDWVRIQYHGKWDRRETVLSSHAPEVLEKMR NKWHPLHTALYMFNKATFSNLQLAGLGDRSEMAHSIEARTPFLDHHLTEYVNSLPPST KLHYQPPADSVVDSVDNFWWKAAGSALRTITEKWILREAVRPYITEELYNRRKLPFFA PVRWAEGGPLHDMFTNLLTREAVEKLGFVNYDKVEAALQNAFGDQASSSSFRVLCYTG TWVTLSQRFGMEKATVEEYSWA PFICI_12434 MSSPNLTQPPGQGVSSVLPVKRRPACERCRSQKLKCLRDEGNSA GACLRCVDSGVRCVTNRHRRPGRPARSCGEDQQASSTRTDEYSGNVSALSTVVDDVSW TMMDDITLDSIMSYANGTTTVYHDTGQYLDTFGFEDGQELYDQMSSHTGVTADYGASG SNQSYSEDLECELLQLQQQLTKLIIDLRTRGWNVTTSLTIKPQTVDHDIYKQSHTDGP GFNPIAETLGMVSELDKILNKIMNNVFPRESFATINTCSWVRSQYVLSSLACYMRIIS AYDYIVSHILDEYSKNPVAREFILNGAPKLAIAGFAVPSPKNLLGQLLAQTLEQKLSP IESTLGLPYLYRVVPEMEDYHNRKGGRLLKETDGKLLLDTLENISSGSMMSSTEPSGV QALKDKLDRLKSLGLS PFICI_12435 MAPYDDSDSSGGEEVDYTETNVLLGYASKDAEDDTISRLGGRPD WLDPSAPASAALARCKLCKDVMVLLLQLNGELPDRFPGHERRLYVFACKKKSCRRKDG SIRVIRGLRVEPGSKAAPTEKVQKEEKPAVKEEPKPQPKLGEALFGAKGLGSASNSAN PFATSGSAPSNPFASGANPFSSSKPEAPKPVEETSKAEVKEAVEALPKTFAETLSLNN PQKEQGPPPPPEPWPVEKDLPSPYPISYLADADYETLDPTPPPIPQATRMDVDEPGSS AGGGKEDREVFESVMDGDFQKFADRLAQNPEQVIRYEFGGQPLLYSKTDAVGQLLGGN RTMPRCGNCGSTRAFEVQLTPNAIAELEAEELSLEGMDWGTIIVGVCESDCQARGVAE GEAGYLEEWTGVQWEEVSAKR PFICI_12436 MAYNPSGGGEGANYYNGGGQPAPNNNYYQQQQPPQQYNQQAYGQ GQYDQQQQQYGQPPPGGPQQNYNQNYGEKPMFDQTFKIEKPKWNDLWAGILLIIVFLG FVAVSGISLHGYANTRNINGGGIYNGAQSVGLNTNTIVLFIFCLCIAFFFSYAYVWLA RLFPKTFIWITGILNIVFGLVTAIYMLSRHYYSGGIVFLIFVAFLIFAFITWIPRIPF SALMLTTSVDVSKRYGHVYLVSLLGGIVATAFAAWYSVTFVAVYVKYQPGQNSSCSAS GGGCSSAKVTGLIVFITFAMYWISEWLKNTIHTTISGVYGSWYFHPHNPPQGATRGAL KRSLTYSFGSISFGSLIVAIINFLRQMCSVARQSEASQGDIIGTVMFCILGCIIGLLD WAVQFLNRYAFSYIALYGKAYIPAAKDTWNMIKQRGIDALVNECLIGPVLSMGATFVG YACALMAYLYMVFTNPAYNSDGNFTPVVVAVAFLIGLQICNIFTTPISSGIDTIFVAM SWEPEVLYREHPELYQEMCRVYPQVQRAIHP PFICI_12437 MPKPSIPKPSPAPSAYPGGRRPLTWLTVVCFALFSIAGFYFMRI ENSINGVPVDFEKVVTSGQWADGTPIKQTYTGVEAIDFVFRWLVPAFIAGPAGYDQGV RLQQIHFLVNFFAVVAIWNVEASRQRNRGSALSYTALWAVFYQTVAGAAIIPLYYLVY AVISRNDSYLSKGREVSRGQARALLPALVIGYLVPTTALYIPWGDSDITQNLTALWQI SPAIPNLLLLVFSPFQASERIPSNVPADVKYLKRIYLAIGIISTLTQLATFYISFTSD NPQLTLSYIFLPNKATWKESTVLGLHYIFQWDFWIIYVSSLVWCYIVVVDAVRYVNGR FTLIEAILSIFNLTLVSFIAGPGTTMALVWNWRENWQLKIEQNHEKPKSK PFICI_12438 MSPSFLHRLKSKVRSLQDRRRKVYCPTLPESQDPRAQTRSEIAA EILLREKQLGADLSLVEGEEKGEVKQLVVDDAASINTELFPDFDSSIDPEAHVEDIFA NVSAQFWKGRQRSWSWASNATCVEVSDQRRREQEGWPLSLKPVANPVTGEVIYAPVPF FLCPGYYEEDPPLCEFSIEVAFEQGEYSDDSNVMDEALHHAFTSMSEDHEWDHVDKNL KWRFIDVVASKKHHHTVSTHQKGRSTCSRPGCDLGKSKRQELKRFLDHFWKSFSTAL PFICI_12439 MKIPSLGLGLLAAATLTSAASLQQVTSFGSNPTSINFYIYVPDK VATNPAIIVALHPCGGSAPTWYSGTKLPSYADSGGFILIYPGTPHNSNCWDVNNAASL THNGGGDAAGIISMVNYTLDKYSGDKSRVFVMGSSSGAMMTNVMAGSYPDVFAAGSAY SGVAHACFFGSATSTPFGSNQTCARGLTHTAADWAAFVHNSYPGYTGKYPRMQIWHGL ADNLVYPQAGYEALKQWGAVWGLQNAKNNSGVPSSAYTQILYGDGSQVVGYFGQGVGH TAPVNEQNMLQFFGLLT PFICI_12440 MDIEGRNGVEEDEIDEMPRSRPKRATIGALLALLLLVNLSTSLY QLPLNRVIERRLCREYYNENEPSGILPGGEIPEDLCKINQVQQGLAWIQGAMDTAWIV GDFVMTIPLGFVAEKYGQRTVLWMNLIPRVFMLGWAVIVGHFEHALPPRAIIAGPFLS ALGGDCVFNSMTYALAASITDDYVLRATYFGWMSSISYVVALLGPALASASMSILLWL PFFVGITLLLLAVPTISLLPDHPEGEFTGLSHQAQDEQSRPLISSPLLKAQDADTSAF TAISKRCETLRAMLASHTRNLLLLFISFFLTSLASSDTKLLPQYISKRYHWTFASAGY LLSGKAVMNFVLLTIVVPSLLRNRHSLSTGQSSSGRTDRANIRYALICLAVSIIGAFS IGLAAEIWILVPALLVYALGSALPVFTLSLLKSPAIQPERGIQLQDKDTETHMFALVM MVKTLGSLVGAPLMAILWVRGISLGGAALGVPYFTSSACYVLAALVISRIRT PFICI_12441 MEIAAVYEGLATKWLAAYDLAGSGSPCRIEGELADLVVLGEIPP EINGTFYRVMCDPFVPPDPNNVPIDGDGNVSAFRIHNGRVDMRLKYIETERYKLERRA NKALFGLYRNPFTHHPCVRAAVDSTANTNLVYWANRLLALKEVGLPYEIDPDTLETLS YDPFGDQIKAKTFTAHPKVDPFSDELVVFGYEAKGLATKDIVIYALDKDGKKHDEQWI QSPWCAFIHDCVITPNWLVLVLWPFEANMARLKAGKQHWAWDYKLPATFIVVPRRKST PLPSGWKQGESRVYSWKNCMPIHTAGAWEGEDGKVYLESSRVHDNAFPFFPPDDGRMP APDAKADFVRWELDLNQPTGSTVPDPTVILDVPSEFPRIDERFMTGKYRYLFLNVFMP ETSDGKKNIFHGLNGLAMHDHETNKTRYYYAGDDSLVQEPIFIPRGPNAPEGDGWVMA MVERRAANRNDLVLLDTKEFEKPVAFAQLPMHVKAQIHGNWVEGNQMTSGRSLVREIP EFSISGKGALEPLD PFICI_12442 MKFSLVVLAAAAATGTAASPLTVSGSTSLLGQLAQLTSLPTCAV ACINASAQKAGTGSLSLLQLSSLCKNVTGVLNGATVCLQTCKLPVAQLTQVTTTLKTV CATVKL PFICI_12443 MMQGIGSVLSLSLGLLTTSVQASPCHHVDTLKVNTTSGQLSGFI NSTTPNVRQFLGVPYGEPPLETLRFQPPQPKTYAGSINATAYAPACMQQISTAPTVYS TYIQQFLINGGQSEDCLYLNVYAPLHPVDAKLPVFIYIPGGGFTTGGSNSLYKIPDQW IERTQGHIVITMGYRLNLFGFPGAEGAHQNVGLLDQRLVVEWARDNVAAFGGNPEQMV LWGQSAGSASVGYYGYSYPEDLIVKGLISDSGAPSILSKTYGNFSSFSTLAGLVGCGD LDASEELDCMQKVDAQTLETVYSNHTSTISFSPVADNVTVFSNTTDRLANGLVTKAPW IMGNNANEGAGFGTFNASGESETQFNIGLSAIVCPVSAEIKSREKFGYSTYRYYYTGN FSNISPLPWIGATHSAELPLLFGTHNLYRGNSTEFEWETSYGMQDLWLSFASTPEQDP TDGHGTTWPKYNSSIDSMVEFAANDTWAQIASGSIVDSFCAS PFICI_12444 MLGIYLASLLLSAISSTQARPSASSLRRSWSGYGNLEKFVVFGD SYSRIGFSASGTQPSASNPFGNAGTTSADGYNWVKYLTLNHNESAFLTYDYALSGATV NVSIVEGSVGRDVVGEVQNTFLASYADNSSFAGDNVLYGTWIGINDVKGSYLGDDYEG ADFTIYTEIIDSLASQVDALYDNGARNFLFLTVPPLEKAPYTTTSSANATRYPLMEAA VDDYNGKLLKLAKSVGHDYVGTNVFYMDAHALFNDVIADPTQFEETASYKDVTSYCDA YSSLTDDSDADSDECDYAVYEYLWLNNLHPTYPIHNLLAKEIAALLAAGPTFW PFICI_12445 MAKLQEQEFWADADKHLMSTGVPYSPSIITKAIGCRLYDQNDRE ILDFTSGQMSSLLGHSHPEIVQVVQKNVAELDHLLSNMITQPVVSLAKRLARFLPAPL EKSFFLNTGSETTEAALKMAKVFTGKFEIIAFSASYHGLTQGSGSATYSAGRKNGGPP TPGMLAFPAPYAYRSPFRKSDGSYDWETELEFGWSMIDRQSVGSLAAFIMEPILSTGG ILVPPKGYLKRMYDECKKRDMLVIMDEAQTGVGRTGKMFAFEDDDIVPDILCLSKTLG CGLPLGSVSTTAEIARGLAQSKFLWLTTHLNDPLTAAVGDKVLEIVERDNIAQRAAER GEQLHRGLLRLQEKYWCIGDVRGRGLFQAIEIISDAETRAPGPDLGQLVSDKALALGI SCNVVNLPGMGGVFRLAPPVTVTEEEIEEGLKILDRAFDEILATRGGVVP PFICI_12446 MCKAKVAVAGTEESVQASVPVQIAPSPKPTPMLGKRNWLRRGTG ASILSVSFTSLALSGDELRVSSSGGCELLCSYNWIDRPRPTIYVPGGAPTFKEVSVPM TLDKDSGIQYIDQNAARLPRYPFEVVFDALQVMNPHATFNKIDILVNRNSLRRLLEFC RGRGVDSFRLNLFVVDSTLIIERCTKSATQMIHGSQDSGYGRSFEHAVTATHADLQGS MGHHCVLNYNLGALKCAVRFEVDACVVPAGVDPETQVQGTTDSFGHDDVNSLVRDFQI VGLKNKRTSGQSTGSTQVIRRGPGTSPNHMAEIKANAKPPGQSMPQMWFGRTPHLIRG RHDKATFTEVSVDNISLDFEVWENQSRNQEALQKMVGLISRLREIVQATPERACVAVC ERSLGPSALEIFHSTGRRKPLPDHLVEKFWTSEN PFICI_12447 MSQYAVTAAAATSVSNTAEDSAPPAAHHQQQQQQQSPGAQTESQ PHPSQAGALPPVQHKRVYQACIPCRRRKVRCDLGSVDNPHEPPCVRCRRESKECYFSA TRRKRKNDEDGEEDYIIRNGRKRHTLDGSPPPTYHRQSFSNVPLTPGGSTGRTQPLRR PDNERHDSKGSFSDIGEDASARLENLEAQTVMRREVYGPHDALDLLYKAATDHPHAHT PIESVPTSTSVQTKPQVPASSRPPRETAPNSRSTARRDVRSAEPIDPRLLPAANTREA EKAEIERRVDVSHEEGYEDALKAWGRFRFVRAGWFTREEAVKYVTYYYEFLSPMTPIS PPTYRHPASHPILLTEEPILTVTLLTIASRYYQITTTGGHCRSHAIHEELWRYLRRMI ERCLWGQEAFGGGFCGSGAANQTSSTAPERGLRKGSLRTLGTIESFMILTEWHPRALH FPPEEAIDELMLPTYDESLLAGEEDVHRHTANHIPGGGRRIEGWLEPAWRSDRMCWML LSTAMALAYELGVFDNIDVVLAEITRPEYEDEGYRLRATRIKRLLMIYLTQLAGRLGW TNMVPESIRTTDPYHSGVRRPNSHEGNTPGTNISNISNVNYVPDIELDDQIIHCWVGI STAMHNGNQKLFRSHKHTTHIIQSGEYTTELQKFQPILKDWWKTFEQIRMPTFIRHIL TIEYEYVRIYVNSLALQAVVERSTSNAGNPHNTLANFNSTMGLAPTQTQTQNLLGALP LGTLGGFGAEDQEFIKEVVNGCRNLLRTVVDGLLPGGYLKHAPVRTYFRIISGAMFLL KTFALGAPRSDVELSISLMDRTVAALRDCVVDDVHLGTRFGDLLESLTHRLRVRFKHA PTNGVGENSKAASVNGQSNGTDNGYAEHAMKLREGLLAPTDRSSTPALPISATPFDNS TGVFPYPTGSSSIFRPTTPATAGVDIPTVADTLFEQNEWNNPDDQMWYLPTGPGLFQT GDNANVTMTDQGVNIGGMDLLDFMTMDAAHFDSLNTGQF PFICI_12448 MAPLDSDFGGEAAGLLNELAWDGPPRRSTRERNTTSYFAAELTE PTRKPRQAPKRKRQVADEAEDDDAELDEMQIEFTDTIAPQQRKVKLVTEQKNIDKESP VPEFPMKEWNVKIYMVDQDGNEKPATAFNKVTYNLHPSFENPSQTFTEPPYTCKNEGW GEFEMSIDMYTTEKTKCTVYHDLNFQKPRYETIQTIQIKNPSQALLQILRETGPVASD DDPKLAKARKANDGKKRKAGYDFEKMADGLTKLGEDELLHVIQLIHDHKNEDTYTKND MDNGEFSVDLFTLPDNLARLIWDFLLEQKLVSA PFICI_12449 MSQEYYPRKGKGPSSARDDDNEQAEQHDATDSNTPNGTPGYMQV GSGSTSQHAARLQELLEQDSGYGGSIMDGSSGSKKFSTLGSPRTDPSFGDNPILAATA NTETDRRAQDATIHQLWYNQQRLKLGRSINKVVELLKSLQDLNVTWPAHYPSVQRAES TVPTSERDRRPSSSGRPNFHHTHSMSGEPSSSRAFPPLRRSMTSFNEHDAAESSKDAE SKSIAEPRLVSPQIAQEFSILKLDLKLGALHQTELVHSLEKGSIAALLDGKISSSIKH LQSLRERIDDTSSKVLITGDLNAGKSTFCNALLRRKVLPEDQQPCTSIFCEVLDAARE NGGVEEVHAVHKNATYNRHDESTYDVFSLQQLEDIVVDNTNYMLCKVYVRDVRTLDES LLTNGVVDIALIDAPGLNSDTTKTTAVFARQEEIDVVVFVVSAANHFTMTAKEFIWAA AAEKAYLFIVVNGFDGIKAKERCQKMILDQVNGLSPRTFKESSELVHFVSSNAVPTAP PPGGPGGSGSGSSSGGGGGDDPDSDPKGKGKDKEKIADFEKLEQSLRRFVLEKRARSK LAPAKTYLTNILNDVNVLATVNSEVAQAELDRVIHELEEIEPQLDASRKAKLEVSDEI DRTIEETCKDIYDNTRNALNRALVDAGESNLGVPYSGIFNAFQYAEELKEAMLSEIQE AVSMCEEHARTKTVDGVETIKQLGVHHLGDEYHDLNFRSDVMFRRRRDALAKQIDIPT EFTDFVDWSTLMQRQEKVAGTGMALTVATALGSRMIGGSSWLDHTMSAARVLGNDNLR RLIVPGLIVAIVGAAAYVLQQIPQSLPHRLSSKIAAQLEAIDYTHTNSARISSSVRKV LRFPADNLRVGLQRSVEQLGARRDETLKTKGESEVALKYFGNLVRESSAQRRVVEEVD LEAHPQGPIPGMTIS PFICI_12450 MNILEWAFGKRMTPAERLRKNQRMLDKAIRELDQVRVKLEKQEK TLTTQIKQSAQKGQMGAAKIQAKDLVRTRRYIEKFYGMRSQLQKISLRMQTHRTNEQM MQSMKGATMALGSMNRTMNLPALQRIAMEFERENDIMEQRQEMMDDAIDDAMDTGLEE EGDEVVEQVLEEIGVDLNQKFGETPTGLQSQSDKLAEGRVAQAIGGGGGGGGGGGDPG DDDLQARLDSLGK PFICI_12451 MSEGILRPAFQGREKPLISYGLPFDQALAKHVESIFHASRVFLI VSKSLATNTSCLDAVRAALGEKLAGIRIGMKPHTQWTELVEIITASRSCNADLLVTLG AGSLTDAAKVISLGLENKVESMHDLERLHPEGTGHCDDTKIATTPVVCIPTSLSGGEY SASAGATNDETGRKWAFSYQAGVRCPHLVILDPELSATTPEHIWLSTGIKAVDHCVET LCSLGSDGEADEDARKGLRQLIPGLLGCRRDKVNTAKRLECQLGVIDAMSACSRGVPL GASHGIGHQLGPVGVGHGETSCILLPAVCRYNYTVGANKDRQVEIAKLLWGIPEAIEI FQQRNFKQEQTDLSDLLDAVIRALGMPRSLKEFGISEDSLNSIAEHSLKDRWVQSNPA NLDKNGVLEILRMIT PFICI_12452 MFGGGSSSASSKSDSAVDSGKNEKKSPSPDAKADGVAVKASEQA VGEKRSGNGSPTGRADTGNGDKKRRSSTVGSKASSLIASAKQSLNFSQVGRQSSDTGN SQTPLQKLGKQDPALVVPQGQHNNSAGESLPGPRSTFRVGVWEDRNKKCRRTMEDTHA FLYNFLNTPAPALTGDANSTRSGKSGEANDSHPDPASQDMIETDNGYFAIFDGHAGTF AADWCGKKVHIILEEVIRKNPNVPIPELLDQTFTSADAQLEKLPLKNSGCTAAIAVLR WEDRVPSFSSATGSQSIAPAAAAASKERSKDSKPEDGEATAPEAAHAKLKGSAVRQRV LYTANVGDARIVLCRSGKALRLSYDHKGSDENEGKRIANAGGLILNNRVNGVLAVTRA LGDTYMKDLVTGHPYTTETVIQPEIDEFIIIACDGLWDVCSDQEAVDLIRNIEDPIQA AKLLVDHALARFSTDNLSCMVVRFDKVALLETQKDKAIGVESDVSESLGKVSEADKLV GITKQKIAGGEPAVGVSGSNSGRGHDPIPLGDNETFKPTALQGSVAESPEDSDAPEVT KEALPKPGN PFICI_12453 MSLNGLDAATVKEAYEAAVAEAGGWFLLKYASRDEIEVHCQGKN GIVEMRNAIAEYEEPSPLYGFLKYRRRNVILKYQPDDCSRLIQARATVHFNAVCERFT PYSTMFEITEAKELKDTKLSAACSLHAASGSCSSSTSSLRRRRLMEITEEEEEEERER KRQSVVEEEDRPTTPRSNAALSPFSSPEPPVVLDREQIEASKEPNFASTSEEPNFEGV RPMSPGEGRLSSQSARPDFYNYSSYPYGKPKVKLGPRPSLETNKNPHTASNFRPVAAL PAGFKLASKGSRRGRSGSQDHTAEDEDHEVEQEVSDLAALNASTTILEVPAESEAELL APRPNTSSGASMKSVTSTAPVKESKITPEKARLMKAMKMRQEKKKLSLLIADTASITA PEAVEGKAELQALEEELSSANIESDITADLPTPMTMTTEATEDTFTDSHPPSPTIASS EIGESTKASSVSESTDETVQASKDESTLSEEDKLPAEDDEFNDKSDIRTQDIDVPSNK DDIASPTSPKSPYGIPVSRFASNDGKSPTTPSLKSKFSTQDLRSPVEQPIPAPPTVVT PPAITTTEEVLEPESQVLPKRRATVAPIRTTHSRNNSEGTFHDVVPDDDLLDALQSAT FEEAQPMSVSKSPITPVFPSSSPKRPQTVAGTRVTPTRTFSQPMRGPLLAPSDVSSSS ARSVSAGGAALLHSVTRQPSSAALSTKKPTSSSIAQRIKALEQLSGKKAGAEDSQSRV ATASNLVNTRKQGVRETSKSPVPSLSRASSRTRQPPHSPTADMGKSRDVSPAPDASAG PTTRGRSGSVASRLSKFEAGGQQMGGRPDTIQVTARIVRDGQNSIPKRPSSKDPAEYN TADLKQSPLFVDHLKAVPEPITTHHEDGTNLRTVEQPKETIQERRMSRDDRKASISED ERMQRRRSSLGIVKDFIKDRRSSNASKSSDNLAILSPAPSIKSSSRPPSVHQASGSSR GRRLSVSSRRSSFSKDRENATPVMSPSATTDHSSDDADKSSPTEKRSNSRTSRFMRRL SNTLTATRKTASPNTPTTVREEEEATSEGVFMARSDDAASALSSYMGDVNVQFPDNLL WKRRSLCLDTNGFLILNALNGTEKTGLKRYHLSDFRVPYIPDVDMQELPNSICLDFVA GSALQLACEDRQGQQHVLAALQEAHQKHMAFGQ PFICI_12454 MTRHNQIADHHTDSAERQLAGLAIQPKDEGDGDTNMQDPDHSGT HEYPPPPVVSGTRESVEPDSSQQQAVLPSERLESYGPPSRLFTETIPAADPAFLRSSS DSGGGTQQNVAAAAASGPSAWRDPDYAVKANALINETLGRHPGGTSVIEPESVLGDSG RLYHGYKDGRYFLPNDAAEQDRLDLQHHLWSLVLDGWLALAPMNEIPRYVLDVGTGTG LWAIDFAEQNPSSYVIGTDLSAIQPTPRTLNVHFTKDDAEDDWVFPLPLSSSASSSSS STCSSHDSSQQVRRICFDYVHFRLMFSCFNDPHLVLNHAYNSLVPGGWIEFQESALEV FQANPDFPGDAIMRWTAGCQRGAAAMGRDIDVARKYKGWLQQAGFVDVVEKKILLPLS PWPQDPQFKEIGRWQMQNMIEGTRGIGWKMLSAAGMASDEIEALVSEVLTELRNRQNH SYAYVYVIYGRKPLDGEEVGFPDQQDSFTS PFICI_12455 MSSPPKYVLDIATGTGIWAREFAEQNPSSYVIGSDLSAIQPETA LPNLAFIKDDAEDTWLFPLPASPHGAGAAADPFSAAPMISFDYVHLRLVFSCFNDPRI VIQRAFDNLAPGGWLEFQELMPTFHQANPAFKGDALKRWADGCGRGALAAGRNLYVAD KYKGWIEEAGFIDVEEKRFVLPAHQWMQDPRMNKIGQFNQRNMLEGVRGAGWMMLKAA GMTPEDIEDLISQVHSELMMRDNHAYGHVYVVYGRKPFDE PFICI_12456 MTRPQIIRADSIDLQDHDTPSAKEHKHPASSQLANGQSLGPHQA ETLREVAAETKEDRRVSWTNGDIGDIHQYIDDGMQQQDALAIAQNGGGTDDGQLDTDG EDDLDDDDDDDMMDKISSSPSIEDGEDAPSLPPPWPARIDSLRNQSPPKSSPVSSEPR SSSPYLQRPDYLPIPFHLPNEQSSKASAQTMKSSHHLSGEYAGLDYDKSDNDETDDSE GSDAHNAADGKSHTCIKNNGKQSDENFKHDEIVDPNEADIYEATENDLADPDEPMSPY DFLIPYDASDDDYDDFSLTDEPDFVDSGWGAECLQDLEDIDFEFVYALHTFVATVEGQ ANATKGDTMVLLDDSNSYWWLVRVVKDSSIGYLPAEHIETPTERLARLNKHRNIDLAQ TMLGDTPDKGKSSLKTAMRRRKKTVTFTAPTYVEASDVDYSSDEEDELEAEATAQDQA TQQAQAQQAASDETAEDESAKVEPLKPRSQKQAGVEAALDETIAEDDAEGRASEEIFD NRNEARVRTTKNGTVRNTDSFFKDETIETKKISLTPALFRDDETRDSNSLDSQGLRSR PSFDRLEKELVSDKQKKKEKEKKDKDKKPSGIRGLFSRSKDKKKKSDDGDDESLGKRS LEAGTEAGDEESKSADAESSPDKSPGPQRSSSKLQKQQRGDPSPTRKNSNARDTQATA HEAAQAMAKAKEASASLRMVESEPIDAIEVLPLSQEKSKISKILHPPPKVSKAKSRVE LDDFDSSDDGEIVSAPEPSRAAPAPAPEASQRPAPAQQPSRPTLPGAYPDSYISTQVP TPLQEQPPSAQPTQRLQNASGRLSESPVQVSPITSSHPPALVGDTSSQEDRSSPVSSP SPELMDGSNRGHHNQDSMTSTSAAGSTTWNDNNLRAFFDSSTEIRDLLTVVYDKSDVP PAGPDHPVVGTLFKEQNAKLAEITSQLDNMLGDWLARKQRLRGTV PFICI_12457 MGKARVLYWFRTDLRLHDSPALKAALDLDPEVLWPIFTWDPHYV YRAKGGVNRWQYLLDCQNDLSKSITKLNPKSKLFVLREAPQTVLPKVFKAWKVTHLVF EKDTDSYARERDKIVTELAEKAGVKVISPYGRTLWDSDDVVKKNGGKATMSITQLQSA GKKVGDIPRPIPAPKSLPDPGEMPVDFSQDRPKNKPDLIAPLRVGQEHAYNSIAGPKG DFAIETLEELGFAAATTPHRGGETLALKTLEHIIADEEYAATFQKPKTSPAAFRPQAT TLLSPHMHFGSLSVRLFYWRVQDLVNKYKGASTPPESLTGQLLFRDMYFAAQAAIGSP FLQTINNPYVRFVPWHLPSKVEEGTETGTNAISGEYHIDSKEAEQWFQRWKRGTTGFP WIDALMRQLRQEGWIHHLGRHSVACFLTRGGCYIHWERGADVFEELLIDHEPACNAGN WQWLSCTAFFAQYYRCYSPVAFGQKWDKEGKLIREYVPELKKLDAKYIYEPWKAPKAA LDKAGVKIEGNGLGEHKEGTYPGPMLDFGERRGVCIAALKTAYHINLHGNDEQVKNGE WRKLFKEAGETEMEVEKYEGSDHDDDGEYADDADNHAHKRKPQKQGPMDKHVKKQKRG VDY PFICI_12458 MDDEANRLAWSQTIASWLALLGVILAVAGLATERIRRVREHDES FQTTNPRRMGCFRQDPPSRYRSLLGGRTPALEVPSLEHLFEDADRGLWTSSTLDHMSA IQAELSWVPLYEAVFGEIVRFSREDKKDIAYYGTLLRPIFNNIQSARHELGHTTKFFQ DRDMLLRREKLVNCVRELPEVDVGPDNRASAVEERFAKLQSIWIAGNKPCISVTREEL VALALFTGMRIERSAHGLHYSGRGPFGLSIDLIHTDANWRLSLVRGSRIPRHAPSLGS GYTLLMAKHLACGSIPFQRSPSWVRSVYLRDDVLSAVKAGHLIIDVQSYGGPTLEFLR RLPADKAVDAFYGVSAQVIDVSGNRIAPGTIMTARGAEVGWSHVVAGIAFGGLVPQVH PNVIEAVKFTAAGTFVEACIQQIEGLVDALHRRQKEAPDQFDVFGQFVSDRCMRQGHS FVNYTHPSTENHPRDAAAIFARYMNLLEHVVALTGYSVDAVFEAAVANLDRVYQSRIT ATEQAVTDAHLGDIVANIKLTMESHIISLEQCGELVRCILAAWAATVPGILVKEHMPW LDQAQAIAGHTSSDGDNVNILVMDNLPPFVSFG PFICI_12459 MTVPASGHQMHQTSDSQAEGPLQEISACRCQAHESHEYTTLFKG RLPRLGAESGAFHRPSPDFAQWIAISIAGVLTVVLAVGIYVIARFYNVSLDGSRGPDR KRRAPKSTGNQTGMDCYLNDDSAVNGERKMRRPNFEDHRTMAKLEASSAMSKSGYHDP SQKTVPGTSTMEHETWRQSKRVHIRKSMAKEEEAFGKSLSPRIANRESRSAKGLNFGT AGEELRQRHFDTTAS PFICI_12460 MSSTSVPPPPPAVARPVSEALLNEKWDRCLSNMLIKSSLGLGFG VVFSVLLFKRRAWPAWVGVGFGAGRAYEECNWSLKQASRELKRSA PFICI_12461 MAAGDFYASQLALIKSARSLESDSRPFDDKIKDVWRLLTAAKGG KSCAAEETILRWLLKHMDGNTDIAEQIRRYPLTWTTIGCLFERISLFSLSRIFLERKF VIVLQQSAKDISNPAADDASKATKPKKRKRDQPITFDIDSLRAPETLISSASELFGAL GMLLRRVDATSIQDSEDIRIGAEHVKSLFRMPVEDVKDLIAPLVWICARSMSLLPLHG GLHEQQEQWPKILIALWNLHLGSMEDARIFATHLYYPCCTIIDQLSSGNQVQGHLDRK QSWKRQFEQFILKNLINPARNSFANGLGLGLLDIANATASKEPALCIRVLWRLAAQKQ HDVDDPTSKRARQAWAQAVFKLLLAFAEEHKVAESVTAKLLSEARFYGCSPELETLTY LTKSYCFQSSETDWDVLADVISCDADVFLLNEELLNTLLGRITSSTKGDKLDLQIIVE NVVNSLMEAFAKARDLTGFTKRWHAELCQMLDQKTSLESTPWIDRRICERFAGLMQGA LSTQQASALVDWLQMQDDKGAHLVILDAIGQGAKDEAYISALSSKLLNTVLQKVDTAG RPPFLLSIKWRITKTTASWVSAAETHTIWKHTKTTMSKVLSSQPLSETATFEAFDCCS QLCSVNYPMGQDLSDAVTMASAFLKRIIAEVKLGHIDTNYLELVFSKLPPLSSAPNGD EQIGKLLGELHTLLQPQAVQPGFSNRIAHKDVIADDEGLVDSMIEPLIAELEASGSPA WTAAPVESPITRLLEFAPDAFAKDRRKRIMSSWKKWESDINAHAAKHVESYKLILRLL ILVMSQPTFYDGMDLDDIRNLPLVSTSGALLYVDKLAQLVISQVIGNPEASPSYFKQI VQFVAESTVDEESDSTIPLILLKSILAALKRSPPKAKQTLKQDDVVNKLRGLVSHSIS EFSSKLKKPGKLAKDEDSLVRLDLTLRAAADLGDSLSANPIKLSSKVASRLQDAGEIL TSQGIPVGWKLQTFLALNQHDSSSAALLKQMSQDYAVSPDNQSIGEFVVAVTRTLDAP AKLGLLHAALKSTSTWKSSNVPYMIVARIIETIQVSESPSIIVDGDKNFDLASVQVIL VQSLADKNHSLERFRYIAQVIEQLLDKHSSSMTQINIELTLAAVSAVCSVNSASFRNG NEKDDDTVADVAERTFDILCRLTATIVKRHRLRLEGHHHLLVSALQDLLRVLLAKPAD SNQSSSSFLFPPWLDTRLRAQHGTKFARLLTLICEPSAASVARGKNNSLDSVTDAVKR SAGQHMFWILALYIKLQLEGDVSRDMRKELTTGIYSILSITPEASRRILSEAMDESGR AIFRTIFTEWKKFGKWTGV PFICI_12462 MFLQRNLKSLSIIQVVIAILGLTDLATAFPVGTETSVADSTAIA NHDAPAYDSAASVHIDAADNNNNTPNWPWPLSHSVTTRATATHSTTLKTSAIIARETN GDNEHWPWPSATNTPGSMAHAAQPTSNGQV PFICI_12463 MALSYAVICTKSVEYFPSIIIIVIDNYYHIILLEPHNLNKSFPM FRIPALLLVSKQIHEEASPIYYGQNCFDIYINYSHEGVSPLYTWDLWSKYCSVFSSHI KWIRCLSLWYQDGPMGDPGNDYLCVNIRNGQLRDFDPDGSYKDYIEPEQRIGNDKTDW NNGKEVLGCVRRVLDQLKPGFAKKKRRRALVRKVAHALSEFARHCHGGEDLWIELICD TSQENVC PFICI_12464 MARKFFVGGNFKMNGTLSSIKEIVANLNNANLDPNVETVIAPPA LYLLPVREHLKQKTVEVAAQNVYDKPNGAFTGEISVQQLKDSGVHWTILGHSERRTIL KESDETVASKTKYAVENGVSVIWCCGESLEEREAGKTLEVIANQLAALKKEISDWSKI VIAYEPIWAIGTGKVATTQQAQEVHASIRAWLKKEVSEKVAEETRILYGGSVSEKNCK ELSKEADIDGFLVGGASLKPAFVEIINCKL PFICI_12465 MTIRALEKVSVGQNGVGAFILQCKRVDIHYCDYSGSSRGMNAFI KSQLPQFAKSNPQIEFAVSPRPRKHPVIVGHYINGGIKSICVKNMEASTILKKAELLR DASGEKVKRVNKPVTSVSESVRGIWSPYHGNGMPV PFICI_12466 MTDKLPPQLLALFAPRPPLRWVNHIDEAPENRRTANVAGLAEYL PALRAYKDNDGYVPTESWLQMRDRKKREAEERRVKLLKETPKDYNPDQDPNIRGDAFK TLIVARLSYDANESDLEREFGRFGPIERIRIITDTHAHEKPNKKKKPHRGYAFVVFER EKDMRAALDGCDGIRIKDRRIKVDVERGRTVKGWRPLRLGGGLGGRGYTKAVGPRPSA PGGFGGGFGGRDGFRGGFGGGRGRGGFGGGRDRGFGNRGGGFGGAGGGGGGGGGRDRG FGQQNGYGAPPNAPAGPGFGGRDRDGDRNGPRGGYDRGGRSYDDRSGRDGGRYGGDRD RRGGGGGGGSNANYEPVRGDRGGRDFDKPRDDDRFDSRKRGFEGGYEDPRKLRRY PFICI_12467 MPKSKRNRVVHMTQVAKKTREHKDKLFENIREAVPNYQHVFIIS VENMRNTHIQQVRQELSDSRIFLGKTTLMARALGKTPEEAIVDNIDKLAPHMQGTVGL LLTNRDPEAVLTYLASLNFADFARAGTVASRGFAVPAGHVMSTGGEVPAEHDVPMGHT IEPELRRLGMPTRMVRGKIVIGEEDGSGEGYTVCREGETLDSRQTRLLKLFSVCLSEF AVHVRAYWSAATGEVTEVEPEAGADAADGMQE PFICI_12468 MDGMGLTAAEQRTLEQRLQKRQMKDFMNIFGNLVDHCFVSCVDD FTSKSLSNRETGCITRCVQKQMAQSQRLSERFAEHNAQLAQQGGR PFICI_12469 MAPQLVDKVYLPDLEPCLKGEITVLSWRLVASALADTTGHRQGS QAIVDFLTNPAVQAFFTKPGTVFETVNNANDPHKQAFETRTSAVQVTPAPSDKYDIKT IKDDSTWLSKNARINLLAALRIVVIEFQSRPRSQLTGPISTQDVANLQEAAGATNAQA SNILPGLNLAATRDAVELQSDFERDDARRRRIFKTYLAERRYFAMTTDHLFTLMLHEQ LPSCSANDATRRIRDSFLEAYGLTSQTPSAEGPAKTYHALIAQYFTLTSDNIKACEDI TSTAEDQNLHDDEMQIEWMKTFLTEAIHAMTVSFQLLDLCSQVLVSPELLKQWFQFIG DTSFLDVLGTVEGIAQLIPPVQCLVCVISLTLLNLPRVMGHFSGEQEVDSSIEYLGYS DALLMVHEVIINAVDRNVASALPVALAWVPVLHSMYSSYQQRAEQRDAIQNQKAIESY DSGTQMIPGAGRRNSAGSITTIDKTGYDDFLAMTSMERDIQPALLLGAAATGDGLVYE IVSEMARCLGSSETAIFASSVGSRMRMSLISLLKCTHSFIGYKGEPIMALLALLSGGD GYWQLTTPSILLPKDDIILRTLGDEFTLENFLLQALQRFPYEFLPFISFCRQLASTTH STGENHDAVLKLLKKTPHITFELPNDFNEYELAQEDEGLNAMRFLDDFPLFEITSSRK RVSAEEDSFVIPAGTYGRFMSDSGRIVLMEYEHSTLALLGKRLAVNLSPSIYRLELGV LSDEEVAEIISLFATLIRVETTKASRNSDKEHATVPGLAIVAEASSALKRTKDIISVV CDILDVYLENEPDSAMLSIVTACLQFLHAIVPLQPGRVWSYIGRCALLSNESRGGRLS RLTGTLELSSEQYNFLITATRLFNTLVDSAMSSSVQRKTPSKANTRQQGFENVWLGVS DKLITQVTFAISQASVDMLESSSTWRFSSELQRTVLIRDLIPILNKFILHTYSMGELT SKKKLTAPLEMAAKYIIDSFLAPSSGSLRIQPLLATLAVAVHWPGTTVYANGLKALSD RTIAVLQLATTLVRVANLFDQSSTTIELQLFKASPFIARVCAAHEGFRGPTISLLEAL VVSAGEATGEPPSLLGYLGPQTSRSFLQLLSSLDKPFNQTAEVSIVWRFFSTIVRNRQ QWMANCLLTGKTPRDARNGHDKASQPSANSVLLSALDRLSSISSIPPAEALSILDFIT SAQNYWPWTIFNMQKNTAFMTALRKYVKDLKSSNVISKANMLQACDEARLAAYIAETF AMQLFHLRQMGQADGLAKDLAQDLDYFLREGVLVSGYNDSLHANFARNFDNKYTGCSL SEFKRTLLEPRSLGNEYYYALNYADTMLKFDNGWSGVRGNGFKSEMEKANANLSLVDA QIALFHAWEFLLLELSHCLPNSDALKKQTLQVAEQCLEANEATQGHEQIFERLTESRV NLALLLVQRVADNTPSAADVAQLLNALWSTVSIIKEPYQSESISLYRTLLKLLYVTLR AQVKASDAKLTKSVAQKRAVNDSSSNVSQTVLGILDGVVAKGFRTLVSLIHDSDASVY PEDIAILNAILQACLCVPGISQNQTQIVNIMAAHDAVHVAVSLFSWADKLAEKGDPVY GELSLLFLLELSALPVVAEQLACDGLLNNLTSASLANYLNRPNVSPFADSVGPQRCYS IWAKGVVPLLLNVLTALGPTIAPEVAYVLNQFPNLTAASIERFEAPGVSRTQTDRSKS SYITLLAVSEIHDLALLTRVLGALRANNVRDIPEVEWDAASALENVEFWLGSRKILRE RLVPLGQREIEWKGSKPTESGKARGYESRLEEKVVEQLEGVRAVLGEELE PFICI_12470 MAAPDLVDHSPHQPDPSPRVASASNLILIDNYDSFTWNVYQYLV VEGATCTVFRNDQITLDELIAKNPTQLVISPGPGHPETDSGISRDAIRHFAGKIPIFG VCMGQQCIFDVYGGSVEFAGEILHGKTSPLTHDTKGVYAGMTQGLPVTRYHSLAGTHV TLPECLEVTSWIPKDDGSKGVIMGVRHKEYTIEAVQFHPESILSADGRTMFKNFLHME GGTWAENDRRKQHAQTNGHPAPSAPKKSSNILQKIYAKRKEAVTAQKLIPSQRPEDLQ AAYDLNAAPPQIPFVERLRQTPFDVSLMAEIKRGSPSKGIFALDINAPTQAKKYALAG ASVISVLTEPEWFKGSIEDLRAVRQVLNAMPNRPAILRKEFIFEEYQILEARLAGADT VLLIVKMLDVELLTRLYKYSQSLGMEPLVEVQNAEEMKIAVELGSKAIGVNNRNLESF EVDLGTTSRLRKLVPKETIICALSGINTHEDVIANHRDGVNAVLVGEAIMRAHDASEF IQQLCAGKIEPKSAASEPLLVKVCGTRTPEAALAAAEAGADLIGMILVPGRKRTVSND AAKAISEAVHGFSRPASSKGQTSPTNIAIDFFAAAAQKVTAPGCTRPLLAGVFMNQPL EEVLELQKRYNIDIVQLHGNEPIEWANQIPVPVVRKFLPGEPGLGARGFHAVPLLDSG SGSGKLLDSVDIKAQLAKDSELRVILAGGLDPTNVAETIASLGVEASHVIGVDVSSGV EVDGVQNLDRIRQFVKAAKEIR PFICI_12471 MATSRPTTSDNHGPFGLESPLESPGPASGTETPFTWGIETPGLP SGAITPHPLLGRKETQEIDHHALAAALNESRAYEQHELQQIATNTAGEQILELGRVRS HAGREANIGLQPGTGLDRQGVPPELKNLFAEAIFVLVNTAGQVIFSLTLGHVMVTQSQ FRDALDIAASQVPWLVGSSLLASGLSVIISGSFADLAPPKPLMVGAFVWQALWNAVAA AAIKPQLKVLFFVARAMGGLSVGVLVSASMSILGRVYNPGIRKTQVFSLMAAGAPLGF WIGCIQGGALASHLPWIFGSTSLFLGLCAVAAQFTIPALKPAKDAADADAPTMREFDY LGAVLASMGCGLLLFGLTQGSSAKWNPYTYSLIIAGVLMFVAFYLVESRVRRPLIPNA LWKTPGFAALMVAYFLGFGGFNGAWQFYAIQFWQKYQYVSPLTTALYFLPNAIVGVLA TFIVSKTLHVLPGHWILSASMVAFALGPAFFLPQTPSTTYWALSMPGVALATLGPDMS FAAAAIFITSSVPRSYQGSAGSLLVTVQNLSSAIFTSISDSIGVRVDELPDGSIGLEG MRAIWWFGFASAMTGALITTTLVRIPKAEEKEHVQ PFICI_12472 MNFDSGTAYAESDADDEYERSLHTGSPVLVTDSEISPTDSEGRS STEHTPTTYGHRSSADRLPETIISEWTAEECADFIATIGLGQYSDRFIENEIVGEALI ALLHDDLKSMGIASVGHRLTILKSVYDVKKAQDVPVESDHYLPLSADAEAQYATATLK DIKNLVEQLRLRDERMSLVEQDLQRLTEDYRRLREDMLPALRLAKNAQQPLPNVNSRD NQGYSYESSTISPPAPTPSSTQPGGGLMRQYSTKKLILGTTPKNMSPSHLQVTHERPS VEQTLDPASAAERAVLSSSHLAAMNGSGAATSPGYSPNMPSPTSPPTMGGGTLGSRSY RSDQPTPSNRTTFSENDHYGSKQPAPPRRLQTPAPDTPGSSSASVEIFKSFRVSMDDP CYKVLPAALKKYQINEPWDKYALYIVYGDQERCLGLDEKPLILFKQLDKEGKKPMFML RKTNTASADVETPGSAGLNSGRGVAYDPPGGII PFICI_12473 MAEVLGVVASGIAVVDAAGQIGSGIIKLRRLWSQVKDVPDTVNS LMQQLELLAVVMGEMETIYQSSPVDASRPFNGQGMQLSMTRCREAMDALEDLVNKLTQ HVDSQKRFKRAKAKLKVALGKDDLDQCHSRLNNAVQLLQVAQGCFQMSVNGAMLRRLI ALPTQLESRAAPKIVELESSAMTDEIGKSEVKTTSILVHKASWSRSWHNAKRSLGPFA SYAWDSSEIKDDESGFIQKGLYLRFEMAQWLSGKAWEIQATRAMSGWHHHLKTYNIVP SDSEVIRCIMKGDLERIKFLFQNNLASIHDHDVDGRSLLSVCLKNT PFICI_12474 MFATQLAPDNAKAWHDVVKFFRACGIKFPETGHDIAVLVLCFTA PHWDVYMPWLLTCWKSAEDFIHSAGTNMIDLVTMAGCTYTAFTTLQPVTQPDFYHSPP VERARKISVHGPTCDHRVFRKFLHEDAIIKRDDAVEIAKEGINILSLATRSFVESRDY NTKYDQAGWNKLMQELVLKTGDFNLEQEAYSHYDIKSVYEAEARSGNPFMVCILSAIN CFPKRRFHSRKLSLERHLQIEVHRWLQVLQDCEIDLEAYGQREHGMIFRKGKRPLLIH DYKETWLWTGFSWGSNPSDWVFHVDRVVERFSDDFWRLIDNPIQQVPGAWIEDEDLHS DLSDSELEDGLDDDSE PFICI_12475 MKSHHLLSAAVVSAVAQTVPTVHIPLNLYFGANHKVSTNVYNPS TNTTIEVVYDQGSENFFLFGPDSIDNWGSSGLGGQGPCNVSVPAGWYFDYPASDTATA PVNHSAFYAYGGLDKIYTGSVTVNDTFGFSNVAGADTAAVDDVRVQIVDFLVQRINDP TCSGTPLYDLGILGVSPYYNNASSRVTAGPHVRQDLLERGVIGAAVQSMWFDEAPEDV YGTYTGGGLFGGIDTSKYAGDLVAVETLQPAGSVGYFTAVPVVTINNVTYTQPDSAEY CQLDSGTHDDTIPVAYQEDDLFYNTSGIVISPRGYTAWPGECDTIPANATVGLTFPGA AEGTSVTIDVPIKSYVRVDYSQYDPGYCILSVSTSGCLLGAPFATASFFAADDEAGVI ALAKGGVSKPGEGVDESAVVARIP PFICI_12476 MLQVKRFPPDIALPVILVANKCDLPSNRAVSAQEGEALARELRM GFVETSAKDGTNVERAFFDAVRRFWKHQEEEIKGFDADGGRKSSRSANPSQGTKWKGR LTRLFGSKHGDRNSTANATG PFICI_12477 MTGSGAEQDRFGGWFGGNKADQVKDAAQQHLPKTSGAEQDRLGG WFSGDKADKVQSALHEHLPKTSGAEQDRYGGYFGGGQAREAKEYIQQHLPQTSGAEQD RYAHWLGEGQATQLKDAVKEHLPKTSGAEQDRYGGWFGSGQADQAKETFQRHIPKTSG AEQDRYGGWFGGDKLPSRAEVERNLPTGSGAEQDRFGGWFGGHKGPSAQQIRDKLPHA SGAEQDRFGSHFHVGNGHYLGTTTVGLLQATVLPSFGLHTGLGAIAYGIGRYTDRAEA KDWLWPSGQVANAWWSAIGVPVVYGGLSVSAAWAALSYDQKLLLTGVSAWGVRLFYRI VSRSLRRGEDDARYVTVKKEDPGFWNKAIYTLFLPEAVVQTLISLPFVLPFRAPVSSS QSSLSLGPSAISHSLAVFLFALGYSAEILADWQLESHVQTTDSTTLNRSGVWSVVRHP NYLGDALCHFSFSVLAASAGLLHPLAALGPVINYAFLRNIGGDKQNEEYQEARYAKEN PAKYQQLQDYKRTKNSFWPRVEEFGNKWLWAVVGAGAAGVVLEQSFANAFAR PFICI_12478 MGYSTPTSEGLWRKRQLLPYWIIQGLCCGVLGVISCLMIGSSTV YLVAGRGDDNVSWFGYPVNDLARVAVAIGTVLLVFCILTLALGATEAILYKASRLRPA LLLAFACIKTTVWLVFFFFVVVSAAHGALSPLNLILAIMLAVTSIGQLVLGAIYTHKL QKSIHDRGRYADLDPEGGKTQNMATLPRSNTWRKSIPTITITSRQWSPRSSIEEEEED ITTDYESYRNSLLQAENMRNGGAQELAAPENSHARSVAAGIEETRTIASSNYEASMLG AVSPPTSPGLPTTLSPPPVQKCYSPASNMYEFDQADLSITGFYGSGYEGNTKTW PFICI_12479 MVSAMIKIATTVAAFTAVTVARPSIRQTSTVDAFIDTERPIALQ GILNNIGPDGAKVEGASAGIVVASPSTVDPDYFYTWTRDSALTLKTIIDEFIFSGDTT LQTVIDNYVQAQAFLQTVDNPSGSLSDGSGLAEPKFYSNETQFTDAWGRPQRDGPALR AIALIAYSNWLVDNGQQDTATSDVWPIISNDLSYVGQYWNQTTFDLWEEVQGSSFFTT QNQYRSLIEGSALATTLGVTCTGCDQAPQILCFLQSYWNGEFLTANINVNNGRSGHDA NTFLGSIAIFDVDASCSSSTFQPCSSKSLSSFKAYIDSFSGVYSINDGVAAGTGIAIG RYPEDTYQGGNPWYLITAGAAEFLYDAVAQWTAQQTLTIDDTSLSFFTTIYSSATAGT YAASDAAFTDILSAVTAYADSFVAVVQQYTPSDGSLAEQFGRDQPASPLSATDLTWSY AAFVTMAQRRAGQYPAGWEGASPAEVPDTCSSSSTPGTYAPAPIASAAA PFICI_12480 MQYRIVFGVAATMAVGASAMPSRPLVTASPSPEERRAAMKRDSL PASSGSSALSTVYTIAAGESFDGGMVMYDRGVDCEGQSEGGDSDAVFQIENGGSLSNV IIGPNQMEGVHCQGACTLTNVWWSAVCEDAFTIKNQDAGETTTISGGGAFGADDKVLQ HNGGGTLSVSDFTVDTFGKLYRSCGNCDTMYERHVIMDGITATDGSELAGINYNYGDS ATFTNIVVSGVDDICVTYTGTDDNDEEPSEYGSGADGTYCIYSDSDISGDTYESGSAA ASTGASKTSATASSATSSSKATTTSSGSKSTSTAKSTKTTSSKSSKTSSSSESTKTSD SDDDSDDDEDDSDSKSSKKEDSRSNAFSWFRW PFICI_12481 MSTTIEKTIVPRELETVGIMPPTTEAVGRISQESLVEPPAIWSQ RQKWLYTCITVSLPMMTSFSCSVQTPALTAIAAELHTTRIVAALSATTYFVGSVGGYF FFAPLSEFFGRNPVYYSTFSLFTLTNLASALTPNISALLVFRFFNGLFGAPSVANSGG SLADLWAPEERSVPFALFTAACFCGPVIAPLIGGFLTQYAGWRWNFWLMFILCCVLLV LLVVFVPETYPTKRKGYQAAKRSQKEWTKEFRQSLARPWLMFFREPILFFLSLYMSFI YGVLFLKFTAYPVVFQKSRGWSLSISGLSFLGITAGMILATILSTRLNQIRLRYVKRL GPVPEARLPHLIPIAWLMPISLFIFAWTAEPPAHWIIPILAGVPFGFGLVFLFLGINA YLTDCYERYSASALAANSLLRCVFGGSFAVLADVMYSGLGTAWAVSVLGFVALALTPM PWVFYRYGPYLRSKSKYHCLASESQVAPRDMISEQQSKV PFICI_12482 MESEMPKLKVLISGGGVAGTALAFWLSKQGHDVTVVERFPALRT TGLQVDLRGHGVEVLRRMGLEQAYRAASPPEQGLQVVDTRGWRRAYFPANRTGEGAQS FTTDWEIMRGDLCRIMYDAAKDRTRYIFGTSIDGFDQEQDGPVHVQSSDGQKDTFDLV VGADGQGSRFRKMMVGPGGEDGLRPIPGQHIAYFTVARPVQDGEEYMATQYMATGRRG IMTRRSHPDKLQVYIGCTSTADELKNIRRGDVPAEKEAMARVFHGAGWRSDDLVKDMM AAEDFYCERMGVVKLDKWYKGRTALVGDAAYCPSANTGMGTTSALVGAYILAGEIGRH CGMPSLHERSGSDRKGSSATSAVTAALEAYDCKLRPFMDQVQEGVLENKAGMMLPSSS VGVGLANLFLGIASLLRLNIFSWFLKEEVKDWDLPDYDY PFICI_12483 MESPPMSPGDDGFDPVSRLDSMIRLLNSLSEQRFESQATVDSSR TRDGRVAYDEGQASPRLHSTDYYHQRVLCSDKESSQCLHIPGDHFCKVRPALFRYCRT GDTARFDRALRIYSETRGRSISFADDDLLNSEFEGRTMMGLAAEGGHLIIVETLLALG ACPDIEDAEGDLPIHLALRHSEWAVFEALLSPETSGALDHTALINASTMGRADCVRTL LTSKRRRVFSVNQQDSNGTSALLAASIHGRHQVARVLIDAGAHVDDWSPAGTALTVAA ARRDLEMVSLLLEAGADIHLALLCMNPDINSGAQRHCSQKRAAEVIKQCWRDCISARR FRKQRLRRVFISQYRCMMDHQNTKQLILDGSLSESISDRSYAWSFGVNTLKELLAGSL PTSATHILSALLVALSIASFMDDDTFCLDDNTSHWQSVVNEVPEWTRLMSDEATRTAC EDLAADLWGSKPRYSVDGINGSIPEINFWPNRSNLDVYIDRLTAELELVESSKAGETG SLSSTRETMHTFILSQEDLAPSSSPSSILTDTVNEESFSSFDDFLLDINMDPYYDTRG ETFSNASWVNTPSHEDLTAVHPPKLASGVICVLFILFLALFFMNPVGFNELSWSAQYK ALCKPTMDAAIHHMQLEVAASPIQPISTIIPEGHDIASADIVLDRRHIWNLEILYDNI SVLGHLRPIDKHVRSFCQKWKDGYLLRLKQHVWKFINESPEHDSIETWTRSSSEDTSH HSTGFGHETSTSLSTPELTLCHAIGPMEPLTPNGADMETRSQTG PFICI_12484 MPEFIVLGQILAQVEAMNLGIEPDLGIAKSGRDHLRNCINLLQE RMDAISAIHPENIVFTPTEIGDQDSLGLDFDLDFFLGDADYQ PFICI_12485 MEQQPKRRRRPALSCIQCRRRKIKCDRTDPCKHCVTAQHHCTYD AYGVRPLPAQQQRRGRQSLQALAATASQSDSPDPLFPNLQSAVPASAEAGDGVSVAQV GTENGNVVDVARTDDTRPLRSSRGDDPYLRDLIERVESLEKASYQFSGQVDPGSANHV LDSGLQRSHIVLNKTRILSSSHWKTTTRELQPVLECYRQAVDPESRALIENTEVRGLV TEMADLLHAGKNIAKRIKLTRPSGSLANPDFGLVNPTREVADQMTALYFSSFESSHRI LHVPSFWAEYNRYWSMPESVSTPVRLKVLLVVAIGSSLHADRNPDAELRILVHQWIHA AQTWLSGPLKKDRLDLHGLQIYCLTILARQIFSIGGDLVWMSIGSLVHRAMQIGLHRD PKYLPPMSVLQAELRRRLWATILEMGAQASLDAAMPPRISTDEFDTEPPSNVNDDELG ETTKPPRSRPRSIYTSTSMQLQLLDTFPTRLKILKLLYGLHSEISYLEVLKMTLDILD ACRTSDKFLRDNQEHGVTMFHRNLMDFLVRRFLLVLHCHFAVRGRTNPLFHYSIKVSI DAAMAVVYPAPDEKFSRLFTLGGGMFREGFRCAGSILSYELLAQTEVACRDGPLHRNL HNTEFLRKALEYMMEFSSEKIREGETNIKSPSK PFICI_12486 MTYQEVHKNENKVNLLCPSCLKIHGGQPQKAAPKVAPMFGYFRI PRLRPKLAIARILLLLLIANDRPDLGHIGLIFFDITDQIALEAWCYSG PFICI_12487 MGKSKKAGFRGKNKHSKRPGRLAALAKKIEETKEMQRRMQPFRL LPYHNIPGLNFNDPRLFTNQRQETTWSKRDKVFNNGRLIISFHFLARFTVADIHVQEL LNRRVSGVHRFLQDFRAGSLVSPTFQISEAMAIGLAKNCPALKQVRLLGACELTDKAI AAFLQYCTCIAFLEISGTEDQSGNIRCPGALRALGTMKGRRFFTLNHLRKLVFCNQSD LDIETSKALTERCPGLELTYGNTRPDLGGLQTLRFGDVVAIDHMTDDDRTGYLPDTME FEEVSALVDGPRKTITDEISGQLDRMVLDD PFICI_12488 MLRLFKLPGGLAAALLAAICLNAGNASAGPSVSVDMKAAFSAPP YLLELLETAAQENATAYFPLLDRIAGGHFADATTDKLLYEKFLETLQNDGHISSAEAL STFKLALSMRSAAPRIEAHYQYYDTGVAPTLEALDSNCKDWVLMEGKQYCSADLSEVK SSVESGSQGRILPFDRKLGRGPEAVLYADITKPEFGAFHTALSEMARKGKVSYQLRYR PERRELLEKLPVSGYGVELQLKRTDYIVIDDREAEAKEESTETANLKVNLDAEEEVTD LKPLSSSELSALGVKAASFIMQSDDPFNSLLKLTQDFPKYSASFAAHNESEDFLREHY FNRGQMVPQGMNVLWMNGVQLIERQIDPYTLVDLLRRERKLIKGVTDLGLTGKQAVSL LGNREVTIAKSNDEVGRFDWRDEIESGRVILWLNNIEKDKRYAEYPTSLMSLMQRTYP GQIPPIRKDLFNLIVPVDFTDPDDLSLVVEQLRAFITRLLPIRFGLVPLTSSPEAEQQ AKVVYYLIENYGLASLMVYLEACNTGKQVTKVDQSKFEKAIKDRAPREEAQPLPYAEI FDSEYYAQQIEHAQHWVSRLNAGTPVPPIFLNGQAISREKNWLQEMSIKLGQDLQLIQ QGIYMGQIDEDMWIPNIFLEGAPARRNVYIFPSKENQLTVLDVNKVYTEHDDLFSIVP VIEASPDSSKETWAALSVIADLTSEAGLKLLLSALDFRRNNPGVRLDIVHNPLNSEDG DRVNTAIKDNIEQLLGADTLETLRLLVAHDESRTTLESSFDIALSQFLGAANLPPSTQ ILLLNGRVIGPIANDVEWTEDDFQQLMDFEQTSRILPVYQAIEALNLTENVSGPLAAA KLTSITALSTISDLPEGIFESAPTLRTTIFDTWESAQTMISVGDESTASIHFVAVLNP ASEQGQKWAPILKVLSELDGVYLRIFLNPRERIDELPVKRFYRYVLGSSPSFDAAGKV QSLGASFKGIPSEALLNLGMDVQPAWLVAAKDSIHDLDNLKLGSINTDVEATYELENV LIEGHSRDSTGSPPRGVQLQLGTESDPHFADTIIMANLGYFQFKANPGYYNIQLKEGR SSDIFTIISVGAHGYNAASGDEGTEVVLMDFQGTTLYPRLARQPGQELEDVLEESGSS GSDLLSRGLKFAEGILGGSKSKSKSVSDTEHAEINIFSVASGHLYERMLNIMMVSVMK NTKHTVKFWFIEQFLSPSFKEFIPHLAKEYGFKYEMVTYKWPHWLRQQKEKQREIWGY KILFLDVLFPLSLDKVIFVDADQIVRTDMIDLVNLDLEGAPYGFTPMCDSRTEMEGFR FWKQGYWANYLRGLPYHISALYVVDLRRFRELAAGDRLRQQYHTLSADPASLSNLDQD LPNHMQFHIPIHSLPQEWLWCETWCSDESQKEARTIDLCNNPQTKEPKLDRARRQVPE WTVYDDEIAAVAKRVKTHVLTGDEQQKVLGGDKVEVEKNIKSRRFEEEPEVKTRVLED DGHVKDEL PFICI_12489 MASSGMLTKFESKSSRAKGLAFHPKRPWILVALHSSTIQLWDYR MGTLIDRFEEHDGPVRGIDFHKTQPLFVSAGDDYKIKVWSYQTRRCLFTLNGHLDYVR TVFFHHELPWIISCSDDQTIRIWNWQNRSLICTMTGHNHYVMCAQFHPKDDLVVSCSL DQSVRVWDISGLRKKHSAPTSMSFEDQVARNNQQQTDMFGNTDAMVKFVLEGHDRGVN WVAFHPTAPHIVSAADDRLVKLWRYSETKAWEVDTCRGHFQNAVGCLFHPHQDLILSC GEDKTIRVWDSQKRTAVQSFKRENDRFWVIAAHPEINLFAAGHDNGVMVFKLERERPA STVHLNNLFFITKEKHVRSYDFQKGVESPTLLSLKRLGSPWVPPRTISYNPAERSVLV TSPSDSGIYELINLPRDGSGAIDVTDSKRGQGNSAIFVARNRFAVLNTASQTVDIKDL SNNTARSFKPPVGTTDIYFGGTGHLLIITPTAVHLYDIQQKKSIAELSVNGVKYVVWS NDGLHAALLSKHNVTIVTKTLEQVSTLHETIRIKSATWDDAGVLLYSTLNHIKYTLMN GDNGIVRTLDQTVYLVRVKGRNVYCLDRAAKPKVINIDPTEYRFKLSLVKRNYEEMLH LIKTSSLVGQSIISYLQKKGYPEIALQFVQDPATRFELAIECGNLDVAVETAKELDRP ALWKRLGDEALAHGNHQVVEMAYQKQKQFEKLSFLYLSTGDHSKLARMAKIAEHRGDF TARFQNALYLGEVEDRIQMFKEIDLYPLAYATAKAHGLEEEAQSILEATGITEDQLTL PSFGEPLKQPQPVVPTFKANWPTKATSQSFFEKALAGQLEGLSLDDEPAAAANGFDEL GEEDVAAKNNVLEADDDEDAAGWDLGDDIVPEGDSDFVNVESTDANGAGSSEADIWAR NSPLAADHAAAGSFESAMNLLTRQVGAVSFTALSPRFEEIYIASKTYLPTSAGLPPLV NYVRRTLDETDPRKVLPVIPRDLEYLVSNDLQKGFDAMKGNRLEDGVRIFKGILHALL VNAVAFEGEVAEAKKLVTSASEYALAMSIELARRALGAPDVVNSNPSLQKRSLELSAY FTIPKIEVPHRQLALLNAMNLASRSKNFSTALSFANRMIANGGAPRLLENAKKVKATA ERNPHDAIEIEYDQFAEFDICAGSYTPIYSGTPYEECAYDGSKYHTKYKGTVCTVCEV CEVGKHGSGLRLFA PFICI_12490 MSGDGAKGQSGPSSSEASNRPKLSVNVSLSAWLRLVRLQSASRT KPSTQTPKSTTINSKQSSASISDNTEIHTTDPPEPVIHNRDSDTTLPLQPSGPASQTT PPKTPTLTTTQSASTMAERTLQQVITQLRGNPSYEQASPLLSKAKLSLLQLKALTPTP STPSNLLAIAREVYELGAITSIRARNPDAFKRYVQQLQPFYELPSSQLKPNPEARNKV TGLYLLLLLTEGRYDIFHSELESLSMRVGNGGFESIEADRYLGYPIKLERWLMEGSYD RVWKAMKKGEVPSEEFGIFSQILTSQIRSEIASSSERAYPSLPLSSTKSLLFLDSEGA VVEFARQRGWVIREGQIYFPAADDEDAEEKDMSQMVIENTLGYARELETIV PFICI_12491 MPQFGATFYPGGVDDYYMPEVQVHAPAPQRVMPEVPQNMQQDLQ RMELEARSIDSSRMNSTIPTGAGARPTGHGREPSLSSVLNTNMATYGQQYQKSKPGMT DYSPASTYSQSNYSPFHESASTSLQFDRKLRDMPADKPSFSHFPKVTGEHIPPSDDEK ESVLAESRDHVLHSNDPNMQICWARDVLAYVETAADAATREYDLVRKSGDRDQGRPST PRIEHDLRVDATNIVNYLADQGHPEAMFIRSKWLEFGKFGKRQDKKEAYNGYLNAANN GWGRAEYRIGMLYENSNDIDKALRHYEAGLDLRDSAALYRLGMISLMGQHGQRKDIAR GLDLIRQAADSADEDAPQGAFVYGMLIARDLPDVAIPEGILSPDIAVARQYIEKAAYL GFAKAQLKMGQAYELCQLGCDFNPALSLHYYGLAARQGQPEACLGVSRWFLFGYEGTF AKNELLAYKYAQLAAAAKLPTGEFAMGYYNEIGIHVEKDVREARKWYELAAEHGNKDA IGRLEGLSHSQVLSKKDHETTTLTRIKSTHGSQRGKRPDRFNKPVGGMPALSEDSPRG SPRVSPQPSPRQAEFGGSNMPSGGRQPAFGINLAERPKSSVVPYPEDNKPTPLNLAAR PRSTAPYPEDDVAGGKPQLSPHYNPNIRPSADRPGSSFGIRTQSPSGHQGPPGPASRG PQGGQLGIPPAGMDPHQGRSVSAAAGYGPQGPGGYRQTGPYGGHPNQDYNRPVSAQYP GHGGPAPNNPAHNRLTKTNPNPAYPAHGTPPPGQYPPGGYGPGGGQYGPRNSSRPTSS HQDPYGGRAPSAGSGASTPTMSGGMGPPRADAYGRPGLPSGGPAGSRPGSARPSGYDS PAQGGGRSSAPPARPGVASPAPSAASAQTAPAAPAPAPAPAPAPAPAKQGPATFEAMG IPQAKKDDECVVM PFICI_12492 MGRSNRKHSTEGWVSSRALRPAKRLRLPSLDEGTRRNIDFEPPF AIAAGGPGSKQTDAEWGQAPLLPSVQPNDHDLICYGALFEVQAAIDLPNGIAHCRSLI QNSESTQQYPIDIQDSNCHLLSTLYGKFAIIDSQTATFICALHNIPHIRFLAVVNSNK LRQMKLSKKRLCIVPLSINIYGQYKDGETVGDLLGTIGAFLQHPAFLESGIEYYNPQL YYPDGKLESLTHLVGLSDCDIAANLLSEDVEAVLASLDDYGTGSIDSIVNLTQISTEL RRHQKLALDFMRRHEDFNEVQAIHRDLSHLIGLPNSEKIPVSCTGGILADVMGLGKTL SVLALILSSLQNASNYQSCLDVTPQERRNTAGTRTRASLIVVTSAQVLDTWLTEIQNH LQPTALKVLIFHGDKRPRAKDLVANHDVVFTTYATLVADCRSAKILQSMTWFRVVLDE AHWIRNQASQQFKAIIKVPSQRRWCLTGTPIQNRIDDLVSLLRFLQFEPLCNKATFEK YILEPLSKETPERTRALHVLLRGLCLRRDESHLNLPSPTYQTLEVSFDPKERELYDGV LYACQRDLDDVVSGQSKSKKYSVLFAAMTKLRRLCNHGTMQSVEAGSSSSSTTLRFLE PGCDYCQGNHEESLAAWNKETACPHCGRSLQQVQNLGLLTPERDASRTPTPGDIQIQL NGDRSPRSQLAHNGSSSKLLRVVDNLAQTSNQGKSLVFSFWTSTLDLLKHMLINANIP CLMIDGRVPYAERPRILEQFRNDPNVPILLMTIQTGAVGLNLTAANFIHIVEPQWNPS TEEQAIGRAVRMGQTRTVTVFRYVVESSIEQNIQTLQKKKKNLAKFTLDGSGTESGSL EDFKFMLDM PFICI_12493 MSDAAEDVAFSEALERFRKNLTKDQREQFAGCNKKEVQKTIADI QQRHGSQRRQKNMRRVSKFIEGMSQLGQVIEVFLNVDVTVAFIWTASTCVETLDLLLD TYAEIGETLPGLAQIGTLFKDYDEVGKQLKNYYCDVLEFNKSALEVFSRPAWKTVFHS TWKTFQTEFRPILSKFKMHQKLLSEEKLTSLMSEMQRMKCATRDNFQQLRNDMSAIIA RDEAKILAETEERRREKRRMLRDELGSPDYQQDQEDSFAVLRESSSGDWIANEPIFKD WSDLSSTQSPLIYLSGIPGAGKTTLVSGIVDHFLELKRTTNLGASQLSVVYFYFKYSC DDKRDLQRMMRSILSQLVDQDPSLLDFIYKEMCSGLSLSSDRLKKLTETAIRSQRLCF LVVDGLDECARHENSNMSEAVRVLEWLKGLNVHSSSVQNNIRILVSAQRDGVIEDMLK EYPTLQLENMKPHEIDIQRYVRSKAQKICQRFSDSHVAVESKIVEKITTASKGMFLYA KVVLDNLIEQMTVLDFEIEMEDKNFPKKLEQAYARVVERVLKNSKEQERQIAEKILGW VVCAYRPLRWREIQSLFCIDSKAGTADTRRRPLKSCKYFCSSLVDITGCKNDSEGNEA RIELVHVSAKQYLIHTQSICLASEHAKMALFSSRYLLSKPFQSQAFDEVQGYALSGYY NVHDYFVTSWWHHTNESFKHISSCPENILSEMVISLSRYLRQHFTQYVDEHVIHSTSD QTFAQFRRLPVDQRSREESGSLGERTRSVREALELVWKLVPQHPNTKISTGLQLYGPK HYKCPHSWCHCFEDGFLHRKERDDHINQHMRPFRCPVDGCYATSLGFASQLQLQQHDK RYHPGPEEPRFFVSETPKEYKNVWAAIAEGALEAVTTIIDKEIKSSGFFDFSKRYRRG GDSLAGTTALECAVMFGNFDICQLLLSKGASPFAKGAWPLDLNPKYSSALQIAIEAND ADIMSMLISHFQTKDIQNAMGSETKSLLEHACAETQNAFMNALEFYHPQILLPCEWLM NALRLKQRECIKFYFLRRDGTHRNKTILPLPPSKYYYFQETLDYQMTGNTLLRYIIRT SGECFQTLLSYEQEDLALKQIFFEACALGKQIILSRLPPMKPELSEWFGFEENLSYFI DHHEVIESSHPLVRVIERLKPRNVWNIIGTHIRFLPLEPWLRGMNTGALKEMLHADNT LLFKKNDAGATLLHGFVNAKDPEMVKFIASVEGVDLNAATLLPVRTLSTYESASLVMY QPEGVRHQEMLSEGEKEIYERHVRDLWEARNKEHLYSNGHEKVKREIMEFSCRVRENI TAKHRMMRAAAVTPIKLALYQHPQNDGDPGTMPWDGREVTQILLSTGRIDISSVGVTD ELSLLIAAAATRETDVMRDIIQKGHSKLCPTVTKDEARSLMVCLPHIASDLLVISASG SGAEILKRELSMIKKLEGTGTYPLMDSLIGHEDFLMARKLLMRRSADGSIGSAEREAF IEHAVKNEDVDLLQRLLNERVMNMAIFRIAAKGSATMFKAIPRDAQTIKGATREDGMT LLQVAKLHENKSVLEWLSLQNN PFICI_12494 MASWSQNAVSAITIDSDSNADAPGEEELMNDEIDSQPELSEGND EDEEDESDENDEDDDSSDDDDEEEEEEEESSSDGDSSDDDNPELKRWKADLATRLDSI QTAGDFAACNNVSVFANPGLEINGTSIPLPLVPRDADLLKAACRQAPFGRGEETVVDE SVRKTWELDHTQFKLANPAWSEFLQHIAREATAKLGMLSVRVEPYKLLLYEEGSFFRR HKDSEKVAGMIGTLVICLPSRHEGGDVVLSLGGRTQRFSTSTASAYDLTALAWYSDVT HEITKLTSGYRLVLTYNIVQQGLQNPSPSDLIKQQTAIENLLQGSAKRFKGMKQMFYP LEHKYTRASLSLRNMKGRDRAVCQTLDLVCRKHGFYLLLANLTKTESCDDYDEEETSL DLDSVHSLDGQELSSYLEIEAKDIIGDNLYSQRGPDSEDEGEFTGNESMPSTYRYHDT AAMVIPKKHFHRLL PFICI_12495 MVIEDLQQNPDDKTTRASAAAFMDQVITTYGASVPRDPYNGTTY AIIAEWSLKLEREDIYHKCARKAISARDCQPLIQLIARHVNAQSSDAEFKGWDFWLAE FLEYTGDLEWMETLLGTLKSLIESNTLLESLSVWQGTLLSRQLDHKQSFGLPDQAFLY QVFGVIVGGSGSEMLKSSWIYAIAERGHKHLLYRILIDLLRQRPNNPTLEATYKDIGD VILRESIPKLCLEMSDFPIGYANTYSWNAPINTATKPAADGNHAAKFLKLVDCCFERG YGSIAMELMETSCTNILSGHLPRKESMQTEPMQYFLRETCTIFLNHNIPFSPIIKQLW KALLREYLWMCDPTPPQQLSGLAHRPRGCQSPSCADCQSLDAFLVSPTERVFELQAPQ KRREHVEYRLTRGTFRIHTETKPQRGHAHILVVTKIPGREYADDYKVYQQRLSEVHRR LQPLRQESVRQAIGDGSYSRLVLLEPASSVQAGIYPPASGQKRGALDELENQRPAKIR SEKP PFICI_12496 MKFAASIIALAAVAVANMADMQQPPQCAMTCFMDNMSSSSCSNN MDYKCLCSDSKYISMMESCVQNACSRDEADTTKNWARDMCKEYGVDINMNMHMKNMKN MKSMRNMNNNQDMKNMKDMKNTENMENMKDMNM PFICI_12497 MAESKCPIHQRANVGGGGTRNRDWWPEQLKLNILRQHTDVSNPL KDFKYSEAFKKLDYFALKKDIEALQTDSQDWWPADFGHYGGLFIRMAWHSAGTYRTFD GRGGGGQGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGNSISWADLLLLTGNVALES MGFKTFGFAGGREDTWEADESTYWGGENTWLGNDVRYSSGHEGLSEEGVVTGDEHKKD HSDIHTRKLEQPLGAAHMGLIYVNPEGPDGNPDPVAAAKDIRTTFGRMAMNDEETVAL IAGGHSFGKTHGAGPSDKVGAEPEGADLESQGFGWHNAHETGKGPHTTTSGLEVIWTK TPTQWSNNYFEYLFKYEWELEKSPAGANQWVAKTSDEIIPDAYDGSKKHKPRMLTTDL SLRFDPEYEKISRRFLENPDQFADAFARAWFKLLHRDLGPRARYLGPEVPKEELLWQD VIPALQHPVVTASQIGDLKKEILGSGLSVPELVFTAYSSASSFRGSDKRGGANGARIR LAPQKDWEVNNPKQLSKVLGVLEGIQKKFNGAGQKEQISLADLIVLAGSAAVEKAARD AGSSITVPFTPGRADASQEQTDVESFSHLEPFADGFRNYGKGTSRVRTEQFLIDRAHL LTLSSPELTALIGGLRVLDTNYDGSKHGVFTKTPGKLTNDYFINLLDMSTEWKPTDDK KEVFEGKDRKSGQTKWTGTRADLVFGSHAELRAIAEIYAQADSKEKFIKDFVDVWTKI ANLDRFDLHE PFICI_12498 MRHICMVSVAHGLALVAKTAAAIVSYNSQCGLPSNFTCVGSLYG DCCAANGFCGSTEKHCGVGCQADYGVCPGKQQLELKIRGYGRCQHGWTPTGVVYEELT SLPTTTAPLEYTHVTPSLTVISSEEADITASDSVPSRLPTDVATNDAQPTDGEPTDEV EPTPITSEPTPSPSILSPSGTGQSICQDSGNECIDNFLIGCGRIIQVKPVPSCENILV NSDKDCQEACLDDDDCTGWNGYLMAGDIEAGIISDFFCCHFHDPLVLDPTATPRPEYG NDWGIRNAC PFICI_12499 MGSFVPPLDVLGAIEAQCSRERIFSGLSVARILGDHFPDHLVIQ VDKPTFDLPSFTGPGRAQLTLDEHTGHVTSVRYTRETPNVKNTGPLKANTLFAKYNYT WDGTDFVLFVLSPDVFGRRTEYHFLLTPHGTDIMEDGLHPKARELLLAAGEYARKNKN KALVFKDAYRSFRDEVDDEAWKPIRSSNLDDIILDADTKKKLTGEVKSFFTSRDQFDK FNLTWKREILFHGPSGTGKTIITKAMMAMLAEEEHPVLSMYVNFAEDAVIPSYNIQDM FSHARQIAPCLLVIENIDSFMTEHHRGAFLNELDSDDNDGILVIGSTQNLETLDPAIR ARPGRFNLKYEFKLPDHNERLAFAKQWKSKLDESHLVELPEEACEYVANLTDGFSFTY LRDLFSSLLFYSQDAPTESEAKDSEEKDTSSSSPRQQAFAQVTTPPSLRDNHVAKFLR QQAGLLLKDMETEPDACPGCTRRAANAMKIASVVAPAVDAVGTDACPGCTRKIIGATT TALSNTATTTDGNGTDACPGCTRKAAKAAEIASNAVTTTDTAGTDACPGCTRKLKSAT TAASPETATATDADGTDACPGCTRKAEETAIETAPNALIAAATDGTDACPGCTRKKEI AAATAVFRYCYTSSDTATTTDDDGTDACPGCTKKAENATSTDVATATDTDGTNACPGC TRKAESATETATSDIATATDTDGTDACPGCTRTKSLDATETEPSDAATDSDASTEACP GCTRKMTSNAVETSAEPSDVSTEACPGCT PFICI_12500 MGVEAKSRRRSKRLRNIRRPIHKVNPIQRQSLRIAIKRSLEDAE SFSHVGRDVIAIRGSPSPIEDLDTTIVDNVIEVQDLSDLSNGIPSGQPGWYKIRRIVG QRLAYYLVEWEGIDPATGQNFPVEFVYQSDVNGPARREWHALQNAGKLRRARCGTLIL EDAKESVVYEEAWKRSIRRSCALS PFICI_12501 MGESRQELIAWLNSLLQLNITKVEQCGTGAALCQVFDSIFLDVP MSRVKFNVNTEYAYITNFKVLQNTFAKHKVDKPIHVESLVKCKMQDNLEFLQWAKRYW DQNYPGGDYDAVSRRKGGAMPATGTGPRVASSAATRRTGGGTTPSTGPRLGSAAGGAA SAALRQENNTLKETVVGLERERDFYFSKLRDIELLVQQAVEEDPELEKQEDGLVKAIQ AILYSTEEGFEIPAEGEGLDDQETF PFICI_12502 MSAITKTIVATGASSGLGFEAIKLLLSQSQPHRFILGARDVKGT QAAYDALNIDRAKHSISLLPLDLSDLRNVKSFAQQTLEQLGQDKLDTLFLNAGLMKAA ENPGPHGSKWCDALVVNHLSQHYLVHLLREKLTTSQSRVVVVSSGAIRNLRDNDPKTL DVDLVANSGAAAMVTYAASKFTQLLGAHYWRRQLGSSATVVAVSPGLIPGTGIGRNSD VVIPSNVPDAKSVQEGAQNLLNAFDRDDLPSDPNQIFLTSWGEWWPTTVYTPSLDQAL QDKWCPSKDDIEKEAGISS PFICI_12503 MEQILRQFWVLLSTKLVYGSALVVGTTLSFDTLSALQTIHTKSA NLFKGDEFYGLLDGGPEGGQSLQMTQDNEEHAARRKVLDRMMPSRERAFRIINDLAKQ FAAAAWNEANVNDGLLDINKAASWFGFDVISTIAFGKPLNMLHSPDFRWVPKCLQYAS TFLYWAGFARSLQVMRWFMGSDWPSRLGMGDAVEAQRYQDLAESQVKQRADRMADEKA LGNGPEDLFGRLIQANLYSDIDLRADSSLLIAAGSDAVRLTIGATLFYWGRHPEAFEK AKQEIRSCVDDPDRVTDGLLSSLKYLRACLDETMRLTPPVASSVPREVDQGGIIVDGI PVPAGMSVGTSTYAMHRNPAIFPRPDEYVPERWLERPIDPKTIAAFNPFLKGPRACPG KMIAYLAMHAGLFHLVYNYDLVVRDEGIEHKRTMSKLGYTGIRGDEYPIHDCILGYAE GPMVELCPRAL PFICI_12504 MPHSDQDALGVEASVRNSEKQYPVHDVEVESDPGGGLVENYISY LPTVYFGFTLQACWEAVGLSLQLNLLNGGPATLVYGGLLTSAGSIFIALSLAEMASAD PAVGAQYRWSARFAPFSPRFWGLFQGWITVFAWMTSTAASLAYLAQSLLSVVVLWYPD YEVLPWQNALVMAAFCIPPLVANLWLKRIVVAMEWIGALGHGVFWVASIAVLSALGTR GSHAAVWANLTTGVSGWDQPGVAFGIGILPLAFPTTGFDGVIHMSKEVKDAKRNVPAA IILSVLLNCFMMFSWLVVAAYYLGDVDSLAEAPLGVALVGLYMNATKSKPATTFLVMC HAFILYVSLANIFASVARLTWAFAENKGLPFSRHIVHVSNRFKQPLRALFLVVTVCVI LCIISIGSTAAFNAMISLPLIGLYISYGIPIVFLLTLRLRNRVPKLGPFSLGSFGTPV NALAVGYILYVLSFAALPTVLPVSSENMNYAGPLVLAVMLAAGADWFVSGRRRFELPE TAPTSE PFICI_12505 MAEDKPEIVMVGDREEDSRSDADKGSGVRTIDNIRVVGLTAEDA SFYESFTDKDRKTLVRKVDVRLVPMLSVLYLISQLDRANIGNAKIEGMNTDLGLTGLQ YNIALSLFFIPYILFEIPSNILLKKFKRPSVYLGALVTSWGIIMMCHGFVKNFAGLMV VRMMLGILEAGFYPGAVYLCTFWYMPKELATRISVFYCFSALSGAFSGLLAAGIAEMD GVGGFRGWQWIFILEGILTIVLGVACFALLIDSPSLSHRWLSPDQIRYLELQLFIKQG GRSTEENERGHHWQDFKAVITNPRMWGQAYILLCISACSYGNKFTLPSITKAMGFTNT NAQLMTVPPYIAGAISAIFFARLSDHFYWRMPFVAIPLGMIAVGYSIIISFKGDLPGH VGASMFAVILACMGIYPVQPACSSWAANNLAPSSRRAIGVAFVIATGNIGGIIGSYMF FDSESPSYFTGYGLAIAFGVTGMLMSFVLEASYVWSNKKRANMSELDIRSKYSEDELF RMGDRSPLFRYTT PFICI_12506 MSESVGHGDSGEGLGSSPSDVGTSRQEAARDLGHDGPGHGKRPF SGLVGGARKKRKGVKVTRACDTCKRRKSRCSGTIPCDSCIKRGRPRDCHYHAEYRRGQ LVTPVQSTFPRSLGAENRESTPTRRQERSPDCSTAIDTDSNVRIEAAVSPHTMEVSMN AVDMPAPLSEHNTAAPSRASPELEVAEIQGQLFDTTSGLAFVHRAFRRLSQSHTHQVS RPLPDFAGEAENEESPTLTVGDKPIGVISPGDVELPTMKRALELVYLYFDVCIATYRF LHLPTVEKWLQVMLNNRREGLQLHQGLGRAKAAILLTMLAIATAHEEKASGHFQQDEA ASMSRIDSLFSTASFLIQHETARPTLESAQARVIQVIYLLTTSRMNQAWYTFGTAIQL ISALGLHRKSGKRRLDASTENYIVVQCRRRTFWTAYILDKYLGVIFGRPRHYNDDEID QEMPDSINDEDMTPNGVKDGYKRGRKDCATDALIFHSKIASILSDAAKTVYSIKQIPR QDRIAAANRLSQELRQWKSSLPPHLGSISPSSLIPRFRRQATTLKIAYSHATMHVNRL FLLGRMSAGSETQIREAIIAANTVLETVDEMVAEGGTIFHAFWWTHYVTFCALAIVYA WEIQSRKGHLDLFPDNSIDRADLMELADRCQRHLAQATASNSPSRRYALILDKLRKEV TRSGLSSDDQSGSTQTHRNLTSITSPHAAFPGSAHIARPNGQDGSYSLGINVSSPQTN FGQPLDGVNWSIQDWQSEDWLNLDSMAFGTFPDNQDAPFIWQTGQAVQNLIPHS PFICI_12507 MPLPTHFTLNTGAKIPAVGFGTWQAPNHEVIEAVKTALKTGYRH IDCAAIYRNEAAVGEGIKQSGVPRSDIFITGKLWNTKHKPEDVEAALDKTLADLGTDY VDLYLIHWPVAFEPSDKWFPLDKEGVFSLADIDYVETYAAMEKLLATGKTKAIGVCNF TVNKLQDLLSKTKVVPAVNQIEAHPYLQQPALVEFCKSKNILIEAYSPLGNNQTGEPK VIDDAKVKDLAAATGLDTAQLVLSWAVQRGTVALSKSVTASRIESNLKVQELSAENFA AINALEKHKRFNVQSRWGYDIFEELGEDTVKRIAKEAAPENLQKFNV PFICI_12508 MAPTAAVATPWSATNLSKNAFDLSSKSQSSATTQHIEIDDSSLQ TVDALVRSRATAFPHVPIVSYPTTGVDYVDYTFQQLDVFAYRTAKHLESSIPTRTSSN TKRTVVAMMGPSNLEYLITMMALMKAGHTILFLSTRIPAIAVESLVKTTGVTYMIADP KYLQTAATVKEQLPDLQVLDMPKRNIFEFPVEVHVDTQMDGALDPSVETNEIVYIIHS SGSTGLPKPIYQVQSAALSNYASNMDMKAFITLPLYHNHGICNLYRAMWCRKSIHLYN ADLPLTSDLLIKIMRKHKFEIFYGVPYALKLLAETPEGLDLLAQLKTVMYGGSACPDD LGNLLVDHGVNLISHYGATEVGQLMTSFRPAGDKAWNYVRENEKLSPFLFWMPQGPNL FECCVREGWPAKTATNMEDGSYRTKDLFEPHPTVPRAWKYIARLDDTIVLVNGEKFNP VATEGTIRSSKLVTETVIFGAQQPYLGALIVPSQATVGKSPAEVLDAIWPVVESAQEG NDSFAKLSKEMIVILPHGTDYPRTDKGSVIRQAFYKTFSKEIETAYDISNSSSEDARV MSSEELRQHLRSLVVKTLPDVQFDDDTDFFGLGLDSLQAIQIRSGVMRSVKTANKVTQ NVVFDHPSISKLAAYLLGETSASSDSDGSLEAEMQALVEKYGDFAPQPQVGGHSVVVT GATGSLGAHIVAKLVKDPTVEKVYCLVRAKDTASALKRTVESMMLRKVYHDLSLSERR KIVSYPSDFSHADLGLDAAAYREISSSLRAVIHSAWSVNFNLKLSSFERDNIAGVKNL INLCQKGAAAFNFCSSVSAVARHPDADGPVPEIAPKPEWAQGMGYAQSKSVAETLCAK AGEQAGIPVRVLRIGQIVADTAHGVWNATEGVPMVIQTALTVGALPRLREDPSWLPVD VVAQGVAEISLSHAQNAFTNVTNHKTFSWQNDLLPALREAGLKFEEVEPKEWVRRLRA SNPDPVLNPPIKLVEFFAGKYDKDEFAPSKQYSTSTARSISSSLDQGWTLDQDFVKKF VSQFLSDSWKTKEAAPANEPFNTQRKTVIVITGPCGSGKSSLATEISRHLGAPFVEGD SLHSKTAVDSMRSGVTLADDQRWPWLARINRRVEEELYDLGWDSAVVSCSSLKKSYRD ALRQVGQARPGSPRVVFLDLQCCPETLVSRLASRGGHYMKAEMVQSQIDAKEGIATDE VDVYPVDAEKELGTVIKEAKGFLRQLDLVD PFICI_12509 MLKPGYLLLLHTLPTRIAHATIWVLSTFHSAKTAAPRKLAPPRR FLPPQPHPHHRASLFRYLFPRLSQRYRERLLGFHLDTLPYYKHRLQSRVYRFILERQR RRNEQSRIVDRARRLLLGPPKPKPTLRAPKKRDVIRAKRKSIMSYLSGYGSGSGTGAE PGARRRKLAAVAGRVYNAGASAVSGIRESYNQTRAGQIDTEELQKITIPGAFPDVKII VSGDEQMVLFPSYAKRHVRGQMRQYEDPAGPPHSSTVEIDEEEYWRQEWARHEDEKAI VDVDVRGWIYNPHRGPMTRRNRILIGLARQLSGIPTPQAQQQHLDPSPASLHQLHEEE REQMRIAQEAQEIERRGQAERAAAVKGGYSEAPRDADSEDEYGSSRDSRSRSPTPSAR SAPRSPVMGVSRSSTAGGSQLSEAELAVANANLMARIAPFMTTPLVQRPITVFFYNDS QSQSKTVTTNDSGHFILRAPLDFVPTHIRVLANEGLSATEPVHVIEPEGISLISDIDD TIKHSSITTGAKEIFRNTFIRDLGDLTVDGVREWYTSMHNLGVRIHYCSNSPWQLYPV IASYFKLAGLPPGSIHLKQYSGMLQGIFEPVAERKKGTLEKIMHDFPQRRFLLVGDSG EADLEVYTEIAVANPGRIVAIFIRDVTTPERSGYFDSGFGRASGRELVRAPNSTNPSR NNSLDDRASRPALPPRTPSKPAKEEGPIMGDLIDFSEEPQESPQEESRHLQELRENLP PSNKKAPPPRPAKPTSLKSSSTASLSGASNPAETLEQKKPPPPPQARRTTPSNGGSAK PPAPHPLSQMHNSSQQGLNGSQQSLSSTVPYDRRGSNFSSTSGSASSKSAAPPPPPPR RTNTASSLQQSGPQVTRNPSRGTPDSDVEAIDSLPPSAFQNNTYNTNLTPDAPLNKKL DLWHRRLQRAQETLDQQGVALYTWRRGNDVVNEAEGLVRQAMKDMGVKVGEGEKRNLK NRQ PFICI_12510 MAKRKADEDFVLTISDNEELPIEEEEIVAEPPKKKSKKATKANK SKKGAKNDAADDAEDAEDAGKWGKHDEDDGAMDSDFEFMVDNAGDIMGGEFEGWGFDG AKRGMNEKRVVDVDEIIRRRREKKEKKEGKKKKQQKEEEVASEEEDEEVADIDMDDDD DEVLAEDGFGMGAASDAEESDEDVADDMDDDNEDDEDDEEGDASDDDSVATPNEHPDD NGSDSDSDQEEDAEEAAKREAFFAPEDNAKQGKQPARSSFQGMSLSRPILRGLTTVGF TKPTPIQAKTIPIALEGKDVVGGAVTGSGKTGAFIVPILERLLYRPKKVATTRVVIMT PTRELAIQCHAVATKLASHTDIKFCLAVGGLSLKVQEAELRLRPDVVIATPGRFIDHM RNSASFNVDTVEILVLDEADRMLEDGFADELNEILTTIPKSRQTMLFSATMTSSVDRL VRVGMNKPVRLMVDTQNKTVSKLEQKFIRLRPGREDKRMGYLVHLCKTMYTERVIIFF RQKKEAHRARIIFGLFGLSCAELHGSLNQSQRISAVEDFRDGKVSFLLATDVASRGLD IKGIDTVINYEAPQSLEIYVHRVGRTARAGRAGVAVTIAAEPDRKVVKAAVKAGKAQG AKISSLVIEAADADKLQKQIEDWEDEIEEIMIEEKEEKQLANAEMQIKRGENIMKHED EIKSRPKRTWFETQNDKKKAKEAGRAELNGLMDSLKKKSGGKLSNKDRKKLDARSDRN EGRAWKKGSAERAGKGAVLNFKKDKSKKGPGKPKKR PFICI_12511 METKAMDTPQGDLASQPDGTPPVSDQQQQHLRSPISRSRRSRER SRVTRACDRCKRRKVRCSGTHPCLQCNLDINSCTYKASYTRGRMPPTPMKLDLDQLDE HETRESDSADVTQGDEVSDVAGRDTIQPPEASHQVTSSHVSPEPSQTDLQGHYIGPAS GVSFLLRVQKRLHQTVTFSQASSIFTFGDAPLPDPDPASCLLMSRDEGQKLLERYFDF AAATYRFYHRPTVEQWLDEFFTTKGAMRDPEEAFARKAVLFMVFAQAQVYMPGPSSQE DSARYFIAAEQQLAKERGSIRLTSVQARLAQCFYLLGRSRINHCWSLFGTTAHLVLAI GLNRNRRGFATAEFDRVTTECRRRTFWCAYCLDCYLSAALGRPRTFHEDDIDQELPSN IEDSDLGEQVGASKHPRSEQSLMLAPVAHVRLARIISGVLRDLYSIKPISASKRLTLA TKHTAALKDWRKEIAYFLDVNGLSASFMKPIYQRQINVLNFAYWHAMILTNRPFLLSN FARLQQAPLSSDAAKKARTSPGVQECLHAAMSIVETVNRLFQADQLFRGYWFTLYFAF SAVVVLYVYAIQQKASPIETYRAYLEAAGTCQGQIFAMAEQGSLTQRYSLVLEELRAE ALRHTVGSGSGPKAVSVDQQQPPVTTALDGSGNTAAVPVTSAPSGMQDMSFQELGLIL NGDMNWEVSPSSSVADGTGWGHFDSLTQN PFICI_12512 MPLQQVFHLRTSGWEQDPDEERVKVSTIDRTPTTSYNQYVVYFR VEEAETNRTVNVLKAGLETTLSQARYLCGTIEKDGGGHSFVKRKETAVKFVVQRLDLP GDNYPSLDDIEAAYFSARCLGDLDTWS PFICI_12513 MKWGETLEADPDSSPVIAAYQVNVIRGGLVFSMHSHHYASDVMG WKNFTHQLADNCYAIYNSTPFPSWDLACIDAMRFTKDLPEEDLINGPPKAQRHPDHPE QQAVLFHLPTSKAKELKRRAFPTEPGWISTYDATCAYIWRLMTKVRAEFYKPADLAQK LYWGEAVDMRPRLHNPPVPERMMRAIVAGGFSDTNPETALTIGDVVKDAPLSNLANYI RSLTESCTEKHLEALVDLIAPIRDKRSISLRVDAHPPMSMFVTDHRAGDVSGFDFGFA KPITYRHLWGEAITAGLILIYAPLRPSLNPDEGCMFTITMEKELIPKLKEDPEWNSFF EYRGVD PFICI_12514 MTSKTQQIFTAAALLGTAAVNGHMIMKTPAPFGGSSLDNSPLTS SNYPCKVTSDPASFYSGTTATEMAIGETQTLSFTGSAVHGGGSCQLAITTESQPDAST NWQVILSIESGCPSTDGTAASTYDFTIPDGVAAGDYVFAWTWVSKLSGTQEYYMNCAP ITVTGSSSKRSVNESMTLLESRATFPDLFVANLADINDCKTVLSSDVEYPEPGDNVQT LGASPALATPSGTNCFPKGSTGGSTGDSSDSGSGTSAAGSAATSAATSAATVVASEQA TASAASSAGGNGGVFLTSAATSFATSTTKAAVASSAAATGSSSPAKTSSSAPATGTGS TSGGESGACTSEGTFNCVGGSQYQQCASGAWTTLQAMPAGTTCEEGESTSLWARKEAR NLKIRRSRL PFICI_12515 MPRLLKLGTSLLGLSAAASASPHGLAKRDECETLRQVKAWTNFT STEKSAYIDAALCLTTAEPTIGLGDSATVWQEMQYAHIGQVTWIHNVGQFLPWHRYFV TVHANFLRDLCGYEGPLAYWDESADAQLTDLTDAEIFQADAFGGDGVGSSKCIEDGPF VDTVLHPVNTTGSGGNSSATNGNTCIWRSLSLNSLDRSSYVNVETCLEKDTWEGAWNC IEGSPHGGGHGGVGGVMLNVANSPGDPLFFLHHSFIDLLWWRWQSADLDTRLTEISGI NSQSQSSCDRQGLPCAGPEILDYDGDDGNQTTLNHVLWMMDLSPNVTVADVMNTNSSS VCLEYIW PFICI_12516 MSEATTDPSSFPQFGKLPPELRMLVWKHSLPSIEPALYPYITGL WEHRKIDDGDARFNRSDPTANVEMYFRHELLRSGARLEIPAILVNRESHAVARAWLRD PPYRVWRHNDRAPEAACDDPQHWSFQRLFDPASDIIYVAPERWLDFLSEQDSRTLDDD MIDFNPQPFGYNYRTAVDFNVLWNAEEDFSDVVGGYFHDIQNLHVIVRGAPKSVNDAL SGRWRLQPAPGGHLYYDIDLERIRFKSDGYLGDTAMFKRLRKILQPLVYQLAPRFECL REWLREKSVGDIRTGDKHSIYDCAKTYVFLPVYAMKR PFICI_12517 MALGGKGPTVIVILALETAVAGLCVGGRYYTRRVLKAGGGDDDV VLIASWVFMLVFAILFIISSLYGFGQHNTDLDKDDIKLATLYELCGQSAIAIAMGLSK CGVALFLSRIANVKWQKWVLWGWMFTIMFLSIFLAISVFAQCYPTQSLWDANVKSQGC SINLTILAFVMCSFSAAMDFFLAFCPYYILKDLNMNPKERWTIIISLSLGAIAGIFGI VRTAGLSAISNTADYLRNSTDATADSVMFSSTELALTLICVSLPVFRPLFKRLASTRS SKRSPLDGHSHQGSSRGLGTVSRVWKASNGRSKSRENEYGMQSIAEAGVGGVADQSDE EGNESAWKDSASDRSILAEKQGISRKQEFSVSYEERGQRPGPQ PFICI_12518 MDTYVVVFTIIILFLVQNFLNRFRYVSATPSSVPTDDPTTTSSR PRPVRLDQINPLINKDTNIDLDVIAIHGFDARSPDTWTYKSTANEPGVNWLENPEMLP GVVGSARIFTCDWPSDFFESSDFVQKTFDKFAEGLLDGIESRPPAKGCTQAEGPPILF IASCLGGVMLMKALVEEGMKCNDSPTLKATRGIVFLATPFRGTAFADVAHWAEPSLRI WASLRAQAVSNLLGQIKEGSELTKLVGDFTRLCQRDGPFMATFFENEKSRLLRRVGSF RFSIFKEKKLLVPESSATLDIVRNRKPLNRTHGKMNNFRGPGDDDYKIVAESIKEMAK SIREGRLLHRAYEYINKRHYNDNRLKIVRISDDELSMSECYVNLAITYQPSRNNGSES KDPTSSSQSSLFRRLNVESPNKNSEVELLKLFDRRKDHAGREVGPRKILIRGQAGVGK TTLCKKMVYEFSRNEMWNNTFDRILWIPLRNLKLLEHHNLEALFLREFFSRETLDKGR MFATELHSQVSNGKDEKTLFILDGLDEVYDALERGHWLHDILEELLTMPASIVTSRPR VSLPGDLSKGFDLELETIGFYREQVDSYIEKVFTHSKVKGTKWDRVDGLRSVLRQHKL LQQLVRIPIQLDALCFIWDDDHRSLQSNFKLETMTNIYQAIMKILWKKDLLRLGQFGK ELTRRDVQNYPLDKLEIFFQDEVACLEILAFKGMVDDSIVFTESQILAMPTLKPLKAG KLEGLSILRTHELLIPDPTYHFIHLTFQEYFAARYFVRQWISGDTLKRGKHELSSSAS NFIKEYKYDDRYNVFWRFVTGLLSLKDHGLEEFFPTLETEPIDMIGVVHQRLLMHCWN EVSPDDTKFLAERQNFEDRLYRAAMEQFKLTDSVSVIEEVEFPEKPLLRVLRSFSDEL RRTVLRSIMFRGMVPVTALRLIYSSLRDDLDCLERTGLLFSIRLAQSTILNDEILGII KEQISYGNTVQVMAIAVLVDFLMSGDKTSILEKLKQQVTSLESESELVRAQFFLAMRS HRRRQEPQTIEFLVSQLQGTLKAHASQDETNLDLQENTSSIFTGWGMYPEASSIITLL GGQPSLPTDVLAIISTQLQNQDAQVRRAAVRSVKAHCPNEFILKTITPWLDGPQLHTR EAVLEVLGSWHPLPSEVKKFIIAQLMHSDAMIRRAVIRPLQKWPNIDAEIFQAVGANL TDNDSITRKEAITLLGAQYLFRDEDIDLFKSILWYDQDLSVRQEALRVLWSRLDFNDI GFDLISECLQHPGLCSHALDEWQRRPELCRNACPEIMQLLLGSCLKSSNWENRAKTYE ILTILHPSDGTYDSLFDVIMNGLKDPVPSVREAVARALNNWSSTEVRYLQIIIDHLQS VLFEKNAGEKYHGYLLRALENFPRPTDDAYLVLSRTLAYPWHHVCSAAVATLQKWPQP RKAVIENIVLYLQASDTKCVSKALEVLGEWSQLDPDNLRAIAKKLQDKERQLQLAAFN AVVKQQVLNLNVIMPYMESLFRISLRNSHTRRVCWLTEGEKNTVLIGSRALRWQNEQG RVDTWFLLEPGIIRRWRESCTDG PFICI_12519 MHMAMGRNIVVADRMDLHLLWDHNSIFLKPLPRFLLDPKFWQEN LQCSGACACRLPRPSAEHNNSTDLLAEGENATRTCREKSLRLIARGFLHSYTCLISSE TDFAIANEKRLLPRNAKDVPVDWRLWKSLARELIEKYNPQEVHSRFLRAELRVTRVNF VYRLASLPFFGPPLNSWNSYSNVFQYNLAWMAVATVFVGLVLTAMQVGLATERLQGNA DFQRASYVFTIFAILAPIGAFGLVVLGVLLNLAMDLPWLVRDALGYSSTDSEL PFICI_12520 MHPKLLSHWILPVLTGLVISVAAQGDGDDQTGSIDIPSLYESPR FRWWWPGGWIDADEVADEITAIVNAGFGGGEIADVQDSVKVDLDPAVYGWGQERWNAA VLKAYEKGNELGGHVDLTLGPHWPTGVPGYTPDSPETSKELVHGQLFLSAGQSYSGSL PLPVLAPSGNQSSNIVTATPVLEAVLVAKVLSNSTSGTVVVDSSTIQVLDTGADNTTV AYDAPDDGEYVLVAAYGRGTGQVQNLYDVTDPFPAYIVDHLSKAGVQASVDYWEQNLL TPELKAQLNESQGSMFEDSLELKLKQYWTLDFLAEFQTRRGYDLRPFLLYVLKDNNTF EGDASVSAQITNDFYQTVTDLYTEYRIGGITEWVNSLGLKFRAQPYTASFDSSYAASV LDIPEGESLGFDGDDDAFRVLATGRDIGGRTTILSDELGAYMGKAYGVTWKFILGTAN HDMSLGVSQVVIHGFPYKDSPSSVWPGFAPFTPLGTSSNGFADAWGPRQPQWMFANSS SAYLARAQMLLQRSGPSIDVAILNQDAGVTASWDDTSLNDAGYSYQFPTAYLLKQHNV EVSNQRLASDGPAYKALIVNNATTMDVSTAELILSYGQSGLPLVIVESDPSSSFSYTN ASGEEIASQLGTIFDSIREFSNTESVNSTSDVPGALVDLSVAPSVQYSSTQNASLITY RKTQDDGYLYWVYNDGDDATSAALSLEGSGAPYVIDLWSGSVSPIPAYSSTDNRVTVN VTVAATSAVVIYVGEDSVFNSRALSEHLIASSCGSHVSSAGQLVVTTNATCTATTSSN GTVTLEAEDLPTTVVPSSWSLTVQDWSPQYPNTTGVNSSATIKERLPTVSLTELIPWP NITSLEYASGVGVYNTTIDLTRPDNSTLVFLSVGEVEGTFGVSFNGEEIASIDQFGNK DIDITSLVLAGTNSLEITVATTLWNKLRQTWPEIYGSLDAQLIGLLGPVRIYYLQALV V PFICI_12521 MHLSWLLALSGGAVASIPAIAARNQPQTVCDKFKSMYSNITYSY GDANYDEESEDTWSTRSWLKPACIFAPVNAEQLSFAVTNLAECGVKFAIRGGGHMPIA SANGIGSEGVLISCTNLDTLALSSDNKTLSVGPGPRWTEVYTALDGTGYGVVGARIGP VGVPGFLLGGGVSFYSYEHGLGSTNGNVKGYQCVLADGRVVEVTVDNEFADLFWALQG GGNSFCLVTRFDIQTHPSPTVMIGAPTYGSTEIRDKFMDTVFDFVTNGHLDPKAAIIP VCRYDSGNDAPVYSSTLFYNGNDTVPAILNGFLGGNLEPENGVTALSPFPLGQYSTAV SQAFEEGGESHGKRQRFHMLPILANREALQKAHDLFFELAKTSFDGMNATIGMAFNPI TSQFLKASNAKPGSLQGLDERPAFWIEQTYTWEEEGDDVRIENFIQGYNTNILETLRS MNATASFHYLNEADEGQPVFESYPAGNLDRLKQIRAKYDPTRVFTDLMPGGWKVEAA PFICI_12522 MQKQGVPTLPHSWLFGHLALMAKFRQEHPPDVNIFQLHYWFLNE LDRLFPGEKIVPPIIYLDLWPFTSSSLLLSTHPAVSTQFTQTRNLIKAKVHTDFLKPL TENKDIISLHGEEWKSWRSKLQPGFNRHNLMVLLPDLMDEVVIFVDLLGSLAGKDGQW GEVFRLEEKTMNLTFDVIHRALTGSQLNQQTSCSDTPLKSALLDQLDQMGRSGANPAR GFFHLLTLLPTAIVRNNRVMNSVYGHKIQEWLSDGSRSRNIPSIIDRTLEIIDLEGPL NGDGTNLAAFVDGFTSNLKALLFAGHDTTSTTICWMFKELQDNPVCLERLREEHDTVF GTEIGACQELILNSPHLLYALPYTLAIIKETLRLYPLAATMRQGSSDYLLTVPGSRIR YPTDGYAMWDAVPIIHSRPDVWRQADKFLPERWLVPEGDPLRPSKDAWRPFSLGPRIC IGLELALLELRLVLVFVVRKFDIEEAWTKWDTKK PFICI_12523 MEASSMVEAEAGPVGEAQDATTLPAATQRHSWRFWGTFAALCIL AFISALDVAIITTALPQITESVGGASHYVWIANSFIVASAVLQPLTGQLANALGRRWP LIGSTVLFALGSGISGGAHTAAMIIAGRVVQGIGAGGINVLLDIVCCDLVPLRERGKY LGLMFAWSGVAAALGPPVGGALAQSNWRWIFWMNLPICGLALAAMLLFMRVKPGGPAS TGNATTEKTNTPSTASRWERLKDLDYLGNALFTLSMVAILFGLVEGGTVHPWSSWRII LPLVLGGVGWIAFHFQQHYAKQPSIPSRLFANRTSATAYLLTFLTSILVQASAYFLPI YFQAVKGTTILQSGVKFLPMAMGTLIFAVAAGILLSHFGRYRPLHAISLALSALAFGL FTRLDAETPTVAWAWYELIASMGAGLSLSVLLPAIMAGLPEADVAVASSTYSFIRTFG WIWGVTAPGIIFNAVFDQNIKNISDTTLRSQLAGGQAYSFASQVHSVRSDYSDAIWAE VWEVYIQSLKAIWWFGLAISLISFFAVGFEKELELRKELDTEYGLDERKA PFICI_12524 MASTQTVAVLEQAFSAEQVLLPGTEAYRKANENYLSACLSDYSP AAFFQPRDPAEVAKFLHLASEHDIHFAIRGGGQQPLEACSNIDNGVTLDLSLIKGVEL KDGSVSVGAGEHWLAVYEKVQAAGLAVGGSRSGKGGIGGLSLSGGLSFFSTREGFICD NVINFEVITASGEIVQANAHENADLWKALKGGGNNFGVVTRFDLRTYPQKPFWSGIVF YLASEANYPGQVEALVNEVTKPDVSSDTHFMVNLGYSKQFGDNMMGLNQVYYTGQDAA ELYGANNEDTGKARIPPMLEPFTSVQPQIEQLNSFKIQTLAQGATEHAVMSSDRVRCS YMNCTLRTDTVALLSAADIYSKAIEPLKDIEGITLCLTLQAYPKSLLSKTAELGGNVL GLPSQEPLVTVLLLTYWKNREDDKRIVTTLQEALTDMEKDADSRGQRVPYIYLNYTSK FQDPFPSYGEENKKFLQQTSRKYDPEGLFQKNVPGGFKLF PFICI_12525 MRFRAGLPIVRQLLDAGACLQGHEIVEAVRNDDQELAKFLLTLA VDVIARDPIGETLLDAAYRKKDFDLAQYYFDHGGQHSSKALLFAVNRALSARDYHGIE EFVTRRLTGPLDEWEAAGFVLSIYMNNSRLTDLFLSETFSASSALSLYCWHREPRKEG RLCRTGNEREYGPGVKLESIQSECHSVRCPNCCSPFTMAALLGLRDLLERMINQKYQP DASYLLKIIYKPGALDKKIQEILMKSFFDSTGTDQYVHRHMLMAAIANSTGRETIRRH VSNSGSLNFSIDGYRNESVGDLLAAGATVDWTSSRMQWTALRTALRSDSLQIAALLLE NGVVVDGLSHSSIWTAREGHLKTVEFLLNHGLAIDALWDNTARFAPYSKYLNALEAAA GHGRIDTVAFLLARGAKIQGRGRVHFVRAVNFAIESCHQATADLLKDKGGWSQED PFICI_12526 MDPSILSSLEGYHSKTVVYKSTSAGDIKLDILYPAGVSELPRTV LLHYHGGFLVVGDRYAFIPHWLVKAATSRGWIFVTPDYRLIPESTAQASVEDACDAYA WVLSNLARELDCKIASVLMAGSSAGGYLALTTAVEAERKPSGLLLIYGMLNPTISRYT TPGTNVFGRPPPADTASILARYPMHEDGDTRKAISANPVAANPADEPRFDLVSALHVD AVFLDYMTGIKGLGRLVAEQGPSAISEAHRDLFPLAFAGTHKLSKLPQTFLLHGHNDS AVPVEHSLEAAERLRNAGVHVDLEAPDDAEHGFDGKSGTTDVESTEGEKVHGYQSLRK AIAFLQRAS PFICI_12527 MEPALIKSGAPSFRRRLLYTILYYVQIAIRLFRLHVLRYWLHPR EKPKVALYHDRGVALIQSVIHLVPLSVVVAFIVINIKSWYWGDASSTVLTTLQFAAKA LEILMQTSLGMILMSFIRSQLFGDSGLPLGSLLGPYSVNDVAYLWSLEFWGGLVSKHA RIGQRLVIGLVIAALIILASLVGPSIAVLLIPRPIDYVTGRYLQLLDGQATIFPEQVH LQGGNLKNEGAFRDALYQLLQNRDQDFKFIDQYNMGRIMPSAANTPVLPPSDSSSGPT SEDRGWYVGTLGATLVSMAMHFPNWHIVGKSLKSIYAIPIKDSLRTTTFQTYVKVICQ SRYWSKIWKEDYAAFGDIGPEVTNITFADLDEIMYSAGPDNDGMEYGIAWFAAPLQAS NHSILMARGSRPVTDPLSQNHTVDTCTIDAVWLKTNMNSAADRNSEIVINSELLPADR QQFGYQPIKIPADWASRVVDIFLESQSHVTDMQTEKVFALALANAAPIPHHAFGPQPV GWKDCPSLCWGQPLEWLDMSQTQYDAAKAYLDSNEDILSKHNDVFFYTTSNWSDPESL YHLEVETYLHGYGYDSSRVPVILSLIVLSIYAFVVIIHLLYTFVTGSVGRSWDTLAEL LLLGLHSQPPGVAATSNTTVGIETMAPFEEPVGGARTS PFICI_12528 MVQTYFDIGYKSPSGAQEDWGRIVFDLYDDVPKTTENFRALCTG EKGYGYAGSDFHRVIAKFMAQGGDFTRGNGTGGKSIYGEKFEDENFNHKHNKPNLLSM ANAGKNTNGSQFFITFVPTPHLDGKHVVFGEVASGQDIIQKMEEVSLDNTGKTKGTVS IKASGQL PFICI_12529 MRSFALAVIFAGVDQVLATRARCLVGDEEGTAQSWGLSRIAATS QPKASALPSEIAVDVHFHITSTTDYANLVTDEIIAAQWEILRDTYADYNITLTLVSTE SIVDDLAGAGWLVFNGTAWNNYPEEQKAFFNSTRKGGYDDLNLYFFAPWSPGASGYCT FPTTVAGALPQPGDEAFYTDLCEISALSMPGVPADNATLDGYYYGHVAIHETGHWFGL NHTFAGGCNEPGDFVADTPATGIVYDCPAQSDTCPDVAGLDPIYNFMSYTNDTCTSEF TPGQKDRMFETFFSYRRISA PFICI_12530 MASVSSPPTGVYVPVPTFFAPAPGELQPKVDIKTQTEHGVFLAK NGIRGLVLLGSTGEAIHLSRSERKDLVAGVKQGLEAAGYKDYPIMAGVLTNGVDETLE WLRDYKEAGAQWGLVLVPGYFGTAANQENIKEWYTLIADNSPIPILIYNYPGVTNQVV VAPETYTALAQHPNIVGCKMSHGNVSHHVQVALDPEIDHKKFKVYSGFGQQLGPIVHF GAAGVIDGMAAWYPKTVVRLMDLAEKRPVDQAALDEAQKLQFAVSRAQEFIGKFGILG IKEAVHRVAGFGNPDVARLPLKGRLPEADWAKWHPLLLGGVEKLEASL PFICI_12531 MGKIASMEYFRVPPRWLFVKIVDEDGNVGWGEASLEGHTQAVEG CLDAWFERYRGFEADDIEHIWQMSWRTTFYRGGPVFMSALSGIDIALWDLKARKLGVP IYQLLGGKVRDTLKVYAWIGGDRPGDVEVQAKARQEQGFLSVKMNGTEDLGWLDSPSA LDDCVERVKAVRALGMDAGVDFHGRVHLPMAKQLAKKLEPHSPMFIEEPLLSEHIGGI ETLSKLTSIPIALGERLHSRWDVRPFLEKGVVDILQPDVCHVGGISELRRIAAMAEAY DVALAPHCPLGPIALAACVQVDLTCPNFAIQEMSLGIHYNSTGQDLTSYHTNPEVWKV DKGYINILKGPGLGIEIDEEEVRRLSKDASAWVSPGFIGPGGEIREW PFICI_12532 MAAPPAIQKRPPFSTLPLDPEGPPGNAWGLYGHNDKLGALNMLT PAVVAAAARDEIRTGERVSLDWSLDKPSYPSFDRPSFGWAMTNRAHPNGELRTVNDDH LNFNTQGSSQWDGFRHYGYQKAKKYYGGHTQDELDDPSAIGIDAWVEKGGIVSRGVLL DYVAFCSRHSLPLSALTSSSIPLAHLQQMAAEAHLELRDGDVLFIRSGFTAAYDQLSM AEQKAIPQRPSPDFLGVEPTAEVLRWIWESGFVAVAGDMPSFERAPIAGPHTALGLAW KGEKWEEEMQGGGLLHQWLLGGWGLPIGEMFDLEALARKCEELGRWSFFVSSVPLKVP GGVASPPNAVAIF PFICI_12533 MSIQDDAFAKSFYSFIMSDLGQNMSTLASDPEVLQLYTKDFQPN HSYGTLSWDYAKSSFDNLTSHGMSRELGVRPSTFYVQYICQVPELKSTGSLIVAIILA DLVFLTTAWSALKLITTWRLERKDKAAMYCAGCVRSFARNGYELQSLATPNKKSQTRL PQESHTTFDTSSLRNRVRGQFQSVMNGESEGVRSVSW PFICI_12534 MSIILGALLGLAAAASAATTNTNLQTAFPASSGTSALAAVKTIA AGATFDGGMYQWDRSPSTCNEQAEGGDSDAVFLLEDGAVLSNAIIGPNNGEGVHCLGT CTLNNVWWTDVCEDAATFKGTSSSGISYVNGGGARNAEDKVLQHNGAGTVAVKNFWAD TVGKVYRSCGNCDSQYARHSTFNNIYVTGADVVAGVNGNYGDTTYIYSSCVDGAICWE YEGNDSGAEPSKTASGPTGTACTTASIKTSC PFICI_12535 MAADQDFSLRPSTSDGHPDMWTKFGDSFLYEDGTTTPEGLMNMS QSPRLSEFSFGIPDMETSRTASPATLLANTSEEDVSQDHPILEHHRSPTPSQSLAEEF NEAKAVPASSNIIVDLPQSTLIHPRSAYSGFIPRAPYAREHEAVSALLDVLDNKVEDF IEIGLTDFTIYLDSAIYPIELRPLNQLATRAAGDEFYFDGILHHGHQKYFVKKIPFRE LPIGNYCDSQEHSVNDQIWIRSVHNRKKEVYYKLEKPSPEYERYWVPFLWVVDLAKHV VDYCLHLQQKRQKASLHDFGSDFAAFINTRHSHSEAFQRWYAMHGSADFRTAVAANID FIWKEALGLPHPERKIASWHIFWHEVRGNYYTPIKPLPGNNVTTIPKTIVTPYVFDLF SHMGFDTIMKAATTSHDVQRDHIRQVKATDGNRLVLHQGQNRFRGRKDFLASIEVGNV ISTPPDDRSTGTKWKRETSKHHGPDYVWYGMVQKVHVSKTGHRSYDVIWMYQSRDTPC ALMKYPWSNELFLSDTCTCETARIDEDDVLATHRVAWFGDPASTATNELFVRQTYLAA ERCWVALSKDHLVCNHQKKGRHRSSQTRYSRGDTVLVKLPKSARLEPFIIERLYKEGE KRWARLRTLLRRQEMGQRESYPPNELVYSDQLVEIDSKRIVRHCLVRAFLPSDSIPSP YSRGGTGDAFYFTTRQLDGDFDELEALDDTHLLEFRQGFLPEDIVTTQKLCGLDLFCG GGNFGRGIEDGGGVEMKWANDISPNAIHSYMANCAPGTCKPFLGSIDDLLDRALAGDP EVPRPGDIGLISGGSPCQGFSRLTSASGQKSMKQWKNRSLVASFASFVDHFRPQFGLL ENVSSMIKTGDKRDECFFSQLVCCIVGLGYQVRIIYADAWSYGAPQSRSRVFLIFAAL GVKMPKAPPATHSHPPGTKIGTLGKMSNEQPFGERVHAPTPFEFISASAATQDLPNIQ DGKVGICVPFPDHRVSVGVTTRTRVQFRYIPMRPFGMGYDKARYGYYEKGKHAPGTIS NETRDSFFPKPTEFRLQPGTKGWSRVHPHGLFRTVVTACGPTDRHVGQVSHWSQDRPL SVMEVRRAQGFLDHEVITGTPRQQWYIVGNSVARQVALALGLAIREAWFGSLFDNSSA ADEVEVNDVPDIIEMFPETETQLPAHQELPDSAETSSRTRLDIFSDANEDCISTSGTG IDDIVVHHSVIVELNGRKRDSRSGVSGKRFAKRLRFNSDAA PFICI_12536 MMRRSAQKISASLVRHLHTTNLPSPRPLRSFHQSTYSAQPRKRD FFTSNHLLASVNTTGELTTFPDPVEDGKQDQRPPIPPMKRKPARSSASKKNLRATSMV KKSKRTEAKSPVAEVADDASDYTHTIRAINVAQSFDMEMVEESLRGHGFAIDPDNAGL DSNAVIHARSYNNGDIFVFSSGTVVSWSVPADAVTQIATRQLLNAANLPHVADLEFED LDFTTDESRDNSFIREEEVVLGTRDQSLEGRLDVTMAKVAFSMGLARSTKLAVLENKL NEFLETARPVARTLAGGSELPQNHKTVLKYLGEILGLRSQLNHYSELTDDLPDIFWDK DSKIENYYNNISRILDVNPRIRQLNARIDYAYETVSVMREMSSEKRGHRLEWIIIVLI SVEVLFELRRIYREEFQGNGIEKGAERA PFICI_12537 MSAQQLIVPRRAGSLWGTRATFAQTLSEMTSTRRHRFPNLKIRP SRALALRLERQHPEQAHRYGHLFRTMAKINSERLPLMTFQKLLRQQDLGNDKELAAIV SKSNPDLWQDRLNSLERRGWREADIDHWIWILSGENGDDCVSRLVSSDRPKPIFVLMN VLSSGRPFRSPDSWRKVLDYIMNTYMTPRARNPRDTGGTVPADHRVRLSVSKFIILLR RLTRRVLAFWPRSIPALADLTSTYLINLHTIETGENLYHKQCRVFNWALAEFSRPASF EPIRNMEFNWRAQRKLLSTSDSLQRPLVIDRLSYRSIRKVLVAQKKSAAERGVAMRYA KSWPPYRQDFDGHDAKRTPEDDQSRSVRAGTLSTEAGYPQDEYERALNVLGGEATNQP PTIQTRSLAPKEWKNEKEQDNFYTVWAMKVRATRNPQEAWRAFTSFTDVDPPLQVYTE MFLKLQAAETHATRDVLPGDSRETHPVHHGNFSEYELARLTPPTLQNLYQHMLSHGTK PQGICLQTLVSHARSLAEGQQYLRDSTINADAVAHMFSNKGYLHTILRRIPLLVFRSY IQLLCRLHPDRRGKNKISSTDLGQLHQAIALTKARLAPGTTEAATFRPAWQIICRTLA RANLALVNKNERVANDVEALDLFLQLNGSIETGVGTDPEIFLYLCRTVQKLAVSLFVR VDMDSRHSDSHLPIHLMHGDSRTGNLLRAADEVLRNMFKKITAPVPSSPDFKLELPGF LHPVTPAHLHGYMRTLAFLEDTESMVALLDWVLDNKDLVDDEVERKGDGGRLMVAKML CAFAAFASPRLDDGVRARLAAKMDQIAEVDSSWHWPAPEDTDAYVEADKRGGSQKLQQ RVLAASRQ PFICI_12538 MGGSNRSHRRRPNLNVDTFAPNSPSHRRDAAPGSSPQRKAPGSA KGKPPVSSRALKLQTTPSAATTSLNGRDRNFEESFLENDTPVDMANKENEPDFSPESS SKSPANYRDSHDLSLPARQVTRDSLVTNMLLSLDAFSLSQINTPATPLSPFDEGTYGS MSMSGDRDDMIRTMSGISARPGRGMHSSYNHGHSYTYSSDLEGTDDGTSRISSQFSHG RRSNSSSGFQTGLGRINSMQETSSARANTMTGGRPLHSRGGKASKNSSINSFDAGGTA HVLGNHRIPHGIGRRSSSFDYPPSNSGNTAVDGNGTWNIDFSNSLFNPDDEGAPTPTV PGGPRRPTAALLPPAPPTLPKKPEQDERKRSLRSRGSTTTGRAASKHRQHEMPSQPVV HDLDSAPAPNVGYEKSQLRETSSTAQTPPTQPKERPGFFRKIFGGSSKNTQQSSQDPP DPVPVSTPSAETIERPTSKTPQHIASQITPSSAPPSRDSHQPQPPHVIQKKSSFFRRR KKSIAEPPPVPLPPPNLASIPNKARKVLDPMDLDDDPIMPLDRVEHSPASSLRQVMIP YLKSSNPATPSGLLPPRIEREIVSPEYDVEDSEYERRRKTRGFSPDYDPTPNATIRPV KSQSALNTRNRESLNVDVPRLPTKANGAARELPEIPGARPKLGRDTTFFEDNSDTEVD RSHIPAPEHRRPSLLSPAESQSLPGGFPTPPSTTPTKELPQPPLTPEHKTMNDRFSLK EAASPTLVLQQNVSNEEGRARPDSLGLPIQTQITNSPGKLNVSGRNTPLRTGSTSSLP SVHIESPESIVKPAGSPLDEPEITVGEPTEDDRQKAQRIFDGSEDFIQKERAAAWMGE EGPVRQRTLLAYMELYDFTEKSVLTGLREICDRLILRAETQQIDRILVAFSERWCACN PNHGFKAMDVIHTMCYSIMLLNTDLHVADIEHKMTRSQFIKNTMTTVKAALADSIPDA FDRPSILPGRSSMLSPNDNESPVATEQEKKNWRASFIPPRSDSRIGDYDQEPDSCGPL VKAPFNGTMRQWEAQMEIVLKDIYNSIRDDRLPLFGGAPEPPPQQQPGGLFAMNVLRR TPSVISKSPSESASTTRGRLEGTGGPNGRWSSKSRSRPRLGTAGFSSSRTSFDDGNSI WSPAGSSATWSRYSLGRTQTSPSMDSLGSHYLHSDYHQSMGFASALSQAIIREDHQLE LTRSVMSEEVNGAALLDDESLELTGPPWMKEGIVIHKHHLDGIDKKAKDRNWSEVFAV IQKGQLSLFSFSSKSTRQKTRLGRKHGAGAVVGGGNWQENATNLGTFSLRQTLASALP PPGYSRTRPNVWALSLPTGAVHLFQVGTPEISKEFVLTVNYWSARLSTHPLVGGISNI EYGWSDACINNALVAAMSNDSHSTTRPGSAAAGRTSMHSRNGSRQSSLRSSFEVGGSG VRAKLPGDRIHISEWAPPAQSMRPSTFSESEQLETLEAYVKSIEDELQKHNQLRSPML LAFTPRGNNASKAMANWEKKSAYLLREIVKFRTYVDSLQTANTRRKEIYDEREIARRA ARGDHDEEEAMEDEDDDTKFEV PFICI_12539 MSCERIAQLSGHLSSAEKKPMPPYEILEQPLGNTRHIRIVNIGA GMSGINMIRTLRLHLTDFEHVVYEKNPSVGGTWFENRYPGCKCDVPSHNYQFSWRPNP EWKGFFSTSTEIEAYLCQICEEEQMHDSIRTQHQVERAVWDDVNGLWRLRVHDLRNDT EFDDYCHFLLDSSGILNHWKWPNIPGLHDFQGRLIHSADWPSQFDYTDLTVALIGNGS SGVQILPEIQRDVKHPIHFVREPTWIVPSRLQILAQGAGDGILGEVAMDENSNFTEAQ IERFKSDPAYYHRFVKAVEEVVNGNFPLTLQDTELANRLHSQATAYMSQALGGDDRLC KALIPDFPLGCRRLTPGVDYLESLTKPNVRVVTDTISKVVPEGLLLMTGEIIQVGAIV CATGFNVSFCPRFPIIGRRGNLQDVWTRDVPKAYMSLAVPNFPNYFTFLGPNAPIGHG SVFTITEHIAKYVVRIIKKCQIEGVKSLSPHQSAVDEYFEHIQSFMPRTAWSSNCVSW FKNGTQDGPVIALHPGSRIHFFHMLDAFRGEDWEFDYYNKRNRFQYLGNGFSTREMGG GNSTWYLDQPDVL PFICI_12540 MGTDTPIEFISTGRVKIRESMSGQPASRLTLNRQLRSLMGPWTS WLPIGVFLIKHKDGPILVDTGASPDCLKDGYFSAFSFVVHIVNQIQISLEDGIVCQLG KLGIDPKDLQAIVLTHLHHDHAGGLEELSQLAPEVPVYVSAEHWQAFGNNSRYAAMQG CAPDHWPKDFKPELLTFDGASIGSWTRSNPITRDGTVVAVPTPGHVPGHISVIFTTTD ARDRDGTATTYLITGDATYGLEFLEANEPDGVNNDPAKALESLQLIKEFARQREVVAL PSHDVNTPRILEGKVLYRPKD PFICI_12541 MDAGPPKDRARIVRRTGVRKKRSRPPRQTSGIDGTFTTASSPLA RSNFTSFNEATDFVAESQHENLDIMQHGSPIASGRPGGFISSNSVLSSAPTATSGDEA ASPGTPIPFGSTNILDQTGSDILPRGPIMHALTDAFFEHVFPFYPVVDPSDMGVHKQQ RHANHDRPGLLRRIFWHLVNVDKIMVACWGRPSAILLEHCDVAMPSLQDFPQQGNVRS DIAMRIFQLGTITGTVVDMTSRRKTPSKEDMSNVIASLRSWHDTLPWHLRLFDSNGQR LAYDRIVSEMFIFYLVTIILSQMLMCKETSAFQPSVVSIVSSHCIAALYDEIHCRRDA QHLMQTHGFLCMAAAVPLLFSVRASQEEESYNQESLDILCAVLGELRQKFGGSDLVLK KINRLQLERANTRTNTSSRAQDEEQTDAWHKDILEQASGLLLPFPAEFCKAIKFLHTR NDDSRQHDAYESMPGGSGLAEYIDPACTIMDILGLESTTDNFWLG PFICI_12542 MYGTMSKLLTVVACLGLLQPGLAYRQGVTHDGSWEPEYVLIATS QNITVNCHSRKSVVFNGTSPGPAIHMVEGKTTWVRVYNQIQNDNLTVHWHGLSQRTAP FSDGTPLVSQWPIAPNEYFDYEIHPEIGDAGTYFYHSHVGLQALTAHGLLIVDGANDT EPYEYDDEIPLVLGDFFPTEDEALIAGLVANPFKWSGETQALILNDRSGNASFSDATD PSCTPYVMTVEPGKTYRLRFVGATALSFIMLGIEGHANLTIIEADGQYTKPVATDHIQ IGSGQRFSALLKTKTQEELDADGKSSYWIRYENRDRPANVSGYALLQYTRGGYPQLPP AILPSESPVQLTRNLSEYTKWLEYSLEALNPLETFPTLDEVTRTVYIQLNQHVVDGFY NGSVNGKLQWDSNNLTWTEESLEVDHFTPYLVSAYTQGTVPDYDAAIANGGWDPATRA FPARVGETLDIVWLSNSGPTGGFDSHPMHAHGAHFFDLGSGNGTYNATENEKQFSGYT PARRDTTQLYRYATSGQANHTMGWRAWRLRVTEDNVGAWMMHCHILPHMVMGMQTVWV FGNATEIMSEIPQPYINGYLEYGGSAYGNDSSDPLVVPYYDD PFICI_12543 MVRHHQSSSDDSNSTHVCDTPAFEITTTTPIVGNFQFHELNMII SGACAAFSVIVLLLLMARHTLNFSKPQEQANILRICLFIPIYAIGSFIEICAPAAYIY LRPWLNTAQAVALANFFLLVCRFLSSETDDRREVFLAPMQAMRAKSTKGAVKAANGYQ KTWLLIFQYPVVSVLVAIFTAITEGANVYCFGSRHAYWASLWLEIVDKASTIFAIIAV LKTYSQLKEELKPHRALGKLFAFKTLIGLQFLQQIIYMILTRINPSPLEPTDTLSYTD MEVGIPLLLVSLELVIFSVFFHFAYSVTPYRLTSYQPKPLSVEEGSEFIAKPDGGSNH GGPLGIRAWASMLDPRELIAAILFTFKMQSEARRSALRWDSSSPAAPLGSYGASAPLS SPPLSHEYAGSGRVTPTGQQPHDSAYDQYRQNVSYGTHN PFICI_12544 MQFKAFIITTLASVAAAESLSDAIADFPSCSLKCFGDEVSDQGC SLTDFNCICDHQVQLAVSLGTCAGNDCKGVGDSFDVGQALGDLCVRWHEDPPTSEVSA ATSTLGAAVASATVVNSTAVDARPAVGIMGLAAAAAALVF PFICI_12545 MAIGKEGNVKWVDGLRGLASSLVVSTHIARAFDGDLFSPASAQD VAPRLLQLPFLRILVQGRIGVSIFAFVTGYVCALKPIKLSRQGNQENAFTSISKSALR RVPRLVIPTALATCIIWVMAQLGLFLVAKRSDCWWCGATAPDQVPHLGDALYSLLYNI ITTWTHGRNSYDGNQWTLLPLLKGSFWVYVFIIGTAYMQPRYRMMASLAMYAYFWVAS DSAFGMQFFWGVFLCDLQNLPSANDFIANRPRISKMLAIFFLFIGCFIASYPEGHPEW QPWSAWLFSILKNILPKDPDFPRFGSGIGLEFITLGIHFSPFLKDILSSKYLLWLGKQ SFAVYLLHGPLLRWLLCWMVYGARLPADVVNDAGETVPGQLKFPGAWKLMLWLPIWLP LNYAVANLWTTYVDPWCAQLTEQVVTHVKENYDEKEGGVLLPQ PFICI_12546 MIHGFLLRPSIWQPLLPEPKVGNALRAFAALDGHFMHAINSGCS YAIVDSEYEFRKAESAVTGGCLYWDQLDPEDPDFETKGTMLMLEGMDFPVVVRLALDV LDKKPDTATQDLYDFIPLVRELGTWLSVRGGSKSSSPGDAPSSSRHDQPASWGEALIR SGCVTKEGYEGRGLMTALNRFMMLEARARGYRTISVGVADWSVYRCWMSPPPGCRSRI TAEFRVWDIELEDEDGRLVRPYVNSGINEQGWLIRCDLSQLD PFICI_12547 MADQQRDQRASSQAVVHETRDTARSPVDEARQSVDEEDLPAHQR KSVTSIPVTPGIHLSTYHALSNNHSRAQSESPNYFSRNPEQADRRPRKIPEATDSEIR ASSPTEASKGTMSGQEVLRRMSLSSRGRRESIGDIKAAVPDLALTGNIISATFTLPYS LKYRTGGDWELGSRRGQSALFDSFAHLSSDEAPWNHTVVAWTGEVAAPSDPMSPPTTP PDTSNIYGANSLSAPVPLDGHAPPTPPDGLFIPHQHQLELEQKLSQHKMIKTVPIWLS DDEEQNEEGIRLRDQGRWRRYADENLYTLFHYKQHEPTDGRAERIQWADYYRMNLKFA NKIIEICKPGDIVVIHDYQLMLLPSMLRQRAPKMYISFFLHCPFPSSEFLRCLPRRKE VLEGALGANLIGFQSYSYSRHFSSCCTRILDFPSDSLGVNAYGSRVEVGVFPIGIDAA KCQQLAWSGPVNEKFAALKKLYAGKKLIVGRDRLDSVRGVAQKLMAFDRFLELYPEWN EKVVLIQVTSPTSIGDLAESENKIASRVNELVMKINGNYGSLGFSPVQHFPQYLSPDE YYALLRAADIGLITSVRDGMNTTSLEYVVCQRDTHGPLILSEFSGTAGSLRDAIHINP WDLSDVAKQINDALTMSEEKRMAMQSHLYHHVTTHNVQAWSTKFLRKLVGTLASTQTA IATPLLDRTVMLQQYRNAKQRLFMFDYDGTLTPIVREPSAAIPGERVIQTLKALASDH RNAVWIISGRDQEFLQQHLGHITELGFSAEHGSFIRHPGETNWENLAETFDMSWQEEV IDIFQKYTDRVSGSFIERKRCALTWHYRQADPEQGAHAAQEAQRELESTVAKKWEVDV MPGKANIEVRPTFINKGEIVKRLVASYNSDASHLAGKDQPAREGGVEFALCMGDDFTD EDMFRALNGVSGVALDEEQIFTVTVGASTKVTLAKYHVLEPSDVIEGMALLANVGNSG EIGDTVLSQNNLAAMASVDGHVPEV PFICI_12548 MDMTSDSDPIAWETGVTESDTKEWKPSTHELLIMITLAIVTFMI SLDACVVATSLHAIVTALDGSTTEGFWVGTSYFLATAVVMPTIAAVSDVFGRPICLTA SLVAFTIGSLICCLSQTMAMLLAGRTVQGVGCGGITVLTSIIFTDLVPLRQRPKCESR QASWTIGSSAGPLIGGAISEKTTWRWMFYIMFPFCGYGLICVPWLLTLKPREMITTRE KLRRIDWIGSLAFMVGTTLFLMAISWGGTQFAWNSAGELLPLCLGLVGIAATLVWGRY FTGRPLLNTALFSSASATFCYICGGIQGLVLFGELYYIPFYFIAVKGFSPIYSGVALL PVMLMAAPSAIVTGAIVTRTNRYQEIVWSGWILTTLASGLMIRWDADTTTPVWVVNIV LLGLGQGAIATAQNFATQALCRPGLEADAASVYIFARHFGVAVGLGVSGTTFQNIMAM KLEWEGLPRYIASQAEAFVDYFHSLPTDAPPREAAIKSYVFGLQGVYAFYTGISGLAL LLSLFIRRSTMDKTLSRDHELRAVGGRRQS PFICI_12549 MAPSVSMLIQAPDGTETFGKRSPIAICGMALRLPGGIATPAQFW EFIMQKKDAVGPIPESRFNAESYVSNSGKPGYIRSAKGYFLDGDIGALDTTFFSMAKA EVEKADPQQRILLELARECLDSAGEVNYRGKDIGTYVGSFGEDWPDHFARDSQSVGLY QVTGKGDFVLSNRISYEYDLRGPSMTIRTACSSSLLALHEACLSLWTGGCSSALVGGC NIMMSPDMALQMTEQGVLSPDASCKTFDEKANGYARAEAVNLIYIKPLQDALRDGNPV RAVIKGAWTNADGKTTGLSVPSISSHETMIRRAYASAGISDLSQTAFVECHGTGTAIG DPIETKAIQSVFTSGVFIGSVKPNVGHSEGASGISSIIKCVLALENQTIPPNIKFSQP STRIDWKNLYVPTEPMLWPVDCQERVSVNSFGIGGANAHFILESCRAVGAADIEGIRD LPSPTNSLILLSANTSDSAKRQVSNHEEYLQKHPSRLDDAAYTLGVRRQHMPYRSFGV AGDYTNNNNQRMALDTSVPTKIPTGHQKIAMVFTGQGAQWARMGVELLHANSTFAASI QFMDQVLSELPDGPSWSIQDELEKTAAESSLHMAVLSQPLCTAIQVALVDALADLSLR PEAVVGHSSGEIAAAYASGRITAREAIIVAYYRGMASTSVSRKGAMGAIGMSRKEIEP LLRPGVVVACENSPMNVTISGDKEKVEEVLQDVRSAKGEMVPIKLLKVDKAYHSHHMK AVGDTYTSMISKYLSDQKRRGQTSAVMYSSVTGAPLDVSRHLDAQYWQDNLESPVLFR SAVESLLKEQEKPGNTLIFVEVGPHSALSGPLRQILAQESSKSSYTSCLIRSQDAHAT FLRAVGCIWQQGISFDYDRLLNPHGYAKVVHDLPSYPWHHEHSYIFGSRVSNHTRVHR YRRHELLGTRSAESSDDEPQFRNMLVPDRVAWLKDHCIDGDVVFPCAAYLAMVGEAMR QLHNGEYSGYSVKKVAIGTAMVISNGQKPVEIITSLRKVRLTDTLDGSWWDFTISTNS GSSWMRHCAGQVRADNQDMSHSEISKSHEFTRVVNTKNWYSAYRSVGADYGPTFQVLR DIAASTTTQVCKGTVLDVVKENEEDYAIHPTTIDGFLQLFGIAAHKGGAHKLSRMNVP TFIESMTVAACKSGVTTVVEASISPRGTLNGRGQGFDDNDSLVFNAKGVRLSPLSTGA ASSTDVDLHAAARTVWNSHVEFLDLSSLANKTENHARQIDICRDLCLQCIQESLLCLQ EAGISHGVPSHFDRFLSWMRKQPAPSSGQKAQILREKLINTPYDAFGEGSLKVLNNIV PLFKGEVQLLELLLRDGTLTDIYNGSVFANTEPLFRALGHTCPNMRILEIGAGTGGTT SRLLNCLVSDNENARLYLDYTYTDVSPGFFAAAKERFQEYPNLHFKALDISKDPLEQG FALESFDLVLASNVIHATNSLHQSLSNIRKLLRPTGRLYLEEMCSDFKGINYIMGLFQ GWWLGDEDGRSEEPYVSTDRWDKELRRAGFGGLVSATLDAPRPYQMCALMLAKPDNSQ TMQKTVAILHDSYSRGLALSLQERFSAEQNVVLHDIEKPALPEVANVISVIDLERPFL HDITEQRFLVFQGLMEELAKTQKGCLWLTRSSQLGCTDPRWSQVIGAARTIRRDLGLD LATCEIDHLDSQTWQAAMDVFHTFQAEKASSSQRIEYEYAIVNGVVQISRIFPFALKD ELSAADVPNTNNDCFLDIGEYGRLQSLRWTSVPQVEALRHDEIVIDVKCVGLNFKDLL VAMGVIEFSASAHGAPSKLGIEGVGVVRSVGPGVRGVKVGQRVFTMMSHGCFATRVTV SEKYCAPIPDSLSDEGAATMPCVFATAVYGLVDVGQLRAHQTVLIHSACGGVGLAAIQ VAQMIGAEIYCTVSTEEKIHFLETNFGIRRDHIFNSRDDSFLAGVRAATNGRGVDLVL NSLSGELLHASWRCVAEFGKLIEIGKRDLVGNGSLDMRPFLENRSYHGVDLNQFFDAK PEECHRLLEEILRLYAEGYIRPLHPVKAFTEQDVEACFRYMQKGQHIGKIVMTVAPSQ LKGIRPVTRQMVMDCESSFVLIGGLGGLGRVVASYMVERGARHLIFLSRTAGSSKHDQ AFAEELSSQGCSITFVQGDVTVLSDVQHAVAKAPTTIKGVINMSMVLRDSRFESMTYE EWTAATGPKVQGTWNLHHACLERELELDFFILFSSISGIIGQPGQANYASGNAFLDAF VQYRQSKGLKASVIDVGVMLDHGYLAENEAMQQQMVSHGHFGIRTPQLLDALTVAMLS QATPARQQPDGWSNTSQLVIGMASSKTLDDPTNWVVWKNDCRLAVFANRVSSEGAAQG SDEDALSKFIGSVASDPSILARPDTAVFVAKQIALQLRALLLKPVDNEDDIDIQTPLQ NVGLDSLVAIELRTWWRGAFGSDITVLEMLSAESLSDLGFRAVAGLREKYAEQSLDRA EGSSDTLVVDHMDILPTKMP PFICI_12550 MPGMAKSLDVCQSTCSRSCKKHRPKTSSTEQGLLHRTRLELLNN PNSSSAATERLWSTTQYTTGYHENRMQNTIDGFDQNFASRGS PFICI_12551 MQFSMFKTLAAALTLGSTAFATITGPFYITIVPEDGSASYPSTI ATVSRGAQILSSALEYEQQFTFNETSGRLYKADEPTNGSGALNVGAPFLFSQTSGAAL TQVTVDGETYLVQAGTSDIYQWWSTPTTTGLAVQVNFEGVGSDDANAALRVDVEST PFICI_12552 MHSNSLLWSAAFGAASAYTVTTSDRFMLKNIDPIVYPGQYDKSH MHSFFGSDAVTINTTTSAEVQAGCSSTANPNDYSVYWVPTLVYTSPSDGVQYPVPVNR FSAYYVSINAAEVAIPQNYRGVAGNASATSQDEVNSLAGISWFCEGDSDQPEKDDAAF PLKTCSTHLQTLLLFHDCVNEDTLESAYSGTQNWNSTFRPTNRCPEGMKRMPQLRFSI RYDLRTALPDGWEGQPPLELACGPGYCSHGDFINGWLPEAAENMLLANSKTEFAGVDG PDGAYNAGSVCGAENATDADPDNGTSDYAESVALMSKRAVSSRRRAATA PFICI_12553 MAGTLATGVATLIFSLTGVNFENSIVRPNGNLLLTTINNGSLYE IDPSSASPAAHLTATFGGANVLGISSIGSDKYAIAGGFSSGGGGGGGPGGYSNGTIFT IDLSTNSTLPLLGSPAATIPDSQLLDGLTALPAQPHVLLVTDALQGLVYRVDTATGAA EVAAQDDAFAGSPGVNGIKILDGHAYFTNTATKTYGRFAISDDGLQAGAVEIIAEDAS SDDFALGPGGMAYLGRQQSPAAVVRILPDGTKEDIATSAAMGRPCSLDLALDGKTGYV TTASGQVFEFDVPEI PFICI_12554 MKSASVTRMLGAGTRSSPFPTGATKIPCQAPTQRKRSSGPGICR QATYSISNLLQSEAAINQNIELLCGWLDKAADEQKEVDLAQLFTFATNDNVGEFVFSR SFGFLEKGVDIGNTIENSLAHNAYVAIIGFYGWVHTMLIGNPLVTWLGILPYGHIFDS AMRYAKEREANPDARFDLINHWLKALRENPDRMSIFDVHSAAMNNIAAGSDTVATGLQ SFVYHMIRHRDAWQRCREELQAASLATNDPIISFNDAQKLSYLQACITEALRIFSPTP MGLPRVVGKGGLTIGDQTFPKGTILSVNNHVIHASKEIWGQTAREFRPERWLSAEGAK MQKYWIPFGAGYASCPGQNIARIQISKISATLVRDYDIRQKDPSQDWTYKAYFTVAPR GWPVIIQKSSENDKVLKSP PFICI_12555 MSFLTETVTRRLALSSRVAFVQTPRQFSISTRYQKSATETVKDA AKTVDRKVSDKLVDGINAASNVASKVTDSDVAGKVKGTAEEIRGEAKGKAAEAEGKAK GAANQAAGKAKGAADKL PFICI_12556 MATQEAREAAHRQDAQWLSYKFDRYREDGTAPPRAPTVGEHGNW ELPVAKEFRHGLRPAQKPIEWKPIEAPARVPAELDHRDDTKGLHKHERAVWRRIMAVH DMFPPDVDGDITYQRLLGYGEHNVAMLYTFDDGTAKAREFVLKIGLYKPLNDPRIRRE RRMLQKVRRSQHIIQMYDPEELGLTVSPGPRLEFDEVDDSEPPLSDSGDDSPSDDEPE PKRRRRDYTQTQLKKKRKQMENAYWARTDPLSIAGRTPKHDPTVEEIGRRDYILLEYM PNGTLESFIARLNEADEKCPNRVLWSFWVCLIRACIAMAYPVHRHNRKRNDAAFAGAL SEMIPAQAVRREKIRPFVHFAIEPRNVLIGRIPSDVTYDEHSDEVTPILKLAGFGQSE VIKEQRRNWYYERRRTCGRHGYYAPEQFTELWDTFAPNMDGDEICEQHLAGNYGPAMN VWGIALTLLCVITRSEPTLPPRAATAKILARRGDKKSGWWNHYTYGAMLVKEPKNERW SYVDEELREVLAKCLARDPAHRPDLQTLLDTAIKKCEDDGPYVYADGETAATNDFVFK WMRNLLEHTTLGVATAPWGPI PFICI_12557 MPEPKRILFLTNSEYGQANVVLAVAHALLHAAADVELHIASFKI LGDAVRLLSDNALKTAPGPSKGIVFHPIHGQPYARTPLTRETFDLVPSLYNTVRVILA FPEVMSPWEPAEFVGLCEEVERIIDEVRPDLTVVEPMFNPGLTICHYRRMKWMVLAPN TIKDFAVPVQPALAALWKYPIVCSALPFPLPWHLIPYNIALNLVAGYMILTDKSIAAV VARLRSRYGPDIQLMTPNELGVLKAPPEGLRLLAANSPELDYPFAYLPKHIIPVGPIV RASRALADVDPGLHAWLQRGPAVYVNLGTYLTATADEAAELAGALHDLLVEADKSGYG AETTPLRIVWKLGRKDSATGSDRPAQLSGEQDWSGPWAKVANLLKSEMDSDRIRITGW FTAEPKSIIESKNIICSVHHGGASSFNEALCAGVPQVLLAPWADCYDFANRAELLGIG VWGNKQARPRWKRGELASALVNIILGPDAEKTRDRAAELARKYPENAGRDKAAEEILN SL PFICI_12558 MKYTAVLSLLFAGVALADLPYQVPEMKAKRQDGIQPPPIWTGQH PTGTGGLHPPPPHGTGGFHHPPHGTGTYSLPDQPTTTTIPGNLGGQVRDHHHHQPTGT GGWVPPTGTGFYPPPGGAGPTGGFHGPPTTLRTEPVARTVAA PFICI_12559 MPVRLSFRLSSRATAKCARAYSSDAGPLINVINVPAPNSGHIRV LELNRPSARNAISRALLSSLRAEIDDVHSQYTKTGEEIPMEAGGAGPTRALVLASAVD ASFCAGADLKERRGFTQEETAVFLSNLRGTFTKLSELPVPTISAISSLALGGGLELAL STHFRVLASTATVGLPETRLGIIPGAGGTYRLPALIGLARARDLILTGRRVSAPEAYF LGIADRLVEVLPEDERDAVAEGSQKSDEGIATRARRSALSEAVRMAMEICEGGPVAVR SGLQAVSWAREEMENAMYERVVKTEDRNEALDAFKEKRKPVFKGR PFICI_12560 MASQNGNGPTTSLPPEAIAFAGRMFDAARSGNKEIFEQALPAGL PANLTNDKGDTLLMLAAYHGHADLVRLLVQHGADPNRINDRGQSPLAGAVFKKEPDVI DALLEGGADPYHGSPTAMECIAMFKQEDEYREKFENAPGKGRASAAT PFICI_12561 MEPPAGSSGRRRRTEYGKRSKQGCRTCISKKVKCDECHPRCGRC IRLDLCCEWAGIESPLAVRRRGHGPIKDRDNWQPAIISPKPQTSVDQQQHSQDGRAMS VNLPDSHNTLVPVSGRTETPSSESLMPCELPNLLAAQGAGSEQTVRSSTYANRDDDLI SGNSFVVERRSALSLRQNSLPQLPSSTCLHPSLQPPMFTFSRVPAMIESSDVQAVAFH RGVFAPLKSTRASAESAHSIFLDHAIQNDMALHFLLAVSHSELAIYRGECVRAPPESW LHFRHGSQLLSNHRNELARPNHVATLLSFLYMYIFWMRRGPFDKSMLRELSRSVLLHV KTYNLDNLCAASTRIGSDATSMPDNVVISRILTYLYDRDGFCYFFGCGGAFASYVSDN HEKRSRIWRLSQMGYPWSTDPGPAASSTTHGACFEDGRITEVYFQLIAIHHDINRYSQ AREASGLEIKIRIHLEHLEKEQRSLFKMVDECERRASTPCLMALVTVSFYHALVIYLH RGRESPFSGRPVPNGIQLALGKLVSTAYYTVASGPVQLLERFQWALLIAGIETNDPVH RDWVRGNIADPSMKSTLETILDAQQTRPVSMREIRQLVSGVNVST PFICI_12562 MEKDNTQTNIPKTGDDLVKTRTTDCGTMEVLGPKEQKRLVRKID MHLMPVLIVSYGLQYLDKTSLSYSAILGIQEDLGLVGQQYSWTSSIFYIGYLVASYPI SLGFVRFPLGKYLSILIFLWGIVLTLHAVATDYASLMVLRTLLGVFESGISPGFSLIT GMWYTPKEHVSRHSFWFAGNALASMIGSMIAYGILHYKGSFPQWKILFLLFGLITVVW SAVVWFFLPDSPMTARFLTPSERETAALRPMKFQKTTQTKKWDREQFIEAITDVKSWW FFLFSFVTCIPNGGTTSFSTLVIKSFGYDSFKTILMGLPASAFQLTTVILAAVFTTIF RKSRLIALVLIYLMAIAGILMIKLLPTSEKLTRLAGFWLITAVAPAFPLMLSLSSSNI AGFTKKSTVMALIFLGYCAGNLSGPQFFMSSEAPNYHTAYTAILACFAITIALVVVMR FYLARENSVRDNEQGVHRDAEETREIDLATDENLLDVDETDKQNRDFRYIM PFICI_12563 MPYKMVFRVDGGCRGNGRPGAIGAAAAVLDTSSGRGYRYMTKEL PDYPTPTNQRAELTAIIMALEWALDRYQELNTSPHCFIDIYSDSRYAVDCMNIWTCTW YKNGWVNSRGFEVANRDLLEEAVDLELRVRDELGDLEFHWVPREDNALADKHCNEALD EKARYDSSSSDSDW PFICI_12564 MPYRMVFNVDGGCRWNGQPNAIGAAAACLYYGRNDDYWSRSEIL PDSPTPTSSRAELMAINLALEWALERCEELHSDPYCIIEIYSDSQFAVDCMTYWRDIW PDNGWLNGRGCEVAKKDLLEKAFAKHHQILDEFGEVNYYWIPREENDDADWECNEALD EKEDEMFGVSETPGETGGYYEISSDSEYYSSDDDYYYQSSSDEAW PFICI_12565 MSVRVVARIRPLLSQELDKDIIVTAASTEDGKSNTLVRIPSPKN EAEEFTFAFNSVYDQPTTQEELFTAEVAPHLKALFQGLDVTIFAYGVTGTGKTHTMRG GLKLADRGVIPRLLSGVYRRAKKIMKDSNDETVVTVALSYYEIYNDKVYDLFEPPEKR TPTGLPLREAHGRTHVVGLTERPCEDLKDFEKLYIEANNNRITAATKLNAHSSRSHAI LRVKLVQTTGDMVRESTASAIDLAGSEDNRRTENNKERLIESAAINKSLFVLSQCIEA ISRGDRRIPFRESKMTRILSLGQNNGITIMILNLAPLRSYHLDTLSSLNVSSRAKRIE VREIENEIVYKQVPRTNSGSSNGSIARQPLRPLTNAHNVASGQIAAKEKENEKAAAAD KPVKSFSVYIDRAKPSALAPRPVNTALKRTSSTLQKRPSDQDSGIARPSKISRPGSSL LAPQPTSRPQPVSQPAQQTVSAEAIEAMVEKKVAEILASRQQAAPAQPEISDAVQKRL EALERRIEDGRHDDSKSEGLRFLLMARQHKERGEDASALTMYELALPYFPGQAKLLSK IEKLKAKIAAKRQGQDTVEDAAALEVLSPIVHKKKVTRYAVDEDYEADAADDDGSFVL EQQKKPKKAKATKTAAILDDTDPMERLLNIINSRDAKTIQKLKGFGPRKAQDMVEYLV EEGRPVENIRELYAVPGLGRLLEKAFDGLVAGSA PFICI_12566 MYELLRDTVLSHALRLITGGRVFKYQEEVDPSIWKKFVDGEKSA NVARYGETTPPDQHSPEDNRSGGNHDGSSDSRTSSATAVDHDTVVHGNKNRHVDPEKG KDYYIVDWYGPDDPENPLNWSRGKKFFVTFEICFLTFSVYIGSAIYSAGILDVMQHFG VSQVAATLGLTLFVAGYGIGPMIWSPLSEIPQTGRNPIYILTLALFVVLQVPTALATN FGMLLAFRFLTGFIGSPSLATGGASISDMYSPAKRTYGLAVWGIGAVSGPTLGPLIGG FAAEAKGWRWPIWELMWLSGGSLAFLIFFLPETSPANILYRRARRLRKLTGNAKLVCE AELAGQDMTARDVVQMTLVRPFVLLFAEPIVLALDLYIALVYGLLYIWFESFPLVFEG VYGFSLGLEGLAFLGILVGVFVVLPPFVWYQHKYIEPKFNDNGELKPEWRLPPAFVGA FAIPICLFWFGWTSRPDIHWIVPIIGTAWFSIGAFLLFNCVMNYLSDAYPTQVASVLA GNDLLRSMVGAGFPLFATAMYKNLGIDWASSTLAFISIAFIPIPFVLFKYGEWLRHKS KNARHDL PFICI_12567 MASPVSAAAPATNGAQVNGGARGSQEPDAVGNYEQSEDLNEITR RQLEEDIKAYRYDLEFSTELLKDGNLNLTPQEARTIQFRILDLGHQLRHCQHRIEQID AQIPQPGSTSNGHRTFYYDAAPKRRANGAPSNSTPGPASKRARLSADADETMDLEAAD SNAVQRLGYWMCHLCTANKYLSAGQNRVPSAPCKWPLRDVSKMLTHFLDMHTEHDPEE RCIELGNALAANRGPFEYWLTRTKHQKVRDSNIMDECIDSLQAGTVPESLKKINRAAG AFPNAMSMKDKGEDGSMVA PFICI_12568 MESWMWPQDFSLFQYLRFKAWFGFFRLLTVILDPFKMQTEARLI PHEVRREQVQIPSRDPGRSILGHMYYPPSYSTSSPAPVVINWHGSAFTIPAHGHDALF CARLARDAGVVVLDADYRKAPDHPFPAAPHDAEDALRWVAAQGGLDSQRIIVAGFSAG GNLALSMASAVRKKLSPLVHIPLVLSMYPMTDISFPSTDRTVPKPIKPLLPFFLDIIC NCYCPNDSDKRNPLASPGLADAADFPALTAIMTAEGDTLRPEAELLLRKLEKNGRPVF SYMMKDAAHGFDKSAKKGTVQWAQREEMIELSVKLVKEAI PFICI_12569 MISGTSANASGSSSDTPTGASQIDTIQPPLFEPSKCLFCNQGNT DFESNLSHMQSAHGLFIPHRRHLIVETQVLIEYIHLVIHGYHECICCGTQRSSPLATQ QHMLGKSHCRFDMEREDSEFADFWDFSDGDSSDGDSDDQTDDNNGPDHGRRRTIKGPA GIVQLDEDSLRLPSGKLISKNSSARHAPQRAETRKQQAAIEGTTHDAARRANPTPSTA LVPSHGDALKSLNRSERRAEAFSGQLSRLSESDRRSLVHLPASQQRSILTTQQRQAEK AQRAERRYQSRVEGLGNKTLQAHFRPDGPARQNG PFICI_12570 MLSNPPQSGLPPRGRHHKRQYSTPSAFETMKIAPSNLPNQMHPQ QQQMHHLQQQPQQPGSTPEGSRPRQRILHRRGLSMDIRQQQRQLQSPAIRQEFHNTHV LREAQQQRLARPGSQQAFTNLATDENYLISPHTTPHLNGFEGQCFDGLQAPQNMNMFS TNIFTDSMGSMMKKNQETYSDNMTASQDFDLYPTSTMSTPTFITSFSDSPGGPDPWTS EGESPHARRSSRRVSNGIMDRVVKFETLHVEDPQRPITPPHQNANDYFPISPRGTSHG GTIKHPRQPQRFMDDYDESMEETLKPNRHSGNRPMTTFEAMRQAAEGPASMPESRRSS IAQMSTGAYEPPVPNPFMQVPTGMTISTQLDGLPVPPHPMSASSNFSQHASPMTPSMA SFAGGPFDLKPELRPHMLTQDALSVNESQSTEKASRRNSPHRRTDSIASIASAASIAS LDIEQTRTTTGITIEDIHQYIEGPEPSDNKWVCTYDGCNKRFGRKENIKSHVQTHLND RQYQCPHCQKCFVRQHDLKRHAKIHTGVKPYPCECGNSFARHDALTRHRQRGMCVGAF DGVVRKTVKRGRPRKQRPDVDERQEKSERTRRRNRSTAGSISSQSGYSDSSAANSPEP LDPDFSDALDDIMDISMGGTTIRPSSLQAMSSSAPMPSLSATVATSSAHSPSATSIHS YVSQLSHMSLHADPLSETMPTRPGSPAKSVASHYNEVPELSQSSSPPVRYFDVDPSNS QTEPLITTASENVNISALSGIDDADDDLLLQFTTGDLSMMNMKQFEDGFSSADMYPDG SNPDVFFGAAL PFICI_12571 MFAPFLLMASCLVLGGFSKPVGVDVVASRFEHTAIDLPVASRGL QKRDADVAFDLGFRVTDKTLFSGTFNTPNGESATLSLNCVDCHTWGTITASALFPDSF GDLLDDFGDLNPLNDVSLALGFSGVGARVQVDMSAAVDGTVKIPLFVSETPLGIAIPG TQIGIVFSVDLVLGLSGEVATNAGFEVVLPDSSSFALSLDPSKDVVADFNGIATSLLP ITTEIPANLTMALQLSVEAGVELSAAGLSPALTALAGALINIPEVTIGEGFATNETCL PVFADININAGASVDIGADIAGFELPGINPAVSTTFIDLATSTCFGDQTLSPPTATTS VNASVVCPVALATQTITTTPTQSLIACAVSEVLCPASLTQVILAEATQTITTSSCPLG PWGNSTMYAQTATALGLGTGTLAAKATSAPSLNVKQKMPLPLRPGPGFFPMAPSPLPT QRYPMQPRQPRQ PFICI_12572 MSISAGLDALRDALPEDLPLSIVGIIATVLIGVVYSYISQERPL AGFPLATVEGKGPKKSWLFHGRQLVAEGVKKYSGAFQVMSGTGPKIVLPNRFADELRN NPHLNFNKAFAKDFFTNYPGFEPFAQGLQDDTLIQETVRVKLTQSLGLITDDLVDETT ASLHDIYGESGEWKSLVLKDTVLHLVARLSSRVFLGKDLSRNERWLEIAKNYTVDGFT ASFLLRLVPSILRPFAYWLIPQCRSCRRAVRDAHKIIDPEVERRVKAVDEALARGEKP KKTADAIGWIHEVSRGRRIDYVSAQLSLTLAAIHTTTETTTQAVFDLCEHPEVIEKLR GEIIEVVGEHGWAKTSLYKLRLMDSFLKESQRVRPMAATSMNRFVEQEVTLSDGTVLP RGSRINVMTNFMDPKVYAAPEEFDPARFLRLREQPGSENAWQFVTTNPEHMLFGHGQH ACPGRFFAANEVKIALCHMLLKYDWRLVPGETRPQEMVFESTIGCSTDGKVEFRRRQE EINLDVPL PFICI_12573 MTTTTATSTADSGKINFPTDASLPGEHFEIRQTHPTGDYTPDPS KSLPLSPTRQALVDDIIALYEMKPTVERVKRYTPDCVYNDQFVYANDRYKMAGQWFAL PKLFKGAKSHGYQVVTNDRDLIQFRHEEEWTFKIIPKTAVVNALVSLSLDPATVDSDF IRVKYHKDQANDKDYSNEGLGASFKKWQADNVAKYMDSPEVAAFEADRDAGKEPRRKY GRGDAQGDAPVKNT PFICI_12574 MSLRFFALTGLLALTNVVRATEEVAAEDPVKGTDTVHGCYSYVD NLTFNSTNEFNTQGLCSTACRGMSKAVGASYSSDCYCGDEYPPLNTLLDDSECTEPCP GFDTEACGGINAFTVYNTGEKVSVASADNITESSSSSSASSTTSQAVMTSAGIVITVT PTSDSSSSSDNSSSKTVGIAVGSVVGVLGVAAIAGALIFITRRRRNREIEEEHRRNAA VNAFISGGKPPSSSGGMSISDQRLDPVMAQRRMSSGSIADEADYSRRILRVTNA PFICI_12575 MAASAGPRVRRTAQNTQHTYNLNRRVHAVQTYPVLSPQGATVIL YGHEDGVTILWRGGKRFKESTYSEITHVAHNKQNGNAAAAADAVMILDSDEDDTPSGQ NAFVDKPEFEEAAEDDQGTQSQITQTLDLSLGTSVTCIAALPMTPCSAEDAAWEGAEI LKEKIVFVVSCASREVYYITLPLTPPSPRSKARDDLRENLLASKAGNGKWGETLTLLN GQQKPSDGLAITLIKPKVLSERSKSNERSRSTGRAAPRAVVAAHTAEGSGMLRLWDVP LEKPAKDRPIEPFQTEILPNPLKGIAFNPTHSTQLLCNASPHAVRIYDYAQASMAPDD LSEGPFPSQGSWLISLYPPFARPTASRKAILAASWIAHGRAVLVLLMDGQWGIWDIDG VSPHGPALFGKAGSGIKGAALTSFSASGHIEGTSPLRSFGSQRASAVSSDFVPMTPHS RREAPISVSGPERMSTVQGGILVTPLPARATTTSDESAVLWIGGAEHVVVIPGVLKFW EAQLRKGVGGGVNLFSGAQPTRMIKLTDLVVGLMGERCVGVGAIPKADTGESSNNEGL PIEVIISGESRLVVVRESETAVGTRIGGVKGSFHRKLSQGARSTGAVTAYPQPALPPS KEYNLSVRKRGTLGRPPIQTGQSADTVLPTTETPDFTPSSFSGFDLAKNLNTAADAME VDNQEERDFEQELETDMLGLMDIEQTLDSMEDDRGRGRKKVNFEV PFICI_12576 MALSGEEYTVAGARVEENHPAWLTLDHLAQVIQRNDADGIRAFL ARRPALLSWSPPKWQPECGWSPLADPIDYAAACGSLAVLSELLDHEVRRSGGDREWRE DGTDGEYPRTQPFTKIHRLREACSYGFVDVVQMLLGQTHDQEAEVERCNDLDPPLLLV AAGLGEPLCRPPRHVWSSNRMRREPGKVIRLLLDLYVDPEATHAAREWENVSIAAQED YAERLGLEPPYVQDTVMTLVVPWADAGLIWNLVTYHGVDPYVRTYRDLDEDEGFEAAL DVTPLHLACRYRNVEAVRALVNMADDPDLPATDSEDNLPIHWLLSEAFQKVKVSWFSD EEEHKPDDETLFEQTKACLELLLQDGKLLERKKTTCADMINAPDIRGRTPLHLAVANR KHYGLVPLLLRRGANPTLRDQNGRTALSTTLLNDDLDSSKDAAAAVVKMLLDHGASLG ETVETTSDSLLHLACARWQHLAAVELLVAYGVPVNQPNQHGQLPLHVAASCLQPHELT PRPFTVAREALAAQDDMMACLVAAAVGDPEKVLMRSHKDASGSTPSAVLKRCRSEVHA YLREREETLALKELLAAEKAQHKLGVAMMPHELQKLVAEQALLEGIPRTGAVSIGRGL AYSLARPQDQHWVFRDGLGGRNRSRVLINGPRRRGLSLERGGSVFI PFICI_12577 MSSKAPKGKRAAKGGAEDKREDVLQAVLIADTFQQRFQPFSQEK PRCLLPLANTPIIEYTLEFLAMNGVQEVFIYAGAHQEQIENYIRQSRWTPLSRACPFN LVDFVKVSDARSIGDFLRDLDNRGLIDGDFVLVHGDLVSNISLDSALAAHRARKEANR DSIMTLVLREGGQSEHHTKVNGITPIFAVDPSAKRCLHYEEMDPFQADHYVTLDPDLL KTKELDIRSDLIDAQIDICTPDVLAQWSESFDYELPRAHFLHGVLKDYELNGKMIHTE IVSEGYSARASNLQMFEAVSRDVLGGWAYPFSPDTNLVKGQTYQQWNDNVFRENDVHF GSDCKIRNSMFGRDTDVGSDSHITNSFIGKNCRIGKNVQIKDSFLWDDVTIEDGAVID HSVVAEFTQVGKQVQIGAGSLIASGVHISDNAVLPPATILSLVSAEGVSVAPDLELLG PQGKGARFHDPEFEELDNDDPYRLQMSLIYSLEGLDLDESDVSTLASEDEYDEDDDDQ SAAGGRDESRSRLSSFASDDSSGGGTAFVSEAVHGLLEVLRGESGGDFSSEKLEFMSL RLANNASDVAVRRAIATAFVRRAVELLNSEGGQYNHEKAAKTVLTERDGAKDFILDVG VGGGSVEEQIEFSIAVQKACVSISRIIEIPSAGTLCAAFFRYLYEEEIVEEDGILGWW ADERSTEGEPMSGVRERCQQLVNWLEEAEEEDSDEEDESDDE PFICI_12578 MLEDPSYSEVVRWGDHGDSFVVLENEKFTKTILPKHFKHSNFAS FVRQLNKYDFHKVRQNEETGQSVYGQNAWEFKHPEFNRDAKHNLDNIRRKAPAPRKAQ NNEEQFHHSNTQIVALTENVAAMQQQVQSLQESYFALTQANKVFVDEILHLQRVVKAQ SQVNNELLSHLNKADQSRRHARSASNHASGPAFSDNIMSDGTLEPAAELRRAREIMSS VSGDHIPERDLRQMSVQFQQVGASPESAGSGTMMGPPGTGAMPQDFVHNPMLDPRHMV YPVGQDIGIDPFAAEHLGNIPYNRPLSQNALAAAPETVPPTVPTISPPSGAPGASSPW GAKKPRILLVEDDRICSRIGSKFLANFDCGVEVARDGLEAVHKINANPNWFDLIFMDI VMPQLDGVSATAMIREVNPRIPIIAMTSNINQQDLDMYFHWGMKDVLAKPFTKEGMIG KLRKHLAGFMRNATAEAYIDAYGNGQPPTPGPYSNAGMSLPISAASSSGMAKFETTPI QSPATSASWHSPGQMPHASPNLNHEQGYMAAGNGAQMGMNPGAPSQPRFPNTMLPAMT AGHGRMPEGMPNDGPPEKRQRLHGPTFQGQYPQ PFICI_12579 MRLHVVRFIVGLLLVPTVLALPPVPQRYVSRRAGNWTVGQVVDT TSGPVTGHAASNNTQVSEYLGIPYAKPPVDDLRWQPPVEYTGTAPINGTTFPLYNLSR GGYYVTPDVLLPDTPQSEDCLTLNVWTDPQKGESSKAVLVYIHGGSYTGGSSSEKWFN GQFMAEEQDVVVVTINYRLNIFGFPGNPTTELNLGILDQRMAVEWVRDNIASFGGDPN RIVMFGQSAGAASVDIHSYAYASDPIASGYVMQSGTAWGFGVQTQKAASDLWYLAAHA VGCHQATEARVFACMQSIPASVLIKRLPPIRYGMTPGLPYGPVADGKLVFADYSDRSP AARPVLVGNTDDESGLSKHLTPWWAGLPHWYWPVQNIFVFTCPAGQRAAVSVSKGNPT WRYRWFGAFPNTLLPWIPYNGSWHGSELPSIFNTAPQQYYTNTQREKDVGTYMRGAWA AFAKDPVHGLHKYDGWENYKPNGTTLIRLAHAKQANKNGTWASFSMGPSRAYDDDCVS A PFICI_12580 MFARDTDSPHLTRRRYGGYSSPTSPQNQGHDRAWVVRRCVYVFI ALAGFAALCVVIRFYMYRDRKRRRRGEPVVHGRNGRRWLKWHNQHESNADDGPVLAGK HAGDREAEAGEHGTADVPEKPRPSHAKPTREMHYEKDPNPNSLLNV PFICI_12581 MTEQQVDVLICGGGSAGLCAAVWLSRCGISYKILERRDGPLAMG QADGVQCRTVEVFESFGMSEDLLREAYHVIELAFWAPDLVNGNGHANGGVGDKAVTSS SSSGIRRTHYAADVEPGISHQPHVILNQARMNALLAQEMERASGTSDILYGYEVKGVE VDSATASDLDAYCCTVTATKADQEHKFRAKYVLGCDGAHSTIRKSLGFKMVGDSTNAV WGVMDVYPQTDFPDIRKKTAISSEAGNLMIIPREGDSLVRFYIEIENAHDVSKLTLED LHESARRIFRPYNMDIAETAWWSVYAIGQRRADYFHRDHRVFLTGDACHTHSPKAGQG MNVSLQDGYNIGWKLAAVLRGRATDPFGLLATYVSEREKTATELIDFDRSWTKLFSSS YRREHGITPEDFQQQFVKAGRFTAGMATNYHDSAIMSAVTSKQDLAKGLPVGMRFPSA QVVRFCDARVLQLVRALPADSRWHIVVFAGDIRYQSAAERLNSVAIELDRMVKRFTPL GSDYDSIFNILLVLSGKRTEVEQEQIPDTFVPVNGKWKMKCLFKTFVDDESYNSGHGH AYLTYGIDPTRGAIVIVRPDQYIARVGALTDVDGVSQFFDGVLKH PFICI_12582 MADRQLIIARSIIYAILGTDENGKPTTKSTIFGRFLGRFWVYWL TFQLVRTDLFRALRGLWAIKDKDYKASFGSDWKVASKKKDILQTLGDMGYSGSTFYRT YDGAYLVKSVPRYFEHTFFKNEMIIPYADHMRANPESLLVRITDFLECSQRSFGSLFG MAPSHHIVMENVLYGADEGDKDGKKSKWESWDLKPTSYFYPERDVAGGVLTSEATKSR LADEFDDKIKLTLDQAEDFKAQLQKDTKLLADCNAVDYSLFLVRVSSTTSEDVEQPQL NESLPPDEPPFAPPGPPTWRTGITSYDGKEIYRAAVLDFFWAKHTLHAKAMTGLIKTY NLIDSQGPMSLTAVSTEYRERFLKMCMEMIDVDGSPDWGKSTDRPS PFICI_12583 MPLPEFDFNSTTEYRGYLVRNLNICLIIISTLVVFSRLYVRLFM TKGLGLDDVATAIAYLLLVVFSGFEIQTVEFGAGAHMDEIPEAFIFPFFAALTRQHLL YFWGIGLMRLAIVAFLLRLSKDRLFRVCTYTAGGVIIAQTVSCFLFRLLECHKLSNLF LPPGSSDDCVSKRSEIYMMWAHSSIGIVVDVALFCLPIWVVRSNMMSTAKAVRVALIF CVGIFAVITGIIRLSIIVRTDFSVDTTYKMATVGFWTDLECHVGLWCACFPALQPLVR QISYMCGFRSKLYSSNKYEARNDTSSGPPVSGRRSSAFRNRSMNRYMRHGSGFDGVSD NHSDSNSQAGIFSTPQNDMGLELEELDDLKGNMVRSEKEVKIDQGSREGNSKAVDKEF SAG PFICI_12584 MRLLKFSQDGTLAFTHDITNDIPPYAILSHTWGNDGDEVIFEDI LSRTGKHKKGYEKIEFCGHQAAADGLHYFWVDTCCINKSNSTELNEAINSMFRWYQNS TKCYVYLADVSDSEDGHDNRFRTSRWLFRGWTLQELLAPASVEFFTCHGQLIGDKASL AQELQEITGIPNSVLRGDLVSKYSISQRLAWAEGRQTKREEDRAYSLFGLFGVYLPLI YGEGRREAFRRLMEEIQKRQGSASRESCGHRPAIAAQEPGWAVPFGRNRNFTGREIEL AQLLEISPPSADRDDCQRTIIHGLGGTGKTQVALELAYRLRATHPDCSVFWVPALDVA GFENAYRAIAKELRLRQADDENVNIRLLVKDALSREISGPWLLIVDNADDLSLLFGEA SLFDSLPFNRKGSILVTTRNYEVTVRLGVPKGHRLILGSLGDPYALTLLRQDLGEEQT SDSTSTMGLLRFLENLPLAIKQASAYMSKTRITTTKYLQYCQSGDSTFIRLLSTDFED RGRYKNSKNPVITTWLISFEHVIREHPLAESYLRFFSLLSERTIPRSLLPDGGEGLDA EEAIGALKGYAIINEHMDSAFFDIHRLVRLAIRNWMRVKGQWHTCVYEVVQRLREVYP LPDHASRDFWTSHLPHVHSALSFRADFDDKLTLSELLFRTAESNQILGQYATAENLHR EALETRQRLLGTDHVDTLMSMDRLACVLCTQGKLTEAHELHEAAVAKTQAVLGEDHAQ TLETRNNLGITFQRLGQYKQAERMHRENLARMCAILGDKHPSTLWSMQNLAYCLRKSG EYEEAERLQRKTLEAMTELNSIKHPDSIQIMNDLSSTLSNRKRYSEAEGLLRTVIFLL EEVRGPDHPKTVKSRDNLASILNKMGRLTESEEIHRATLASSLATLGAEHVQTLKCML KCMLKLGMNLHSQGKDEEAEKILHQAFELSTRRLGRNHPDTCMTAEQLCLVLQSRGRV GKSSRST PFICI_12585 MLLRIILTVSVCAFIYKSMIMMCPCSADSQEQLGAAQTAAITNA AQSLLALLDSTQHAKALLPYETLESAVPTYFPMPGRPSFNFVGEQYGQSVWSNFPVSD VLRPGLRLGDLTPKQHGAVMELLRATLSDRGYRKVQEIMGSDQALADEGVPYAAGRAV YTIAIFGEPSPTNLWMIQFGGHHLALNMAIYQAQSVLSPVLTGAMPANYMEAGVAKRV LADENDKAFALFDSFDNDQRAEVSINHPVSDVVYGPGEDGKILPAVGLKASMLNQTQQ AMLFELIAEWAGILNDVHAAPRLQEIRTDLQDTFFAWSGPSTHEPGRNGASYFRIQGP NLLIEFSPQFPGGDLTNHVHTIYRDPLRSYGRTLAREYELL PFICI_12586 MKQHFLLNIISAGIANAACRAGNRTSTAPFYAVTNATSYSLSYS PGATNYSPSVSPTITKSHSSTLSSVTITTSVTSSAATTYTAVPSVIGTAEGFASGVTG GGNASPVYPADVDELVALLGSDEPQVIVLNRTYDFVGTEGTTTDLGCAPFGTIEEGCQ LAINAVNYCGDNANVTITYDTAAGNPIYVHSNKTLIGLGSSGVIRGKGLYMTGVSNII IQNIHITELNPMFVWGGDALTMYGTDLLWIDHTSNIGRQHYVTGFNPNTRMTWSNNFI NGHTNYSATCNGHHYWTLELLGTGDQITFLNNYVYMTSGRSPALSGATLFHAVNSVWA QNSGHALEGGSTVGGLFEGCVFDNVTQIVGDDITIPLFSTNSSISNDCVAKLGRPCEV NDYANSGTFNRSDTSFFDSFDGLTVAAPVSVDEVLANVPSKCGIGQL PFICI_12587 MSSAKDLLETLQKFDPQSLDGDEPERIRVREKLTEVLRQVQSPW DVSWEHNWVSMATVSAGKTLVDAGVFEKWNEAGWKPQTTDELAALTGASADLLRRLLR HVAANHLLREVGRDTYAATPWAKAFVSYPFLAGTYGGFNHAQTEVNNKLPAYLASIRY QHPSDPKDCNFQFTHGAGSGPWQLLSTNPQLGADFNQAMESHSRFNLHSWTSLYPTQS IVQAAKERGTGGVLVVDVGGNKGYDLEKFRLVHSEDCTSESLVLEELPEVLKDAPELH SAIKPVAYDFFTEQSVKGARAYLMHNVIHDWDDDTAVKILTNVTAGFEKGYSRLLLHE SIVDEVKPKAKVTASDMSMLCWFASKERTETEWNTLLTRAGLRLLKIWRPELIDTAEC IIEAELA PFICI_12588 MNSLLRLAAVSCGLLATGINALAIREDADSTSSCRKTQVAVLGA GVAGITAAQALSNASVTDFLIVERQDHIGGRVSHTSFGYQADGSPYTIELGANWIQGL GADGPENPIWTLGKKYNLTNTYSNYSSILTYDETGYTDFSDLLDVWDEIYDVAEDDSG VMLTNNLQDFDARTGFRLADWKPKKDMHKQAVEWWSWDWETSYSPEASSFVFGIAGSN VTFNQFGDANNLVVDSRGFNAFIIGEASTFLADDDERLLLNSTVTGVNYSTDGVVVEL ADGSCIEAEHAISTFSLGVLQNDVVEFTPALPRWKQEVIEQFQMGTYTKVFMQFNETF WDADTQYFLYADPDTRGYYPVWQSLSTEGFLPGSNIIFATVVGDESYRIEQQDDEVTK AECMEVLKAMFPDIEIPEPIAFLYPRWSQEEWAFGSYSNWPAGLTLEKHQNLRANVDR LWFAGEAQSAEYYGFLQGAWFEGQEVGMRVASVLGANQTYGDSNATIPGYMTRYEVLH GTTNVTEYNAANGWPVSSFILYESDDE PFICI_12589 MEKTEQPLTDEVQLEQMGHAQSFERRFSRWTMLGLAFAILNSWT ALSASLSLALPSGGPIAVVWGLVTAGVCNLSLAASLAEFLSAYPTAGGQYHWVAMIAP KSLRRGLSWTTGWISVSGWVALVATNSSLASSLVINMISLLNPDYVSQRWHQFLIYLA ITFLAFAVNAFLTPLLPKLNHFVLFFSIAGFLTISITVLACAAPDYATPSYVFATFIN TTGWPDGVAWLLGLLQGGLGLTGFDAVAHMIEEIPDAALDGPKVMIECQYIGISTGFL FLVVLLFASGGADNASDIISSTAGPLLEIFYIATNNKAGAVCLLMFPFLCLVFAGIAC LTTSSRMVFAFARDGGLPASSLWWKVHKRLDVPMNALYLNVGVVVVFGLIYLGSTVAF NAIIASAVTALGLSYGIPVALSVMSARRKLPERAFALPEWLGWTANIIGLVYTIVTTV LFLFPPAIPVDGTTMNYCIVAFAIIFLISGLQWIVDGRKNFVGPRVTIHGETVRAVEV EA PFICI_12590 MPSFGEIGNKWIAPSNPTPAKRASSPPLVASPYRTAAATSSVPL RGAASVVSTDRSSVFDHVSTISTAPSLGPDPLSEGGNILPCEFVGYTGCNRTFQLDDT DRWINHIIIDHLEYRLPSRCACWYCDDHVFDAFQNRPDDHVSNATQNRLDVATNFGVR LRHIRNHILYDGYGISQIRPDYAFLAHIKKLGLVSQAVFDEALSWIPDPDSGIADMYN HDCVPHGRHYQYEESPEIVTARRPRGRRLRKNQERKANREAREDGSRGRGWEWGQIPS QGVSMTEGKRPDSLLHASSPTTKPDDQPTLNRSIQETPQPHLSLSYRELSAGAETDIG NSEEIDLVAENSAHQREVMAESSEPKIEESEGVGLHIPSSTNGMGEFLDLEKYYRQES GRLPLIESTQPLVSRDISTSVSSRNSQESDAPYSPSYTRQMGIVRIRIEQLSLFDHCI ADAKSDGNLDSQADVTENEHNEGVTEIESGTAEHQESGESSSSSPGEPRSSFQRSNRN KEHRSGENSDGEQGEQRRFPVQKRRKTNCQEQLMRFACPYQTFDAFQDCLKPGPRNPR GGCTGINRLKQHLTRRHMKSYRCQRCWGSFDSRRKVQEHEAQLMQCSSREVPQNERFM SLEQEGAVDAACRSASDDEAWWNLFRLLIPGMQGQDIKSLSPPYQPYYIHRQSSFVIP SVTLSNISFEPVLQAASNGEDAILNTSTIPDVFTQGSFNFDIPSTPFAIQPMSSQTIS MPLLEVLDRDASDNDYSAFLSNTGTSSSIQCNSNLDSSSSSNNFPPSLTPAPESEASG LMESSMNNPVHLRRNHERLRERNRQSEANNAELRRTIHETLEELNHADTLVEDLLSSE DISHFLYDKLDSLSSILRSAKEKLQ PFICI_12591 MATQSFPLAVKLKEFTKCYHGLGSEFLPRDALQNLVNEENVRSM LGIQDGTWSRACQTQNHLTELSLVRLITEEARAIFAILVILKQSVLTENLLIEEGLRD EHLPLSIDHEYSYCTSYDGQRKIPFVNCPQEDLVEFVNHKQWFFLAPVLKANGETIKL HEKCPLPFIDDNDEDPSRGGGGLVYRARVHPAHQEGFEVETTELRVAVKEFHRKELFQ QENKILQQIKDLRHAHVIRHLMSIESGERKAYSIFPWTDGGTLQDFWRTPMKELTQEH VLWALQQMHGLVSALQALHAVFKCRHGDLKPQNILCFGKDDKITFKIADFGISKIHDM PTMYRKVATTNLAFTASYQGPEIEFERAAPNDQQPRSRKYDIWSLGCVFLEFSVWLLH GPEAMAEFDIARETSGSSLYEVTDREQKQARVHHLANGTVDALLNDPRCTGRTGLAEL LNIIADRMLKTDVSERASADEIVNAISDMINRYQRGVLSLFNPHPASDIPQLPFDRTR RN PFICI_12592 MPILLLLSCGTFGSSQVSVDQIPPRIAYNADEEKSATSPDPYLD FVRPTLASSQPGEFHTRRPTRRRTFPDAETTSRLLSRSLSLKSLLRRHTFGSQPPMAP ILTESVTISGQVRHKYMELKWLPRNFGKDLLGICDMDTLVKEIKSKTRDFADRETQDL KDFIRNKAPQLSVMLLYFKDIGLIEQFYRHGITDSHFPLDSRQADDNPDQMHVTHPRA TLDIDERSSHVLFYAPHPSQNEFFVPVLEWKGFNDTPLTGQLPFLIKPTAISTTDFSI VRKSTIHRHHVDMKVNGLKTEVDEDNNPLVAIKELLQTTMTLTQFETLTRYEYNCLNK LRTSEFDTPHLIKAAAFYRKDDSLFFVFPWAKHGNLLNFWKQKSPEIHDQRYVRWLFG QFLGMAQTVESLHNPNVNIRHGDLKPENILCFESSDSNAEGKDTPYVFVISDVGLAKE HERLTQFRSRTKQPGGGTMVYAAPEMEMHENRATSRRYDIWSLGCIYLEFIIWLLYKF EGLQEFSKQRNGKFYRIQDNTDALRPGGIVKTAELNPAVSHWISHAIKSSRTAPNEDS AISRLLSLIADRLLVIDANPDYTDLKSADNDEAISLSPISSSPPSQFVDQPTIRVRRA STDLGRRMSTERAYAGEMYDEISEIIRQAQTGQIKWINHGDSTLQIPDLPPSPSRPAL RGNEVSTDYDHSAERSVSDA PFICI_12593 MSALNKESSHCDLCRLLCHVLKDKATTPNDLLRFFRVGSTIRVN NQQLPAILSLYALAGKERLYNANIQLGHPKLPDAGDARHVSVLTGWLRNCDRDHECMP LGNQSFLPTRLLDVNFSATSCRLISDTNQLGQNSKYLALSHRWGTHPDPSLATKIVCT YETNIQRLAEGVEDSDFPPLYQDAISVARKLGVRYLWIDSLCIIQAEPGNPNDRDQGR DFLREAGKMELVFSSAYATIATTCAGSPAEHFLKRRPKRQFATIPTERGPYYLAEVID KFSEDVDRSELNSRGWVFQERALSRRTIYFAEKQTYWECGEGVRCETLTRTENTKSSV LGDANFPFALPDFDKGKRIKLYQTLYERYTRLTFTYPTDRPIAIRGLETRLLRALQTS GGYGVFQLYLCRGLLWQREGPSLTRIDFSKSPARNAYEFVPSWSWMAYDGPIRYMEIP AAAEWAAWKSDVISPWECGGADDGAEPFELRVLVRNVVGFAPGGRVLLDEPNRTSKLD LRCVVVGSKRASDPMQAEVYYSLIVTILDGEEHICQRAGVAFLERRHIDWQSQAVAMR VR PFICI_12594 MRYSGVATLAFASGVAAHSRMYSVWVNGEDQGDGRGTYIRSPPT NDPVKDLTSSDIACNVAGATAVSDFVSAAAGDTLSFEWYHDSRGDDIIASSHLGPIIT YIAPYTDGMDGTGSIWTKIDEEGYDSSSDKWAVDNLISNSGKKEFTVPSGLAAGKYMF RQEIIALHEADTAYSANSARGAQFYPSCVQVEVTGSGTASPSEDFELPGGYTYDDAGI VFNIYSSYTSYDIPGPEVWDGTSTGESSSSATTSSAAAAASTTQAAATSTAAVTTSAA AVTTTAAAQTTLATSVKASSTTTAAAAVTTSAAPSASSSPSKCSKKRKARRSSH PFICI_12595 MYSPLPVYTVPKKSKGWNPRKGSASFKSSDVSPPQTASSINAPS SKLFQGFNDMLDPALDGPPSPGRLRAVANQVRKNSVAEKHDSQQTNSSGSSSILSAYD RPSWEHGIESLTLSRRSSQRSTTSSMPSRERPESTQIFSKSIFNRRGKGRRESSDLGS SNASLNSTEAPADAANGQKEGSSLKSLLTRRKGGKDEEPPQTKIKISGPYNFQHVAHT EKENDSQPVRQGMDLAQDHLEMRRVHRPRKSSLMGAAPPVSYHSHYHSESFSSQQDPS AGLHVAHGSYGEPVEMRHVLPTPAVPTRSVKRTQSQDQFRVPPPRPPRSPTEYSFESP ISPPPRTSSRASARPESSNGFGSFSSDRFPAGAGIRIPQPIVFPSTWEMSNQPILPRS GISTIDEDDVAPHEQRYSHAITTPDNAAWPLPAETITNVLADVPEEEENNGLSRSRMS MASNSSALRGSISVPLLRQISQPQNTPNARPPSNASETLGRFDLLAAQRALRASMDDD ASDYEDELDQVDNWEDDIDYCYDHAAEADCDYAWERPSMDMARDASPSMDAMGFRYPD YGSGSTGLLSPPGRDDVPALSPVSQISNGTQNEAKTPTVVVPVTSNFSLPRRDSSAVL VRSHSHSRNVSHADSFKEAATFDLSPSLLIPGDYHQQMLLHERGELREEDEDYLVAPL SPSGSHFAQSPKTVLARCSASTTDSIFSNRHKSTTSTSTTLTRWTSTSTTNALVEGWQ PEDKELVHASSFDRTAISPLPELEESHFRRDTSAERHARAQSHANFLVKSSSETTMSD HLKSSPELIKTRRRAKTTSRSHNQAPVSLGLFPSIMNNRV PFICI_12596 MGSLKRKDAPGGGASAAKAAKTSSGARPSKTPKSDKTNNTESKS SPKSKPADSKPATAPLVSRLKEEEPLFPRGGGSVLSPLEHKQIQIQAKQDVLFEQASG KKTDKALKKNKSKGQLKDTKSASAKAVDDSVRIESLNYKRLVKGSLVLARVTEINTLD LTLALPNNLTGHVPITSISEALNERIAAEAAAEEEEEEASADDVDLKSLYAVGQYLRA YVSSTSEESGDASGKPKKRIELSLRPEQTNSGLSSNDVVTNTTVMAAVTSVEDHGFVM DLGLENSKVKGFLSRKELDPSISEDRMQPGFVLLCLASSSGTKGKIVQLTTLQSKLGK IQNAPSEATTINTFLPGSAAELLITEVSARGIAGKVMGSLDVTADHIHSGSGPLAIDL EEKFKVGKKVKARVICNFPASSEPKLGVSFLEHITDLSSQQGPDKKSAAESVAISSIV EKCTVTKVEPDIGVFVDIGLKGVASFVHISRLKDGKVDMISQSSGPYQLGSVHRGRVV GYNPVDGLFQLSFEKHILEQAFLRIDDIPVGQVVDGTIEKLVIGQDGVNGLLVKLAEG ITGFVPEVHLADVKLQHPEKKFREGMKIKARVLSTDSLKRQVRLTLKKTLLNSEAEPL HSFDQLVVGMQAPATIISIVANGAIVQFYGSLRGFLPVSEMSEAYIRDPKEYFRVGQV VEVHVLNFDIEAQKLYVSCKDPSAFGLEKQKALQTLKIGDVVSAKVVQKTEDHVFVEL ADSLLKAMLSVSQLTDGSSKKNAASMKKIRVGQTLSELAVLEKNEGRRSIILTQKPSL LQASRDGKLLNTMTDAKVDEIYAGFVRNITLTAVFVQFGGRLNALLPKARLPQDAQKL TDFGLEKGQSIAVRVVSIDTDHSRMVVSSPSVEKTDEHDKQKRPSVSGVAAENPVDKK ITSIDDITVGQITKARISSVKNSQLNVRLADNIQGRVDVSQVFDSWDDIKDTKRPLKD FKQGDIISVRVLGAHDAKNHRFLPISHRSTHTVLELSAKPSDLTGSSAANLTYEKLAV GASYVAFVNNVEERSLWVNLSPNVRGRINAFEVSDDVSLLEKMASNFPVGSALRVRVV SVDAQQGRLDLSARSESSSEELTWDTVKQNMVLPGRITKINERQIMVQLSKTVSGPIH LTDISDDYNDANTMNFAKNNIIRVSVVNVDKSNKKVRLSARHSRVLNSSSKVQDKEIS KIAQLNVGDIIRGFVKHVSDKGVFVALGGDITAMVKISDLSDRFLKEWKDSFQIDQLV KGRITSIDSALGHIQMSLKSSVVDKDFVPLLSYQDLREGQIITGKVRKVEEFGAFILV DGTANVSGLCHRSEIAEKAVDDARKLLNEGDAVKAIILKLDAQKKRINFGLKPSYFED EDTDMSDDDDAGAALVSDDDDDDEEEEDDEEEEDDEDDEDMDDADSGVLITGTDNMSD EDEDEDDEDVEMTDKAGEEVEGLDAGGFDWDADALDKKRSSEAANGDSAAQDKKKKRK KAQTEADHSGDLDAFGPRTAADYEQLLNRKPNSSGLWIQYMAYQMQSSELAGARKVVE RAVSTINSSEETEKLNAWVAYLNLEVHYGNDETVDEVFKRALQVNDQQEVYQRLASIY IHEDKPEKADELFQTMTKKFGASSPDVWYNYAHWLHSERDEPERARALLPRATQSLPK HAHLPLMTKMATLQFTSKHPNPELGRTMFEGLLSTFPKRFDLWNQLLDHEDVPGADKK VVRDVFERALKAKGLKARAAKKWFKRWADWEVKNGDQKSRERVSARAAEWVKQREEKK NAAAGADDDEGDDE PFICI_12597 MSPRKRTKPNPSGLSGGSTTSLPATLPSQPSTGRSLATASGELS TKDQKQRSALSSSQQSSDSNGSQVPSKQVRKSGSWYGSLGRKASASTQVAKETIMGGT VRSKTTVDLSRFEPKRNAEDLADSDAQSTRTMPKIHENDGPSAPDTATESAQATSKDS EPTKELQNKLKLGEEADTDMTEPEQPKVSNTPGAAQSTTSSGWFGGWFGAPTAPIDNT SNEHAPLTDVPRSPEPVQAQPPVETSSEGPPTAVPETPTSGRPTSSWLGYWWNTTAES ETPQKDSNHKPNTETVQAKEDEDVVMKDAPPPPASVESQPSAGSTWAFWSRDTGSKDQ GGQVKATQEPGELAVIGEGSEANPQQSTGVKVKDGAASMKEAHLNKSAKEIPVNKTIK ETPISKTTKGSPTKSATLKASKRGRPQSVDLDEPTLSRPDTPVNKAAGAKLDSPTSVK ASPPNLLLPSFRSTYQMKQNPSIIKQITRLLLRTQQPSATHVYLAKEPPRIKKAIAIG VHGLFPAIYLRPMIGQPTGTSIRFANHCADAIRRWADNHGCEDCEIEKVALEGEGKIA DRVDNLWKLLLNWIDHIRSADLILVGCHSQGVPVGLMLVAKLIDLGVITNARIGICAM AGVSLGPFPDYKSGMGMLMGSVAELWQFADPSSEIAQRLEHAVKTVLEHGCRVTFIGS IDDQVVPMESAVYSPAAHPYIYRAVFVDGRIHAPDFIAHLVGFALKLRNLGVSDQGLI RELSVALAGSLYSGEGHSRLYDDEQVYDLAVSHALETTDVGTVPSRVDKHEGMSSSNP YILPWIMRGLLEEGIVKTELSAETAELLQQFDDWKPVTKALKDIKFRLEAVRSRL PFICI_12598 MALLNPTFIFGVIVLAYIASFVVFALLRIVTGLSIQRIGYFSLR RLAYTPKDGIKIEIRGLGLNVHRPTFSQPTWLSIVVSELAVTVDIKALEGHKAGTAVE EDDGGAGQDDCKSEEKDKPIFPPKRRTTLPRRATFGAARSETWKQLMKTKEKIKRLHR NIHWLRLVDVVATDSTVNIVDVGKIQCGSFTVAVDTRRKMVDRARFFFQTRAEKNRQK QQAEWIVTLRSVLFTAQGGEASEILDNAVLNVHGFLYEQLDGLRDATIALKLGRVHVP YDDVQISMERFQTQKRLYSKDYHTVEAFDLSVDNVIQELDEPGSTDQDIMQTVSDSKE FVSSILRGVKEVQFAVSLVGVSKKVMTVKASGAPLLLNFSMKEVGIDLHRLDPKSPAH RMYFPSKDLAHEALAAALSISIGLDDGHGKPERLVYIPMATTTVRTTLPSKTVELSDH VSADERNANILFANSVVTSPSVDLDPKHLPILVALLRPQPKAPKAQVQQRHKLISRLL PKANIKFTMHEPVFRVALPPVQKTDDPDDFDLIISSISSISLDVESFHSTVEDMHYSL VSQARVQTHNLYYQTAQGGRFDLVDTEFFDLKVTLGANPDVHVMANGHLQSLAVHMVR PEIATGVRQIIRQLRLDVEPDKRARSRTPKTQGGLRGLPSWLLHFQLSVDDFSVEVAG VDDDFPNHTRGLALQIDSWSAEYRAQRMDQHLRQRPASRRRAASRAVIPDPEVFKAVP SPSSPKKKLPEHYTEGDGRRIAIHVHGLEAFIIDGEEKWEADPFVHLPRFEVALSTST DKQGPVLHVHSHMKTLMLQYSLYRHYAVGVAIMVLGRAFMRTKRETTDIKTKQHAANS PSIDDHLAPPILSPGSPAVDQMSYSSRPVKELVTIDVKASMIQIKADLPSDPAVMIQI CNVETGRHRWSPPFFRAKLVRMFAGAPRMQGVWSRIASVHSFRVDYRESRKFSAFGFH DDKMFDINADAMRIAVPYELIIHKISDSFINVIKASAQLHHRFKTGTNEYILEKGPEG PKHVPKISVRTRHLLFELEDGAFEWKLGMIYRAGRVEQLQRLAREDAYRVKVKKIHEE EIRKETNRLRNRSVMTRGRTKDMNVSWNRSRSEDERPRTAMDGRPPMDNLRGRNRPRY DPDGCVGFSGSAHVTITEARQRLNEHNAQSWRKRIDRHYQMARESATELRGLFWPPDH LPDDVEDHEKILEVPQRPALMGAIIEDLHFMIDKPSFPISKLPDFLHDVGKGQPKDMK YSLLVPMNVQISMSQARLSLRDYPLPLLHIPALKLGQSSRLPAMHLKTDFVIAEEYRG AESTRRIKVQITPPSAKEPGQPAGGSFAIDVRRTIGPVKSYSDMHIDMNTAYPTRITW GPSYQPAIQDMMMVIESFTKPQLDPSERVGFWDKIRLNFHSRLRVAWKGDGDVHLALK GTRDPYNVTGNGAGFLMCWRNDVRWNINVEDEPKRFMTVDSGEYVLAIPDYSHQEREV ARRMGEGDSVASGDSYRSGALFKKVVMKLSGNVQWMAGLVFEKAIGADGRRSFDFKPH YDVVLKSPHHAKPEGGQPYDAFRGFRSQHIHLSIGISAPVDMDWKAADQQVSRSYNTV HLTPRFFTHFFAWWSTFGGPMSLPVRQGSLWPGREKNSKKFGRHLGTIKYNILLAPLF MSHIYKHKDAEDYSESSVSATGIKVRFDSFMLDMHQRREEFSTTDRGRDTQSRTTAMK IHAAQLDLVSADVRAVSASIKGTTTEAIKKNSLSTLIAQGEEDGTDLSHFTIPDNDFS WIDMDDFVELDWILPSEPNPDTKILPLAFAPRVTYFRQTDIGDTIAGDPDRTSPFGNE PTHLCIMSQDDNPREVQQQLIEQRLSQLDTQIDNNVRLVGEKELHYIREGSNDPQLKA EYETFNRHTDVLHDKRTFMQGMLKNVSMNTASTDADSSTSGSSNIPGLSEDPSSSGDE ARGPTGADFASDFKNRFVIHNMQLKWNNLLRNIILRYSHQVSQRRGFVYYLSRPAVKF ILDIVEEQAKAKGKDKPGSTATPDKDDQSATPQSTNSQTKGVAQDIEHRIKQIIQDGR KFVDAGGESIPGEPSANVKMDDLTSGIAEDFTTQSSYHVRLIGPQIQLQSDRNKNNAV LITARSMELKVVEVMEKARLSDMVSGLVQRRFLVGMDGTQFFVTHQKWFTGQYLSMYS GNTYGAPSGSSWPPWVPMEVMFDFESDPFGFKRVVQKTSALLRYDKYNTLRLKYNDDI HDSEGADNDSNENAESRMDNLWVEFPQARALCNSSQYYAIYVIVLDLLLYSEPLEKTR SERLEKIMLASDFSDLRGTPEMVTKLQERIRQLQEIKTHFQVHSKYLDKKGWHDRLLL ERDLAACEDELFFMMKAITTSQRKYDTASQSNALLRWSISAREIVWHLIRDNHEPLVE LQLRDVEYDRTDNSDGSHINLFQVGKVLGLNLLPDAMYPEMVAPYIIDDKLSMMDFQN QQMVRVYWHMLEAIAGIPVMDHFEVNLFPLKIQLERDVGKKLFEYIFPEMEDEESKNE HEQADSPTMMRHGGNDNDDDYQDDSGSLRLGGSVISDKDSGFNTRAGSLELRLRPTLT SDAQTPETTPQKSKALSVHSGDGGSVFRLFNSKAISKKPSHESLRASQNTPRPGIGRS ATIASNTSAENKKSSRFALSKSGKPSEDKPSDDLSMMIDRASQYMTFAYIKMPSVVLC LSYKGKGDRNIEDVHDFVFRLPTIEYRNKTWSNLDLALALKSRVIKALISHTGAIIGN KFSKHRPSGKEKERLREIATNSVLLATPPIGASRENSDDSSSMFGTSPVDYSRSPPRS ITGSQGSGNALQRSTSRSSANALQRSTSRSSSVASSRRGSRPNPTLNTFQGHTNGQGN VPTFLMMTPPTPQDNPRPLATLGHDLRPKTSSGDFRQKPSAASTLRPLSRGSALDIPE PRFDLTRRATGAPPNTGNTGGLSGLKGKFSALTHRLKDRESSASSKASSGGATSEESG LAREEEMEIDFATKQATDEPANRKFGFGGRSKTGI PFICI_12599 MGTTRVGVLLLGTLLMLVQNVAAIKYKMTVDSNCDCYLTNGSTG TFFKTHKFFDFRSRSDLVNVPSSYASDPDAASNADVASDYFNSDEWTDAWSIQQWNNS ANLDDGDANVFMVNSPANVYIDANTDPDAGSETYLTLRTVRLDEFQTAAEFESIAQAY HYASARMYARTVGPPGAIAAMFTYRGSDDPNAIQEADLEIRTMDPPDVIQYTNQPAYN RQGDPVDRATRNATVPVGWDDWAVHRMDWSPSSTMWYVDGVNVSQIGFQTPRDPSSVI FNMWSDGAHWSGNMSVGDEAYLQIQWIELVYNATDDTEASDNSGGAKHRLSSRSWLSP RDDDDDGDTCHKVCSIDDTDTLGTPVLLSNGPIVVIFGSAKYWVSALTLVVVYWIF PFICI_12600 MASSKSSSKSPTREAGPSSDPPHEPAITAEHAEEPQDNDAAVTT TAIAAQSPDDENDDGASLESGEASPVSTPAKPTKNADEEAPPLPNEPPPAQEDDGWEF HWDEANQAYYFHNRVTGQSTWENPRISKEAGPAGGEASAAGAESVAEPPKNEKPPAGG YNPAIHGDYDPNAWYAQSNDEPAEQSQSVDPAAAYAAIGSFNRFTGRWQGGDQDPDQH NDENKSRRQMNAFFDVDAAANAHDGRSLKAERSGKKPSKNELKQFKEKRRAKKEEKRR AWLRD PFICI_12601 MSSSSSVSSCYYPNGLLAKDDTPCDPDATTGSPCCGAGIGGVCL SNGLCQGGNGNVVRGSCTDSDWGDGCPHYCLGASTGGTDLISCSNVTGTDTSYCCDHT SFCCDTGVSRFTVLPSNPTTSATWNPSSSIYVVVASKSSSTTSTTSTGSTTTTTTGTS QGETTPSSSGSNSSSTAETSGTGAAVASGSTGLSSGAAAGIGVGGGVAVIIIACIAFF LIRSHRKRKRQPQGLAMRDQVDQQNEPPLMTEHYHPKPVEAPDHAPVEAPDNVALYLH ELHSDRMPPQELPDNSRMYH PFICI_12602 MASGYDRALSVFSPDGHVFQVEYAGEAVKRGTCAVGVKGSDIVV LGCEKRSAMKLQDTRITPSKICLVDTHVCLAFAGLNADARILVDKARLEAQSHRLTVE DPVSIEYITKYVAGVQQRYTQSGGVRPFGISTMIVGFDKGTKTPRLYQTEPSGIYSAW KANAIGRSSKTVREFLERNYKEDMDREATIRLAIKSLLEVVQTGAKNIEIAIMAPGKL IEMLPEGDIESYVKNIEEEKQEEAAKKKTGRTPGTGSAAILTRGSDDK PFICI_12603 MCKHVLNAQVSIRSPCCRKWFDCAECHHESESHTLKPTLEMTFA CKKCRKCFRKEVESFEEADEYCPHCDNHFVLEAVVPKPALRVEGEDARVDNRMLKDDR VKEDELRTIFDIDKDADRLG PFICI_12604 MKISILHLLALGVAVHAIASNDDGTDAVVASSHKDDDDDDSSND NGHDGSGDDDCDDGDGDDHHHHHHHHDSSASETTLFSTGTSVVTSDDSTSTVTITSPT STITIIISTTAASSTDGTLTTSSTSTITTTTTVSSGAASTSSAEAESGTTTTTKTSSS TTKSTSTGTSTTSIVTAAAATNVGSARGGLMAAALAGVFML PFICI_12605 MVGVKGKFKGCNTCRKRRVACDNTRPFCKKCTGHGRQCEGYQTE TVFIVGTLKDKGRCASHPPRNLQTTTSSSSSSSGARKKAIETAAASAAASAAASSSKQ KDAQLEFTEVNPIKTSWVDTIDVASVAGLHRLRFAAIHTKLQSVRRGHERLGDWEVKL SLPISARADVVPPFTEDDFQLEAQCFVSLPRGNLRASQGQSWVEPGPEALGICLFLYE QSASASHSNKPPWKDPAILGSPIHRLGPEAFKEFPAHHFFTRIYRPNAIFAALLNHNP TPMADPEWKTVPWERSPKTALDTLLDIISQLPALLSRTDRVLSLHPGVFRDLKAKDIA SVCANVESQFEAWYAEMELAASKNINCPLLYWPWGVGNGVNHGTGQWEQGQAPFEVTY EFPSSEGGLAHIYYWTGLIVLYGMMARLVNLTHSENIAAGTATNSSVASHQSPTGPFP LDDTRVCVLSQGPIAPTTVYLQPHAPGHVYGSSPTAAATLDYGTIHEEDLNTPTRQQT PAYHLPYYAQQQQQQQQQQQPPTSPYPVSSISAQSSPSGRTSGDELAASATPLFNRNK YGQREIRKLAANVCRSLDWAIGRPYEDGGVGPLGQPDLVAAPLYIVERFYDGIGAAAA GDGALERLWCAGFRERWEQRGRQIEARILGTDHGDVSRGQPRGEGDSSSGAGSGGIRV EARSWIELSKFGA PFICI_12606 MSDHEEVSVRGGYNTPVPELDDHRHQVSSVQRLERPRRGTVDTL YGSRNDLPVSPSDIRVRDFEEAIVDIDEDGGDLSPTIPRIRRPTVETNRSVSPPNSVK AFAEARRRERLYSMSSDTRDKGDGDKLQRTLSTASRRSDRTHRSGPLTVENDRVSLTS NKSAEDDVCFPMQDEHRRDELYIDFEYLENFIESDEIERGDTDGAVRVFPNLQPDPAI PSASPMITVDGDFVNPPVPESLADEKGEVLMSSGASQAPAREHYDPNRFSFFSSTWES TIHAASFGGLVLPGESVRGLFSFPEDEQDGVWWLNINNPTEDEVRAICKAFGIHPLTI EDITVQETREKIELFPSYYFACFRSFTVIQEEDGPDYEPYNIYTIVFREGTLSFSFQP NEHAKNVRGRITMLKDYLSLSSDWICYALIDNIVDSFAPAIHRIELQTESIEDSVFIA RAEDMHTFLRKIGAVRKNTLGLMRILGGKADVLKGFAKRCNENYRVTPRMDIGMYLGD IQDHVVTMMTNLTHFEKILSRAHSNYLAQLTIDSITQGTKTNEGLAKITFLASVIVPL NVITGLFGMNVQVPWQSDSSENLNAFGGIVATMVTFSLLALYIAKRAKYI PFICI_12607 MAGVEGQLWGLCIEAAVDPAEWAEERAGKGKLDAIEARQLDYCV GSSGVGRVRGVN PFICI_12608 MAEELLDQVRDVVDGQIDFEGQKLAELLATVLLSAVGAISFIVG FITQNITLALKIGLAGTALTFLVVVPPWPFFNKHPVKWLPVGGGNAAPLAHQNIVVDE KSFSRN PFICI_12609 MADVDMTDAPAEKKKVVKGGDADGKGEKKRFEVKKWNAVALWAW DIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGICNHAFHFHCISRWLKARQV CPLDNRDWEFQKYGR PFICI_12610 MNQSPHPKNSARRRGARNGTPQKTYASENDAANYRYPLSDSPYT PQRLPSNGTPVNHQQQQQAQSATQKKPRNRNPSAKKNKDHQDRHSPNQQQAIPLAFAG ASFHASPAPNSLPIPSFLARTTSAPDSPSLKLPGPGPSQEPSPPNTDSEENSSPSPPL HAAVRTDESPLEFFFKADRAEKARVRRASSANAAAALLGSPFSPPQVHASPREPSTFP KLQGAAQARRPPIPRNPSSGIPSSELDGNPGKPLGQAFSTPYSERIKAARSRNASGQG TPSAQGPDTPDRSEALKRFLGVGSPATQIHPSYGHPQSPSHHVAPPAFQPGMSPASGP NRHYSNAYSSGPHPSALGPIDFSANHVRGGQPNQETLPTDNYADQALKLDDHLRRVLK LGPN PFICI_12611 MALWDIVVLSAVLGVVYRIIVTYNEWQQLRHIPGPFLARFTRFW LVRHILGGVYVEKLSQLHERYGPVVVLAPDLVSLSDPAEIKSIGGVRSPWGRSVIYQA FRFAPGPDGDSVLSMRDDRAHARMRAKLMPGYAGKTVEGVEQMVDKHVTQLVDIIEAR YVTIPGADYRPVDLSLLAQYLTIDVITSFAFQESFACLERDADFHGYLESVGRSVPYL LSFSCFPVAAYLMDFSALGKIFPEGGFFPKVFEMAHRQVGKRYGQQEHELRERNDVLG TWVAAGLSARELENEVVGQLVAGGETTSTGVRAALLYLMTSPGSYLALQREIDEALRE GRVTTCPLADAEVGKLPYLQAVVKETLRIFAPGAFFPKSSPNDQTLCGFKIPAGISVE LAYKPALQNKEIFGEDAAFFRPERWIEAEGSQLTLMEETSRFVFGGPSRWECLGKGLA LMQMHKVIFEIFRHFDLRLVDPANPWKASGTRIWVIENFFAQVTKRSPVRD PFICI_12612 MAQSVYDSDDDPDLRRAIELSLAADSTTGARKNETIELSSDESE SDEDLKRAIALSLGQDITEGARKSGQGGTSQDENSKQDEEDEDDSDDDDLNKTPVYRP KKKITSEPTTKSLPVQEKPTQEQDAPQSSASSILGLMGTNRKQMEEERLARLAAKRKA PDTEQESQGRESRPRAGAVPAASTSMVSNTGPSKKRNTSAHLPFPKGVVKKTFARGFS RTSDDIKIDEILQKEHLQLAVLSSFQWDEAWLNSKIDFKRTNVVLVAFANSEEQQHEI TENARQSFGGTKIKMCFPPMSGIGNMHSKLQLLKFANYLRIVVPSGNLVPYDWGETGV MENMVFIIDLPLLKDPDEAHVLTPFGEELAFFLRAQGLEQSLVKSLEKYDFSETKGIA FVHTIGTSRAGNADDWKRTGYCGLGRAISALGLDTSADVELDYIVSSLGSVNHDLLTA IYYAAQGNDGLKEYNERSARGKRAKAISIKAALGKINDQLRIYFPSQETVERSRGGTE SAGSICAQSKWWNSDTFPRQLVHESQNVRPGVLLHSKMLLARSKNSPGKQPIAWAYIG SANLSESAWGRLTRDKRTGNPKLNCRNWECGVVLSPSARTDKHISDSRAAANNGAAAA PADDMSVFLGSIPVPIIWPSEAYGRTKTKKPWLFLE PFICI_12613 MNRPAGGSMAGATTTSSQTGAGAPLEPTWQGYCGSTMDALFLIE WCLRGSGKHVPRRPHDRERAELIVSGNIFIYEEHASGIKRWTDGVPWSPSRILGNFLI YRELDKPFEPGQKKKAKPKKENGVTKATSNQRSSSIGHSGMMAMGSATTSSTTTSTSF NNQNGSGQGDAETRALVGSLVDSYQFKQDGLIKKTISLSYNNITHHIVSYYTIEDVKN GNLMRPSETFLRGFPPRAELIQNSNFRAPVDDNDIFVMPDDPRFAGYDPRAYDDGRYM MQGIYTNTVPRSMSVPTVPSVPGLHIGYTAQYAPQNYAVSQSIATTMPSTAHIPAGYA PPSAQSYTYDQNSLSSRYGSQDSSYLSGGPVPNSLRRHTSVYGANGNNQHSFTTPALP RSQLSSSVLPSNGDANHSLGSGSFSTGADIYGTQPSSGSSNHHLSYDSNSAAPNTSSY TSQNSASSSFDGLPHSQSATSSSGPVQPATDYDGSISTTNGTTGFHSTSGGATPDELI PPRASGSEWGNSSFSGYQH PFICI_12614 MASPTLSCGGARFAVARLATGMTVPSLNSNRARLVSDPRGAPVS LRHAQSIHYFSSATVLRRLSRQRSQHELAADRASEQAAECIAARTATASSSIGPGMTH LDVPSLAVKRPIYGIMRNTSLCRHFSFRTSCLERDGKGPKEQGPETAAGVTSTSAIRK VLPKALGSALTPHENIYTIPNLLTFSRIIASPFIGYAILHDAHAWALGLFVYAGVSDL LDGWIARRWKLQTVVGSIVDPMADKMLMTILTVCLAAKGALPIWLAGIILGRDVGLAI SAIYYRWISLPPPKTFTRYWDFSLPSAEVRPTTISKYNTFLQLALMGSTVVAPLVTGI EVGPALIGLQYIVATTTIWSGLSYVFSKDAVKILSDAKSKDNAANEDKSREQ PFICI_12615 MQKVWAPRGLSRPTIIARRRFFLRRKGFASVAQDARAFDVVVVG GGHAGTEACAAAARSGARTALITPKLDNLGVCSCNPSFGGIGKGTILREIDALDGVAG RIIDKAGVQFKVLNRKKGPAVWGPRAQIDRALYKKHMREELEAYPNLSIIPGSVSDIV VGADSDPASGKPRSKITGVRLESGEVLPTTQIIITTGTFLGGEIHIGLEKYPAGRIGE QATFGLSKSLKETGFQLGRLKTGTPPRLAKGSINYGILEEQRGDDPPNPFSYLNEAVS VQDQLLCWATYTNDATHDVVRANLDKTIHIRESVRGPRYCPSLESKIIKFPEKPRHIV WLEPEGFDSDLIYPNGLSMTVPADAQEQLLRTIPGLENVEMVQPGYGVEYDYVDPRSL KSSLETKAISGLYLAGQINGTTGYEEAAGQGVIAGINAGRAAQGKAPISLTRADGYIG VMIDDLITKGVSEPYRMFTSRSEYRMSHRADNADLRLTEMGREWGVVSDKRWSHFRDT KAEAEELTATLQNYSLTAPNWIAAGFKARLDTKPRSALEILRLAGVKLSDLRERLPEI DNYSAHIQSRVAIEAVYAPYVAMQKTEQALFTRDESLQLPVDLDYDSIFGLSFHEKAV LNATRPESLGQARRIEGMTPAGCVRLLGFVTRSEKFKEVMQGRDEMAPLGKEVDTLDA EARSSELP PFICI_12616 MDVVQAVSGYISKMVSAGDSATAGASAKMKILLLDKDTVSIVST AITQSSLLNHEVYLIDRLDNQSREKMRHLRCLCFVRPSADSIQFLIDELREPKYGEYY LYFSNVVKKSALERLAEADDHEVVKAVQEHFADFLVINPDLFTLNLTLPQQRIWSGSP DMWNTDSLQRTTEGLMAVLLSLKKKPLIRYEKNSLLAKKLATEVRYNITQEEQLFDFR KVDTPPILLILDRREDPATPLLHQWTYQAMVHHLLGINNGRVDLGDVPEIRPELKEIV LSADQDPFFKKNMYLNFGDLGGNIKDYVEQYQSKTKNNANIESITDMKRFIEEYPEFR KLSGNVSKHVTLVSELSRRVGSENLLEVSEVEQSLACNDNHATDLKNVQKLIQNIQVS EDAKVGLVALYALRYEKNPSNQLPMLVDLLTAAGGVSPRRADLVAKLLIYHGSLQQTQ AAGGITDIFEPGGIFSGARGIKGLKGVENVYTQHSPHLETTLQNMIKGRLREQQYPFV EGGGTTRDKPQDIVVFIIGGATYEEAKMVAGINASSPGVRVVLGGTAIHNAATFLEEV DDAVSSWPEPPPTTVAGRLRKEIGRR PFICI_12617 MAAMDMCSTKPEPTLSFTQGLIVGQLSVVLLIAAFIKFFIFGDP PSPEVTAQIRATERRERSLAHKQSLLTLRTDNPREPQRTLNKKKSSILRNPPTLTIGS ILNKTYYNVDSHQPESLDWFNVLIAQTIAQFRSDAQYDDAILTSLTKALNGTSRPDFL DEIKVTELTLGEDFPILSNCRIIPVDEDGLSMDSGPGVGKRFDPNSSRATREGARLQA RMDVDLSDMITLALETKILLNYPKRLTAVLPVALAVSVVRFSGTLCISFIPSNPSQST PTMMAFNFLDDYRLDLSIRSLVGSRSRLQDVPKIAQLIEARLHKWFDDRCVEPRFQEI ALPSLWPRKKNTRGGDDGLDGNMASIGKGKGRELGRDLREEARKEVESEIGSRPDLVP EAGNLRYRRRSRMDSVGQMSMPGSLPGMTLDLQH PFICI_12618 MDPIPTPSNLDEVEHLVNRLYEQNASSTIAQIQEVLQKLQKSPE GWQIAEGLLSRQADNVRFFGALTFIVKLNTDTLEDEDARSALIKLIDWLLKCLEVGAG AIVIRKLCSALVTHFIHFSHVWPLCLRHVLLCLQNNQSDFARLQGPVQDAVARLTPQK SVALVWFATALAEEAEKTDPKSTKYISLHERMLRNAGDLSSLLTCLVVGQSDTQTKQE SIRCFQAWLLYAQRVPNEEMTSNLRPMLQPTIKCIVDTDLYEPAIEVLTDTLGNWQTF CKQDDYDLLYSLFESSWSQEKYQNLLQGDFDFDSVQFGLLMVAFGDAQITELMDKSNP RSQQYLAAIVGLLTADGHPVAEDKIFVPALEFWSQFVENMVDNMYSEPQESVDWNVPP LSYLTQVVSHCWKKIQYPALDVYNSWDSTERVGFGDARKDVSDLLQSVFTISGQPLIS LFVDLTLQGVSATAWAELEAAAFCLGSLSDCVSDSTSCDEILSKVFGSQLFDLLRQGH SVVPVRARQTCLSLIERYSDYFARHSEYLPAALNLLFSAVGDLPLAGPSSKSIYKLCS SCRSVLTSEVDAFLGQYESLRNSQTLDSLAEERIVGAIASIIQALPEDGSRAHAFSRL LVLVAVDVEKALQLKSYNAELDPNDPAVARAFDAAQRPAAPVTAYEVALQIAIRALRC LLGSAKGLQSPTESYVDLDDEQTSSLPSSSSPELAQIQQDIIGILARLKDEFGNSTEV LDVICSILKAGFSETDAGPFVFPPQTVVEFITSSWNHRVASAISTACVFVTSLSNGSS KSQVPQIIAHLLPWVVGLLYQLQEPENEPELAQYGIEFSQKAMTKAPDVFLQLQPTSL LEYLFMFAIKLLNGNEPLPKAAAAEFWTTFITLKSPTEDTQAGINSAIVHLGPILAHT LIQNIGGKAARSELDKLSDPLKKLVVQHAHAKQWLETALNDASFPSDKVSHDEKALFL KKVISLRGGRATNQVVREFWLACRGSNFAYTS PFICI_12619 MEKVDTSSRLAELRKLMQEKNVDVYIVPSEDSHSSEYIAPCDAR RAFISGFTGSAGVAVVTLDKAALATDGRYFNQAEKQLDKNWLLLKQGIQDVPTWQEWA VEQSEGGKVVAVDPTLLTSGYAKKLADKIKKNGGKDLVAVTDNLVDRVWAQGKPSRPN EPVIVLDQKYAGKDVKSKLDELRTELEKKKLLGFVVSMLDEVAWLFNLRGSDIPYNPV FFSYAIVTADKATLYVDASKLSQDCQSYLAANNVDIKPYNAIFEDATTLGQSAKATNA QASGDSKKYLISTKASWALKLALGGDDLVEEIRSPIEDHKAVKNAAELEGMRQCHVRD GAALVEYFAWLEDQLINQKATIDEVQAADQLEAFRAKKERFAGLSFDTISSTGANAAV IHYKPEPGACSVIDPDAVYLCDSGAQYLDGTTDTTRTLHFGTPTADEIEAYTLVLKGH IALDMAIFPKGTTGFAIDALARQHLWQQGLDYRHGTGHGVGSYLNVHEGPVGIGTRIQ YSEVALAEGNVISNEPGFYEDGKFGIRIENIIMVKKAETKHSFGDKPWFTFEHVTMVP YCRKLVDTNLLTPAEKKWLNDYNDEVSKKVGPLLQDDLSKLWLERETGHY PFICI_12620 MSALLLSRTAFRSRAVGAGIGLSIGSVLAYRQPRLRMDSRSVPH PSTREAATTTPPKDRLDPEIIKQLSGGSITGFLSGLVISVFSKTLVLLLGVSVVLVQV AARYGIDLTKQLRLRERLGNSRVLAALEKDPAFKLSFGFFFAMSAFMKFQNL PFICI_12621 MEKAKRRSSAATGGAGRGRGSGVRPGDPVPAGRRRRYRPGTLAL REIRKLQNSTDLLIRKLPFSRLVREIALSFRPRDDGMRWQSQAIQALQEAAEAFLVHL FEDTNLCAIHAKRVTIMQKDIQLARRIRGVWGGIG PFICI_12622 MDQLIPGEGGRNPLEAWFWEMPLCTRWWTTATVLTSALVQCQMV TPFQLFYSVRTVFGKGEYWRLLTTFLYFGPFSLDLLFHVYFLQRYARLLEEGSSPAHF SWLLAYSMAFLLVLSPFVSMPFLGHPLSSTLVYIWSRRNPETRLSFLGLLVFTAPYLP WVLMGFSLVMHGTVPKDEIMGVVIGHIWYFFSDVYPPMHNGSRPLDPPRFWRRLFETR PQRRDDDATAIENEFAMGGGPELAAAEVR PFICI_12623 MRAYWYDNLEGDQRLPHDSGRDLTTSELERLGVLYYRLPEVEGV DKLAAERGYKNRDQITVSPEKMGDVYEEKVKSFFHEHLHEDEEIRYIRDGKGYFDVRS RDDEWVRIQLEKDDLIVLPAGIYHRFTTDADNYIQAMRLFKDEPKWTPLNRSNELNSN QYRQSYVSEFLS PFICI_12624 MESKSSEVGSLRDGPRPKLSLPLSCEPPQDNAPRKQLVWIVFGG TGHMGRSLVKCALARNDCVCAVGRVFETAPEAMAEQHSGPNALGLLCDVRDRESVSRA IERCLEHFGRIDVVANCSGYGVIGACEDQDEHEIRNQFETNFMGTLHIIQASLPYFRS QNNGRFLIFSSTSGALGVPGLGPYCATKYAVEGLIEAMLYEIDSFNVKATLVEPGLVR RDEPDSEDNPLPTWGHFLIKPASEAYAQATSPALHAKRMVQWLGDRQPTSAVKCAELV WQLGHCSYPPLRLVLGSYAIESIRDRLKSVTEELEDWKHLNFPVTADAPSEDASNNVA SESGDRDREGDDDGEVDDDGDQDMT PFICI_12625 MSDKNDQGLSIRLPENEPESPQSAQRPLEHTEEKQLFISSPPPI TSSQLNMASIQKVENSPPLSILAYCLSSISMTVVNKYVVSGSSWNLNLFYLAVQSIVC IATIEACKQMGMIKNLAPFDVNKGKRWFPVSLLLVGMIYTSTKALQFLSVPVYTIFKN LTIIVIAYGEVLWFGGSVTPLALLSFGLMVLSSVVAAWADLGHASQTAEAAEALATLN AGYAWMGLNVFCTASYVLGMRKVIKNMNFKDWDTMFYNNLLTIPVLLFGSLIAEDWSS ANFQKNFPVESRNALMIGMIYSGMAAIFISYCSAWCIRVTSSTTYSMVGALNKLPIAV SGLIFFSAPVTVGSVSAIFIGFVSGIVYAWAKVRQSQVSKSVLPTTQPPMSASSQSSR DAVKS PFICI_12626 MNLIFSFLLSYPLAGLLKRVPDAQPAYKNAFIIGCSLFYLVGLF DLWDGLRTLIIAAGGTYALAYSLRTSPYMPWIGFVFLMGHMAVNQLSRQFANNPAAVD ITGAQMVLVMKLSAFCWNVADGTLPEDQLSDFQKDKRIVKLPGFLDYAGYVLFFPSLM AGPSFDYNEYKAWIDCSMFNVPVTVDPAKKPPTRKKRKIPRSGTPAMWKLATGLIWIF AFLKFSAWYDWHFMLEKGFGSYSFPRKVFFLHMVGFTARLKYYGVWTLTEGACILAGL GYKGIDPTTGKVSWDRLQNINPWGVESAQNSRAYLENWNMNTNKWLRYYIYLRVTPRN KKPGFRASLATFSTSAFWHGFYPGYYLSFLLASFVQTAAKHYRRNIRPFFLDPVDQKP LPSKRYYDILSWLATQTTFSFVVAPFVILDFGNSIAIWSRVYFYAIITTFASLAFFAS PGKKWLKAQHEKRSHDAGVKLVKNLSTESLNAAGGSKEPILGLSQDPEADANEIVDEI RAQVERAKAEQARRRSRGQSLGDVVKEKTQLKSKVI PFICI_12627 MSSTASLSPSSRSRRPTTPVNNETEKRDRLSGGIRLVPYSPPRL SGEGDSTGDAHGPPSDHRRRSSNSQNVNWKDPFTTNPTTKKKNPPTQDGLSATHPSSI LTSPTSSVVSFGEAKGRGVSGTKPGSDSGAGPSTPKAPVYSKPSYGSGSTMSGLDAPP DIQQHGATLTSPSKTPRSRRANHIALHPDSKTFSIVLKPTGNQRWSGQRLSAQSAQSG ISDSTLRSPPPSTYSTVTSHDGFSSDAADDDRRSSALSSLAERGVSPFTPASLVSTEA PEDQSTSSWSSRMIGGLRRVESTTPEPTDKGKGKEVAEQPSSSTLSPLKEAPPSPTFG SSILTAKPSWNSQTSQVTDSTVDEHTNVKVLAQSSPVDTDSEGSPVNPSSSSSNFKVF GESSPPRANESSPPRAAYDSSPPRSYNSSPPRAYDSTPPRAYESSPPRAYDTSPQVFV GAPATRNYTVYAGSSPASSLPPRPRGPRPQSSDGSLATRDQYSQESLLVRPLNPLGRD SPGYSRQASRESLRRANSFSSISSLATADTASLITGGTPNVVRLATTPSISSLRQPAW PTQPSAVHHNPAPRMEAQPHVWSSQLSTVMSEDEGSDLASRHLSSSSAPDRSIGHSSR HSRQMLSISSSIMAGEDLSSSDSRSHTRSSSGPFMMRGGRDGAQPTVRDHDEDGDGLA DLHELHHKGSRPRLSHMLNHKSSDRSLRSSISSRAGNLSASSLPQWARIYYGSGERKW HQAPSILSYSDDSRPPSSFRTSGSPVDDGYPSTLFSPRRRPRDFNPDASPTSPDTNNR RFSGLHKGLKRMTSSLWSPHLEEDRRAQDPSMWQGPPKASDTGIFGARNRQVFLFAFG FIFPFAWMVASFLPLPPRSTLDMVERGYSTTQFKIPETPEPLAKQTRAVDKKRFLSAR WWRNMNRIMSVIGLLVIGAIIALAVIGYWQNMSQS PFICI_12628 MPSISGYLSRYFSPEKPKPPPITTGTASVLLVIYTLVYVIPFYL SSKTRPSRTLSRDAPSVIRARITSVSLTCIFCSVCTFLVLTSQGHATRPDAIRSMGYW PVGLAETAKTLLLTAILFAGPLYETFVIHGAWRDWLSLQPVTELFSEWTTWRNIIAGP FTEEVLFRSASVPLMLLAQTSVAKTIFLSPVIFGLAHLHHFYEFRISHPQVPVSVALL RSLLQLTYTSLFGAYATFLFLRTGSLLAIFVVHAFCNCMGLPRFWGRVEPINDMGEAK ARPSVVWTVVYYVLLLIGAYSWWKNLGSLTQSPNELVPVNI PFICI_12629 MSIPACARCRPSAASRHLRRLLSGAPQTSQVRRSFSTEPAAAPP APPQHATIFSGIQPTGVPHLGNYLGAMRQWKRLQDAAGPEDRLYFSIVDLHAITMPQD APVLRQRSREMLAALMAIGLDPERCTMFYQSAVPAHSELMWILSCTASMGYLGRMTQW KSKLTMNDNRMSLDDEAVGQKLKLGLFSYPVLQAADILIHRATHVPVGDDQRQHLEFA RECATNFNATYDGGSLVSPETITTETPRVMSLQNPRNKMSKSAPNHRSRILITASPDE IRSRIKGAVTDSHNWVSYDPEGRPGVANLLELWSQCDPKGRSPAGLAADCDGMHLADF KKQVSEAIVKELEGIGARYEEILDKRAGQWVEQIQAAGAEKARQNADETMRMVRDAVG LAGF PFICI_12630 MQPEINIKTGQGDKEALRLPRAVQAVYLRPLRRTPTYGVPSCDL QLRSYSVPNLEFFCDFALRAAYYLGLPAFGPVPLPKITERWTVPKSHFIFKKSQENFE RVTRRRLLQIRDGHPDTVQIWLAFLQKHAYYGIGMKANVWEYNKLDVGKELDADAKSM EELVEKKMAAHLGRDKIEGTVQKVQELLESERFRQRYN PFICI_12631 MDVAMKSWELDNDIKLVDPTRDALYRYDKAAQKEIDGKKPWRQN PNHFKHVRISAVALIKMVMHARSGGEIEVMGLMQGYVSEDTFIVTDAFGLPVEGTETR VNAQDDANEYMIEYLRLSRDQGAREENVVGWYHSHPGYGCWLSGIDVSTQALQQKFQE PFLAVVIDPDRTISAGRVEIGAFRTYPENHVADSSAASGTATKDASAAGGRSVPLAKA ADFGAHANKYYSLEVSHFKSTLDASLLDLLWNKYWVQTLSQSTLFTNRDYGNKQMLDL GSKIREATTSLSRQRGGQMFGSGRAIDTELEKLSRDSQAVVGKEVQGLVATEVKSKLF NGLGNAEPTAGAEAVQIKSEADV PFICI_12632 MRGLFQLPGPLRRELWAHPAKVFKRQYAFKGRPEHIGKPKDDTK DTLLPTTPARTRFAPSPTGYLHLGSLRTALYNYLLAKATGGQFLLRLEDTDQSRLVRD AEPRLYEDLKWAGLNWDEGPDVGGAFGSYKQSERLHIYHQHANDLLSSGQAYRCFCTP GELDALRQYNMENSPESSGHGNYNGKCNHVSASESDRRAANGEPYCIRFKSTGKPEVR DLVYGRYSRPEKEDDFIIIKRDGFPTYHFANVVDDHAMGVTHVVRGAEWLVSTPRHAM LYDAFKWTAPTFAHVGLLCNSAGQKLSKRSGDIDISSYRNKGILPIALLNYAVLLGWS PGRGEKGTSEIMDLEEMVKKFHLRFTKGNIKISNKLPFIQSKHMSRHLASLTEPGFES LYLPSMKETVSNLNSKRDASSGPLVPGLRTSSEKPAGTLETEVAPLDLASPKAHAYLL AFFTLDKKAFDGDIPKFVVRNEYLIWQPESDLLTTTLSASLSPFASLHLLNGDVVKDM KSEGSKTLSSETIAAPTALVDMFEAVLEREIPEEAWASSSSFALEDKINGLCQRIFAL PLPGADGAVTPAPKLWGYHFLRWMLFAGKPGPAMVPSMLLLGRDEVLRRIKVAGEIAR QLPTTQ PFICI_12633 MDSPGPSAGQDDLDLYELLGIERSATPDQIKKAYRKLALKHHPD KVPEDQREESEAKFKAVTQAYEILRDEDKREMYDRHGMAAFDPSRGGGMGPEVDINDI LAQMFGMGMGGGPGGGRPRGPMKGPDDENAYSISLEDLYKGKTVKFAADKQIVCGTCK GSGAKEKVKPETCQRCKGRGKVEAFRQVGPGMVAREAAICDRCEGSGNSIKEKDRCKK CKGKRTVKERKPLEIYIPRGSMQGERIVLEGEADQHPDMRPGDLVFILEEEPHDVFNR IGADLSADLDITLAEALTGFSRVVLTHLDGRGIKITHPRGKILQPGQVLKVEGEGMPH KRGDGKGDLYLLVKIQFPEDGWLQDDDSQYEALCKLLPPPAEPIKAEEVDEVEYDEDA DIEEMGAQSGDPRYQNEWADDDDEAGGAQCAQQ PFICI_12634 MVSAKKHVPIVKKRTKSFRRHQSDRFMRVDPSWRKPKGIDNRVR RRFKGNQVMPSIGFGSNKKTRHMMPSGHKAFLVSNTKDVELLLMHNRTYAAEIAHNVS SRKRVDIISRAKQLGVKVTNPKAKVTTEI PFICI_12635 MQYALVAAALALGVTAAPGPVITPAPKALKARDSLPASSGSSTF SSAKTIAAGDSFDGGMYAIDRGVSCTGQEEGGDSDAVFILEEGASLSNVIIGPNQIEG VHCQGGCTLSNVWWSAVCEDAFTVKVQDSGDTTYIKGGGAFGAEDKVIQHNGGGTISI SDFTVSEFGKLYRSCGNCDDMYERHVIISGVTASDGSSLAGINENYGDSATFSDVTVS DVDDICVTYEGNDTGDEPTKTGSGADGTYCIYDESDITES PFICI_12636 MPIIRGRPIVNVGHIATSARPCTRVALTPNRVSAGLPRCISVDL TNKTKKERVVVLGSGWAGYGFARDLDPKKYERVMISPRSYFVFTPLLAGTSVGTLEFR ATIESTRRLELDDFHQGWADDIDFSKKVIRVEANTSGDLASRTRLPSGDSSAIQKKKG EIFEVPYDKLVIAVGSYSQTFGIEGVREYANFLRDVSDARNIRLKVLQCFEKAALPTT TDEERKKLLHFAVVGGGPTGIEFAAELHDLINEDLVKLYPDIIKFSAITVYDVAPKVL PMFDQTLANYAMDLFRREGIQVKTKHHLTRIRPDTETEGCLKLKIQEYGNEEVDAGIV VWSTGLMQNPLVQKLADKVFPPNSAVTGVAKAATEVQIQKEPKSGSILTDKHLRVRVV STESKDGKSISGKSILPDVYAIGDCAVIHNQALPATAQVASQKATYLAKRLNKEDVFA PGFKFRNWGTMTYLGNWKAIHQSEADDLKGWAAWVLWRTAYLTKSMSIRNKIMVPVYW CMSWLFGRDISRF PFICI_12637 MFRAGSSRILSRNLASSARFQPSLLRPSVARVSARSLASVNIPS PKVCVTTAIQRLRSMLQTGPLQVQSNPFLQEPTDLDNITTLPNGVRVASEALPGSFSG VGVYIEAGSRFENPYLSGVSHIVDRLAFASTDKRSGEQIQETVESLGGNIQCVSSRES MMYQAATFNSAVPTATELLAETIRNPQITEEEVAQELATAEYEITEIWKKPELILPEL VHMAAFKDNTLGNPLLCPAERIPEINKSVVEAYREAFYRPERTVVAFAGVPHAEAVQL ATQFFGDMKASDRPALSRTGSETSLGSTSSETSDASSDSLSSSSVSSTSSTSSQQSSI LSKIPLFKNLSTSASNNASVLDASQQYPTQQQLIAPAQYTGGFVSLPSQPPSYNPMQP VFTHIHLAFEGLPIASDDIYALATLNTLLGGGGSFSAGGPGKGMYSRLYTNVLNQHAW VESCVAFNHSYTDSGLFGISAMCIPGRTQAMLDVMCQELRALTLETGFAALGQVEVSR AKNQLRSSLLMNLESRMVELEDLGRQVQVHGRKVPVHEMCRKIEALTVEDLRRVAREV TGGLVQNPGGGSGHPTVVLQEAQNIGSNAKSMSWEEIQDRIYRWGLGRR PFICI_12638 MATEQNAWPPGVSGSVVFDFDVAISEIRNKLSPTFLERGWAATL FKAAKECKNELSKSITIEISTLYVQVTFLDILWERLFGAANGSSPWKMIRRKINYKTR FGEGDKVTKKSNFDGLSIEAAAGEERLFKALCGGFDGRPRNSKTSISKPELFGHFFNG AIGSTAPENDV PFICI_12639 MSLYQPSGWLPGMTLDFAVAIAEVRDKVGHSFQQGEWNTVLYNI INDWLCDERKLDYGVNVEYSLMYIHVEFLNTLWRELYGRLGRPSPWALLDPMLFESNT KSDIVLEAKPLLDHLLHTTTQDDFIQVLASGKIPDSKESVKDEDEDEAPSAGSSSPET AENESEDDLFARQPPTYRSTLRRW PFICI_12640 MRQSCRAAAAAMAASANAASLADLCTVSNVQAALPANGTLLGIE LLPSTVTAAAVYNASAGMGSTETYSYCNATVSYTHTGKGDVIPIKLAFPDPSDFENRW YLAGGGGFSISSDATGGLAYGAASGATSAGYDAFDYSYDEKVLYGNGSINWDATYAFA YTALGELTKIGKPTTQGFYALTTDTKIYTYFEGCSDGGREGMSQVQRWGEEYDGVVAG APAFRFAQQQVHHVYPATVEQVTGYFPEPCALDKIVNATIEACDPLDGRTDGVISRTD LCKLNFNLTSIIGQSYYCAATTSSSLGFGFSKRAEGSQTSTTPAQNGTVSAEDVAIAQ AVYDGLHSSDGKRAYLSWQIGSDLSDADPTYNNETGEYELNIPSTGGEYVTKFVQLLD LDNLSDLNNVTYDTLVDWMNTGMIRYLDSLQTTLPDLTPFQSTGGKLLHYHGESDPSI PSPSSVHYWQSVRSVMYPDLSDEEAQEALADWYQFYLIPGAAHCGTNSLQPGPYPEDN MATIIAWVEQGVTPSYLNATVSSGTYEGEVQQLCQWPLRPLWSGNSSTFDCVSDEASI DSWTYTFDAFKLQPVY PFICI_12641 MMYSLRQAFVVSACVALASGQNIISAQGAKSSNTSTGLQVDLTD PNDFNIIRTAEISSNVVNQCGRTVAGGNIDVGAKTEDALANGAVTTVTKGSSVKVTMS VNSTTDTNFSCDLDPQGNVAGATGQTALTQKTANTSKNSKNNKNTNRKLVVRQPLFAR AKNSNSANTMTLTVDMPDDLACIGASAGNVCTVRCINADEVGGCFAVQQTDTTALPGD NDPSNIATTAKDEAANQAQIDQNNKDIDAAKSAIAGSSADALTDEGAQNAAVASAIIA ADPSVTVAAAAATSSAASKKSGKANKNNKNNKKRDLPIDQRLSSRRSYELLSDE PFICI_12642 MLPLRNHIPHHVSILDPRDYEVVWIAPLEIEARAALYLLDERFD GKFAVTRGDEYVYHAGRMCGHKIVIAALPAGQEYGTGSAAALASQVKKFFPNLWFGLL VGVAAGLPDLTRKPPRDIRLGDVLVGLPQGDGAGLIAYDLGKETASGFQPLRGGQVLA MTEPIVRSAISNIKLEAPNDAHKFLPYYEAIRDKEHADGTFADPGESNDALYLVTDDE TIQRVDRVPRPKEKRTRVWYGPIGSGEKLSKSARVRDELRDRYGLIGLEMEAAGTMNR IPVGVIRGVCDYGDEHKNKEWQPYASAMAAAYGKAVLAEIVPMHKPTAESPTENKPTT SDKSTGPFYYMQMSKNARFTGRAAILQALQEKLFGQQPCERVALVGLGGVGKTQIAVR FAYQIKESQSDCSIFWVPLLGKSSLEQAYIEIAKRIGLQQNKDEDIKDLVCRYLSSDQ AGQWLMVVDNADDRDLLFGESDSTGVEEFLPQSEQGSILLTTRSKQVAVEFSQGEVFD VEQMSYDEALPFLEKSLHKIPGPQDDNSIRELFTHLTYLPLAIAQAAAYLNENMMPIR KYLGLLRGAENDVARVLGRDFKDYTRYRSSRNAIATTWLISFEQIQKSNRAATKILSF LSCIEPKAIPESILPKCESEVELQEAIGMLCGYSFLVRRGDSDVFDMHHLVQLATRGW IEKGGMQEEALSEGVSHLASTFPSDYVIEHDTQLQYLPHAFRVLARSSDLETAERAHL CMNVGICLFVDRRFKEAIGYFEVAYHYRKTICPEDDHSRRYSESMLASTYLDARRIRE AIEIFEHLTSVNKTILVDESAFRLAAEHELARAYFENRQTQKAIEILEHVVLVRRRIL DEKDGGRVASEQMLAAAYSHDGRLKEAIEILEPAASVRNMTLAEEDHDRLTTEYSLGS AYHKDGRIKEAIRILEHVVSVRRKTLAEEDHDQLLSQHELASAYLDDGRVKEAIEIFE HVVSIKRTTLAEGDHQQLASQHELARAYLDDGRVEEAIEILEYVVSMQRMTLAKADDV RLLSECVLARAYLADYQAQKAIDLLENIVALRQQDDPKRPYAVDLLLDARDQLEAERG PTDVYSDSSD PFICI_12643 MEDIYRVHSHSDGPAKPLTEVFAKVLSSLTSIQAQSLPQDEMKR IDGIEKQLRQSMNRVVFGSNYIEHVGLGLNETLGLCERVFQGEDVEIIDERTPDYEKR LWEEYGAQLNSKDDRTVKSFSYGRTEVINHAKAFQYMIDQIVSLDMPITEECITTTHR ILCQDTPIFHRSGSVTDPLHYAGIYRDVRNIHVGAGDTMFTPPKKVPGAMKAMIADLN QDLENAQKHKKIDPFALAAKYSMQFVQIHPFQDGNGRMCRLILNTILCKYAGVVVPIG EDEDDKKAYIDIKKRASADALDHGEYATFVLQKSEIRLRNLKKMLSGKKRSTTFLL PFICI_12644 MTLNTTSGPVNHRMDKMQQPRPRGPPTPSMSTPAPDFDQQQQQQ QQLTGSPPPPPTPAASPGPAHPQADWSEAAEDEDFFLAHVRQHFQTCSGPQRNRLLAD LLNMCTTQQLSFVHQFVSPLLKKDPFTSLPDELCLRILSFIDDPLVLARASQVSRRWR DLLSDDVTWKNLCVKHDYGRRLSEVYHGVPNHAPTRPGLHPLSDSDQPNHSFPGAYRP APPMQQVSRSFDGSDRPKLRSYKSHFKQRYLVESAWRTGGRNTIRTITQDGRVVTSLH LTPKYIVVALDNARIHVFDREGNALWTLQGHVMGVWAMVPWDDLLVSGGCDRDVRVWD MQTGQCLHTLRGHTSTVRCLKMSDANTAISGSRDTTLRVWDIRTGVCRNVLVGHQASV RCLEIKGDIVVSGSYDATAKIWSISEGRCLHTLSGHFSQIYAIAFDGQRVVTGSLDTS VRVWDAHTGEPVAVLQGHTSLVGQLQLRGNTLVTGGSDGSVRVWSLEKMCPIHRLAAH DNSVTSLQFDDTRVVSGGSDGRVKIWDVKTGHLVRELITAAESVWRVVFEDEKCVAVA NRGNRVMMEVWSFSPPEDVYSDRPVSFPQAAEKEPARTLNLDYRRSTLGLPSIIPTDD EDVDMRDAAPSTAPTHTTTFFHDEEEH PFICI_12645 MAIASFLAGALPLSMSLSQSQLRLLSSIGVGILVGTSLIVIIPE GISAISEASLGAGHTHGARRDVLVGRADALMHWTGDRYEIDTVKTGQVDEQLKQTLPV IMPDADAFASSTAVGPREDDPPTKTPSEHLPAPPEAVPTFYVGLSLILGFVLMFLIDR LPRHASDNFTPPPAPRHISLSNLGASDLPGDEDESEGFLGSLTPTPRQSRSLATTTGL VIHAAADGIAMGASAAGSDMKLGFIVFLAIMIHKAPAAFGLTSVLLKQGLSKRGARGH LIVFSLASPFGAWATFILVNLLGGRAEGGEAGEWNQWWTGMLLLFSAGTFLYVAMHAM QEGEAGSSHDVVNSNGYAESPNMGPRKQTRPQMRDTIATVAGMLLPLLMQFGHHH PFICI_12646 MASDEEWIVDANEAFNISLVRPRESGLEKVESFHPTFSYPIFGE QEQIFGYKGLKINLQFNASDVRPNLSVSSTKKFQTLGEAEALDVAGTLKNFLPGVAFQ NKKDFEAAVKAVPTDWTPPGELIKTFERDGETYEVWKGNLADQTVKQLVKRLQILVLL YIEGGSYIGEDADGNDEPEYSLARWDVYFLYKKQTTDGGLAEYTFQGYSTVYNFWLFE SLTPPSTPPKLLEPKVDQSWELPQGDLPYSQIPHRARISQFVILPPFQGKGCGAMLYN TIFETELKDVMTKEVTVEDPNEAFDLLRDLCDMKYLRANVPEFAELSIKPDISIPQKG GILHHNLQVTHANSAISPKAIVDIDVLESIRKKTKIAPRQFHRLVEMHLMSKLPLSVR PVPESTDESMDPPKTGKPSDADKNVYTQWRLLLKQRLYRRNVGVLAEFETTERIIKLN ETLENVEWEYARILERLNAPRLGEQNDEGTTDAKGKRKASGGEDGEPSSKKAKVDSV PFICI_12647 MAVLGCGNMGIAILSGILASLNSLRGPRPLQSDPSAPAEEVPAS LPTRFIACVRTPATAKRVKKELWQHTSCVKVVQKDNVAAVQRSEIILLACKPHAIKPI LSEPGMAKALHGKLLISICAGITVSHIESILTASEPTSVADADAVDGSDKNRVQIIRA LANTASVIGEGMTVIADSNVPPPPQVATLVTWIFRRIGDVVYLPVHSMDASTALVGSA PAFFSLVLEAAIDGAVAMGLPRDEATRMAAQSMRGTAAMVLAGEHPASVRDKVATPGG CTIGGLLVLEEGGVRGAVSRAVRESSSIASALGRGETGVNGTRRHGGHHVNSWE PFICI_12648 MGVRRCKKKPRGTWKGRRGARSLDRRQALNHRRDSDSDSVGLPL SIRQGSPEGSSYQVRDKPRDKPDDDKRAHSTSSLLTPLTDHNIVSHKDEDLSSNQEYQ YDEYQLRAKRNLARAANDSLRQQFHPAAVAGELAQGNRPPTPIPQSPHWDIYTRASSH HQPRDKPNNPKTNYGSGNRGRKRKAHDSSQSKSWTDARVDFLGNFRRKSSTKRHRPSQ TFVPQPEQADRNPPNCGNSDDDEDDEPLIPLSVALASLRPTQPAFWSFRNIRNWAKAP EIVEQDRRRKIIEDYDLNMADPFEVRMRFSGQLQHLNASVVSAQKAAQYAMKHRDMSE DLHSCILEQLEKNSMNTRANIMYFIEPFLELAEKERNGNDYIRRMQRDIIRVVDAVCP EDGSGAANVKVVRKVLRGLMGKGFLLEQTVEEIEECLKDRAAASHADLGFSSPVNGTA DSAAKGTDYKATTAIADANAPKAKPAKLEKRQIEQRIEEDRERHKKMRENMWVTPKDQ EEKLRKLWDEVSDCGEDDDRLGQEDEDECVELTGTGVDQAARRSEYLAREQAKKKPHD RTQNGFTTDLEGDVRMNGNHLR PFICI_12649 MSSPCRIAARSCARSIRVRSSLRPSTSFIQRRCESTEAAVSTNP KIATIVDQISQLTLLETADLVSSLKSRLNIPDLPVGGFAAAPAAPAAAAAEEADEPAP AAQEKTLFAIKLQSFDAGAKAKIIKEVKGLLGLSLVDSKKFVESAPKTLKDSVPKEEA EKIMATLKELGAVVTME PFICI_12650 MATIDVSSHRRHLLADEQLLTNLPVELSQVIRNYAATNFMDAVA AAALLPQASNQIFAYFEDVFADICARWLLAPQNRAQSDEVISAFARILPFAPYLSVFL EKYLRETSDPGESDLQRLRIISLDTPQILNLPSQTLEPILIALWRLLSFDKRTFSKLV QPSQIQVLFEHDKQPVRYLAIRVFCQLLSASDAKLEVLISKYIATDNVLAYDYESQAE ALRTSEERGTSLIGDFDGQRVDYTFLSLHEQKRASAIENLRRELKDTIHNAPTENFPL QSLTPLVVPYGATLLPRPRGAPGSSSSLVHSSTTLANLESLSRTLQKSGPVLLHGLPG SGKTSVVNELARELGMDSTMVTLHLNEQTDAKMLIGLYSTDSKPGSFSWRPGVLTTAV REGRWVLIEDLDRAPNEVMSTLLPLVERGELLIPSRGETIIAPSSFRLFATVRTTRGM HDQESLPTLLGQRFWHAVSIKMPVSSELQEIIIGTFPLLHKYSPDVISVYNRLASLPS RSVAGRGVSDRSITPRDLLKWCRRLNDLLVAAGCTTGEEPISETTRDWIFLEAVDCFC GSIPNPDVRATLVSSIAQQMHLPPRRVEHYLHAYVPHLEETQTSLRVGRTALSRKMGG NRISKPKKTFASTSHAKRLLEQIAVAVKLREPALLVGETGIGKTTVVQQLADVLGHKL VAVNLSQQSEVGDLLGGFKPVNVRTLAVPLKEEFEDLFSSTGISADKNQKYLESIGKC IAKGQWTKVSKLWREAPKMFRKILAELENRAKQAVAEESEDRPSVKRRKTGSKLQSLR DLQSRWDAFDKNLDQFDVQISGGSGSFAFAFIEGNIVKAARNGDWVLLDEINLASPDT LESIADLLASGPNDEPSILLSETGEIERVKAHPDFRIFGAMNPATDIGKRDLPLGLRS RFTELYVSSPDRDLKDLLTIIKAYLKGNSSKDEQAADDIARLYLKTKELAEQKKLVDG ANEVPHFSLRTLTRVLSYVNDIAPFYGLRRALYEGFSMGFLTLLSRDSEDLLTPEIRR HLLDKRGNAKSILSQPPKHPNDGKQYVRFQNNDKDRHYWLLQGVETPRERKDYIITKS IERNLLNLVRATSTRRFPVLIQGPTSSGKTSMIEFLANFSGNKFVRINNHEHTDLQEY LGTYVSGADGKLRFQEGLLVQALREGHWIVLDELNLAPTDVLEALNRLLDDNRELLIP ETQEVVRPHENFMLFATQNPPGLYGGRKVLSRAFRNRFLELHFDDIPENELQTILEKR SIHTAPSDCKRIVEVYKELARLRQSSRLFENKDSFATLRDLFRWALRGAAIRTPTVPG ALPREEIANHGFMLLAERVRDEEERVAVKEVIDKVFKVKIDPEKLYDVNTSPYLAQLK SKENSQGVVWTNAMRRLYVLVAQALENSEPVLLVGETGCGKTTVCQLLAEALGKELHI VNAHQNTETGDLIGSQRPVRNRGAVLEALHQDLVKAFALLGQAVDGEVDQLLPLYHAI DAAQAAQIPEQLQQKIHVNEVKSKALFEWSDGSLVQAMRSGQFFLLDEISLADDSVLE RLNSVLEPSRTLLLAEKGIDNSFVKAADDFKFFATMNPGGDFGKKELSPALRNRFTEI WVPPLSGDEDVLQIVKSKLKPEFQEAAPVIVGFSYWFGQAYRSTAASAFSIRDILVWV KFINESTHISPAAAVIHGAATVFIDTLGANPSALIALDPRSMDSQRQQCLDRLSELIG TNATSIYRAPLDLVVEKEQLRIGDFVISRAANESFETGFALHAPTTKLNAMRVIRALQ VHKPILLEGSPGVGKTTLVSALAKACGQPLTRINLSDQTDLMDLFGTDVPVEGAEAGN FAWRDAPFLRAMQNGEWVLLDEMNLASQSVLEGLNACLDHRGEVYISELDQTFKRHPN FRLFAAQNPHHQGGGRKGLPSSFVNRFIVVYADVFSEDDLLLIAQHNFPSAPAETVKN LIRFISKLDEKVVVERAFGAQGAPWEFNLRDTLRWLHLLTSQDPLLKTGNVDDFLDIV IRQRFRNATDRQHVNELFTDAFDSVPKDHQLFHTRTAQVVQIGLALIQRDQLIQPTPF PCIDPVSRLAEIESVLICIKQNLPCILAGPSGSGKSALLQHVAALSGKALVTFPMNAD IDTMDLVGGFEQRDPLREVNVALQELSQTLHKSILQGLPQAAPKYAVDLLGALEDVDA QNGDNSRLVSLIQGLQSQISADSHLATLLTRAQSLLLRPTGIRDPTFEWLDGIIVHAL QKGQWLVLDNANLCSASVLDRLNGLLEPNGCLIINEHCGPNGEPRIINPHPDFRVFLT MDPRYGELSRAMRNRAIEIHLDHNGASRPTYQQKMAQVESSLSRFELLNAAISVDATD NSTIAPFAADNLSLSDLPALARYWSNFGSPAVNSSPQEQLDQSASSTQDHALGSLMSF TKSATGTTTTMCNALQVVYGQLSDHVYPGFGDVQPIHSLHNAVVQPLLAGQDLGMPTW LAICYEIYADILKARSSMTSELDKVYSEGLSLSSHNRFQRSCRAGQVPDFAKDSTAEA AKFLEGTLGALETYLQNQLTTPGDFTERKHFLKNLMHFWWRTFAQLSGNVTSYFDEAA FQAHLRIGTESLTRYIAEDAHDVVTRELGSYILKGLTESFLAGFRLSTGLSIESLWTR FRPMSIANHHLSEMIYTLESFAPQFDRLKWDNRASVLELAQVITSLTNTYKLIREDVP QGDDIIKTVREQLEKLASETESHLATRPFMAGEFEYLRQVITLESMARQKAINTHVDE ALVLSDLPILTQLQLLSSTPSSQALQYAGYLMQPRTLKYLSEDNESSPVYAWSESLST RLVSRLESSGSIKLQAMHMLDVELPALAKKIAQNSEAITDDPLRKLGDGLRVLLNNLI EALGADFSVEFSQVRDAIANSASKACVKLTNSGLQYNLGFTPQFSTKIPVHLAQITLQ HLVPAIISMWAANEDAQARQAYLANAWIHFSVCTIKLFIPDKIYDPQLKPLVEAQSYE ERLQLLSEQLASLEKFSSFLTGQSTNLRSRLVQDEIVHLGTKPQLLDPVYRSTGGLRG DDLRELQGHFNNILKAVLDTDIPAVLARHCLGDEDATLQLQVVQQNVAALLHRMSWRK NSEYEDLRAPALNIVHCLEIGLSLSDGALIQVASKKPLSSHLVGLVPFMGGTPDNSPL NGSTVDEMCLLSYISAAVSVESTEGLDASMREILFRAINSFYDSWSQKLEADRKAEEQ KKSMYRYRDGYDEQEAKELEDFQELFPDYDQAQDSSQPLKISDARALAIELAQVHKRI FIAPRPPKESIQDLSKLAIRKFSAAAEKQASDRTLLPAALLALDDKLEAFRSTPMSTS YNFYVDPNLPEARKLVKLANETRARFRQLQQVDEIGHMQPLVDVIGACDALLDLSHTE PLAKVITLLEKLHGHVYEWQHGGWAPKIYGALTIYNNLTDMIVSWRRLELSTWSNLFD MEAKKCEDDANSWWFIAYQAVIAGPMVLAHSGADMSAHATELLKNLEAYFSTAVVGQF VARLALLRQLFEQLKLLVVDWPAMSIIRDAVSNFISYYAHFEKLAEETIVKGRAPIER QMKDVLLLASWKDTNIVALRESARKSHQKLFRIVRKFRDVLGQPMQAIIGTGLPDEPQ DGSPMSAISLGEAPNVNDMALRVCEDVVPEWQTAYKRLANVSKTVRIMTKMAQLPSSS VDASEVVSAFLSDLETSATELRKETPPFLTDENKDLVKHLKSRKRKLFAETLRSVRDM GFRYNLGTDVLAQQESLSVVLSTTPPDSAFQSELEKSTSAEYYFHKMLDLAPRFRSAA QTHNEDLTGAEVTRSIGYLESMMQSILNQRRNLATTGRDFGEFVKQAAKLSAFTNAQS ATSISRESTRSNSSKILAWITALLSFGVDLVRLHGKFSSSDNTPLVELLKSYLGRLHD LKKNWKNNMLPPGLVTTDQRLVENQLMEILEQLATDLQVHGEARPDLAFILEQILLWT TIDVQNIDTINADQVAPSKLLASFKQLTDSILVAIERYNKAFADVPTSSDQAGWLIQY DNAMSVSLRALHIPKIIESLQATMTAISGAEIDDKRVGELAASLSAVASPIIQRYWSI CQHALSVYRHFHKDVTQMAFSLSKTFVQLAGQGFCTPQEKSDETSGESGKLESGTGLG EGEGAEDISKDIQPDEDLSELAQEKNKESKDGEIEDEKDAVDMADEEMEGELGSVADE DEDDKGSKSGDEEDGENDMDEESGDVDDLDPNAVDEKMWDGENEEDAEKDQQGDKPKG QQKQDEQMAADQNTDDKDAQNEDGDQQGEEEENDELGAEQDEDVQFDDMNRQDQNVEE QETLALPDDMNIDGNDDDDLSSISDDNLDELSDAEEQDTNEQGEISDNESEKGDGDEG TMNVPTEEEKDDAEMEEQQNEEANIQEDEEMEADPELDDQEKTEDQIKNETDPSQADV APSDVRSGGQDQNADQTEEQEQDSAQENAAEREGGEMGESAADQDTSAGNKGNVSQAQ EQAADGEMDDAKDSSNDQPFKALGDALEKFYRQQRDIKEAKKEETQPQQDIKADASEQ EMASREFQHLENDESAADAQAVGTATEDEARPFDDAMAIDDETQAQDNHIQPEDKDEL GEDTAETEKMDTSEPLESASKQDTKPDEPRTGVSTRQGAYDREETPPMEGVEREIQDE EEDVEETSVQLESTHLSAPAFELRDFEESMQQWTSFQSKTNPLSLSLTSQLRLILTPS QSTKLSGSYRTGKRLNIKRIIPYIASSYKRDKIWMRRAIPTKRSYQILLCVDDSKSMG ESSSGTLALESLVMVSRALTMLEVGQVGVLGFGANTFMAHEFTEPFASHDAGAKVLQK FSFDQDHTDISLLIRQTIARFRAARLQNPGRGSEDLWQLALILSDGLTPSDKHDEIRR LLREAHEERIMIVFIVMDDTTTHKRDSVLNLRKVQFIKDDLGHTTGEMRMEYYLDSFP FRYYLIVHNLEDLPGALAGLLRSWFAEVNA PFICI_12651 MSGLRRSSSSAATAVASMKKWFPTTSSPLIVSAPMAFVTNVQLA TEVTKAGGLGFITGGRDFSPESPALKKLDEDLTTARQSLSLQAKSILHHQEAGAAAGS AGEKDDGVLPIGVGFVTYDASLGAFAQTTTPILRTHRPAAVWLFAPSPRAATTTAEVI ASLAAAGREWGLKIVVQVGTVAAAREAARDGAHVIVAQGVDAGGHQWASGAGVISLVP EVVDMLRSEFPDREIAVWAAGGIADGRGVVASLALGAEAAVVGTRFMVAHESSAQDYK RKAILSTSDGGTNTVKSQIHDHVQGNKEWPDLYDGRAIVHHSYHDHQAGVTLEENERR YQAAKESGDHARLVTWSGTGVGLVKQALPAGEMVRTLRNEASQVLQHLKGLTA PFICI_12652 MVFGALHDTSWRHASVGGYGESKAYDAGHESVVPSPPPPPSFLA VRTSSMSSTSVAAARFPQDAHDWDFAAPIIRELYMVQNLPLSRVIEIMTTKHGFKATM YKSRFPKWGWAKNEKRKPPEKRAPRKSRRSAPQAEPGSSEMIAAAAAAQTPLPPTSPA DRLMLHESPYARHLYESCRALDIFITSWAARDPRWQGDTLLTSLAVQPLPSYIDQAVS HFEGGDYQQGGKFLRLAFLDVEVCIKDDHVLNIFGLLVEWSDPLIRFPGVNDVEVLMA FSKYVYQQALIHWGNHPITLMCRGLLEATRYGTERVVSWMQSMFRLRIDVFRSLRGTD LATISGQTQFLSRWPDHNMTLDYMQSQHRRIQQIQETYGADHHLVLRDQMALLRIELV QKLPSGNLEARLERLCAATSRYKRSADRDKDPYAALKQHTIFRGSHFLLALFRASQND WEKMLAACREVIYLDCEPVTPWGSFVVLVIDLLREHGLHEEEERIIAWKKSVELSPSL EKLLEEETASGEGASNLVSGLVNCKREETVE PFICI_12653 MTSRVSAPGSKSPFDKLPTELKEFIWQYSMPEHVPEIAILPAGL RPITPLGPRPDDSAEHSLVIKTAYPVGMHVCREWRVFAMARTAFRYSQFAMMDVPTRP FRPDLDILYIPALVSNPVWFSNDSRCEAVHHIAMQSETFLNYGARSLALMTYFRNMDT LTIILPASVGRHPLKTTFPAPRTRCRLRQIDNPALNDIDTLAVIQDCGQGNEPQEQEA KVSAFLHWVEMVVQRTAQYLQATRERDPNELVEAKQSRLRRPFRAFAQTFVEYRYRNG TAHWEETPGGMP PFICI_12654 MAEQCIVCLENLDEQPILGSVSPTAEQAAASKVQPSESAVPESA AAPVHAHSTATEGNGHAEPLGSVVDDDSKIAEIDICGHILHNSCLREWTGKANSCPIC RQSFNLVHVYDRVGGTKLESYTVEDKKQVAEFDAQAWLDENPEDNEPAQPCIICQNSD HEELTLLCDSCDAPYHTYCVGLDCVPRGHWLCMECNAQYRAVTGEEWEEADDDDELSN RRSDYLPRTQATMRRARRRARSDEWQGAWGQIASHIFDALDLDLDNHDDDEALQNWRR SQHRRERERQEYERWQQRLNIANRLGAGDTFAENLARGLTHRSQQHRQPTRPPPPPQE TVEEKKAWGALEKAMEATASSEGSSSNNRRKRKSRSASASPREPAPEPERRLKRPRTR RMPNASEASSSRQNGESSRANQSLLPAAPLQQSPELSAQEGAPTFLSSLLKEVETSKG SDDENVRNFIGSLTRPSIDASSPARSPSPSGPSSPRALSATPPPRGIADRPGSPLSLS SHIEPIYPSMSNFSPSRLRNGGDQSGSDSESTSRRSHPQQVAPRQSHIRNPQPRRQTH ININTDLNHTSHSPESSPTRESLPLQTKESISAMIKAALKPHYKSGSITADEYTIINR DLSRRLYKEVPEESLSEETRRHCEKLAYNEVAKAVSDLKEIKV PFICI_12655 MTKRTKKVGVTGKYGTRYGASLRKQVKKMEITQHAKYTCTFCGK VNVKRHSVGIWNCRSCRKTVAGGAYTVSTPAAVAMRSTLRRLREIAEV PFICI_12656 MSAKRHGRQYDVVVFGATGYTGLMTAEHIAARFPTDTKWALAGR SAEKLQKVVAECKTINADRIQPEIEICSLNDDDLLALARKTFVLITTVGPYAQYGEHA FKACAEAGTHYIDCTGEAPWTLKMIKKYESKAKETGAIIIPQSGVESAPSDVLAWELC RTIRSQLSAPTADVIVEIHELNSVPSGGTLATLLGLFDSFSIQEFVDSVKPYALSPVP HPRGTPSPSIFSRLTGLYNVPNLGLLSTSITAATNASIVQRTWGLFQLEPSRQKEFYG SNFSYQELMKARNFATGIAMHYGAMVAGALLMFVPPFRTLVRKFVFKPGEGPSREDCA NEYIEFRGIANPDLPNSRKQAFVKARYQGSMYYLTATLMAHAAATLLQEDVKLSGGVY TPASLGQGYVDRLDENGFKVESKLLDL PFICI_12657 MASSSASSSSTAMDSNPERTPLLHDADRPRPARTVTFNPNPVSS TYFPEPASLSSSPSSVLGTIQPVNALTPVRTTGSIPNPPGPAAHHSTISAMTNRLRRR NSHGGTFTSLPAPLGPIPKLGPQRSSKTAQKLKLLPNPDLGEAVADEESGRDVYSQYT RIQDPVARRDAARLGKADRDRLPRVTAYCTANNYQMEGLLRFLKGRGKTRGANPKLID ECIYTPYNYSTGKGAERAEAVDERIRREREEQEQRERQAQQNSATRPMPSYERRHSTG QLIDVNDGTTTPGIDDSFNGGRDSHSNLSYPSHLEETHDPSEGHHQADFDTTVHVPEV FLFEYGVVVIWGMSLAHEQRFLKDIAKFELEKLDIDDVETECFNFYYTQEYQARIYND FITLRDKNNYMIKLAISHALAQSVKTSLFEELIASTIDTCKDIPTQIALTGKIALSRN QINMQIGELFILRINIHLNGSVLDTPELFWVEPALEPVYQAVRSYLEMDQRVGLLTER LDVIADLLAVLKDQLSHGHGEKLEWIVIVLIAAEILVAAVNIVVDLYAGVD PFICI_12658 MAPSNSNPPSLPPTVEEAYRRKCIQLKQRSKEIEDENNAYKLRL TRLERQIQKARLERAFLLEQIAKRTSTNVEDSEGSPSPPPTPEDKPMRVKRGHKSSLL ASELASSGPTFINDNPRPFSPMSESFSQDQYAQNGLAPKPPKRPSNGFEIYCNDTRPK LQQEHKDEIANGEYQLEQELAKGWKDLTTDEQGVYQKRYEEALKQWKEERDAYRRSVK NASKAGRNGYDRHYGSSRRGRASGAAARNRSHVTQPDDEDVSVVEDQGDEQDIEMGDA DQADEDVDPGASDPETEAEDEDQDRDQSD PFICI_12659 MSLNIPNAPNAGLFKGGYNNYDSEDGAVLRNIDACRAIASTVQT SLGPYGRNKIVINHLQKMILTSDAATILKELDVVHPAAKLLVMASQQQEAEMGDATNL VIVLAGELLKKAEDLLRMGLKTADIVQGYERAQHFALEALEELVVDKVENMRDQEELS KAIRTVVASKQNGNEDFLADLVAEAVLAVLPKNPANFNVDNIRVVKIMGGALEQSRVV KGMVFPKEPDGAVKKAQKAKVGVYSCPLDTSQTETKGTVLLHNAKEMMDFTKGEEAQL DAAIKELHDAGLRVVIAGSTVGELAMHYLNRYGILVIKILSKFELRRICRVVGATPLA RLGAPMPDEMGSVDVVETLEIGGDRVTVFRQENEVTRTATIVLRGATQNHLDDVERAV DDGVNVVKAITRDPRLVPGAGAAETQLVERIQAFGDKTPGLAQYSIRKFGEAFEVVPR TLAESAGLDATEVLSRLYTAHHKKDDWKTGIDIENNDGSGTFDANNEGILDLMVTKAW AIKLATDAVRTVLSVDQIIVARQAGGPKPPGPNPNWDDD PFICI_12660 MSDAQLCSSCQKLILDDWKLITELRTLDAGHTILTGICDERLEY ERQDVYPDFPSLEATAQEGCHLCAQLRATFLRILGSHLEDHLQKSRNAARKAERQPID SIGVTFKNFQFRHDSPSVYYGQPKNASYLLGFMYLQDPMEILPKGSFSGITLQVAAEQ ADDPTVGKLITQQAVVPYAALSYCWGDQSKYPPLETRPTTIRDRLKSIDIDQVPHTIR DAVIVTRRLGIQFLWVDALCIIQGDTKDWQDHVALLPAIYSNAWIVIAAALGDSSHSG FLQRDRTLNQISIPCNALKRRFGHSGKITLDMDSNLSYEWDYFRQEIDGSPWDRRAWT HQERTFARRVLFFGNRLYYQCQECSRIEDIPDRPVSPISLNFEIEERIYTLMKGSRSV TENYQHWRRLVQAYSERLLTYPSDKLAAISGVAQYLGQICNDENLAGLWRKNLQLDLL WTMKTRVSRDQDFIGTRWAKSQNKERLDLRNADYMAPTWTWASSDKPIRWISFCDGRT ENLKTCAVVDISSTLKGANPMDALRGACIVLKGKSTLLDPSWISERTWIWRWDYADET PDPKAIKAFVVAEERREDRLACCMGRTRPPKTYTPVACGLLLEKTDFYNKREGAYRRV GMFKIAHRDRDILDSCAIETVTIV PFICI_12661 MGFRVHAEVDEAMGWFEAISSYTDSSLPAGHDVAPGLGWPTELE QKCPDWTEAFKGIPLRDTIGQPSRLVLLQFSDEIHPEQPVQYAVVRPEQPVQYAAVSY AWAQCKKIEDILCRLRMLMEPVGLVYLWIDQLCIDQKSEYDKRVEVGRMGDYYAQAAV TYVMVPEWSPTFTWELAGFRMSSQQAAKAFTEVEALETTSWASRVWTMQEAMLSGRTV FVGRSQAKSAAELAIAYRLHCTEGGADAHTVWRRIGHRSDVRVEQRIARGHRVALVSA THLLKDNIVLPETGDSINHQFRFLDDVWRIAGARYCSAEEDRVYGMLGLVWRGETVNV EYGIGFEEAVRRAAEKGLISPGLLLARTNSQKPGRCWCPAPGTESRLRATKRRVEDFD EAPTLHLTVDGLCIVQAARLRMPSEPELPSEYSSEVIFCRNMEGGRDFRIKFDCGVPE GEEWGGDWLAVVDSRDIYGRRRLDRATLIKYETKEGGILVKLQALEASIWFLENEYVR EFLLG PFICI_12662 MSRRTISFLWGYHNQEKREKEAFDAKERDPLRVPSKLDRTRNEI TTQTYTYDGLSRGSSSLRLMEILPGTRQEDLACRLYETTLDESTGSYAALSYVWGDGA ETTPIQINNKTMDIGINLRTALFNLRDLRDPITLWADAICINQGDVKECSQQVVIMGE IYRRATKTIIWLRDQVEGETERAFDLLHKLAAHAEAHRKKHSSSRAERPSDPENMIHL ANHPLSLLERAEVPEEFDRYRYDQTIIHVLQSEWWRRSWTTQEILLATKATVVIGTHH IDWDEMCFGGNHGVNLGIWSTLKLGVTHDTIITPYLSLQLMEATYRNSTESPAKLLLE LMIQCQFRGAKKPQDKIYSLLGLVTKTSPSGIDQEQAPKTISPLGIRPDYTLSEGSVY SNFAYQMMYETGSLDILGACAAAATPEYLVSNLIPDRLEKIDPEDTHSSHLNLQLPSW VPDWSMVETTVVPLLHDALGRPRKTHAAAQSTYQPHLLEENADGQPTTLLLEAHELTA LIDLSDPLRECFNGIAPGLKPKKLKEKDDPNDKGLQKLGRVQRVLLQYEFFMSMVSHL TIFAEWEEFARKTQPTNPRPTSTMRWLTRNASVLSLGPGPITAAAAEMEEEPEDRLAI YWQTLCTGTYPDEAEASTEAKGRGSKIAAQISFYKWRASLKLIRDLHRWQAESKLRPL AFLGYIMKTWNMYSDFLRFLDGSYGRRLARGANGYLCLVPAQAMEGDMVILAKGGRAP LVIRPHEANAGAGEYWQLVGEAYVQGIMNGEAWNETKCQAFKIR PFICI_12663 MSSFIAISESTPGLFGFALLLSIFLLSYRLLAHPLAKYPGPFVA RVTDGYAGYFAFKKRVHLATYSDHIKYGSVYRQAPNRLVFNTSAALRDIYLNNGIGKA HVYQHTQFNAQINIFGTLDKEQHRQKRKIYGSVLSERSLRSFEPSMTYEINVFLAQLL RNASQIVNISPMCEYLTTDIAGQLAFGQPLNTQVEETNKLFPRAMVSMNAVVNIFMCW PVLKKAWPLLARLNKKNGAAFSNAIRGIVNKRKDLPKDARHDFFSLVATDDSTTEEGL KASHLWAEAIFILPAGGTTIASAISAAFFYLSRYPDVYSTLATEIRTRFSSGSDIKIG PQLSGCEYLRATIDETLRSAPPFTGTFWREPFPDYTDQFIVDGHVIPRGVLVGVNPYC VMHNEKYFPEPFLFRPDRWLGHDSQERAAMREAFAPFAFGETGCLGKAMAYHEMCLVL AKTLWYFDFRKAPGEAGKLGGGEPGRLDGRDRVDQYQLLDHAVASHDGPNLVFTPRGD YYKSELGSV PFICI_12664 MKLENNNPSISIGGLEPQTNDHDVSSLDRDSGLDTGGAKEIVYL KGIRFVMLASLIGIMVFIRIGIAQWLGAEVFATVGYDDKKKLFMDRFGIPADHIFYSR NTSFAKGVMRMTNDYGVDVILNSLSGEELKARWECIAPYGRFVEIGKADIISNSSLPM SCFAKNKSFAAVDLVHILESRPKLITQMMKRLLDLLSQGIISGPDPLHIFGLPDVEKA FRLMQSGTNTGRIILNSSKDDLVQDFDPVASYIVAGGFGGIGRAILTWMVGRGAKNLI VPSRSGASTQAALAIIGELAGRGVRMMAPRCDAASSNDLTALLHNCTTDMPPIKGCIN AAMVLQDSVSENMSYTQWSTTIQSKVATSWNLHSLLPQDMDFFIMLSSIAGVCGSPGQ SHYAAGCSFQDALARYRSATGCRGSVSVDIGRMRNIGIIAETEEYRRKRNDGAHMYTV EEPDFFALLEHYCDPCLGVLHTDHSQRIFSRWERRRTTSSPRPLFAGFNAPHLHNGSG KNSAVSTQVDVAALFQQATTPAERSAIVVEAIAAKLARTLEVALEQIDLHDSLSHYGT DSLMVVELRNWIHRDFGVGVAVFEIMGGASIMAIGDLVARKADEE PFICI_12665 MRSLAVSGLCLFVIFRFSHRQYGQSDSVDDGDICLERLAGDLLS EWFGIEINSLERPIRVLHCLEQVKGQMAAVVEEEGHVLAEDRTEYPETDDMLDVDAAD DQPYSGGNPSQFAPHRHSQGGEASGQSGTNKKRLNNGDLKSSIPSGLKATTRYPKKKR RTGLNLSCPYRKRDPCRFNAQQYERCALR PFICI_12666 MSFQYEPLDPSRREIRLLTLLPSWDGKINGRLHVVSLDLEQQPE FLALSYVWGHEDEPEPITINGAGFKVKKNLKAALLRLQKSEPQLIWIDAICIDQGNDP ESIREKNFQIPLMRDIYTQASRVIAWLGEGNDKIEALFRWVHHARPEANKQIKFESIS PEVAPPLSSDRTRTSCQDAETSIEEQRKLDIDDKNISKRDLTSNKDSRIDDLSRLTEG GKAYLGFLDFLLLPYWQRMWTFQEISLPKSDPICVYGTHTANLSVFLDTSKDSPVPYK YRRHIPKAELVDLEPARYGVSETESIRFTLTAGKIRVILDGILIARHKNMRGDGGLEL PALIKATIGRKCHDPRDRIYALLGLDSRRSLFHCNVDYGKDILVEEVLTQAGISSVEF EDPEGAWLFHFFGMRPTRFSDNLSLPSWIPDFDSHCPISSLIKPAAKSLLQRSAHDDT MPATHQRDCVVVVGQPGVGVVVAGEPKHSTIPASLHQLATFNSSLEQKSSGADALGPD GSEEWQTMKLPASKSNGPYGKGEECEYVDAHVKKDPTRPRLRYLSGNLPSLRMTARKL GQCQSPRSFAEDYYYNAEHVMEMIHTQKNPRSWNLLLETMDAVPALNSSARIPRDIFA GLQTEAAFEFAKGEIERGFQDTTAFLKGLFESIQDSWDAQTQTKEETLKYYLRVFIGF CAFGLSGRSVFKVLFQEEMYFSFVGEPVEEGDVVIMPLAPYFHPIVLREEKRTGGISA DDGDEKPFHGTCDDIIWHRMVGAAFIRDLLEEESEMVAQVAKLRVEDFYIR PFICI_12667 MSGYTRNEVIEAVRSFYTFLAGLPRLSADDILDPPEQGWPDLTD AYLAALGKTPVVCDLLRHLPYIRPNGQNNQQIAPWTTATNYTDSTTRWTLNRGLIDGN LAPIGAGDVPPHVAVLTSGSAITDFIQQERPERSEPAQDSPDAWRAYHTRPAEEFFEE WKDKYRTLEWAPLIENADDGVVISYDAGSEEVRQIYRDHGWPDRFDRAACADAIRRWE GNTSNYEL PFICI_12668 MFGRSASKQSGFTGASGGRENRGRDQIGGNLRELGILGSLSSES RSLRSPFKRNKPRSPQTPVQNDWDSGFDYQPSFPEKNHSISAGTSRSFPQRAPSHRSV ASIKSSASRDTVRQTSPRSATAMSSMMSADRTRNRRERTFVGSECAVCEEPLEHTLRG ERILQFSCGHVSHEACFYEFIREFESQYCPSCNAPLHLDTSRGGNVLDIEKITSMVRS ASTSERESQHTTPPSGPWEEPIPHHRGPSQSNGRDSGTRGSLRDSREAPSSYGAHSRH GRSDSEATGVASSGGYPETTQSGPARRHDYDLQAMETTPTSPQRISRNPIPAPTVTVR SEFPTISKSRQQQTLTCLITVEVPDNKWKPDPEDLGSVVPAPPTRGEDAYAQRPPSPA KSNKPRFYPYESPEVLQEMTENLRDRVDNWHGLDFNRFGKLRLYGTLRVGKDKVSWQE LECFLFAEMLICVKEKRNNAAAQQLDEYGAPRKATRCTLKGSILIKKHLNDVAETGSI DENVLTLSLSVSELPSFHLRFDNRNQLKLWQQALRDLNATEGSPVRGPDYERAETSET DEDDWGRQGGPRRVSAASSGWGGPKSTTTAPTEYTTFAKSPLFSSVHVPIDVVVVVPI SSSMQGVKINLVRDALKFMVGNLGERDRMGLVTFGSGGGGVPIVGMTTKAWHGWNNVL ASIKPVGQKSHRADVVEGANVAMDLLMQRKHNNPIASIMLISDASTSDADSVDFVVSR AEAAKITIHSFGLGMTHKPDTMIELSTRTKASYTYVKDWMMLRECLGGCLGSMQSLSH QNVKLKLRLPEGSPAKFYKVSGALQVTKRATGRDAEASLGDLRFGDKRDILVQLVIVP DTTSQDQLPQDPWETIVSGLEALGGPMDSDDQRTLSVEELPLIQADLVWGDILREGTM MHLPRPSLLAITMLPPVASSRKSSWQNNPPIPPHQSIVQRRMELLTSDMLTRALTLVS RGQHDRAHTLLNETRSILKGLGKGGLPPVPPPPGKAPSLGLEPESATPDRKHTPSPTA SANGSVNNGVASSRPNDGLALSSSGGIDPGTVAALDAELEASLEWISHPAVFGRDSRK QVLQAIGVISSQRAYTFRTPIESLWASRVGGVKKLTDKSREWREEASGEGIIEEP PFICI_12669 MTKDKYTEFTPEHYPPFPDGLPTVELETISLSRLLESAPEEQSR MFEACKGRGFFYLDLRGSKAGRILLEGAERIARVGEETFDLPVDEKMKYAQGIKARTL FGYKWEMRTVPADKYLAFWPRTGVGATVTDKAGTKDTAEFFNVAKNDMIVADDAMRYP WPAPVLEAKPLFREYMQTAHAVGMTILGALAEKLGVDAAAITSQHRIEELAGDHVRIT RGPPRETEDMPEIQTPSHTDFGTITILMNWLGGLQVWSESARKAQLNNGQPDSPGEWL WVKPQKGCAIINLGDAAVKFTNGVLCSGRHRVIPSPGTQGKWPRYSIVYFVRPEDKCI LKQLRGPGIPDGPEEEGLTAADWIYRQAQGLGTKFDK PFICI_12670 MPPRIPTRFTAQCCRAALETPKSQSQSLIGAFAALSVQQTRHAS ILADLRDNRGAYQKRVRVGRGPSSGKGKTSGRGHKGQKQHGKVNPWFQGGQTPLIYSR GRMGFENLRAPVMSEVNLDKLQEWIDQGRIDPTKQITPKEIIQSNLVGSIKDGVKILA RGATTLKQPIDVMVSRVSAAAIEAIEKAGGKVVTRYYTRQSIKRLVEGKSVNTDLPLP VGSENVGPVLEQVRQKGFFYRLPDPTSRWDVEYYRDPAHRGYLSHLLKPGESPSLFFR VPPSKLVKAKKQKTEKKEDTKLW PFICI_12671 MSFAGPNPERDGIRASKVLSKEDDAEVRDGIFDRGYDHDPKDGL PQVMIGNSHTGCQGSYFPRANGRAARITFRHGSLKRATKCGCLVKSGPGAFTDDLPKE LVEHGVKLVAEQKLETKFASIIMMKLYLGLYVPESVRGTYPEGRRCLAKMDENSGRLL SPKTTSPERGQRKVPAKEEKVVKKPPWRGP PFICI_12672 MANVLVPAKEWIHPALREPCPTATEEQSALEYATPLMIKYAYEK YRYNLTPREVYEILYEGCWEGVDDFVEYMQQDMSPTPEGLRVYTFEEVDAMDAALRKG ECAEEEIIEDFDDESEDDEEEQVRNIRDVLEDGGEDTQFFFRMLERDEEDNETEEDLM PLDPLPLYESQCGDDEAILESDMPPSFSPGPAPPEYTAQALVLSCHDQVVENEEDLVA TIVEDYYSEVDTEVEVDESVKSAQEPIQSSAISQFWPSPLGTAGWTQDKISNSRRVFT STAVKVVPALVIMGTLLWRDLQGKS PFICI_12673 MKSIFCLLPLAVAVLASSGAEETAAEDPIKGTDTVHGCYSSVGE LTFNSTNAFNGQGLCSTYCRGGGFAVAASQSSDCYCGDKYPPESTLVDDSECNEPCPG FSVDACGGADTYTVYNTGEKVNVDSSSDDSTSSSASASGSTTINTAASTAVSTGTSAA ATTTGSASNGTATTGTTTSTSATTVITNAAVAQLPVLGLNLAVLGLGAAALVL PFICI_12674 MFTSLARRHSRCLRPSSCRGKLNIWESASVHQYTSISPFSTTRR CDAGPRIKAGGSFAKVRFSQTDVPQLAFWKERARPPLVSGLDPEDCFRSAHTYVDLAI ADRPGWRNTLRDPPHSLSYETLHYMAAMVIAGSPGPAFPIALHIYTTLVSLNYTPSIL TMTRLALMRNLLGQPQFRETEEKFAALVRRKDDPNACTLQGMILMAKSTPETDKKALE WFRLAASLGGEEPGAWEWQGACAVEMGKVYLRLKNPQRAKDIFKYSAETLDAPEAALL YSSLLDDSDPEKYIWTRKAAVSAVNNAAREMARLEGLRAPSQDSKSVGAWEKKKSLVL QREWEAIAGDRAIV PFICI_12675 MAPLTRRRKAALDSPQQPSSAPPSSAASKSKRKSISEEAEVSAD EQNTDTVTPKRQKLAVRTTKDQTKTPTNQEPHSTVKSKRSRDALVADSDDSDDAEPLN TPYQLSKQLEEDANQQLNQELKAAQSQQSKAAAAPAKSNRIVFGDDDDVEQYVAAAAQ KAEKAKDAEEEAEEEDSDDEAPEAVTASAAAQESKKLAQAQTDAAEQQAAKQKRKRQD RDNALKQQAQKRKRASKPSRDTADGDDEDKVTTGRKRAEKFNLPNELPAEFLTDSEDE EEDERDLRVVRKPTKIKFDDAMNALSKEGRAPKDEIVGSTAYRVMMDEADQTLAPRAN QNSKSVKEMLLHRRRVGVAPTKAKGFFKRR PFICI_12676 MSPPPHVLIVGAGIGGLLLAQLLRKQGISYEIFERDENDHDRLQ GWSIAIHSMLDELKGLLPNDLPPVEVTSNILPLNLVPEFAFYPQDASRKLGVRDEGNG EIIRANRRRLREWLSTNIPVQYDKKAKTLEEKKDSVTVQFQDGTSATGSILVGADGSR SIVRQQLLGKQNDLLRWEPAALVTADNIVLSGDDMRTALELGHSIWGVDFKDAEGNRA WYFAALDEIAPDGKSGRFYHHMLWKDEAARADDFWVHSATKKQLYDVMVQKAATLIPQ FRVLMDKTAPEHMKSPGIRFSTLVMPTMPIGRVTLLGDAAHSMTAFRGEGGYHAMRDA IMLSKAITKMEKLDFENIKDVLGQYQHEMLERGREAARLSQMQNALEGNQTGSGGRLI AGHPVVVLSENPLDIVS PFICI_12677 MKGPRAFKVASALSLFTSGLCADNSTNTTSASEPTLLSDGNLDL GVAADAYEKAVAFVSTLTNAQKITIITGGDLTDDNATWTALVTKDGAAGINQNFFVSG FTAPSALAMTWNRTLFRENFYALGEEFYDAGANLIDGPVSSPMGRVVYGGRNPEGYGP DPYLNGVAVGLGVEGMNSAGVITGARHYLFNEQETNRSSTNRYSSNVDDKTTREVYLW PFADGVKSGLMAAMCGMNKINNTLSCENSDTLNKYLKSSVGFPGMVFPDVSAQSTSYG SANGGLDFGSSSLWSEDILEAGIANGSFTQARLDDMAVRNVIGYYYAGLDDGLQPSEM GTDEFRDVRGDHADVIRRVGDEAIVLLKNTQDGTGRGLPLNKPRTVSLFGAHAGPAMG GPNRAFSVAGTPSDTYQGHLAGSGGSGQPSLPYLVTPYQAISARAISDRSMIWWILNN TYTDSTSSSGGGGGFGGGGGMPTMGDNSTTNGTLTGGPTDSGNSSSSMGGGGDSSSST NLSNLGSGTAQSPSITNYAPNSAACLVFMNADSGEGADRSELSNTEQDTLVTTVAANC NNTIVVVNTVGVRILDAWIEHENVTAVLYSGLLGQESGNAITDVLYGDVNPSGKLTYT IPKNASDYPSEFNICEEEQCDYTEGVYLDYRWFDAQNMTVRYPFGHGLSYTNFTYGTD VTATVVNETALSYKYASGAMALGGQEDLWDDLVNVTASVSNSGSLTGAEVAQLYVSFP DEAEQPIRILRGFEKVTIAPGESANVGFTLRRRDLSYWDTAAQGWAIASGDYTLAVGT SSRDLKASTTLSLQVAA PFICI_12678 MSLFGTTPPNESPSLDDSGLRPSRVSLFDDDGPTNRSGSDSLFN DDDLSGSGARSPWDMPTPRKQQSRADLIRGLLAGVQVPDSYVEAFDHALQEDGRGGKI LPAGISKTLAAAKLGADEQALVMSIISPNGDEVELARDSFNVLLALIALAQEGETVSL DGVDERRRNLPQPQLSNIPKKKEEPLFDASELAAKPPQAPETPRKDSFPRPVPKIRKP SMTDPEDDPWGSPDLHRGHNHVSASQSNGAEPTNGHGYENNHESSSIGVTPELHQRTT SNFTTTTIGSASPSGRQSAAPGPIPETPRGSGGWNYFDGANPNPDQGFGASPTNQPAN PFGVATPQEPRNNAPAAAHSRTISASRVGSGAVESILVSLMPEKEGMFMFQHHNYEVT SSRRGSKVIRRYSDFVWLLDCLHKRYPFRALPLLPPKRVALNGNHLSNDGGFIEKRRR GLARFLNAIIRHPVLGQEQLVVMFLTVPTELSVWRKQATISAQDEFTDRALPQGLEDS LPIGPLSELFDRTRAGVRRSADLYINTCNLMDRLAKRSEGVAADHARMAVSLASLTEV SADTYATDTNDVPLLNDGLVAMSKRLKTAQGLLEDESKAWETGVLEDLKRQRDALVSV REMFDRRERLDKDNIPTLERRIQSNETKLAGLRAKPEGLVKPGEVERVVESIIKDKES IVNQHNRSVFVRETIRDELIYFQQTQYQVSRWNQDWAQERVKYAEMLADNWRQLLDEL EGMPLGE PFICI_12679 MPPSTSQKALIDHFVARTGTDRKRAERLLKAAGWDLEASLRSNY PHSAGPSESSLKAQFESLRTSEDSADTMGADSTMSYLQQLGVSLDDASMFLGLQVLQA EKIGELSKERFVKSWKEANVEGDVTHQKNYIANKLRLMSTDPKIFRDVYRHAFVLGKE GEARAVTLEMAETFWQILFAAPGRPWVGSQTGINWLEQWLAFLTEKWTRTVSKDMWNQ TYEFATKSIEDESLSFWSEDGAWPGVIDQFVAWYNEKRSATGAMEVDS PFICI_12680 MLYELVGIVRPGNLTEVKEIVMAAGNLILQNRGVIRGVSNWGVF SLPKPTTAHQMRHRDGHYFALRFDASIATQDAVRQVLARDPRMIRHTTVKLGDGKLSS LARLGRDGGHGPQGW PFICI_12681 MSVKPEHDQASAWNDAYMREISARRKQEADQLNRSFEAKYEHIR GPLVRLYDRRAELHKDLQNLVASIKSYEAEQEHLGLDWDAAHAALNARFEEEDRMLAA RERERVEANGHGRPSPSHGTSAQQSGGWTSINGSQGRKDITRDGEEISGGNYRHAEDG TNGKTLPYRVNGNGFEMDESDPSLAGRRPLKPKQLQQQPPQRHSLPGFHSEISRGMSR LKPDFGSCLVFSHIQDSPVDAKGRSPSGRRSLPGVRAHSQAPEPANPADLREINRETL ELKNDGTVYTEPPMYAGVPLQRITPEHEYWDPDWLPLEDHIQPQLDAWQQKLDKLRQD KLAVRHTVFLANRQVNRGQAIIDFLRGPNPEFHPYQYVGKEMMAKFYKTFINYDTMFR LINVHEELKKFDLDITPLEWLRQRMYEVATAQGDKFNLSKYTHDLYHDIKLKVLREKH GFGNIGRPSGYKVGEKNPEKGKAKLKREQMGMGGRRKGRRSIGQVDMDDTQSLDGYPQ QPQQEFLDPLTPRLQKRPRIEDLPPMLPHPPPMPSQQMVAPLMPPSAPGPVVDDLEHD GWSSTDSFSAGTISHLDWRIHQIKTPQHLTNPEVTQYWTFKKDSRIFEHQILHDISSG VVWGKYDERVNFDLKLDDIVEIQYASDSPRIAVLLRGPERSHVLAQFKRERTKRRFLA FSRKQGVSLAKTTATHLDDTWASMKSEEFVADK PFICI_12682 MFLSRSAVAVARRAAVSPIVRRSFTASVIRRDAGAKNVEPHASV GQKLKTFGDIKTEDDLVGPGAAPGSVPTDLEQSTGLERLEILGKMEGVDIFDMRPLDA SRKGTLDNPIMVKSAGDEQYAGCTGYPADSHVVTWLGMNRERPIERCPECGSVYKMEY VGPQDDHHGHHDHHGYEEPKTFADFVKPEYR PFICI_12683 MSAEKPTAAAADEAPYEPPTFTIGPGLEQFSSPMSVYLATYPLA EGIYLEAFATGCLVINPQTSKLLLVQRAPHDSMPLLWETPGGAVDPEDKSILHGAARE LYEEAGLTATGIVQLVGGLETFFTRRGRKIGKVNFLVEVEVCDKEHGDDGIEVKLDPN EHVKHVWVSEEEARAKKAGDIDLKYTTSAQERTIYQAFNIWKTQANIT PFICI_12684 MASQIAVLTLALAASCFAAEGLPQDDGPIAGGRYATFPVIHSTN TDHFGDVWAKRDVWSKRGIQTLPLANRSDVAYYAQLNIGNPGQPNYVQLDTGSFELWV NPDCTNLDATSDQRFCRAVGSYDPYSSSSASISSTTKTLRYGIGSASIQYVKDDIGFA GSDITLKNVQFGAATATVDEFSGILGIGHGINVTTTYNNFIDELQLQGVTDTKAFSLA LGSKSEQEGVIIFGGIDTGKFAGPLVSQPIIPADQSPDGVPRYWIDMNYMSLTPPSGN EKKYDNSTMAVFLDSGATLTLLPQALADAVASDFGASGTDSNGFYEVDCSLNDLNGSI NFAFPGVTIQVSYKEIIRELQTSFGTMCYLGITPNDDFVLLGDSMLRSAYAVFDQTGN AIHLAQYVNCGTTEVEITASTNISSLTGDCNLSDSNSANSATGTASSGSTATATGSGG SSASSTGASNAGGKTFGSPLTLLALWVGTVASLGLAGIV PFICI_12685 MALSYEQTRMVKGTIPTLQEHGEHIATVFYKTMLKEHPDLNNYF NAVNMKNGSQPRALTKLILAYASNISHISELTPKFERVANKHVSLGIQPDHYEIVCKY LMRAFAAVLGPKMTPEVRTAWTKAYWIMANMLANREQQLYREFESWKGWRRFIVEKKT RETPEGDVVSFDLKPVDGVPLPPFHPGQYVSLRVRVPGSGYLQIRQYSLSDRPRPDRY RLTIKKVMERKELYDSSSSLGSGLSTPSRRSPPLRKPHSRSSSFSTAVESTTGDSFLG IMNYTTKKQGVVSSLMIDEMLEGDVVELSHPAGDFYFDTRNDSGSTPVVLISAGIGIS PILSMLNTVVEETKERPITWIHGSRQSIAFQEHVEKLAKTRPQMRTTFFNTAMGNKDL AESTESGGFGYYLEWFNADDMYFGNKSTQYYICGPERFMTDISAYLITNGATLQQIRY EVHSVGSFELEE PFICI_12686 MAIKNVALVGANGNLGGPILQALVDSGLFNVTVLKRASSNSSPT SDPSVRLVSIDDDMTTESLTAALQGQDACIACFPLRDTEQHLRLVDAAAAAGVKRFIP ADYGSCDSSSKQAQELVPLFLNKVRVRERCQEYANKDSNFTWTSLVAGHFFDWGLREN FLHFDLKTKTADILDDGTYRSSTSTLGRIAEAVVKVLKDEDIGRNKMLFMQSFCVSQL DVLASLEKATGEKWKVNWVKSDDFIKENKAKADAGDKDAIEHLVFALGALDGDWEKKD GFAMDVLGLQNQDLDEIVKGVVDEVRS PFICI_12687 MAPVQQTFSLIPELPSALHGHGGDQQQQGRISRPPMTTKQAKKA YKAKNKGPKLSKAEQRRQDLMEQDRIRREFEKERNQARAKAARDKRKEKEDREKAEKK KKGIPLVEVHPSQDTLARFVRRPTTPTVGPKSKTDSSGFPGRRSQSPRDQRPDSLPVV CSEEDDSDATLPAEDEPERPTKRQRTEESPRALPVIEHELNGMFKKPITKPDIDPGID QTRNRASQHSQTNVMKEPTATSTSLDPDDPIVENMVNRQILNESFSADDGLFDDIDLD AIEMQTVAQHATRMTRMEPNIALSRPPDPRSSPGKDQPVVQKGTIDQMPDQKQVLVAS YPKQPVQMRRDDGKRAVEYNNLNRKSLSNEETPKNYVIERPSVDEDVATPAALAATSR NSCSGSRATKAHRRLLLVTEQQDRKPLQELPIKQSGHSVQMQNAQANSSAVSEQTRIE QTPKRSRPSPKSVLNGSSVFLSPKTPSMGPPPLPPKFKTHGQPISGNGLHKPKFLPRQ HPTHVLPKRESESPAHLLSTRTDLPPSSTQLFLQSFADDLFPSPSQEIAELLDEPTSG LQGPSTHSKSASVASPLRPSRIENKRDNQLSRPFSRDNTQKNRTLSHGRTKRHEPQAP VNNHRVTTRKPQLPEPPNIDMPFLLTQDILLSSQDLIELEDEPLVPLGRLRPSNDRVS TNIVPPNSILNPQMHSIPRQNYHTTAITGKSIAMPEGMKSTKSSSVESFKIPGAASLR AKHADDLPQTPSGTLAHRKPEPLDKDPPYGNRTVKKTQARELETGDLTSSFTDDELAE LFSEDLEIERDDPEPAETKAPSQVVPQRTPIQRHSSPKPFFTSSGTKERFILAFEKSR NDTWRNEQARRQGQEELDVLCREEEERQQRVLTDKLLEAEEHFERKADAVDLVVDNSN KRQRACSSSAKSSNGAPRSQNSATSSNKARQRNYPHGSFEKMCEMLKEKQALENTKEA IIPASQGSDYGDFGLDLDDLPCL PFICI_12688 MSNDMRMRLLKSNHPANQGSRRGILKSLPVRSKNHIVAAGSEFI GTFLFLFFAFAGAQTANTAPGGDGPADPARLLFIALSFGISLAVNVWVFFRVSGGLFN PAVSLAMVLAGAMDAARAVLVVPAQLLGGVAAAAVVGALLPGPLAVGTALGAGISVGR ALVLEMLLTAELVLTIFMLAAEKHRGTFMAPVGIGLSLFVAHLAGVYYTGASLNPARS LGPAVVTGHFPSEHWIYWIGPILGSLLASLVYLLVKAVDYTTVNPAQDAAGGGGDDNN NNNNNNNNNASREEGHASHDIDEGGPSRTDATGSKGRAYEQSPRLEDGEGSRATQ PFICI_12689 MTTGYVSKTWDDRMLILITISSCGTTVGQTWSKTAFAVTLLRLI QESQRILIWFCIVTLNVLMVLKVFMNWPKYCGNADYQNYWRMQGFCVDYGIAAGVKVA GNIWNIVMDFALALFPWMVIWKLSIKRWEKIGLCATLSLGILVAIISAIRQAWQNDPA NSKLDDWYYWHQGHSMIWYSAEVAGTIMVQCIPVMRTLFGEVNTSRRTGRKLHDDAEE GQNITSDPRSRASLGGTLRPSTTADTDIAAVFDDDGYPLTALRTDGNNNRRGAIVSQV SMTPSEVDRAIEASRTQPLEWPLSGNEPSVIGVQRLEDKELRV PFICI_12690 MATLVDKLTASGGSESAGFLNDIIEQLWPNINVAGCRMVKEIVE PMFASMLPGPLATLKFVKLDLGKVPIRVSAVDVHKTENEGIKLDMNVTWEGQSDIELD GKMVPKLGIEHVTLKGRLSILLCPLTNIIPLIGAAQVAFINPPELKLNFTNAANVADW VVVNKMIRKVILDIIGSMAVLPNRYLVKISNDNDYFKTYLPHLGVVRLTVEKAIGISG PRKSGAKRLLAKIIKDVPDCYCKVTIGAEEEWRTSTKKNDKDPEWNETHDFLVADYDQ RIFIDVQDDDLGDDDDIGVAATTVKEILLKGGSQELALVHHSGEPTDAKIIVHAQFYD FVEDAGAITATQSESQDQVVGLATVLVASALGLQGQRDELNPSIKVTWGAKEFQTAVK SYSPGTDIFNPSFDQAFRIPVTADLLADPANFKIALLNKTTETGVVEIPFQDILQSPG LVKEESFDVGSGATGATQDGRWGESCRGSLKSCDSVLEVGYIGAGRLGDV PFICI_12691 METKKEIPIYLDLQGNYHPTIFDLSGKAPFELYLQVRRSVTSES DPRDMVIRRNGSVFDLPAALDKGLLELIDEDTKTAICRPESRERDEHYQDDSPSQTLE SLIKLPTDFRGRGRPTQSVPLGAATVLRPMVQPGHRYTLKTKSNDLGVQWWTWRSSSQ TQEDVDISASPEPTSLVSHRSAWSRSFRVVSELEMPPRLTIKLALAKEDHATDDQNSS GSSKLPAPIQITITNTHDRPITVKTTGDQHHLQPRGEIANPRSRVTATNPDVRNFSII DPETQEDFISDAPTFISTTSGGGKLLRKQLLTIAPQEQIIRTARLLGRGLTAGKDYRI SLRPAGCWWAFGTLDDLFGDEDSTSMKWPSGPLLLPMPLESDDVVVFRACD PFICI_12692 MGPTLTQNQKHILAMSKAEYLSHVGNVGRDSRLQVAAAVFKIDI QSSRPTILLLKRRSHDSYEPGEFEVPSGSVDDSDFIISDSIARAVQDQSNLRVFRIDA MLREKRWSIPDLYYGDEDMDISNTLVCSKRESMQLNWAVTVHDIEDIIVASEDHDEFV WATWASLNVLNLSTDTRDLAKEALTWAARRLCA PFICI_12693 MMRTWLSAAVVLFGASSVLADQTTCSLDNHCPEETPCCSQYGQC GVGAYCLGGCDPRMSFSLDSCVPAPVCASKTLKMDNTDNVVDVSKYLGDPTQADWVAQ GSAVPFNGNTLLTMKPNTAGTVLASTVYLWYGNVKAKIKTGRGAGVVTAFILLSDVKD EIDYEWVGTELDIAQTNYYFQGIPDYTHSANITDVSDTNANFHEYEIRWTPDDITWLV DGVVGRVQKKSDTWNATANQWDFPQTPSRLQLSIWPGGASTNAKGTIDWAGGPIDWDS ADIQRDGYYYATFGEVDIECYQTNTPPGTNSGKSYTYKDAKATNDTVVDGDDNTILKS LLGTGLDMDKELPATASGTAEVIPGLSGGGPGTNGEAAGSSDGGSSSSSSGSSSSSGS GSTKCDSSSGFQQNCNSDSSSTSGAAVRQDHILIGSSFAAIATVLGALML PFICI_12694 MSTNTKSIAFLGATGGCGLSALRHALAAGHTCIALCRTPSKLTD RFPPAEYPHLTVVQGNAHDVAAVKRCLVDPRHPDQPRLVDSVVFSIGGTFIPSRLTID DPHVCERGMETLLQALADIRRTTVSQGGDHDDDDAPTPKSGPVIVAVSTTGISKAGRD LPLVMWALYRGMLGVPHADKEAMENKLVAADEDFVVVRPSLLVDGGGGGDGEAQAPAK EVKVGIEDLKHGRHVVEKQAWGYTISREDVGRWMYENLLRGDQGGEYIGKAVSLTW PFICI_12695 MEGNTSAQGFESLRISDSDIIIAVLGITGSGKSTFIQTLTHVDT GIGNSLASETTQIGIYSYYEESGRRVYLVDTPGFDEAVRSDVDVLEEQIFFFSQLFRA GAPLVGLVYMHSISKNRIGGSSVKNFRMLEQMCGPHALDRVVLLTTMWDQIEPGHAQQ TLALQRHRELTKDKQFWGNMVCHGSRVMQFDGGKPSAQRVIEALATKYAQDGPVVLQI QREIVVEKKDTWNTSASREVARDLVRIKGDAKRELANLEKVERQPRSGAASLIAEEKA ALEQKVQNVAEAERRLLTRFEDISYQKEARFAQLMDERNREYQSLLQQLQHAELKIER LEQERQESTAIYESYQSEQYETQSYYSRSSYDESFRSSYEQDQQLIRKSQTELDKGVK AKKRKKLLIQNIVPMLQILGGIGCVAGGAATMAIPIAAVGATMITSGASGLRFSTKDK EKPTPVESADTDTS PFICI_12696 MTKSFTFQPQPSDILIAVMGITGSGKSTFISHCTRHSISASAGL HSEPDDLDTQEVSVYPCKADIGDNIYLIDTPGFDDTELDDAQVLRKIATWVGETYQQK IYLRGILYLHRIIDIRMQGAAIRNLFMFKKLCGQNAIKNIILVTTMWEDVKPEAGQQR ENELRTTPQYWGEMIAKGASMVRHQNNHNSARSIIQTLLNKTTKTTLAIQREMVDEKK ELQDTSAGRELDGILNQQREHFLRQIEDLKRDMTEAREMQDRESQEQIRLLQEQRRVE IDKIFRQQESMKVGLQQLHDQKFAKLEKQLAAQKNQMEMDRKEIAGLRERLAGTSIGV NNPSSGANTVDTGSLTKPNREAGLKTTIRLEGHGGYRRHISRAAFSADARYLVVDEVI WYRSGEGEYSRNRVKVYDSATGVAQSVLAADRIVSQVALSSDGRLVAMDSVKIEEYYR SGLVRVLDAWDSRTGKCLWTKHHQDLSPLSLFNLVISPDGCCLAFIEIGDDRECHINI LQSATGSTLRTLTTIDVPIRQMRFSPDGGRIMGMNPHSIYIWNSATGSLGLTLTGFQP PSPYSNLLHMAFSHDGKGVAVMSPSSGAYIISFCDTETGRRLWTTEAMYSEEHFIFSP DGYIASLCSSYMVAGASVVNPARFVRVRDATTGKLLKSIPIKEAKDLVFSPDSNRFEI LACDEDVVEIIAV PFICI_12697 MVQLSTFTSLLIPLSMASTATAAAMFNPRQQSANSTTASTRKLI VGAPGQILAYDYDGTTFANTANSSSAGTAPSWMLFKEPNLLYAVDENSNTTRLYEYSP LNNSLSSEPVSVGEGSAGVVSLAFNKDQTILIGASYSDGQVDVWDISSPDGSLKLVKQ IPLEGTLGPAPQQTVHRAHQAVLDPTGQFFAVADLGGDAIHIINASSWEISNVVYVDS GAGPRHGAYIGGNSSSPATHYAVACEIKNLIILWEVDMTGGNLNLTNPQTLSTFGDAF QPQNATTAAAGELIAASNSKDIYVTNRLTGNGNFTDNISHFVVEDGKLSFADQISTDG INPRQLSFSLDESIVFGVNQGGSTGLFAFNRCSKQGTLSLTASLSNTAEAVTALTGPQ FVQEIPVS PFICI_12698 MPNETSQLISTTPNKKSHNVFQRCLHKSSRLLTGSYSTRKTREV DRPKPREHNEETEYADCSWYLNATQVKLDEAETVTGAQLVRNYRHMVWERSEDHTLSS GPSPDQGLEITALAEQLDALCLAVDDAVMSVLSHAGEEDRLRAVGLGLTAILPMVTTR PARPGLLRELHEKAGQRGQTEVTVGGNGTESQSVWVNTFYLRTYTAALAYVFHQCHEL PAEYEAQLMDIAWKFWYDQVVRILLEEDL PFICI_12699 MVLDMGLRQPSPGHHNAFFYPSKQSSGQNDVKRNLPNQRFLRHS KWFDSESSHGNDVPRSEVIPVEMLKAQDDLTSELDGYSTAAFTRGPSYSSYSPELCGK SGSADCPSTNNESVNESLTGLCTFGWTLRPQHTPPIEALTRGREQKGKNTVSIGTTTN KRGRAIHDVDGPRTSHLSSKKRRLRLQLITSRLSQPFSLPATHILNRDTDEDTPVISR FVKLAALGAKKAGHQTTLVRKAAILNRVRLNVRQTAVTRGHDRIWRMTRLAAMSHGLQ LVTDSTGAMFPGRSGEQVPGPIVPRAWRPHTTASHPTMPSMPMSDKQPKEAGDFEQLQ SEPLSSSSSSSHPRTTPPPTSIMTPLAGADEDEASFPDADLDSRYADTSDDEVDGVYA DFGVLFGGRADSPDGAEEDEHYYEEYLDEVDGIIWSS PFICI_12700 MPQFTPLQLKINIKNHHDLGFNLVSKRPVNIERPPRVPLQERIS RTAVPTTSSASPARKMSTLPPISELPSASIKTQIQALDLLFEPSTELHTLALPVLKSK RTTTNLDASAETSTAGGSSSSAFDSYPAVIQQIGTLLHKLALSEEPSAKQKLHGILGS HPRLGAKKVDSAQSQAEQASLNVGAAEEAEKLKTLNDEYEAKFPGLRYVVFVNGRSRP VIMENMRQRIDRGDFKEEEQEAIRAMVDIALDRAKKLESS PFICI_12701 MLCALSGEAPQEPVVSKLSGSVFEKRLIEKYIEENGKDPVTGQD LTLDDLLPIKTARVVRPRPPTLTSIPALLSTFQNEWDALALETFNIREQLTRTREELA TALYQHDAAVRVIARLTQERDEARDALSKVTVSGTGASNGDAMAVDAEALPVELVAKV EETQAKLSKSRKKRPVPEGWATTEDVSSFAVTTENSSEIEQPTALSVEEDYAAIGGPG GNVAIYSIEADKVERSLAVGEPVTDSIWKGSRVVFATAKGSVKVYENGSEVVSFSDHA GPATGLAMHPSGEILASVGSDKSFVFYDLVGLKRATRVFTNSSLTTCAFHPDGHLFAV GTVAGDITFFMTATGEQATSFSLGAPVQSIVFSENGFWFAATAKGQTTVTIFDIRKEG DAAKVKVLEVGGTVQDLAWDYSQQFLATAGPAGITVQQYTKSSKKWTELARKDFAATQ VAWGRDAKQLIAVGQDGRIGVLAAVAE PFICI_12702 MDFYYNIVSSSPAAGYDGPDFVAANDDSNYESDTHTYQETLISI PDSTQSEEESEGGLFVEQERSISPASAITVASLSASASTSEQEDSSSEISSSSPASES SSEAESDRDYFFDNERADVDWEQALERELEAQPDDHSIGGDSEGTVHQPDPDALHPRL NEHRHPFLLEEDLHGQPGRIEVEDYDVDENEDEDDLDAFEEGLVDLAVVRLHVEEEEE GDGGDDDDDEVDNLQAEARNSFAQQFPHHHHHHRHHHHHHHHHLHHHHAQQRPSTLRF SSLLDVRNLGPRSASPAQLESPHRMPGMADELIGVELGGGGGMGRNSRARASQNQPRR AEPNVIDLTLEDDDELELLGESVGESQNARRQQSQRRSNAPRLNRSDGSYVGNQNVIE LSSDDENDNTVAATRARRAPPAHLHHNNHQNRNRHQHQHQHQHNNFHRINIGAIPAMA ARNHNERFAQFLMGIGGDVNNAANDHNVLGMNVRPPGFPPNLHLDYQAVAFAAQPRPA PQPAAGPRKPDHDPPSKPRDGFTRDTNEDNVVICPSCDEELAFDPDGGDENGPPTKRA RNKKDQAEHHFWAIKECGHVFCRRCYENRKPTAKNPVKVGFKACPSNGKRIICAVDNC TSDVTTKTSWVGLFL PFICI_12703 MTHDEKELEANGPPEKSPGSLFPELVPVESHVNAAEDEESSSGH EEQQAGDEVIYDRFSRRKKRAFVAILSFCAILSPISSTGSLTAVPDIAAAFHTTGSVI NISNGLYTAAMGLSAFLWGSLSTLAGRRLVLLSSVISFFAFSLGTALSPNLAAFFAFR TLSGFGGTGLLVTGPGCIGDLYKPTERGTAMGWFSSGVLVGPALGPLLGGAIVTYVSW RDIYWLQVAMSGLASILAIFLIPETIHRKRWDSIPKEDRMRETLRTMNPLKLLSLFRY SNIALVSIASSSLVWNMYSFLVPIVYVINPRLGLTTPLQSGLFYLAPGCGYLLGTFFG GRWADRVVKEWVRKRNGYRKPEDRMRSAAPWMGIGIPVCMLIYGWCLEYDKGGIPVIA IVMFAQGFCQLMVFPSVNTYCLDVMPSRAAEVTAANYLMRYIFGAAGSAVVLPAVEKI GIGAFTTISAGFVALACGGMLLVIHDKVPYVYKEIENA PFICI_12704 MRLTFVAALCLSGTSALAATVQSINAEVEKVKHNDWPSATYDTE PITYFDGKQVPALPQITDEAEFSQTKYLVGSESPYCPHCINFKPTYQTLYEYYYTSNV PVNNNTIPPTFEEYYGLKFYTINCIYKNDICRKQGITAYPTTILYKNGEPLASLRGTK QMNQVADMIENALESENPGIRPLHPLLPAPGATSRPVPQNVPQDKVPTDESQKPLNAG GK PFICI_12705 MHFATALLFATNLLGTVTAAPPTDGGLYSIVNLRTATVVDLESG RGGNNVIVQGWAPIWSEGAKNRIWRFVHKGKYWKLINLASGTALTLQNGASDNQTPIV GYVPYETDRQLWQLLEHTEPNLPRHFQFINKAGGTSMDLYFGGMGNGTRIYGYESFPG NVNQLWVLVPITPDQV PFICI_12706 MNAVTKELGKRDSMNGDDLWVGSTIRWNHFAWKPAGHNDLRSPC PAMNTLANHGFLPRDGRHITKDILITALKDGYNIGYDFAFNIWDTGRVVNPQPNATFF DMDMLQPTHGLVEHDGSLSRADVYFDTSGKFDPKVFDSFMSYFGNDTEISVKSLANAR ARHALDMSRVNPDFAIAEESVPVLVGENAMLVAIWGDPVVQVIDRAYFEYFFRNERMP VELGWSPPSTEIGPTIGQIVNDMIAQSPADVPLSF PFICI_12707 MGIQALELMHHYTAIAHRTLAVHDQIANVLLHDVPQEALSCPFL LTQLLSFAGFHKAYLQPARRQSYFLSASFHQAQALNDMRQTLIEPITPSNCHALYASA IFLAVSTLAKLPSYEIYSHGFDPLNRLLEVFVLVDGMGIILNSSNHHLRAGPLRGLFA KPWTKTTLSNHLSIISRQLPALRSKVSQDLKNWDEDTLIAIEAIDTLIDSVDTVQEAH TLTASAELRAVFLWPIMVSNQYLILAQKRHPLSLVVLAYYCVLLRLAEEYYWFLKGWA GTVMTQLFQELHGTPWTDLLSWPLQVILYHR PFICI_12708 MKTQSLTLLGLLATTTLAHKESSPAVYKRHADLSKRCANSAANF NKKRWEKRSEEASLLKARTANTTYSITTEAPYYEVLQNDTCVLAPDVTAGPYYWPRSQ TLRQDMTEDQIGVPLTLDVGVLDMATCEPLPNALVSFWHCNSTGSYSSFTGRDPNTDF RELLESLNVTDFEIGTTDLHTDDTTWLRGMWPTDSEGVLEMKTIFPGFYIQRSIHIHA QVFTDWVLHSNGTIVTGNTNSIGQLYFNESVSETLMALEPYVSHTEINRTTNDVDSVY SEGFSNGYNPVIDIVAADGVDIANGVIGYITIGIDTTNSPGLSGSTSSGGGSAPDASN TTAPAA PFICI_12709 MNSESRADDVPPAYGIIEAPNIGESTGSQLHIDFTSLDLEASNK ASFPTADACLIHLRLLYAIEILKTKIGHTNGLWDIWDQLRTDSTSDASNAHHSNGSIT AKLCEKRWAIYVARAVDRYEAWWKSFVPDMLKEADMLEKGTDKTTKYEGFTVLEDAIE WTAEMIPPIDVLIVWHTHMLNPRLFLEDCLRHGYGTFWRAGIPWAIINNAINGATFEY NINQACEAQWTERTGRPWFNQEDSETKQLQCPSCSEGLNVAWTSCGQPQDSKRRQDLD LSGQGYGDGNFQTLCIKCNTTIDEDLLRVGKFREDVRNLVRNDWPMPGTILDGSGLGR RQTAESDQLFPNRLVRRGILVEVSNLMESSTKPTMMLVRNKIQEVTAHTQYRHSSDRL KKVDKGTEGVLGTLVPHRLSRSSRMQTRAMMSRYWENSSMFALDLRNAVMRQGVFVAK MFKIDWLHSPAARFTMEKALKKYERFFEIASTHPDQAVTPTLDVDLAWHTHQLSPQSY FKYAVSKTGVFTDHNDKIDEDKLAISFDWMGKVYQEKYGEVYSECNCWFCESLRYMHT SRSKLKRLFGHKQDKATEEWRDSGQDAGQHISSHHAVRTADERSSQNRTRRLLFHNNL NDIYEKVMKQAAKGPVSSPATSGTTHSNHIGLRGDDHSVHWGKRVALSGPWSSEAAAI FTEEMYASHPGVIHTYHGQPGACAAGTCGGSTGCGSGGIAMCGSGCTGMGSNYFGAGC QGIGGSSDNQ PFICI_12710 MVNLSSALVVLSISCLGAAHPGEHHDHEKVKREAEAHHVAQQHM ARALEACSSSASFQALKQRSIERRTALAHTLRKKRDISSKPIYGKRDLAALETWMASN HNMTGTSDYTTNTPADILFSGNATCALTDETVLGPYYVTGELIRSDISEDQAGVPFYL DVQFVNYSDCSAIHNMVADIWHCNATGVYSGVTASGQAGLGSTFLRGAQISDEDGVAQ FETIFPGHYAGRATHIHVVSTENATIRENATYTDGVATHVGQLFFDQGLISAVEASAP YTDNTAAITENVDDDIAQSASTADYDPFMDYALLGNTQSDGILAWITIGINTTANYSD IVVGAAEHYADYDVDTSDQIGEGQIGAAPSGGAPSGFSTGTDTATVSSTASSTESSAS ATSSTSTSSGRRACGHPLGFLHW PFICI_12711 MRASIEHILLPLSLFGAVEAAACTSTKATNNTGASACTGVRPRV PWTSLTEDEKNAYIAADLCLINMPSKSKFEGAVTRWDDLQWPHVAQSSAVHFTGAFLP FHRYYMTAHERMIKDECGYTGRMPYWDELADIDDISSSDLWNYFGGNGSGDDHCFTDG PFANLTLRWLTNGSTSDHCLTREFNQTAFEGTKQSNIDKCLAISNYTDAWECYNGGTH TGGHLGVNGTMTDGTLSPGDPVFFLHHSWLDLLFWNWQKEDLPARYYDMGGPDQPTFV ANTEQRGLESIWTDYFGDGGNTTTLNHRLNMVDLYPNITIGDVMDLNGDVICSEYLSA EEAG PFICI_12712 MFTFKALAAALAVISIFGTTSAHPGERHSPSSVKREAERYREAH ARAARAFSQIDQFPRALELQERAVARRFATWNALRAERGIASNPSIEKRTKEDLDKYL AISHDVSDTGYTLDTPTEVIFGSNATAALVQEAILGPYFASGELLRTDVTEGIAGVPL HLDIQFIDINTMDAVEDLYVDIWQCNALGVYSGVALAGQAGLNTTWLRGFQVSNEEGV VEFDTIVPGHYAGRTHHIHILTTINSTMLPNNTYVPGITNHAGQFFFEQSLVDEVETL DPYNTNTQVLVKTADDDIAQSVATSVDDPLLQYVRLSDNLQDGLLAYITVGIDLKANH SDSYQPAAHWEAGGGVSLPGWVPSLPGGVTPTLPPGVPLPITTSAGTITSAT PFICI_12713 MLASFPTNSVYFDKLQNLYHFAPLVTQSSLRLQLQHAAMSDQWE FHREKITSLYLFENLTLNEVAKRMAQNHGFHMKKHQYEHRLKKWKISKNMKRQTSEYV AHVVQKRQKQQIGSQVSVYGIQLSDARVRQMVQRYSQVSLAQKYGTVPSPRSPEGHLV RVSTPPIIEQRVTWPESMPWLQFDANFRLTISQLPNVVSALVRTLVMCNMRLHIDNIS SQADQAIALALRNSSSSWMARLSQILPLLPENAKEKTRDQGLPGHALTRGIKTLLFQM ANKTLALSDIQARSLLTLFNTLYQANTQALNQLFDATDPTTYAIKETLFSVAVRLGDA WLVSTLLEARMDPNALILHEIGLYSTVYRGMANLEFSWHVLSCTPLQIAAETCSLEVA TKLLLHGANPNLGVPSPIYILCSRPSNPNSVLLAKLLLSYGARLDLCYDRLPPLLCQA AASRCSALVQLLLRNGVTDKILDAEAIPGHYLGALSHSNVLGHTFLVRRRELLYLGSK EGCSKTTALQLAIISNDRHIIEMLLGATADHELRDEIFVRALVTACLVADQEIVHRLL SFGSPFFSDQYWLNLAFVAVAWTQNCEIAELLLESGFIPFEHEGLTTSPVQAAALYGN IPLIRLLHSYGVNVNTFVPMHPYNRSKNQTLISLSSALDCAIRMSHCEAVDVLLQLGA EPSDELLIPAIKQGHYRLVCDILDRGADPNVPYHGELPLDVAIRGRKGLTLVRKIIDT GAILKGSELFSAVQSDDQEVVQHLLDSGVDICAANSDGATVLEAACQAGNYGMIRHYL QRGGHYTSKAFLFAVVNAMKKHDYSHVEELMQYRIPGPMDICDSSGFVISILGSDSTL IDTFLRPTFTSILPDSYFHANLCRTRSEISIQADCPVDLAGLHLEQWAIKPSRFPNRK RMSPLWAAAFMGQGKLAKDIIASYQSPDPLLLESSLCDESYFSQKMIDLLLAAQPLSP VLAEVRNRHLLIEAIDTSSRLDIIRQRVASLKSVEFRLMNKNGIFSKTPLALAVSSGD TECVEILLEAGANINLLRYREKMPSRGTALSIAISDGDVKMASLLLEHGADTNAPAEE EWGVERRYKGDGGRTALQYAASAGNLEMAAFFLQWGADANAPPASRWGMTALEIAAEK GRVDMVGLLLPHVDFQQYDEVHFIRAVNFAIEDCHYAVADLLKERGHWKPEFSKLATT RQMTEREHTCPRILYNDRSWSGNCFQCEHGSASSSLDGTVWLDEENFAEFEFLSQEQN LEELQEQSLTEIMDACIVQNGSTERWLDELVMTELVESGMIF PFICI_12714 MYSLLDLPEEARHKIYMAAGLVSGETIKLEPKGGNALTRRPPLA SLRFTYNVLQTCKTVYEEVKTLICAHNTLVVGHEHVESGLEFLRRLSPQQCSVLTHVF VQLHFEASEGSCARYDALEHRPLSMVMSLNSARLSLWQATARHILTNATPQTLALSLI CETGVGHTTTAVLQPLNENPGVLRVCELQLGQQRQDKAISAIACETAARARGLSPGLR ERPFPLFDLPVEVRRRILEYTGLVAPHKEVYWSAQQGFRIATAASRCGGDSPDPYLHS RCNGVSCMVSKHYTPPSICLQRRSGYSSRCYCWVSPQSIFTVSHALYRKAVQVLYSCN RIVIVPSKGLRSSLSENDRTERLDVSKFITRHMWPDTLRSLRHLEIVFPAIDPECGAT THDPYYLDLCSAIRQLEAHTDVGQLKVVANMTMASSVLQEDSDWIHRQLLLAGGDQSS VFRVHGHLLGPLIGLHCKGQFFVHVEWAWHWCPPTDVFTDETHAEVDSIEANLEKMIM GNEYDSEAAGRTNELPSTWLFDTWDFLEYERLTGHPADNILSDP PFICI_12715 MLANKQYPLAVVVAHWTSLCVGRYIGVRGPAKFQHFLPVLSEST LSDINNNVCAATRADYMSAYNDPAVDPDRLCLAQQDCILVNMSESEKSYMSSSSLVLG LSPILLSSIGPTISEIGLLSLRRPILSLLLTFGTVGVYPSRILSYVDDSALDIIGEPT TLAVFLNETRVHDTVRKRAAAISVAQYVVVALAVFNVFYTSWQLGVATVLNFVCQSSF MPLVWTTLPAFIHLPAALALRANKKKPATVSTSESTRAMNCSKSLIRPSLTSEVTLHA MREEPIDLAALRPTPMLIFWRWVATVLSFVHVLVGIVIFSSLLFTMTTDAAIILLRYA ASALACRLIIIFELGGIRWSRAQAQKNERMNATAR PFICI_12716 MWGMKPTETATHGRIVKVGIIGCGLVTQVVHVPCMNSLSHLFQV TYLYDVSEDAMRHSLEKLAGNAKPKITRSVEKLCNAPDVDLVLIASHHAFHASQALLA LQANKHVFIEKPIALTLQDTDRIIAGDKAAGGGKVMIGYMRRYAAAFVDAVKEVGSIE QIRYARVRDIIGPNSVFVGQSGTFPRTFNDYQEKDSEALRKKITEDIEQALRTELEVT VTKETDMMWHTLSMLGSHDLSAMREILGMPKGVIGFSPCATTGSPFWSAIFQYPNFAV AYESGVDQVARFDASIEVFGDTKTVKVCIDTPFVRGLPTTMVIKETLTDGSYRESTIR RTYEDPFLLELQEMHRWVTEGKIPKTTPTDARKDLEILGMLMKAIAPQKA PFICI_12717 MSSAISLPTTAHNLVQRRTATPLSGKSHTNNSVTQLSRPQKTSR PDQWWLLSVPAFLIAAYAANFLIDVYRVGDSAIIDRIRSSLFGISHIVGGLTAMLLGP FQFLASIRRKYPKVHRWIGRLYALGILMGGINAFYVSFTSLCRPLGQYAFAFLGLIWL ATAAMGMSTIWSGQVAQHRNWMARNFSLTYAAVMLRWQLPLFISLGMETEPALTLTGF TSWIPNLIFAEWWIIRQTPKRASD PFICI_12718 MASETSGNAKMDFKTFYNVIDGKLEPTVKTRHTINPSTLEANPE APLSTSDEVDKAVKIAHEAAKEWAAVPWDERKNALANFAAALEAQLEDFAQMLVWEHG KPILWARHELATDIGFLKGFCELSLPEETIEDAPDRKIVKSYVPLGVAVGIVPWNYPV HLAGAKLGPALLTGNAFILKPSPFTPYSGLKLAELGMQFFPPGVLQALSGGDDLGPLL TTHPLVNMVSFTGSVPTGKAVMKSCSDTLKRVTLELGGNDAAIVCADVDPVVAATKIA LFAFCNSGQICMSIKRVYVHESIYDQFLDALVKHVQALPFGVGETAFIGPVANELHFK RVQKIIADINDAKFAVAAGGAESVPGQKGYYLPPTIIDNPPESSAIVQEEQFGPVLPL LKWSDESDVVQRVNGTDSGLGASVWTRDDEQAVRVANQLEAGSVWINTHAEIVASTPF AGHKQSGLGVEWGVDGLKSYCNTKAVYRRAF PFICI_12719 MSCPDCFAGSAWRAQPTGTLTTVHGVRTYVARGASPHQGGTTIV LITDAFGFNLANSQLLADVYAASTGFCVLVPDIIPGGGVPLYSLDLMNSVRKPVAWWN VWGQLVRISTVVRMLSIFIPFARRTRNVYPHILAYTRAVRAGLESGKKLGVAGFCWGA MHTMQLSAESVATENDANQHQEPLVHAQFVAHPSGLKPAAMIQFLSRFSVPVSIAVGD EDIILPKESAAELEAGLRKFYPVDEQPSRLEIKMYSNCGHGFAVRADRSKEVENESAD LAAAQASEWFRTFLA PFICI_12720 MASGLQGNTPHVVPNAPSYNNAVNTSVGGAGTTAAARPSDNASS IDHVYEKAPVVLTKKQKLRRHCGRFKWWYLAAAIILLIILLPLLFLVILPAIVRRIVS DQTLPVYSGTFVAMTPATLMVSLETSLDTPIPAVIDETTLFLYNSETQEGSDFTPFLN ITIPSTHIGRDTSIFISNQTATVTNETELEYWFNHVFDDPRVDLSVRGDTTIHLSSLH YGAHIDKTVEFSSLNYLAGLSITDMYLNFPALDNGTNMHGMLNIPNWGVLALHLGDVS FNLVAGDLNIGLITIYDLVMEPGNNSLPFYGELFLSEIVQNLGRFLDAEAESLNNGQI QIDAVGNQTVIDGLHIPYVERILNAKRLHLYISVIEFASAFINGVSGGGNASIVSVLG DVVGNNTIIEQALAHWNKTSNAAKSSEGRARSLNPKRNLALLRLGMEIMARGQNLNGF PFICI_12721 MTSTPNSFDSLSSLDKTEKQKLQETWAHLLRLCDVSDAASSAPS FRLSNAFAQDTKTIEPTAFRRSLWNFILSEDPDALVLRFLRARKWDVERALAMLLSAV AWRDERRLDETVILTGESAALRADPTPDEAGFVSQYRSGKSYVRGTDYEHRPVYVIRV RLHDPNAQSAQAMEDYVLHNIESIRLLIKPPYDKCCLLFDLTGFGLKNMDFHVVKFLL SVFEARYPETLGVVLVHNAPFVFWGLWNIIKGLLDPVVASKINFTRRTGDLLKFIPEE NLQTDYGGGDAWDYEYVEPEASEDERLKDIEKRDEIQKERDELIQAFEKETAQWASIS TDDPSMSEKQSNRKDVADQIRQNYWKLDPYIRARTYHQRVGIVDKEGNVDFMAAKYTK PFICI_12722 MALQSPSSDTDFDVVIIGAGISGINAAYRIQSEGPPGLKYAILE ARDSIGGTWDLFKYPGIRSDSDIFTFGFPWSPWEHTDTLATGHQIKEYLLKSAKTFGI DRRIRYRHKVEAADWSSKHQKWSLNVSSPGSERPVSIHSRFLMLGTGYYDYETPLQTA IPGIEKFQGKVIHPQFWPEDYDYTGQDVVIIGSGATAVTILPSITDKAKRATMLQRSP AYVFSLPSSNFLTKLIFAVLPSAMAQWLNRIIWLFRSYLTTWFCQSCPGLAKRFIKHV TVQQLPPDIKWDPHFKPRYNPWEQRFCACMNGDFFAALRSGKADVVTARITAVTERSI ELDSGASLHPDVIVTATGLRLRFGGGIRFSLDGETFEVADKFAWKAAMLQDVPNMLFM TGYETASWTLGADVSAQLFLRLIRQMDRRGAKVATPKVPDAANMQEKPMMNLSSTYVK TAGKVFPKGGTGQWSPKSNYFMNLAGAKWGDLSTDLVFE PFICI_12723 MATPWLLLLYLFDITLCLVPSLRPDKEWSLNQAVRMRTVRLVLL YWSRTRWGDRLRLEPGRERDRFEIIHPSPSKLYRAPLDDPFIRPATIGGTWTPARPNT EKLGAGTTFVLHFHGGGFVIGDGRDHDTGLLAQCLLRNLKCDFVFTPQYRLSSSKGGE FPAPIQDALTSYLHLIRDLSIPADQIILSGDSAGGNMVLGLMRYISDYGKELNIPSPR ALTLWSPWVAVDSALTQDILLSPNHLSDYINAEFGRWGAIAVSGSGLIDTTGPYLSPL KHPFTLDPSIPVFVHAGEREVLLHDISEFSRCFRTHGWSLSYHVSKGCPHDILLLGSR IGFARQAQEAVKHANNFLSKLTLPQTPVARFKEDVTN PFICI_12724 MASILYSPVLDIATSARHVLLSPLISGPLLFTATFAPQVTHKAI SAIISCLPIANDASLQLIERSAITAIRALFALSIIRQVNQWLSTMATNSWRLTKSRGW DWPQEIAVVTGGASGIGKDIVENLTSLGVRVAVLDIQSLPKSMESDARIKFYQCDVTS SQSVAAAGEAIRRELGHPTILVNNAGIARPMPILKMPESLLRKVFDVNCLSLWLTTQQ FLPNMIQMNKGHVVTVASIASFVALPTGADYSASKAGALAFHESLACELKHYYKSPNV LTTVVHPNFVRTPLVDDFAGHLESSGVRMMMPKQIADPVVAQIKSRRGGQLIIPKSAS PIAGIRGWPSWLQELLRDILGRQSL PFICI_12725 MVFPGRYSTGCQRCRQRKIKCDETRPSCRRCYLYGKPCPGYSDQ FHFRIHAASSKVTTPPKEELPDTQQPRRRSTSQQKDATGVVVKNSGTQVSLAPSLAIS YDEVSLCYFIRRFVTPCEAKDNFPGHLSFLPSLYRHHDHGILELATLAVAQMAAFNQF GGNTFRLQSYQNYGRTVAVLRNCIQNEIDITDDRVLASVILLCLFKDISGEDWGDPCE HASGLYYLLERRGIEQLCTNRGFELFMLALIKLQVYSFLRGDDRYGDPGSLVASLSVF DPMMRAMSLMTRSVSLRRSLMMCEEVTSAPEGQDEAGCLSSDHLDNTAHESSILLECF KALDEFDSWDAEAGAYWKQIFESRGAPPGLGEVATKGGFYDPETACTIILVRSARLVL LLSILEYWQRAIGLNVTEEGACSKSVVSAELVSFLEQNTRSTIDDMVSLVPFALGDVD SDGKAVSLPHEGAPALIILQPMRLVTYCPYATDQQRAYGRHILDRLNLGIGVRSAVSW EQTRFNTVRHPEGPLETGASTPLVSSCDIMT PFICI_12726 MAAFARLFTLPNLTLAATFESLVLIKFLGNRITEIFPQFDADNH LGIVGVVFAANYVFGLFFWGLVYPRLLSPLRHIPGPRSVISAAHRSLLVVDRPPGDLF LDIVKKYPGEELLSLTTLDSQILVAKPRLPADVLVHKAYDFVKPPNISGFLRYILGDG LIVVEGDQHKFLRKSTMPAFSFRHIKDLYPVMWEKAVLMTSLIQEEVANGVSEKGEDS QIIEVSPWASKATLDIIGVAGLGREFNMLRRSADPLLDVYEELLEPAPEKLLFSVTSF VFGIRFVKLLPWKMNNLFNYLSQRLNEICMPMMKQKKTMIEKSKDGNFDVLSLLIKSG NFTDSELKDQLLTFLAAGHETTSSAFTWACYLLAKHQDLQQKLRDEVCEAFKGQEVND QSTDLGSLLEPLPYLNGVMNETLRLYPTVPITMRQAVRDSNVGGQPIPKGVTLILSMW QMNRSPEVWGDKAGQFCPERWITAGKPNTNGGAQSNYEFLTFLHGPRSCIGQGFAKAE MRCLLASMIRTFSWELAMDEAKILPRGVITIKPAHGLYIKLKPLSE PFICI_12727 MASENSTAGHGIQLITPGSGPKRVNFIFIHDFNEETATAWTFSE SGTFWPLWLSRHHCPQSRLWSYNYNASLGDASDFGTQAALLTDVIANIVEDSNSGITV ILVARGLGGLLAQYACIMADTREELRDIHCDLLTFDTPSLESHLSPTTSKSAEESDDQ GPVSKMRGEIDTQFKALLEKPYSERHTFYFHNALKSTSIAGGQIGEDVADQEDVSKKQ DEKPTVNTLKTNVLDNNVTEPLATQLRNENDPVFIDCLQILLSYLGTASTMENIMVEK KSGLDWFG PFICI_12728 MFVVRRYEARLWRMTAALVAPSKRYLSASTCRQIRLIDVNTLEL KEFQGLEIPPYSILSHTWGPSEVNLQEWRQPNAVVQQKAGYQKIMRACEIASYRGYEY LWCDTNCIDKASSSELSEAINSMFAWYQQASDAFAYLVDVEPFSAAFVERLAAVEQHL KVEQGQENFEFLLKYFNMEISEERAATELGEDDTDPASTRSSGYLNYADARQAHTFDV QSLENSRWFTRSWTLQELLAPKSLTLYARDWTPIAGKQALSPILSVVTGIDEDCIKGK TPISQYSISHRLSWAAGREATREEDVAYSLLGLCDINMPLLYGEGTKAFRRLQQEIIQ TSADESIFAWEPPITVLKQNNTEIMPLLAPSPSVFRSTTLSSKAARPSGMPYFPTNAG LSINLPILRTYKKDLFFAGLNCGPSDNQQTWLPLTLQGPDGHNQYARIRSSRGGIILP RQQHSIQEREALLIHDFNWKFHDPRFPIRPDIDFKETFKGLTDTLQQHDDVKFLIMFP DTGPTHRISNVAATTACFWAEEHSLFSMSKSSMPPGLGKNTKTEYAVLMFEPSNEQSE TTLPFALSFRLDFSQDQLNSVSGMAVTEVDLQSIKQVRNQTLLENAMCYMSSRSQFDM LCNASFPNVHSISHQDLQKSIKQGESYGGESRSNFIAPRAMLGSSHKNPHLIPVVVQF SQLGLSFQSFRY PFICI_12729 MPCSTAALDIPDLSGQVIIVTGGTAGLGLESIRQLSTHHAAHIY LAARSQDKADTVIRELRNSIPKACPITFLPLDLSSFASIKAAAATFLQSESRLDILMN NAGIMMTPEGLTEDGYELQFGTNVMGPALFTLLLLPLLQQTAKLNPQTRVVNLSSASE KAAPSDLYPLEELKTPMSSRHTTARYTLSKIACIHYTTVLATLYKEFKFISVHPGMVA TSLHHNSTGIFLKPFLNAAIFFATPVEKGARSQLWAAVSPDAHSGEYYGPVGAVEPGS KNARRPELRDQLWHWMKQELSRHVVM PFICI_12730 MASNITSATSNLTQSDSIPSEVWDTSDDLATIVDSAERRRVQNR LHQRLYRRRRHLRRIESVQAARANNVPASAFPRHDENHLSSLESDYVLSQLFNLPLVH AIREPRLRQATMTALQAALARWSLNAPHLNDLPTIARLNVFDALVRNSMVLQIPAEFL ESDDGVSLFNVHQPYSSGPSPIYPNDLAPTSLQMTVPHHPWVDLLPIPALRDNILRCV ETGDYDEDFLCNGICCDMLTTDWTGTGQLIIWGEPWNANNWEFSEEFFRNWAFLLNGC METLETTNYWRQKRGEMRLDFILNP PFICI_12731 MSANIIRDSIDQHVHTGLWTDWSNGPVLGRTLTMSRSNGNLLIA FTASFVAFVATCFWRILCLAIHRCCSSQDPRNALHGQRQVILRNSSSAESGLISLVRL SWAWRHLGPRRLLHLLFLSCLAATIAAAFTVAGGFSSVISSAVEDVVLIDSSNCGFIG APTNASLIGPSLMFISEQLNSAANYAQQCYSSNSSGVLGCSRFVRDKIPKLTMTNTSC PFENGLCRSNDSNIMLDTGPINTNDVLGLNAPEGERSSYRSVLSCAPIVTDGYATQRN TSNGNFVQYNYGQLRMGSLENQTMVNYTLETNDLESQYSRSRDPNSWSGFNHRLRCQS SATINGQPSFNNNHHGWIPLPGLQRPDGDVTLAFLSGDGIVYNQPTEDIWYRASVPGV SKATKGAYGQAQSYMPDEAASPLGCVEQYQICNLALPNNSGCGPLASFYDAMAGAAPF FNFTSQEWLHYWETGTIPPENRAAARLTWIFMMWTFEPVTLNNIITKMGASSLISQSQ LYGGTQYGLPSNQWQSDVAHCDAALDDFRFLPDGSIQEEFCNSQKIRSSSYTSFSAFG LCFTYVTGGLIILISVIIEPILSLFYKKYGYQRYRQLEWTTNQQLQLHRLVHEPLGHG TWSRGTEFVPITEKDEFLGELDVSTDHPVIAKPSSKTEYQAGSGDNTLSGDPALNGEE DAQSDEQTIIDGRTGRQTPDGEVAPREDGLPRDTTTRIDERVSAPQSADRLSYHDDYS RADAPGTISTSSFSQV PFICI_12732 MINLSVFYGSLLALGVLAGGAAGQVPGCRKLSTDTDWPTLQQWQ AAIPGVQAENNSDSLGPLPDYRIRATSYSDVQAAVKFAAENNVRVSVITTGHDQLGRN IAGSGLLIDLSLLQGARASPSFEATVEGVKSLCGNESVQAITATPGVQAAVTFNPAVN GLNLNTVLDASGLFLVGGTHAGVAAAGGWGQNGGYGPMTAQYGLGADQWLEAKIVTPD GELKVANEVSNPELFWAIRGGGGSTFGVIVEATWKVHPKVPILSFHWYMNSTLSADVI DQETGVTQTSEAIAYLLSQLPEVHDRGNISAYFFVQGDNIRCHAIHAGPRANATEANS IWGPILTKMQSFPGMTPFQSKHFLYDGYKNFFNTTYGPAENVGAPTSHGIVPYDSHLL SAAHLTSPNLTYALRGTAGSMGILMTTPGTTRGDGSETAANPGWRNATLFLVGWKTNT TNVDGLREFAPGMGTYINEASTDEPNWSSHFWGSNYPRLSALKSELDPNMVFWISPGI NADHMQVVDGRPCMVQPSPLEPSLYAPHTERHVDADLANDREFVFGSQELNGVQFPAP GELIGLQS PFICI_12733 MSSSGLPLIDELLPLCSGNPVMLDTLLSLASRRDVDHVQAAAGA PNPYDTALNEVQHYVSSLDDADLADPLRIQAPISTVLLLTILSFAGPSEDWHHHTSRV VSLIDCIDLTGMKASRSGNFLLMCAAHLDIPAFSVGKTRSSLHAWTKWDLQNTNGQVS TDGSHPDSSFLPFEVLTGYPVSLVSLIFEASMSADRSSVDSSKPADQRYIVSSEAESE QERDLAWKLQLTLEAWRMPPVPPNMTPMERQALYTGWKTMHKATMLFHMRRKGFRSNL LVLLPTQLAEGARGLVEDIVIGVRWLLLCWESDQVPMANAMAWPIAVAGCECGIPGLE AMTDDVDWCLERMIKYFDMGHLAHLKTLLTSVWAQKQRITETQQGLFMSLELAANLRQ LTVPLF PFICI_12734 MDTPKEPYTGSSLDVPQKLGHQGLDADVSNMLAMDPRLSSTQSL TPSIIDTRQGPRRRLLIIYIHGFIGNDDSFHSFPVHVHRYLRQKLASTHAIHSKIYPR YKTYKAFHLARDNFSEWLALHESPSTDVVLVGHSMGGLLAADVVLMKKPSPDGGYCRK HRILGTVNMDVPFYGVQNSVILTGILSPFRPKPRPGDMSDVIRLHQSTSQIFKPQSPE GSPTASLTQQRSTSSLRNFYLKGSSTLDPNYNPHFSNDLRIMDRGWWKNIEHFVKKHR AEGLLHAGFRHLKAHFEFGSCLLDSQRLRLRYKQLRKLEDAGPSGVINESLQPSTDSE RCRFIQFYTVCHKGRAPSTSAADNFSIHSKASRQTGSTDISHIPHYGVTEQNDGMPEN SCSPMPETPRKELLFCKLAREEDGQIDRLWKRVTMATTDEISAHTMLFMPGPHYGDLV DRVGEIVAQWILEITP PFICI_12735 MAAWKLGSALACGNTTVLKASEQTPLSALYLAALIKEAGFPPGV VNIINGLSRVAGEALANHPNIDKIACTGSTITGRTIMRAAAGSLKNITLETGGKSPLL VFDDADIDQAIKWSHAGIMGNMGQTCTATSRIYVQESIHDTFLSRFKEYTKAYTTIGS QFDENVTHGPQVSKAQLERILAYVEAGKAEGAKLVQGGSAMSEKGFFMELTIFSNVHS NMNIVQEEVCGPFVVIQAFTDADDAVAKVNGSEFGLGASIFTRDLVRAHTIADGIEAG TVWVNSSQDSHFGIPFGGHKQSGIGTESGEYALSAYTQVKAIHVNLGNWL PFICI_12736 MQHICNQLLILGLFINNEFVPAKSGATIEPTNPYDESAIVGVAA AGPEDVDAAVQAARRALKANSWRRISGADRGALLWKLSELCQRDSHILATIDAWDNGK TYQAAITEDGPEVVSVFRYYAGWADKIFGRTIETNKDKLAYTKHEPIAKAMPIIDCSQ QH PFICI_12737 MSLIQEQKKLRIAVVGVGMAGVAAGLDLRDLPNVDVQLYERSTE HRLAGAWLGVTPSALRRLVEWVDEEAVDRVMTRRYNPFTALHWSTGEVLHKPQQVDGA KLSKAERLDQMGVSNAIRSELHQLTVALLPQDMIHAGKKSLGLEQTNGAVRIKFEDGT DAVADLVIAADGINSGIRKAFDPDYTIKYLGHLVYTCFWDYEKLKQEIPDLPEDDVVM YCGNCLVFMGYVGYKQYALELIVPEEMPETQTVRWNTIADEARMNHLLEYFKDWNPII NQFLRVSMKHDIDMVILPRSRGQWSPSMIANQQIAFIGDAAHPTAGAFSSGTSFAWED SKTLSLALGHAYQKSKQWNTETVGTALKLYDDILSTHYKKVYQQVEKFEAAWNNDLED MALNFSAENIHWITNHDADEAFAKWVKEHSTE PFICI_12738 MTSVEDLRAQNHETVEKYLHHLCDSPLMALNLLHDDACKELTFA TFPMSFKPDNVHPISYHGKEILRENFEFNNKCFKGDLKNRKVYSTQDPNKFFLEAELD GTYILDDKVWPYIQPYYIMVIELRDGLIMRLREVFNPLQLLKTAGTSNPDALLERHRP ISQ PFICI_12739 MVPALKYIPNAQEARIPYYKDFPNIFLGALYSSTQDDPEKPITS GFYRIEKGATMTATYTYYEFKLVVAGDIIVSDSTGQKVKAVKGDIIYFPKGATITFET ENGGEAFFVAQRASP PFICI_12740 MSVVLQSTPVRHAACAFAEGHRASYARGLSQDSLMNRRLHCITS IREQLADYSGSREALSPLLLAVLLLYFLDGFVECRQQQLSVHSHYNGVLAIIEALGGQ QAVCSSTYPEASLLLSEFVAADLTEAVLQGRLPYFDAAIWKQIESGQVWWAVQDVGSQ SLASVFGTMASISQYSHHKELELEVWRSTICTMSNNLGRHGPVFSLKHLFGHINMPP PFICI_12741 MTAAWLLPIVATIVAAASGAIIASVLPNDQHAIWTVTICYILWG CGVPLAMFTMVIYFQRLTFHHLPPREVLVSVFLPLGPLGQGAFCIIQLGKDAMALFGR NNYVPAAPMAGQIFYVAGILMAFVMWGFGLVWLFFALSSISRMRFPFNLGWWGFTFPL GVYTVATTTLAKELPSLFFKVLGTIFSVVVTLLWIVVACGTVWFGFHGKLIFAPCVAD WEAKEQRKVYKE PFICI_12742 MGFFQNFLPEKDRYAALLLYGMVFSTCFNGYDAGIMTVILADKQ FIQYYNVDASRTGTIAAAPWATTGLAQLFVGGTLANLVGRLWALRISISVMIIGVIVQ SVPNTWGVLILGRLLTGLGFGCVYIATSLYVAECSPRLLRGSFVGTVTQFGYQLGTFI AFWAGYGMSFYTSPFNIAWRVSNVIQIPIGVAFIILSFWYPESPRWLLEKYPENPDQV LQVICKLRMGTPESDHVRAEFHELLAAKEARKHYDTGYTGLFKSAGMRKRLLYGLYAT ALQQAGGIAALTMYATLIYQSLGWNEGHQALAINGIQAALQLVIVLVNTFTVDRFGRR ALLIAGFAIQATALLILASLCTSFPDNSNRAAAVVEIAMLFIVGLTYCWSNGPIPPAI ASEIFPQEVRDKAFGFSLLGQTACLLALTQPWPTFNAEVGGRSYWLLFGLNTLLLISV IFILPETKGISLERMDKIFGEVDAVEVGEHDVGAEKIEVEVYSHIETKGSQKPVPAEA PQDKGDLNKEA PFICI_12743 MVSALKFLLLAASCTASSNIAARDSITATVDLSSSNGSPKHLAS GFIYGIPDNYPNQIPDSWYQAIDFNYARTGGAQLGSPARGWIYGTTDYQGRLQKFGAS VILLVHDIWGTDGVTSSTKWPGDNGDWTDYDKYISTLLNDLVSKNMLDGLVIDIWNEP DLTVFWNRPLQQWVNLYIRTHKAIRADSRFNNVKISGPSLANQPLSSNSWWTTWLSQV AGNNTVPDQYSYHLEGDYTNQVDDPQYTNASLAALLSTYGLPGREVNINEYATYTEMK PSGYAWWIARLERYNFWGLLGNWQSGTTLHDLFANLITKSSNPYTYSATDYVAAPGFW VYKYYAQNMTGVRLTTTGSTDRILDVYATKDSSTVRLLVGSRITTGTWAVQVNKLSSL GYGTSGTVTISTWGFDGSDPLAAQAAPSFRNTVDHTFSDDTLTFPIYQDNNYNAWAFE FAVLN PFICI_12744 MSYPQTNFDKTTFHGPSILKSRQAVVGLVTAKAQLKQLRETGRY DCFKLQWHPIYDDQSQWPASKSLFWDSDVGKWIEGVCYLLATEYDAELDAAVRELVDM IRSAQQDDGYLNVYFTVVEPDKRWSNIRDQHELYNAGHLIEAALAHRRYYKNDLLIEP IEKYVRLIRSVFGPGEHQKHAYPGHPEIELALLRLYSATGNQDAYDLAQYFIEERGNP TGQDGMLYYDWEEKQRGDNKYKRPDAYPVTRSHWYNQAHAPILKQESVEGHSVRAMYL LTAVADLVYHDVGGKSYAQSTQYLAALDRLWNNMVDKKMYVTGGIGAMHQWEGFGIDY FLPQSTDEGGCYSETCASIGVMMLAERLLHLDLHSRYSDIMELQLYNAVMTAMNLEGT AFTYVNQLGSSEKDKSARETWFECSCCPPNLMRLYGSLGGYLWDHGASSEGAFINVHL YTTAQVKFDVGGQKVQLAQKSNWPWEGKIEFELDSNAKVDIRLRLPAWSEGAYILEPP LSDAKVDNGYLLLPSSYTSHSKQFSLDIQGFEPRHISPHPYTNQNTLTLARGPIIYCV EDADNEWESDHFRNTVISADSPVAEFEREIAGERYIELRSSGWTRDLDSWKGKQSGSE PGAKNSSGVLGDEKELVFVPYYLRANRGGKGHMRVGLLKQ PFICI_12745 MSPPSHDPLDRDQLGEQGQNYSAKHQCPRCPCTFKRIEHLNRHV KSHTSQKAFICDVCFKEFARSDILHRHSFTHRTPIGDTGKPKRRRACTECAKARERCS KDEPCRRCLTRGLSCAYPPEPRGRQPRRSPRDDVLNVEAQPSPQAHNYQQVSKPGLQP LLPTSQALTATTPRSTQSAIEMMGADALRRQQQHDHLQIGGANSTGTGPGISNQYLGA MYDPATQMDVDTLFPLVQDQGGFSQSMDYPMNWLPANDTIDIDYSSILDFGIGPYADT SPLALGPTQDISLLPPDTNVGQNNQLSVAGNSIAPGSRRLNDPTVILPPVVSISSPTH TTSSHSQSSGSESRLSTQGGLYATSNDGARVPCTIRSRQHRHIFSGATPVHPSLDSEI EADDFSLRFPALDGIVSQDIEEAIEGISASTYDTILANFQRMCLTPGSFFPPFVNESF PSLDQMNILIQLYFEYFDPIFPIVHKEQVELNNFWPLALSMCVIGCRFTDTQEFTRCI VPFQEFLRRVLTFEVEMNPTEAMIIPLTQALILSQIGLLYSGQRKSFLQARARRSVLT ELINTTGASTVYEGTTLDDSDEVRNLQTQQEWELWITAETKRRIGYSAWLLDCMSRYH FGERFIGSTDATQHELPSEDLWNCKTAWQWSRSFRKTEKNPSLASAVTMLFLEKKFKK DIGQFSSLLLLHGVYEEIRRVQYYLDRPLSSWVPSLWLNPGSPPAESDHESAQLSDTK NNLAVWRNAALDCVDVLHWAANATVASAAGVEHSTVLHLHLSRVVLLVPYTSIQTLAK SISTLTADRSTTWSKTMREDALRAEQEVVRWAQQDEHKARLAVLHCGCLYWHIRRYSR RAFYEPVSVFLATLTLWAYSMYASRASPVGAEVQNDLEGIRSGSITRHASPEPPSDQR LSGREARGQRSSATNQLEFEDDAEPTFIRLDRPNDDEMVQQFVKSGRPSVMRAYITGV GNICSPQGPARILREGRKILSSVSSAWSRTQDYISTLESVEAVTTNSHNWDSRERLSA MTGKRSGWWSLAT PFICI_12746 MPTAIVTGATGILGREIVKELCSHPDEWSKIYSLSRSKKEDFGP RVEHVHLDLNAAAEDMAQDLKDLKADYVFFAAYLQMDSDEENTRVNGDMLAAFCKALE INGNASGVKRFVLVCGAKQYGVALGRTKVPMVEGDPWMPEPPFPPNFYYRQQHILHDF AKTHAIEWNVTYSNEVLGFAKGNFMNFASAIALYAAVSKEMGSELVFPGSEGFYSNNV TVFTDAGLHARFCRWAALEPRAANEAFNVANGDVESWMNLWPRVANYFGLAVPADQFL RPAPLAAERALVPHPPLSVQADAIGLKNRAPQSYVRQRINLVRWAEQKDVQAAWKKLS EREGLDASALEKASWAFADFAWGRDYNVVLSMSKARKLGWTGYYDSWDNLEGIFEMLK DEKIIPK PFICI_12747 MLIKRKPEISEEEFHKYWTEEHPAIVNEWLAKHGVVKYVQYHLP SSFRAQSEQVWTELGSDHVSDFDGHVVLTVPNLDALKNALADPYYKSHVQPDEAKFID AKGCYRTFGYEQIYIKDNKVISDGVSTSKL PFICI_12748 MRVGFLGLGVMGTPMALNLSRRFPLSVWNRSPAKYAVLRQAGAN IAESPIEVLEQSDVIFTMLFNERAFDTIMDKPFYKALAGKTLINTSSVSADFSQRLAA EVSQAGGDFVEMPVSGSRVPAEQGTLVGMMAGDEAVAQRIQSVVEPITSAAIYCGPVG MGLKTKYAVNLFLITMTAGLAEAMNLAQAQGLNLDAFAQVIQAGPMASAYSGLKIQKM LNQDWSAQAAIKDCYNSTQLIQTAAAGSGVNSPLIQLCGTLYKQAIESGLGEEDMISV MKVIGALNAEKANS PFICI_12749 MAMDLFRRAYKRVPTVAPQLPTNDEKGKPKTKGLASRLAFFKRP LRLKGNSSISVPLGVVVLFPCIVLILILVLFVRHPSSPARILMPAGAPPAIRKISEKH DKVFVTGCLEPDTSQPRANAAFVVLARNKELDGVIQSVKSIERHFNRWYHYPYVFLND GEFNQTFKDVIKNYTSGEVEFGRVGPEMWGYPEWIDPKIAKEGIAKQGDAAVMYGGLE SYHAMCRFYSGFFYKHPLLAKYEWYWRLEPEIKYFCDITYDPFLKMIEHNKTYGFTIA VKELKETVPNIFRYASAYKRLNNITSQGLWEMFVEPLEKPKVSKPEDDPNYKPPLPEE ILRSDPGANTLPDIDPETMEGEKYNMCHFWSNFEIAKLSWFRSKEYEDFFQMMDRSGG FWMERWGDAPIHSLAAGALLGVKDIHYFRDFGYRHTTIQHCPANAPSRQLPREPFLEK TTLDPKKRQEEDEYFEQWDPEKENGVGCRCRCDTDIVDVEGKEGSCLAEWVDVAGGWA SP PFICI_12750 MSDASKDVGSGSIDPKADPQYIQFKCLPPGGALNRWSHTITREH DFPGAQAMLYGAGVPNEQMMKNAPQVGVATIWWEGNPCNTHLLDFGKIVKKSLEKQNM LAWQYNAVGVSDAITMGGEGMRYSLQSRDLIADSIETVTCAQHHDANISIPGCDKNMP GVVMAAARHNRPFIMIYGGTIRKGHSNLLEKEINISTCYEASGAYAYNRLNAKTNPGS EGRTPSDVMSDIEHHACPGAGACGGMYTANTMATAIEAMGLTLPGSSSYPALSPEKAR ECERAAEVIKTTMAKDIRPRDLITKASFENALVVTMILGGSTNGVLHFLAIANTAEVP LTLDDVQRVSDKTPFLADLAPSGKYYMEDLYKIGGTPSVLKMLIHAGLINGDIPTVTG RTLAENVADWPSLPPDQKLIRPLSDPIKESGHIRILRGNFAPEGAVAKITGKEGLSFT GTARVFDAESELNNALTRGEIPRGENLVLIVRYEGPRGGPGMPEQLKASAAIMGAGLN NIALVTDGRYSGASHGFIVGHVTPEAARGGPIALVRDGDTITIDAVKNRIDITNVTDD ELAERRRAWKPPVPRVRRGVLAKYARLVGDASHGAVTDQWEDDVAVPK PFICI_12751 MSPPMQLTSHLNTPYTPTSDALDRHDYGISKNRKLVSTGGGRAW SEDEEVYLLQTRLQKMPYKHIAAHLKKTELACRLHYHQLSHGSNRRKRTTSVSSASSS GHSPILPAIVPSPINECSSRDSTPPQSAGGYGPGSPGPVHLPSIMANTNTSPRLPAIL PKPAAMNFTTTAMSPSLGYPTPTIADAARCLGPSAFPQPSPITQGTAPLRLDCTLPPP TAHVDLPRLQSIYSAHRSSFWHTIANDYGTGASPIVLEQAWKANILTAGSQTPITPMA SPNDRDLIYEKQDKTRISAILGIDANPRSPKEREMVRLLEEGRNSGVMAIA PFICI_12752 MQQWQVGPVPEYMYQANTNAPQHANDLHAAHPYQQNDMQRRPDF TSYAQPNPAAQHPSHGSHQQHPQQHQQHQQHQQHQHPQQQHTQHAPIHHASHSQHSQH AQHHPSQPQQHTPVQAPMTIPQSSAAPAQQQPTPTPAPAQAPRGGRKRTHQQSQQQHQ QAQQQHQQVSQQHQPQPHQPHQQPTPQQHHQHLHQQQAHPVQVQQGHQQSTPQQPQHT HVPAPAPASQAQQTPVPAAAPAPSAPVATTPVPPPVPAAAPPAQQASAVPAAPTPAQE ANATPAPPPAKKSRTNTPWTPAEELRLKQMRDAGNSWAEIAKTFPSRTEGSVKKHWYK DMHYAEFAEDESQALLTAIKDYENNKWKTIGQKVGKPAKACEQYAKEHFPDLFNSTKR G PFICI_12753 MTRVFQIGLLTEAFFNISGALLFVLRPAWCLSFALALPDEPVPS SAAVLFQVYGGLVLALTLPILLVVRESPGQSRAVFERRDIVFKTLAAGEVALIAILLW NTMKPAAKSGFSHSGLFISSVFLLPALTWHSFAVWGRPDLMRVDNEGKNIGRVKPS PFICI_12754 MSKRTAEIDDGDVPMKGGDRPEPMDVDQDKDMGEFEDEFEDEFE SEDEILEAGVDGRPDAEREAEERAAGAMDVDNGTFIVGRNTLEAGQHLAPDMGTYEML HSLSTPWPCLSFDIIRDGLGDKREKVYPVTMYSVAGTQAETGKEKENQLMVMKFSGLS RTQNVDDDEDSDSDDDAEDADPILESKTIPLASTTNRIRTHQIPSQDGSAPKTFTATM TESAGVLIHDITPHLESFDNPGTVITPQQNKPLSTIRAHKTEGYAVDWSPLVPGGKLL TGDNDGLIYVTTRTDGGGWVTDTRAFQGHTSSVEEIQWSPQEASVFASASSDGTVRVW DVRSKSRKPAISITASRTDVNVMSWSHLTTHLLATGDDDGVWGVWDLRSWKQGNDKPS PIASFNYHKEQITSVEWHPTDDSMVAVAAGDNKVTLWDLSVELDDEESKDTAGVQDMP PQLLFEHIVEHAKEVHWHPQIPGTLVTTGSEFSVFRPIPVVYGVQVK PFICI_12755 MKFSIIFAALMIGSAYASPLAQRPGRGGNRAPPAQQQAPPPPNN GAAPPPPPPPPQKREEDYIEIAARGPQGPPPPQPPPAPNGGNNNQLPPPQGGNQPQGG RRPPPNKREPVEVIDLVARAPQRPQPPPPNNNNNNAPPPPQGGNAPPQGGRPGPPPN PFICI_12756 MGGFGDFTTICETAPLPLCANVGPITSISSGVGIEPDCYARNIE VANTIIFQGASSFMHIIALIMTVVMILHIRGKFTAVGRKEILAFFYFYMLLSFFSLCV DAGVVPPGSGPYPYFVAVQSGLISSLITCLLINGFVGFQLYEDGTPLSVWLLRLTSFV AFIISFLVSLATFKSWAGLGPTNTVGLFTVLYLLNAIQLVIYFAMQVVLVVRTLQDRW PLGDIAFAVIFFVIGQVVLYALSSTICEATSHYVDGLFIATTCNLLAVMMVYKYWDSI TKEDLEFSVGTRLNNWEVKELLPEDERRTTIYADEPSPYGQSSAYDRGYSPNSNNRMS RY PFICI_12757 MPDKITSKNLSYDQSLPPFLARLRGQQTLDAQSPDPNLAARRRA AKVRSASEEAEDAPVVVDEDGNVVELKAGQLDEEDGEDGENIKEAAENKTAKNGDLPA PEKEKTAGIGAAKKRKVGKVIGGDDNDEEEDGAGGGVDAAIAKAVKATRKLADEPTKE SDKTIEANKATGKSDKKKKAKKIKLSFGDDDG PFICI_12758 MTKGVAAKKFAYATLITRASYLAGVVVLAHTLRNHGSQYPLVVL YTHTLSDLALEALRLEADESNIILRPCDVLLPPKNTKVTLIAERFADTWTKLRVFELA EYDVVCYLDADMAVFRNADSVFDKTVELPDGWIGANHSCVCNRDGDPWAPEDWRRENC AYTPVSHPLALTQPTQPTAKGPRTHTLLNGGMFLFRPTPELWTDMLAEFHTTPLLSSF KFPDQDFLAHYFRGKWRALGWQYNALKTMRYWHENIWRDDEVICLHYIVDKPWAKRNA MDGTAGYKGRDGVTHQWWWDAYEQWEKGKEASTVTAPRVIDLVRKGVAPPDGTNHVDW TGGDEDPDMKAIGSNVQGFANNK PFICI_12759 MSDLKASVSETKNGFHVEGYEKIEYDFTFIDGVFDVANPNLADC YKRWGRVLAVTDKNVYNVYGHKMEKYFRHYGLDLKIHKTSIGEKAKTIDTFLEICDSM TDFGIIRKEPVLVVGGGLVTDVAGFACAAYRRNTNFIRIPTTVIGLIDASVSIKVAVN YGRYKNRLGAYHAPMHTFLDFTFLRTLPIAQVRNGFAELIKISSCSHLEVFDLLDKYC EQLIECRFGRADGAPDEINREGIYEMLRLESPNLHEIGLDRVIAYGHTWSPLHELVPE TPLRHGHAISIDMAYSATLAMKRGLLSADEHRRLLTLFSRAGLSIDHPQFDDEVLDKG TAAILKTRDGLLRLAVPNPLGSCKFINDVSEHELRDALRKHKEICQTYPRQGAGIEAY VDSSDTGYTENGESVDDAAEEADIPPGSKVVNGSATNGNGYGHANGNSNGDISLLEKA KAALLSNGNGHAKKPYTNGVKVQA PFICI_12760 MAGAVHKDFKGTAYQPQEEVYFNDGREIALLHFIYSHPNLEQLR GNPQKVLDAIDEYGKTKKYLMNIGEYKSKTVVDLIAEVKPQVMVEIGAYVGYSAIAFA AAMKRAGGKRYYSLEHNPEFGAVTSSLVDLAGLGDIVTVVIGSSSDGLRRLHRDGVVE HIDLLFLDHQKPFYTPDLKLCEELGLVGYGSVYAADNVVKPGNPPYLEYVRSTVDQKR QRFASASNDGPKGNPDLVYKSEFVEGWEPSGVPDALEITRCVKV PFICI_12761 MIRMGLYSATRSLALVAVSVILAPWSIALALLVIFFRPWASMLG SSRYPKPKCLSPVRSRTVLVTGVGMAKGLTIARAFYLQGHRVVGADFENQAIPCSGRY SKGLSKFRSMKSPSSSVQSSRSYVQQMLRIVREEDVDLWVSCSGVASALEDAKAKELI ERETRCKCIQFGVQETETLHEKDLFMREAHRLGLPVPETHNLTCPDDALNILLKFHGM SPARKFILKTVGVDDANRGNMTLLPLDTKEATKRYISRLSISPQRPWILQEFITGGEE YCTHALIVRGEVKCFVACPSAELLMHYRALEPQSALSRAMLAFTREYIFRSPNSEQFT GHLSFDFMVRDSAVDEHTIERSLYAIECNPRAHTAVTLFAQQQHGSGGDGAATTSAMV KAYLSALEETPESYAHVLKQNGLREEMIVFPPKSTLPRFWIAHDLFTLVLQPILNFVA GQKSVHQLFCCLTEFLIHVLTWKEGTFEVWDPWPAVVLYHVYWPLTILSAWWRGDDWS RVNVSTTKMFAC PFICI_12762 MIPKAISDILELSNSQTVPRLGFGVYKSPPEVCVQSCLAALDNG YRHIDTAQFYSNENQVGEAWKKAGLSRDNVYLTTKILDAAGSVDKSYRQCLESVEKLD RTGGYVDLFLIHSSNCGREKRKELWQALERLYKEGGAKSIGVSNYGVQHIEEMKEYAE VWPPHVNQIELHPWCQQAKTVKYCGQHNIAVEAYCPIVRNKKSDDPALKKISEKHKVT ASQVLIRWSLQRGYIPLPKSDTPSRIKENADVYRFDLDDDDMAILNQLDQGRDGAIVK AVDE PFICI_12763 MGDTDLKALNYFTCTLGESAEWKGQQPKGAKTAYHTVLQLVDNK AQETPDDPAIGFADFTAHQHDDGLPFLTFGALNSRSRDAARILSRELHGAIKNESPAM IGLLCSSSLDFVLTWLGLMRLGHTALLLAPQLEAPAIKHLCEGEAAMTILIDKTHEKW TTQPIEGITFQHIPDYQCADAKATISPNQHVPKSSDVAYLRHTSGTSSGLPKPIVQTH WGAVGVLPRLTGHEHSATFTTTPLYHGGLADCFRAWTGGAMIWFFPEGKVPVTETNVR KAIEFSRKNSPSSVCVEYFTSVPYVLQGLASDREGLRLLQGMSLVGVGGAALAATVGD ELVRQGVKLVSRMGSAECGFLMSSHRDYDSDKEWQYLRPVTNDEFISFEPRDGGLFEL VAKPGWPLRTKQNRQDGSYATSDLFQPHDAISNAWRYHSRSDAQITLANGKKFDPAPL EGDILGGADLLEDIFVFGTGREFPGALLFPRSENLSKAKVIEGVWPSIERINSASQSH SRLTKTALVVVSKKGGQENLEKSSKGTVMRRQAEEKYAELIESVYGAGKDQHFHHETL NTAQLQEMIVGKFSQIIDRVIDPDDDIFRQGVDSIACIQIRKLVETSLVPPGSCPLPL NVIYEQQTVRNLALYIQTVRQGNEKGNCLENTAIESSEMKQMATLTKVYRDFDPATVS QVKSREGNRRGIILTGATGALGAHLLHCLRESPEMRKIYCLVRAQTANAAHERVSQSL RARGLCELEQFASHPSLEQKVVCLPCDIFNAHLGLSEEMRGRISQDCSIFVHAAWTVN FSLRLGSFEDHIRGLHHMIRFAISIGSNLVFVSSTASVSRSSEQRILESIPSNPSDAA PLGYSRSKWVAEQVCSAANHHCVSKAAEEDFVHGHPQVSVVRVGQLCGNAAGVWNASE AYPLMLSTAKITGCLPDLQDESLNWLPVDQAAQALLEIIIHDTDGRRRTAPEPGGASP VYHVLNPHRQPAWRDMLEWVQDDKGSRSTSFEIVSPREWISRLEKALDVGGGDGHLHP AQKLVGFWQQKYRDEPIVNGTTHKPRVFDTKNSCRVSKTMAQVHPLSQAQVLKMWDWI CSHVA PFICI_12764 MSSLTAKKSAPSGIQAEETKSASETGVTIAGNSDSHISAANAIT QTPPGTAVVAATSQVLPGDSAQSTPLNAGQPNKKGPAKLSRKETAGKDVKDAKPRPNP ATPSEPAIDPLSHHIFVRTNTDRAIPPKLRGPGRPDSPANESLPRPSSDLAAKQAPLH PEHLRDRRKPASFLSRLSMIGNKKRGDDMDDGASEISDMRTEGANAVAFSSDIDFNGY IPRHKEPPRYIRVRTHHKKEKEFNRMFLAQELVGTEAPQEDDGENPAINGAPVQPVRG FGRKDLNPGGPIWAMEFSKDGKYLATAGRDRIVRVWAVIATQEDRKAYEEESVSADVG AGERLSAPVFRPRPIREFSGHTGEILDLSWSKNNFLLSSSMDKTVRLWHLSRDECLCT FKHKDFVTSIAFHPRDDRFFLAGSLDSILRLWSIPDKSVAFSSQIADLVTAVAFSPDG KTCIAGCLNGLCTFYETEGLKFETQMHVRSSRGKNAKGSKITGIQTMTSPPDVLDGEV KVLVTSNDSRVRIYNLRDKALELKFKGHENTSNQIRASFSDDGNYVICGSEDKRAFIW STGPSQSDNKEKRPTEHFEANSAIITQAIFAPTATRQLLQASQDPIFALCNPPPVTLM SREEANMSQTTLGQESVPDKTLAIKKPMETPAFIARSKHHDGNVVVTTDHSGIIKVFR QDCAFAKRRHDSWEAGSTFSRRVGKDGLLGRSGSVKTSTSTGTKEPASRRGSISQAPS GLGPGTPQFSSERIMSWRQGVEGIPSRPSSIALATPVRSERSMSPAKARTPIPSNAHN AASEARRQPYASSLASPPLQPTSPSSSVKTSDQDRLDRPPPPSFTFRSVDEEDEDKEG GPHVDHSGPTLSFWNLNRWKGIGSTLKGNAGAGTSDRRDSKTSESGAAMLTPVPESDD RRRKSLGSRVIQPHSHSSSPDKTEQSGRRKSMPSKDLLAPPGGRPHRDRQTSVVSTLT SEEISDPETSDQGNPQCSKCGSREFKSKKVAGQQKLVCSSCGRIHDN PFICI_12765 MTSQDPTAPTHPVHVVQTLESYNELLSSHQYVVVDFHATWCGPC KAMNPLFTQHATKHASPGQIAFAKIDIDEVPDVAARYRVTHIPTFLFVRNGEAYEEVR SANPPKLQAAIEEMAAEQIAKGNVTAAGKGGAKDDGNEVAKAIEDSDW PFICI_12766 MANQFSFFFGVELELLISSRSKSHKSWMSLATEVSTKLKKAGIR NHINEGCDKSTANYTEWSIVQEVTVPSQPGKNLWGIELVSPIFELSSPWSAHLSLIYK TLKSSFTLSSSANTSTHVHMSTSPPLPTSCLGTLAKAILYFEPGLDLLLPSSRGSSYW CQSNHENTTLKNVPLLDCFTHMDYCHSPTDIAAAMCSFPAKSAYGQANGFTSNFVHGV YKWDFSGLVDPALCLTSNHCPSGTIEYRQCPGSKSAEEAHTWLMLALGFVAGAMERGD TIDPQAPVAMGDLWWTVSCGLQALQFGSADLRAMEKLFSGKGK PFICI_12767 MQLVKNKSAQRLDATVGDGSQSVTLVPIEPEDMWHANNLISPED IIRAHAVRKVTTESKTGSTQSERVHTDLVIRVTSTFFDAAASQLHVSGTVIVENSFVN IGQYHTLDLELNRPFTLWKSHGWDSVAQETLEEALKQDKEGAVAAVVMQEGIANICLI TEYRTILKQRVESTIPKKRSASSDQSSGMKRFFDKVLATLLRSIDFGTPRPLLLASPG FVAGDFKKFIQEEGTRKGDKAMMSIAKEATVVHSSSGHLHSLNEILKSPEVLATMKEM KFSKETRAMDELFERLRRDDGRAWYGISTVEKAVNEGAVGRGGGVLLVNNSLFRSLDI ATRKKYVALVDKVREDGGDARILSSDHESGQRLDALGGIAAILTYPIHDLDEDDEDDE QGEDAPEGMII PFICI_12768 MASSYTINSTAKLNSGYEIPRLGFGVYQTPPDETEVATLEALKA GYRHIDSAVLYRNEAGVGSAIKKSGIPRSEIFFTTKIPQLPSSFLDYDQAKAQIDKSL QTAGLEYIDLYLIHAPYGGSANRKGVWKALVEAVDAGKIRSIGISNYGVHHLDELEQH IKELETERGGQGKGGVISVAQHEVHPWCARNDIAEWCAKRNIVFEAYCPVVRGKRFDE PSVVKLAQKYGKTPAQILIRWSLQKGYVPLPKSVTPSRIVENAEIYDFELTQAEVDEL ESTEYAPIAWDPTKIGLN PFICI_12769 MAEGGDHDAANANLVQLPQECARKMSTNFSAMDTAADAAKATEV EHQMGLLQSLKVYRKGALWSLALSTAIIMEGFDLILLNSLFGFPSFKRKFGRPLPDGT YELTAAWQTGLANGVLVGQILGLFMNGFLADRFGYRKTMAGALTLVVAFIFIPFFAHN VETLLAGEILLGIPFGIFQTLACTYASEVCPTQLRSYLTAYINLCWCIGQILASVILR ALVNRTDDWGYRIPFALQWFWPIPICLAVIFAPESPWWLVRRGRIDDARRSVARLTSK KMNPDFNVDHSVAMMVYTDHLEKTVSAGTSYADCFKGIDLRRTEIVCGVWACQVLCGS AYSGYSSYFFQQAGLDASNAFTLTLAQYCIGAFGVFASWFLMGWFGRRVLYLTGLGLM AIILAIIGFIGLAGRSNVGAQWAIGAMILVYTLIYDSTVGPVCYALVSEIPSTRLKTK SVVLARNSYNITGIVNNIITPLMINPTAWNWGAKSGFFWAGSCALCFVWAYFRIPEPK GRTYGELDVLFEARVPARKFKKATVEGFTRHRSSAGTAEMKVEETVTEKVA PFICI_12770 MSGYSVMSLVSTIIGFVSFGLTILIWLHSFWNAFQTVLGARSQI QDELSLLRQRLYEEAEYLRMLRRRERPSSERHRSEDKYRDDRYRSGDEKGGGGGHERV RPKKDIYHDGGPIRVLWDAVKDLIKDFKMYERPFLVIPGHTDTEKGEKELEWSYGALR QNYQCDLPQRLIWLKYRHHVTDVSTRLEKIQTHRAAIEATRGRLLITDTMGLMRECHE RVMDVEDRVRVIEDRVLGVRIVRGL PFICI_12771 MSHNILITGGSGYLGGSLLHRLPTAGLPPYNKLFALVRTDAQAE KVKELYDAHPLQVDLHDEAAVQKSIEDNDISVVFFLIDAANPTMQVPMIKGLAEVKKR KGGDVHFLHTTGAKLFSSHAGAPTDHPLLDTDPKLYEIQKSQKATFTPAQKASTFYTA IDTNNTVVDVAEPLGVHSYIFAPCIVYGKGEGFGNTISIQTVAIVKAAKAARRVYRTD EGKPTWPVCHINDNTSLYTTILKAILSGANPGYGKHGYYLASPGSVAWDDLYDAMAAA LHQHGVIDDARVELADRAALEKMAQGTGNPPEMVHFEVGGKCTFTAKHGYQLGWEPEY KPEHILETAGDEVSLILANL PFICI_12772 MAARGGRGGGRGGRGGARGGKGGANGLPWEYDPTLNTDQPQDTY PKTYRPPVASSFPLTSSESRSVRYFVKVRRDFHNSPLYTHKHLAPEALGTSSNIADPV FKQYGQEQVNERYGIKSRATIDPFTAVPMFTHQFVSETRTMPFLRGRTFHHDLFPEEL WDTLDGKDGGPAKDAISQGMKRKSMAADNHFEDDDEEAASRKRPETEEERKRRIAEAA AGRDNEDDAAEEDPDDDEENMSQADDDFEDDEDGGDYDAEQYFDGGDDDMEDDGGGGG DEY PFICI_12773 MDDTVVPLTGVDELDKHLDQLIADPTLASNIKLFDNVTLQLTEV NIPPLIPRLLPKITEILKQYQQDPAILCELAIKLLGPLTFTQVMALASEDAIIQAMRS PAPSANLLAMAVLEKAAQSPGEAAILATLKNIVANFVVQWLSAPQVEVGEKGSKVLGD LLDVDCAVRPAQGVSLNGQEIVLRRPPGQGLVWRRIFHDRDIYGSILSLSSFGGHHHD AEGGLSDHQITLAQGRLLRLLPRLAALDLAAITKTEFPDLHERFTGEVEKNSNDEGLL QFAGLRMINNEDLLMHLSLIDFFETLVSIQRITPFSTYKTETLGVLLRQAVSRDPELK SAIISLPERTVPEEANDLRTFIGTLIRG PFICI_12774 MASSATLEVSPKDEGSRATNSKDPTVVTPSDSSSLGASAAAKDQ KTENIKANGDNGNKIHKLAKSSKKNSKSKKRKKVIVLDDQSSHDSSESESSSQESSDT ESDEEIVKNKSRSKKGKIGKQSRHRRKQSKSGRSDTGTEVSDSDSLDTTDEEESKNDR KSQKALATVQQQLKLLTRQFQDLARASAPGINNFGGMPLYNGGLATNAGINQPLLPNM NTFSGRPYATPPPAIPARGPGHPIRGHRVNRDIDEIGSDEENDNWDPFQSRSPSRTKS KDKNGQRRHVLEFKRVDQVWDSTTHNYKLQDTATDAHNSRYDEYLFHVRRTFDWEGKY KATVVDIKSKQLREALRDVMGNLRGMNLVVENPKLDPNILFLYLDDLREHMVNLTDLE PAGKTRKARKKVQKWIDEKRRHLRVLIRYLDKDYAQTKKTLYPLLEHGLITFDLCWAL WKPGTKAYTTTYGSTDEPRVFNIEFAEKQRNVLKGEFFYVEGKYLEFDGKSFGYGTMC EEIAAFRGARKISSLDCFPLQYHKDEGQIRRSLIERGQKFVSLAGAHYKSHKGIAFYK KKKAVVKVNINGRVMIDPSTYRRNNPNYQISPIRPRDHDILDSPEDSEDEEYSDGDTG DGAKALQDNEDLIKYVTKVIQDKDGNTQEISVSKDELDLMNAALENGFFSGQGADDAK DKASKENKMENHHTTKKASHGREFSDEDYLIASSVVLGFAFNEKLWVELSVSGVKEIS WNESAYESLVLQPKTKDVVKALVESHKYHAAENIDDVIQGKGKGLVFVLHGPPGTGKT LTAEGISEFLKCPLYSVSAGDLGTDSRGLEAELQRVLDICHTWGAILLLDEADVFLEK RNMLDLHRNALVSIFLRHLEYFQGIMFLTTNRVETFDDAFQSRIHIGLRYDELDTKAK KAIFKIFIERVKALQEVPITNFTDEDYNTLARQSLNGRQIKNTVRTAQALALHRGEAL SMKHISQFLDVLQSFQQDLRGGTGYQDAMRSYF PFICI_12775 MTGAFMSLAVDAILPSYRDRNIESAIPAPDVTKIAIRLRYLIEE CIPCELDEEQVTRPHSKVITRKVVKAAQEAGGEENKACVVYCLLTVKRWFKHQALLEL WDADLHGLRATACEVIAKQIIEGEEDMGYLMHSVLLKRYSIMIDGEATPPVNVIEKAV DLHSLLVIGSSGYQKCIGYLWKGWLVQDEDDPASFVDYKDRDNTSFWPHLDPDRMRAP MHQNAAQLLISIIYLALYTGAINTINPSGDLDFVEILLYIFTSGFIFDELTKFWKAGY HIIGFWNVFNGCLYSLLIVSFVLRIIAFSHSWDEADTRQHFNQLSYSFLAFAAPMFWG RLLLYLDSFRFFGAMLVVLKVMMKESVIFFALLFVVIVGFLQAFIGMDYADDMAGDDT IFILQAMANAIMQSPDFSGFERFSPPFGIILYYVFTFVIMIILLNILIALYNSAYEDI YDNSDDEYLALFSQKTMQFVRAPDENVYIAPFNLIEVFLLVLPFEWWMPKKLYERIND IVMGIIYSPLLVMAAIFEMHTAKEILRNRARGDDDDDSVEEWEQMAGDVDFESEGWAK KVAESKANVEEEPAVLEVRSLREEVNKLKDLLENVSKALEQKQESESLI PFICI_12776 MAHSEAPTSAAFDGTGDYNEHNASMHPPHHGMSAGKYLATRVST LKPAMANAPNPIRLVRMLNRHHWAFFFVAFWAWTWDAFDFFTVSLTVSDLVKTFKVHK PDITNTDITWGITLVLMFRSVGSIIFGVAADRYGRKWPFIVNNFLFIVLELGTGFCQT YEQFLACRALFGIAMGGLYGNAAATALEDLPAEARGLMSGLLQQGYAFGYLLAAAFSR GLVNTTPHEWRPLYWFGACPPLIFIIWRFFLDETDTYKHHQSLRKTEGGSVGKTFVEE GKVAVKRHWLLLVYLVLLMAGFNFMSHGSQDLYPTMLQNQYNFGATEVTVTQVVANLG AMLGGTVVGYSSQIFGRRISIIVICIVGGALLYPYTFVSNHGIIAAAFFQQFCVQGAW GVIPIHLMELSPGAFRTFVVGTSYQLGNLVSSASSTIESTIGERFPLPPKGETKRYEY GKVICIFMGCVYAYVILLTFIGPEHLSRSFDAAHDADLDEATAHRHEKPHVVEDEEKG ATRHMSDA PFICI_12777 MAPISASDIPSLALLYKLKKLQPAKTLPVSGATPAHNDRVGLIR GDITTMAVDAIVNAAKRSLLGGGGVDGAIHRAAGPGLLAECRTLQGCGTGSAKITDAY DLPSRKVIHTVGPVFFRVGPTQAKVDLSSCYDSCLRLAVQSGVKTIAFSAISTGVYGY PSLDASVVACETVKNFLDGEHGHKLDKVIFVTFEEKDVHSYNATLPRFFPPSMETSSQ QERAAKEENAEAEATISQLPDVPKADPSDPDHVQKKQKQND PFICI_12778 MADHGQHNTATPTHAPSDGHPAKPNSSSEQPKVDRQQPNTNTAF TQAERLVRFRFGGPEASPSSTGSSDKNAPSQPQTVVVAETQQTSQGTQTLPSPQISNA NDVTTPSQPSNVKEDKTEPNTPTSSSNDQVQPADSTRVDNGRVDPLPTEEVDSDTRNS TGTDVSSSGGPAAPHLSANVPSGVQSTGTKTPTDSASNPATGGTDQDASKQPKADSHK LQSAPAPSGDSGPLGYMVQCPNCLQTKSIEHFHHAKHAKKVVKNCRSCRELDRADQAK VKRAAKQFAQGKDAEAILIDLGVLPENSLTSSGEITVKALAPPKPAPQRDAAKQTKVP QPKETIHNCPKCDKKMPESQFFREGYSMRTCNNCATRKPATVDISVQPIQNGKDTETR DILLDKVKDACSQAAVSQPESQPSSNKRQYPSFDGLSDSMKKLKTNDTTPVNDSANRA TPTQVLSQATQIQENSDRPADTAMTLRGGGGGLINIRRLNKQQKRSQRPERKAVGHHR LSALATLYDPTMSISEPIFILGRDYLTGNIPLQILARQRGLTTEELLPEARRSLILYL LEAIPEFGNILARLLKHNLFAKALDGKDITTHAQLLHLFQNEAEAFKQVLHQEDMRRK LVNALEQVRVDQIDDWCNA PFICI_12779 MARPWRDRKPTAPYRRAHIYIEYPHSPWANRFYPGTMPYSSLDE NGVLHSSLAPYWPTMPVEALPDGGEVPDQIVQQPHILALDRLFLDERLQALAIGGLRS WQIPALNTRPGPYGPEHHVPRDGNDLGWEVFKSSGFERNEFKWLTIFARERWFDYRVN CFETIENPIPGLTRHKDNWWTVDNQHIWNQLSVSIEIANRLLEQLIAERDPWLDAVIF QPIQYWRHRDPRVMNMYLQNNENNPMFVQQEADVNKRQMPEAIRNQMSVLLSYTVWSF FDEHLEIGLGVTWPLLTITPNKPGAPNPCNLITIQTGCLRSLCNSNLSLVERVNVQMA LADTILHETVHALWMGRLIIEKGSTDHNQEPYMWPATGPPETVKELGFSFEKHVFGGT VQAFPQPPDPYTWKGRPLTLCLSTWPLYIGGQDLPPELWQNDVFAHNLINYPLPASWA SSFATKDFWNIIVPFFKGSAAFQAPKVFRSETRRDWYTLGQRAFGAKRMRLNENDWFA DKYEWSQQVWQNRQVRWDLLRPWYAEEYQKWSSTPWSMAQARATLERFVEAHSTRDQA QCVKQADIFARYVYDYHAKGARGPLTWVYIVIGRLMRAATPLMLDDYLDCHPPRNPGA NMHPNSRGVPGSMTVHGIMLNEISFDLSMVYFENFTLDTTIPKSNWISSTIELAEAKA LANTERLIHPVPEAWLDVLENTWAELAKWVATNGEPTIMNWPEFTLQVPPYDNDTKWS ANHDVLTNREHSPLASPTTMTAKQSYTSLPEPDGPLIGPLRSTAKASVGEVGDHIDSD ECTRIIEPDGNCGFDIYDITGASGLCEEAGFADGQDVRTRLLHVGEYGPCLVQGTTDV SESVSPWLRSLIEKSGLKNDLLNSIESTEAKMIRANFGSTMHPLGKLRTRKRMEEIAE YDGRHGMPFWLTIRGCAYDLTTFKHSQRDLDVLRSLVNPLRYKSKNVSDTESKRMLKK IEPRKCAIVQGRPYNFMAQLRPYTLETLARCDNPTFGCYTAIGDYVYDLKTYLDNHPG GRQIIIKYLGEQATEFQDWHSPDILEQVAHLRVGRLVSEIDVNFVEEHEVVIHGWVFD ISRLAPDQSQSIADNKIHEQTVKLGGQDASEAIKKNDVMGSALVNLYRRKDLIVGRIK KDQPLKEIPEDELKRHIDPEITTRAWVAVNGQVYDVSTIMIHGKDFYKHSIPKMWAGR ILTDDTLARWLSGNYPHYVIGKLVPGPAWPPRKVPDIAAREEEERERYREKQRRKGLY PDNIIPQDIVEWINKTNATPAEFRHQMALRFGLYADTRPDDPTEPCIKLNPDGTNEVP EFARPLKIRKFGDGLSWF PFICI_12780 MASPRFQGWLGKTPESVNGKMEWGDFEPKKWTEDDVDIEISHCG ICGSDLHMLKSGWGETPYPCCVGHEIVGKAVKVGNNVKHVKVGDRVGVGAQARSCLKP DCVDCSNGLENYCGNGTVNTYGSIYPDDEGKSYGGYADYNRTNGHFVIPIPEGISSAD AAPMLCGGITVFSPLHKNGAGPGKKVGIVGVGGLGHFGVLFAKALGADRVVGISRKAD KREDVLKLGADEYIATDDDKDWVQKNRRSLDLIICTVSSEKMPLQSYLMMLKTEGTFI QVGAPDGGNLPNINAFTLLGNGIKVGGSGIGSPAEIKYMLNLAAEKKIKPWVQERPLK DANQAIVDMADGKARYRYVLVNENYGKH PFICI_12781 MAAFVSCQKFWNELVRLFGTLGPLWLVLYLGTSVYAVFYRYCFL VLLQVPEQGLFDTPVAAYPGAAYAIFAVHGFLKLNWFGSWVMGLVITFFCDRDYEPPI KAQVIMQGGRGFRPETTSRWEPNRRPRTCPRCDRKCADRVYHDNTTHRCLPIFDHFCP WVQISVYSRTMKAYLYLLLFLPLDILSTLTVLVMALCSYTSQGITPFVVSGSFALIAL AVGVSLWGPRQWWHLAFNNEVHFEHRGKPRAPQLLAFKDTQGGRHVMRLKDFRGNPWD KGYMGNLRQVLGSSWWMWLIFWWQPERVAKYGRYEPGIDLPYSDKVWEMRHEVLRQRL DAAEFWSGLATTPVARRMHSRSAEQSSSTHEEGRSEPRRRMNRTS PFICI_12782 MQVIKFFTSILAVASVAIAAPVAPYKNQTKSALSTTEDTSSSII TASATASAAINNDDAAFEFFGISAPIPLLNITNLHMEAAAETKEDNSLEQHNANRLHM AVAATLEEGRPGKIPYRRFPQCYIDCFDSEGIDSKTWPAIGDIRDLTTHEFCYSQHMW VANWFLEHLQFCVGACVMGLTP PFICI_12783 MAAGLSSNWKKLQATLKTESSSTPAKDKAPAKRKAGGSLTAQPK RQRLEQTQKTDRPVAKPTPKGTKSSGAAMGVAQSSAVAKGSSSTVNPSLALWAEDNDI SAEALAEAYGLGIKRNALVVSEQPRVNEGLAPGIEIGKYVAMDCEMVGVGPDGHDSVL ARVSLVDFHGRQVYDSFVRPRERVTDWRTKITGITPKHMATAREFDAVQQEVADLIQG RILVGHDIRHDLAVLMLTHPTTHIRDTARFAGFRQYGHGPKPALRTLAKELLDMEIQT GHHSSIEDAKVAMLLFRKKKSEFDMEHANKFERTEKAAGKGGNKSKTGKKKSRR PFICI_12784 MADHVCYSKAFGSDDVMVIVLLVVFTVYLAAQIQGWRHGLGQHE SQLSPENRSAALMWWWICFLLYIVCVCLFKIAVGLFLMRVAVVTLHIWILRIIMFGVC TCGTGYFFMAIFQCHPPSTWWTDSPRAPDKCWEDRIVLGMDLAASIINCGGDWVLGVL PIFMVKSLNMRRRTKILVACLLSFAAVGSTATFVRIFFLPKLLDGEDFLYSSAEVAYL STVESGIGITAVSIGTLKPLVEQLQSRMGTSSARTESHVASWSRRNRSNGQRRSYIRA NGNDQPATPRSGLGSIFHLSTKSSGPSMSNTMSNQTVPEIRVDSDEEQAVHPTSNMEL SQLSANSIHVRNSVLLEVSPPAPAAVSHRVFATTIRAAAFIIVDFQLEQEEIHVFHQP I PFICI_12785 MTSNNNNEGNSTSTNFFNTLKDTFAPHDQRQRRLSQSDHKPTSS SSSQMHQQHEPLRRVSSTGSSASSTTSSSTTTDPALHPHRDASGAGSQFLEDLTPGRQ GRRRSSILEALGGRLGGSGDEKRRSSAGAGAAAGQSSGTDTPSRGAKYFKYV PFICI_12786 MPFHSTLYQNVFRTGLSKTLAHGYAQSVVAATHPHVLNSQNRPS WARRSSHRVGRLSGLQLQSAFHVSTSASAAAPQEHRPERRGSFGNLDAYFEALQKKQE QAQAAGNEEAAAEVEQEWMQFQFPKPIEWKPTASSVLPESTADALLPIEAAQDAAENQ PVPVDADVALAHINAALEKEIEVRRLQEALEEGSPLPSRAPTPPVEIAQSRSGTPERS ATPVSVARSASPPIDPQSQTYADHLTKLSEDGRHAEIPAVFEAMLVADVKPIAKAYNA LLTAAIHIPVEKVEVVSKALDVYADMMRRKVLPNSDTYNILVDLLASRCLEVSSLKKA LEDKLARFGGMEEPGKFMFASSELEYAILSEDDRLDLAVRLFDSSVEFSKANYSSDTY HRLISACAQAGRVSDMLRLFDHMEISGAIPSAATFPTMIAAFAKVGDLPSAVECYNEY KELAISHDSGKYTLNDRLDAQVYAAVINAYVTSDKFDGAMRFYERIMASYGAKCSDIK DVLVGSGFVRGLTQRAVYREALQWAQTIDTDIRFASMASIATAAADSGDKMTAVAAFA NLPSAFRGIATPAMALLALSVREGDVAAASSYWRVLSNPEVEVTATLIEPATMYAIAM IGSGQVLEGLVESERMFQRIQATEAQSRPQVTDEIEEALDFVQKFMSARGVVDPRETT SVASYAQTYSPSAYESTPTVSSYEDNFDPYAHSTDFKGSSIISDELEGAHGRKGPRFN DALARFRNMRRAGRHPRYITYAKLISAAAREKRMDLCHDILAMARTDVPLLPQYAVVR YGWSSILDAMVGACLTIGDRTLAEQYHLELLEMGAAPSANTFGLYITSLKESTKTFDE ATEAVKIFHRAKAEGVEPSSFLYNALIGKLGKARRIDDCLFYFAEMRALGIKPTSVTY GTVVNALCRVSDEKFAEELFDEMEAMPNYKARPAPYNSMMQFFLSTKRDKSKVLSYYE RMKAKGIAPTSHTFKLLVDTHATLEPVNMTAAEAVLDMIRASGQQPDPVHYASLIHAR GCVLHDMDGARRIFDSVTRDPSIPSHPCIFQALFESMVANHNVADTEKILSMMRSRRV EMTPYIANTLIHGWANEKNIDKARAVYGQVSREKREPSTYEAMTRAYLAVEQRERAKS VVTEMLSRGYPSAVVNKVLELLGGGNSEEAIAA PFICI_12787 MDDYRKYLAQQILTEDKLVTYRLLSRALKVHVNTAKEMLYEFHK WQNDKRPDTLHATYLVYGTRKKQVEANGDVEMTDSQSENETDVSSNTMTLVREEKLQE ALQQYEEVTSIHVYSLAPHPLKDLQLLVDTAQTVARMTLEEDSTGSGNVYGMIANPGV RKRERKGGMPKAAPAVPIVKAEPKAQVKQESQPAVKEEPKTLKTAPTKEMKGPVATAA KKAAAAPALKRQGSSGGIGQMFAKAAAKPKKPAPRTTSNTPSVIDTPSPALSDEGEDD SEMPDVKPDPVAAQARKSRQNELRKMMEESDEEIEPKAETPAEEPEEEEMEEIPAEPA PKADEGPSEVVSSTGNGRKRGKRRVSKKVTKMDDQGYLITSQELAWESFSEDEAPPPL KTKVEKTEKPAPAAKGKKGGAKGQGSIMSFFAKK PFICI_12788 MNDHDSIEVADSTDWLTTPIPGLAAVESALRCQVCKDFFKTPML TSCCHTFCSGCIRRALSNDGKCPLCRANEQEIKLRNNWSMEEVVGSFFKARPAVIGFA NKPPVSVVESIEIPKRRLDNGIVEEESREPKRLRSSARLSATRGAQATSEMARQEAEI FASEQQEKEYDDGLVACPICLTRMKEAQVDRHLDSSCPGSPQTESQRRPTSKDHSVLM NGYSSIIQEASSKKRPDRLPAINYSMLKEPQLRKKLGELGISTMGDRKMLEKRHREWT TIWNANCDALQPRRKAELLQDLNAWENTLGSRAPTSSRSISLGAQIKDKDFDQQAWST KHDSSFKDLIANARKNIAKSTPDRKLAEESNQPSSSKSAEMPSEVPVPSQSNVRPKLT TNEDVRPNREGQVEKTLAFNR PFICI_12789 MGELLDYLIQNESDFRKARLPALYSDFTPQRTLNPDGYAANVAA WKRGLSSALLSGRTPSRSAQRSHFTLELDDSLLRALETKQLGQPLALGAVLAEAVANG EMLPRKQFMNSRESIYYKSWGSLGWNVAKWGLRQVGLVGAPGADGKMPKGQFVVVSNL ENGAKSFDKAACNRSTRFERTFSRTHFKKTFESGLLDGQTLSEADFEVLIKFLVRDKE ILAADGDIIKIRSSETEDATITEEDRAIANLKELIEDLTKQAEILNKRIEDLNITAHE AVKKKNTVSARAALKSRKLAETNLTRRYATLNQLEEVSSKIQEASDNVQLVKVMQTST SALKSLNKQVGGADKVDSVFDALREQMGEVDEVGNIIAEAGPVATVDEAEVDEEFEAM LAEERKKEEEAEKKRKKAEEDKQAEETRKLLAQLDKLGPVGEPAEAVKIAEKDAATPV TMTASELEDMSIDEQPSKVHAE PFICI_12790 MSLRENLEKPLVIEPKAGHTHTVIFLHRYPVDTRDEDLSTKVLS SKKPGWFMTLHDKFPTVRWVFPHPKLHSKTQKGQPRYYEDLSPSDVAKLGLLDNGVPY ITQIILHEARYVGALDKVIIGGQGETAVAAHAAINRFPEIPFAARNDAAATEAFIRQT FPGNWTGASDFKLAGFVGMHAIDDEGSQDQRTSLLVSKWPNDKTIKDNLILNTPHKFI HGGTKVDHEPGDGARIQQFSDFLASLGVFYKGMEMETQTLTLSAEPEKVVPRFTKANE PTTEDIAKAQKEREIAEREKYLAQVKKQKREAEAVRERTLKRIEDDKIERRLRQQRER AKLFPTGDEGILPDECQETGPWRRGAHNDRYGRSSQSRSPSFDGDYDEQPRKWHHGSG RVLGGEPVKTKPEREEAESPTIKDEH PFICI_12791 MTAISSLLEARYGSPSENGTGSASANPTLETLLQHRSVRNFLPQ SLAPGTLEVLVAAGQSAATSSNLQTWSVVAVQDAERKAQAATLSADQEFIRAAPLFLV FCADLGRLTRVSERHGHAGTGLEYTEMFLMASIDAALAAQNVSVAAESLGLGICYVGA VRNQPRAMADLLGLPGRVIALFGLSVGVPDPANPASVKPRLPVAEVLHRETWVADREK QDAQITHYDDAIASFNAGQKREGIPAWSERSAKRVATVESLSGRHVWNDVLKERGFDL K PFICI_12792 MAATSGYDENELLWDILGPPDYNNLDEPTPWSNKKSNVMGLTIT FMILSWSFVCFRLFVRFRVVRSPWWDDLFVVLYLITGTCGSIALLLSLSFGAGQHTLL LTVGQAGRYLVAFYMFNACLNMAATFIKLSLLFQYLRIFEKGSWPHRASLFTIGLVTM WGLAYTILALFPCWPVSDFWYSPAGAKCWGYGAWSTAELTGTFYSHTAMNMILDIIIL AIPFHLYFKTDMTFKMRMGLLALLLMGALVNFLSIWRLQTLVEHQAGRYPTHDPSWYG PISLILATLECDCASICASVPIFWPVLSPYLGAIFVTQEVSVEHEYRDAEDAESGHKA RSPSTSANSFKSLPLGRPSSQTELKQLEVTTSTNAMHYFYDDSGSTPLERSQSQQPGT QTRVRSDSVRDKKRGWVQI PFICI_12793 MTVVDRVCDAAVRNHALLAILSETENAVESLSRQKTRVAELDTS LSKLRQDIQHLDEKRKSDLKRHKSYRDSVVKKLAYRLSGQSDEFSLRKEKEESEYLQA LQKERLAKEEESRLLVERQEALQVQQSLQDVVERRKEAQNEIEMLYDSIFSGPTPEFP EEDELESKAAIALNVYHCAAVKDESNRKIVRILTEARQLATKGVADIVAALEGGQMAS TASVRRRLGNADQALKKMQSLVRGLSPAFQTLPAVNIRLQLIDDRVSDNPWSMTVFRD KIRDWRAEAQACVDELDKHLSTARSKSDQSHQSMIAKSQDLVEARTTLQSCRTEIFAI IESAEDHAREGESPPPY PFICI_12794 MADSGMILNFDLGTGAAPVKPKVKFAGGRWRDRVHAQRSAKRGG SDKAWDGANGTPVEKARDFGRNDNDDGDRPTKRQRTFGDRAGDNEAGPQWRSNPPAGR PGAGAKPSSGGGARQGNNQLMKSGQVTSRLFSSNPEQKTVFEVPAEEVEPAKPTNAPL SDEAENFHALGLSRRIAQHLSTKLEMKAPTSIQKNTIPPLINDDSDAFLQAETGSGKT LAYLLPIVQRIMALSHDQDGTSTGTKIHRNSGLFAIVLAPTRELCKQIATVLEKLLRC APWIVSTTVIGGESKKSEKARIRKGVNILIATPGRLSDHLDHTKVLDVGTVRWLVLDE GDRLMEMGFEDELKTIVGKIRQEDLKKQNEEGVKLDKLPQRRVTVLCSATMKMNVQKL GEISLQDAVHITATKSDSAGDVEMNDSVFSAPAQLKQSYVIAAAKLRLVTLMALLKST FARKGSVMKAIVFISCADSVDFHFELLRSIPSSPLTEDGSLTANTVAEAAYITSTPNP RISLFKLHGSLKQPVRTATLAAFKAEQDPCVLITTDISSRGLDVPAVDLVVEYDPAFA VADHVHRMGRTARAGRTGKAVLFLQPGCEEGYIPHLQAPNITGQSSDDIIAKAFITPI TLPKTSATDDPAPVLESEKSPFKRAEALQLHLEQRLLEAEKNCSKLLDSARQGFRSHI RAYATHVKDERVFFDISQLHLGHTAKSFGLREAPGGIGGGPSTRRVIKTGQQPKSGKR KAGDENGSSSKSRGADKEDALGEADEDAAKRMRMKMKAVMSASSEFNIG PFICI_12795 MALRCKIATALLALVTAACASISQRTLVHERRSAHHEAEWAKRD RVPASHSFEMRIGLRQRNLHRGYELLMDVADPASANYGRHWTQDEIAGMFSPSAETVN LAKDWVVASGIETARIAHGASGGWLVFNATVDEAEKLLGASYWLYENDDGQVMAGCDE YRIPAQLAEHIDFVYPGVVMAESRTSPRPRRNRRSVKRSEPTNMANKRQDSTSCAEVV TPDCIANLYEIPPADKAHPNNSFGLYERECWYQDEDLDLFFSNYAPDIPVGTRPLNLS IDLAVWHYNESDTSISIPSEADLDTEVAYPIVYPQNITVYQVDDEYYHLYQVQYTGLF NTFLDAIDGSYCNYSAYNETGDDPTYDAVYPDTHTVVTPTNPEPFDPGTYKGERMCGV YKPTNVISMSWGKAERSFSVNYQMRQCDEFMKLGLQGVTVVASSGDSGPIASLQCATL DLGTFWASSPGNCPYVTSVGATMLQADGSERVARDDTWASSGGFSRYYTAPAYQQSAL ATYFADHDPGFGDSYFNRSGRGIPDISAVGYNIAVAVAGELGTADGTSASTPLVGAMI NRVNEERLAIGKGPVGFINPVLYANPTIFNDITIGNNSACRVTGFQAVEGWDPTTGLG TVQYPKLLEIFMDLP PFICI_12796 MASSFDHDSPTEPISAPPMAASSAGLKVQKTRIASMHGTPLSQS EVTLPIRDRRSAPSAAALYASTFSPPGSRSSSPAPRPLSRMTSGSGSVFGGVGSNNAR ALIDGAGDTPGDPLNLLVHAFAPHVAVYASEDTEQLLKDKGFDRGLWQLLRPFGERVQ GKVIIRDSNGASRTYEDYSIHFVRFGEDIEHPQPLKGPLNNGTSGAVEKEQQSNKSGP RLADVEAVVDRHLDYAEESAPFSGQSPIATKHGLDLDVPSPYYSLYLRRLLSGLPVTP HETFAHPVACVIAISSRNSAPIETLRKLYNDSSSGEKKMPDWVDSEFLRYYVLVHDEE KDDITKSMSLFDQMKRNLGLHCHLLRIRGTQSAATDDDSTPLPTSEWMSASEEITNIH RHDAQDELDDLTRYIYESDATAIRTFVREMVTQSIIPTMERHVSVWNDQVASRRRGVA GRLMGLTRRWGFGSTSKSSGSTQISGSNYDSTGFYRQDAPEAIMRKLADYSFMLRDWK LAYSTYDLLRGDFNNDKAWKYHAATNEMAALSLLIMPQNISAKTRSETIDAMFEAAFY SYITRCTAPYGAVRCLIMGLELLRLRGGSSIDDAARWGLRLLESKILGPVGDALIKER LAVCYASKDGLGTQYLGGRRRKSALWSIFGADAWVAQSKFIQAQRCLNEARRMYGDLP TEHGIDKFERASAFMLGIGQRLNEQLEANEDRQYSASDDNDVVDEESEVLNTRGRRIS TAGFPGGTVATLEAAPLRDTTLGDEVETRGSGDDFG PFICI_12797 MPLPSDTKVVETSQGLVNVLQDLAGPHPGIRPAHAKGLLLKGTF VPTETAKSLSKASHFNNPSTPILGRFSNSTGFPELPDTDPNGNPRGFALRFLLAETPR RIHTDIVTHSVDAFPGTTGEEALALFAALRDGTGGDYIATHPKALAFVQTPKPTPVSF ARQKYYAVNAFKLISAAGKETFIRYRVVPVAGEAYLDETELQGKSADFLFDEISERLS GLGGGAAVEFKLTAQVAEDGDVTNDNTVKWPEERSVVELGTIRLEGVAEDQAAQQKHI IFDPIPRVEGVEASDDPLLQVRAGVYLISGKERRSA PFICI_12798 MGSKDDQKVAIVTGAAAGMGVSLATHFVEKGWLVALLDVNDEGG QDIAQSLGPNAAYFHADVSSYDSQANAFLEVYKKWGRIDALLANAGVVDRSSVYILSH KNASVEDVPAAPDLTCTDIDYKGFVYGTQLAIHFMRHNSPPGGKIIGTASVAALKPHP TYPEYNGAKAGLLNFMRGVSDVLKIKENIKMNVVCPGLVATNIIPPEVVEAFEKRYLT PVDTIVRAYSEFLQDGDDRYGVAVEASADKIVEVEKTPLGNGEASNRAVTVWEPLFKQ MHHENSDLDGTIH PFICI_12799 MSTSKFGYSFEPHFHPDRPIIIDPDVESPETVALRYEEEAARAS GGGQRGDSPGLLNSSTYSKSQPPQMHGFESSRAYQDSTYHYPAQSFPSHQNANVAAQL NQMAFAANSSAAPYVAPVLPTLLSACEPSSGMSGTKVMVKVSVPYDLLAGSHNFYLVF GQQKCEAHAVRDHQDASGCGYVVSGVAPHFNDTRSAAINVPLSLLIETSDAQAPATLE VGTFTYHDSQMGPADPMGDAITRSSKVPPSPEHREDTPKQAHHRLQEPSTNTYGYPPE AQQAAESTYDPSYASTTSNNNNMMAAYHRSSYADDYSRHIPPPIKTSSLWGGYGSSLN SIRSPGMATNHTTMTRPSIASLPAPASANPQLVRTSTLQTSGSPSSGFHPYAVFQQSK AKLNIAGDLESMTEGWTAEEFENRRRIVLFRKHQQGAILTASFKAVSVNERPPNSICI SCIYWAEKGDWYVTSVDTIHLLEQLVAAPSRFTVEEKNRIRRNLEGFRPATVSKAKPE SEEFFKIIMAFPNPKPRNIEKDVKVFPWKILAQALKKIISKYSASPSSQVGPGTGHML LTPVSNPSPSLYHHPHHPPTPSESSYGHHDHHGITSPRSLSGSSASWNTYSARPLSPT LKPHSPTSGGIRIPSLSSYGASDGRHTASSGYGLASQPQTRWDTTSTNGYIDAGGIPA YAGHHQSHVYNTAAYGGGGQRA PFICI_12800 MSVATLQPQDPVSRLENESSIVLQAEALSESFAAPVKPHPNIET VNIAPDGPLLESDASENGTNGVNGHDEPKAALKAINDTWLQLNHVASSVQEPTTGAKK LRKMLLETNELIVCPGVYDGLSARTAIELGFNAMYMTGAGTTASRLGQPDLAIAQLHE MRENAEMIANLDPFGPPLIADMDTGYGGPIMAARTVEQYIRAGVAGAHLEDQVLMKRC GHLSGKKVVPREEYLARIRAAHAARVRLQSDFVLIARTDALQSLGYDECISRLRAARE EGADVGLLEGFTSKEQARQAVKDLAPWPLLLNSVENGKSPTITVEEAREMGFRIMIFS FATLAPAYIAIRETLLRLKNQGVVGTPENITPVKLFEVCGLKHSMAVDMNAGGASFAD GV PFICI_12801 MSDEEKVVETPPDPTLGHRDESTSRKYRLGKHFSEEVDTAAGYI PLLICCFVTGLTDGTLYNAYGTFVSMQTGNTIFVALGTSGQNNKPFGWARSLCSIGCF TIGCLLFARFHKLLGGARLRRTVLGSFFLQTVCVMVAAAIIQGGVIDGRYPSQRDPDD VNFTELAAVALLSFQAAGQIVNSRGLGVSEVPTVVITSLLCDLVSDERLLARYNANDK RNRRAIAFVLTLVGAICGGWISKATGMVQPSLWFVAAIKAAITVGWLGWGGLWKLRRL PFICI_12802 MSTDAQSKTTKQSVDAIPPNAEPISEQPTEEAAPKYSVFTTWEK RSIVLGAALAAFFSPLTAQIYLPALNLLAIDFNITEAQANLTVTTYMIFQGITPMFIG SFADSTGRRPAYLICFVIYIAANIGCALAPNYVALLILRMLQSAGSSTTVALCQAVVA DIVTSAERGQYVGFVTVPIILAPSLGPVIGGLLAAYLGWRWIFWFLAILAGAVFFLYA LFMPETCRNVVGDGSERPHPFFRTFWQLISDALTKWKAKRSGDELALQKITTHTSQRR KLKMNRPNPLRSLQILFELEMFILLMYSSLVFAGFYAIATSMPAQFADIYGFDELKVG LMYLPMGGGSIVAAIIMGKFVNWNYRRHCKKLGVPFEQSRQQDLSNFPIEKARLEIGI PLLLLSSVVLLAWGWALQYRAHLAVPCVLLFLIGVGMIGFSNTTNTLIVDVNPGNAGA ATASNNLTRCLVGAAASAVIQPMINGIGSGWAFFILGALQLGLAPVLFLIMKNGIKWR KRKEEKKRVRKERKHAQAQSATGTDIH PFICI_12803 MNVQFNTALKQSTAIKKELTSVTSSGQPATPAALGSLSASLTSF SRTLDEYNQLAKQELVIAKQEKAYERIKNFRTELSEFREQFNALKTERDESAHLQSRA ELLGRRPYTTSTPENPYANVGASDRADGEVPTNRYGSGGVMGGQMSMGSNDYTREAHA LREQNFFSSTNQALDDYIARGQAVLGDLGQQREMLKNTQKRLYSVANTLGVSGDTIRM IERRAKQDKWIFWGGVIVFFGFCWLCLHFLR PFICI_12804 MNTPTVWRPARMLQEESPDRDFALIILNQPLNDVQTLGRLWQNS SLRIAADGGANRLCDLQQREGQETKGGDAPNFNDLDVIIGDLDSLSDSVRAHFEKVNP SIKIITVKDQYSTDFSKAVSHARASAEAGDKDIVAMGGLGGRVDQGLSQIHHLYLFQT SPTYAKGKMYLVSGESLTFLLKAGSHQIHVREPRCNGNAGAAPEEIFAKYAGIIPVKE PSVITLKGFEWDVTDWPTEFGGQMSTSNHVLPESQVVEVTTSKDVLFTIALNGRDGRG PFICI_12805 MYRSALRTAPRASGAVRQNALRTTVPRRFASTAPADKKRSWKSS AVRWGLAAGAVYWYSTSPVFAEEIQPLHVQPPPQFSDDDLPTVEAVIAEKRKEAEARA ARAAEEAAKEKTAAPLADADKQVSASEPESGEVSGPEALEAEANQQGAFNPETGEINW DCPCLGGMAHGPCGEEFKAAFSCFVYSKEEPKGMDCIDKFQHMQDCFRLHPEVYGDEL ADDEEETPGAEATPEAASAATTTPAPELDATSSPSSTAVVNVKTDVKPPTSDEKPSAN KPESNGPASKSS PFICI_12806 MSTGTATTSQAAGLISPTSTTPPTANVAVAVAVAGSSSSSSSRR SNSNTSTTTDGRAPARRSVEDDPSRQAAMAQRRAKRAQVSRACARCRRLQKGCSESRP CQRCIRTGLAEQCLAGGANPASAAPQSRFGSGTVVYELGTTSALITSPTLSTLGLGGR THTNFARESFQRQPDLVPNLVLEQCAERFFLRLGPTIPILSPDYVSHLQRRMMESTEA GAEAYCVLIGMCAMVLLQVEEPGGRRFGDLVTAETNAAYGWMLLEEALAAHRHLPRSS NPSFESVLLTFFIYTCHAALLHHSQAFFFLRETTTLYLLFKPETLPDSTRSLADRLYW VLIASERGHAIRYRRPITLQVTSASPSVPLPTTSPVEQSHPLGGFWSLASLFRPLDTS FIAILNSESISCPPSPGSLDEIEAAVNAALGHSPPSSLHQTQKANLRVTQLWLRIIIW QVRLRLGLLSEAALAKSSHTYHYPLEVAKDLALSTRDLEIGAMTVHGVGLTEKLFDIA CVVVNVLARVPVGFERGPAEEDVKYIRGLITELPGGRTVYDKLMVKHLMAVLPGIVDN PFICI_12807 MAESSKQGDAELGDAGLTNESHVASGAVHEAKSTPSHPGLDTTD GKTALITEARHAADAEHRMTLRTALRLYPKAIGWSMLLSSTLIMEGYDLALLGSLYAS PVFNQKFGTQNPETGKWAVSAAWQSGLSNGARAGEIFGLIFAGWAADRIGYKNTTIAS LCLMIVFIFVLFFAPNIKVLVVGEILCGIPWGAFQSVTPAYAAEVAPLVLRPYLTTFI NMCWVIGQFFAAAVNKGSVSRTDEWAYKIPFGVQWVWPIPILAGLIFAPESPWWYIRH NNREAARKSLLRLTSAKDTSFNVDETIAMIEHTNQMEKEIKAGTTFRDCFRGIDLRRT EIVVGIWLVQTLGGQNIMGYFAYFLTQAGMAASNSFSLSMAQYALGMVGTAGSWFLMA RVGRRTIHFSGLCAQFILLIIVGSLAFAGTNASVWAIGALLIIFTFVYDFTVGPVTYT LVSELSSTRLKAKTIVLARAAYNASNIFVNVMTNYQLSSTAWNWGAKAAYFWAGTCML SAIWVFLRLPEPKGRTYAELDLLFEHQVPARQFAKTKIDPYATSTTAHDHALDEKEAP HETKVSAI PFICI_12808 MAENADKITPATLYPNHVSSSKLTNGLCHQTEQNGTQLLGKEPW WKAGVFYQVYPASFMDSNGDGWGDIPGLISKLDYLSDLGVDCVWLSPVFDSPQADMGY DVRDYQAIYAPYGTAEDVDRLTEECHRRGMKLILDLVVNHTSVEHAWFQESRSSKTSP KRDWYIWKPARYNSQGERIPPTNWRGYFACPTWTWDEETQEYYLHLYAPDQPDLNWEN PDCRDAIYKETMRFWLDRGVDGFRIDTVNKYSKRTEYQDAPVTDPRWESQPAPEMWCN GPRIHEFIHEMNEKALAPYQAVSVGELSNMAGPADVLPYVGAARRELDMVFEFSMIRL GTGGGFGPKYIYQPYTLPTLKRHVARFQTFIEGTDGWTTVFCENHDNGRAVSRFGDSG SGAELWRASARTLALWQATLTGTLFLYQGQEIGMVNMPAAWGIEEYKDVESRNFYADA SASGDRDRVDKTMHGLRILARDHSRIPFQWDGEARNAGFSDADETWMRVHDGYRDINV KKQTGDPASVLEFWRRLLKIRKKYPDVFVHGVFRSLRDEDLQRYVYVKESKLDVNGKP GTKRRALVVMNWSKEVQEPENVNEVLGCSAESAHFLVSTTDGCRERAQLDAALEPWEG RIYTNFQP PFICI_12809 MRSAYVISIIVAALPLFGAAVPAGTSSKSQSKAVSKTSSSAVAS AAASSSATAGGAAGGDASTAKNSTASATASAVPAEDSNGVTMGMKMVSDTQIANAVIS WMNDTGKVTNFLDTATSLTGDDFTRQATIALNAEKDELNHKTILDAAMGQQPDVQDAN NVLAMQGTFQMVVDTLQKMVDGGPDTAQADVDAINQNRCVNVLPNIDKYFAAAGSSTI TASRPTGCLEITGAPTSTAAAPADAGDAAAGDAASASTTSASSDSATSASSAKATASS GAKAGGAAATGNDASATSSNTKTSATRAAASAAAKTTKATNNN PFICI_12810 MAFSSICVSILVLGGKLVMLVAAQQDQQVQFNQVINLNGDMQLN RLIFPDNSKIETFSQSQKQIIVNQNPNPLSANHVVGSSGQPFVQLSQNSMTIQTNKAT DLVGGQVEMSIDPNILQQAGVSPDNTFVAQLSPDRQAWIIMEGVKSVNTTDNTVRMIK MTSLDGEYMAVGRQTIETSNVLTTFNQNQQVQISGSGIQEVEFTDGFRMSVRASQPMT LKTDVVNGVSSSMTTGGIMPVNNFRYKVTSNLAGVATDLNSMVAVVQLPLNAQRIMTM AQQMGAQANTPISLGVAQRVVLQNPGGSSVQNLARRQRSASSAATFSNTTSRAVEAAD ATSADATSTSSDATATAGSTTAADGSSSTSTAADSATSSSTSAATSSTTAQDAAATQQ GQQAATSPTQTQAQLPAATQLLLSPTFSPISAQALLDKQNSRIAVPVSQIDGEFIVTM GMSGAGTVQVAAPAGSTPSGAPQAGQAAAGGGEGAAQQQQPGQTTATTPPQEPAAAAA NPGTSGAVLARQAAAAAVPLGTITMTMAEIEEMAKLQATGGVVPVWSMMSDFVSQQAA VQAAQKKRETEGPRRFVAVPFKV PFICI_12811 MSYTTPPITSTTIYGAVETYSAQQAAPALLTRFTPPDQCSTEWY WDTGVLRSSSTAFSDSSHNTAWSTCQPYSATGATYSAGICPYKSEFKSVTQVSWDGVS SSYYVGACCASTASYDTYTSGDSTGLYGCIATVTSTTVAATMVQENDEPTVVSSAGPI TIIAEPLFMVWHESDTTLHAASDASSLFAAMNMAMPSETVTASPTPSMATAAPEPGLG QTAVIGIAVGFGVCGLIIAGLAYFAFIRLRKRKADDANPDNTPAQRSPGAGAQAWKDG AVYANELQIQTPNTMDKTHSFSNLHNGESAYMRGGQSPPASASNQDKDDNIFVVEQKM LLR PFICI_12812 MSLEEHITALQASLDKAKLVPGSASELIPSSFAPSTVLKISFGD KAVDLGNFFRASECKVAPTISFAPETGSSSSSNASYLLILTDPDAPTPDDPKFAFWRH WIVSGLQPLAGGSQGAVALTKPTITEYLGPGPKDDSKPHRYLFLLYREPEALDLKKQD AGGEEFVERRSFKPAQFAEKFQLKLVSVNWMTCAGDGWSE PFICI_12813 MDGVSAAASAIAIVQAAGMLHVVGKSFWDTFISKDNEDRGGDAT ARVASIDEFIQKIKLLQFATAATPPAPPEGGGGPAVLANAVTVSGLGGTLTQCEAQLA SLRKKVAKMTLPAGVNKWKRFVATVRIKLNETEFPHLESTIGTLLTQLELIISLAHYE LHERSQKAQAEAAQILQRLEQRQQEDRIQVFDQHTASLAVIQNTLEQSGVQLGKQEQI FQESHDIIIKALEKLTISPRLDRTASELSGTTAGTVAVETMEVDDDDDDDNDAVVPVA PGLILHPGFNFRDDRLEGAAEIAKPTLDLIGRWLDPSSVDVSRVYIHASKDDDATHDL CNRVVYELAMANSSRLLCYNGLPREGSPPADGWYTMTHLIWWLSAQMLIAMPHLDGHM PASGYDPDVPSLVEKLQKVPDDGPAYVVLYLPGESCHGDSDRKLYYSLVASMCGISST RVRLLLFSDGKDCEVMNLFGTNKLVIDNVTRQDKMSLDDWMPPNIG PFICI_12814 MSYNQGYGGGGGGYPGGNYPGQHSGYPGQHSGYPGQHGGGYGGG GGYGQPPQGPPPGQWQQQGGYGGGPPGPPPSHYGQGQYSQPPQQHGYGHHQPPNYPPP QNLDSYGYPNQSQGYNSGGYGGGNQGRSNAPPPPHNAQQFGHGAPSEYTFQYSHCTGK RKALLIGINYFGQDGELRGCINDTKNVSAFLMERYNYKREDMIILTDDAQDQVLQPTA ANIRRAMQWLVAGARPDDALFLHYSGHGGQTADLDGDEEDGTDEVIYPVDYKSAGHIV DDELHALVVKPLAAGVRLTAIFDSCHSGSVLDLPYMYSTKGVLKEPNLAAEAGQGLLS AIGSYARGDMGGVASSLLGFAKKAYKGDDAYNKTKDTRTSPADVIMWSGSKDDQTSAD ATIAQQATGAMSWAFITALKQNPQQSYVQLLNSIRDVLETKYTQKPQLSCSHPLDTNI LFVL PFICI_12815 MSFFKNLADKFDDLSVGGRKDDEQQQQAQQYGRRDFGDSHSGSY SSPPPQQYGQYGSQYGQGQYSAPPPQQYNSPPPGSYSSPPPQQGYGGQSQAPEYHPPQ DKPPIPRGWIPKWDQQYSRWYYVEEATGRSQWEAPGYDATRPPMPGADSRGHESSYGA GAPPPSGYGHHDSGYGAGGYGSGGGYGGGSGYGGDHGGQSQYGGYAGDQRGDSYEPEK EKKSSNKSGMLLGAAGGLAVGAVGGALLHEALVPDDSSDDEHHAPAPAPVYVVEAAPA PVYAEPPPAEYYAPPPGDESDRESLEEAREDYIEALEDAASSSASSSDREELEEAREE YQEEYEEYYGEDD PFICI_12816 MEPQRPVDVIVARESLSYASVAASGPKQTPEEAAAPPPPEIEPS ESASTASLVDVDTPSVRTVPSDFEEQDVQTDTQASRIQHEEEAREAKEKARAEAELAK KKAAAKARKADSILTKWFGNLSDGASSALVISNLVGVIGLSGFLGYKAWDLHERGRLG WNQIGLGLGVLSVVGVVEGVFGGYLYKGKKQQ PFICI_12817 MAAIIQTPTIMSIEREPSTFLEGFFQHVQSLAQDSSYQYLRGVL EENSNLKGRNHILNITNEENYRAISKLQVHLDDCSKRYEDQSQELATLTQERTSWSEK AAQLESDAEWSKKELDIKAEALSRLEIEAATKTADLEAKDAELESTKTELAQANTRLN TTESDLQVAEEQLDETKANLESMINDLERSKTELSESRTALETLDAELKLANIRLEEA VTTLESTKTQLQGSIDQCSSIQVDLNVKSSDLESLHVSLDEEKSALTAAQSALEKTTA DLQLTRDDLERKNTRLGELNALSFNLKCPPQDQTQQHLQNMFTLSYHWAESLFANDLE ETDFMAPTASTNWAKMRNHGRVHRMIPLPLSNTREAKKMRTAAVLAILAWALAQYVFQ PTYLLQCNELCDLLGGLADDDPVRENYLRSVLLPVLPSRQKANGKRRIEQVVLEVFTA VSPVLPASRHDEIRTSLETICKQICGQWMRLQLLDEKIEPSFDAYDEEDWRLLLLDGS EGETLKTPTGTNVIAAAAAAADESAIADDDRPDGVTDIEEIAAVLWPSFLSLGGGESE LLSEGFVLAKAQVKPAYSEERAAQQNGAHRAARQMSRRDRTKSFTTTANGEDDDASGS DKTSFLPTDPVEDGGGGGGAQLVHDRDIPSPSSSDKRPATQAGS PFICI_12818 MNPASTRKTALIVIDVQNGFLHPTHWGTSRSTPECEKNIERLLK AARAHNSTIPADSPDSILIAHVLHHSISSDSQLHPSKQIESNGQTFRAVDAQEFAKPL AGESVWTKNVNSAFIGTGLETLLRENGVRQLVICGLTTDHCVSTSTRMASNLRIVDIL GEDGKVLEEGEIVLVGDACATYAKGAFDAETVHQVNLASLDGEFARVQGTSLVIEKVL AA PFICI_12819 MDHPDLSVFPSELRGRRILFCVESFGPINGVSRTNMNLVNHLRS HGAQVAVVAPHNHTKVNTFEAIDNLDASSMRSQEIRLTGYPLPFNPELSIVYPVRLSQ LYERTFGGPPDLIYLGSPASLGFQVMLQLRQQPAYTQVPVICNFQTDLAGYCEILFPQ PLGAIASWTFSKVQGYLFSHSSVKTIFYPSKFVKRYLEGPAGVQGEKLDVLRRGVNTD GFSPLKRSNDLRKQWAPNGEIILFTCSRLAGEKGFGFLADAAHELARRGLNFKLVIVG GNRNAVVEQEVRDLFRPLSEKGKVIFAGFKTGEELMTHYASADLFLHCSITETFGLVV LESMASGVPVIARDEGGPSDIIEHGTSGFLIPPNDLHAFVDQVLEVANQPQLQERLSS AARDQACEATWDKISNKVAWRMLDTIEQHESEQAEDQLNESHAPGQTPPLWSWFLMST ALRKFIAPRIIDAKLIWGLSIIVTFWAGVSVYLAFIKAAHFVKHKGTKTFD PFICI_12820 MNFFPPPISNIQDGDLTDSGASTAPSTPEGSLTFSPVLRARVGS QLEIESSSLAGQASETASLPAPSITRPPPRVRNICCVGAGYVGGPTAAVIAYQNPHIR VTVVDRDEKRIKRWNSKHLPIYEPGLGDIVRIARDGSRECSIANEPTNIIPSDVLSEA ASSSDYSSQSEGHANSLKTIPARTPNLFFSTDVARHISEADIVLVAVNTPTKSKGMGA GSATDMTAFEAVTGVVAQHARPGAIIVEKSTVPCRTAQLVKETMSIHRPGVHFEILSN PEFLAAGTAMKDLMRPDRVLIGSSTTLSGKRAAEALAEVYAAWVPRKRIITTNVWSSE LAKLVANSMLAQRISSINSISAICEKTGADVDEVAASIGCDPRIGDKFLKAGIGFGGS CFKKDILSLVYLAESLGLEEVGEYWRQVVKMNEYQRDRFSSRVIKCLNNTLTGKKITI LGYAFKANTSDTRESPALEIIKTLLQEGPKEIAIFDPCCSPAVIRDEIKTLLRGMAAL EADGGPIVVYGNAYEACTASNAVLVTTEFDEFRMTSTKSASPGRPRVRSVDPRPFGLT GPTETEVLALHEHLLESGCCPAGSDPLQRYVEEPSCVDDCPDCDPEQGGYSTAGNSDE HKPKEKLDWNKIAYHLQKPKWVFDGRGVTDVSTLNRLGVTVESVGRQGRS PFICI_12821 MVRCSRVLRASLQLSRGGWAAPKRTSNSTAQLYAPLVQRLYSTD GPKPNRGGSKVFKSADEAVADLKDGSVILSAGFGLCGVAETLIEAIHKKGLKNLTAVS NNAGAGDKGLAKLTGDGRINRMIMSYLGSNKELERQYLSGEVAVELCPQGTLAERLRA AGAGIPAFYTSTGGRTFIESGEIPLRFTPEDGIIEKGIPKESRIFNGKSYIMEKALPG DVAILRAWKVDEAGNCVFKYTTRTYAPLMAKAAKIAIVEAEEIVPVGTLDPQNVHLPG IFIDRIVPATAPKQIENLKLAPSKDPAKTSAPNARNTIARRAAKELKDGYFVNLGVGI PTLAASYVPDGVKVWLQSENGILGMGPYPATEADVDPDLINAGKETTTLLPGASVFDS AESFGMIRGGHVDVSILGAFQVSASGDLANYMIPGKVFKGMGGAMDLVSNPEQTKIVV ATEHVDKKGRSKIVQDCKLPLTGKGVVSTIITDLAVFQVDRAKGGLTLTEIAEGVDLE TVKKNTDAEFTVAEPLGTF PFICI_12822 MSKLSDAVKALINAPAASPGLTKASAQVKPALARFASSAAEKKV GLPAWITMSTAVSATLNCPEAMTSIFHVANEQSSGGGGGSSRTPAQNAELIREVGLKC ISFNGIPRSINTLGAFYGSLPTDVAAQLSQTARPTRQLTPQNLEARQRDGLALWDSVY VGFERKLLDKLGQSHPDLPVHILNGHYSNLLSNPRGEARPAGGTVGRVLTSLVAISCL RTQTGVGPQVVSHIFGLRKAYEFGDARAEGEEEVQGGEWLASDEGNLWMLEQIDGLAN VITGGSGTTFAPGLRAKL PFICI_12823 MSTRNTNSTTHKATAEEVEKGEVLHTPSDHDHSHMTRKILWKLD TRILPILAVLFLCSFLDRTNVGNARLYNLEKDINITDHEYDQGLAVFYATYIVSEVPS NLVLKRVTPSIWLTVLAFAWGVTAMCLGFVQNFAGFVAVRAILGATEGGLLPGMILYL SGIYRREELALRIGLFYTAASLSGAFGGLLAYGISKIGSRGGLSSWRWIFVIEGLLTA VVAIVVYFLLPNGLTTAKFLTPEEREFAINRLKAGRDNDQIRDHEAEERFSWSEVGRG VFSWQTWLTACAYFGILSGLYSFGLFLPTIINALGGYTVSETQLWSVIPYAVAAICTV IVALLSDRLRIRGIMMLCTLPIAIIGYAVIANVTNPHVKYGMTFLMATGLYSSVPPVL GWLSNNSAGHYKRATTSALQLAIANCGGFVTVFVYPKSQGPQYHEGHTIILGLLIAGW FLILFNVLHCWKINKDKANGKYDQYIGKLDDREPSFKLVL PFICI_12824 MSDTAASVPGHDTKDEMKDDERLAACFNCKRSKLKCVRTAGSAT CTRCRQRRIDCAAPAYHVGRHKAYTNLLFLGKSKRTGLEKAVDQIERALRRTSSSGDQ IQNQDQANELRFLLERSRELLGNSNGFDDHAAGRIDENDHISSPVRTTSSTETPPGQG LGRDDASTQRGDGDQLSLDDAENPLQLLARTSELLSAIHPRVATTIGLPGHSAPKHGQ RSDNDLQRFFGPFQPRLDVGEELDPIDLGLITLAEAEALFSYFYDKLAHTRWGIDPVI HTVNFVRSRSAFLLTSIAAASALFSPSLESLYKRLANHRQMLAGIIMSKRYKSVEIVL AFMVNIPWISAGDHWADDETSTWLSMALTIALDLSLNKIILPASHEPQTPKDGVATAD CLDARKALSIDGFADLDPLSLGAKRLLRRRERTWLSLFVLERGVCLARGRDYVFPMTP LIESCDQWHLSELADRWDGSIVSVAVLRRDLVYNTDSRFQANLISRVKAICDSSSNDS EAAVVNRLKGEINFFFDRWYQTWPLQIGDREQLALPPYVEILASHTRLSMYSSVINHL TAPVAARHFFRAAGLSSALNVLRVAVQGENQLKSMPNNTAIMISCAACFALRVGTVAD AHGSSLAPGIRTLITETTDVLERIGSTPIQRKGLSCLFAIQLRQILKLASRASENARS HAEPSRETTTAPIPQDMQSGNIYNMPVEIAPVTLAPEFPLFSSMSNVQLDEAINNTDV GLESIWEDFQFQNVTDLDWMDWSTFA PFICI_12825 MEDSSDFTYDTWASACYSVAELASGITCAALATLSPLVTRVFSS CLPESRAAAAARARDSKHPPTIGSDRSRKKTKARFGKDEKEWALDIYDLDLESPVPSL PGTPRPDVGTRRHERESELILSYEPPEPLGTTFGSVTQVMSLVPQRQSVFLNLDTSLL GTGPTKSGIMVERRVEVFISDAPPTGS PFICI_12826 MAVSQGINAMKCLGHHDWEFDETVDIPGFFEIFWLSALFYNAAL LFIKLTFLAQYFRIFRDVYFMENLYRVAMVVITAWNIGEMLSVIFLCFPVAGFWDHSI ESSCQNQRLGTYVNAVGNMITDVVIITLPLPALWKLHLTRAQQFAVFGVFGIGSM PFICI_12827 MDSPFAKELQTAINAIQQAAKLSQSVISSEDKGVVEKDDLSPVT VADFAIQALLTSSIHHVFPSDKFVGEESAAELRENTVLLDRVWSLLQRLKEPETESLC KLPTSPDEMCEMIDWCGNGKPGGSESGRVWIFDPIDGTQTFVKGQAYAINVALLEGGK QLLSIVGCPTIPVGARAPITNDTTDPARRGSIVFAAKGYGTYVRPLFAETSEVQIQKI EPHAETAAPQDLRSVTCFNTLVSGVDDAHQVIVERLGVEFPGCDLLGWVPRWVTLALG AANMTVWVYKRRDRSAKIWDHAGAMLLFEEVGGKITDVDGKDIDLTVGRKLVNNYGFV AAPKALHARVLQTVHQVLKEQGKDHLLASA PFICI_12828 MKNAVSLVAATALAVSGASAHYIFEQFSLGSTQYPVYQYIRENT NYNSPVTDLTSNDLRCNVGANGTGTETIAVNAGDDFTFTLDTAVYHQGPVSIYMSKAP GAASDYDGSGEWFKILDIGPTFSGSTATWDLAQSYSYTIPTCIASGDYLLRIQQLAIH NPWPAGIPQFYISCAQVTVSGSGSSTFAGVAIPGAFKDTDPGYTVNIYNGFTNYTVPG PDPISC PFICI_12829 MFSMRISLLALTGLVSATASSKRGLVFVPNSKWPQDNKIWVESG SDLTWYYNYGSTPSSDFSDYAQDQFEFVPMLWGSIEDTSFLDEVNSLIDGGRNISHVL GFNEPNGDSSTGGAAILPAKAAQVWVKNIEPLAAQGIKLGLPACTGGWDGIPWLQQFL ANCSALISTDSETKNCTYDFVNIHWYGNFEGLASHMGSYSAAFPNVTQWITEYNYNDQ DLSTTQDFYNTSAEYFDRLDSVGRYSYFGTFRSKVSNVGENAVMLNNAGELTDIGNWY LGSSAKGVSPTSAADRSGLPASIVYAVALLAASYTACF PFICI_12830 MRVSSAIKTATAALFLGQTVQSLAVSTTREVAVQRDEILKGPHI HLESRDKRADPGPSPYNDSQPIPQANRHLQIEIQNLRNRSINAYIGGGMKTVNGTEQV MLRTDTGQYFLLKNVGANDPNVPTPVPSTVPLNISIPANATKNVTLPDYLLHGRVWIA EGHLEFRVYPNGAFSEPSGANPSLMEYNVKWGFVELNHDAGGIIINLSFVDWVSLSLG MTLTSLASGGTNTASVRGLEPGAMEKICDGLNKQANLNDALGQHDWDKLCIYSKENTL VRVISPNIDASMHRVNSTLVDYYKDYVDKAWQEYTERDLTLNLQGDADGLPLLTPGDG IATVCRVNNGTRALTCDKAPGHSYAKPTTSDIWGCNSGPFVVGAINGSSNSLMHSRII PRLCAAFTRSTLLLSNGSVQPFYNESQYYTNDVTNHYSRLVHKYLDQGKGYAFSYDDV NPEGIEHNAAGVLAATLPTKVHIEVKGDTGN PFICI_12831 MAAERIASIAKQLIPGTSLSQITSKNADDIVITLAVRTPLCKGK KGGFKDTSLEFMTYALLKEVRERMGFDPALVEDICFGNVSDGKAAYKVRAASLSAGFP NTSGASSVNRFCSSGLKATADIAHAISAGSIEVGVAIGAESMTAGGDRLEQPFDEGIL TSQEAKDTMMAMGWTSENVASDFGITREQVDTYAAESFRRAEAAQNAGWFDDEIVPIT TQVKGADGEVKTVTLTKDEGIRPGTTAEGLGKIRAAFPQWGPITTGGNASQVTDGAAA VVLMKRSTAIKLGLPVVAKYVGSTIAGLPPRIMGIGPAIAIPKLLKQHNLSLADVDVV ELNEAFGTMAVYCRDKLGLDWAKMNPRGGAIALGHPLGTTGARQIVTGLSECRRQKKK ILLTSMCIGTGMGMAGLFVNEQ PFICI_12832 MGSNEEHNVQIDSGPQFTITVRIGGNFYLLDELKAVVESIEGEE RYLGAVPLQRRHAGEKAAAEVLDINHDSLDSVWSGEELDFHQVVLLDKTQELIEGFPF CRHLPEYPVLDFAPHVIHSSTGRVFSVLKLCDDFNNAFVGGTLRDGPNRYQWLKNSTK IPVPFRRARGDSEPDFPLKDMRFGIKDVIDIAGLETGNGSKCYREFYPPRDNTAACIK RLTDAAAIMVGKLRCGQWCDGQDPLERMEEVTPTNPRGDGSQKPSGSSSGSAVACASY DWLDFTIGTDTGGSVRHPAAVNGVYGIRPSLGSMESSGLVCSDRLDTPGVFARSAQIA EQVCKVMLNNEVKAHYKPEKKLRCRLLYATEGWDWEPTDTPKFFSPIRKQPKDLTPAE QIMEKFVKELEDYLNCERQAVCIYDLWKATHPEGTSADLLEATGDVYKNIVYSLLWRN TIVPFTRDYSSRHGKRPFIEEITQRRLRHGQNVSDSEFQASVDALEAFSGWVNRVLLP SPVTDDDEVPILVYPQTWGKPQYRNDLGRLETGKTFWDGFSVYSLAYSSGCPDFTLPL GQAEFKSKITGSFEPLPVAISVMAPRGMDMALLKLVADLERRGILRPVTCGFSLRDQT RMT PFICI_12833 MSKAFIQRPAPGFTAMTVFANGEFKEISLSDYLGQWVVLLFYPM DFTFVCPTEIIQYNDALSRFRSINTTVLALSTDSHFSHLAWQSQPRKQGGLGSDLELP LVADRSQKISRDYGVLLEDEGVALRGLFIIDPKGTLRQITVNDLPVGRNVEETIRLVQ AFQFTDEHGEVCPAGWMQGAKSMKADPKGSLEYFSSVPDEAANGHANGTNGTKRARVD PFICI_12834 MDDQQKISVHDLGTRSVTLFPDRAQVTRDIRVGLGPGTTEVIIT GLDPSVDKRFVKIKSSGCAIIDDVTVETRPNCDKSHDVCPDMEFEDQSDSEDRNDGDH ADFELPELKENQDQIAKLHEEQNRATETIVSAQRQCEFLDSYNSKITSSPPKSDKPLE DLDITTVLENYRKERSKLYETVLAETSKKREIEQKISDLARKQDPLRKRRDKQQAMIQ KNRAKMLRAKEKRSREIAQLRREEEHIWPERIHVIKVSLFNRARAMGVSDLELIYDTR AAYWSPSYNLSFSSTTKSTVLRFDAHITNMTSETWSNCRVTLADSRGWYSGLEDDFSN FAQRYIKFGTGKAKPLGYPNNYHNPLIFSGGDLVRSRLDAAKKSSLNENLKAMQRPTP SPALVGADRVLPPPTASLARKEGPTGVQAYPELGFQESPFEETDLGSIYELKGLKSLA PSPDDSKHIVTHIVFKSVNFSRIVVSMHKPSAYIRAEILNDSRVYLMDGPVGLVMDGV FIRRAELPYCIPGGSFTLGLCLDPEILVEPSMPEFTRTPSSSSTAGQVVTYKQTVKIF NTCSRDREESVQITVIDPSPIDNKDGNVYTRLVQPPGLTPGGPGVEAGVGIEGKSPSP FPHWGKATAALTSGGDLAWDVTLNAGKGVEMTREYCALVPHGRDRGNVLTDVANWVEK AAEVEHECAGATPSSLDEFTAGG PFICI_12835 MSSAVRGTSLRAGGACVRCRKGKTKCVYENNRAPCKNCAKGMHE CYLPSESLAHHHGQSPARVPRPRESLPGERSVSTTNHDRPSATSQPLASRTVTSNNDK LTPELVHECERIINKTLPACVAFHKPGFLQKLKNGSLETSIVNGLLTLGARSSAHLTR RYGGQHGSQGAAEHFAVKTISAVMNNLDNPSVADIQAMCLLVIHEWGSRNAIRAYVYL GQAARMAQMHRIVSNHYGSKPEAEQFIKDESFRRTLWLIYILDCFLTSSPGRSPALTA HDVKDIALPCPDMSFSFGSPVYVRTLSGRAPADLPDPSTPLSEVGEFGHIVMATQAWR NVIEMLTTVDIETFSEQQCLTLDSEIDTIRQGLPMHFMDKAGHINLHITMGSGFTYAM LHCLLHCATIMVNRRRLLQYIRTEGFSEEQWRHASSTHVQAVDKAFASSHSIVSLLLA LDADSSKDGAIVFPLVMLFACFEAGATVAWFSLKGLTPSNVNETSLVMVRDAMRFLQE GTDAWTLSIPWYRHLSVMAKVLRNGGNYQSQSSKPEPSPPAKDDVASQPDSNPDAMDY ERPTSTSNQNQPQDRASEPPRTSGFAAINGGSVGANTPATASPPPAPQPTKVESPRAP SSNGEAPTEQAGSQDRAANNDMTAAELCAAFENQLLELDDLAAFMGGGV PFICI_12836 MSIIMMDHDEPDLVSMDDFRKLTASRGMTLQPDDEATYYELLKA ADKCAKYVYKMPEYVDPRLVPDMEPGSTRNYANPANGSSENPLGGWSHQTSIRSSKPL KNELLAGKTVVAKDNILIAGIPLTIGTSASHLSKDAKYPLPKIDAPVIQRILESGAEF TGTAVCENYCMSALSFTSATGPVANPWLAKGPNGEKYAYACGGSSSGSGSLVAVNVVK RLREQKGLSTEGLGEGADFALGGDQGGSIRLPAAYSGIYGLKPTHGLVPYTGIASLHP LIDHCGPMAGSVRDTALLLSVLSGYDGIDPRMTPETPLRQNVPQYHEILDKEIAKRTE AGTWTPSQAAKGLKIGVLKEGWEVPTMSPEVAAATKAACDRFAALGAVVEEVSIPLHK IGPAIWTAATRANMIDSMTNVSPTLLSHTLPDLNPPVPDQAWYETMNKFNPAVVNVFF AGAYLSNPDRFPPAYRNKAMMHVHELRAVYDDALSKYDVLITPVTPTVAMQRDETWHN GGVMGHLNLALGNNMNTGMFNVTGHPAMAMPIGWGSPREEGVDGKLPIAFQIIGKRFG ESDMFLAAAAWEVGGRAYDEWQP PFICI_12837 MGDSENPFQISRGVKRKLFSDTKSPFARRLAAARKAPKHVDVDP DVEADDASALKVKQELDTAHPSEDDFHLQKDAHYSKLYRGETDFAAVAAKDKPLQFYL KGERHLDFTDPKAVVQLTKSLLKVDFGLTFELPDDRLCPPVPNRHNYILWIKALLDSS STSYSELYNPERKVEGLDIGTGASAIYPLLGCTQRPGWSFIATDIDHESLSWARRNVA INELESRIQLLQRSPTDNLIPLDNSEVACLDFVMTNPPFYSSESELLELAKTKAQPPN SACTGAAHEMVCDGGEVQFFQRIFDESCQLKNRVQWYTIMLGKQSSLELVVRIIQRNG IDNYALAQFVQGTKTRRWAVGWSFAKRRPNAAACRGFEPSAGKKLLPHPTEMTVATKS TRPNNAEQVQNMFWTQLEDVTDGLDLVSWNMDEDRLRVVGFAQENVWSRSYRRRTSAL GKQRSPEAGNKKPVLSECPFGFAITVTKSDPEEETGDDAQSTVDVVVRWLQGSDYTLF ESFAGMVRNTLLRVV PFICI_12838 MPPSDPKGNKSVKEEDLVAIPQPATHWFTRNMSEINPAFPASSA WRLNAMYGDIVKLDLVDHLEVIISSYELANEVYDESRFDKNIEGPLKESRNLIGDGLF SAHTSEHNWQKAHRILMPAFGPLSIRKMFPQMQDMISQMVLRWDRLGPDNEITLAFDT IAMCAFSYRFNSFYRDHPHPFAEQMARALIETGKRANRPTLETTLRVWSAAEYKKTKE DMWKLADDLIAERKRNPKPEIHDVLNAMLSVSDPETGEKMSDENIRFNMLTFLIAGHE TTSGTLAFLFYNFLHKPETMYKAQAEVDRVLGDDVLQPNHIPQLKYLKACILESLRFL GPITQTQHVAKEDTVIGGKYKITKGMNVRVNLPGMHHDERVWGPDAAEFRPERMMDGG FEKMPRNAWKAFGNGVRSCIGRFLAEQEMVMTLAMVFQRFEVSMADPSYNLHLKSTLT VKPADFKIKVARRPGRGPMTGIPGSIPSSMLQGVHDKQPKSGGKKAQTAGSHNPLLIL YGSNAGTCKYIAEDLQTMAKERGLGPEVKTLDASTGNLPTDMPVVMIAPSYEGKPPDN ARKFQAWIESLDAGALKNVKFAVFGVGNSEWSNTFHKVPKFYNEAIPKLGGSAVIEPG FVDVKEDIVGPWEDWRDHLLDSVGDEKHPGQAPELSIKFKKAEIASKLAGEEVSEAVV KKNVEIAPASDMGSAKKHLEVELPEGVSYEAGADYLVVMPTNPPNMVRRAARRFKLNM DDSIEISGTSKEFLTGGGAVNVVDLLAARVELGTPASRRQVEAIARTAKGADQKALMD LASNQNKFNEEVIAKRRSILDLLEDYPSSNLPFEQYLDMLKPLSPRQYSISSSPLASE LQGQHGGTEGQAKNIIASVTYDVHEAPARSGHGRQFEGVASTYLARHEPGSKIRCYVR HSNTGFHLPTNAEVPIIMICAGTGLAPFRGFVQERACVLEAGHDKKKLAPAVLYYGCR DAQRDFLYRDELTKWEKMGAVSLRPAFSRVGNKGEGACKYAPDRVWEDREYLRTLFRD QGAKIYVCGSASKLAKSASDMFIKTYREAHPDVTEKQARDWLEEIREVRYVSDVFD PFICI_12839 MPAEKRNNFLDAEDSDDDVRGYESEEEVRKGSTKRRRIDDDDAS SDDENDAASIGDEESDEEESERKPKRNSQKTEPEEEEEEESALSSRKKQKLDELPGIS KPLTKKNLVATEAAIKKSGVVYISRVPPFMKPQKLRSLLEPYGTINRIFLSPEDPAEH SRRVKNGGNKKRSFTDGWVEFVKKKDAKAAVDLLRGQVIGGKKGSFYRDDLWSLSYLK GFKWHNLTEQISAEAAEKSNRMRAEISKSTKENKEFVQNVEKAKMLNGIQSKKKQREG GGHAVDQQSRRTFEQSAVAKKPASEKLSEGSKRVASMLF PFICI_12840 MSAEVITTISPTTNEGIITRNGVSSTELEQLPETSTEAFRTWSK TSLVDRQIIVKKALKVLGDRQDELANEITHQMGRPIRYTGVEVATAVKRGEYLVKISE ETLKDTPGEAEKGFKRFIRKVPVGPVLIIFPWNYPYLTLVNSLVPALLAGNTVILKPS PQTPTIAEQVTKAFTEAGLPAGVLQYFHCGSPVIMESIIRNPQIALICFTGSVPGGLG VQKAASDRIVNVGLELGGKDPAYVRGDVDIAWAAEEIVDGAIFNSGQSCCAIERVYVD ETIHDDFVKAVQKVLEGYKVGDPMDKETQIGPVVSKRSKEAIEAQIKDAVAKGAKDET PDNATFKNMPEKGNFVKPTLLTDVNHTMDVMTEETFGPVIAVQKVKTDSEAIALMNSS EFGLTASIWTKDTNKAYELVQDVEAGTVFVNRADFPSPDLAWTGWKNSGKGQTLSKFG FDQFSKLKSFHLKDYPK PFICI_12841 MSSSDNGLSEAEKGVPASNTIYPTETSRERELHEFKDTEGYDVN VEDGGRGGSIKLAKDGHTRLIPQPSDDPNDPLNWSSRKKNLCLFIVAATALLPDYGSA TGAVTLLPQAVQWNMTEDEVNHSQAGNVFMLGAGGIATVMLSAYFGRLPVMFYFLIVA LATAIWCAAATTFDSFMGARILNGFFSTCAQAAGMMFIQDMFFFHERARKINIWASFF VISPYIGPLFAAFMVATKPWPTPFWVYVAETGLCLILTILFLEETFYDRRIPQAEQPP RGSRPSRLLGVAQFRSRHLRNSFGQACMRIVHVILKPTVIISNFYYLMTFAWVVGINT TLSIFLGPVYGFGLNQIGFFYFTPVIAVILGEVAGHYLHDVLANAYIRRHGGRFEPEA RLHAIGLALPFLVVGLVVLGQALQNQWHYMVASVAWGLYVFGIMITTVAISSYNLDSY PEASGEVSAWLNNSRTLGGFIISYFQVTWANAQGTKISFGVQAAICAGAYLLVLLLLW KGKQLRLWAGPLHFATS PFICI_12842 MPGKTPTAVSAPGKVFLAGGYLVLDQKYTALVFGLSARINAIAK DIETSQGVQIQEIVVTSPQFPESQWRYGYHLTPEQGGTLVTQFQVGSKINANPFVETT LSYVLTYISTVAGPNVSLAPVHLTVLADNDYYSQPDSMNGTAHATGRFAKFPTPLKDA NKTGLGSSAAIVTSLTAALLTHYLPKSLFDVASDEGKRVLHNLAQAAHCAAQGKVGSG FDIAAAVYGSCRYRRFSPSLLSGLPAPGSPGFAPALDNLVSSADWDYEISKEGVALPP GVALRMCDVDCGSQTVGMVKKVLAWKEKDPAEAKTLMDTLQTRNVALGEILAAGKKED IVPAVEQIRELIRAMGAKSDVPIEPESQTALLDALKEVEGVYNGVVPGAGGFDAVTLL MNDDAATEQRLKDYLKGWSKQHNENVRLLNVKGELEGVRVEGLKEYEGWL PFICI_12843 MQVQDSRYDDYYNFIWYQDNGPWSTRFTAWYIPGLLYRAQGDDV ANAIASIEGVLSTQMTESYDSAWYGTYRLSPDAPYPTPDSDLYPPEIYDTYDPNWREF VGTTLVQVVEEFSHLLSDDLISRIETSLAANAVGAMRRNGSYPEGDNLILGYSNPGLM RVLTVGWIGARLDNSTFIDFAAQQGTELLELFERDGQNVLSEYNAPNYYGMDLWALGA NAAYGPKDAPMTNSSKYIMTELWKDVAVHFNPFLGNVVGPYDRAYTRDATEHSAILSL FWWGMFGREFGPQPPLGEADLLYDVAQGASLSMIMETVADCIDDETASALKAKGWWEG SRSVNKTIYEDLETQQYRVASSWLSAGLMIGGQTVAETVNRGNQFVPAIVHWASNPKH TPYPYNGFFSLYPSASTITSEVGEGYLKVSYPNTTQEGTDIFTFALSGIPPQFFRDPK NRITGLENLPCLSVNVSAPGLEAQNVTYGTQLRDHYIYNISYAVPTGFEGIPSVTFEL KYTC PFICI_12844 MAEGIPINVDAAVQRGSLSWLRNRGIVKLNCILVLSLISSYATG FDGSMMNGLQSLDTWNAEFGNPGASDLALLNAIQNVGQLVGMPFCAWICDTWGRKPAL LGSAFVLIIGVALQTAAQNTGMFIAGRGIIGLGLVLNITAAPLLIMELAYPSQRAPLV SIYNSLWGLGALVAAWTTYGTFRIESNWAWRIPSILQALSSVLQLALCFFVEESPRWL ISKERDAEAEHLVVKYHANGNASDPMIPLEMEEIRTALRLENEANRTTSYLTFFSTPG NRRRFFIILAVGFFSQWSGNGLISYYLTLILNSIGYTSQSTQTLINALMTLWSMLWGL GFSFFVNRFRRRTLFLASTIGCLMVYIVWTALEARYEMSTDLNEDGTGGPSGMAQGVV AMIFLYQAIFAMGWGALQVTYVVEILPFNLRARGLVLYNFFVALALIFNQYANPIGVT NSGWKFYITYDVWLFVEVIVVYFLFVETGKLSLEETAAVLDGKDAEEKLQEEVLRNTE KTLGLTRVDTVPESNA PFICI_12845 MFARAIPRATGSRAVHSTVPFTFRGMASSTRRAPREKIPSSSIR ILRSVDSVRAWRRPHLLDHRLVSLVPTMGALHRGHLALIRAAARETHHVVVSIYVNPA QFGVKEDLASYPVTWDSDCRILAELDRELADDGGNLGRISAVFAPTTADMYPSGFPGQ EIDSKGSFVTLTPVGEVLEGASRPTFFRGVATVCMKLFNIVQPEKVYFGQKDVQQTVV IRKMVKDFMMPIDVQIEATEREADGLALSSRNVYLGERRRKVATVLNRALRAAEKEYA NGKRDRESILGAASKVTTDILNEQMALAPEQRVKYEVDYIALSDPDTMVELTEVDSTK GGILSGAVKMLPVESPQPGEDLGHSGGPSVRLIDNIVLRPTA PFICI_12846 MAQLLGPAVLGLLMLDPVNAQRYGSEQQPINDGFQYAEACPDYT QYSMYPHRPYSAGPLELPFQRPAPQCRTFHSDAIEKVIEEVTSRMIDPDLARLFENAF PSTTDTTVKFHTDGTATAAKSKSKKSDAGDNKWEGPHSFIITGDIIAEWLRDSTNQLT PYLPLAKKDPAIFQLFLGAVNTQSEYVIESPYCNAFQPPPISGLPVSQNGQNDIVHPA YDPSAVFECKYELDSLAHFLALGNNFYEHTGSTDHLNGRWFLALQTVLNVLDQQSQPT FDPVSGRYWRNDYTFQRQTDTGTETLNLRGVGNPLNYGTGLVRSAFRPSDDATILGFF IPANAQMATELKRTAAMLKKAGKDKIAEEVSTWSETITKGIWEHGVVPHKKFGNVFAY EVDGYGSSILMDDANYPSLLALPLMGFVSKDDETYKNTRKMLLAKESNPYYLTGKGFH GIGGPHIGLQNAWPMSLLVQAQTSDNDTEITECIDFVLKSSRLGLVHESVDVNSVISY TRSWFAWANGVFATTILDIAKRKPHLIFKDASPYEI PFICI_12847 MATAQPVSRPPANASHLDNSNSALKPINLLRGWPAPSLLPAAAL QSASASVLSDPSISVPALQYGPDPGFQPLREQLAGWLSEFYGTDETADRIAVTGGASQ SVACILQSYTDPSYTQAVWMVAPCYFLACPIFRDSGFNGRLHAVPEDNEGIDLEFLGR EIAKLEADSYGRDGTSGPRYKDPKPYRKIYRHIFYCVPSFSNPSGKTMSLARRRGLVE LAREWDALVICDDVYDMLQWPLIPATNLSPELHTAEHPSRLTTSVLPRLVDIDTQLGR SRHDPVGQHFGHAVSNGSFSKLVGPGMRTGWVDATPDFALGVAQTGSTKSGGAPSQFS AAVLSALLGSGGLARHIEENLKPAYQRRHALIMDAVRRFLVPLGAEVRANSLEGGDGE REHIFGGYFIWIHFPGGPSTKLISDRCREENLAIGAGALFEVQGDEDAVRLDKDIRLC FAWEDEEDLVEGVERLAKVVDAIQKGGEHAASKRKAPSDENDDADQFK PFICI_12848 MSPGTLNGDAQVGSDGPRQKSKATPDSIRVGCIAWVEKGGQARR AEILSIKDTKSGRQFYCNFDNFNKRLDEWVPVKRIDFEQDVEWPNPDKDKPKDGKNKK APSGGTKKNAVSKKAQKRPGKREQSVASEAVTPHPDFADSMRTSKAPSTPRDIEVKAG ETPAAGGDDMDVDDDVATPGIKKEEEEFSREAEIEKLRTGGSMTQNPTELARIRNISK VQFGRYDLFPWYFSPYPESFTQEDVIFICEFCLLYYGDQKSFHRHRAKCTLQHPPGNE IYRDDYVSFFEIDGRRQRTWCRNLCLLSKMFLDHKTLYYDVDPFLFYVMTQKSEKGHH LVGYFSKEKECADGYNVACILTLPQYQRKGFGRLLIQFSYELSKIEGRQGSPEKPLSD LGLLSYRQYWSENILDLLMDSNERDEKVSIESISQSLSMTHQDVEHTLQALKMQVYHK GEHKLVIPQRLIEQREKSKLKQKHLIDSERIQWKPPIFTAANRTWGW PFICI_12849 MSQPILTVSSATSRSKKAQVNLLPCRVHHDGSINSIEPYWKPTE NGDNLKTAYIRGRKLHGKTVKLPAGYYGSVVEKGETKRETPGSTDAVNGNLDDEELAD PIEIAPLSSKADFDDLVIWGHESTADSATDPYLRSMEEWVSFSEQLHSYSGAK PFICI_12850 MAPSLPAFVVRPFTRCADIARGTLGSLTWEPALAVAKPAIDSVF SKIEVGTLLLVDKPAGTRQYYGQKLSSKTTELNGAEYAERRADSIPRVEIVVNSDAFW MRLFLFADMGFAESYMLGEFECADLTAFFKLFILNRDQMSNGTTLFSALSGAITSIAR NTNTLSNALLNIQAHYDISNDMFAAFLSDDMTYSCPIWKPRGLAPNETLEDAQGTKLQ RFIDGTKIKGSDHVLEIGTGWGSFAIAAVRQTGCRVTSLTLSKEQKQLAEERIRAAGF ADKIEVKLMDYRKLPIPEKPYDKIVSIEMLEAVGKEFLATYFSHINRLLKKDGGIAAF QCITMPEGRYEAYSKSEDFINKHIFPGGHLPCITQLLNHINTESNGTLIVEKVENIGG HYAKALRLWKEKFLGNFESKIRPALKLEHPDMTQDEIDVFRRKWEYYFTYCEAGFVTK TLGDVIITVGREGALELMEDVPV PFICI_12851 MSVPAFPPGGTLLDTFKQSFVDVPVDAEKDNAIATTQFLDAAES LTTIFDALGSVAFSPVKNDLLGNIKKLRERQLAAPAESETVQALVLNELKTKKHVATE GLVWLVRGLDFTCIALSSNVNSEKEELSDSFRNAYGSTLKPHHSFLVKPVFSAAMSAC PYRKVFYEKLGSDPEKVQAELRPYLKSLEHIVAILKGFLDRKEAKW PFICI_12852 MNVVPFTQQIYPGKEATDLVGYDGMSPGPTFLIERGQETVVRFI NNSTNPSAVHVHGSPTRAPWDGWAEDTFLPNQYKDYYYPNFQAARTIWYHDHAVDITS VNAYFGQAGAYIIHDDAEDALGLPTGYGTYDLPMILMSKEYQSNGQLVSPAGNTVSLY GDVIHVNGQPWPYHNVEPRRYRFRVVNAAISRAFDIYFVTQNDTTTRIPFQVVGSDSG LLSNPVQTDSLHVGMGERWDVVFDFAGYAGQNVTMRNQDNVGGDATYIHTDKVMRFVV SDDSVDDSSTIPDVLADLPTLPEKDTPAHTFEFAVSADNNWLINGVGFDDVAHRVLAK PPRGTVQLWELKNGAGGLLHPVHVHLVDFKVISRTGGQNRGVQEYEKKGYKDVVWVDR DETVTVEAWFAPWDGVYMFHCHNLVHEDHYMMDVFNVTQIADLGYNETEFNDPMEPRW RAKPADSADFTPEAITATVQAMALLKPYSDWKEVEEKLKEYWAAHGGEKKKKARTVRR HI PFICI_12853 MSLKTALFAAAGAHYMGTAAAASFAEACTADYVKSALPATGFIP GTTIDPDTVAVNAIGNYSVAATDGVMGIEAVAVCNVTFGYTHDGKDGQTNVWYWLPDP ATFQNRFLSTGGGGFAITSGAGGLSGGLAYGAASGTTDAGLGSWSAQLTDKVLYANGS MNYDALYAFSHEAIHEMTVFGQELTKNFFGVDKIYSYYSGCSEGGRDGFSQLQRYGTQ FDGAAVGAPAMRMAFQQVIHLFSALVEITNDYYPPTCELTRINNDTIAACDLLDGKQD GVVSRTDLCKLQYNATASIGNTYACAAGSGGGGGPGGPGGPGGPGGGSSSPAVNGTVS AQAAELVKDLWAGLFDSQGRQAYIMFQPSADFGDAGTTYNNETGQYEAAVSGIGVQWV NYFLKKVISSELDLTNATYDTLRDWIIQGIPEYSSTLQTDWPDLEDIRDYGGKIIHYH GESDNSIPAGSSVIYHDAVRQAMYPSLSVTDGYTELNEFYRFFLVPGAGHCGRSSSQP NGPFPSDILGSVIDWVENGNAPDQLPAVTTAGVEEDLCLWPARPLWSGDSADKECVFD QASYESWLPKLDSIPVPVW PFICI_12854 MSMDIDYDAPISIAPQNDEPQNAATIFCCECGAPIDGTTSTNAL CYDCVKLKIDISQGIPREATVHFCRDCDRWLLPPGTWISASPESRELLSLCLKKLRGL HKVRIVDAHFIWTEPHSRRIRIKLTVQDSVSDGVILQQSFEVVYVVAWQQCPECAKSY TANVWRASVQVRQKVLHKRTFLFLEQLILKHGAHRDTINIKEAKDGIDFFFSARNQSE KFVDFLNSVVPCRVKKSQELISQDTHTGTKSYKFTFSVELVPICKDDLVALPIKLARQ IGNISPLVLCSRIGTAVNFMDPNTLQTAEVSAPIYWRSPFGALADAKELVEFIVMDIE PLGVTKGKWVLGQVEVARASDLGVNDKTYFARTHMGSLLHAGDSVLGYMLTGTNFNNE EFEAIEQSNAYASTIPDVVLVKKHYPNRRRNRKRNFKVKRMAKDEGELLPKKADQERM DREFDQFLDDIEQDAEFRAGISLYRNPKKQPAADEMSIAETENDEDHEGVDMNELLDD FDELTMQDS PFICI_12855 MSRCPEEIRDLLRSIISHPPDYRRNPQPGTLWILLIDRRRPEGC HVACLLSERAVLDSTGHDTPYIIFFHDESQHPCHCAEFALPESQDEAFVRVNQFAIKA SHELSRQWPDAGYDAAKHYQMLWFQVLHWAVDKVGRPRRPDEMFPDFRPELARDCSEV MFLSLCQDFDRSAEPKDKSAWESSLYFWAGLANDQVRELWQRAWMLKIRAQEIKYRQW AESTREKLGQENSDKDKADDVDATLDHGGNHEPAMDDKGEPNLETIESLFRKNSNARR LGHTLKRNMKMTADYTTKPVGFKKVPQQLRNLGNYIMRNIYPYREYMTAEHLDRKKKE KSTIDNIIDRFLENGTI PFICI_12856 MEVRLQEIKELAVRVLQPGYPLHLPAQGHELLPTHLQWILSVDH EPKTGCYALLLLNKRAVLEIPDDNDRQHYAPRIAFFHSERSRQKCQCVDFNYLGVPYS EIVHMDKVANKSARILANRWAESGFDIWIHYQNLWAGVASMALSPTGRPKWHKQVIPT FNYDWPRSFCELIFLDLWQHGVAFDSSVPRLHAVANNEALGLYKEEMRRICTEATVRD ARRRGNDYALFFAKEVCAQSELLLMRRKYAFMDFGPKHAEFVPSSERCLMRRQSTGAL RGLAAQLSAA PFICI_12857 MHDDSVVPPHSVFTHWIDSRHVDAAAVRDEGDMFPGEDKGESLE RGHMVNPDSGLDEMYEESWVSGIKLDEEGVEDSSGYVLKYEHGDNKGLVVRIGDLVQG VLRENGDIGLFRWELGHGETKTIIAEVGRHEAFPQNVKRGPNASDKFETPNGWTWVCV ESW PFICI_12858 MDALRGQELSWVNGTNAIITLGCVWLGYHVLVALYNISPFHPLA RFPGPKIAAATYLYEAYFDWILVGRYTHEIRDMHAKYGPIVRINPDELHCDDPYFTDE IYAIGGRIRDKWQHQINTGAAGPVAVTGFSTVPHELHRVKKAALARFFSRTQTLKLEG EVNDFTQRVVNKMLRSSKKGAFDVKEAFNCFTADVIAQYAFGESMGFVDQEGWEPNFA TWVKSFFQQAYMMRNNTVARKLAQVALPILQYVDKDVGVIMQQMDVTIPGYVEAAIKD PDNGRVFADVMQSNSLPPEEKNLYWLSGEGFNFLLAGTETTAATLTTTVYYLLAQPET YATLMNALQGIDPLNPKWTDLEQRPYMWAVIHESLRVMPGVSHRSARIARTENLNYKS QDGKVEYVVPMGTPIGMSSMINHFNEELFPNPNEFKPERWLLPDGQPNTKLQKFLLSF GKGSRACLGEQLAYCELYLMTAAMALQVLPRAKLYETTAEDISYDHDLIVLQTKKGSI SVKITID PFICI_12859 MDATTTIEKSAAGVEPAPSTDGKPLSIEEKGDVVELAGSYTEEE ERRVLRKIDYTILPMMCFIFFLQYLDKQTLSYASVFGLITDLGMTSSQYSWCSSIFYV GQLVAEYPFIYLMSKLHLTKFVGATIVIWGIICMCLAAPHNYAGFAAVRFLLGFAEGA VSPSFVTMTGIWYQKDEHAVRTALWVSMNGIAQVIGCLLMYGIGKNTALSLAPWRTIF IVCGAITCAAGVAFYILMPNGPKDAWFLTAREKEVLSLRMAKDREGGDKTSFSMRQLR EALLDSKSWFVFAFGVLVTMQSPVLTFASLVINTIGYDKYETMLYTAPSGAVQVLLLW IGVAGCWLFPKNRILVVMVLIIPPLVGTVLLLKLSTESGWGMIVASWLASCITAVMSP LLSLTASNVKGNTKRSVVSAMFFIGYCAGCIGAPQLWTDKPRYFKGVVTGIVTWCLLF VVVAAYRFVCMRDNSTRDNKRALQQSEGQEGASTHEQVVLDKYGAPRTDLTDKEDAMF RYSW PFICI_12860 MVQEADLKPWLRPSPKAYILHNANIVDVAQGSIRKSATVQVQNG RITSVTSAAAQVPVQPGFIVIDCTGQYLSPGLIDSHVHLVAVPGFNDLSSAFGNPESV SLLRQPYVCSQMLHRGFTTIRDCGGAHSAIKEAIADGVFPGPRLFIAGHALSQSGGHA DYRNKHDHSACCGGATNGLGRVCNGVAQCMQAVREEIRCGSDFIKIMGSGGVSSPTDK IDHLQFTGEEIRAIVACARNAKTYVTAHAYTAEAIRHCIENGVKGIEHGNFLDADTAR LMVENGVYLTPTLVTYDQMASEKWTGYLPPESQSKNTEVLDAGLRALQIAHEAGVKIC FGTDLLGPLGAAQTHEFALRAKVLPSLEVLRSATLNPARMLGQETNIGQVQEGFEADI LFLSANPLEDVTILDQPEQFVRGVMKEGRIYKSRTDAIVEDAAVPVKIKPLL PFICI_12861 MKTLSNEELKALAGEYFAPVGLYQHTKRLPFLGTLECNTKTLTA GEWTEIIVEYTVGASGLADGAWIKGTFKFYSDWALFQTSNPEQDNYVSAEHVPADLHP GQAPATVQGLAVRFDQKGHERPFQKAIILDIVDGYMNPGDKIIVRIGDRRWGSRGTRV QTFVEENFLMRWYIDPVGTSRFAPIKPDIAFTIRPGPVAKVKAISPRVVRPETPFPTH FHTEDTWGNTTTDLEGLTARVDLANDIGERIWDQELSLPSQGWTVASLTSSLPLSGDY ALSVSIIDQNGLVLGETSDLITADASLPVPRALFADLHVHSDDTVGTNSTTYNFSYAQ KVAGLDVVGYTANDFNITKQKWDATLDIIKKTNAEGEFVLFPGTEWCGNSAAGGDHNV VFLDDPDVTPPEFPFDRHGNVARSFEWNEDGPAELTPGAWPLDEVYATYAHSPDKNLL IPHVGGRRCNLAWHHPQLERLLEIGSAWGLFEWLLRDAVGRGWKMGVSANSDEHRGRC GGGVPGTAVFGTKGGLTGVWADKLERADVAKSLRARRTFATTGERLVGLVRTKEGSLQ GDDVTVKVGEEVSLKYQFYGNEGFESIEAWDATGKIFHRDLQREASESTPARSRQKKI RVKWGGARLYDRYREAVWKGSILVSGAAISHVQPFGGVLDNPEELIKLASETQVVFET HTSGDFDGVDIYFKESSASPTSISVTGHLGGYVKVGNALNGNPHKSQPTFSLTTSAAE AGQSGGKQISIKGGAELFASVEHLVDAPLPRRVEGEFSIAPSVHAAGDEQAIYFVGRE HNGGKVITSPVFVTYTA PFICI_12862 MSSLDLKHVGQPDSKVCDDEPTTTTQVDWTREEEAKAKRKLDLV IIPLLILGFFCLQLDRGNIANAKNDTLLEDIGITQFQFNVGQQLLSLGIVLFEIPSNM ILYKVGPGKWLTLQLFLFGLVSTFQAWQTNYSGYLATRFLLGMTESGYIPGGLWALST WYTREETAKRVMLFFFGNELGQASSKLIAYGVLHMKGVGGRPGWFWLFVIMGSFTICC GIILGFCFPDSFRNPHSTFLPRHDIFTPRELHILRSRVLLDDSKKEQKKERIGKEAFK RTLTNWRLWVHVFITLLNNGPFRALDTYSPTIVNLLGYPKLQSNAMASVGLFLQVPVS FVFSYVSDRFNKRGETVMGALSMHMLGYILDRAFTQLSNPGVRYFGIIWTQIFANFPH PLNIAWMSLACRDSEERSLAMAMIIMSANIGAIYGAQIFESDDAPLYRRAFTVNLSLL AGALALAIFKYGDDVRLRRRKQSGSM PFICI_12863 MAGITLDSPAAARRRRRRRVPDENRKRAPRAEKSPGDIQSDALK DGPIESPANLEVDTCQASPEGRFSTDGHPTERFMWPRFLSRLRETFSLDSEPEDEQDV IKSIQAQALRSPPIQPAELQRLRKAVDAFPPRPVANFLLSVCIDHGTDSFFYFNQPQF LAEINEFYSDTSSRLRTDSSFICLAHAAFALGSQWTTLERPESSRSSLLTEDSDPGRI FYNQARSLIPDVIDMPCLRAVQAPFVMGVYLLPASAIGSSYVYLGLALRKALALDLHL TSDDATLSEEEQEIRRRIWWSIFSLERSSTVKLNRPRSINSAIITNTFPQPYAPLDKL QKFNNIQHQIADARLMMILDRVAEPSEWPQVLDGSTPFAAELKSWKRSLPDSLKLQNI HPRSSYYRATFHLYTNYYFTWIAMGKVSVVTVVRARLRYHFGRESDPPEIPPHIEALS RSCVKAAKKMLRLFEEIRSTGNLTRFSFTDFQACSIATIVVILAGILQRDGGYEAQVT FGLECLKRMAEGNVTAKMGVSFVEALQSIADEAVQKLQRVKSPDATVLPAILPPSQQS DYNSWATWLSRQNNSTGVTGPTPELLQTSPSEITNPINNTNWTLESNPTGFTTWDGAN VLQQLSVPNIPAAGLAQQQGSFEPQSLDSRFLSTMYNDDQAFLMGLTGFDVLGFSGLQ DEL PFICI_12864 MSRQGSVDDIESQQKNGMTSSATAAPVEPRHRQLADPSPLGLLA FAQALFLVSLFGVNPQGVTTPNILIASLIAYGGLGQTIAGIFAFIHGNTFGATVFCTY AGFQLSYALIYLPGSGIIAAYTDATTGLPTADFLTAISLFIWAWFIVTMIFTVAAVRS NLIMLLVLVFTDLTLLFLAVYYQTSIAACGTAASAFGFVTAFMAYWAGAQALWSVTNG VNLPMIPLTKQEKSA PFICI_12865 MATHHHLNFRLRGIPTRYETRIDVRELVKSVLSIEPGASVIIHS LVDNPIEPDSQVATLSFHTLPADLSDGSKNEWSFSLPTDDSSCEDEFSRMDYLVFDTH FTGFTPLQHTKAENCHVNLIAISGLGGHAFGSFKERGGPFMWLRDVLPFDFPGARILI YGYDTQIEQSVSFQNLADLGKALGIDLRSLRVLEENAAIVFIGHSLGGLVIKEAMTNL HEAMNKQSASILHSVSGFCFFGVPHQGMAIESLVPLVMNNPNRSLLESLNKNSALLQS LERDFSNLFVATKPEIFSFYETEKSPTAIKTEKGKWELCGPPEVLVDVSSATCGCNER YPINRNHSELVKYHNRHDGCYVRVRSVLEPLLGKESRLAFESKKAEHSESLRSLSFRE QESRYLEIHTANETCEWLLQEPQYQTWMNKSHGLFWIKGNPGAGKSVLMKFALDEMKR RQSGEVVASFFIHGRGVLLQKTPAGVLRALLSSILEHFPADLSRVTQRFKDYQRQFGS YVEGRWDWTQKELEDFMSYTLTKGTMSPATVIFIDALDECGENYARGLFAYFKNLMEV VERKGGQVKICISSRHYPILGLNTIPTISVEERNDTDIRFVVQELLREIQPRAKRQEV EKQILLKAQGGFLWAILVTQRVIHNSIIGTKNKKLFEQLTVTPETLNELYTDILKDTA GKERLQMIKLFQWVLFAERPLSSQELREAIATDRDMTCATVSQLRDHDSWIESLNQFE IHIKHITKGLVAFETRDLWEHFLQQHGPSLHANQTPTGAGHFEISRSCLRYIMLSEVL EATQLSRSLLCATFPLLPYMIRFFFHHIRKVEQEGILQTDLLPLLWDEKAGPSDKIAR LWRTLDPESAHTPNGWPYIGATPLHVLVALGSRSAFETFLQENPEVDGRDLEGNTPLL LAIRESHLDMALMLVNRSVDWKLRYANGIDEDIRRIDNTDNRKSYVTGFQLDGAIYFV VQELSYEGKDDVLETFLSELLIAGANTCRLMAFNATSNADTYYNNVNNEDEDDDAILL ASRRGQASTVSTLLIHGVSATYQGSNGQTPLDLALAGGHLDIIRLLLEKGVDQNALCN KHGQIPLELALGKGQFDVARLLFEMGADPNALALGKGQLDVARLLLEMGADLNAISSS KKQTLLQSALNNGYFDKVELLLEMGADLHAVNNKDRQALLYSASAAGYLEAVRLLLDK GADPNATQSNKDGRIPLYAATTNRHIKVVQLLLEKGAKVDASGYLGRTPLYSACANGH IEIARSLIEKGASLRFLDGYKRTALELVVANNHFELVALLVEMGANPNVRNDLGRTLL NLAAGGGRPELVERLLRPNYPRSGRKDAA PFICI_12866 MAVLLAILGVVILLVTGVVLQSSLSLLRNYLKARKIGVPVRIIL FDHVNPLWLVVDRRVISLIKQLPFGLGNNSFTRYNFRGWEVPDRYYSHHEMGDAYILV SSLNTWLYVADPDAVIDIWRCGKEFPRDVSVTGASSPLIDMKLIIKVRNYSAILDVFG PNISTAQGAQWLKHRRITASSFNDQTNHVAWTESITVAQDVLRYWTSKSSVRTTADDL RTLSLHVMSRAGFGKSFKFQGHDEEDRSISDDLEINYKDSLKMILENCVLIFALGRNF LAKPWLPRKLRQVHAACVSFQRHLTQVYEDEKKSLAEGGSKDRNFMNSLVRASQDEAT ASTLGGLTESEIYGNMFTFNFAGHDTTAHTFTFALCFLAANPATQDWISEEIHHVMGD REPDEWLYSDYPRLKRCLAVIYETLRLYTPVPTSKVVDTQTPQTFTVGEKTLVLPPKT MIVPSYASLQTDPKYWGSDSLQWRPSRFIRSANAASLTDTIDTEEFITPARGIFLAWS GGPRDCVGRKFSQVESVATIASLFRDWRVDPVLRTGETADAARQRVLHQIEFDSAPVL LLQMLHPEKCPLVWRRK PFICI_12867 MVQTRAQKARQQSHNTPVEQEQKPGKQSGQGTAKQPGQGSAADS RDLNAVSSGATSTSRNVRDQKRALEEAQDPVQTNQSRITTLSSDYTVDIVENSDPIAL WINEGHWSGNKNVESEMEGLTESEYSEISTGWKRADSGTPVTRSSAKSQAQSVPYASP RYEQRLLEKNCFLTESEIGVSEESKVLYKSLLELKQTFPENSLFHDEMFATTLDDLRG RNEARVIRNIGQLIVPSAAILARKGDKHLHILEESFDDGWNRSIPLTGARPQPDYSVG FKIDAFTKLQLARLSPFVRDIPWGSTERSFFMATNRMFFPFLACEVKCGEPGLNTADR QNAHSMALAVRGILALFRLVKRENELNREILAFSISYDDAWVRIYGHYAVIDEAGGIK YYHHLIAPIALKAQDGQDRWKAYQFTKNIYDVWVPTHLQRIRSIIDQLPDECDTENSK LSDSTSPPQNLPTLTEQEEEEEEKATAAPPTDKENDSNHSSKGDVPAPCKKRKSSATQ S PFICI_12868 MQSYQLPDDTNPNETGSGKRSLRVTENFDLDANREEDLQGGPLL LSIGRRFEGQETDCPDPQDDPTRPLSGSARAGASADLELPLPEMHHADYAETTAISES SRGKSFSVPTDDALSAGQQNHGLLDVSLLSGMGDFSGRHPNKHTIGNLDIIISELPTV NPNSRSDALAVLPWHFEFSWSAGQLLYGSDPLTSRAFDALPRNRSTRTGNATVAGHLT HGSDSNFSDNEQSAHISTRCSNAGEPGTLDHPADSRWCAIDKALRQGVIDEAWHEFRK RVIEIVDDHFWRTHAPRRSNKEAGKSVQSNSNSATKRSNDNRVTKPRGSRTSQRQKGN IDEGEDGNSEDDQQRDPALPESKSDHDKRRVCCPFFKNDPVRFDSGVSRPSKVLFLNH EALAHVKMRATRESGPRDERIAKQWLHLYKIIFPDATAPFPDPFVVSPEVVAARRIAK QLLRFFQQNRALLWSSFAEFLPHETFATLAEQKRQTDLAVEKWSVLTSDALVEQWGYG DFELDILPTRDLSEAKDGEPTTRNTLPRLESNQQRIGADFLAALRSPSPIPSTLHTLG LGPDDGNTNAVNQNMTFPINTFPDDVGWDDTLLLHDDFYRFEIDDDATKRVDQHGDSS PGKHTGLLPSSSNTISNGAIVPWYPGVGHSMEDGTLSLSLLFGQVSERDDFNQGFHDQ DYYQG PFICI_12869 MKGQLPHDIKKFHEQYGDIVRLAPDELSFVDPTAWRDIYPKNFV RPNEYKDQPPGKTASNLIACTEEEHARFRRILAPAFSERYTAAQEPLVRSYIDKWISK LETRIANSPECLSTDIDAVEWINYLAFDIIGDLVWGSSFGCLNGLTTHPWIQTVSQFK AATIVVSAKFYPGLYGTLMAITPSSALNEVMEMWRITEQKVRERIDNGSNRPDIIGHL LESEKDPAAESMSREEMEVNAMMLVAAGSESITTVLTGLLNYLLRNPGDLTAIVDEIR TTFTSGDMIVGSKLKQLPILNATLSEGMRLCPTIPDAMRRNVPPGGGIVAGHALPAGT VVSIPPWATYRAQRNFGEPERFLPARWLSDAKSGSRDNKAAFNPFSLGPHNCPAQNLA WLELRLILAKLLWTYDVTVPPGTDLPRWDEQGIWWFWDKQPTNIRLSRRI PFICI_12870 MSLKPIKLYWRNQVPNPSKVLIILEELNLPYESSWVELEGLKQK PFTDVNPNGRVPAIEDPNQGVTLWESGAIVQYLIDTYDKDNKISYSSFPEKYLTQQWS YFQASGQGPYFGQAAWFNLFHENVYGESPESAKVRYGAEVKRVAGVLDGVLAKSEWLV GDKCTYADLAFTMWNMQVGYFMSSRTGEQAWNPDEFPHFTKWQNACLGRASVQKVISV LGEKEVKSG PFICI_12871 MASYSNHLFQASLAAALPDLSTSTRAVHADDILNNVDDVAPPIH VTTTFRYPRDVHCLRPHHERPTYPVLDVGEHCYSRQSTPGLSRLEAVLSSILGQPCIA YSSGLAAFHALLNMLNPKRVSIGAGYHGCHGTLELYARASGTEVLPLDCAVDQLGPGD LVHLETPVNPTGKAFNIQYYADRAHSRGAYISVDSTLAPPPLRDAFLHGADVVMHSAT KYIGGHSDFLCGILAVKSSSGGRGSDWLQQLHRDRLYLGSGMAGFDSWLATRSIRTME MRVLRQSQSAEFIVGALHSALMVGSQPLTSKILGDEDALTIQKVVKEIHHASLEVDAD SAGGWLRKQMPQGYGPVFALTLREVEFARNLPSKLLLFHHATSLGGVESLIEWRTMTD ATVAKDLLRVSIGVESPEDLLADLIQGLRAVANKSN PFICI_12872 MPVLLFGSSGRDTESPTRSTADSRESQDRQLQQSLCAEIGDATA LTVYSLMPAGDVLADAVDSYFHYCHMQPLWLFDREEFSSIQDCREETLFSLLALSSCH SRHPFFHGRSDELSQTYAQAARERIMRQIGIGNVSLSTIQSLCLLALANLQANNQVLL RLHVGIATTLAKCANLDFETNHLTEVESRTESHRRLFWSLHLLQQKYGQQSSATNILE DVTRPQFVATHSNLGEKLNELCPHMPQEEMMPQHIRLNSITKSSGIWAYMIQLSTLWG EVRTYVNQWAQQNNCAPAPWSIESGYAIISAHLMDLETKLPAHHRFDSARFPDQENRQ LQNDREYWSPWLYLQFTYHTIHGMLNHPFLYSSWSRHSARLAVPNTFWKTSSEHAFVH STWVARLIDMVTNKEYRVSDPFIGHCTAIAATIHIYFCRAADRTTREAALGRLTRCIA FLAELALRWPSCRWMHEKLQALVGSAFALDSQRAKEQEDPTPRTLSINTRSMWDILLY NLAANRSSTTLPQPGGLFDASYSVSENNIDEGEDIVEMEISHSPTVEVVLSNGQALPP QSGKRRNPVNSEGIRVEHASQARPDVHSPFPAAPVSDLDAHFVQPTPHAPWPMSGNSS NLDMTYDSFFQSQVPGSPFFGTWEVGNL PFICI_12873 MRCVPTSVSTCSMEYEVYRHKDATDDEFEHIDSFFKRVLAEDKY LCDAVQKNLGAGVFVNGELHPDLESAPIFFQNTVRQLVTEHRRKEEENGEELWPARRA ISHSGVTKGDDAFCDGLVCKTSQGANIEW PFICI_12874 MPKANQDEYLPANSDHEEARALPASWYRRDEMYQLERRAIFSKR WLLVTHRLRFTKPGDFLRFEQAGYAFVLCMDKDGDTLNGFHNICRHRAFPVVTADEGN AKMFSCKYHGWSYGLNGQLAKAPRFDAVPTFGKANHSLLPIHVHVDALGFVWVNLDAS PEPEVKWNDDLNGADTQERFQAFDFSQYRFDHVWAMQGNYNWKTLADNYNECYHCQVA HPDVRKLADLSFYYTVSKPGYIQHFSRPKKGKEEDDIKNVSTYYFPNACMTVSYVW PFICI_12875 MADENTSESSGKNDQPLEKYADRERYAGLGDDGTGPIDALSIDI GAVEDQVFSMSEIDPVLDAKMRLVNKLFFLNGFGYAADSLILALQAVTASQAALEFQP SFAYGLNVAVYTGMLLGVLFWGLGADVIGRRFAFNVSLFSSSIFAIVAGASPNWIVLA TFVGLAAFGAGGNLVLDTTVFLEFLPSNKQWLITMMACWWGLAYVIVAAFCWPIFSNP KYTCESVAACTKDNNMGWRYIWYGNGALVFVCSVLRVTVIRLRETPKYLLIKGDDAAV VEIFQEIAHKYHRPCRLSLDSLRSLGTIDSTYGASRYSVGEFWAHIRGLFVTKKLAVS TLMIWLSWLLVGLAYPLFYVFLPDYLATRGAQTGETGVYYQWRNYMLSSVAGIFGPIA AAFMCNFKLLGRKYTMAIGALITMALFFAYTSVQTPAQNVGLSCAISFSLNIYYGTLY AYTPESLPSAHRATGNGIAVACNRVMGLVSSFVAAYGDTATSVPIFVCAALYIVMAIV AILFPFEPYGKRAM PFICI_12876 MEKFDVAVVGLGAFGSAAVWQAARKGAKVVGFEQFEFGHVHGAS HDTSRIVRTSYDAPEYVALAKSAYEEWTELEKSTGVKLLTMTGGIVVLASDRHWSAGA KTTDYTASLDANNVPYELLSAKEVKKRWPQINVGEDVNAVYTADTGMAHAAKSVAAMQ FTARAHGAILKENTTVTEVIPFKEVSNGKGVLVKTTKGDFLANKVILAADAWINKLLA PSGSTFRSRSCKNK PFICI_12877 MTPDERTYVHSPELLHELTTFMNGFISEDQKLEVLRTVTCQYAI TPNRQFVLGALENHPEIFVALGAGHGFKFAPVIGRVMAELAIDGKTTEDLSKFGIPSS PLPRQSRI PFICI_12878 METTSGRNLTPSHRLRDHTINVNGDYSAIESNEPDDGDISNSRC RRECRIANQQAVRTDVVAPDLLNHISSESQSLREHQQACHRLLETRHADQLSLLPGGG HNGHHHPKTPWQPFYLRRTTSLLFMGVFVLMIVGLETLSTISTQQSGLPGGMPFMRYM WSYGTTGILTLTAAFWHRLDYETKVAVPWFKANPIITSKAALEVDYIDTWSLLVPFKA FRNRDWDVTSSSSISLLLQVVIVLSTALFSLMPTNLTNDAEPIFLTSRFVDDPTRLKN SESLLPYYIVMGSEAPDGRLSDNGALRNARLTYPEGCTDQFAYQTFDPVSSNLIEVKA TVEGLSLDLACEPASVEKVVIMPEYFLTFQEDNTIYITGEGPYFPVTYQGCQTNISWD NFDPERKANTTFRENGMMLNGIRGFGGMQCNSTNKHDHRLVLLSFEVELHSTKQNIVI KNDSDMGAGKEGYNITVDATVSQAVALACKPSLEQISLNVSRNSEGVQSVAPINGEPV DSLRFVHPWDFIDFFFDKYAISTSAYDVSEVNMTIGANGWSQIVLAFCGRSCNQTPRL LNGTFLEEILASFFSYFAAATAHALLRERAQITSTGISSVNMTSLRVQPMVCEAMVAL LTVVILTILVTQFKHERIMPCMISPGSIAAMAILAGRTASSGFSTDLGSVRTTQLLAW LSTSLCENFFPYQLVVPAWTKMNTHLSNLKRTAENERPTSSDNDKFKHPGPLRPLNRL AFTVATTGCAITLMGLLRKSANEEGLGDAEGSKYLLYLWTAVPAAILTTLSWWMSSID TQVRLFAPYNCLKHDNCRSSILHMDLMRGLIPTILYQELKTSNFAAVLTTISALLGAT LTTASAALFHVIIHPVSEPVELTLQTILTAPTPKPVAVTDSWSLALRNPSYVDTTRPS SLILETNLSYSQEVYQDLVFPTYSIITLDTANASQTNNVSSATIKAITPALRPRLSCR LYNEADIEAVYKRDQPKVWSDDLLNSISVNITSEYSCWQHHPLIKSTALFETGNLSEL FFAATASNTASTILMSGCRSFLYIWGYHDSSPGPVG PFICI_12879 MSPYLSLGRIYVLILLLLPKPLNQPPEIFRHGTLASFDRKTLYD RIAPLAAPNDTLFDNFFAALVTSRYAIPISIISNPTQSKVVIDAIRLQHGIIEAQFLS ANYRVDMNSPKATENATNVLIPTLLNGSTTGNSTNYPATVTYPFGRQRIVQDHTATTI LEVLLLTILILSALSWWFGPREAALPRSPTSVASVLALLAGDLYVAPSKTYANGTRTD CATHISGSDYQSDISNTTFSSNWDLAITVFSITYAELNLWNPFLAYDSIEHCTFREDL EYCVEWSPIGETTTEASLITTIPTAVSIRVPNDCTQWAFIHGDSTCEDILQVWQITLD YFVSLNEGLGDDCSGLQNLTYYCVNSTSNPAPAISDELGTATATATSTSNSIVTPPGP TQTGIPSNCNNYYVAQSGDTCSSVEELYNITNEQFHAWNPAVSSDCTSGFWSDEAYCV RVAGSEGGNTTITVSSTSASTTFSRTTTTIVPPPGPTQDGIPDNCNVYYVAQSGDDCS TIEAEFGITDAQFHEWNPAVSSDCVSGFWADEAYCVGIAV PFICI_12880 MATLTQTFPFTDPAGLKNISPASKDIGIGGRNTAVQFANPLTQG ILYLHPHLSQARDPVKGRILSTNGPIRAGELLMADLPYAVVPVTDGGSSDGVVCSNLG CSRQIPRQASKSAHCHHGCSSDIRWCNQRCKDEDQNRHAFECFWLKKCGARARQELGD HDYHMLWIIVRMLAARYLERHGATPTNVRQQFSRQDQFVSGWKGVEMLRTNRQAWPPS QIAHWSTLVKVYLQNESLLPETLPVDDLVNIICAEETNVFELCPGPTEIFPGQELDIC RGKQYGLALFLRITLANHSCIPNVTHQADDRGRMMVTALRDIASGEECCTSYFDLSEY VDLDARRKKTEELFTFTCQCPRCLEEEQLIKNGE PFICI_12881 MPPISNASNTDRSIHVVQPKLRFWRRTNPYVQNLLITGCLAMLP GLYLAILGLGAGGGKATSIQMANLSNACLYAVYAVTGILGGTIVTVFGPRLTVAFACL GYPLFIGSLWYFDLTGRIWFPIFAGAFVGFCGACLWTTAGFMANAYAEESEKGAYRAI QWTGNALGATVGAVIALGINYGASTPSTPAAVYIVFIVLQILTLLLAAMMVSPERLRR QDGTTVAEFKASSFKDSLRAMVSMFKDWRMLLLLPAFFTPEAFIVLQSSLNAYAFNLR TRSLNNFLNYIFQIPSALFVGYFILDNNRLGSRRRRGLLAIGFNSTLILGTYVALTIW LHSWNFNRNIEGPMIDLKDAAYPGAVVIYILYGAQYGIFQNTVLWLVGSLSNQPGAMA HMGGIFVGILSAGTAVSFGIDAAGTDYQVENAIWFALNCVCWPIMAFVTWKYVTKTNY SLEDGVTVPTHALQDHHSSDHEVEKATIVSSADAEKH PFICI_12882 MALTFLPRSPHLKLACAKLHDVPELVSLWYRVFESPDIRALWPD TPGVRQWWDATIRHDMLGRPQEKYLKVVDTAQRGGGSIVAWMKWSLQTAEERGPRYIP WHQDMDVRRNAAFFEEIEKSRDRLVGGGRYNFYADMLAVQPEYRKLGLGLALMKWGCA EADVEKVPIYLDGTEAGSRVFTKLGFVSHGMTMGLNSMVREPENLGAPSTKL PFICI_12883 MPHAITPTRVLFLTTQPPATIRAEWPYYQNYNFPSLLKHLNTTV TIKSWLDEDIIAAIQQVDVVTFLWCNEYYKHPKPFLSFLDKLDELRKNDRDLPHIINN INLIRWNADKHYLLDMERAGFEIPRTQVVTPQEVTTLELQRLIQDFRPANPIVLKPTM SASSTMTWKIANTSILSVDDMAFLELCTSGGLALSLLIQEFEPAILVGEYSFVFVAGD LTHVMIKTPRKGDFRVQDDYGGSARLAEWRDIAPETFQIVREIYETLQRRFEVAPGDK IGYARIDGLVTCGRPFVLMEIEAIEPHLWLEHTGEENTVRMLSRLFQIGP PFICI_12884 MSNDHHYHHHHSLGYQLSATRESSTDEHELQLLDYEGSLAHRAT NQRDSPSDITLPYASIATSECPWTCQPGQEDEQIGPHPRLANVEDHVRRGSQRHESTE RLLKPQENIATRSGPSGAVRQTVVNTEPPTNSPSVSACPAVKPRPHGRKLVQRYWLWE ISASILSLACMAAVIGVLMYEDGKPLHQWGLGEKYLSPNVVVSSLAR PFICI_12885 MSTAERSFTTWTSAWETDSLPWLPTAQEFNFRSMPAILSNFTYL EFDKKLNYFQYQDISGHLISDPPVKKAMQCALQLCGKTFVTPYFGNFTASALTGPQVG LNISAKSTIFDNNSTFFLGLEPESIIPTLTNVKFNIDNCEFRNLIMFMRSLFMGTTNI TEVLVGSKDASVHPEDRQQWSIATNGTALSQFDDMPVLMKEIADSITEEFRISSGTLP TSGVALQSEVIIAINWTWLALPIAVTSLTFFLLLTVIHVNNMSGISPWKSSSLALLFH ELDGWDDNQKLILDAPDKVEARAKEMRAQVTYQNDLLRFSKAD PFICI_12886 MSAAPEYEIPFATFHNIINNQLSDTEESHRNLSPSTGEPLWLVP VSTQDDVDRAVTAAQAAFPSWSKLSYDERAAYLNKFVAAVEANKDELTKLIGQELGKT PQFAAYELDHLQANTVVQRTAIVRHIPLGVAVGIVPWNFPLGIGLGKLLPALPTGNTF IWKPSPHAPYSTLKMAEIGAQVFPPGVFQALSETTSTGSVETGGKVMAACAATLKRIT LELGGNDAAIGCCDADIESVAAKLAFFSFMNSGQICMAVKRIYVYENIYDPFLATIVA ITKQFKAGDYSDTDAFFGSIQNSVRYEKLQTLYSQIEADRAANVEGSFWGGGLGSKQG TGFHMPATIIDNPPDDPSIVTDEPFCPIVPVLKWKDDNDMTKRANAPSHGLGASVWSK DVARARRIGDQLEAGSIWVDTHFEFSPNVPFGGHEQSGLGTEWGTEGLKGCCNTQAYW FKH PFICI_12887 MRNDPFTGDGIGAIVWENGPQVCDVKANKAGPSSDDSWTWTCDN GAGVYVTDNGRVLEYTGVDGWKANMVKTEGDNWREEYGTLPAGDTGATGSISKRLLHE NSSKKSKMGKKGSRGLLSAKHHTRY PFICI_12888 MAVLETNNTPCLKNGDLQNHARDAYPPSTDLPPIAIVGMSMRLP GGVNNEAKFWDLLVNKRDAISPVPENRYRGHGKAGHLNTNQGYFLKDLDLAQMDAGFF SMSKAEADQLDPHHRLLLEVVYEAFESAGEKGWRGKDIGCYVGFSAEDWNDLQAKDPQ DFPMYRMTGSFDFALANRISYEYDLRGPRRVCFVTKAACASSSMSFHLACEAVAHGQC SSAVVCGANLVLTPAMTVALYEAGALSADTSCKTFDASANGYARADAINAVYIKRLDH AIRDGNPIRAIVRSTATNFDGKTSVISNPSTSAQDALIRKTYQLAGLDVAETAFCECH GTGTAVGDPLEAMAIANIWQETGGVLIGSVKPNVGHAEAASGISSIIKAVLCLEKKII VPNIKFDTPNPKIPFKSAGLVVPTEPTAWPKGRKERISINNFGFGGANCHVANGTNGI AINDNTNGVNGHDANGQQSINPSLLVFSANHEESLANSVEALQKFCIQEQPSITDLAY TLGARREHMPYRTFAIADDSSRFDFIRTKTKVENSGAKPVFVFTGQGAQWPGMGKELM TAHDSFLQDIRAMDSHLAQLEHPPSFSIQTELQKPASTSLLDQAEYSQPICAAIQVAL VNLLRSWGVHPSAVIGHSGGDIAAAYAAGVYTMEDAMTIAYYRGVALKSQTRPGGMAA VGLGASEVAPLLPPGLSLACLNSGSSVTISGDESSLDTFIADFKKTKPDLFARKLRVQ MAYHSHHMQDVGVRYQQLLEGCITPRDPIVPYYSTVKDRFVTAGKDLGASYWVDNLIS PVRFHSGVKAILNHSQLTSSPHLEIGPHSALSGPLKQIYKEVGTETQYMSLLTRNADA RKSVLKAVGQLFGAGVDIDFAAMYPMGSTLPNVPSYAWQREGNYWHESRVMKMWRLKE FPHHDLLGSRAAEASDLAPVWRNLVSPDTVPGWVREHVMRTQTVFPTAAYIVMAGEAI TQLCGGSDYTVRNVAVMNTSPLVIRPGESLEIITSLQPYRLTTTVESEWYDFSIVSNS TSGWAKHCHGQVRSGPGSKYTVGSTPLLPRSVSSARWYKAWRRLGLEYGPSFRGLQDV SVHTSRKEVSAVVVEGLTESESTYQLHPTSLTMILQAIDLAMHHGLTRALENADQLTY IEELYVGPGAGKHTRIYLQAELSPGGAVLANGYGQNRTGELSVFLKNITKSRLPSNSD GRGDDPHGAVQLEWKPDIDMVDPGTLVKAQVVQESAIAMAEKLFLLCAIENQLQLQGH TAPEGHLGKYYNWLQELVEFAKANKSRLVPGTAELCELSSPERQGLIEELMDRARDTP DMAACRLIHESYKAVVDIIDGKADALEVLFRNNMLTDFYNFFDWIDYSGLLELLGHEN PNLRVLEIGAGTGSTTEIVLKNLKNSYGQRLYSTYFYTDISAGFFIKAKERFKDYAGI EYRTFDVTRAPAEQGLEEGSFDLVFAGNVLHATPSLAETLTNVRKLLRPQGRLILSEL CPESKFVDYIMGLLPGWWLGEADGRPKQPWVSPERWDEELRKTGFDGVESVCYDQKPP YQQNAVMIARATDVACQQQPQRLTLLAPDATSLSTSAFEEYLRAKGFEVDRCSLTQTP PPSQALICTYELESAFLHDMTESEFHALVNFLCGLEECTILWLTRSAQMAASDPRYSL INGMARTIRSELNLPFGVLELDCLDQRAWEATLKVIEKLRTNGNRPEETDPDYEYALH EGVVHVSRFSDVSVTKELVNIGVEDSPRRLQIGKKGVIETLHWAAWPHENALGPSEVE VDVRVTGMNNMDVYIAMGVVEGDDFGYECAGVVHGVGSEVQDLQIGDRVMVLSEQSLC SRLRTSSQRCIKIPRGLSFEEAATMPSIYATVIRGLLGVGHLESGQTVLVQAAAGPAG MAAMYICKAVGAEVYATTDSEEKAIFVAETFGLARDRIFSSKDNSFKADLQRATDGRG VDLVLNSLTGELLHASWQCVAENGVMVELGKRDITGHGKLALDLFHDNRGFFGVDVAR LCKGRPLEAKKLLKTIVAMYEAGEIKPFQPITAFDASNISEAIQFMQKRDHDGKVAIH MPEDSNVLPGVGLLGHRDLFRPDVSYLLVGGLGGLGQAIATWMVERGAKSLIFFSRSA VNRDVHGTFFDELEASGCSVQAFSGDVGSIEDVKLAAAGASKPIAGVMQMSLILRDQS LAKMKFNEWSDVITPKVRGTWNLHEVLGNSLDFFVMYSSVCGLAGQWGQANYAASNTF LDAFVQYRQGLGMPASVIDIGVMEDVGYLATTNPGALEPLRASAYWMLIERDLVEALE LMIWRSSGQSSPPAIDSTIPAYSSFHQLGLGLRSTLPLSDPRNRVVWRRDRRMATYRN DDGDHSATSTSASNDTLAKFLASVADNPAVIRSEDSALILAKEIGNHLGSILHVAEGD LDLQIGLADLGVDSLIMIEIRNWLRQKLGTEFATPEILEAGSIMSLGRLAAERLRDKY DAAIEGNGSS PFICI_12889 MAPSATTTLTETGPAPLPVRKLKTEFGAYKELGASSLDEKTERL GREDFEAAKYPNYLPTWNPEQKYPQLEPFEHYEHGKDADTSFPELLPEGSTVVDLTPS IGSEVKGVQLSKLTNAGKDQLARYVAERKVVAFRGQDLADLPISEALKFGEYFGRHHI HPTSGSPEGHPEIHLVHRGAGDAGAEKFFESRTSSVAWHSDVSYEQQPPGTTFLYILD KPETGGDTLFANCVEAYERLSPLFKERLHGLQATHSGIEQVNASMAREGIKRREPVVN AHPIVRTHPATGEKALYINPQFTRDIIGMKKEESDTILKFLYDHIAYGADFHARVKWE EGTVVVWDNRVTQHSALVDWKNKQRRHLARITPQAERPFETPYSA PFICI_12890 MTRRIPWRDGDSAKTKNSPCGSPAQSGPASRPLKRVKAERDTDQ GAIEGVASSPMSKPKPKPSKLPTSKRTARLDKHQLTDDEEEGTRSNSQPPEPIKENFM TDGLDKDDKYRMVEDEFLSTAGQFTAHLHKAEYQRLQDETKSSNATKIRNISRPVVGH ATELVRTKHDRINRLQRQKSATRKKSESDDDDDNDDFRNTTLFGLMESPRKKPPMLDH FTRTSTARTLFGVQKAPQREGSRAQVDRPRPTVGKPYASTFRDMENDEDDDDDLEAAP CTRNGHDSQSSSISQICPVNQKDRSHRT PFICI_12891 MTGHAEAGALPEDAVHSSKELNVSTNPNTITSSDHRDSPHRLIE DRVSSDSSQDGDNDDEEQQHQQQDLETLSRVSSGPPYTVFSRKMIWWIIVMNCFAAFI SPITANIYFPALPAIAQDLDVSISDVNLSLTTYMIFQGLSPTLVGDFGDAAGRRPAFI LAFIVYLGANIGLALQRNYAALLVLRCLQSAGSSGTIALVFGVVADIATSAERGKFMG IVGAGLTIGPSLGPTIGGLLTEFLGWPSVFWFCTIVTVVFMIPYVLTVPETGRKVVGN GSVKPQSWNMTLLDYMRFRRQPQDPTTVRQKQKIPIPNPLNTLRVLANKDMAMLLFYN AMLYVGFMLITATMSTQFDDIYHYNELVLGLCYLPIGFATMIASVSQGFILDWNYRRT AKKIGFKIDKKRGDNLKDFPIEKVRTQIIMPCILIGGGVYIGYGWALQAQVHVAVPLV LSFFIGLFVTGSFSVINTLIVDLYPEAPATATAANNLTRCLFGAVATSVIEDMIAGIG RGWSYTLIALIFTCLSPILWVIQKHGPPWREERRLKMIKLREKEEAKRTEKSEREADN STVLQSR PFICI_12892 MTDLTPLFDSLLATHSGASTTKRTFSVDSLDDFLKEAYTINHAI RTLHTDLLRIRQSYLSTAQPRRTLIRQNGGQPLTDRDREEIDADSKQLLRDLNAKIRQ LADAEQIRQETEAQLLKKKFARGLSALGSWAAGGAGASGKSDEYKRAEEAANSVNTHR ESVLWMLRQRLQECVKTQQGMMEVRLNREMEKQRSVLARAGVTEGMGLGSMPGASKRD PSSPSSKRRSSQAAWQEDAQQQPLYNPQDELSPEQIQMFEKENHDMLQHYESVLGQVR TAEKSLIEISELQTQLVSNLATQSAHIDQLVADSANITDEVGGGNKMLKSATKKPSPA KYTFYATCGLCTLLVVWDLII PFICI_12893 MSSPKSSQNFDPSYHADSVRRVTSLVKQMSPPSATSFTAEVVPQ APEDPLFGLMRAYKADQDPKKVDLGIGAYRDDNAKPWVLPVVKKADEILRNDPELNHE YAPIAGIPSFTGKAAELILGGTDSPAIKEKRVTSVQTISGTGAVHLGALFLAKFYPGT KKVYLSNPTWANHNQIFSNVGVPIATYPYFSKETKGLDFKGMKEAIYGAPDRSIILLH ACAHNPTGVDPTMDQWKELAEVIRAKGHIPFFDTAYQGFATGSLSQDNAAVRYFVEQG FELLVAQSFAKNFGLYGERAGCFHVVTGPGPEAQTTIGRIASQLAILQRSEISNPPLY GARIASTVLNDPKLYAEWEDNLRTMSGRIITMRKELRSKLEALGTPGTWNHITDQIGM FSFTGLTEAQVLKIREESHIYMTKNGRISMAGLNTGNVDYVAKAIDKVVREVQ PFICI_12894 MASSKLTQSALLPHIHLLCMDSQASIAWENAVQHYKLSSSPHLQ YTIHESSLSQLPADLTFDAVVSPANSYAILDGGFDDAISRAFSPRDDYAALTRHAQAH IYTTHRGYLPPGHCQLVSMPGEWREARRLRYHDGAGWGCRYLALCPTMRTPAPCGREV VYACVWSLLGALERHNDAVASGQGDAGEETGRAARIESILMTPLGTGAGRVSYEQWAR QAVLAVKHWLESMENPERWMKRDWADLARIEAELKLTHGL PFICI_12895 MDRLSSELLLVVVSFLDIKDLLRFRLVNKAFAVIGAPYILPEVA FYLHDKDLAKLKEIAAHPVFARNVKKLMYITDKLKPRADSYERYVREYETTRAMMRLN EEKALHRSHLMNLSDIQLKQHYSRYLEEIKAQRLNIRERRDFTHLVEVLPSFCNLQTV TVTSGNQFNARRWKLPSPYNDCAHDPHYHDPQQGVRELEAMLEALAIANVKIEELQAG SMNWQFFAQDSERLSQLFAPLANLTSIDLCLELETDDDGIAEFDELESCRDVLCRGML RDLLKSMPGLKEFSIVLTGEFDEEEAVASLDWVIAPGYRWPQLSGITLEGFDCTRAAL WNFLDLHKDNLTSLYLGDIVMHGSWHKLLPDIRHNLNLDDVLIYGRIYGYTDEGGETD EGFGPLDTWDEAWNLSVPEAYPDDMRSSISRYCECNGNNYPDEIPLDGATVAKYFESH VRREGMLSQAEDDELMEKEQVKFHEKYAEEFEWFKRNARHEISDGSQDEEDVDEEEEE DEEDEEEDNDEDEDEGEDDVDGEDEEEEDGDSGSDNSVD PFICI_12896 MLAKSFFLHALVAVAAQAQNTTTLRYMPFGDSITEIVCWRAKLW EKLQGTEWAGVNWVGSGKTENNCKDTKYDRDNEGHSGFLAIDIANKKQLVGWLQQNPA DVITMHLGTNDIVQQNKPVNDIITAFSTLVQVMRDSNPKMKIIVAQIIPMGLGSYNTQ IQALNKAIPTWAASKNTTDSPIWVVDQYTGFSGSSDLRDGVHPNDSGDTKMANIWYPA LVNALQVAQAGKQSARDIEVAFTA PFICI_12897 MIGVVKSLLAASVLSLAAAAPYNEVKPGSFKVVQQRNPHYDNST FVPRGALAMYKAYMKYGAPVPDAINKTVTEYRAAKQARLLAKRARSGSVTTTPVNDDE EYITPVSIGTPAQQLNLDFDTGSSDLWVYSTLLPAADDKGQTEWAPGKSSTAKRLTGA TWKISYGVSFITGTERNRFCNFLSTQDGSSSSGIVYTDTVTIGGVTYASQAVEAAEKV SSEFVSDTDSDGLLGLAFSNLNSVTPTAQKTFFDNIKSTLTQPLFVANLKHDEAGTYE FGAIDTSAYTGEIAYTAVSTSPGYWTFDAAGYSIGSAASSGAAIQGIADTGTTLLYLP TAIVKKYYAQVTSAKYSELEGGYTLSCNGSPPDFNIFIGTTKITVPGSYIAYAPLTTG GTTCFGGLQPNTGIGLNIFGDIALKAAYVVFEDSGTSPRLGWANKNLS PFICI_12898 MPALSQTKALVSRGPVSQGKWSIEPVKLRELEDDEVLVEIVASG ICHTDLHCGNTPDDKGVPAVYYPRVLGHEGSGYVKKAGSAVTSVKEGDAVLLSFSYCG DCHVCKTGPPSHCTDFFNINFMGKPVFTSQHVKTAMDPSAASNPDIGGRFFGQSSFAR HTIVSSKCLVNVAPLNLEADELRLLAPLGCGLQTGAGTVQNVAKAGPDDCVAVIGLGG VGLAAVMAAKNAGCRKIIGLDRLASRLDLARELGATDVVDTSNKSTAEIVEAVKNASD GLGATIAIDTSAHPPLVDAAVQFTRYMAQIIQVGTGMPESFLNLHMQSFMVSGKRYFG AVQGHSRTAEYIPKLIQWWRDGKFPVEKLIKTFDFEDFDGAIKGMGNGSVVKPVLVWK SE PFICI_12899 MSAKENAQSIKVLDDLMKKLSVSKEAPEIKETTTALASFINGRI EDQAAPTKTVEALKKELANKKDATAREKALSAIQAIAQHSEVAANVEPYLVVLLPATL AAIGDKITSVKNAAIAATLAIVEAITPNAVKAILPHIVNSILTAQKWPEKITALECIE TLVRTAPAQLAYRVPELIPVVSESMWDTKKEVKERAYKTMEKICELIVNKDIERFIPE LIKCIAKPENVPETVHLLGATTFVTEVQEPTLALMVPLLDRGLAERETAIKRKAAVIV DNMCKLVDDPNIVAPFLPKMMPALQKNFDILADPEAREKTKQALDTLTRVGAVQNGKI PEVRHDGDIATVLGHLKGAIPSKHAGVIESLAPVVEYVAAIGGQLVDEKEVETSTWAG AVKPFVTVLVGEADADAVLDTLRKRASSALAGSAEEEVDDDEGEDLCNCTFSLAYGAK ILLNQTHLRLKRGRRYGLCGPNGSGKSTLMRAINNEQVEGFPKQSEVKTVFVEHDLDS ADTEMTTISWTMKKLEEAKVDVSEEEVKKRLDEFGFTKQMIEGEISALSGGWKMKLAL CRAVFEAPDILLLDEPTNHLDVKNVKWLEDYLINSPCTSIIVSHDSGFLDNVTQYIIH YERFKLKRYKGNLKAFVEKNPHAKSYYELGESEIEFTFPEPGFLEGVKTKAKAILRAT RMSFQYPGTPKPQISDITFQCSLGSRIAVIGPNGAGKSTLINVLTGELIPTSGEIYQH ENIRIAYIKQHAFAHIDNHLDKTPSEYIQWRFQTGEDRETMDRANKIITEEDEKAMDK VFRVEGTQRRVIGINSRRKFKNSYEYECSFALGENIGMKNERWVPMMSADNAWLPRSE LLASHQKMVADVDMKEALASGQFRPLVRKEIESHCANFGLDAELVSHSRMRGLSGGQR VKVVLSACSWQRPHLIVLDEPTNYLDRDSLGALSKALKKFEGGVIIITHSAEFTANIT EEVWAVMDGKMTPSGHNWVQGQGSGPRLTGKDDEEEEKFDAMGNKIVTTKKKAKLTSS EARKKKKERMARRKRGEEVFSDEED PFICI_12900 MGQLKSISTPAEAAQDYINRRLVPTCGYDWLPFLTALFERNNFT GETGVTTSEGSSAYEIYVPEWTRPGFRNDRELVLPDWEFIERSELDAPKSLRPALLPQ DIDQTHSGHDQKWGAYLGQSDSQSRLESANGVSRKARLALQVILDNASSEEKDRMIDS TRARGLRPLFKVDNTAAIAIEVLEEWRVTKEKPLWSDMSMHPDMQQNLRTTDFSKLQN PHIKMKEPYEKLFTNTKEDENWQRFLFKGLTMDELITEDIHTMSDSVTHNLDMNQPLH PLLERNKWEDPFARTSQHDNPRYFYVGNGGKKEWNARRNNEVWEALQPSLQFASRVLN QNPKFWQAIKDLRTRLPIDPNLDRRVLPRTRCLWKMVPVDDVNSEYIPAPVASLHQKH FDFVAQVDRILENGLRFRIVDGHNYPPHNSRNFLDNKHDRTWDPQIREFYGFTEAEER TPGQFEILLSAQMIWPLLVPEYRACEKLCCHFMIAVTILHELMHATHFATAFMCGALG KKYPPNHCSRVSELLEQAGAQLFDMTHADGEPFWKNDAWAELGSAFEYETFGMLTCAL PVPGFTSRMITLQPLFLEGYSYPTVFCDDHRYLPVARPIIDFSQPIRIDWLATRFDQG WWDEQVVRYGLDTAGRMTNPANSYYTLMAYDWADEEVLAEMMGEDNYAFMKTVWTLLH RRGMPVLADYCKGLIWSAVPLFGIKSRLRAEYQQRVVHNFWGIEVDPMPKAMEELQTA GWLGLRILECWSNRNHEEGFREWKENIPGQRNACRNQDLSSWRKKMNKHFEEYFTEHG VVPQHVAKIHHIYVREFGKLEQYIHEYFRQGHQESRSYLWPSDEGQSSDEFDWVVLTL AGYRKTASDIRDLVTGIGQHPVLQNTEVPRWTNPWAEVFDAFFQTADPLYEGLMADRR QQLPAADIHHLLSNIKAPSSIWLSRRQQIMNLAHQQYRLASEEIRATVDEFGRRAAIF HEGFSYSFNHGGTKPSAHLRASDLSQENQTPAPYARIVDISNLIAAYTPSAHSGTLPR FTGERPSASNIAKMLSATT PFICI_12901 MPTVQITPGSAELLQNVADTLGKSKRVVMITGAGISTNSGIPAQ YDKAEASGDIEEDSESNGADINDRPTKRRRTSQGNEALLHGTPTSTRSASSSDASPIV AFPGLRLKKTESCNEDTPTQKLAAQPPAGIVEKDASNVQSEQRLTRSVRLENRLAMSR HSTGISSSSSTTHDSVFSAREMSRSSTQTDVSMDTVPAEEHRLARVVRTPRTNRLVGL FSSSPLSSPPPVLFDPYDQPEEPTDRSSNCSEPEDSDQDDGEEDEGDFLSSQTSQARL RTMKGRDLFDSNIWKDPLKISVFYRFATTLRQKVRDVEPTTTHHFIARLRDIGKLARV YTQNIDEIEKKIGLSTDLKIGAGNKRRKSAKQQRLVDNEAGGTDVCTQAKNDSDAAEK DLSQPSQNTESLEVVKNRMSTTSDKGVECVFLHGSLQALRCFACAQLCDWGSEDREAL TMSGEQPECPHCAGATAARQEKGKRALGVGVLRPDIVLYGEEHPQSDLISSIVQHDLS VGPDLMLVLGTSLKVHGLKVMVREFAKAVHQKGGKVVFINFTKPSESIWGDIIDYWVQ WDCDAWVDDLKQRKPTLWMSPDGIVAYEKLKREELAEKKREAASIKKREEQAEKEAAA LKKREELAEKREAAALKKREELAEKREAAAVKKRQELAEKEAAAARKREELARKREAV AIKKRESMEEKNREAMITTPRASHQEESERPKPKETPVPVPIPFVFQQQTLAVAGQMP PVSSALAPAQPAQASITLPAPGLSTASISAQPSQAPPAFPSAIEHEKTVETESLFTVK QEEKVSPKSKGPAKNPQAERNDYNCGAYCMSTIAEAFYAIRGEKFDFFGYTPSPRPNL PISQSVVKPDAPGKPATKARKSRHSAPSALSTSQGSFPDHRAPAFKASLLSSPITKDK LNRAAAELGVLRPQTPLRVAELRGNLETAGYSTQYTLSEFQLQLPGNPPDFSANCTPA PLPTRQEDVLSASPDILSFSARTEPVYSPSASVSAAVKTNPRKRKPTAKAMSSMPTTP KQVPPARSGYTIPQPAVIDQENILPPFRREQQAGPRLATMEPSCNPSPPHSPLDQTPL ASLSPNQRRFSLQHLHHPMMLSSPLVKLPIEVRKESTPSPSDQLREEAAIALSGMRMC R PFICI_12902 MTKPRRGVKFPHQTNGQARRLSVSEVSEDGSSSPVRTRASAQLG DINEKSQAPPPSDYEKKKANFITRTFWTFVMIGGFFAALFMGHIYIITVMTAVQIISF KEVIAIASVPTRARDIKATKSLNWYWLASTMYFLYGESVIYYFKHIVLVDRVLLPLAT HHRFISFVLYVIGFVFFVANLKAGHLKFQFTNFAWTHMALYLIVVQAHFIMNNVFEGM FWFFMPASLVITNDIFAYICGITFGRTQLIKLSPKKTVEGFVGAWVMTVIFAVFFANL LMRSKYFICPVNDLGANIFTGLECEPNPVFLPHTYKMPELFFLPDSYKHSLSFTTEPI QFHAIVIATFASLIAPFGGFFASGLKRTFKIKDFGDSIPGHGGMTDRMDCQFIMGFFA YMYFHTFIAENKIAYGHVMELAITGLSVEEQIELVKGMSRYLENQGALGSQVVACLDG AFPGKR PFICI_12903 MDDFSDDGFDDLNVNVLDELENNAIQFTQAQRQAGSTQDDLELD EFDDDDLGDSIITNELRGNSVLLPEKTATATNGSRVAPQRQQTTQQQQQQQQQQPHQQ QQNRWGNVPVRATHYRPQPVGTNSRPPAQIHTGLRQSQYGPRQSQTAPYSQMRPPPIP RPTPLQSRNQPSQAPQQSTAVDIDHEALQARIQELELKLQTKDGEIDIVRRNLEKHRQ DHDREVQALKKQTAEQISKSERAAEAAKAGQKTATTELQFIRRELHDELDRAKRREKD GGTPKKPAAAKVWGVADGFDDVEMVASPSKGNRGRNPGAVASVMPDPPARLTRTPTKN KRKRPNIESPIMALETDQDVIMTEDHETTGTSKDVDGPPQTEQPVAQTNPLGVDYLRV ILNHSSGYGRPLTFDYLAGFALPAKPAESLAAILFQKLAMLGDHQDPIRLPIEFCDSV IELWLQCRKDGCLAPIGELVSLVAFTLQLNTIGIAPFITEALVSTALDSWYEIGIPRL RNQSSDGDPSDAAFLNLKEHIPTSSILSVMYLTALGCATSDPIGGSLSRPIVDFWNCV HPDFILMMLKSHKQPIDDFITTLKLLCTSAFDESIGPISTAPNRTVDLVAPLIIERLT YHLLETHQWDVQQEKRWTLCFTLLQTLAAFARSPFGMRQLVTHDYAIPRLVIFLSWTI DDMYDGNCTSTVYVLPDPDSPIPQLDADTSDSGEPPQDEPDGVQRLIAHTMLLLHTLV TNKDNKDRVNITTKLSKFTGAHQKYLLSLGRLNFAEEGVSEDTAELAHELLELAVTEE EGAELGEFFGG PFICI_12904 MVVGHQFHMEGREAWALNTLNHGLLDGRHVVVDTRSGGSANTIS DPPVMPDHPPPPPPLVTHTPTSEPNDPPTAVSAAQDGPSDMGMTVLSIAVGAVAAFII IPALAVVAYLYIRRWRRSGNTTYDSLDGETQDDDEDYFSNATHPHYHDEIQLKDYENE SKPSFEETGDLATPASAIHVIPADLGPFSPGMKKTMALGSGTIRSPGA PFICI_12905 MQYVRLAGFLLPAVALAQTYTDCNPTENSTCPSDVGLNQSTYSV NFTSGESSDWVMTYGDASYDDNGLSFTITESGDAPTMQSEFYVFFGTISAVVKASPGT GIVSCVILESDDLDEIDWEWLGGDIDEVQTNYFGKGNTTSYDRGTYETVGDSQDNWHN YTIEWTSAYTTWWIDGEAVRTLEYADAVDGKNYPQTPMRIKLGSWSASDSGSEGTIEW AGGETDYDDGPFTMYVQSIDIVNYNPASTYTYGDLTGDWTSIVLDSPDAVIVNETESS SISSTSSTGSSTSSNSSSSGSSSSGTSSSSSSSASGTTATSAGVAQSAPVAGLLLAAI SAFFL PFICI_12906 MYKHRIKLWGLTKNLSAGKVRKALWEASRGKATLPVIRGRVPGP ASMKHELQTRLPREYSFLAAADNKSLLSRSRTMSLELSSSRTVSPSPVATSIDAPAQF RYIESCLAAVLDYTRNRVQTSIWDRTWNIVLEDYSEVWHNKIFNGLVMIRNGKMKQGF QMIDICLKNYKSLIQKEHPLLIIETYSIFLRLSLERLDLAHVILRYIAGLCRACLGPA HPFSRIWTSLMPLGMDQIRTAAAVVIKAQLALLATYFAEDAEFLINQRIDTARQTHCY GSMSIEEAEADIAQAIRLKEAKGDSDTTSYVCWAKEMLASIYQHNNRFAEAKQILDEV GREVESQPEEIDQFTTSQYFAITCQVIERIGTYQDLKDFYQRRLDWCVKTVGKDHQWT VRTVIQLDTEYGKRNDFAASVQLHQAFDFESSWNLICRKEDSRFEHETDNTTAQN PFICI_12907 MLERIHVSDMSRNSAGGGGAPGRRWATLEDWELHKERITALYWD QGKTLREVSEIMEKEHHLHAT PFICI_12908 MHARILNLFAVFAQLCAAYPHYGNKNTENAQKTRSTRWASSQDK KGVFFLNRIGPTGGSLYTANADGTEEQKLFGNSTSFFDYHGSISPDGKWISFTSERVG DGQADIYRVPFGDEMGTNVESIAATEHVEDVAVLSPDGSRAAFVSTTDGYRANIIVQD LQTGTQLKLTNNDDISGNANSSSPNGYFRPAWSPDGEWLAFSSDRNTQWLGWGNGTGW EHTQELAIYIIRSDGSGDLRQVIAKKGYSLGSPKFSPDGQRIVFHEMTVENTWNAHSM SVADAESQIVSVEVDGTDRIDHTWWAGLKVSPQFVTNDVIGYVIKAGTSEGVNYTSIS GSAPADLGYAPFTRKSLRSPCWSPDGKKVLYEKMSYASRTMEQPLYSWQEEWEYRFTD EFPALSRQGKLAVNGNGIVTMNPDGTNQTTVYNPQTELPVPGNASVHVSGQAMQPSWS PDGEWVAFGVGAFFWSRATGTAVIARGTANGTYQEVLTDGAVNSGFPSYSADGRYIVY REWGARYGLRLIDLQDKSISSVTTAVDNLPSFSPDGTRIVTTRHVDGANYDVVTLKPD GTDEQVLTDSLANDGHAVWTEDGPILYNTGMFGFKDEAALYDSTFQPYGVIMLMNSDG TDKRVLSESLWEDGMPIYYL PFICI_12909 MAAKMTTSIMCKLVTALGLHSALIEATCVTRDDANSSAVAGCAA LEIKFPGKTFFPGDDVYEYETSQFWSNTELLSPACVFRPESASDLSTSIVISTSTNTD FAVRGGGHMGIKGANNIDDSGFLTVLSNLSSIALSDDQSTLTLGPGFRWGAVYEFLAE YDLAVAGGRLSPVGVPGLLLAGGVNFHGNQHGWAADNVLEYEVVLANGTIVTANDSEN TDLFWALKGGSSNFGIVTSFTLRTFTSTQVWAGVYSVAEDYLEDLFAAIANFSAYNTD PLSHIVPQVVAASENSSVAAVILFYDSPTVSYPECFQMFFDIPTISDTTAFQTLYEFA VTTGELVTDHINDIFVAGTTVGQTYEELLQGIQITNQVFSDALPDLYAAVPFENISLV SIDWQPIGSLWQAGSEAANPVGNALGVDPSSKGTYLCWAEVVEWVGDSYADAVDAWVQ NTTAAINAATQAAGLYDAFNYMGDAAGFQEIYAGYGSENEAKLLSISQKYDPERVFQT RLPGGFKIGA PFICI_12910 MSVYNGVTYAMAASDGQTPDQSHPYEAAPLIVITGIFLPLSVLT MAIRMYTRVIISSKVAFDDFLMILAMILNIIMVALILDMLNYGLGKNMWDVPLQPDLY PNWMVRNVVAAMFFCASTGVAKGSILLFYLRIFPSKGMKIAIWTVFAFTLGYSLASVL VNAFSCNPIAGSWSLEESLTAVCINRPAFYFAQAGLGIATDIATVIVPLPALKSLQLR TKQKIGVAFILTMGAFVCIVSIIRLSSLYTLLTDSNLTKNTVIALMWCILELNLSIIG GSIPALKPFAQRFFPKLLGSSGASKGRSTSGAYPLPSQSARYGPGSHFSRATNNKSQI EADDTGSEEFIMSNLAGAQITKTVQFGYAVEETTLDDKDSHHDDKPGHVKGKDSKSST DSTSYTPQ PFICI_12911 MRFFQILSFAASGALAASGCGQTPSLSYGLLNDNQTAVTISDTT STSRRYRVFLPDSYDPNTPTPVILSYHGANRQIEQQVALDELTTSFFNKNYIVVYLQG VSSSASRPKHTTWEGAPGNEADDFGFTTAVLDALEEELCIDTSRIYATGKSQGGGFVG RLACHATLSTRIAAFAPVSGAYYISQLTTDAECADPATVEIPCDAGRSGIPILAFHGG ADPTIDYEGGLRTYCLPAVRHWAEAWAERNDLNASEVANTTIANSDNGVHSSWGNGLV NLVYDGDNIEHDWPSLLENDDNEGEVTAAFNASSWIMHFFSKHSLPLTY PFICI_12912 MADAITEATAKLLLDEETGEMVSKNELAKRTKKRAKKALTAKNK ENAPPKPAAAAPKPKERADEPSLDPEAMFKQGFLADVHAERPVKPVVTRFPPEPNGFL HIGHAKAIAVNFGFAKYHGGECYLRYDDTNPEAEEEKYFVAIEQMVRWLGFSPYKITY SSDNFQRLYDLAEKLIELGRAYVCHCNDEQIKAQRGGENHGPRFRCEHAEKDVETNLS EFRGMRDGKYKPREAFLRMKMDITDGNPQMWDLAAYRVLEKPHHRTGDAWRIYPTYDF THCLCDSFEGITHSLCTTEFVQSRVSYEWLNKQLVEHQPMQREYGRLSIQGTVLSKRK IMKLVQEGYVRAWDDPRLYTLIAIKRRGVPPGAILEFVNELGITTAPTMIQLARFDQT IRRYLERTVPRLMLVLDPIPVVIEGAEEVEVDVPFNPKIAAMGSHKIKFTPTVYIDRS DFREVDSKDYFRLAPNKTVGLLQAPYPIKAVSYSKDEATGKVTEIRAVFDKETKKPKT FIHWAAAGSRKVEVRLFNSLFKSEKPDDAEGGFLNDINPESEIVYPDALIESGFDEVK ARAPWPEAAGESELGKGGPESVRFQGMRVAYFAVDSDSTDDKIVLNKIVSLKEDAGKS QG PFICI_12913 MALQDQTKLKQSLISLSKSHGGQLPLDKAVLEALPPGTTQARAQ AYGLASAWSFTARINALDGSKQSVSYFLKYVAGDLGKNQLEGEFVGMTELHQLQPELV PKPIARGRLKNANVPAYFLLIEFIDFIPGLPDPVKLGARLAALHAKSKAPNGRFGFHL QTYDGARLQAVAPTKSWTSFFGTLLAEAYRQDTETNGIWPELEIVHKRVQSHLIPRLI GALEAEGRSVKPTLIHGDLWDGNVGVQANTGDPWMIVKFDCAVYYAHNEMEIGTWRAE RHQLNAEVYRMEYLKNYEASEPKGEWDDRNLLYSTKTNFMHSACFAGSPARKSAFENM LQLVQKYVPWEEDSEEWQRIRCSVASLGHCVGQVD PFICI_12914 MLLNSAFRAALLLASSLPSAFGMAIPYNIAGLKSRALSTSPASV QTFESTNNAYPRVTALSDGTLLLGYAHNDGTTRALDILQSTDGGASFKPYGSVASRTD NADLDNIFLLEVGSTSPPTVLAAYRNHDKDSSGVYTYFRITVSKSTDGGKTWAFAGQA TEFTAASTGGWGVWEPFMRIGSDGKVQLDFSKELAANNQQTYRTLSSNQGSSWSSPVN LLTHASNVNLRDGMVGIAKVTDQQDGRAALVIVFETTTRGDGIFNIAYAVSYDDGASY SSAGVVYMPSGTRQAGAPQIANKGTNGVAVVFMTDEGLSDQNWPTVAQIKTVTSDELR GGKLTWSSPQLVSSGSTYSHWPGVLSFSGKILSVYDQGGAIVAKYLSY PFICI_12915 MYLSAFLSLALAAAGSVALPATSSTFTKTKVFEKPANVPSRWAK QDNVVAGLNKGNTTLELRIQLTSQNMDKFHDVALNIATPGHELYGKHLSQAEIDEMIA PKDESKSLVLDWLSQSGLADKSSVSSRGNAVIVKASISEIEQLLGADYNSYTNSETGE QIVRTLEYSLPESLDGHVKLVQPTNFFGFRSFLSTDEVEPEATAITPAVLSTLYNFAS STDTQSNGRMGIAGFLEQWPSKSDLQTFLNRLAIFDNTDETYTCALINGGTCPASPGS SVGVEANLDVQYARAITKDIPNVFYSTGGRPPHEGGGTNTNEPYLEFLEYLQGLDDAD LPNTVSISYGDDESTVPLSYADTVCDLFSQIGARGVSITASSGDSSVGTTCKTADGSV GFTTAFPAACPWITSVGGTSGTPEQAWTSGGAGFSEIFGQPSYQSAAVEAWLSSNDDN VDKYFNASGRAYPDVAAQATAVRIVVSGSTTSVSGTSCSSPIFASIIQLINSARLADG KAALGFLNPWLYSNASSAFNDIASGSTTGCRGVITGGAGFSAASGWDPATGWGTPNFA KLKAISDST PFICI_12916 MYTLTQKSFAAMFSGLALATTSTSHGQRDAYDYVIVGGGTAGAT LASRLSLGLPDKSILLIEAGHSALDEPKINIPGLHGSTIGGPYDWNMTTLPQKSMNDR VLPLPRGRVLGGTSALNYMLWNRASSADYDAWEELGNDGWNWESMSQYMTKSEDFTNN NLTEAGSEVRGDEGPIHTTIGRYMPQHRFMWRDSLENLGIQRNIDSLGGYPCGVSFQP GSVDAKTWARSYSANAYLPLAGSNLEVLLDTRVARINLEKSSDNCVNAAGVVLEDGTI IPATEEVILSAGTLQSPGLLELSGIGGKEVLDSAGIEQVIDLPGVGENLQDHVAIPYV FKLKDGLTSSDKLKFNTTFAAEQLALWKNQEFTIYDELLDAVSLLNYKQAFGNDSDSA LLRLAQDEIGQSSNILDQKKLELLANDNVAKVEMVFVDEYLGAKSYPIPTSLDYGSNY VTMVTALMHNLNRGSVHITSSNISIHPAIDPNHLTHEHDIQVLVELGKFARKVAQTEP LKSVLAGEYEPGPAVGGSDESWRGFAQETAISFFHLASTCAMLPRDQGGVVDPELRVY GTRNLRVVDASIMPLLVPAHTQATTYGIAEKAAVLIIEGAKQRRWENELI PFICI_12917 MLQARWANAQTALSIEWSSFSAKYGPFSRVPASVKGTQIPLKHL LYMSSVAAKVEPAYTPDHGALAYLHPWLSMVNSGAVLETQRASSVRTGHSEKPKGLEQ PDVSAETERPDASARTDQPKRPAGIDSDDDGSNTPPPKRHTASRKIAATKTLGGPLTS APSIIRDSQDLGSSPHSPVIKSGLRPLPCPAPPLMPSPSPWQAEFKTGASAMADALGQ LMVQSATAAAGPPIAPLVASIDAQVAQLPEQFARSQSAIAKLNTKANRADAQIKEVKE TLDNFAKRQEEMLVSLRDLYQCVRDVERQNGRTHDLLDRLLDRRTEHYKDDEDGDYRR AQVDREGLVGAPRFTRDVRDKQ PFICI_12918 MSIDSEPPPSYSDVVAIKQAFAHLDRARGGYSFPERFSIWPNSH DSAVKWLLGESTRHRPLYAISRREQRSGNKPDVILHNGISLKDHSLASFRSFQPKTWS VRLPSHAEPNASLIVSAPSDWRAQKRPLLRFSIETDVHNRLEEFEWRSSNNENIRASL GGDSLGLLGWKLVRIVQDDLTRQIPGPRGAWPRTKNGAEIVAVFTNSETALSEWRFAF LGTATTAALGSNWQLMAISTALILLDTALRVERKAA PFICI_12919 MEMAKASTQPSGKDAPGTETKVPHLRLVFSQKCISPEVIDHPFP GSGTEIDPYMVDWLPNDPRNPHEIATGMKWLITMIMAFGTLSISMSSSIFSGALPQIG EDFDVSRQLSVASISLFVLGFAVGPMSWAPLSEIYGRQIIYAITFTLATVFGGASIAS KNIATLLVLRFFTGVCGSSAIVNAAGVISDMFEAKDRGLAVMVYTSAPFLGPSLGPIC GGFLAQSSGWRWVDGLTVIFTGVMLILGLVLVPETYGPYLLMQRAKYLSKFHGKVCIS KLEAGKPNETAGTVLRNAIARPWAILFLEPIVTLLSIYSAIVYGILYLIFTAFPIIFQ GQRHWSQGVAGLSYVGVMVGQILAMIIYAFMETSNQKRISKEPSRQTPEARLDPAILG GVLLPMGLFWFAWTTLTSIHWAVSIVGSTLFGIGQVLLFISLINYIIDTYTVFSASAL AGAAILRALFGAAFPLFTTNMYERLGIQWGSSVPAFLALACAPMPFFFRKFGRRLRER SKLAQEAHKTMTRMMTRGAQVVEKELVVSSDGRFQDEI PFICI_12920 MDISLDSPSPPVNMLRGHVTAESTTFTIHCHDRIFKQVTAVDDS GRTVFRCEGQSYGSSWSWRRKVYDGTGQHLFDLRHNSIDIKNGWVVETPLKEKVCSLD FTSFWTKGPAAITAKVRTQAGEDVVVRTHPQDHSALTTTLGVDGISFASITKLEDNDV VHMEGRDRSVWKVKVAPGVDLSLVLAICLCRAEMLHVWRK PFICI_12921 MPKSAPQIAGVEGRSSLDMFWNRMEWKPSGMGLPALNLPVMTVA RVVREEGTHSTKTSEVAELAKPIIMRSKEMKETLTSALRAREVEASRAEPAVAAILGS LVGLGAARCAGAEDGSGLGALEDQTGDGGRGGTDAGICGLRDQGKETSRSDLHKGNGG DGGGLAKGNHF PFICI_12922 MGRFSPPDPRKVLPSRADPEHRSRRKQLEKEHGYGYTEPLLLAA LGIGLIWNIEQQVEKREKRKEEEEKKEKEREERRRQRREDQIRDGSWRPGDERSDRGS SRYDDVRDGSSRRGRDEYSRDDPRLAYRDDPRRMDYRDHEYRGYRDEYVDYRNDVRYE DRRDGSLRRSSRRDSF PFICI_12923 MDSKQFREAAVASIDEIVKYYDTIEERPVVSTVEPGYLRKLLPD HAPEDGEAWSDIQKDVESKIVPGLTHWQSPNFLAWFPSSSSFPAMLGEMYSTAFTGAA FNWICSPAVTELETIVLDWLAKAFALPECYLSNGSTHGGGVIHGTASEAIATVMVAAR DKYLRETTPGLSGEELEDAIAIKRSKLVALGSAATHSATKKAAMITGVRYRSVPVHAK DGYKLTGESLQATIEELRAKGLEPFYLTATLGTTDTCSTDDFDSIADVLAELAPPGPG EVWVHVDAAYAGVALVCPEYQHIAAHLDRFHSFNTNLHKWLLTNFDCSVLWVRQRNWL IEALSVTLAILRNDYTDSGLVTDYRDWQIPFGRRFRSLKVWFVIRSYGIKGLQAHIRK HVGLGEKFAELVKTRSDLFEIVTGPRFALTVFKLKGKGDVTTLEEQNALTKTAHDAVN NEGKIFLSSTVVGGVFAMRHCPATPFVEEEHVVKHFEVLVAAAEKALA PFICI_12924 MSFFTVIASLMLPLAQATTKFYTNTTVPTNITSACSDALIAEVN CDVVVPALVTGFYYPNTTLIRACTSDCQDALGAYQASIEAACAADTWLAYSNETMPVA IIPEILSYHYNLTCMTDDEGRFCNNAAASYAAYLDTNATTNNIPAMGMYGDIEVTDEC DICLIKNLKFQAEHPYYDGPEIYSSSLYQSKTASCGVTDMPLTTTAANIDITTTAASS TATATSGCEGTVYSIADGDDCHSISSSMSIGTSWLLTDNDLKAKCVDFPTSGDLCLVN TCDVYTVQTNDTCSSIAKANNITQAQLKAWNPSIDAGCYNVDSMVDDQLCISNPGGTY TMPSSVSSASSASTTAAVPTDVASGTNTRCAQYYTTVEGDYCNLIIVKYSISLDNFLF LNPSVNENCTNLWADTSYCVEAVGDINTYSGMPAYVTATATYVSLVGDPATTWPTINY TTPTATATTTALPLATGTRSDCWQYFNGSKYIDKVSSGSYLASDCDLAAHVFSVTLED LGVWNPSLGNTSLSTCTFESGYQYCGQYWFGDSVTSSSDSGNNDDLTLRDGTLTEDNG CTEYFDLEDGDGYDCASILTLYDITIADFYAWNTDVKSDCSNLQTGYDYCVSITDGSE NSTSTATASVTSGVTSTASSTASTTAVTAPAPTQTGQPSDCNAWYVAQSGDGCYAIAT DNGISLDQFYEWNPAVGDDCANLWPDEAYCIGVANSSSTATTTATPTTTTTATTTSVT PPADTQSGIISTCDEYAVAEDGEGCDTFYDEYNVTREEFLEWNTAVTDCATDFWAGYA YCIGVSED PFICI_12925 MHSPFDYSVKVRRDENAPVCASSSGASLFGKRDDDYSCSESKAC SNGACCGKTQGYCGYGPDYCGTNDISPNDVCWSNCDAKAECGQYAEVEGAECTLNVCC SQYGFCGMTDEFCEVTDNKNTTCQSNCEQPGSGSSDGDVQSRIIGYYEAWNADKACVG MTLSQIPVNALTHLHFAFLYINPGDFKIVPMDGIDESLLTDFTALKSKNSGLKCIASI GGWTFSDNGTVTQPLFGEIAGDATNRATFITNLLDFMRQYAFDGVDFDWEYPGATDRG GTEDDGENFTKLLKELREAVDDEPIDYSIAFTAPTSYWYLRHFDLKKSSEYVDWINVM SYDLHGVWDSSNPIGSTVLAHTNLTEIKLALDLFWRNDIDASKINLGLGFYGRSFQLA DPSCYKPGCAFKGGANAGSCTDNSGTLAYFEIQDIIEAKGLSPYYDKTAQVKYIVWDD DQWVSYDDEDTFQAKIEFANKLGLGGLLIWSIDQDTSNYEALKAVLSPNGIDAFESEA DDASYWQEATAQDCYVTDCGGSCNTGYIQIEEQPCGSAKPVTRHSTKDPSKLCCPLTS APDPDNCQWRGTAPSCNGHCENGEVMLEMNKWGDGKYCEDGHKAYCCEASSQETENSC YWTGVAGSCASDELPLTFAGTFLEDLADIASLGGLIGQVLADFLDTADMDLRRLYCCP KDEISQWENCGWHGTPGTCYDNHCDEGTQVQLTQSDYGAGQSCLPRIERTRTFCCDPA NGASPFLPVPLEYLFPNAPDGDDIETDYDLEIDDTWGTGKDKTSTEDDPNDSTFGFWV MVSPTEIQTSLDKRDGSHWELFNCNDAVSTEEQTVQMVCMDDSADSNCYKIGLGHGVP GTILEMPRGQGCGPAKYAVAKSMVPSANQTLPHHLVKRTSGRKPMVYDLTFDYDWLRV PRDLGDTQVRVDYSNEDGYWDAIVDKAAEKRRKRSLDEVNGSHRRWLEEEWRDDVHFS SLSERELHERWFGEDVIAWLKGLLNGEIEPKYTHDYDESVTAIIVQEDWSCQPNDYTK LEASLSAKASANIKVSSTFGMTLIFTLGTSMDLSNSYVYLKTSGEVTAIFSIDAMAKA SFDSGEFSIVTVPMPGASFTIPNILTVGPKFVLNAQATADVTLAGHFETKVEIASWDF QQTYPEASSDWEPKSLESPSRDFTLDGLNEPTFNLTVTAKGQMTAHLKPTLSFGIEFD DRWGIDSAKAELLADGWVRVRAQANLAGDDASTCPFKYGIDAGASFTARASVPDNFGW TPEDKTFYSLSSNLIPGDGTDDYVCVGVDSETETKRSLSRRDPYVASGGEHELLSSHG NVTKRSVTYGPLFSIPVKGQLCPSIEATTTTACNSIKGFDDDQFNDIDYMRKRDLSPL DLDFSDIEKASGIDITGLLKRRSVELDVESDDSRSALLHDMIEDLFMNNTELLESYHE LNRRDGAAQIYDICLNDAKMTYRTAAYPESGTLYDNEDWGECSNFNLIVAQGQTAGHN YDWEHILERQIIQAFSAYYFEGQASPVAGYGSFCKYLKYFWENRNSQINGATPWSIIG QQWPNTAAGTGGEMVQTEQEINLAKARAFKYDVAINAVDTMKKWVSSYDEVERVIKNL KDVILMVKYMSVANVNNIYNTQGRRVATAFGTVEQGIAANWANTATPYTVLGLDQTFL VFMRDYTTQVSSKIDDYLQFWSGQLQVFYDTEIGAGGGSTQDEQNILVKIEDVRAEID TLTAATPLFNNPF PFICI_12926 MSMDKLSPEIVSLVVSHFLAKPQSVAPILLSRPLLGRLPLGRAP TKPQRGPYTLSRTWQRAVETHTFASIRLKSTELSEFAAIFSDVRRQRLLRHLTFVVCL PTHGDSREDHATNVAAFTDALKDLLGLLAQWDQNAAGGEALPDLKLWLWFAYDIRSED GPVDHHFNAEKSSAARRYLDGNFDGFPLVQRISSFKIDVSLGKAPHPGTICRIAGLFP RLQELDIEYRDPAIKRREMRREHRLALAAGLKDMCSLLGLTKLHVRRQGGWDPRNHSF TCQDLEDEEHIDPLCESIRQVAEQGRLTDLKLNNVLVSPDLFRNRRSGAVSEDRPMPA LRRLHIEDGIISPSGKWYYTGNPNAVEAESSRNSAEPDDEDDELSDSDSEISNDEDDT DRDVIVNGERPVHMWRTRPDPETFDPLIADMATALQRMPALERACLDIGGNLEGSVAV IIQCVQRGQGFFMPPDRIQDTEAAKQVARCKAWVGAATEWEVPREATAAWKAWVGEEG QTNVARWG PFICI_12927 MSSEPKYTMLLFFKRNPSLSPSEFKAYYEANHVPLVLEVAKEAK GLITYTRRYLDHAASDPSLGNPFTVFGDSPPATVPYDMVNEVTFATRADAVEFSRIMY KVEENRARVLDDENKLFVEDQMRGMIVETITS PFICI_12928 MEVVNDIPTAPTSKPGSGWSTPVSQDSSISSDQVARDDVAIIGM ACRTAGGNDTPEKLWDFLMDKKDASGDNPSWRWEPWVKRDPRNAKVIEKTISKGYFIP DLENFDASFFSISPKEAEQMDPHQRLGLEVTWEALEDAGLDPKSLSGSDTAVYMGVDS DDYSRLLLEDIPNIEAWMGIGTTAHGIPNRISYHFDLMGPSVAVDAACASSLCAVHAG RQAVLNGESKVAIVGGVNVCLSPALFHMLGAAGALSPDGVCLSFDDDAHGYARGEGAA VLILKRLSDAIVDGDRVLATLKGTAIAQDGKTNGIMAPNAKAQELVARKALKVADVEP LSVGYVEAHATSTSLGDPTEISAISAVYGAGRPRDAPVHVGSIKPNVGHLEAAAGAIS LVKAVMAVRRGEIPPQARLKKLNSRVDWDKSGLQIVREKVVWDESNGPRRAAVCSYGY GGTVSHAIIEQSPLPVAVSQNRRSDTPTLLVLSSPQEKRLTIQSAVQAEWISDKGRAQ GLDTIASTLALRRAHHDHRAAFVVSNHDEASEALLSFTKGMTRDWSAQGRTFASGPSK DVVWVFSGHGAQWGDMGKELLLDPVFYQTVSPLDDIVLQELGYSAIETLEAGNFQDSD VIQVLTYLVQVGLSEVLKSRGVQPRAVIGHSVGEIAASVVVGCLTPSEGALIVTRRAR LYAQVKGLGGMALVNLPFAEVAAELGDREDLVAAIDSSPNSCVVSGAIAALAEYEEKL KQRNIRSFKVKTDIAFHSPMLKTLSASLETALSKVLKPQLPVIKLYSTSRKDPRSQVA RDADYWINNMVHPVWLTSAVNAAVDDGHRVFLEVSSHPIVSHSINETLMERDLKEFAI IPTMKKSQPTEKSILHAIAQLYVAGAQVNFSAFIGSQWCAEVPGFVWSHKPFWKDVST GWSGDEGIHDIDKHTLLGRRIVIAGSSTTLYTTRVTEATKPFPRAHKLHGTDIVPAAV YLNTFFHATSAAVIYDMTLRTPLAITDAPRNIQVIADGENVKIASRLGSSDDKSWVTH SAARWGVDPLEQTASPANLDAIKKRIGKVLPNNFSIDYLTRVGVAGIAFPWAVTEHYG NSREMLARVDADPDSATVSWHSSSWAPIFDAASSVGATIFSDDAKLRIVSKVGKAMLY SSRPAPKICYLYVVETEAAVNDSLSRSADVSILSVEGELLSKFEGLTLTEVDPVPRIS QGIEGLVHQLAWAPPRLSEKPLVFDQVVLISEDGKLHDRYKMDLEGQFPKVIGFNSAQ ELKQEAAYKLLHGKTSAVVYCPGSIGSAGDIAGSARKFIWDAATAIKAIVHNSLAVKF FIVTDGVFAAGSAAALAQGPLYGLARVVALEHSDIWGGLIDNEGPAFPLLPFKYVQGH DIIRFVDGLPRVARMRPFSKYQLLPASSNKTLLPKSEGTYVITGGLGVLGLETCDWLI EKGARRIVIVSRRALPPRSQWTGAAEKILPILRRIQAMERLGASIHVVSLDIGADDAH DQLLASLERLSLPPVLGVIHASGVLEDSLLCDTTADSFARVLSPKISGALALHRAFPP AAGLDFFVLFSSIGQLVGTSGQSSYGAGNAFLDTLAAHRRGQGDNAIAFQWTAWRNLG MGTSDFLALELESKGITDITRSEGFQAWEHMSKYNVDQVVVTRCRTLDAVEPIPCPLL EDIAVRIPIARTSASSSMTPVVKAGEGGGGSKASSGDARPTSTVELKAWLNVRLRECL ASVLKIDDIEEIDDRVALTDIGVDSVMTIVLRQKLQSVLKIKVPQTLTWNYPTVVAMV DWFLKQFQDEAA PFICI_12929 MTFAIGNSTNQPTEVELSSSLSLTTIAAVTATSSSHYPVTTEAW ATYPAPQGASPIHYGDSLAMPRTGSAGYPSDTEWSSVRNSFSHSPASGFDIPMHDSGM GSHGYSTPYSYPYHGEFEAAASPFLDAAGAGNANHYRRSSKQMESSASTRTRRRYPLS PPPPTTTRSATSSKLRSASRASKNNHLNPPATEEERKNRASHNQVEKQYRNRLNAHFE ALLNTLPQYMRGGEDEEDDDGDRKISKAEVLEMARRHIVTLERELISMEEERDELKEN VERLRWAMARPDKDYGKGMGPTGPV PFICI_12930 MPVIPDFENLDPGWTLVAGDRKEDRSNLGEWSSLIGIITAIVGN ILIALALNVQRYTHLQLHKKKLEARQQAREAERRFQHGQRNSNGGTNSHASNNTQNNS ATDDINDASETQPLTQSYQPGDSSLSNGDRSREAKSSNYLQSPSWWAGQILMTIGEMG NFLAYGFAPASIVSPLGVVALVSNCIIAPLFFGEIFRKRDFWGVVIAVAGAVVVVLSA NQEETKLEPHDVWDAISTLAFEIYMAVSISLIVVLMWLSPKYGNRTILIDLGLVGLFG AYTALSTKGVSSMLSSTLWRAFTTPVTYVLLLILLGTAVMQVRYVNKALQRFDSTQVI PIQFVMFTLSVIIGSAVLYRDFERTNAHQAIKFVGGCLLTFFAVFLITSGRPRQDNDD DCLSDEEGIEETIGLSDQEANTGEGAKPNDERRQSVTRSSRRSSRVSFAARPQTIQHD SGVPSPRKPDAAGARAPITVKGTPTEASPLLTGPWDHHPHPGLPSAFSDESVVTIHSM TSTNTDPLPVDTTLPPQVIAPPSPSGRPRTPRPSFSSSRPHSHHLSNAIISPSPLSST VSAVVGDGLLRDEDGVLIHKRSIRRLRPGFRSSLFVPYSDTEEIPEGDPLIRPATEEL PAADGETSNQGNQSLRTRARSLSNTLGGLLSRKRHRRNTNPEAGLSRSHESTDEVDRA DAPLNGR PFICI_12931 MSDEEDIQVEMPELAEDDPMRAFLPASFGKKSRETDIAAQLDRS KRTSDKPAHTEAQTAQKTSTSGSDSASNSDDDSDDSDDDEDEYPTSHEMVIKTHDRAV TTVSLDPAGGRLASGSIDCTIKLHDFSAMTPTTIRAFRSIDPYQSKPSSAGSEAHPLH HVEFNPLAGGVVLCISAHPQAKIMSRDGDIVTEFVKGDMYLRDMHNTKGHVSEITTGT WHPTDKNICVTAGTDSTLRIWDINNKRSQKEVLVYKSKTAGNAGRTRMTAVAWGTPVQ GGNNVLLSAALDGSLVMWSGNGPFTRPAAEIRDAHKPNTWTGGIDISSDGRMVVTRGG DNTIKLWDTRKFKEPLVSVEHPSTSDHYPMTNIKYSPNSTSILTGSATGHLHILNPGN LQAEYTTPITPGSQLITVDWHPKINQIVTGSANGETHVLYNPAMSVRGAIDVMSRAPK RRHIDDNPEFTMDQTLGLSGDSIVTPGAMPGSRKAGVTATGRSKDPRRPQVQQITPFM RSQPDEKHISENIPLSRMLHEDPREALLKYEKLAREDPMFTKAYGSTQPVTQYADLSD EEEDGPDKKKVKR PFICI_12932 MASVQAAPAVQHAVPQSMSQLNGQQIKEMYMRFKQMQEAGVSRT DPEFQKLNQFLQSVQHQQQMQNAYRAQLQQKQAVIANGQLNGTSQPPASASLGASALP SATNPAAQPHASSPSTASPTAQFNQQQLNLLKQQIHAFKLLQKNTGVPYQLQQALNSQ RQRRQAIAEPSAQPPASVAATPTNDATKAGSAAPDGVPESDDSAATNSRTYKTVKTPY EAGLVKKDISYYEHGQRSNRLIIPSITPTGVDFEQIRHEREIIVFNRMKARYTELKAV PGNLAHWDTTKDTVEADDTIRRKAIIEFKALGLYAKQRALREKVGRQMMHFDNLAMTT NRSQYRRVKKQSVREARITEKLEKQQRDAREHREKKKHTDFLDSVRHHKAEIHNSAQA ARSKMSKMGRAMFAQHFNIEKEEQKRIERTAKQRLQALKANDEEAYLKLLDQAKDTRI THLLRQTDGFLKQLAASVKAQQRQAAQLQNGEEIESSESEMEEEEDEESGRKIDYYAV AHRIKEEVTQQASILVGGSLKEYQLKGLQWMLSLYNNNLNGILADEMGLGKTIQTISL VTYLIEKKLQNGPYLVIVPLSTLTNWTLEFEKWAPAVTKIVYKGPPNARKQQQEKIRQ GRFQVLLTTYEYIIKDRPILSKIKWFHMIIDEGHRMKNANSKLSATIAQYYSTRFRLI LTGTPLQNNLGELWAMLNFVLPNIFKSVKTFDEWFNTPFANTGGQDKMELNEEEQILV IRRLHKVLRPFLLRRLKKDVEKDLPDKTEKVIKCKFSALQTRLYKQMVTHQKIAVSDG KGGKANARGLSNMIMQLRKLCNHPFVFDEVENQMNPTNTSNDLLWRTAGKFELLDRVL PKYKASGHRVLMFFQMTAIMDIMEDFLRFRGIQFMRLDGTTKSEDRSDLLKDFNAPDS PYFMFLLSTRAGGLGLNLQTADTVIIYDSDWNPHQDLQAQDRAHRIGQKNEVRILRLI SSNSVEEKILERARYKLDMDGKVIQAGRFDNKSSETDRDAMLRTLLESADMAEAGEQD EMDDEELNMILARNEDELALFQRLDEERSKDPIYGTVAGNKAVPRLMAENELPEIYLS EGNPVEDIQEEVLGRGARERTRVKYDDGLTEEQWLMAVDDDEDSPEAAAARKQARKDR REANRVKRAGGVAASDANSPAPSRASTEEVETPPKKRGRKPGSKNDKRKADEEDAEPP AKKRRGPGGRPKAVAVNGNDARLPGEVRAVLQRSLRSLYDGLMALEADDPEPEEKQED DDDEEPLKRLIIGPFVKLPSKRDYGDYYQFIKNPICMNQIQAKIKKEEYNSLDDMRKD ITLMCNNCRTYNDDGSLLYADANVMEKFFNDKAQQVLSAHPELAELEDPSVKESSAAP TTNAGTPQPVAAPTRIKLVTNGASQANGGPSGPQSEGE PFICI_12933 MLFSLRTSLSVLCAVLATEQATAAELSGHAKYLFDESMNFLDNI YDPTAGYLNYFYYPLAANRHETRSSIWYAAGLLQRNEGTDADEAIKIITNIIGGQNKN VSAQWYGDYTKYPEEPTVGSPAYEPVIYNSWDPNWRGFIGTTLIVIYEEFSNILPDDV QDLILESMYNNTVGDSYRVGGVDGDNLYPSYSNPSLMRAVASGWTGRKFNDANMTAAG EMYANEILDLFNPNNTLSEFNSPTYAGVSIYALTLWAKYLPDDSVMGQNGKRLLQEIW ATEAALYNANMRNLAGPWDRAYGYDMKNYVAIVSLQIDTLVGRAQSPLSSRPWAMAHA DDYEYAPLIALLAPYHNSLLPNETVSSFVTFPGEHTYTTAAFSPPTDTYPRNYTTWLS ANLTIGGQSFDEDAVGGPRYDSSQWTPAAVQWLRSDGSVGFFTWYATEAAFTASVAPN ALNLTWPHGNASSAFSFLVQSNPLGGKRDITGLEDIQDLSITAVSGTVDLEPTISFCG LAGGTCDPIHGFEFWNFTFTLPANSSEVPSLNFAIELLN PFICI_12934 MASSTQESAEKEINATKADANHLEEAGTSQDVDLKSIDRKIDRK FDLHIVPWVFGIWLFAFIDRSNIGNARIDGLVEDLGIAQGTGYNLALLVFYIPYILVD VPAVVVQSNWIVKRLKAGYYLPALITAWGIVSTFLGFTKSLAGLIVARLFLGAFEGGL LGGIVVYLAMFYQRHQMLRRIGYFYCAAPLSGAFGGLLATGLAQISFNGYNRWPWIFF IEGIITTLFGILCFFTMPHTPMDAKFLSDEERQRALSRLQLDSHGAVKSGDVNEEHFD WHWVRMAFKAPQLWFCCFIWFFLLISLYSFSLFLPTIIRGLGYQNTIAQLFTVPPNMA AFFIVVGASFLSDHVRARGPIMAVGCLVAIGGYIMLLAAKQNSVRYGGTFLVAVGVFP NSAMIMGWLSNNLAPHYVRATGIGFLIAFANCSAFVATFIYLEKDA PFICI_12935 MGARHLRSTSFASRYLISTQAVSLQRTRRPGFFMGRPQNPAWSA LSNGCSRLTMLARHLATSSQQKQTDDDELPLKGILVVSLEQAIAAPFCTRQLADLGAR VIKVERPVVGDFARDYDSRVNGMSSHFVWSNRSKESLALNLKDPKDLSALRKLIAKAD VLVQNLAPGATDRLGLSYEKLREKHPSLIVCDVSGYGDSGPYRDKKAYDLLVQSEAGM LSVTGTDQEPAKVGISIADISAAMYAYTNILGALMKRNKTGKGCRIDISMLESMAEWM TFPLYYTYQGQPGPKPVGASHAAIYPYGPFDTGGRGSVMLGVQNEREWARLCAEVLDD PTLATDVRFASNLKRVENRDALKKIMLDKFASLSADEVIARLDAAGVANAKVNDMAGV WEHPQLKARGRWTEIETPAGRIPALLPPGAARPSQVRMDQVPSIGQHNRAILQELGID NSN PFICI_12936 MAQWLSPCKSAITAALRPKIIHSHGFSTTTSRRIMETTGFTENQ LTVREAVSAICSQFPNTYWQEKDQLEQDPKEFHAALAKDGWLGIALPEEHGGSGLGIS EATMMMQTITESGAGMAGAQSIHANVYATQPLARFGNREQLENTIPNIISGKWRTCFG VTEPNTGLDTLRLKTTATKLGDGGYSVTGQKIWITCAQVARKMMLLARTTPLEEVQKP SEGLSLFCIDLDRDAAGLDMRKIKKMGGRAVDANEVFFDGYRIGPETLIGAENQGFKI ILHGMNAERCLLAGEALGLGYAALAKAAAYARERVVFQRPIGMNQSIAHPLADAYMKL EAAKLATYHAARLYDSSRSDKTIRQDAVGVAANSAKYLAAEAAFTACERAVMTHGGMG YAMEYDVERYLRECLVPRIAPVSREMIMNYISEKVLQLPRSY PFICI_12937 MADDKRDTRSTPATKAQDRVGQISSHLSASSSSTATRGDSVESA QKANSSRRRRRKSAENGPPADYSDILSQISTLRTMAATPEPTRPGYARQKQAGKLWVR ERVEQMFDRGSVREIGSVSGTVEWRTKKRDASEDAKDTSSTRPDAEDPESFVPSNNVQ GLALVGGRKVCFTADDYSLRAGHADGALWEKTIYMEKLCFHLRIPIVKLVDGSSGGGS VTSIRTNGYSYVPPLNSFDVVVAQLNAGIPNLGAVLGPAIGLGAARVVSCHFSVMAGD IGSLFNAGPKVVAGATFEEGLSLQDLGGPDMHVRNGTIDNYAANEKDAFRQLRTVLSY LPNNGASLPPCLTSDDPRSRTDELLRSIIPRKKERMYDPRKIISTIVDKSSFFEIGAL WGTTAIVGLARLGGQPVGIIANNCEVNAGALDAAGSQKMAKHLKFCDVFNLPVVQFVD IPGYAIGTVAERTATMRHGVSLVTAYYSTTMPIFNVILRKVYGVAGGAMMDCRDPRMR VAWPSGDWGSLPLDGGIEVGHSAELKRAYQKGVQEGGEEEGNRQKQALYNDLEREYRR FMNPVRTANAFGIEEIIDPANTRPLLCQWVSHVYEELLPIRLRERDTGKICPRFS PFICI_12938 MSQSAGGPPGRRITRLLIANRGEIATRIVSAARELRIETVTIYT PSDLNHTFNSTRSVQLSSPASYLNIAELVRIAKEQHVDAVHPGYGFLSEDADFSRRMW EEAGIHVVGPGWRILERTGDKLAAKALAREAGVPVLEATDRPVELEGARAFMQRLGSS VPVMLKAVDGGGGRGIRIVRHADDLHRLARLAMAESPSKQVFVERAAVDGFRHVEIQI IGDGTGSVRHLWERECSIQRRYQKIVEIAPSTVTDRTLVSRIIEAALKMAARIRYLSL GTFEFLANPKTGEFFFLEINPRLQVEHTITEAITDVDIVQTQLRIAQGARLDDPDVGF PLPAPTAGESPPRRHAIQLRVTAENVDAGWSLSVGKIPNFHFPSGNGIRVDTSLIGGH PSVITSDFDSLIAKIIVSAGTWDAVLRKARRALEDVRISGIKTNLDVLRGVIASAAFQ SGNCDTEWLEANMPELLRTGRQITATADTSGVFDSINSTLETSGTSLGGMSSSTVLFR KGDAWKIELTPKSGSSGGNDQSATHHLKFDRVLRNEFPSLLAADISYSSPSYPEAQAF GLTLTSTQASSSSTLSGSKHRKGVASDPRHVVIPFPGRLVEVLVDEGDEVRQGDVIAV IQQMKMELDIRSPSHGRVTWLTEAEDGEDVAEGTLVAELELSSGRAKL PFICI_12939 MLFLRNPRLAVAPLLVLLSGGRDAHADSSPAATSTTADATKTRL SAPTVQPTCESRTVNYITHTLPQQCLTSPPTVSPTSSESNVIVSTTASAAGGATAGDA AASVPAEEHELDSDGNDLSTGAFMSFEEWKEMMLKRSGQDAFEDRPRKSHDGRGDVPG QDFGSMGEEGEISLDFDAYSDKISEITSAGKPSPEEVPREDAVEKVTYDENPIQIYRS KDAGKTCKERFSYSSFDAGATVLKTSPGAKNPKAILVENKDSYMLMECGTKNKFFIVE LSDDVRVDTVVLANFEFFSSMVRQFRVSVSDRYPVKLDKWKVLGEFTARNSRDIQPFL VEHPQIWARYIRVEVLSHYGKEFYCPLSLLRVHGTRMLDSWRETDLNDAESELEEEED LAKSIETPDDSIELVETIEIVVDDHEVEEAVLVENKTTETLQSDVNPSYWDPSYFHYR FVPDSTCGPDEQPPKPSQTSPGKEQDHQAAPAMPKAAATAEESGKTTSSPINGESSSG TTATETTTTQAHVASGTTHERPGTAPTNVTSAPTNATIPTLTSESSQIIAGKSSSDAS SKSTTTHVTHKVRPSASTSLKHPSSKTPTPKVVTGTTPRNKTATATSSSGSASPTVQD SFFKHVTKRLQNLETNTTLSMQYIESQSRFLQEALAKLERRHALKVDLFLDSLNKTVM TELRELRSQYDQHWQSTIIALESQREKQENDNIALSTRVGLLADEMVFQKRMAIVQSV LLLGCIMLVIFSRGITHAGLELYYPTHLQESFSRFASPLSPGGRRAAQHNQSSVRESV EDTDAAAANRGLVSDSDTNNTPPRPRVGRNPTSELRPSAQHHLAVPKTRRPSPTRTVS ETTGMAFYQPPTPASLDAGYDSEPSQMPSSPKPDYFSSRQDEEYADNIESNIENTQVG TTPSLSDNDQSSRLSTPALEADEMVDESELQSRSTTRIPMSSAAGIKPLPALPEDSD PFICI_12940 MSIPQGRDGVFNPTSPHSSLGLADSFRGTPDTRLTAFSPEENSV KSLRAPHSAGSGARESEPVKFRFGTPQSLNLPLREPRFSRDNQIERDPFVSFGTQGIV TNWKLSPTASSFDPSSTILSTRASDPEFTYAKDATSETAKSAEKSSVNKHVDVFVHKE LSTDLGLSRCVKLVPIVDGSRIQLSDVEHYISSLGNCGLHLKSSKQMHSAGDKVYVRF PNIRDACLFYQNAQLGGRDWMINYVHPREFSQTIELTSGLITAHEGQLTLAVFAPPSL QGVDATRFVNFMQEVLREQGDLFAFKVNSQGVEGSIMSAIVEYCDNDVSLRATSALNG QVVKGFQICIALHRPDIPSMSTRSHDIMTPSRTADTSLDNVLSGFQNLSLTTRPSSSA SSMQPGFLTTPTRSPSATGYQMQPAFGMAPVVYHGMPLSPPYILDPASPRVHTMIPAS NYSWVGPLYPQQSAMVSPDVLVPRQFGSQRADSRRQNAVRVHRSPFQHHNITGQHNHV DVDRIREGIDVRTTIMLRNIPNKVDQAMLKNIVDESSWGKYDFMYLRIDFNNNCNVGY AFINFVDPLDIIDFVNKRGNQRWNCFKSDKVAEISYATIQGKDCLVQKFRNSSVMLEV PQYRPKLYYTINGPMPELAGQEEPFPEPDNQSKMKRSCENAEHVGLFTPNAGQHYRDE QRRRRSQFDRGTRLAAMEEYEYPPLPLHSYSPQ PFICI_12941 MPRRPQNYDDYGTAVQQIILSTSDADFLDQLIPVLKDAGATSRT SYLTQSLTQYAEDREGDIERIGLTKHEEFLASVNQLQNVREGTVALTAEILKLNQSIQ SSTEKLAEQKQALVNTRAVRENISDASDALKESLKILHAINHALDLIRKKQYYGALKS LEDTQNEFLIPILQNKYANQHKLADIIQKSIPASQKSISEAVMTDLNTWLFRIRETSQ FLGEVAFWHTEMRRTKQRERVERDEFLGHFKLNSAIELVFDESEEFDVLDNEELQVDF TPLFEALHIHNALGQNERFRSDYAATRRRQKELLIPSSVSLTSDDESSLSSLLEGMAG FAIIEKATMTRVPQLRSTIDVEELWDSMCSSAITLTAKALSEVNNAETLLKIKGMFAL FIQTMETWGYSVSRLDEFLVNLFDKYAELLKRRFSEDFQEIVSTDDYMPMAINSLEEY EKVINVSWFSPEKEISELTFPCVLPFSQMYPLCCIDIRNFLNQFYFFSDDHFQHPTKI DETLRKSLDALLTEKVCQSLVERLSSQYLGQIVQILINLEHFETACTELEQLLIRARS TSSAGGPLKLKATEQFRENKKTAEKRIFELVNSKIDDLVETAEYEWMTSATPEEPSNY MQTLTRYLSNIMNSTLLGLPREIKELIYFDALSHAANKILALPLSPDVKKINHNGVAA LALDVKHLTDFVDGLENGFMLRSNLDELEQTILLLQSDNTDEFFDVSTRNKKYGRVDA MNGPILLEKYVV PFICI_12942 MDPHNQSSASHPQGSRTQNAVYDTTHGGHYGASAALASSGFAPA ELYTGPWANVHQGLHGQYKDILTTYWQQTINHLESDTHDYKLHQLPLARIKKVMKADP EVKMISAEAPILFAKGCDIFITELTMRAWIHAEENKRRTLQRSDIASALAKSDMFDFL IDIVPREEASHAKRANPAQAQASQGGAAVPGGAQPNLGPGGQHNMPPPPNHMATGYDM SGHPMGVPDQDYRNPPPIYNQAQPGQQPYGQAPGIYGEMNEMYTYPGMQPPQ PFICI_12943 MATAVDLSDGDIERLLQEAEARLSARENGQNNKSLTAPATLAVE KTNDTTAVEAIASAEEQPSTTKKDELSLREPKVKLSNKAFAEKTKATAGPAWFNLPKT DLTPEMVRDLKLLKMRSTLDPKRFYKKDSRGAIPEFSQVGTVVAGPTEYFSARMTKKE RKQTLLHEVMETEAANKKFKSKYGEIQKAKTSGKKGHYKKMTQKRYGKK PFICI_12944 MPSATGSAPPQEPASSPTATTGKEMPTKSELYDIAAKSLQTGGF TGIVGSFVGLGTGIMRNMPPALFAAFAGLQWFTLGSSFVASRSLLQHAWGGEDKLGPW DRVAASTAAGGVSGMVGGLLRGPSNIIPGILFFSTLGGSATYLSQKFSSRERSEKTSI LASKWSPLKKLTDQEYEKLLEEKILKLDAEIAIIDDNIAALKASTQSSNQAQQGTSQR AK PFICI_12945 MSLGAFLQDDNYGGSWADEVEEVVGSQPLPPATHGRSGPSGYSS YGGFERSERSYAPRDSFPTELPTRPPYTAHLGNLSYDATTETVTEFLEGCDVTSVRII EDRIEQRPKGFAYAEFKDVEGLKQALTLDGQTFQGRSIRIKIADPPKDRNDRADREGS ARDLSSWDRKGPLPDLPGRNDRRDFSERRGPPRDGPIDDGKSRDFGNWERRGPLSPLP QAEGSASRESSRPRNNDARRTDSFRGDRRTSPATWGEGRQEGSRPPRGEFRERPERPE RPERVPTAAELDNQWRSNMRPDRQTPEQSAAPSEAPSPAGPAGRPRLNLAKRTVSEAP GVASPPPNSAADSKSNPFGAARPIDTATKEREIAEKQEQNAREKKEAEEQAKEERRLA KEAAAKEAEAQAEAAAKAAEEKANAPAAEVQDAASETQDAAGAASGEQKIPSRPREQV QNPRSRAAEAGNWRSASGETRTARGGYQNVPRGGRGESRGGRGDRGGRGGRFEGGRPP RANGNAPAPAAAQQETPATPTTPSAPEAPADPEGWTTVPAKGRRNQSTRA PFICI_12946 MHNLNELGPKVVPDIQVDLVAPVTLENHAFLHSDRFAGIGYLDN KHLGIALGVALLVFVLALRCLWNFSKRAEQRRMQQVQLQAAAEARRARAWLGQNKRRR LNHRF PFICI_12947 MPTVAVDKYDLFEALGQKCANSLSPLSPGTPLNRTSHRYTTEEF EELCFEFGIELDEDTENDDRPVVNGVQEPPQLKIEIPANRYDMLCFEGIALMLNIFRG KQPAPNFRLTEPAGGNIETITVQEDTTKVRPLVAGAILRNVRFTQKSYESFIALQDKL HQNLARGRTLVAIGTHDLDTIKGPFTYEALSPKDIEFIPLNQTKKMNGEQLMEFYEKD RNLGKYLHIIKDKPVYPVIYDANRTVCSLPPIINGDHSKITLDSKNVFIECTATDATK LDIVLNMMVTMFSTYCGDQYAIEPVKIVSEHNSQTRITPNLLARSTEVEIDYLNSCLG IQESAENISKLLAKMSFSAKPSSKAGFVEVSIPPTRADILQRCDIMEDLGIAYGFNKL PRMSVTRTVGAPLSINKLADIIRFECGMAGWSEVMPLILCSHDENFAWLNRKDDSNTA VRLANPKTAEYQIVRTSLIPGLLKTIRENKKHSVPIRIFETSDVVFKDETVERKARNE RHFSAAWYGKTSGFEEVHGLLDRVMLMLRQGFLTREEGLKSSKSLDFQVTEDHTQRDG YWLEPIDEDTFFKGRAAAIYLRLGGKALRIGEFGILHPSVLEKFDLKYPVSTVEINLQ VFL PFICI_12948 MADAPTASDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALG AERTRDELIPFLDESVEDEDEVLVALSEELGSFIEYVGGPAWGHVLLSPLENLAAIEE PVVRDKAVESLNKICTELSSQQVEEYFIPLTIRLSKADWFTSKVSGCGLYTAPYSKVS PAVQEQLRQQFGLLVHDETPMVRRQSATHLSKFVKEMPATIVVEEMIPLFQHLAQDDQ DSVRLLTVEILIAIAEVVPKEQQASHGVLLTTLRNLIEDKSWRVRYMIADRFEKIAKA VDEEVVSRDLVPAFTKLLKDNEAEVRTAIAGQIPGFCALVDRTVLLNDIMSNVEDLVS DTSQHVRAALGTQISGLAPILGKQETIDHLLPMFLQMLKDEFPEVRLHIISKLELVNQ VIGIDLLSQSLLPAITQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEKLSGLCMGWL GDTVFSIREAATHNLKKLTEVFGVEWASEAIIPKVMAMGSHPNYLYRMTTTFAITTLS TVVSLEVIDKSILPMLEKLVEDDIPNIRFNVAKTYGALVDTLKRLPETGTIYELEKAG NKDLTAAPKSLELINERVLPSLEKLQKDDDVDVRYFATTAAAQAPGASTGGDPMNTSP PFICI_12949 MADQENSAATTAKPSHLEPSELGTREYWDELYTREISNHTANPS DTGTNWFDDSGAQDKVLEFLATHLLSSSSSSSDHPTEAKKRTRTETSFLDLGTGNGEL LFGLRDEGWAGRMLGVDYSERSVEFARRINEVKQAEYHDEEEEEKEEDEEDDEDEDEP NPSRQPVEFEQLDILSPPPAGSAVLSGAQNSGWDVVLDKGTFDAISLSSATDSRGRRI NEGYRASALRLVRNGGLFLITSCNWTEDELVQWFADKTAFDEEEDQDLRGWTFEVVDR LKYRVFTFGGVKGQTISSMCFRKVAR PFICI_12950 MPSFGRIGRLSNRSQHNLVEQQQQQQQQANALPSLLTSTSSSGF GVGAAGPGSASSASASANTPPSASSTALSSSEPIAFQGDNTTTSSRALTAAAQQQAQQ SKQQYQQQQVLLQQQQQQQQAQQQVQLQQQQQFQQQIPPQQHRLQEQRPSSIVQNQQV SPKHQQQPGQPHHYTTTVNYPGSGAPTSNHNPTGNLSNSVPGLDSPATTAITGAAYAN LRQQQLQQQQQSSKGHSSDFAESVSRSQSTRYPQTSPSHNQQFFGPASSSVDNLPGTV SSPLIPPSPPTAGPYSHHHQQQHQGPTETKQSTRKLIKKILHGASASKAAASYSSADP RHHQSQPSYDNTSGGASRRPSKRASNAFPPNLRASVSLEQQQIPDWQQSPQQQLSSLQ TSPLQDVGTSTDPYSKHHERTLPDAELRLQTPQSQGPQPPRPRPHSSSIRQVPASADY SPEDLAFQQQQQAQAIAQAQQQQQQQQQEQQRYAQVASDSTPSQEQYRQYAHSRVQSQ SQVQQGTPPRLYTSHLTSQQSNPETISQLSYDSPVIESDQRSQNFQSTQTSPAVNYPQ QERSAPLSQQPASPSPTIAQPSPMAPPSGGPSSRRSGENDKLRGTSIEPPQGPPPNYR HSQTLNTMSSLPPTPGPAQANREFRASNVPDRQAQQQQFDGGNEAGRDSPQPTAGTEG QADPEKQFKDLLTKYKNVKRLYFDGKSQIEQLTSQVEQLQNAVANQRISQSRTALDDS EYATRFNRLNGAINNLAFNIRKDWASLPPWVSGYVSPEAIKTGKQEMTAVGRAVITQW IVDEVFNKCFHPGLDQGLSRHLKEIEHNIRRFSYTLNSQEEFEALTSKVVSWRMATLE GLQHTLQSVESQNGRVAYTKSCNEKLNKYILDHLADPKPAGVEGSVTMIIELAVGIAA NLSLESRDVAVTYPLPGDSINPEFMELEKAGLPPLPDSDDGDDSSPDGDKSKREKTKS GMLNLLGGAPPPGSRKSSVVSSTDMDAYSAAASSYPAKDPTKVRFAGFVAVEVRGRQV LVKAPVWNLG PFICI_12951 MQTEPVHRSLAITACNEWHFVFGIHDQEEKSMSLTASAGSKQEH RNTQKCHIREVHEVVMQLSAAPACFEGFYYTAAEHQKNPHDRQVLGPKWQPIFDSD PFICI_12952 MYHALLSSLLLPLAAGLPPFLKEDMHPTSTEPLAQGQKWVELAQ FDFDDYNLTGITLDCNRETMDENYQFHWYDPNYDETMDCNTTIEWDGVAIPQSLNSNP STGFILCQPGNWWQFKLDVVRSLWDFNMTITKDHKDAQRFGTFLAQFFSYPNITMVKM GESDFRSVVYSTTGPVMAKIQGLVM PFICI_12953 MLGRLAYLALMATPAFAGVMSQVKTGSDVKMIATPPNDRLMSLS IALALGNIDQLEEKLKAVSSPDSDDYGNYLDKDEVETLFRPSSAAFSAVTQWLEDAGV EHISQQGANINFATTVGKANKLLNTQFAYYNVQGVQKLRTKQYSVPDDIAEHVQLIHP TTYFGKTRSMRMPDDMIVPARITQGTFSTNTTSNCSALVTPDCFRKAYGVGDYVPDAS SGSRVAFGSFLNESARLEDLHLYQTTYGIPLSNFSVVLINGGLDHQDINGSIGEANLD AQFENAMSYPLPQTQFITAGSPPFIPNLDIPDEESNSNEPYLEYYEFLLNQTNAELPQ VISNSYGDDEQTVPPEYAKHVCDLIGMMGLRGITVLESSGDTGTGAPCISNDGKNHTE FTPAFPGTCPYITAIGGTQSWAPEIGWTGSTGGFSFYFPRAWYQEQAVEGYLKDGISP EAKAYYDAGGFANFSGRGFPDISAHSLHPNYAVFNANKQGQTGGTSAAAPVVAGLIGL LNDARLRAGKPTMGFINPFLYSLPSGILIDVINGTATGCTGVNSQTGAELPGAGIIPY ASWNNTIGWDPVTGLGMPYFQKLVKAALDIV PFICI_12954 MTGDSRGAATNSSEKSDHAVVKVIKAVAKFCLDNWLVFGFGIAT LLAYLFPNVAAHGGIIRSEYSILYGAIALIFFINGMQLSPQKLKEHIKNWRLHILVQG IGFIIIPVIILILIRIVIAAGGLRSGTIDITMLVGMVVVSTCPTTIASNVVMTRNSGG DEAAAIIEVVIGNVLGPFITPGLTFAFIPTDSDFDHVRPASPSTLGPMYASVMKQLGL SVLIPLAVGQILRWTWPKRVEWTLRTFRLAKVCSLCMVLLVWSTFSGAFKTGALYDLP KSSVIFNVLMNLALYMIFTAVCYYAAYPPRFLCNWINAWGADSKVGVRLPRIVRRILE CKRMPKEQVVAVCLCGAAKTQALGIPLADAMWAQSEDLTKSLIQIPILLYTMEQVFTA QFLTILFRWWLQRDKKRESDAESENGGDLHLPADSNGNDDQAGQSQTGENKPVYSPEE KAVA PFICI_12955 MSSDRALRGSCHCGRNQYIIRIPGASTNEAQVLFDTNVTQRIAS ATPLSAFLRVPLTWYHSQTFPFFQDESRSAIRRVYSHPSEEHTQRHFCGFCGTPISYF TEQPRSEADYIQLTLGSLLTEDLHDLEELGLLNEEEEEDVMDIAPPTPTASTGLQLIG RDFTHIPWFESFIQGSRLGNARTSRGVQQSRDGTTRVEWEITEWNGEDDDDNNDNLDA SESSATGKRKRRDTDQPNLSAQASAL PFICI_12956 MSLPADFLWGFATASFQIEGATKQDGRGPSIWDTFCEIEGKIAH GANGDVACDSYNRTAEDIKLLKELGAKCYRFSLSWSRIIPLGGRNDPINQKGLDHYVK FVDDLLEAGITPFITLYHWDAPDALDKRYGGLLNRTEFPLDFESYARVVFKAIPKCKN WITFNEPWCSSILAYSTGYFAPGHTSDRTKSPIGDSSREPWIVGHNLLVAHGRAVKVY REEFKPANGGQIGITLNGDYTYPWDPEDPEDVKAANRKIEFAISWFADPIYFGKYPDS MLEQLGDRLPTFTDEERALVQGSNDFYGMNHYTADYVKHKTGAAHEDDFLGNLETTSY DKNGNCIGPETQSFWLRPNPEGFRGLIVWLSKRYGYPPIYVTENGTSIKGENDLKPPE ILNDEFRVKYFHDYAHALAKAVSEDGCDVKGYMAWSLMDNFEWAEGYETRFGCTYVDY EGGQTRTAKESGKQMKGLFDSLIKND PFICI_12957 MQELLGFNKSRASEKKVVAHTASRNVLPLPRAEALCNWCRYDEQ QYVITSAETRRSGQISKPLSRLQEGAQKDCWVCKAFLKATALWQKVDHVEFQRLRAIN VRFDAERVTIGAGSKLPKIQLFIAKGCPNVFRFNPDSELPSRPSSAQTMNFIAYNLNS CLHSHKACQRYQTQLASKIHGNWPSRILQIDAKTWTIRLVRFDPAAHAKYVALSYCWG DQKGQLTSNKSTLPKLRAGISVQKLPATLRDAVTVTATLGLRYIWIDSICIIQDDKDD WKQEAGKMSTVYAQSLVTIIASSADSCSEGFLEKDRAFSTEVSRVRVQHREAEVRARV LYDWGHHRGGPQSDESARLRWMDPVDSRAWTLQERVLSGRYINFTSGEAQWGCVSCRA CECGQPLYGKLYETLPDAEKWFRIVEEYCTRNMKFATDKLVAIAGMARAMATVLQEKW YVAGLWLSPTWTPLTLQSFMWRRKIDSPLTVFYNEYIGPSFSWASHRGEPVHTDKASF SGCTFPSNILNVDIQLSTTDSFGSVKGACVRLRGPLIAAKLKWDSTTRYEDMKVGIKI GQSAQSYSGGCRVDGLLESVMLKKGQHAVRRRCEGSVAQDVSFENVDVYLLPILVRKL DSMSGQKPQTYAAGQGSPTRQAECILLARSLQFPGFERLGVYTISQWDKYSSTPCVEL SMY PFICI_12958 MASASVHVGSIDAIPAGASPGLLFLKELIPSWDALGKPPKPVRE FFNNETTFVTNSNDPTPAGQVLQGLVKRVERLAKFSRTVTAAYDIGKEDGTRTVIFEA INSRVLKNDPSATEVKGKEVTILELKAVDGKLVAVEVRTTLENHALSVHQRKIGGAGS A PFICI_12959 MEAAHPTAGWEKVGDRFYRKTQLYTAVFDRDLDLENYIVAGAPY GGAIALYRDETKLQTLRAAKSSKPSIEIYSCAGKLLKSIAWDKGSIKGLGWSEDEKLL VVSYDGTVRCYYDLQGDFTQFSLGRGAEDFGVHSCKFYNTGMVALLSNNALISVASYD EPRPKQLVSPPEGEVHAWTLVSPRFTLSRSVEVLLSINKTIYVVDATDCEDRYLDIGP FTQISVSPNGKFAALYAADGRAHVITADFQSRLSEHDSKSKIPPKYLQWCGNDAVVIA WDDEVNIVGPKNAVASYFYDGKVHVLADHDGVRLITNDVCDFLQKVPDVTDEVFRFGT ESPASILLDAAEQLENQSPKADDNIQLIRSNLVEAVDTCVAAAGHEFNVFWQKQLLKA ASFGKSVLDIYNSDDFVDMCETLRVFNAVRYYEVGLPLSYEQYQRLTPEGLIQRLINR HEYLLALKVAGYLRLPTDRIYVHWASAKVRTGSEDDEVICRMVVEKLAGKPGISFEAI ARAAYDEGRGRLATELLNHEPRAGRQVPLLLSMEEDEIALDKAIESGDSDLIFFVLLQ LKKKLPLAAFFRVINARPAATALVESSAALENDNALLKDLYYQDDRRVDGAGVFIREA LHQPDARTAGDKLALAAKLLSDSRDNTFEIQSLKEATVLLRMQEAFDRDFSDSFTGLS VNETLFKLIRLGYHNKAKKIQSEFKVPEKVAWWIRLRALVAKRDWNEIEEISKTRKSP IGWEPFFNTILQAGNPRLAAVFIPKCQNLEAGATVTMYEKCGMRVKAAEEAVKTRDAE AWLRLLEAAGRNTADGREIERLGATVFKK PFICI_12960 MHKGDLHLAEHHIVFVMPNNPPADVKLPLNAPKTRELYIAYPIL NYCNFRPSPPASGRPSTIRIRCRDFTFISFHFKDDVGAREAFECMRNRTCRLGSIEKL YAFSYQPLKQEKPFNGWEMYDPKTEFRRQGISSKSQDRGWRISTINKDYAFSPTYPGL LVVPTQISDNVLKHAGPYRSRQRIPVLTYLHSLNNCSITRSSQPCVGIRGNRSPQDER IVSASFSASATVEFNGLDISNTGTAEPSPSSSQVDIRDPGLDSESLATAQLEDELLAA GDPNYDPKTGKRLIFGAQQSNLIVDARPTINAVVMQAMGKGSENMDHYNFAKKAYLNI DNIHVMRESLNTVIEAIKDGDISRLPPNRELLQKSAWLKHISGVLDGSALIARQVGVR HSHVLIHCSDGWDRTSQLSALSQIMLDPFYRTIEGFIILVEKDWLSFGHMFHQRSGPL GHEKWFTVERDGGAGGTLQPGESDGRAGEALEKAFASATRFFNKKTASRDESMDSDDV PSPTDDPSQVKRGEGETTRPNEISPVFHQFLDATYQLHRQHPTRFEFNERFLRRLLYH LYSAQYGTFLWNSEKSRKDDKATERTRSVWDYFLSRKQEFSNPEYDPTVDDRQSGRER LIFPRLSEIRWWASCFGRADAEMNDYLDAAAARGERVNNYSNNMSTSPAPGSRSRSPA PTQTPTPGLKSSTSVLTSVENAHEALTPDYRAREGHASLHKSASADSPGAFASNLAKL RDGVAGLDLGMGGIFGGHPNVPSAQSSTAAGTPSGVIPSSVEKRRAERELSDLPMPTP PLGDNKDNGLEMK PFICI_12961 MAVPGTQISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGQPARDFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKAGPQKSLPDAVTIIEPKE EQSVIQPMSQDYGAKAAQMQAQAEAQRAAEEGEAAEEAAPAEEQ PFICI_12962 MTIDEKYIGLALAMSSSLAIGTSFVITKKGLMQVEERHGFEGDG FVYLRNPTWWAGILSLVLGEVCNFAAYAFAPAILVTPLGALSVLIGAVLGSYFLKEEL GTLGKLGCAICLIGAIIIVLHAPPDVEIKTIEQIMEYALAPGYLLYCLVVVVFATVMI YRIAPIHGKKNPLIYLSICSTVGSVSVMSVKAFGIAVKLTFGGNNQFKHASTYVFMIL TGVCILVQMNYFNKALATFSTQIVNPLYYVTFTTATLCASFILFSGFNTNDPVNTLSL ICGFLVTFTGVYLLNLSRADPNGDKMLGRRGGDVGGTDMISSVQTRLSMSARRSMDPR YSVGSHGDREGLMRGYDEESGFGLADLADDSEGEAARANGNGHTKKSRGNSIELQPKS GQR PFICI_12963 MASEEMEISTDLGFHTLTEDIDIDVEFAAQQDEDLELGDFNHTE DFQHFNSDNRDELMAENDDASYGMIDVDDMSYNETAAAANDYEIPIGDADVYSWQEAD VSGIQEDEIFAEEIRDNDALEFQTGVETIATVVDNDGISTQAQLSTSETAPHDAISGV EHYEVTEAVANDEAAAAMDADADGIAEQDFEDTDESTAPEHTAEEPATGPTVEVLESR AQSPPVIESNGESGDKQSVGIDNNTEILQTEAAPEPQAAEDEQHHDNSEDAEEEIGYG HDEADRNIPEEGLEATTNLDRVSSEPKDTQVSRPADAYDEAPGDDDDYHTDENQLEDK SYDDAENLDDQESKLAGTHTPSPQNPADSPVPHNDEDGVEAASEGISQDMKEVASRHT MVVRYGETDYRLFANETDDDPSEYFFKDLSALELSLGDFLSEIRNVISEEVSPLDELV LHVDGLGLEFGETMASQILDAHTFGNIVSLYDSLVQNDATEETLDAPELYMYLMVRPN CLQRLIALQFQAASGRSLTDVAVYREASPEQEQDDTPEHSENHATTPEYAEEELYDHD EQSEDDSFAQDHAGTLETEQETEQETYIAESEETQASAQQEEDLEEPKEGSADNDADD VVDYDNLDLSPSQQGNSPFYSSPSIPMYCIGTLDCQCDTCWLQRVEDIFTFSSTNRHG QTNCFIRVGGTHSASAGLQDAFSGGNHSETTIQSMQVMSEANSCRYQDVPNNSTNEPS LAPVEASLNAPEVLTEVGDVTDAATVDQNRAPPSESTSATATLDGNLNDEIDYDENDL DDGRGDANNTELPLPLSPAKLMVPLDEEITWESENEDARTELPATSNPSEQVSTTPGK RTRSGSDAAAITDGRKDVKRQRS PFICI_12964 MVVLAASICSRGGKAVLSRQFREMPRSRIEALLASFPKLADSGT QHTTVEQDNVRFVYQPLDELYMVLITNRQSNILQDIDSLHLFAQVVTSTCKSLDEREI LKNAYELLSAFDELVTLGYRENLTISQIKTFLEMESHEERIQEIIARNKELEATEERK RKAKQLEMQRKESARSGRAGVPRTPVYPTYTPPTRPTPTDTYDSYEAEKNKTTLKTTT MKGKGMQLGKKSKTTDMFERVRGDMGTEVDESPLVPVASPAPVAEPAAPRASTTLDRD AIHVTVNESISAKLSKEGSVNSLSVSGDLTLRVSDPSLTKIKMALSATPSHGVQFRTH PNVDKAAFNGSKVIQMSNASRGFPVNNAVGVLRWRASPKVDDPTAVPIQFTVWVNKGS DGNYGLTVEYELSGGQELRDVSVTIPYSTSEPSVSSFDAQYEVSGDSLEWTIGTITPD EPNGSFEFEAQADGEEEFFPMQVRFSKTTPFIDVDVLSVALLEENEEVTFSKDIKSVA DSYVVE PFICI_12965 MAEPVVTAAALEPLSETRLDPKPHGELLTYNPSIELFARAAGPR TLEIWRSNGQSVVKASQKGDKQTVEALRWKTDGEFLAVGWSDGVVRLMGLENSKAVHL ISVCQSGEAKITCIGWTRNRTGKRAAVTAKPGTSWEKLSLEGLSLGEKNNKQDLPREL TFLEVETALPKLSPLPATGGSGDDMYVFTTRSSLEFLFRPFSPETADLVDVMLVGTDD EAIHLSIYDSFVIGKFQYTIPAAEMPEPLDAVPSLKLTHHASHQDLTTHSLLLQPSTG EEKNQIYLVPMDLTFIHSTLENLSLLASKTTTLQKLIRYVRQVQIHMMADWQSTRELP HRFLDSINDTLKETGKYGQMDVGQAMYHTVVTGHTFPEVKEWLVDQLAERGHKRWDKA VVSGLESVRNLVHENFLPALERIATILSRLLGIARFHDSKDEIGFTGIQITKVMDMVS SLMLVGNKILLAVMEELELFRAFSTWIRYEIDRLASSTVSDELTEKEATMEHGRILTY IQQFMPASPLRFYLSKVTTEDAARESANAEGITPLFDIVDKHIKKQEEGKQQQTTITQ IEYIEGLLGRHADVVFQGIATAGKRSVRFGQLQHIKLEHDIAKLDIQMRSVAEVNHNA ETYIALTEQERENSVHVFRAQTMIINGISGGVMTQHSHLQLGGSTVIDVKFLNEESLL VLLALAENDIRIIKVPHTSVNLGYHVYDPWVPAEPHVLNTEQVVSVFPNAKVPLESGF MPGQMEVREASSQRGQIPARVALLGKDGQTYKVFSLPRHIL PFICI_12966 MAQELAPRLLLTQIVRTILIFFGVASLIDAHAYIGPRFGDGHHG QVTASFAASWAAVGWNVVAVAAAAVHPYLQRFADGLPFPVSVTVRGRPILSYGESGDG GDGVMAPLAGKLVFAGLDAVLATLLVVFQALARGLSVECGSPYECFQGREVYIHAWIV NIWLTLAIFEYVLAAVQGFEALGIWYHRRYLKKRGQISLA PFICI_12967 MSGATSRLKSLLGHFTSTESSPVGHVHSHHLHQLSPTFFLERAA AIEPNATAIYHVTANQQVLRRSYAEFADRARGLAYYLLRHKFKRVGILATNTPAFLES IFGIIAAGAVVVPVNYRLKPDDITYIFDFAEVDSIVVDNEYVSLLDDFKKTHPKVKFI IDTDTDATEGQLCGPFDEAILEGLHYDKAQGSKGWAGLKSQCSNEDDMLAIPFTSGTT SRPKGVVYTHRGAYLASLANIVESGLNYHNGRCRYLWTLPMFHAMGWTFPWSVTAVRG THYCLRKIDYPLIWKLLKEEQITHFNAAPTVNTLLCASKEAEKLPEPVRVTVAASPPT AHLFQQMTELNLHPVHVYGLTETYGPITKGYAMPEWAELPDAERYAKMARQGHGFLTS LPIRIVKPDQPDGAVIDVAKDGNEIGEIVFTGNICAKGYYKDAEATRKLFLGGVLRSG DLAVWHPDGSAQIQDRAKDIIISGGENISSVALESMLVQHPDVLEAGVVAVPDSHWGE RPKAYLTVKEGKALKPEDMINWAKNQSSISKFMVPREVEIVDELPKTSTGKIQKNVLR EWSKKGRS PFICI_12968 MEEQMTIEDWLDDLCVRFILNLPEEDLGSIPHICFQIEEAHWFY EDFIRVLDPALPHMTLKDFCLRMFQHCPLFANYSIEHHMRAYQEFMQYKTRIPVRGAI MLNHEMDSCVLVRGWKNNSTWSFPRGKINKDETDLDCAIRECWEETGFDLRKAGLVPA DDDVKFFDITMRDQHLRMFVFRDVPMDTVFEPQTRNEIGKVAWYNLRDLPAFRKKKGG KQAEGGSSAANAIPNASKFYNVAPFLVPLKKWVLSQKKKDIPPEVLQDDAFVNNRDIE ELEAAIPHFQAAASRSMAAEQEMRNLLNGQQQASASASLPNGSNKQGAALLSMLQSKE ASMAPFQNQYPHTPMEQTTIQAPQPQSPHYRHHAQRLTQGTFENPPQFPMVPQAQMMQ DNPQQQYHARPQVLMTSDASGGPHIMVAPRQYQNQPQLVHPQPQPPQVQQALLMRAML GTPGAQPHQQHHPGPSHAGQNIAHQHPQQYHQHQHQHQQQPQPQPQPPQPNPFNMAAS HGPPGQSQPSAHSMGLLNMFKDKNAQENVQQAPSQAKSMPPQDTHRSGLLGMFKQGEQ HPAPAAARPDLQLPFGALSIASRPKQQSQNRAQEPQAAAATTHAASQSPRYPYADPNR GVSAADMSIARAPEASALTMPNNILQPRRESTHEQRTQLLSLFGKNKGKEPAMSPSIE MARPRSRVASIASGAGSQSSRRGSQTPTSLTPADQNFLLNYLKNASKPQR PFICI_12969 MASITDPVTIDRAYFDTLVRRANCNDGPLSAAMADQNLTVVPKA DYDNLLQLAHQYTTLCANLISGGIDEQTIVVLSQDNTSRHSQEMIGKTSRMPMPTGNK THSLNQTKEHGTHFSPATKIYSTHYKEYSAGNGRNGYSSQNGYSGYNGSAGWADGPEE DFSAEYSPDGSSPGAQQEPNFSQALAYERPHYPRMCKRTVVLAGLAEGTTHGDVTNVV RGGMVLEIYLRAADHSALVSFLLEEDAVSFYEHVRRNDLYINHKRVFVKWADRHFHLA GHVAGKIGQGATRNMIIRRCGPDHTVDSIRDHLEHIHNLVVISIDFIGSSCYIKTNSV HNAMFARTCMMSRVTYKGSKIEWDVDECAQPLEVSRKPSVPKPLQSLATKPPGVKIRN RFATLRLDDDDNGDSDDRLDTSTEFAAFSTVGVGA PFICI_12970 MKGPKSFLIAAVALSSTASAWPGWLPAIDSLVVRADESSTTTAK ETGTTTAASDTAQETGTTSTKSAGKVTTTNLNTGGQTKTTASGTDSGTGTATGTDETS AATHTTFDAEDPAGGVSMITPVTTSGTALYRIGETITWAWNYTSLQATPTGIDVLVSC SAVTQTWTLTQNMSYDATATFTWDTDAYAQTAVASPLLTEEYTLVIYDADSSVSATSE AGYLGVYNSFTFGLYNSRPYKDLGEWKCVTCSGAAGNNLDNQALRFAVGMATITVLTF TWFVAGFGAL PFICI_12971 MAGKSRWADTEEDAALEAKLKREKEEKKRLKAEKARKTAEEERR RAEEEEAAAKAAALQQTQQIQDEEDDRPTKRRKLTPERVRQDDTAATGSTTATSGKGD EQTAKLQRFEYGTFAPTTSVENYDKLNDIEEGAYGWVARAKDLRTSRVVALKRLKLDD SPQNRVGLPETGLREIQILKDCSHRNVVDLHEVVVNDGAADGQPAKIESIFLVLEFVE HDLKSILEDMPEPFLASEVKTLMRQLAEGVGYLHDNWILHRDLKTSNLLLNNRGQLKI ADFGMARYVGDPPPPKLTQLVVTLWYRAPELLLGAKTYDSKIDMWSIGCIFGEVLTRE PLLQGRNEVDELTKIFELCGIPTDESWPGFRRLPNARSLRLPKSSATTGSVIRAKFPL LTAAGSGLLNSLLSLDPAKRPSAQTMLKHEYFSQDPKPKHEDMFPTFPSKAGQERRKR RETPNAPGRGQKAADMGTVDFSGIFAGREKEERGGGFTLRLV PFICI_12972 MRSMITRAARCGLTNSSATKLARPVWSSCQSYRHFTATAAKRDE ERKWSTPLAKQLTEAINTTGPIPLASYMRMCLTSDTGGYYTGAIQQGRDQFGKKGDFI TSPEISQIFGELIGIWFVAEWISQGRPRSGVELMEVGPGRGTLMDDMLRTIKNFPDMV SSIDAIYMVEASPELRVAQKNLLCGEDTPLTESKIGYHSTSKYANLPIVWTETIQAVP KEASKTPFIVAHEFFDALPIHAFQVVDVPQQPADPSKADSPSKSKANTSPLQWREMVV APAPPGSTHDSLGTPKLERHDGPVPEFQLAVSPSATRHSMYVPESSPRYKALKSTPNA LIEVCPDAYLYAAEFAARIGGSAQHPKERASGAALILDYGPSDTIPVNSLRGIKGHKR VSPFSEPGLVDLSVDVDFMAIAEASMIASEGIEVHGPVNQGDFLEAMGIQQRAQYLAK KVGDEAKKQEIETSCQRLVDRGPNGMGKLYKALAILPENEGRRRPTGFGGDVSA PFICI_12973 METHQSATTYENLPPHSIRLLRLDTSASESIVGSLHVVDLDTAP SYYAVSHCWGNQSQTIPILIGAKKFLVCPDLAAGIRRLRELSTETSQLVPPVQYVWID NICINQQDVYERSRQLRYMGDIYSRSVRTLIWLGPSSATSASAWELVQTLYTLFKEQY EEVVDLDNVAVKMFSDKSHSANRLAGMETLQWLYLKEIFQIPWFSRIWVVQEVVLSRK DPMILHGHYAIPWQRLEGAAYWLRRNGYVRLTQTAEEILNVDVIGLLRRSQVRWPLDA LLSVTQMKFHASDQRDKIYGLYGLAAETHDSSKQPAELVVDYTLDVAQTYRRVAHYLL QHNKSLAVLTRIRGTQESLSRTSRQYDFADFPSWLPDWSDFSVYGRDIRRSFSWVYSS DSTKTPSLGYPEHYNASAGLPTELHQSSNDSILRISGMRVDVISQVIPLNRALDGDES NQAFTSNIRDVCEAVLPMSAGKDMIDWTEALIKTISAEQHNSAGYSWQQFSKDGLAYL FELLSSKESQMSPTVMESISVKTLEVLQQKSLDGKPLSFAAIAQNFCFNRSFIITSAG RMGLGPSDSRLGDIIAIIPGSGVPLIMRRLSNDPHWLLVGESYVQGLMNGEAINHSEV GDFKREILAFK PFICI_12974 MQNSTDPQRQSTEQPHSQSGHTAQKRVKFQNDRDFGLSQHYPDR HNAEATSKAAVDIIAMHGLRARSPKTWIAWKKDGDATSGEVFWLKDEGMLPSAIPDSR ISTYNWNADYVGNPSHDLFLGHADSLLRCLDMDREETALVRAAETFHPAYQRTRLILR NTVGIAFLGTPFRGSWRVGHSTATTRVDLAKQENVDFNKELIQYLRNNSRHDAENGPS PLDEVVQKFSEMLLSDRSLEVVCFYERFPTVIAAIQQDLPADRLPTKFNQTRELTVSR DSACLDGHENVGLDVRHNMLHKHNSPTGAAYREISAVLQRFAKNADQNLAKRGKSNTE LLADQPLPESTRVTQKTQNRTNYEEELLMELQHQRTEKCKVPLYPLYTNAVSGRENPD KTANDRAFFEIYLIDILSSEKLREDATGILSDERKEELRQERVKFCTLLDSIANQDIQ HSNQAAESPSRCFSGSGKYSHLEKMLNIVSLSHGPFELATETSLSMFQFQGRVSASSA RLESSSFRGFLKTLHGQPSSFRKPLHAKTVDITSSHHGLSMFERQYRFQMGKVLDMMG AEFARCDDPKLHTDHKVMMQLYDVETWKEKISGGGVDFHLSCPKSSEQSSSWQSALCT FQAKEDALEMKKGLCNTLKSLSRRARGLILVMSDAENTASYCVNSLHKHDESPTVYTR LAQLVNVLEAFGPRSRRVRQHYKFNYIERRKLAAKLALVLLASCSWNHTTCPWDGNEV QFLGSSASAYDSDKVYITCYIGSESLTETRGSDDEYAPIPAFTKFAKLLLEIEFGCYS SGDFSEDNDYGYTIVKKWYETEREDHDPSKDSYLDAVEACLEFDRLYMEERTKAAGRS ESEEETSRRLIRTLIVSKIMSDLDSNKKRKWREV PFICI_12975 MSDDAPVYSLASNCNDLIQGCFGFAQNAGPFMKSLVEEYERRFV AWWQSLNDHASNEMSLDDKLRNHPTIRDILLRLLIILQMNLEQLQQYLRAQAGNTQPM ESSSDLDGTDPGRAAYVPAIPDALEDTFEAIEEALKELCYFSVAMRETGKKFETKRVQ AFAATHLDSTHFERIAFLVVETLYPDAPESLQSQLCRSMTDRYLRLKYKAFRHGDRNA SESSALHGHNQQDPIRPNAADPVVPQHEEPRESIREDAAYTPEQQRLSIAPTSLNTNM FNLQLAAARQTQSRSGATTAVLSRSSEPTPPDFAEQSHVKCQWCFASIPKSLVRDGKW TSSGRQHYLEDLQPFVCISDECSEDPRVFSSTGEWKEHMKSHSASWSCQVHRVPMWRC NLDHHETTALFPSADHLHEHIKGMHSDRLGQNESISDYAVVVNLPRPIDICPICGYSI NSLDGSALTGDSSASKRPKEQQTGASVKRPKISNPAGSKGKTPSLLGQDQEEHDFDDN SIVVSSAMEKHVLRHLQFLMVLSLKLKDNVTAEPGHDYEEGSAEKVEVSHGTSEEGRL DGRGLDDMPLPSGTPSHRSSLGIEQEVTNPPDTQSSGHAQMAAEDLFYDQIAEAQVTS DAALGGQDFLPNDEIRKLLKKENIAVVLGENNLHTTEQLVNFVLKSAGKVFLTLVKIG KVSALTELFAENFNDSGLPVQRVERGSRAVISLDGSINAANTKRWNTFQKWSNGDIDH FINSQWCFLAPSFGQGDFTRVFDKQQPLPFATPGGITVQKSGQFSLVLKASIHPAHAD PALFDNIGAKTSEVAVKIFNRGYDEDFRREQETLKIIKYLEHDHLIKPIAAFENGNLQ CFVFPWAPGGNLRDYWSRTDSGVSGSPRRDKEAVLWTLCQMRGIASCLKTLWNINCRH GDLKPENILLNAQGNLVIADVGLSKLYLITTEMREHSSSGRFATRRYAAPEIYMDDRH RALSRDYDIWSMGVILLEWLTWLVYGKKRLRSYATLLTLWTRSSGGICVVHPEAQSWI SNLQHDLAPNTALRDIVDLIESRLLKVELSDGIERPPLGRAKATFLAERMDDIYFRAL GDGSYAYDARLWHQSPVVKVDDIAANTPMSDIKIDPTGEYANWDDLWGSEPDNVFANK ILQQLDWSKLTPSSTALTLCSSCQSMDILSPKFELLFEMKILQSKGKDCELCELIYQS LLASGATSDSSGRLLRRGPALKTEPSGPPVMTIYTDPQAKQSLPPFVQLGIPQLPLPV SDTQIAILREWLRTCDNDHECMHLANPRESMPTRLIYVGSSAMPDLRLIDSKDMMYER YAALSYCGGNIPHFAKFFTYRSNIEQLKLKMNFDHLPQNFKDMVVVARALDIKYIWID SICIIQDDAADWKVEAGDMENVFGAAYCTVAASSAESPLAGFIHKRKARPCVLLPSDG GKFYLCQAIDNFHQDIEKSVLNTRGWALQERALSRRTIHFSKAQVYFECGHGVHCETL AKMRKHSLLGDAHFPQSAMKSSKGQRQLLFQELYSNYSRRIFSYPNDRSVGLLGLEQR LARTFNTEADYGIFQNYLHQSLLWRSESEATMKPILYTDASVPSWSWMAYTGAVKYVD APVNGVQWNTDNPKDPFLHGPLRCSTRINEKEKAIELEAIARQFDLNSFGVAERLTRC VFDTESVRDLTHLRCVVLGKDNLGKEHERNVYALIIKPVFLEKPNAIWKRVGVAVLLS SHFLEAPREFILPIISEGSIKHNKAPHKFKTRYMSA PFICI_12976 MSIDQTVEEVLGVSSQNVSTTSEICDNVGEGLPDIAGIGVMVSF AGQSLLSLVITLWVFFLARHGHLDLDEARSTAEYRRRTKRLEILSSMLRVGNDMQMLL GIAYMITVWTKQDNIGVYHLRLAFDTVSFVGVSGIVAFVWTRFCEAKLSLPPHRLSFQ YLTTYLYAIFFFALTVVTLNHMLQWNPRTEEPGYCYNTAGSADPGAEQPGTEIMYVVV TGFSLLCTMIGAIFSGPRLRFPLVLFAIMHYVVHLYFMIVVREANQKLLEGSEREDRW DFGQSTAMLLLGLAFLEAVKKSVAYCQLNMMSDDRILGEDKLGQFDYN PFICI_12977 MSEKAGDEHGASRPSTASSKAREVQPRLSHESDGITGLPDSSHE DVEKQIPGHEFDMDLQSLHSAVRVETRASVRSKASRVLSIVSRRSKTDRKGLRYELLP VMDLDEGVVGWEGQDDPEMPLNFPMWKKWLLVGLLAAITLLTPFASSILSPGISKLDA EFHNDVSIVGSMTVSIYLLGYVVGPLFLAPLSEIYGRKPVLGAANIFFCVWQIGCALA PNIATLIVFRFFTGLGGAGCLTLGGGVIGDMFQPDRRGFAMGIWTLGPLFGPTIGPLV GGFVADSIGWRWDFWIVLIIAVIITVLIEILNKETSHKKLIERKTARLRKELGRDDLK SCYDNNQHQSGSRTMVNGLIRPLKLLFLSPLVFFVSLYIAFVYGVLYLLFTTIPTVFE ETYGFEVRLTGLVYLAMGVGNLIAWALVTLFSDKSVVKMAQANGGVFEPEMRLKISIS FSLFLPVTLFWYGWCAYYKVHWAATTLSLIPYGFGAVGIFLPLTTYIVDSYPMYAASA IAASTVLRSLVGALLPLAGPPMYEALGLGWGNSLLGFICIAMIPTPILFFKFGLRLRK AQKFTL PFICI_12978 MKCTNALLFLSTHCGLCSIAEAAYNGTQNSTNLIYPLSQDSEFS FILTEALALANGGGAATSEVLRAASQIVPGDEDSWYQEFYWLAEQIHGLASQARTTTS KREALFRASSYYRLASFYLTANVSDPRLYSIWDTVLGTFHEAISYLPIPGQNITIQGP GCEIPGYVFKAQEGNTSKLPTVIIGDGYDAPQEDIWHGLGKEVVDRGWNLVTYEGPGQ PTVRRQQGLGFIPDWWNVVSPVVDYLATREDVDMDNIALVGISFGGQLAPLAATHEHR IKAVLSIDGLYDMQKALLDQFGELATIFATGNATNFDQAVEYELGQPEAPTIFKWITG QGLWTSNTTSYYDWIDQLGAYALTQDKVDNITAYPWVGRGENDDLVGGQEPVLAGFYN ASPGHDAAYHVFSTDLGAGEHCQLGAEQHLAQFAFDWLDEIFSV PFICI_12979 MSIVQQTPSSGAQQPQLDEKHAGSAAVMTGATTTATPDGTSARK TGSIITIVGSALANFSDGYQQNLASSTNVIFNHIIGTQIYTSAVQTRISNSLLVGSVI GIVVFGYLADKFSRKGGMLVTSGLVVIGSLMSTLAFQVNGTDAMLWYMTIARGAAGVG VGGEYPTSAAAALEGSQEHFNAQRGPIQVLISTLQATTGSAVCTFVYLMALIGSGNDL KVAFHAIYALAIFLPMSVVLFRWRMQDGKLFTRSNFKKRSIPWMLLLRQYFWRILGTS AAFFLYDFVNFPNSIMSSVIINSLVPGKNVRHVALWQLYLALMPIPGVLVGAWLVNKI GRRWTGIVGLVGGYVIIGFIIGGCYEKLTKDALPAFVVLYGLLQAFGHLGPGATIGLI SCESFPTAARGMGYGIAAGFGKAGAAVGTQVFTPIRAAAGPASTFYVAGGVGIVTSAI YYFLPEGNKTDLERADEEFEHLLETHGK PFICI_12980 MASFAQILKVTAIDSHSYRVNFTDEWCIGSVPNGGVVTSVLQSV AREHFLTTLKSQNQPDCISLHADFVQRTSAGPAVVQVEDLKLGRQTSTVRLTLVQEGR KEVLVVLTHANIALESGLSLPTAWRLDPPPPPADLVKMAAHGTDGTWTEWKSPRPDFR KASLNANMYTVRQSGRVDRGVVEQWIKLKSGENFTNTSLGFLSDMFPLMVESYSEDED AMASASVSQRQLYRHWYPTLALNLDVKKLLPEGGAKWMFVRVQSKMIANGRKDLEVII MDQENEIVALSHHVAMILDASRNIAKRGESGSSESNSSKL PFICI_12981 MERLPGFNVANILEKRQLLIAINCIAALSIFFFGYDQGMMGGVN NAKDYIDLMGFGYVDPVTEEPIITDSLLQGGIVSVYYLGTLFGCMFGGWIGDKIGRVK TIAVGAAWAIVGACLQCSAMNHDWMICARAVNGIGTGILNAIVPVWATETAEHTSRGQ FIAIEFTLNIFGVVVAYWLEFGLSYIDNGNSAIRWRFPIAFQIIPLLVLLAAVWFFPE SPRWLVKVGRDEEARYILRRLRGSTGDDAKRAELEYQDIRNIVQLESKESSKNSYFHM FFGIGSGDLHTGRRVQLVIWLQIIQEWVGIAGVTIYAPTIFRIAGFDTVKSQWISGLN NIFYMFATLICVFTLDRIGRRWTLYWGAVGQGIAMFLAGAFSRLGQDATRDGDVAKAN SYGAAAASFVFIFTSVFGATWLTVPWLYPAEIFPLQVRAKGNAWGVFGWSIGNGWLTL LCPVMFSAIGENTLHIFGACNILAIPVVWALYPESNQRTLEEMDLLFAAPTPWVWDAE KTFERLKVEQPGLVQAAKKGDSVVDPEVGVLSRPKDGEVHEEGLDKVSS PFICI_12982 MDPNNRRLRAYLPESEDPFADPTERSYTSSPPELRRVPARSITL HPDIIRRDTQEHTSRYIRSTTATAPSDPDTSWFDAHALEKTFRHVTRATKSIDASTSS PGPIPISLPPLLANEKSAVELADTVDPKIIKRGKRKVWFQKQLLTLFDFSANFILIFA SWYWPRYYYLYLPFISLPLVLNCIMIFMIIIYSTKNKVFKPRKIVPNTPEAMVLLMPC YNESFEECTRSLDSLTSQVNIDQHKKAIMIVCDGKVRGPGMEKTTAQYLAEDILVGRQ TRRFMIRTAYLAWDGQTMDVECLTGVYKGVPFFCIIKQQNQGKRDSLIVSRSFVHHFN KRAEQPKVVFSPPFFKAMASWLQNDAGFDRVDVLIGMDADTVFETDCISHLVTESHYP QTVGVCGYVAVDFQHGNWNLWSIYQSAEYTIAQGLRRLHQSVVTHKVSCLPGCCQLLK VCEETCGDRVLIELFGYHPKPLDGVIRRIRATASEDRNHVCLMLMESPKAQTRQALRA RAYTDVPHSLKVFLSQRRRWTLGATSNDLMLFTKAPWWSFNWWERIIAFSNVLTWVLN PFVIASLGCMIYAFLHQPWWIILAFAGVMIIPLLYYLTMVVWMPRNWLERGQFLLGLT IFAIVGPFINICVTIYAVKNMDNFGWGKTRQVIAETGEAEETNADEEQRRTQVALRFN EKVIGNQVPDEENQLAHVRVPNPFTVPLIPDLRRGPESPEPEPEPELELELQESDPET PYFRHDINGLRAAEDHVQEMSGALPGPARASRESLSDGHWRPMTPTAAEPYRTRGIAS HAIPPRHASLGRPGHQAVETSSIGTPSVGPPVSLDTRSQSQLRTRYSQGAIFRPDDFR PR PFICI_12983 MHPAPDLPPIVCSALPVGSPSMAKSSQELTPPITPPIVAIGNGI GHVDPLAVAQAVAQLPQAEEPLVAVIGCGYVGEHLISSFSKHYNVLGYDLSQARLEVL RQTYNQKDSRITFTQDPGDLSKATHFLISVPTLLLEDKTIDISYIQSALGTVATFGRK GSTVVVESSVAVGMTRQLLGPLVDKKGFFVGMSPERVDPGRTEPSTHKIPKIVSGLDD VAPGSLEAIVRLYSSVFDTIIPVSKPEVAEMMKLYENCQRMMCIAFANEMADACIPFG VDPYEVCRAASTKPFGYMPYMPSLGVGGHCIPVNPYYLLSNSDFPLLKACTEKMWQRP VEMGQRALRLLFGPDNEGTYPLSTVKRTDSGVDMSHDEMVADGALAKCGVGLTGGLMS LPETMSGATTFKPRARVLVVGMGFKAGQSVLSNSPGQKLAQFLAESGRVDVMFADPLV KQEAIPLIPRLPDEFWKKDTLEGFDMIIVAVKQHGLDLSVLQILQDVNVAIWCP PFICI_12984 MATPQFVNAVYYPCWRIYKGHAPSALQQDAISHVYYAFVRVNQD GTLRLLDEYADCCIEADGEKGCLAALVKLKTQIPGLKTIVSIGGGSGSAEFPAMAANP VARSTFASSCREFCEKWKMDGVDIDWEHPETPHDGANFVAMLSELRRALPSPWFLLST ALPVGQYCLKNIDLDLAGQLLDYLNLMGYDFNGPWTDVSGHHAQLLPPPGPVESIYPR LRTSCHGGVDYILSRGFPARKLILGIPLYVRTFEGAHGIGQPFKKAHEMDYNEVPQEW ITCASVDHHCGAASYADTGSGGKGFASFDVPATVQIKAEYVRRTGLGGLFYWTGVGDV KGPDSLVRTGYRALHGVPRPYYQAQRK PFICI_12985 MSAARGGIIQPPRGRPSKVTKPAATPRRPAARRAGLQDTVPNQD PDLEPHHEHEHEHEHEHEHEHEHEHEHDPEQDHSHEHDLGPGPDELDQQQHHDHHGDF DPEAAAAAAAAAAQHSAAQQQQQQQQMDLSTAASILASSGAGPMGVNPHEHPDFDQMG QHDGTDDLSHTTESLALQSGYQNVIVESALAKRLAREPGMRLAQQRRPEQQLNLQRRS NVEALFAHIAGESAPVPCKNCHKGHGPWTTCVIVDGQMCGSCANCWFNASGARCSFHE TRNPAAHAGTVMGDAAFNNLAIPSAALGGFNYVSGPASADPVVKYTIERAMADIRAAD KRTRQMLMIEAAAKQLAFQIVAYEETLHEEQVHEQHAQVQAQAQGHPPPPEAIMEEPD AP PFICI_12986 MSNAIPLTRLGGKAFNHSANNDAEAEYDRLRDLAREEAKKRNDC FDRSHKAYERGDGAEAKELSNEGKRHAAKMDEYNKQASDYIFRENNATGRVADDTIDL HGQFVEEAEDILEQRIRYAQQHGQTHLHVIVGKGNHSANHVQKIKPRVERVCQELGLQ YSTEENAGRIYVNLQGGSAASPPPPSQPSGHQGGGSYAGGHQQSQPHHSGQQHHGGQS QYPGSQQQHQQPQQQHGGQQQQQEDGDIAEKIVKKMLVKLEKACCVMM PFICI_12987 MGCGMSTEEKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIFSNTVQSMRVILEAMESLELPLEDQRMEY HVQTIFMQPAQIEGDVLPPEVGNAIEALWKDRGVQECFKRSREYQLNDSARYYFDNIT RIAAPDYMPNDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFIKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGEDYAAACDYILNRFVSLNQHETKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI PFICI_12988 MAATEQAKVKLYWLEKSRAQGILWLLEELKVDYELELFHRNKQT MLAPPELKKIHPLGKSPVISVTAPGADKPIVIAETGAIAQYLSEHWGQNSTLVPKRWK EGQEGRVGGETEAWMRWMYFLHYNEGSLMTLLMMVLVLSTIKGPRVPFLIRPVTSVVV NQVFNSFIMPNLKTHLAFLEEQLATSGGDFLCGKDLTTADIVVSFALINYQSRFEEVG TWAEKPEKLYPKVWAYINMIDAHPGYKKSAEKIKEIDASYGIKW PFICI_12989 MDFASLMSKEIAKAKPKAESAPADRAAPGKYMRRADVEAERQKA YLAEQKELEEKRAAKAAEKRKREEDAAEEARIRDEKRRKLEEDTRRRREETAKEEERK RRKRLGLPELKEDESADASADGDAEDDIPDGELIEKLRALGEPAILFGESHKGRLRRH KKLTTVVSKGPIPTTIQMVDEKDMKVDGTMPKDKEARRYLFRQLASYFTMVLREWEMA LAQEERRDTFESRAAVTAMIQSRENMTPLFRKLEKDDLDDDILEPVIEIVKAAQERRY VDANDGYLRLSIGKAAWPIGVTMVGIHERSAREKLHDGERGHVMGDEVTRKYLQSIKR CLTFAQVRWPPEDIRQLMG PFICI_12990 MDRRANPPSALRIFYRTGAFHRPDEFFAPELLPHLTVHTWPSCT LHELSHHVAFTAPSILPDPVIGTRLSFRLIYPDARGAAGPSAHAQGPKYMIKDLGSIV IGDGEPGLEAEDTSSLHRDLGEADGLRGSVDGGEGLKTLAEARFVVGDYISLAILPPL EDGSIAPPSAARMGRGAGAGEAGTVVGRAPGHLGRDRFHDSGGRRGGRAGRSDGFGWG SRGGAGGSASAGGSFGVPRGEWRRGEQLPDVPRERGGSSGEWRGLDSQSNRSHARGRG RGRW PFICI_12991 MADQSSTQLLSYFTLMEHQGNIILTRHAEAPKFDLDLYISNYRG RTRFDRLLLIGQSSVPLGLDALKAAIVEAKNGRDTQQYRNAWEAIRAAAPGEPEAQFD QAWLDKTTKLNKAETSRLEVELKQYKNNLVRESIRIGYRDMALHLERIGELQGAADTF VRMRGEASTPSHLLEVAKYLIGVMVQKRDWPSVLSNVGKMFASPTSGDEEARAHQPYV KVVSGIANLNSEKYYEAARNFLETGDLVHCQRLNDIISPNDVATYGALLALASMDRAE LQSRVLNNTSFRTYLELESHLRKAINMFVNGRYSQCLAILESYRPDYLLDIHLHKHVS VLYSLIRTKCIVQYFIPFSCVTLDSLNEAFAKPGESLESELVAMIRSGRLQARINTID RLLVAVSPDRRVEMQSAALEAAKNYEKEVLERIRRMSIMAADLEVKGHGRKAAPPGSG VTDMWFDDTRKGVAESSF PFICI_12992 MAPQKGKDKATESPPTLDMSDTESVVAHSEDESTTADKEKDSGT PSDAPALPLQKRRRVTRACDECRRKKIKCDGKQPCTHCQVYSYECTYDKPSNRRRNPA PQYIEALENRLQRAEALLKKFMPDLDLADPAMDPAVEQEFRLREQARAKAIKKEESSG SSSTKTDERLLSMISGVGQLEFDDKGDYDFHGPSSGTVFFRKMKDHFKSLLGRDYHVP VLPRPPKPSSLTLDSPRLSAGGSPASRKGSLPEIVDLPPKVVARRLCSHSLKYATCLL RVVHVPSFYSMVDRIYERTPGSYHGENEDRELALLYSVLALGCMYNVLDDEKSKKPPY ELATEQGLKYYTSARFLLQDITECRDLTSLQALLFMILFIQSISNLSTCYGFVGIALR SALRMGLHRNLPHNGETAIDAETRRRVFSVCRQLDIYVSALLGFPMLLNEEDIDQPLP TPVDDEFITKAGIVSVPPGTTSFFEAFNAHARLMDILSKVIKFVYPLKGIEQSVAEGG QMSPSYVISYAKVKELEAALHEWNEALPVAWRPDSEGPEEVVRVRNLLRFAFAHVQMV LYRPFLHAVSPKMTAGKQPDERSYACGAAGINVARNIIHIGIEMRKQVSLVGPYWFTL FTEFFAIITLVFYVLENVDKPGTSDIMADAAAGRDMIAKIARRSVAADRISKALDVLF EQLPPKMKKVSATSTPSKKRSATGSKESLPTTISSPSSHNQSVRSSDTMDGMSANGIR GSLAPMAPSVGFDLDTNFGLDDFSPNMQGMSPLDLAAHPADAMAAAHLDHGRHLQQDG LGQGLNQINQLDAVMFPSGDPLAYPNQPGLGMRGGPQHYDPSQYYAPNLFDGIEGQLM GPLPPYLMQTPQGQPGFSFPAQMYSDPMLAIQLQRAPHQPHGHHQMPQQRRRMFNQFG AQQSWSGGMFPQ PFICI_12993 MDEMEIIDHEIAVDEEFDALTIELKSSPIRRFPAKTHARKVAKA LGVDHGIIYLPGQSELGYEDSDMEAPFRQRRYFYYLSGADFAGCAVTYDIEADNLILW IPYTPPQTVLWYGTTPSPAECMEKSDLDDVKYVAELPKYLVPTLALVETLYGLRESQL PRFKSFDTLKPHIRIDTSSLLSAIGEARVIKSDYELAMIRKANDISSLAHRTVCEELL SLKNECEVEAIFTAVSISRNAHTQAYAIIAGSGANAASLHYGANNEPLAGRQLLVLDA GAEWNVYASDITRTLPISGSFTPEAKAIYDLVNEMQTQCIDRIRPGTVYYSLHLHAHM VAVKGLLQIGILHNGTASEIFKNGTSTAFFPHGLGHHVGLEVHDVPGYERLMIEADGF LAMGGKRKPVLPEMLREMMALDSFDPIAAADEGSNTKMSGPPPPYKGRRQLAPGMIVT VEPGIYFCRPYIETFFLKQPDHARYINTEVLERYWDVGGVRIEDDILVTDDGNENLSW APKGQEMLDIINGETKQIKDRTWYREQ PFICI_12994 MAMANSTVPVSAAATAQPPPMHDTRPSASPSHQDHASNNVAVAP SDEPSSDSNNDDDPLFQDADDAQSLFQPAISPAATRLTSPKTPAAEKAAAMEKLPGGG FFGAGFDGPPVLASAQPTSTSRKLVKPYPNRQSRGFSLGQLPPARYEPLPRLPSPWQP GPKDLVVENEPTTSRPAMASVFGTNTRPRRSSSGGNDALRKLKEALPSITLPTNFLSS LKSPSFFSDNSSQKSPNPATRQIAAANKTDTSLTNSQDARGVHRLSGDASLRPSSASI KRPKAIRRSTSDESMLYHSLSRMSSLGDDDRWGGVSEQANVRFKAIKESFDRPTFKLP QLPHALKRHSLLSSEMAHNKESAPATTMLRSSGSFPKDSAMSELGQALEMLTGDVVVM GGYRGSILRSTRTNRQIWVPVKVGLNIRKVNLEVGLDPEDEERMEGSIYPSGMLTNIG PVDISKRLFKRLRESDNAKNGKLRVWDYGWDWRLSPHLLSRKLISFLEQLPCNQSGNP QERGATVIAHSLGGLITRHAINQRPELFAGVLFAGTPQRCINILGPIRNGDAVLLNEK VLTAQVNFSLRTSFAFLPEDGFCFVNKNTGEEFPIDFYNPDEWAKHALSPCVAPILPA YNVRPSALGSLLNLSGSLPNLPMRSRGNSSASELTSRSPVRQGVVDAARKAEVQNDHT LAPQMGTLSGQARASQQQHAEPKSANERDRYMEYLGRTLRDTKRFRAEMQHQPQLTES NLYPPMAIIYGKDIPTVYAAHVTNREAIPCADCYDDLLFRSGDGVVLSREAMLPPGYD VVNGGRISTDRGHITMLGDLPAVGKALQAILRGRQKGIGLGTIGMEKASDQPSSPSPS SP PFICI_12995 MVLFKRKPVQFLQTPVVEDESIEVWHIPQTGEIFTSYEEYLNRM DFYTQPRFICQITGHSGLTFFAALRSEQAGAEEVEQAFPEALKGPVLRRVQFQTISRI DNLVDMIYDEFKADYYPGEAVTIHLMNGERTTGIVRDKARLGSKVLPDGTLTPPYSRY FTSIDGRPGEEAAVDENNIYRDRKVFTKSVLRSFIKKTVTRAAWTGAPWLVKHDVAAQ YHIDTRVPPHLQYNNKLAERKQHQAQKRALGVQDMVSANGASLHNALGPARLPELKPA PKAQKISKLQEQHLRGRQGIHVGPDPGFVPGNNPFQFPVSFRNNMPPPPAPVVYTPPE PPPPPPPPKYPIEDLQLEPRDDYVRPPLKFLCADPPQGVVDSGARNDKILMKSMGPLL ETWDTLNVYAEIFKLDSFTFDDFVQALEVARANVPVQLFDEVHCAVLKQLVSSEADGG KLLVRMPELEEEDEEEEDEDDDSEEAPSPEPEPEPKPPARATRRSLAKAEAERLQAEA AAAEKEEAEDTPVVKHRAAEVLADYDWIEHLKKRDFKDGGWEMIMVGLLNQLSREPRK TEICEELLSKLVPPDQEPSRETVQQCYSNLDLNLRISALQIICMLTTGTKAVRAYMED CAETMTGYRKEKIEWQKNRKQAVEELKALNEQKKLLLPENTPQSPKADEAPKTNGDVK MTDADESLQEETAEEVADSDDDLSLRRNTRRGGDRAVVQRKQKEREEEQKRKEAEAAA AKQPKQSKQFIKLLKDIQKKEEYIKKCEDEVAVIENDLREADCARTRVLGKDRFWNRY YWFERNGMPYAGLPTSSTAESGYANGCIWVQGPDDLEREGYIDLSPELQAEYKQKFKI TVSQRKKMEEGRTSVFNAHQWGYYSEPNDVESLLNWLDPRGFNELKLRKELINCKEKV ISGMEARTQYLATEEEEAAEKEKKEEQQPKESKRMSTRAKNQPSPELQPQHRCLNWYN SMAIDEIGHIHSEEPVPQEKKSRKPGRKSKG PFICI_12996 MTEHYPTLAQCAVVATALKILLFPAYKSTDFEVHRNWLAITHSL PVWEWYYEKTSEWTLDYPPFFAYFEWALSQVAKLVDPLMLRVYNLEYDSWQTVYFQRF TVIATELVLLYALQMFIDANHGTARRAAQAAAISIFLSPGLLIIDHIHFQYNGCMYGI LIASLVLAKERSGLLASGLVFAALLCMKHIYAYLAPAYFVYLLRVYCLSPRSILRIQF LNCIKLGTGILAILGAAFGPFALKEQIPQILSRLFPFSRGLCHAYWAPNVWALYSFMD RVLIFAAPRLGLPVKEEALNSVTRGLVGDTSFAVLPEVSARTCFVLTLLFQAIPLTKL FLQPNWHAFVGAVTLCGYASFLFGWHVHEKAILLVIIPFSLIALKDRRYLSAFRPLAV SGHVSLFPLLFTPAEFPIKTVYTVFWLILFLMGFDRLAPASNRQRFFLFDRFTVLYIA ISIPLIAYTSLIHQMVFGKSYEFLPLMFTSSYSAIGVVGSWLGFMVVYFTS PFICI_12997 MRFSRPASLAAWLAAGISVAEAQAQFLVDQLSFGYTGRINDKGQ QSVPLFSIQGEPDVPEILSNKIILTQPSPGNARGAVWGDNSNKYKEWVADVDFRVNGP ERGGGNLNIWLARRGVQDIGTASIYTVGRFDGLALVIDRSGGGAGMVRGFLNDGTTDY SSHHSVDSLAFGHCDYSYRNLGRPSQIKLRQTQDNFKVEVDGTLCFESSNIQIPPGYS FGLTAASAENPDSFEIFKFVVMTEDLNKQHEEPTQQQMNQQGQQQNTWSNPGGHGYEK NMPVDDNGWEQDIADATAASIISSEAQFADLHNRLQSVNHHLSTIFRKVASQDSIGEK RHEETSTSINELKQLLEKLNKIDALQSHIVSLEREIKTIKQETGNKVRDSENAIKKML GSSHGDMLLHVAEKTQPTHGKLIFVIIGSQIVLVLAYIWYERKKTLPKKYL PFICI_12998 MSQDTKTPVAASALEVASPDLQSQYNHRSIRAVDGLRLSLTLLS LLCGVTVLGTSGHALNVYNTTSVSTGFLLPLWPDEFDARPTVALVVGSAIVVLASILS LVFSKIPSLRRKVSAHTLVCFITPFIGFAASMIAMIFFYAVNTSTTVDTLQSWSCQWE SVEMRVQPYFGTLCRESKTALYLSVVLVPVEAIVLSLAGYQCTLEKRAASLVQPGKRD SPTPSV PFICI_12999 MLQQQSPSNGSLVARSHLSYKPATNPPLRHDGGGGASPANLSFA QRKAQLPRPSRSNIVEGNHVMRNIDRPPPGDMVHHRRSQRQPGLSKKRSQYYENEFAA GREMDSTKDRVRNEAIVLAELRTNVIIQDEFTFITDLSYHLSNRYQRSMSSIVINLQH GCCLMFGGSFDPAYTLSIFALPDMVQPTMNKRNAALIQKQIQESLGVAPARGHVRFVA TPEEDVANGGKTVAGELDDLDKVTADEVEQGRESSTKPLKIKRLSVRSFASLNSKPST AVNPNPGFDTLPTPPASTGDTPMITTAIPEHPLTPPADSPGRLAEEKPAKTATRRKSF VTALFAGKSLSKERRAKSAAALAN PFICI_13000 MASRLSGWDITSELGGARQTTEGPGSLSPAQLNLERVFEQNRLR QGQQPKNGAAAPELLNPGPPTKGKPRLLLMGQRRSGKSSISSVVFHKLPPSETLFLES TARIQKDSMASFMDFQVWDFPGQIDIFENPTFDIDAMFGEIGALIWVIDAQDDYMEAV ARLNVTILNLQSRFPNIKIEVFIHKVDGLSDDYKMDIQRDITIRIQDEMSDHGFDNVP IRFHQTSIYNHSIFEAFSKVIQKLIPRLGSLEAMLTNLCRTCRFEKAYLFDVLSKIYI ATDSAPADMASYEICSDYIDVIIDLTEVYGSWPRSQGYREVLEGEPWNQKLEDQVAST WAESCMVLTDGNRPIMLREVDKYLALVAIMKEDSYDKMPLVNMNVDVVVEGLKEFFEI TKPK PFICI_13001 MDAKRHPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLD SEEGTPSTAIREISLMKELKHENIVALHDVIHTENKLMLVFEYMDGDLKKYMDTHGDR GALKPMTIKSFMYQLLKGIDFCHQNRVLHRDLKPQNLLISPKGVLKLGDFGLARAFGI PVNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQI IRIFRIMGTPTERTWPGITQFAEYKPNFQMYATQSLQAILPQIDQLGIDLLQRMLVLR PEHRISAHDALQHPWFSDIVQQQMMQQQQAMHSQSRGYSSQIPIPSQSAYQGGY PFICI_13002 MRPMPGDNAFVRRRSTDHDVESSVNLASTSSSQMSFFLADESTV DSYLDQPSVAGSQMRDPRKPVVGSGHVLACERDDLRSEQPRSASIRPEMDSGSMYSPL STVSLPSPTPVPASSTNQHAPLSRPITPITLGTSVTGSLLSGPSSRRNSFVGSISANA ISFDDDDHVLETSTVDMVDSGSAPQLVMPSIKMPSRRPFTSTGKSLGRLKVLCAGASK TGKTSLLKSIVQTCEHIVHVDPIVPQAGSRRSTKEAMRPPPRPSRGRHSETTESIYEI FASTKSYPEWWVELGHANSSQRRKSLGDQVLDRNICFVDTPGYSLGASAMETIVPCIE YVESHLSKVYSDSLNELERLNLLGGDGGLQVDVVLYLIQRYMTPVDIEYLKRLSSLTN VIPLLAQSDTMSIDEQNLCKQQILGQLREADISFFSFTTGSALQTGSNAPTVPYAVSS ATGSDLDVMDASLLMSPDYVQPLAPSELSVLISHMFSLSGSSWLRHSAAKKYLQWRDE SAPSRPRHLYQPLSPGTGALIGRPPLTLARITQHRDYDVNNATRRIELVDWAADLQRS LAQERARQENLACRDHSTWPNECVASGTLVSACRRNAQSPVRRRRRQGGAAKRTSRHQ DPLGLLQVLADLKSKGWIALEVLGSLGILGGLAYYLTHQNEPAQTADDWARLWGFDI PFICI_13003 MASLERTLGVTPPITTTLPTPDEVKSTEALLEELKRQGTFESPD ETTKRNKVLDDLQRITDEFVRRIAKKKEPHNTALIREARGEVFTYGSFCLGVYGPGSD IDTLVCAPKYVTRQHYFEDFPGILVEMAPKGAITDLTPVQDAFVPIIKFEYWGISIDL IFARIATLTQFPPHKELFLTENKYLRGLDEAELRSVNGTRVTNEILNLVPEKATFRLA LRAIKLWAQRRAIYANIIGFPGGVVWAMLVARICQLYPKAAAAVIVARFFVIIGQWPW PSPVMLKHMEDGPLNVRVWNPKVYKGDSFHLMPVITPAYPQMCATFNITHSNKAIIQR ELQRAKGIASEILLGVLPWEHLFVKHTFFTNGYKYYLAVVVTSKDKEAHKIWSGFVES KVRVLVASVERHASIAIAHPFNKGFDRLHKVGNEDQLSDVIDGKLDYVCKEEEQESEG QAAQSGAIKNETVETKPQIGNGVTPTTSPAEPALRIKPDPESETKVGLADLPVKADPE SEMKVKLEDLPVQGGKVFTTTHYIGLELAEGAKSLDLSFQVNEFKDLCFSWDKYQAEL NFLSIQHVRNINLPDDVFEPGEVKPTRPLKRAGAANGAAVPKNVKRPNPSPADDQPSA KRQQPTAAG PFICI_13004 MFRDESSKVIQKAHAQWGAATSPPSANSAASATSSSASWTSSPS AMTNDTRSPVDESPASPAEIVLSKNMQLLSPKIHQKVEPTIEQRGLQFFIEQYLMKTP DAPSADRHLAVYSGSTQAMQTVMMAVGLAGLSHKRGDKAMNLIARQKYTAALKQTGQL IAAGPSDTISVMGPLRAVVTLAMFEVVQGKGSKLSTGTANIHILGAIALLRNVVPHSQ YPVFGARAILQLMYSLLIPSQVTDAPLPPQFFEVLAMCRQFIPPQEHATCDLAVAIAN FLELLTHSKSPLLLDGNPDTEHTLQELLQMDDLMGGLEQQLQRSLPFTVEKAPKEYPP EAVFRGKYHRYNGIEAARLWNHLRWARILVVQRIIDTKKDFPNSVSFVVSPAQLQACY NTSQRMAEDIITSTPSHWHHPILSEPQARRLAAVGKGGTGAAGLPGLLWHLKIAGCAP GVPTEFWDWAYALAQVVWRTMGMQHALALSEVMEGHRAGMEKEAIDRLIKVEDEEEW PFICI_13005 MAGVLEGRKGPDDEGTINVAPKKIAKAMANGGLKLRRSTVKQTK PGNWREGIVSDLEKKKKRSESPAAVLGSPSSGTVVNPLDDTSRDTFSTGLPLDDPLPL QQCKHCKKGILKNAAKEHIAQCLKIKKEKAQRKKEAREARERAKEEARQEEARKNDPD GDTQMNDDSDDEDDEKKGTGGKANKKVGGKKPDGEAKGKKRKAEADADKGPKSKKKKE EPKPKVPKPKGPVDVEKQCGVILPNGQPCARSLTCKSHSMGAKRAVPGRSLPYDMLLA AYQKKNQAKQQKAALDANAPVADEDDANAAPVDSDEETAAVMGALARWNPQPVLPPPV FNPIKRNYQLARLHEQLQTATNGGRSNIFKVVGYGAHKLSDAHADLNVDMDDAPGEPD VIMSADDSAEKEGLTLSSPDKGSPRSASAVAPSTATGSAPVESPLFEPSPTPSTAKEL PRAQASDGPREKSEKSIAKTSYAAPENTPRRKRGRPRKHQRAPNQGNRT PFICI_13006 MSKSLLKSVDEILPAIHHALSGSAGTLISTCAAYPLSLVVIRLQ VQRQLARAGRLAAADEYAGIVDAFFRILRDGEQSAGVVGRVRSIAALYTGLTTESAKS VLDSFLFFLFYELFRSRAPWSRAGSHPAGAAYHNGDRAVRVFRELATGVLAGACSRAL TTPIANVVTRKQTGAMVEGGAVDARRLSVREIMRGILDERGVKGLWSGYSATLVLTLN PGITFFLQDFLTKQIVGDDGSGEDPGAALTFLLAASSKAIASTITYPFQTAKARLQAG VPVDSNSESASPGESEKQQAKESSSSPPRESPQASGNVKSADDATLDPGRALHKFAQQ SVFGTIAKISRTEGVGALYDGVHGELLKGFFSHGTTMLAKSLIHKLLFKLYLLLARIL QQWRAKERHRSRTFGPLKVV PFICI_13007 MSHSKRNTSRAVFTSHEREQAKKAWGASSARLSRDSMLPFSACR LCLETAEEPVACVHGDVFCRECALSNILAQKKEIKRLDKVREQEAREKEEAEQRRDAE AREREVSDFERTLSGVGSSVAHSHGTAAVSSKSPDDTPPKNGAARPGKRKFEMNDEEL QRIAAQQRAKARQALDHEKAAMPKLPSFWTPSITPSSNTTTALHDVVKKAKTAPTCPA SQEGQPHAYSLATLVTVHFTEEIDEKTKKKQRLCPSCKKVLSNASKAMLAKPCGHVMC LNCVDKFMRPSKHGDPHADDVDFTGMRCYVCEANLIDSSAQEKSKKKDKERIRPGLVE LRSEGTGFSAGGSNQVQKVGVAFQC PFICI_13008 MTNDDKPSASGPITAVPYDRPSLPWRASSAMITGLTGMVSRGFL YGFNKVETTGLQRFLKILDDREDMDKRQKGLLTVCNHVSVIDDPVLWGVLPARYLFNP PNLRWGLGAHDICFKNKALATFFSLGQVLPTHRLLYSPHGGAFQSTMPQAIRLLSAQP FPGSQPGSAVPSLSSSFPDISDPFTTGGLTFTTTGSDSFVAPSAYAQNRLAWVHVFPE GCIHQHSALSLRYFKWGMSRLILESEPMPDVLPMFIDGTQHMMSEDRTFPRFLPRINK TFRIAFGELVDTERTFGDLRRRWQELVQRETKGGKPLAMGELTDELKYGREAIELRTE VARRVRDEIEKLRISQGYPEDDAKLALAETWAREPPAKAYKSNVDDSLVRKE PFICI_13009 MSDSEPSTAQIPTVADDNVATTAAAPASPPLPSKHTAVAPGPRA ARFQKVLDDSLTHTLGKISWDNFASCFPTIAAQAPANLKGVQKQMVDRLGVLCRKEFA TIVQSRNVVAKLNELESLVSQAERRREEAGAMDSADVPVPPHLLPANTILAAHLAPHL AQQQSQLNAKLQTTQAHNAKLFGEIQKQRSELESLLLLLEKLFADIDGANQSMDGVVD ELARETRSAEVELSGP PFICI_13010 MAPAAALEGHDVSTPRLSAASPLRRRVSMEEDFFYHEVMTAPAH HHHQHRPSSPPAYTLPRFGYDKKGEPVVMAEAEPLPQYTCDVQIEGVFNMKMEIIDTI KRAPARNWRAMFVKLEGTKLSIHSIKKDWGLGRSKRHGAQVFADNPPWVQKGTLEKTY TLLHADVGIAADYEKRRYVIRVRAETDQFLLSCIELETFVRWLDAMFAAINISPTIDE RDFPRDQSIPRRARLQYFRAGAHLTRQNSRASDASRPAPVIHHSAVTPRIPVRQTSEV GPSNSNPDRQTHATAMASPEAAPLSPIRESSTTMGAVGPIGAPLHTRLAAAQNAQPST DDRPGQLPEPFHHGRLSTTSYPNESIHPETGKWRPRHEWTSAHDMVYAKLCYAVLLFR SPRKSNYVIAKGKKWFVDWTTGRMIRVLPPGYFEHEVMGPWQVWSSENRRI PFICI_13011 MASTNGASTAPEQSGGPQSNLGKDEVAWFFVEQYYTTLSKTPEK LHLFYSKRSQFVYGQEAEVADVSVGRQNIQERIKSLDLSDSCSKVRISNVDSQTSYDN IVIQVIGETSNKIGDDPKKFVQTFVLAQQPSGYFVLNDILRYLDEDTEEDQGEAAAQE PAVETSAPAPATSAPTTEEAHEEPVAKAESVVEEAAPATAALDAGAIDDRLEQVARND TASVNGDAATEAPTEPAATVDAEETARQIEEEDTKEPEKPTDPSPTPVAARQPPAPQA AAPAQPPKPMTWASRAAAAAGPPRPVVPLAKTATPAAPATQQRPAAPAAPAASKPAAS TTQPTETPSAAVTTAKDEWQTAGSDSKRHNRPQSISGPQGDKDGVLGYVKYVTEKVQQ DDLKTTLSQYGEVTYLDINRTKSCAFVEFATPAGYQAAVAANPHVVNGENITVEPRRP KAQAYGGGNFGAGRGNVANRGGRGGFDGGRSGGQGGRGNFGQNRGRGGVRGRGGAQAS A PFICI_13012 MAPTDALSAPGAVSYSSDNLVVGDGTWDFTKNDFLLPNLMGLPF ETMQYNGMGNRFSTLAQYHQLITGHGVLAAIVFLLIIPVAVMMARFHRGAPGSAVKYH AYLQILAVGLTTVVFILGFFAVGPKRSLTNPHHGIGVAIYTLILVQALGGRFIRRITK RSLRVMIHQWTGRAIALLGIAQVPLGLTLYGSPKFTFILFAIWMAFLLLVYFVLSWRR EKHLDDVYIHGGRSAHGGTEISVSSRREEHRGRGWLGPLAAGAGLATLWKGRKNEKER ERSRSRSHSRSRSRVRNGSRPPEVISSRRESHIYSEKPDHSEYTDVPKRNGFMDRFLA LAGLLGAGAFAKRQLDKRDATRRYHDEEYSAVATDTPSRRSRPNRYARSRYTESDITD SLTDLGRDERSRRHSTHLPGPGNRMANDPRTHRPTDSRIDSFFSSDYSSYVSPSRRAK DEREQIGTGKGILAGLGLGWLAAKMKGKKGKGEVEEQRKYEEERRGGYHGSRLTGDGY GSPRRASYRRQSRPAGTMTTMTGDSDYSSVEPRPTGTSSAGPPMPPLGPAGHGPVPVR PIAGESATRHDSIIAPVEMPPIPDDAHGHGILHRSDSGSESYMSAGGRPQRRGSSRRR RAGESAAAAAAASASVLAAETEADRRRRDQSHSRAPSQPVSVKVKYHDDRDRNITLRR LTEEEAAREQRRRRRRSNSASSLSESDIGGSTGRRRYRRDSSARRTGDELGGEPLSPP APAFAGGRRPAKDAAYYAGHGAGTSAAPMTPMHGAPTVSSIDTPPGTHDTFSAMSPSP SGAAGTDMGTASAADRRRRRRLERRDQRPSATVEFT PFICI_13013 MTTARLTFLYPHLFKSIRLSESAVLQSTKHSRRKTLAHQRPPRS AAFSSSVRSRDAVFKRHGKAVEPQPLTAEGVILPQPDNVRTNQAEAQKQKDAASSGQV AAEAGLKPASDPDAVQDQAEAASSQGEPSTPSPTPQQKAAEETMQSSGPMDAVLHMPP PGESSHPHIAKPPYVHHFDTYTLVKQLEAGGYSKDQSITMMKAVRGILAQNLDIAQDG LVSKSDVDNETYLFRAACSELSSEVVNNQRKADEESRQQRTLLQHEVDILNQKLSQDL MTLRDDVKGMFNDRKMAVREEQRRMEGAIQQVNLDISVILTSDAKSDIEGLRWVLIRR SVLGILFMAVMTLGTIRYATYINHEKKRIAEEKAREAEHMRKSNGREDIAPSHEAAEI LAAN PFICI_13014 MSDNVGLSTPRGSGTSGYVQRNLAHMRPRDRAAPYPKDLDSMRH RQRQPDKGILEHERKREVEVKVFELRDRLEDEGVDEDEIDSQCDKLRKELLGKMERAG RDRDVAPKKGLKMHQVHELADAKIKESERLRKALKINKDYEEGSHWRRQEERLKKASE RETENEGDEKEERRRD PFICI_13015 MPTVTNTNSATGGGASKGPAPELHFPPITRDHILHCSYDHWFPK YRANCIKSRIIPLTPQFLEYIREDGITLSDEQDGDDDDDDDNVEWEGPTTVSRVEPEE VDSDSDSDEDDAPPPLPPNKRFPELHQAIKDTIAELGGEVAPKLNWTSPKDAAWMSPH QNTIKCTTPDDIYLLLKSSNFITYDLEHAFEDCTPLPGAVSPALFKPVLVLRSFFNPH TALEFRCYVKHRNLVGICQRDLKYYEFLTDLRGAIVARISQFFNHKLRYTFPDGNFAF DVYIPEGEDEPLGRVRLIDINAWAPHTDSLLFGWDELNDWQVPGPIIGVAGDDDDIGL QTGSEVTEDEDDDDDDDDDYDDEDIVPELRLVEKEHASAYNLSSPAYSAHKLPKDVVD ASMAGQGGMREFAAKWSEMISYREHLAQWERD PFICI_13016 MFAAKGEGLPPPYEVEAYAYRRPLFHGPFRRVSLKKTLSTLCIL SLVSCVCFMAGRASRSTVATSDAYAASAIREFEASLGRCATRNHVPPVESPDSRTRNP RWNQARGQKETIALRNVSLFDGETYSPTPVDIVFSEGLVLSVSVASAAQSILKSGVEY NLNGRFVTPGLVDMHSHHLAMAWPGSEALNDVNEMNPLYGPLTPFLRVIESLKAYDPA TRVIASGGITSSLIIPGSANIIGGEGTVVKNIVRSGETGEYVVEEMLLEHGIPSQDRH RYMKFACGENPKRVYGHTRMGNAYVLRHHLTRAKELMDKQDSWCNIAAQLQTNAARGQ FVEQKGGYPEQLELESTIALIRGQVAMNNHCYEPEDLETMLGVMREFGIRVRAFHHAI EAWQVPEMIKAYGGNITIATFAEASLYKYEAYHPSLYAGAILDAHGLPVAYKSDHAEE STNAKYVMSQAAAGHAFHLPAEKALQAVTSIPAAALDLSHRIGYVRAGYDADIVVWDA HPLSIGATPQQVFIDGVATLDSKMVQSSTGSSMETATTPDTTLDAPVMRVRQAITTAN DFCALAKRHGQSFIVHGIRKSFLDNHPHHVGSISTAGTENMTLVIDNGQVACLDSREA CHDVIMAAGNENATQLTLQNGHLLPGLTAVTDSLGIKEIFMAEETGNGVSETENVKDP TSIDYAKYGLYLDGKAFSRARLGGVTRAITPPEAPAGLVQGVSAAIRTSGTKSILDGG VIMDEVGLHVRLDQSSRDVGSISMAIKTLRAILSDNHGKGNESAFGLVADGHLPLIIS VESSAHTQQIISLKKDYQDVYIVINDGHGAPIVAEELAAAGIPVILSATRPGPTSWDR RDVFVGPPLTRSPASVLSEAGVEFAISVAAEIPVGDSRIHDLALEASWAAKYAGLNER EAIQLVSKKVEDILRLKPSKDVVLWENNPLQWGASVVLSFEETEGGELEVGACWPDEN VE PFICI_13017 MHFNLGTALLGAFALLTSSGLAADSAVWVDPETGFTFSQYAAAF EIGKTVTFRIAIPSTATASAPYDAVLQIAAPIAVGWTGIAWGGTMVTNPLTVGWANGN SAVATARWATGHTAPSVYSGATLQVLKTGTHTNSTHWQVTFKCTGCTTFAGSSGNKTL TPTGSNRLAFAYSKSKPTTPSSGSSSFPVHDVTNYWNHDFSSAANANFAALVTKNS PFICI_13018 MYWTSLAAAALLGQNVAAQNMLRFACSQLVVERTDPLVNPGMTY TPHLHQIVGGNSFNVTMDPATHDLASQSTCTSCTFKEDLSNYWTAVMFFHHKNGSYIR VPQVGNGGPQGHLVQDGGLDVYYIPSGKTTAFKKGFRMLAGSATNTDSSKVSKGNICH RCWTSPNDNTFVGGAPCSGSDTVDIPADASCKMIRQTIIFPTCWDGKNLDSPDHQSHV NYGGATGAAGGASSCPSTHPVKLPQIMYELMWNVSAFSDKSQWPTDGSKPFVYSMNLG GSAAHGDYVFGWQGDTLQKAMDNGCNLNTDCSKAGIHAQTSAQYSACKVQQQAPEAVD GWLKAMPMGEMSVLA PFICI_13019 MAAPADPLKSASRPGAAQSSSPSSSSSPSSPSPRQNPIQQVLSL PPTWLHMYSDFITKNAHSVSQIESALRSLTYIIPGRFRDAEIASESIHSGVQLLSLYH DDVLLRAVSKIPGLASRIPSPHARYTRFWTAKSRIYRRIALLLQTVQYTELLWEMAAK RRGNKVRWRVVILLEVIKAVCKLLLLRVTNSRPIVTPVLPEREPLPEQEDAQSNEDAL KELMGEEVEESPMNLNGTAKSAHEKEWSMPRTGSNLPSLPSPNDISSYLLSKVLTADD IKPAARLLNSLQGSAQAAEVLHILAPLIYAVALSRSKDKKSWTPWLIGLSIEYAARQV RDRSFRATALEREEWGKRAWAMGWWVMRGPAYENVTKGFISGVTKRMPSFVGSIIEDY EYLWENYYFSTSG PFICI_13020 MATYMWETQIYPSEMFVESCGAILFDLSGRSRKVCLLHLVKKDH WVLPKGRRNCNETRQDAAVREVREESGYACRLRPVTMPTRAPSDLEAADVQDLARTYH GLTEPFFLEVRDLGKESGVKLVWWFIAEVDKSIPPAKSEIHFIPEFIDYEEAMHVLTF QKDRDMLSRAINLVEAAGWSGFQQWA PFICI_13021 MSTSKTGAEATKPLDISNLMSPPELPPMESFAHSTTVAKATEQV TSNVRKAHGPNPPLSPPISPLTKVDHSVAASTRSSTPVKDPILYPSHELSPTQPPLFG REDSVETRRVIDLHVESRPTDMFKQVAPPRLEDYQVIMTFQSRVMELYKKDPRAWYKH CREQALIDRKEQWKYNRHKQKPILPAKPQPIRNHVQRVVKPSRATNRPLQASAPAPRP IRAASPTAPPQRQVISRINATPDPASRRVTAPSREDKDFNALPDYCPPLSSLPAKANS LKVDWKGAPIDLNSDPNRHLLHPDELLLAANLRLDAATYLTSKRRMFMARLDCLKIKK EFRKTDAQQACKIDVNKASKLWTAFDKVGWLEQHWVARFA PFICI_13022 MSSSNAHLSRDNLFDLKGRVALVTGGGSGIGLMATQALVANGAK VYITGRTAEKLDRVVEQYSQGKDSIIPLTCDVGKKDQISNLVKEISSRESCLCILINN AGISTSTVSTEASSAEEAKQNLFDTEKATFDEWTESYQTNVAQIYFMTAAFLPLLQKS TDKHPGWSSTVINISSISGMVKTAQHHFPYNASKGATIHLNRMLASEIAGQGMKIRVN SIAPGVFPSEMTADGSGSDQKSHIEKDKYKKVPAQRPGKDEDMAQAVLFFAVNQYLNG QTLAVDGGYTLAAGL PFICI_13023 MTLESSKVALLGPKPEEAREMIQTAQHHEVTPANEAQTGSDADS DDPTENAKLEDQTMKAELKRLDRKYDDYGDKKYLERKHGDHVENERDWWDLFALCEIR SFWEDGELEGTNLRVNSHLLKQLLQDVVGDFPNEPIDASTDVELSVPAHCLFFYHDEL KEEGMKRFANNAEGQAHINILLKYIRDTFEDESRSYKRAIKSESGAINYEHLWTTFRP GSLVYARVLGHPHAYKLLSTCYYKGQIPALGLTVQFIDYDGDKFGTRTTEFRIPQFSG TLRFDQLNIRPLDTLSNEDETRKQLIQRGIRFEKLVGQTYMHYTGVAVKKQQSGYERV NLTERVMIDTKTYHRLDPNDAFWVSEMTGNEAAKRQREIRKHDGGIVMDGISDTVLYD ELLDEHRLITNATVRGYAFTNKRFLEFFVDQLSPIEWNTDCFNELVLDPEPKRTVKAL VSMHARRSRNDPQTFDDIIKGKGQGLVLVLHGPPGVGKTLTAECVAEWVQCPLFTVSS GDLGTDSNALQKRLNEIMDMASTWRAVLLIDEADVFLERRTSQDLQRNSLVSIFLREL EYYTGILFLTTNRVATFDDAFKSRIHVPLRYSKLSLESRRAIWQNFCNRVPGGTEIDG KGLEQLAQHELNGRQIKNIVKTAESLAAFEGAKLNLDRLEEVSLIQAKFERDLLGSVD TGLDGVWTYD PFICI_13024 MLRTILLALGAQSALASQIVLSGPELLSPARGNVKYTLPTERTF LLNVPDTYDHQELHPLVLSFHGAGGFSEKQQRITELSDPTLRIAGKPFLTAYAQGVNN TDYPMKHIWKGAPYENATVDDIAYVYDIVETISATYNIDRKRIYACGKSNGGGFTALL ACRPDTTSLIAAFAPVSPALYQGTFSFHNCTPSRPVPIYHAHGVEDTITPFFGRTPEG GSFGPEPDVRLWRRQWAERNGCKGTYHGQWPEPKVLEIYEGVFEEVWDCPGAEVRALT IEGLGHAWPSTLGLDLAGRPNHTANFNFTSPHLIDFFSRNSLP PFICI_13025 MRQMVLFGAAALLLSPLAASSNVIVESLPSVPDGWQLVKKANSS SVIRLRVALESPNVTSGLFEKTLLEISSPTHPSYGQYLSREQAKDLVQPRQESTDAVL AWLESAGIPDQNIENVGDWINFRLTVAEAERLLNADFNIYSHGNSSGLKARTLEYSVP QAIRPHITTIQPTTVFSRMRKNMSGIAKYEQIPDPEGLSSILDSSVLTINKTEALKVC NYLIVPNCLRLLYNIGNYTAKPAAKTIFGISGFLEEYANYEFLDTFLEKYASLSSNQT FTTALVNGGDDYQDGTDDQEANLDIQYAAALGFNTDLRFYSVGGRGPLIPDLDQPYAD QNMVEPYLELLTYLLNLTDAELPTTLSTSYGDNERELPLAYAQQVCTMLGQLGARGVS IIFASGDTGPGSACQTNDGTETPRFTPTFPASCPYITTVGGTAGVLPELAVSFSSGGF SDYFPRPAYQDAAVSAYLQQLGPNVFAGLYNTSGRGFPDVAAQGNGFRIVDNGAVASI SGTSAAAPTFAAIISLVNNALVAAGRKPLGFLNPWLYSVAGPAGALTDITQGGSRGCG PYSDYSGLPTPEIIGAGWNATAGWDPVTGLGTPLFNKLLVHAFLNTTS PFICI_13026 MRTFNSLCFVHRHTVLFKTSAFTSANHVPVRYSSLSAIHRGLRN SEKSRPQGFTRGALESAKSTRAKRPPSRVRRDPDWTPTGFKIKKGKRDITDQGPQPKS RSGRFNDPTESFGKKSLVYQVKHGRLREQLDALGGKAPKVSSHDSFESKFASKFGDDF AEAKSSRKDDFRRSSSSRPKAVDPRLDYKNERSGKPFPRKDGATGGRSPGFARLGDRS TGRFSDNGSRRQDDRSTGRFADNVSRRQDDRSTGRFADNGSRREDDRPPRTFESRSTS FSDRRNDDASPQRSGSARDDLPIRIHYTTAASQFLYGRSVVEAALRASRRKLYHLYLY AGRDRQNLVQDATLEKLANQLDVPVTKILDNDGLRMMDKMSGGRPHNGCVLEASPTPQ VPLKSLGGLSEDTSNRSFKVELAYQSAEDAAINGSPEEIQYHAKGNRKPFFLLLDGIL DPGNLGAILRTAAFLGVTGVVISKHGSSGLTPVSLKASSGASEVLQLYSTASTLDFIE RSKDNGWMVYASVAAGPRSRGNAHLTIDKIETYDPLSEQPTILVIGSEGEGLEKKIKR LADFEVSIPGQSGLLSTIDSLNVSVATGIMCSAFLKKSHSFEIEETVDNIKEKEGETT LW PFICI_13027 MDAMEIADGGEVGHDEAELATPDEISLVPVAHMDLDDGDHHDEE DKADEDEQVQNGPVVQSGDDDLQQTGDVNMDSPPSSHHSATKQQQLHPIEVVISPPRN PDSFSKIKLPPSWYVLRVIDQIDIDEDDYGYGQDTWYSVEFDDGRIDQLFRLGPGDDL GHFKHPRANNSQTHYPCRLSVSVFLNFVSVSCIAQSLVSISDSETNYELALNTVRKQL PADLPILQSQHITHTLLSLLRPINGSLALERFQQSRDANDNSIVTSYGNKRRRIIDSS DEDTMEFEQPRMGLRRSTRQKTTSRQASVDYASNHDIDDAFAKADTLLDGGDDDDDED YQKTPQEPTTRRSTRPRNSIGKSYISFIPSSEDELANDSDDAFKPVTSDLPDFKSSQK KKKKGGKGRPKLKNTRRNGRESSIEFEPVRKSGRATKETTYIVPDIDDEYEIIEEKVS GAPKHVSVKEIFPPLPETSEFLKLHATNCETCGSDAHVGKGPLISCQGCSSSYHKICL GQRSVRDHRVTKIGSDHFVLQCRYCIGIYQKRDESAPNSAMCQSCKLTGASCSEFSSK KTPKVEERLRLENGGEDPITQVKPDLINNADTLLFRCTACKRGYHFDHLPPTTQESEI PDDLREARLEEYAMLDWRCKDCANAEHSIQTLVAWRPIDQESYTPGTTALDFSEDHKE YLVKWQKTSHFHDTWMPGAWIFGVASAPMRIAFLKREESMLPKMTFKEAVDEEWLLPD VILNLKYTRNASNSSKAKDLARISDVKEIFVKFQGLSYTEVVWDKPPSKDSGAPYAAF QAAYSDYIEGVYFPYVSDQKMAERVKQFRGLDFKRHCELHEQPDSLKRGKLMAYQLEG VNWMLFNFHQSLNIILADEMGLGKTVQVVSLITTLVHDKPNCWPFLIVVPNSTCPNWR RELKHWAPDLRVCTYHGGRAAQDLAFRHEIFPEGVKGGIKAHVVIMSYEAAVDAKSTF RSVKWQGLIVDEGQRLKNDKSLLYGALRDMKIPYRLLLTGTPLQNNKRELFNLLQFID PALDAEELDAKYADLTKDTIHELHELIRPYFLRRTKAQVLKFLPPMAQIILPVTMTFL QEKLSKSIISKNSHLIRSIISGGRTKAGERKGLNNILMELRRVLCHPFLFSDSVEDRT VTDPAIIQHNLVEASGKLKLLNILLPKLKERGHRVLIFSQFLLSLTIIEDFLTALGLA HARIDGSLSALEKQKRIDAYNEPNSPLFAMLLSTRAGGVGINLATADTVIIYDPDFNP HQDIQALSRAHRIGQKNKVLCFQMTTKDTVEEKIMQIGRKKMALDHALIESMDVREDA GDDLESILKHGAEALFSDDARDHIVYDEAAVEKLIDRSQMESTMAGDDKSAETQFSFA RVWENGHGTLVTNNDESAQNEDAPSDQNVWENILKQRQEEHEREVAAQQREYGRGARR RNQDVRYVAVGYFIEGVNDSFLTSNQPQVLGDLPLDSSDDDDFEGGKESAESDDEGSD LDSDDLGRARKGRKRTAPILHKPQTPKTPRTPSTAPPSKKRKTINTQSSSGRNGRSTP RKPASSTTTRCSGHSPSTPRIPSDRGAAAKAQRSPEKSIDRTNQAETKDDGSRTSQLD STAKDTHVAASTNAQSSETQPVAVNANSHATESVGTADTGTSAATVANGPETSS PFICI_13028 MDSSSLPTTNSPAIKAPSDVSFCSSGVSPASTRIGSPASSHSSS ALLDLAQFTNSDIEPTSNTLLESQPTMERNAFQSVNLRRDKLIAERSNENNVMSNGPQ AQRPFPLQQPQTLRPSTSTSISAQALRSLTSNNWRTQPLGEIMYNSFDPVVGNVPTPS QASRGPPSSFPAHSLPRLEQHAALMSYPNMAAFSDLQLDSCYAYCFDRGNGQYTQLIP ADMLPTLQNVPALQQGCQGMIVLPTPRAFLSNSRSSNSDPILLRTPPATPGIPADNIQ SRIDNIVATTPSTPTHHSSSASFSISHINNSHQQQHQGHQNTPSFLSSNSAPHHHHQQ QQQQQQQPQRRPKVYCDKWVHEGVCAFTQQGCKYKHEMPFDKVTQHQLGLFHGLPAWW KKHQAELARQRDVSQTLGSEALSEGDNPGTNTNLGMNEVTPTHELNELSNRTGARYGT EIRGNFDDSVNNSTSAMAWRQQNSHVFGKNGGIEQSQHNRGGFTSRNGVGSQDLGSRA NNFAWHPSPFGPIAPPPPRTSAQMSRSIASPIDGSLTTQGNLPTNNPYASLEALDDGK TD PFICI_13029 MSTATRKPPTAGPNTAAATSSSPSPSAARQARGSISGASSAAQN AAAGAGTPARRGSIRTGTPVSARSAMHRGSSSLSIPTSEAEELARAEAAAIVEDLKAR LEKADAAAEQYRKQTDVLQSRLDEALKEQAKLEERCHESEEQIEALRNEKRESARQMR EMETIYEAEKSKILKEKEDMANKEEEMQTVINRLKDTINQRNVEDDGRSSRHSNNASP SVDNGSFAPPSSITRSDSRNNSKFLLQKDKLIESLRLELAEAQIKLVESENQGGGRLH EVERLLMEARMANARLMEDNESYQLLLQEKTLTGDFGKGDFSYMGVSAHQDALDALEG RPSSGGDKDTTAGGTLADELGDVADDSAMSHADDGDHQRRLEGELRVLKDQNKALTLY INKIIERLLQHQEFEHILDQSTDAKAGGANVNKELPPAPSDKSDANASFIQRAKSMAT GSTTAPPVRKPRPMTFMPSSNNTLHNNPETAPSIPIGMSRAASTRRAGRPMSEQYPSA AGIVNAMYKGPDGPMGPISPTLSNPRNSAQFFNGTGSPRVVSSSSGAPTAGNFPGMRS ETSSVSGDSVTEGTSVSQSPPRTISSAGGYSHTGEKQGATFAGNKPRPLRLVQEESDR KAAENKRASWFGGWQNWSGAGKKPEEVDAIRE PFICI_13030 MAPKKNKKDANSLSSRLALVMKSGKVTLGYKSTLKSLRAGKAKL VIIAGNTPPLRKSELEYYSMLSKAPVHHFSGNNIELGTACGKLFRCSTMAVLDAGDSD ILADQQS PFICI_13031 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKRAKVD DFPLCIHMVSNEYEQLSSEALEAARICANKYLVKYAGKEGFHLRVRAHPYHVVRINKM LSCAGADRLQTGMRGAWGKPNGTVARVNIGQIIMSVRTRDSNRAIALEALRRSQYKFP GRQKIIISKNWGFTPLRREEYLEKVAAGRVKVDGAYVQFLTNHGNLENNMKRFPDAFQ A PFICI_13032 MAFHQPTRQATQRVYHPAVEEDVRQVPRPAASAVDESQTWVLFS PINDAATTTSYLTETHRSESTPGRSRLSDLGSVHTIARSDSHGRRRSLSTHEEDEEDD DDEEDDAELDSLDSHLPEFRSTPHFSHSAPGLAPIVPAHDGLGSFRLDHDGMGPDIQD RLYAFERYNPRRVKRRRESLELAQVELDIEQEQEIDKMGRIEAWRLEQSRCLLEEIQK ETRRRRRSAASARSTIERVENVVPDNTHSNVQETLDEDDAWHEQQASDLDESETFLGR ITRKVIRDIMGIDDKLLSILFGESLPDEDDLSSTPKASTLIRESQKDTNMTDSEHASW QLQMLERIAKELGSFVYQLSEHPGAFSTYTRMQQMPIPYAGLPPIAETTNDMTKAPLQ EADPRTSIPEFKPTIPTHSSPIDVPQTKAAPAHSHTASHITGDQQAVTATAFTQDEWE QDLDVRLIFRYLRSRFLSRSNSTGNMSTGSHPATSSNQDAAAKAARVRQHHPLVSKIR PPERRSFRGATPTSPVIFRRASSCASQSTRKSVRRSSCSSRHYWDIGAGSVGTGSLIA AAGPMGSWGEI PFICI_13033 MAQTPSTTVSLLSDGDQNIARQPDDSANTDLSVSSAKLTSPSGS TTDHPSQLHPGNRRHDTEMPTNSWPDSHTPQTESELQPTQLGQKETQARVPQRHTHGF RHWAWEAVNAVLLLIMIIATIITLRMHDGQPVPDWPLSISINALISTYAFVFKGTMAL ILTSCIGQLQWSWFRARRPLKDAALFQDAGRSPIAHSFRFTILGIALDPFFQQLAQLT SCTVLSASGQRPFVPRTNFLSISGMDESGGGIPVDLPATLASGYYEPPKLSNFDCSTG NCTFRTDYSTLAFCTKCQDISDKMEVQGLCREVFKFQNGTEYYYPLGAGNKFPPIIDC TYDYSLCDALWVEGDNVDSYHQCNVTSSVDSIWSASYFVDPRDKSKDSPQQLTINSKL RMNQEVAGLSSVSWPNNISLGYDYGIIYAKDPLAQLPSEGCEKELTNNTWSCRGYGAA TCFIQPCVQTHTADINSGRFDETLIESSTDVLWASSTSSVYSALSILDKSCISDEERA QLITAGFDLDGHGRWLAYGLNLRPPGVPPGDSTPPPEPWLLKRCVYHMNETFLRILFM DVLPDILEGAVVNDAPSPQQLRVLYNDGKINMTGITSAFENVAQTLTLWVRTNGDPNY SEPVIGQAFHAATCIQANWVWLTLPAVVASLTLIVLALTISITQRRGLPLWKSSPLAF LFHGPGGSHWVDEAIMVAPPRPKAKATDLSTEAGMESMAARIWVSLEGGDVAPRLRQV GMRRNSEP PFICI_13034 MAAVLETTPDGFLANSTRLEQDGLLDQVQKLVTGYLAGWSNSQI AVTVLLILVSYDQYRYIKQKGSIAGPAFKIPFMGPFLQAIHPKFDAYLTQWASGPLSC VSVFHKFVVLASDRDLAHKVFKSPTFAKPCLVPIATSIMKPTAWVFLTGKAHAEYRRG LSGLFTNKALSTYLPVQERVYGDYFERFVAASAANGGKPTAFMGHFREINCALSCRTF FGDYISQAAIKRIADDFYLVTAALELVNVPLSMYVPFTKPWRGKRTADAVQAEFTRCA AACKANMASGAKPTCIVDQWVMHMMESQRYRDRLAAGESVPDEEKPGNLIRDFTDTEI GQTMFTFLFASQDASSSSTTWLFQVLAQRPDVLDRLRAENLAARGGDSTRPLDLAMYE SLTYTNAVVKELLRHRPPVIFVPYLATRDFPVTPTYTVPKGAMIVPSCYPALHDPAVY PDPDRFDPDRWITGDAARHTKNWLVFGAGAHDCLARSYVPLTMAHMIGKAALEVDWVH HPTPRSEEIRVFATLFPMDECQLVFTKRK PFICI_13035 MRLTLRRSCNACAKAKHSCDLRTPQCSRCAKRKSQCVYANRPLN PPTRDQELMKAPQPASTAFDPFDSYPPTRLPRAHVQRLIHHFLAHISFQYYPLDLEMD SNPFVISWWPQALADGALFHVSLQTASLDVELRAGQGFPISELLMADSVALVRRKVES SVLAFQNETLNSVVTLAAIEYGKGNTEASKMHIDGVKRMVSARGGISEVKRASPLTAR MISWVSLIVTGAPQFLTQDDESGGAEGIGSIPQWRLASAFSDLPNRFLDNEDLDPTLR SIMRRLHTIFHQPRQMCPLTNLELHDLTCFVIHRLLLLPDFIGQDSKQSVTSECLRYA LVLYLLIIHGTTYYSHHDLSNNLLLRLKGHLEALGKMEDTHGPLGLWIISVGMIASTN TAYRQWFTAQACIYAEALNLQTWADVLLRLDQILWIQSPQESLFRQKWQEIMKVMAG PFICI_13036 MAGSFPDVSLPNSSFFNIYTDGGSVARTSPAPALPGGQCNFVDL AHGANGPKCGCRRFWSRNALGLNNGFSDHSIALSNGGSHIDQSAFCMCSHHACYHDDI QPATQQGPFTAPIINSAGQENEKPRAGREPLTPVMPDMSFQVPPPVANQSMEQNTIDN NASFSTNIHQDVQLFGNDEDPAPPAEASIPDTMSWTNLLQSQPNYQGDVAPSIASQCL QPASQPSSTTSSTRLAYLKPFGGKGLNTFSTPRSKLREALQITPDPEDRRTQHDSVNR NAAPSIDDLQTVTNTPRSTRSGRRADVPSQLSQPARTEPRRTEFQELANTVQGHEERI ERLETVSFQNDHEDCRDQHEQTDLRVTELTSRVEELEKTLNDGSSVVSSHYHTSRHRL DQSAASVASVTTTGSGRTDRAEMQNELALLKAQLNHLQASSFPTASKPWEVEVVFLPF PLKGVWYQSRDFPSQRTSGATIVDHDEWTQMPNSSSTIETQLPDLHEWAGPELQSEWL LPRACAPGNVVDLRLRSRGLIKNVVVRSPDARSVQQAVSAAFGTLFRTFSRLQANVHH GSTTHHRMSRFFGLKQPWIPLRKIHKDSRLRFLSPDEMVTSTSWDVSFLSSSVVMKAT GVHRLYITQPEAYLQDQDAYDNGWSWQRLRELSRVYPDSQGSQLEVPEADAMETYWTW NDKLDENSSSQDSMHSLSLRQAAQPRLKSRTPSQHLLHMSRSGPSPSLSSSRSRAASP AILRERKSSIPRPIRVRTTSMPPTLPPLASPSQGRRRMATATFGTIQQHYFERRPSPQ LLRASTGSALALTRASRRRSTRSPSVPLSARLRNTPRWSAASPSPLLATMNIGEPFAI ISGEGEVHAACRQTTPYYYATPHSNAPHVESRSYRGMMDIEDDHGSGTDYSYEDGQDD TEAESDEDDEAMLDLGHPRRIREESEWPEWEGVQQRRPEDEVLVGIEDVENRDPEDLA HDSDVEDEENRDPEDLACRDVEIYEDLDDRMEASDDDAENQDDGQCSSGPSEYSTRQH AWPDIDNTRDFPVFDETNG PFICI_13037 MADQSKRSKVFFDIKIGNKPAGRVTFELYDDIVPKTAENFRALC TGEKGLGKTGKPLHYKGSLFHRVIKQFMIQGGDFTAGNGTGGESIYGEKFDDENFEKK HDRPFLLSMANAGPGTNGSQFFVTTVATPHLDGKHVVFGEVRSGKSIIRQIENLPTSG GDKPSRDAVIDDCGELTGAAAEALSGDVKVADALGDAYEDFPEDADEQLDAQKILKIA ADCKGFGNQAFKGGDVAVALDKYQKGLRYINEEPELKDESEEIKAQLEQLRFVLNNNS AMMNLKLESWEDAERAASNALLVQGVADADKAKAYFRQGQALVKLKDEDGAIKAFEQA KKLAPSDAAITRELEAVKKAAATRLAKEKSQYKKFFA PFICI_13038 MDGRTHNGGGGGRESWTSAATYSQRGSLEKDSHRERSSRAASIS SRANANAQSTIRTVTPEPVGNGHTATQMSRGYSATSAQTSPRSIDDEHRRQGIVFNDV FGGSYDSTESGMQQQQQQQTRAPVRPRTQTMDGSLALRQQTATAPGGDSRHRVGSVSS SGSTPFNEMDVRPRPPVLESASYQSSATSAPSSRAPDLKITVSSSSSKAEKKPSNRRL VKRASSRPTSPLVSPPPSVDSLPIPIPTDDANRVLLLMKTLCGRMKGEVEYQAEPNGQ WYSGICYIDEERGSLLFDSGQDGPFHLPLVGDLRGCRVLPVEQLDGGGKCLELLNQKM GVELQLRPLIADEFDLWLAALLCWQQVLPNGVRMQGGKFGNSLSTNRAEMRRRGSAVA GSTGSKDANINIIKVGKVMLWDKGVATSPRAIVKRPSTRDLRAATTAWVRVSCILQDN GEFKLMTENDVTVLSVIDLSQLSRCAVQQLDKTVLDEDYCIAIFPIYASTSKQLSIFR PVYLALDTRVLFEVWFVLLRAFAVPDLFGIHPTTDEAMELSSLEPNFDGQIFRLEKTI QVRVSEAKLERADQRPEQYDRHHHHHKTERDPLTGNYLAEVILDGEVRARTTTQTDTK TPFWREVVQFTELATNLPYLSVILKRVDGNLDSFSHQLQASLGLPKTGGNLTEVMCGA VDIPLEKLERGKDHDQWHSIRDEKNEHIGSMFVKINIEEFVVLATDDYKSLSDLLHKF SLGIPSQIAAAMPQQLRRLAEIFINIFQASTRSVEWLLVLVEEEIDGISGANNMKKLR YSRRMKSNESGPTGSDRELLVRDMGKSLAGEANLLFRGNTLLTQALEFHMRRLGKEYL EDVLCDKIFEINEINPDCEVDPSKLPHNVDINQQWSQLMQLTTEVWQCIARSANRLPA ELRQILKYIRAVAEDRYGDFLRTVTYTSVSGFLFLRFICPAVLNPKLFGLLRDNPRPR AQRTLTLVAKGLQALANLSTFGKKESWMEPMNKFLNNQRQPFKDFVDQVCSIPTERAS VPLPATYMTPITILGRLSKPAREGFPVLPYLIDDARYFAALVKLWLENYQGNAAKMRT LTGDMAEFHELCVALQARSDQCLARIESIRAAENASINADDIVEGMDKASLMDSMHLA YGSSSIWAENDPYRAPGSSGSDTEGQERPMFREVKFSGSKEHGAHRGASDNGDMPFLS GTLRRNGKNPRNFLSGLIGGMKEKHEKHAKGDASASGYSSVREKSKEKEDKDKRGFFL GGLAEGWPGSEHQKH PFICI_13039 MSLAPAADIQKQASAPSLSEPTSTSGSTPTPTEKDIEGDNNNKT KKRSLFGFGKKKADDKASSATTASKTPSKAEASIPSPPHTQDASQLSSRRSTQTSPGP MEAPHRIPSSPGRGIYTTSPHLTSPAGSQIFERDVQESAAILPNSPAIPSHIQVENHI PPALDATSEAITDDHLNPDSVEIVTHASHQPASVAVTGGAPYETQSSASWVDELQSLA EKEEAASNYGNLDAADVRRLSFISFADVVQAEQHGVAASSRDSMHLAGLTSVSSSGLN RSPSPVRSPMSSQGPETSPPTSKSGSVKGVEMSPTRKPLGSPSSTQHNLGIGASSDLN IETMSQALRRTGSTDFSIHRSMPVSPIEGQSPR PFICI_13040 MERPALQPLRRLASQHLPLTAKRTQATASRTRKALKIAPHESFL AGKTNEDHIIFNPPSSAPSVYHTPFKFLPRSDPRRQANLTQIIRASSSPASTGVVAPE LGTQTNGGFVPPKQNVTREQVEEMRALRALDPTKWSVAALAKKFDCTNWFVMMCCKAS PEHKASEQARLEAIKARWGPIRTQAREERKKRKAMLGRSEL PFICI_13041 MAIFVDLDDDDESPSLQQGHHVYNHPVQPLGVPQWDGRRVANDA PIPRVEQCLDTKRQDKNELSSSTTNDPATSQIIFRNAMTEALGCYPVAMAIASSLDLI SLDNLSRTCRQIHASLLQYRTPLKSHTLHCEFEDVELDPDDTLRYRARAGNWFYMEDM GNSSSNYNGKSGQCARDMVAECRRCARVVCRNCAIKPPAPIVLRDRHRRLCVRCTKAP LGALTKPPLQATTPIDADIMKRVVCTCQSEGVWLCQPCGRSIRGADSDYQSIWRWRNQ YGDIIGGVGTGIGDGDRGVICGREAECCGGKEREHETDCDAADAREHVLSNPGTPPPA NPHPFQSQMTNNDTLSPMPSPSSSPGSHRTPTPPHLGPGYFRHEIEGIGGVVKTKRLS MIRVGACVPEYEDEKHRGEILGREVSGQVRSWCGWCWRVIPGKKDYEKGTERMGKSRT PTIKADA PFICI_13042 MGLKSYFTPGKGANAKEEEKGHVKTISITDTAAMAMTDVGPSGK TSPPLPRPTPIPGTPDLLTPSGNGSPYGSRPGSIYSRRTLYPAGDFRNTARDSLLDVK TDVMCSWLYQRQMEKQYVTGMLPGEGVVIKKGKNNYTCCPPQLRDLPGNLHDMAMQLN VRCAMTVNTRVVNVILSSRRSAFDYIPLPDGLRLQVLATMTDLPRCQKHHFAAFIQDS GILVVWEDEPQKLLPRAEAIERQLMRMIWGTGNDEDEDDEKNQVEVGVSAVDLEASLD GEVRKTKLTSAMIVGLTMALSITCVGVGLRNIVYELSVDGSYTRLALLAVVPVQIFIS LFFFQTIIVNLFEVFGPISAVDKNSKYYSGKAPRRLDRNFTALPHVTIQMPVYKEGLN GVIKPTIASVKQAISTYEMQGGTANIFINDDGMQLITEEQAEARREFYEENNIGWVSR PKHNPKPAEGESVFLRRGKFKKASNMNYALMVSNRVEDKLLQVSRAPTWSQDDENAAY NQCLDEVIQEDEGRTWAEGNIRVGDYILIIDSDTRVPGDCLLDAVSEMEQSPEVAILQ FTSGVMQVSTSFFESGVTWFTQLIYSAITFTVANGDACPFVGHNAMLRWSAIQDAVAY QDEDGYEKYWSESHVSEDFEISMQLQCAGYTLRFAGYTGDGFKEGVSLTVYDELARWE KYAYGCNELLFHPLRYWITRGPFTPLFRRFIGSSIALPKKLTILAYIGTYYAIGAAWP LTLLNYFISGWFFGLLDKFYLDSFAIYVSIIVVFTAFGNFALAILRYRLNEQGLLAAF WNNMKWIPMFTIFLGGIQLHVSQALLSHFFGIEMNWGATAKEIEDVNFVEEIPRLLKR FKGTFIFVLLMTALMVCGSFVFPYQWRINDFAAIWPLGSCTITHFLLPVALNPALMVF AW PFICI_13043 MEAPTKEDLLKPVEPGVLPSKSIRKDSIFTPEDLGVYDDAATYY KSDSRHGGAHHRARTYSQNGLNQQMERLGLVEPHRRGSHDEASRPHARQFLVNVDQTL ESLQAQEDTDGNMQITIEDTGPKVITLRTAASGGHNRFDIRGTYMLSNLLQELYMAKE FGRKQVILDIGRLNENPVSRLSRLIKDQFWDGLTRRIDASTVEIAAKDPKDWTDDPRP RIYVPPAAPEQIEYYRNIARDYPDLRLDVQVLPEVITPDYVVGLNDAPGLLATEMEEF TDPVTGEKKLRGLPFVVPGGRFNELYGWDSYMESLGLLVNDKVHLAKAMVQNFCFCIR HYGKILNATRSYYLTRSQPPFLTDMALRVYEKIKHEPGAIDFLRTALLAAIKEYYCVW MAEPRFDPKSGLSRYRPEGRGVPPETEADHFVHLLNPYAKKHGLGFKEFIRAYNHGEI LEPELDEYFLHDRAVRESGHDTSYRLERVCANLATIDLNSLIYKYEMDISRTIRNVFG DSLTIPAEWCGGSMSAGQVETSAIWDRRWKRRRAAIHKYMWNEEEGMYFDYDTVKQEQ STYESCTTFWPLWAGVPDPDKAAKVVQKALPKFEAYGGLLSGTEKSRGEISLERPNRQ WDYPYGWAPQQMLAWTGLYRYGYHEVAQRLSYKWLFMITKAFFDYNGVVVEKYDVTRP IDPHRVDAEYGNQGLNFKGVAKEGFGWVNASYVYGLQFVNTEMKRALGALTPWDSYQR AQEQKEAKILEELS PFICI_13044 MASNNMINPGIDPNIEDDLFAQEVEAVKKWWSDSRWRYTKRPFT AEQIVSKRGHLKVEYPSNAQSKKLWKILEGRFQSRDASYTYGCLEPTMVTQMAKYLDT VYVSGWQSSSTASSSDEPGPDLADYPYTTVPNKVKHLFMAQLFHDRKQRNERLSVPKS ARTNLQNIDYLRPIIADADTGHGGLTAVMKLTKLFVESGAAGIHIEDQAPGTKKCGHM AGKVLVPISEHINRLVAIRAQADIMGSDLLAVARTDAEAATLITTTIDPRDHAFIMGS TNPNLQPLNDLMVAAERSGKTGDQLQAIEDAWLQQAGLKRFDEAVVDAINGGALPNKK ELVQQYLAQAKGKSHNEAKAIAQGIAKVNVFFDWDAPRTREGYYRLKGGCDCAINRAV AYAPYCDAIWMESKLPDFKQAQEFANGVHAVWPEQKLAYNLSPSFNWKSAMPSNEQET YIRRLASLGYCWQFITLAGLHTTALISDQFARAYAKNGMRAYGELVQEPEMDGGVDVV KHQKWSGANYSDELLKMVTGGISSTSAMGKGVTEDQFH PFICI_13045 MGSALFGYDLGVIAGVVGSEHFTNTYKASGAENGAVVSLFTGGA FFGAMFAGLSGDVLGRRWTIFLGAVIFIIGGSVQTAAQSLSYLYAGRAFAGLGVGFLT MIIPVYQGEIAHPTIRGRVTALQQFMLGIGALMAGWITWGTNKNYDDDRQWRIALGIQ LMPAAILAALILLFPESPRWLVDHGRGEEGLRTLAKLHAHGDVNDAFVQAEYAAIQET IAFEHEHEAKSYKELFVNRSAFRRLFIACALQASVQMTGVSAIQYFSVAIFEQIGISS GNALKYQAINSILALLAQAACILTIDRFGRRWPLIIGNLTNCLMFLIATILLAVFPPS TDGSGSGSAGWGFIIVTWLYNISFSYSCGPLSWIIPAEIFDTHTRSKGVSIATMTSFA FNTMIGQVTDIAIRNVGGWHYFIVFVVCNFTNAIFFWLILPETRRVPLEHMNELFSKA PWIVVGTDKSQYVGGLDTDLERRAAQVKEKSDDVREHHEEKDTVAP PFICI_13046 MYHLRRISFVLLFVLVISFLSWLVPRVLNPTPPNQQQREANARW VSTSPYWLDRQACRWISLCGVLHVRSDPAAKTGDDNHDGSGELKKTTFELRSLKLEDR AENSRVDKGVTQGPKTAMHQERLREIPDYVLKYAPLVHLYSGENFWPSDIADHIEHMT PHVGDERINTTSPLNLAALHGLDSEEGFVYLKSDDDVESRPAWLHSRANIPLPYPDDQ DTWEPPVDSDASRWHGDGTTWQEVNKDHPLQRISDPRMRTPRYPPPTSRGSPPRHSKR DQVPIGDVPQHKPDESGYSKAPATLVLVDKGSGIVDAFWFFFYAYNLGQTVLGIRFGN HVGDWEHCMVRFESGMPRAVFFSEHEGGQAYAWQAVEKRGNISEIQRPVIYSAVGSHA MYAMPGDHPYVLPFKMLKDVTDRGPLWDPAQNFRGYWYDYTTTKDADGLEPIKENPDA PTDWFHYEGPWGDTLYGLDDMRQWRLFGQYHYVTGPVGPKFKNLGREKVCQNWRCRIL NSISEGKKRSWNS PFICI_13047 MGDLSSSFTIPSEYQDELGYVHDIGDRRSDAEIFESLSQYCPIT SEKTIWGFWDAGFAGMPSWCQRNVVDWVRINPGWGVRILDNLPDSPNYALRYVGADLL PRVFVERRMDGPTVGAHSADLLRGACLFQYGGAWLDVGAILMRGMDRICWKELEDPQT PFRVAAPLIFDQSAGNHFFAARKGDPFIKHWHDLFCYMWVDKTNVNGMRNHPILKDTN KRILDQYKEIAPYLWDWSVPHEKALEYVTQVSVWAHLCHNKDTGDGFNACEYWENNIF LFSSKEDWPAEEMLGYAGSGQKIMDLLALRRDAADRDDEQYKTAERLVWTLLARTCMQ KVTHAAGITHSPHLGTLWDMPENEGKDCAPGTFGELLRYGSVHFRQKRVAIERIPAPK PQPENTFKRGLFEA PFICI_13048 MDLTNLGCFSEPFIALAYESFNGYIFTALLELGVDPFVKIDGNR NLFDAKWYDYLRGETEGMEHHLAALLNFGARIDVKDPRTQRTAFELALRAAENSDCPN PQPLQFLLEHVVPSTLTCKYIYETLDSQIEDLEGHIKSSILIMQHGWISEKARSFVFD WLVSYNTFSSVREGLHIKNEDHWLNQKAARFFPRFLTRQQLDLLWEKSRASYAATDQS RRNHNLYYVLERWYSMPWWLINAERGDVEAMKLLAEWEHPRTDPDWLFGDDSERFILA GNDGGHTPLMRAIATGSKDVAMWLLEANNGAVRGSFNCHHFRESVSDDPEDDSEDEVE GFECDLCPYGQRTAYEIAVHRGEVELLEIMWDLELDEVKWEEYIAMPRVFSYSNVMVE WMQLKLGTKRWMKLEMSGQDAWLRNEISKKLAKLRIEIVEIREAWRAQAKAFESRGMG IVEAS PFICI_13049 MARFDLLPVELLRIIGHSVAGEAIVGVRNKRNLKVPRAPRAIGY GNGDLAALARTNRRFNQVFEPLLYQWDAQQIDGGSAVIWALDNMSMGTLERSLASGFD FNSDLATWWDGMDDEICDFAIFHAVQAGREPMLKWLLEHGAKPDLCEPLEIANLPLPV SYEQRSALSMAMDPPGNEEAALILLDYGARVLLAEEWDVGQDLSITSALHEAVRNDFT RVVERLLRDGTIPVNHRDSDNMSALELAAREISPNSAMIELLLRYGADPIRDDETRSP TAVCLAFAVGNPDNIAPLLRHITKDPSNKDFFSHNLLWILLNMVTDRPMSMAFGSDAG PSQYKSIVSKLVEFGADFNNPPPPYAPQHEHGKFDAF PFICI_13050 MSLANIDYFLEPILSWAARLDAFIDEDGGPIIFKALVDLGADPF IKLEDGRTLFHAKCMEWLHSGSFSSRDVVELFFKTGARMDHRDSSTGQSMFELIVNFC DDPHRADHGGLEFLLTHALPNTLAASHVYEVLHSRLEDIQNHLIACLLIMRHGWISGK ARTYIFEWLVSYVTGIPVRHSGLYLDKSNGKFNQKAAKFFPGLLNREQLDQLWEKGLA SYSVGLEGPYGRVKCSESKWIKLPWMHINAERGDVEAMKMLLDWKFPAFNFEEDDEQD EMVTNGYTHSPLMRAMHRGHRTAALSLIEHVAKTWRSQRGFLNCGHWNSYGRDMNNCR ECPYGQKSACDLAFSLGEVELLEHMWDDLLALEQSIEQRVQWEAQQLYMPRVYSHGNV FAEWIELKLGMCNWMALKARGQDASWFRLEIEKRLAKLRSEVEEIRDAWRARAKSFER EKGDALPRAWEVEDGTEHS PFICI_13051 MASLGTLPVELLHVIAECVSTRANFDNDDYYRSRLIQNDLAALA RTNRRFHQVFNPLLYRRDREDVSYALSWAITRSCYPTLEKALSFGYDLNNQLCNCDHA TRVLPIDAALRQESYGVLKWLLEHGVNLDFGTVEDLELGGSEKIQRVSDHNTSALHQL LEDDPNEEVALMLLDHGACVYFGRKSSSSISTDESQGLLYTDTALHLAAYEGVPNVVE RLIVDGVISVDCKDLMGYTALHQIVSKSASRANIIEMLLRHGADPHTKANGGTKAIMT AIEDGHVENVLALIQAMADPSSSDAAAQPWSHLFLYLILEGLSRRLESHEPADEFEDW EEIKMVIAKLIEHGADFNRPPPPNYIPEDVFGKSILQEFHKFWLNLFHHNVVS PFICI_13052 MLLQFSQRTRWLKTLRASSAVREIRRSRPDLVPIEELKEATNAV LKSKNLSVASEKCDRVLFEYLFELRGELPLHHVGIIACAHTLSYDMWRDVVGSVRPDP DTEPSDEHFDLRLRAYLSTVIASWRMNKALIDKRVFHAALELLRVMSQPPERLSSVGR LLLWIGEDVLKELIPTELAQTVLARRDYRIHLQRELSSLSEQCDWVEVRKLVGFFDRW RDNQCASRILPEIIPDHQKWLNWRPTVARIKRWSQELRHHENYSDLIPLLALEGPDIS IQGRPTARQSPVAIEALRLADAPPELLDDALNLLDVAIDCGGNAVGFLAHFLLHCGQL NVRGIERARLCLAAGYHEQEDSSVSSQTAEPNSCGCNQRDVDFAVIPVSASIDTNMSG FVSRVLDELKTRQVEFCHQLQVDAISVNLEFAQRICVLGSALRSAHWHSAYIPLEFAE RFRRFPSKADLESKIRGLQRATGIERHRYVDDLADCVGFSQRDSYPSSPAAPSNPHRP PEDPIWLVPDDALEGERPLLRSQVLMAVYETNPDLAIECLKQSKNEPDTFIKALLYYL PKTTTPDMICVNLAGFLGPRNAPDGKACGGASGGNIHNAWKSLLMHMMRSLGPGMLER CAKTLERKILKSWLRNLNLLYGDSYLDPDGGLGITKEKIKGCLERERSA PFICI_13053 MPGTTLAKLPKQPLLDRRTVGPAFSTIWLIVFLVFYVLFTKGRR RESYGIIGYASLLLAIMYLFNSVDGWLHHTKTSVTFGYILVHPFAEAFGVTSTLLLLW GTFRIIWDELVDRFPSIREQRFWWFAAKFTIFVISLISIYYTALFLALAAAWVRFMSL NTINDIATKRTGFEIAKGSFNFAFTLLVLAASSLTFLWKAKKFEGRRPLNRVWTGWLG TLFLCVRSLIEFALILAVYWPQVTRRDVQLTRDIYHGLLTGLYMICMYSMAHVVSQPH DASGPDARAVEAALRKHILEKLDRETKHPDRDAESSGFETRHGRLEARRFDLVLKDVA QSLPELLQDLVKDRALVNAETERKARKYIRILENEFGQLDPKVMARQERDRNLSRSYA PSKASLQSESTRHGRHSLNNFSKSAIGLVQAPNNPDARPRIPKPQRTNHHQPFGTFRQ EIQDPNLDPQHHGRSSHWHRVGDYNSPEASAIPSQFGASRTNSNAATYNGGTSRQGQT EGIPWSRRQSRRNGGSGGRNLNELP PFICI_13054 MSKLLSKIRIRKPKADLESSSQGVPTQEAHSPRQSQSFQVTNGD RDRQTHQDSQRQPRSADAEDTTSKFERKQLETTKSYKSPTNSGSGRSAPFIYFTDANA RVPRAELDGSSPLHANVGLPAQGGNIVTSPFDEVYSRIDQIGSRDQDKEEVEQGGVDT IRDLTLPIQSWDPQTKEAFGEDENHSVSIYDEMGQSNSAYYDRRGPHTHSKPRRRISR GELIDQIASLERKNDELEQESDKWFQKAEERRREVRGRIQEISNLQDNLEGLQNAYNQ LEKKNNGLLEKVARLRSRLDEERTFHDDKIAGLESIHQEKQGEMLRQQEERENAWASE RATLASNHEMEKRDMVSKHHMQESIWHNRERDLLSHHQEEKNKLSAALISQHQEEKNK LSAAHQNELVCKDEKHKGEIEQLKKEHAEENRHLKTQVKIKQQQLASYSSSSSYVATS DQQLRASFQNLSQEVSNLTALIPQPPNIEHIAHSDPNSYLKRHLEQVDRSWPRFVQSL CWTVLHEGFFALPLGFGALGKGGEGGEKLKQLWQLFEKHIKGVGSNFSTSGKHANIWR ATLFDAIWREVTSTLPLSSEHDFAALLRSNISHVSENLFAELQKLSAGELDSRAKPLI KKICKGLGLLSLQMGTQRAQILLEIVRHGDSITPDEKFEDEMDGTKSEIKVDIVTKPC MRRVGDGREDGHTQSVILKGSVVSLKVSRTQVNRR PFICI_13055 MSTRESTLLSPSSYSGFDTSRSIDESENHIIISLDYGTTYTGAA WALTEGRPLTLADIHVVNNWPPVAVEPKVPSEYTYSRHQEGQHWGNNIDRNAKILQRT KLELPIQQKPQAIRLLAEAMSELPRVMSNSHRDGASALFPLHLIKTPGEVVADYLEEV AKYVRIDIESIKGEGSWKHFRIDLVVTHPAEWDDRARNLTFRASQKAFDREFEHQPRK LRHTYLVTEPEACAQYAIKESQGDNIHGLRMGDCFIVVDAGGGTVDLVSYCVETVAPA FSASKVTNATGASCGATRIEDYFLENFLRSRLDLEDYDRLREKPEIGGTSSYGPLTRE AKRMLSDFVAIKHSFAGRDETADAMAEAVPIVLRLPEHVEDRENRSMGRRNGELRISQ AEMEEMFEDPVEKTLELINGQLLLLHGQHLQPKAIFLSGGFSRNAYLQKQVNRYAHSH RRMDVICGQDSWSAVAKGAVLIGGGDDSLRPPPVNSVREHVGIQIAPFFAAYDHDEDQ LYYDSFDHSKRARDNIKWLVAKGDLIPDDEPIVKHEMFVRKVAHDSYRKRSLVIVRDP GIGVRHQRSNRLQEKRYGQIVESLEFDLNDVIEGNKCTKNQLEDLKNEGVYKIVRKTK ESPQYHRIEMQAEIQINFASVHVILSCGKKFGHDGTIERPGIELLNQSLPFNG PFICI_13056 MESWTNEENLVLSSNQSLWVQLMNQSTSEWSLGPFPSNVYAINT TYDATYAARFPNGALVIEKAGVAKIGDTAVEALIFGLVSAAAILWAFWWLWKEFKDGT WKSPPPRDIGRSQRPVRLDEGIALSTTRNDTRDNNGSRLQFPTAEEFKTALLSKYYES GISELEVLDQVTGADGNDLGRASVTSEEIETVQELLRKLYGFESEIIGQSHAQHPDNL HDLRKRSDAALVAIQRIVDGWKAGANEWDQNELGHLRDIVRALNEVTRRGG PFICI_13057 MARLDTLPVELLHDIAGFVSSNAVMLDAKGETGEKSRWAESDLA ALSCTNRQFHQIFTPYLYQWDQEYGSYAMSWAIQTSSYETLEKTLTLGYHLDSIITLA KRWRNYKENQRHRPIEIAILFEEHRLLKWLLEHGASADPGPVKDVQLEDGSVHRMAQG RSSSPLYRALVQLSDDNAAVILLDAGARTRFGRDDTFFYSCTALHLAATRGLAKTMER LLLPKDTLDINEKDWHGHTALHLAVLEQDHRMAIIEKLLRHGANPHTSNNENTTAIES AVSTGHLENVLALIQAMANTSPQDASEVWTPPCCAQNPNGSARTPPRPI PFICI_13058 MPDLGTLPNELLHLIAGSVIENWVAETRDYSKIDEVAHERGHEL AEWHLSKLSRTSRHFHQIFNPYLYQSNRDHRASYAVGWAVQHRSFETLEKSLSYGLDI NRHIQSRNITMERRDLGNQPLSWVFRPIELAVARRHRDILKWLLDHGADPDPGRIEDD LYGNEDARSRGSNTLDSPLHQVLGGTHDEEAALMLIDHGARVYFVRPTDLDSREVDMF TTALHLASLHGLSRVVKRLLLDSTIEIDYRDYRSLTALRYAVTSSHSRVATINSLLQH GANPTSKGVRPFNLSTMLEAAIHKGSLENVLALMQGLADYLPPRKAPRSWSYCYLSNL IAASASRMKDFEFPHGDGETEEITAILTKLVHIGVDFNNPPPPYERSDDDDDEGDDKE ADEEADEEANREVYEGPAGKSIPNTTSGFCLPLLPHVTLDCIWTGGKKSIKPNLETYS SLRASYVRSVS PFICI_13059 MTSNPSRHSVVIVGGGITGLALALMLQRQNVDYVLLEAYGSVTP NVGASIGLWPNGLRVLDQLGVYEDICAVAQPVDRSIVRDGKTGARLMTRKYGPVLKAR HGYVNMFMERYELLRVLYRHVAEKDRILVNKKVVRIDSDDDKATIHTRDGSVFEAQIV VGADGVHSAVRKEMWRNADESDPAAIPKCDRENIRCEHACIFGTAKPQPDVKQGEVVG ASTEGTTAGVMVGPKKELFTFWFWQLPEEMRSCPIDAIPRFDDEEKQRQLNRAANTIM SDSGLRFQQVSETLEYSVVTALPHFVLRRWHFGRLIVLGDAAHKFNPLVGQGGNSCIE SCASLVNALQSTLKTQPSSRPDAWSITTLSSAFTAVEQQRVGRLVDMVDKCQEAMRNS AWETPTRRFMFKYIVPLFTLSQFVNFHSDLITAGVRLNDCEIRPKIHEWLYDDEQQEK QKAKRIDATRVITTVGLVTAAAAILLRNQHVVGQVLTKSKAVLSQS PFICI_13060 MPPSRPQPSQPVTLAQASTLQTSGGQTEGMERSNAITNLCDGIC ASRMIAEPHTSSAVHHHGEQDTVIFCASGRGAITSEGGSKRTQLEPGDFCLIPAWMEH QEVNDGDEKLVLCVVRSGRTPAVVNLTGWGGEDVK PFICI_13061 MNPLQKNKIDIKSLSPDEQRLFRLYGKLPSKSDHFAKHLKDRKY FDSGDYAMSKAGKGDSVDTGSVGSQHPVPENIPHLSSPNGANSNSGIPLLPHHNSISG ASGAQAASPVKESSFLNRETSADDAEEKTSDEAKAQEDQGIPIRR PFICI_13062 MAESASKRLKTDGGVTIGTHNGHFHADEALAVYMLRLLPAYAGS ALVRTRDPALLGECHTVVDVGGEYDAAANRYDHHQRTFATTFPGRPTKLSSAGLVYLH FGRAIVAQQLAKPEDSDEVGLLWNKIYESFVEALDAHDNGISAYDPAGIAAAGLEKRF SEGSFTLGAVVGRLNPNWNDPVPSDPVEAQAAEDARFAAASQRIGEEFSRDLEYYAKA WLPAREIVRAAYEKRLEHDPEGRIMVLEGQSVPWKDHLYSLEEADGTGKKVQYVLYAE KPVPDAKWRIQAVPVSKDSFQSRKPLPEPWRGARDEALDQITGFPGGVFVHAAGFIGG HKNFEGAKAMAAKALTM PFICI_13063 MDDRHSKTDLAHRDRDRGHDGAHAGESKPSYRSYKKKYRKLRLK FDQNVLESEELHKKEQKAIRTMKRLAVENDRLMDVLLDINESPQIPPERKINLDPDGD NYTDDEQEPMQRPTKSLRRLVDEVPHQCFSEIVDSFPKILEELQPDDPDVYPTAFLTA ADVDNYIAEIDSRLGLKAKPAVPPPATDLRKSATNFALRNPTSVYNWLRRHAPKTFLQ DLEKEKDREKHHDEDGGGKRKGGAARGNKRQSAAHRKEAGESNEYDEEAAHDDQPFSS ARGKRKRDDDGGYRPKGGSSRPTKRRARKSGA PFICI_13064 MPIHHTYIVEHLDPELGPWSELEYIAIARESGEAKATFVLSSLP DAFKVPEKLAQEPAFKAERRGVEEMYPEGNGKERVCLLDPAADKDLNPDDKGEFDVFL FGGILGDDPPRDRTSELRKKGFTGRRLGPVQMTTDTAVRVTRMVIEEQYTLGNIPYTD HPDLKFSEHESTQMPFRYVKDKDGKPIMPEGMVDLIKKDADKSIDDLF PFICI_13065 MANVDQGADAIGGESEKQSLLDIFVSANGGPTLKHVLGADEVDS PSTPRYQTLEDEIGAEFLENIEDYRPGGFYPVDVGDEILGQFKVYHKLGHGRLATVWL AVNFITPEWKAIKIFKASCSAKAALCYEQAKVAIETQPQLFESKSFLLPDDGVWVDSP NGRHLCLVLPLLGPKITHHEDESADRQEKYLQQVVMCLRALHSLGIHHGGVHPGNLLQ TIDVGDVPIDDMLLVLGTPITFPVKPADESDGEFAKSHAPKYLTAPTRGLKRLPLKPR IVLTDVEVALTMNEPARDSNTPSDKDFVLGWEKIKCDMWGLAFTVLRMRRALFLELPW YPKSKSWDPDSTRPALEAALGSLFSLVQSVLNTKDLNDEYLLGLRHLSVQHDGELDLT IQQNYVIKRALELRWKRKELQLLGNLLEETTNFRIRDWTLPEAPMKEAIGEEYAHGDG SPWNMANQYETLTGGLEPLLDNMSSSESDRSKSVVEGEEHLSRESASAEPVPEETGSK AQEMKETNKIPFITPVYSDEFDDFFTENPSDIVQSPGSMDDDPAEQSSEAPSTPPRSV TPPPKRPASPPIPPPPPSPSPEPELEDDPELDPEYEPEFEFEPEADTQDKAPSVRHRT INVILLGFTVAMALWTVLFTVFLLQAKTTATPGRSATAARKPGQPGILYLQTRRLSAH TSFFEGIMASPEQSVPLDDRDGRAVFEGLDFFESEFGSLAG PFICI_13066 MERSGTLPHDGSSGLENSTYSLNSVDQETSAPSSANVDTQPSRW TSATTSLTASPMASRESSPTRAFAKPPRPGRASASRSRKSSFNDPSPSRTRQNSNRGP TSAPRQQSISAATTPTLPPPSGSDAPRDSSQPRKPSSNTDRKSRDSPRWPVSPRLKSP PPQLNKPAFPPPPARNEREPPMINLQRATPSPHPHPLASEPASQVASDTEGDAAETPS GMLTPVGGPSGGSSQLETVQEVSQPGTPGADLNLALERLSQGQPLGSESAAHRAVKSG SNSESGSDSGSIRRGSKILGPAAGAAAAPPSLQTRQSSSALRFGGNKGLLADPSKHIT VEEEEVSSGPRLALGLTTTNQVGNGSLKTKPSSETIRPRKEKRKPTRKAASVASGTGE NPNLLATRPASQLRHSRSIRSLASSISCRSPVQPKHGQGSYGEDAVLSHPLSRKASRT PSITAHVTNLLTGGPRPASSKADIFEAKVASAVEEANSSDSDETFVYDSNPPDAPDRP RRYHSRTPSATSMVSQADRGGLRSIHSLLENGPVNPAIKKNMKFVNTYGSNTAESLTG DEDGRGTGSGRSNAGSTRAGGRHHNHANRWGRNNSSNHLSLFDNESPFPHAARSKLAG THSRHSSNPPSPRFPQGRSGIQNSKRQMAMAGGYDLDDNATPMADDERTPLLHLNGNR SVRSNRGRRAGGMRPRGDLEAGGYHQGPSFLNRFASCLVLTIMLLLVIMGAVGFMFAT SQPLTSIELVKIGNVLASEQELMLDITVRAHNPNIVVVSVDSADIEVFAKSPHAGTDS EWWRHPHDGMQILDDDGDDNESRPDEKSPNMRLGNIMELDSPLSFEGSFFQSGNSMSS SELRLTRPGNGTDGGSERWERILNDEFTLILKGVLKYTLPLSQKVKSIPISGKTTVKP NSASDPVVRKPNGTDFEVGIL PFICI_13067 MTTRRDFLNMPAPENYVAGLGRGATGFTTRSDLGPAREGPSEDQ IKEALAKRAAALGFGDAAGAKKDDDDDNDESERYQDPDNEVGLFAGGVYDKDDDEADR IYREVDEKMDRRRKIRREAREKAEREEYERNNPKIQQQFVDLKRALGSVTDDEWANLP EVGDLTGKNRRARDARRQRFYAVPDSVLAAASTAGELGSTVADGADTSTNDGQDGTMT NFAKIGEARDKVLQVRLDQASESGASGSSTSIDPKGYLTSLAKSSLPEGAPQVSDVNR VRELLDSVIKTNPTNGPGWIAAARLEELAGKIVAARNLIARGCKQCPKNEDVWLESIR LNDKHNAKIIAAQAIQQNNRSVRLWVEAMKLETDSRSKKRVIRHALDHIPESEALWKE AVNLEEDEEDARLLLAKATELIPLSVDLWLALARLETPENAQKVLNRARKSCPTSHEI WIAAARLQEQLGNAHKLNVMKRAVQVLAKESAMPKREEWITEAEKCEEEGAVFTCGLI IQETLGWGLDEDDDRKDTWLEDARSSVNRGKYATARAIYAYALRVFPTSKTLWLAAAD LERNHGSKDELWQVLEKAVNACPRSEVLWMMLAKEKWQAGEIDNARRVLGRAFKQNPN NEDIWLAAVKLEAENGQVDQARKLLETARGEAPTDRVWMKSAAFERTLGNTEVALDLV NQALQHFPAFAKLWMMKGQIYDIDFDKPLQAREAYATGVKACPKSVPLWLLYSRLEEK LGQLPKARSVLDRARLAVPKNAELWCESVRIERRAGNTKQAETLMERALRDVPKPSQG PLWSEKIWHLTARPQRKPRALEAIKEVDNDPTLLVAVARIFWGERKLDKAQNWFEKAL VRDPELGDTWAWYYKFLVEHGTEEKRAEVVSKCISVEPRYGETWTSIAKSPKNTRKDV EEILKLVAAQLS PFICI_13068 MEIQAVVAKAMTSCQRCYKRKKKCDRTLPQCENCRAAEVACSFL VDDCQNGTYPVAFVRGLETRIQELEEKLAFLSATAGDVPIQRQLSTENASHSTPPPLE HANQGMSSRTRLTISEAESRAPTITMAEELRILTLEATAERHFGATSGVSFANLTQAI LKRLTPDRADFVFRKDQRDDTTVEIDWDSSPELFNPSLVNITTILGFDSSLFGPLPLS HIVEPVGSLVDLTLPGRSRTDELVSFYFAHSHTLYPILNYNSFLADVDSIYEDSMNLD TLVLFRFWIVLAISHSSYCSISLAEESEALLYYNKALEYSETACESGDMAALEITTLQ VSFSFFNQLGPNTWFLIGMAARLAIGLGLHTAAAYKNLPFDVANMRKRLFFSIYMMDR VASMALGRPFALQDDDIEIEPFAEADDKDINAYGVLNQESLETPMMAVPCHILKLRRI ASKISRHVYGNPTAIRENMPRREQIVFNLHKELIEWRRSTPFPLPEIHPRVPHLSSNW YDFNYFTHVSLLYRPSPLYPTLVPANVRKLADAASMSIRQAHIMHCQERFAYNWLNLL ALFTAALSLIYASTVQPDELVVYLQHNQVINNLELVLQLFDRLYIKFPGARNTQVILT RVIRRYKDICGLDTAGQIVM PFICI_13069 MLGLSKIMLLICLVAALNCATIGYDASMMSSLNILSEFQRQFEI DTQLKGLLTAIQNLGSIVAGFFVGSLVDKYGRKGGILIASLIVLISCVLHSTATTKAQ LFVARILIGVAKSVDIAAVPTYLVELAPPTRRGLIAGLYWACWLLGAILSSAVGYGAR SISGQWSWRLICICMAGPALSCIISLFFIPESPRWLLSRGRDREALTILAKFHGKGDE SNPLVVAQFREIRESITFEQENRFESYFAWWKAFVSVKANLYRAFILISLGIFEQTIG SSIITFYLSNVLDLAGITSEQEQFAINVSQNCVAFVSALVGLCFIDRVGRIPMLVAGT TLCALVLATTAGLTAAETDNAQGRNGIIAMVFLFQIGYSSTWTPLSFSYCAEILNFTI RAKGMAFYSIFTSSAGFFNQYVIPIGFYIVGVVWNLFVATVIFFTYLETKDLTLEQID QRFNGVPRDQLVEITEVYNGTKPISDGEIIRDPTSKELVTKV PFICI_13070 MAVKITNWPLWNEFLDPPASVSEDTFTSSSKAKAEVIAQYGEAA LRQGWLKVCKQLEVVTERLAKLGSDAIPVLGIEDFGPGGLSEERRSEVKSSGCCIVRG VLDREEATSMFENLKDFVVRNQGDIMGWPAESPIMFNLYNSPTQVAVRTHPNQILVQK SLNSLYHDASGTTSSQPLSYTDATRIRPPRQPFLTLGPHIDAGSLCRWADPRYRDVYA KILSGYPEQHDPYDLEVRKDANQFLFEAKAHSVVFRAFQGWTALTPTSPSVGSLLLYP EVSAVIAYVMLRPFFKPPAKEEDVMKAEEWTFDPDSSWFPGTIKEQSQRLSPSSHPHL RLKDCLTFIPEMQPGDTVWWHTDMCHAVDPDHFGDGDASVVYVAACPTTPTNQDYVKK QLEAALAGRCPPDCLNVTTDETKLKGYKGFDDVSEEGKAVLGFNLIQ PFICI_13071 MSNPSIAPKVLVTGTTGLIGTPIALEIANAGFPIRGTSRSQDRA AAWSAKYYHVKIDWIIIKDHAASTHETYKEAIKGCGAVVHVAGPYTLQHAKGEDIMIP QTQGVEAILHACSKELSVKRLVYTSTVAAINNDPHLGIDIKKVYSEHDWNPTTWEKGA SATGQHMAVTSYCCGKTLAEKRAWEFMSEEKPHFDLVALCPGTVYGRPMQVLNGVQDL DAAHARLWHHITHALEVVPGDPSPVFTNIFDLAEAHLKALTWPKCSGQRYMIVSGSYS YARIFALFRNLFPEQAQRFPVIPNDGLPLEPSFVNDCSKAEKELGMRWRTLDETVLEA GRALLEGGKLLQLRGELGTLYNVTMALFQKCCQSKLRKEDVHRLAASLSVEIDIDDAN DYLILLQSLESVSNRIIDAPEYVEPALRAQPVVGNRNYWKPARENNPMNAWSHRCELE SSQPSNDLLRGRTLAIKDNISVGGLPTTLGTFDKVLSPDGSPPAISPIDASVVSRILS AGGVIKGSSTCENHCASPLSFTSATGPVHHPLLHGYTSGGSSSGSSALVAACELMRRG AVVNLGEVAELAIGSDQAGSVRIPASFTGIYGLKPTFGLVPYTGASSMSPMIDHLGPL ASNLEDLATLLKVMAGYDGLDPRTTPESPLLEDVKDYPKLLSDFRDELAEASVGSSRR MKVGFLVESFQIPGLAPNVRDAVRLAAEHFRAAGADVTDVSVPLHLDGPIIWAAATRP SMSDFLCQGKTGGHLTFLPPHIQPQWPPKQEAFDLLSASNPALVNIMLSGQFAHSHFG TSLEAKAHRMVFELRAAYDEALKDVDVLVTPCAPTVAVPHPEPGDRGLRRLNSIIGVT NNTCPFNITGHPAMSVPCGTASNPEHPDVQLPIGMQIVGRRWQDETVFMAAALFEQGV EASNQDK PFICI_13072 MSLPPALEEQCAAKKAEQLSKIPKDWLIDSPAHDTLSVIDFPST CTDILNDKEIEITELDDIDELLGRLAKGVWGAEEVTRAYCKRAIIAHQLTNCLTEFWI EDAISQARRLDEIRLEIGPVGPLHGLPVSLKDQFDVAGTENNMGLASWLGRKCKDDAA LVTILRKSGAVIFARTNVPMAIMMPETVNQQVHPSKIPNDNVYGRTVNPRNRNLTSGG SSGGEAALLALKGSPLGVGTDLGGSIRNPACLNALYGLKPSTRRMPYWGACNTLVGQE SIESSVGPLSRTLSGCATFFKTILQGQPWNLDPKVLEMPWRETMFNLEHLGGNKAKMC FAFMEDDGLVRCQPPVRRAMREAVEAVRQAGHTVIPWNPVDHQHAISILQRTFASDSG EEFKILFGESGEPLLPGIDDVSAFPPITVNAFWRLCTEREAYRKQYLDAWLASASLTS TGRPIDAIICPVAPFPPPTHGNYIYAGYTCVWNVLDLPSVAIPVTVVDQVLDQPEADY KPRNEMDAKVYEMYKSPELFRNGPVGIQVISRRWHEEECLGLARIVDEALKQRKT PFICI_13073 MALLDTIMAKPEILLHIGIALMTVLLSTAVFKVVLGFKALKDYR VFFPWSSETTYYLLDHEPMRKLFARAPNLDAFALARRLHYKVWGVPPLAYKDTLREAA KQIHRELSAKNLGPVSESFEKVILQDINSLKASLGQGRRIPFHTTFYRLAYAGNQKAI YGPNLPIRETRVALQNFSIYLRYLNTTPSLPLLPTEWWNRIVPKARRGAEARDKVTNA LIKWQKEGGVDTCSETWRNIMKIVLDKKVDIVHANRWVNMVLFGFQGNTGEQPGWGFL HLLQSPKLWKAIKAEVDALPADSLVGVDFRRAAPHLYSAIHETLRLSTAVFAGRTTTQ PTKIEGCDTVFPKGVLIRIMSRASSFDSSVWGDNADCFVGDRFLKNESLYREELFFGG GVSACPGRHFAMVELELLMSHLIRNFEFDASSLQMYSKLSPEAEDLELGCAVEKPRYT CEIIGLDGTRITGIYPGVADADRCC PFICI_13074 MEKFKAVLDTIAVKDEDGNTVSAWYNEDIRPIPPHRRTWNGWTY FSYQSGVGIIIVTWSTGSSLLGLGLNAWQPIICVVLGYACVGILTMLGSEIGGKWHVG FPVASRFVWGMRGAFFPTLNRVVLNCVWWATESWLGMMCVRATIGSIWPSFYSIPNTF PDSVPMTLGEFVAWLIFVVLCVAGVLVRPERFHWPSIITAAVNLVTALALVGWFSHRA AGPGALFKDTTALLSVEPATGSELGWAFMHGLTTVIASQSTGILGFADWGRYSKTPGA QRWPQGLGMALSDTLSGIMGIICSSCCATIYPDAGLVWNQALLLTQIQMHEAPAARAA VFFASVPILMSQVLITVSGSSIAGGVDMSGLLPKFIDIRRGGYIVLVIGIALQPWTLS NTNSKFLAVMGSYAIFLAPLTGILHCEYYLVRRRKISLRDLYTPSPDSSYWYYHGLSI RALISFCLGFFFFLPGYIHNITPSISVSVAWSRLFYLCYPVGYFGSGLIHYVVSYFFP PKELGKVDDFDYYGTFTPTETGPSGLILGVSSNSQEDIGTKPRETGQGEDKDIALPSH DKKV PFICI_13075 MRLYTVCAVAARFYNNGILYNVLLRHAREAGLEAMLSSKSTATV QGFVILATWSQPSVSYETDNAYLYAGIAIRLGVEIGLHRKIALRYPDNTEPHIKRMYV EEVLSRERTWFCVFILDRSMSALTGKPPQAPETYAIRDVDKWWRRSECTLSDVFISAH AQLLRLLYRCFDVIYSCNDTFTGVSTGLNYQVVVKDLQWRLDEWAQIWAKNLRDFDAE QANEEPLETYLSILNLSRSFYNLVPLSFGLHHCTVDGFNRVDQISFVTRCYECATRTL QVATKELNPNRVFKVAPDICVIAVTYAACFLLKLIGPEFKHIFDEAVVLDLIKETLSL LEKSSEDERHTHHLHAMFLRRHIDRYQAQDSSCINDAPTQPGQQPPPQQQQHQHQQQQ PSFFVSNSMTDLGSTDVCIDVDGSINFPSLSGNGIIRPTADMSSDWIDTVLGMQSRRD DPFSTGLQMAPWSGIFGNDFTTEGMF PFICI_13076 MADVRWLENFQDTHHVIPSLVIVGGMLLAAFIYQIAFATDIPHI KGLPEIPGAVPVFGHLLKLGEDHASVCEKWWRQYKHSVYQIKLGNTRAVVVNSFDDCK KMLLGNQNAVIDRPKLYTFHGVISSTQGFTIGSSPWDESCKKKRKAAGAALGRPAMRN YNPMFDLESYCILRDIKTDSRNGEIELDIRPYIQRFALNTTLTLCYGIRMDAVYDELL REILHVGSAISLLRSASENYQDYIPALRYVPNNEKNSRSKELRDRRDAYLNLLLDKVR AMIKEGTDKPCISAAILKDEETKLTGVEVSSICLSLVSGGFETIPGTLTSCIGSLCTP EGQVWQDRAYEDIKRHYSDMRDAWTNCYTEEKVPYINAIIKEAGRYYTVSSMSLPRKT VTEVNWNGAIIPAKTMILINAQAGNHDVDHFGKDAGQFDPERWLSGVDPPQERPMDGL DHLSFGTGSRGCSGQFIASRLLYAALLRLLSAYKFVASDDAPPNVDYVDYNERKTALV AIPRYFKVKLIPRDTAITTECLRLAEERTSQHYKE PFICI_13077 MSSLDIKNLFGVQGYVAVVTGGSSGLGLMICKGLVVNGAKVYLV ALPTDPIDERVAELCQLGRDSGGGSANYSIACNVSSKQSISELVAKISQQEKHIDLVV SNAGIRRDPPVACNVQEASLAELQASMWSSPESDWADTFSVNSSAHYFLSVAFLHLLQ ASSRGSIVITSSCASMHNVTNVDLTSYAASKAATDHIVRLLAAKFSRFYVRVNGINPG FVPSAMNPVGQEGNIFSNLFDKVPAKRAGGEEDIASVVIYLASRAGAYVDGVSICVDG GRILLANGQ PFICI_13078 MEEQNDAAIEYFDLPHFTFRDGSTLNQARLAYKQYNRYATNIAV IPTCFRGRINSTFTFAQGILRDYRVIVVALFGNGESSSPSNTVDFPQNIDYHDCVRAQ HQLLTQGLGLSSIDIMVGFSMGGQTTYHWLALYPGMVRKAVIICSSARTSRHNHQFLE GPKAALESSIDYTPARKEPGRKEAHRGIRAFGKAYSAWLTSSSWFEEEMYQKIGYQTL ADWDCDVTGVNYTGWDPDDLLTMLRMWQRGDLSSCSSDRDESLELTLSRVAVPVLLLP CETDQYFNCRASEKEADWLPNGQCKIIPSVWGHLAGSGASVEDIKWIDGQIKEFLKKA PFICI_13079 MAPNGEGFLLDPGATSRVTRYAHARITPASAHRTIHISGIAAVT PDGQYEGATQNADGSWSLDIREQTAAVLRRIEAIIHGATNGKGGLHNIIDAVIYLTDM GSQYAGMNEEWNKVFKDCASAPARATIGVKELPDPRFIVEIKAVAVCEI PFICI_13080 MAPQLDGYFSQVDKLSDHFIDRLAKAVAIPSISADAARRPDVVR MGEWLAAELKTLGASVELRPLGKQPDQPQLDLPPVILARYGEDKNKRTILVYGHYDVQ PAEKSDGWATEPFTLTVGEDGRMFGRGSTDDKGPVLGWLNAIEAHQKAGVDFPVNLLM CFEGMEEYGSEGLDELIEKEGKGYFKDAEAVCISDNYWLGTEKPCLTYGLRGCNYYSV EISGPGADLHSGVFGGTAQEPMTDLVRVLGSLVDTHGKIQIPGIMDQVAPVTSEEEGL YDNISFTMDNLHESLGSKTTIFEDKKPTLMARWRYPSLSVHGVEGAFSAPGAKTVIPA KVIGKFSIRTVPNMEIEQTNEAVYAYVKEVFAKLGSKNTLKVYAQHTGKWWVASPNHW NFRAADKAVERVWGVKPDYTREGGSIPVTLTFEQATGKNVLLLPMGSSTDGAHSINEK LDKKNYIEGIKLLGAYLHYVAEEEQTN PFICI_13081 MPTVRSSRRVAPLKESDIDHEINLIDHSTSTHGTPARAPTPTQQ EDGDTAVDQSALTSDSERPLRTNAAPISASEEGSEHGGEQNGHASREEAGGNGALEIP PTPTVQPPTPAAEEPRPKVSSEAQPVNGNPVSRVPSSKSAKRQSRRPKSPRKEIDILY ENERGGFLCGIPLFSGAALGNLDPSPWTNAAHKTSPTDIKTAQVPDPSWEWAWPSWHV NKDDKIQTDKDGWEYSFMFSKKFSWHGPRWYNSFVRRRAWTRQRIKKETDFPSNDPHL LNTEYFTVGAASPTKSGSIRGGSSFGGGASNRTSVVISEDEALEAKIEIQNIDTLMEL LRKLRIDREKLDAIQNYIEHSADGLEQLQDYMHEIMSIFVFQASRKLLLTRLMELYDG YTYQKQQSSPSEVAKSKPEPPTIQLQSQQVVDGPSHPQAHPSIPKEPETDEERAELKE KTKHLADAIKHADEEVRRLEYWSDVKDMAGGGVSGGAVADEKGWVDGWDGIDRSGGIG ANKEELP PFICI_13082 MSRSRAPLFLGLTAAGGVGYYLYSAGGSPTVAQKQAEADAHKLS AKVKAELPGRGQEAEKKAEQYGAEAGAKFDSALQKSQAEIAKAKADAEAYAKDVKNST LKKVDEFDRKVEAKASEAKSGISGWFGGK PFICI_13083 MGKAKSAKRNGGASASPYERPSKPGGGGGGGGGGGGSSKASSNN IFKFNTSSYGQHILKNPGVAEAIVVKANLKPTDTVLEIGPGSGNLTVRILERAKKVIA VEIDPRMAAEVTKRVQGTPEQRKLEVLMGDVIKLPNDKLPAFDVCISNTPYQISSPLV FKLLSMPNPPRTSVLMFQREFALRLTARPGDALYCRLSVNAQFWARITHILKVGKKNF NPPPLVESSVVKIEPKMGADRPQVSWTEWDGMLRVCFNRRNKTMRSSWLGTKEVLNMV ERNYRVWCSMNNVALEEGTVDEDDDDEDMDVDNGNAGAGDEEEEWGGMDVDGDEAEKK DSSDLPSFFEELKQASSEVPKTKSKRKKTKVAALVREKIRKVLEDDTGLADQRSGKCT ENDFLRLLHAFNSEGLHFS PFICI_13084 MSLGFRTVWRAHTCALTASGLSLSPRELPRVLHHYQRSQLNRWQ STNSAEPKRHDTSTPVPNDHSVSQFRRVSPSLQNEERDEPEREQLSPSALRRLAIHRV IKKSRKRKIQNKNPALIKSRQWYPSRHEVVRSDRKSYLSKARQDLWAFQRAKDDNPGV DWLSTAHFLSKLTPKFGSIFDFKVIVGQGAADEIRKLLPRGPTAEPGRLQQSTRAVVR VDETDNADGNLHLTLTGSAHSVRMALMDLLKASGHITAVRLLDNDAQGMLRDLWHQEK TNLDSVTLLDRDEAGAGDQDDRSLTLTAKLSPSGYQWQKSRYYVLNRRADQIPKPAVW TMESLEKYIALLVYGVVPAHLHSSLYKKPTDHQQIVVSLLNEIFHSEQSHRSLSTEAL AMTLAYIQSKGLTFRPTARAIFNQAEIRNIPMDTRICNIFVAGSVRTGDLDGFHNVLK LMARKSLHADSETWLSLLKIMEQPDAKHYILRKMRKLGLDRIQSTLVKAGQHMAPFEL EKSLNNIHSITEFIEEQDAQHGAIWLDRITFNKLVDVLGKNGKHNMCLDLLDLIYESR RTSPGSDTLNTIITHSRSTQDILDVMRKLRTMWPAAVLSDRDTYDMLFQVAWKTRSPN MLRVIWHYATYARQASSKLRYRMNKLLSSEGPHDLGRHTLISPWKAVIAGETALPLFE SSKKDQLGTSIARWNGAQSNVSRLSASLEDKLIEAYDMDRKIHATLKEGGIAKEDREK LVVDLPIMPRDPKRLSLWPPPPLLSSIST PFICI_13085 MGQTLSEPVVDKASAKGEDERLLYGVSAMQGWRISMEDAHTTIL DLLKPDSEEAKAHSSRLSFFGVFDGHGGDKVAQYAGEHIDDILVKQDTFKAGNYEQAL KDCFLACDRAILSDPKYEEEVSGCTACVGLITDDKIYIANAGDSRSVLGVKGRAKPLS FDHKPQNEGEKARITAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELAPEQQIVTAYP DVVVHDLSDDDEFLVIACDGIWDCQSSQAVVEFVRRGVAAKQELEKICENMMDNCLAS NSETGGVGCDNMTMIVIGFLRGKKKDAWYEEVATRVSKGDGPCAPPEYAEFRGPGVHH NFDDSDSGYDVDMDKQSKPFGIGGYKGRIIFLGDGTEVLTDSDDTEMFDNAEEDKDLA SQVSKSSSGEDGEDSSNILPRAPSPPAKEKSNTEPESKTETTTSDTPKDAEKKTETKV EAQADGTADEKE PFICI_13086 MRPRWASSPIILLAYYAKFILCAPRDYFPINSQLPPVARISEPF SFVFSPSTFVSPYPISYTLVDSPEWLSIDSNNRRLYGTPEDRDVGSGSIVGVPISIAA EDEQGSTITNSTLVISRNPAPNVVVPLSEQLPSFGLYSAPSSIILSPSEDFSFKFKKD TFDQSGLSYYAVSGDNAPLPAWISFDANSISFTGRTPAIEALVQPPTTFNVKLVASDV VGFASASIPFSIIVGNHELTAEVTNIASNASIGRPFHYDDLANNIKLDGGALNRSNVA SISTTPLPEWLEFDTETWHLSGTPQVGAIPVNVTISVVDIYSDALNISLVIDIAMNIF RSDLPTINVSAGTDLALDLRPFISNISDTELRLTDQSKAPWIRYNSSLMELTGAIPYS NTAFEVQVDLEASSKSTEVTESRELSIRIGASPSETTRPSSSSSSAAQTPASTSSVPA APSSTASPTSRTTKIVLGVLVPLIVICVVALVLLLCCYRRRRHDRYQDHIPEVSAPIP GSFVKHNTSSLEGPNGLQGLFDAEVARRSHSDSFTTAPVIPPRFRTSSHSSVRGSDGR QSMTLFAFDRRSLSDSAVRETRESWLKGFAAGHIPPSTATAKMDDFSVLSDTTLGSAD NHVQGETPALVVSGAGPESFRRSLVTSPVAGLSLPIVHEPSSIQATPEFAYITRGSES DGESRPKSAYPDSTYSGVLGLGIQRTITSIPRKTSTRMSHAWKKASPSRLLEEYKRKS NHSTSTVDTTRTSILTDEVADIQRPTVVHVPSRGQSRQISRRVDDSSALFGGGAIVRS PKNFGVITGPSPMPSREKLFMLPPPLSHGRNFSWNNAAKRSHGIEHRDFGKSQHEPIM SMNAARASQDSVIFSPLNENKENNDLVQPDIKTYEDLMSLNQWPMPLSTANSDTSTIR EYKDPARDDSDVSRAAEEKARLLEQQQAAEDVRRLLSEPDPAWPLPRPLPKTPTRQAR TPLADRPNQSATSGGGLGTRSNFSRKSNRSVNTIASDYAGTEDGWEDIRPASVLDGNL FSNSTGSAPAFI PFICI_13087 MAEDRSPSPEFDPFAIGVDLAPLPEYKAAATAGFDFDSLLAQPL RLHEDLTSGCGGQTWPAGMVLAKHMLRYHRKDLRDSRILELGAGGGLVGLAVARGCEV QQPLLITDQLEMFSLMGHNIELNQMQGQVKATILNWGEPLAQEVVDFKPNVILAADCV YFEPAFPLLLATLSDLLNLCPSAIIYFCFKKRRRADIQFVKKAQKAFHVAELHDEDRP IFSRQGLFLYAITSKHRCD PFICI_13088 MKSAAVALFTLASAAIAQPHGHARRHHHDKRDLVVEWVTEVETV TVEVDETTTQTFYPAKTEEAAATTVATSSGSPGEFFQAAASTSIYSAAAPSTTLVVKP STQQTTEAAPATSSSSYSTSSSTSTTPIVVVPTVVLPTTTAEAPTTTAAAETTTYAAE PTSTTAAAATTGSGSSSGTNVKNSDMTYYAIGLGSCGYDDSGLDLTKPVVAIDYHLWD SVSTLTSYGVDLPAHPFCNQEITIKYNGQTTTGIVRDRCGGCVENAIDVAETIFDDLV GGTTAGRVEVEWYFNSGEW PFICI_13089 MQDTKPTIVLVPGAWLPSTAYAEWTARLEQEGYPVLVTAYPSFD APDPQHADVAGDAAAIRTTLSRLVHDKSRDVVLVMHSYGGMPGSSAARGLGAAERRRT EDPLAPRGHGGGILGLVYVTAFIVPENTSCAGMTGGKLAPWVQDDTPGPGLNVPQDPG NMFRHGFTAVEADRLGALCRPHATLAFTSIQPPSALSLGEHAWKGRLGYLKTARDDEI PEAAQDAMMHSVGQDWIARSINGSHMSPFGGQYLEQSIKILMEMIEAFTSANTD PFICI_13090 MGNFIISNFGQRNATDINTNGSSRDSLVSESASNTMASYQSGGG RESLVSVNSCFEYPQQNDSWNNGQDYPSEQNTSRNPRFEIFGQRYEQVPTHWTSRGQP SHTLHRDTFKEDEEYDMSLLKAAAPLGMSSGDMTTTNNDFREPTTPVFDMTSFSGPMG SQNHDFIKNLQKQEANGKLTGGLGAGINPDATMNTQQLLATSPAIDRSFSFSRALSIK RKPSARKEIRNLGQTTANKTGEVIEVIMEEPSDIDLSSMTGPNPAGVNPDHFRQSTFP RADAKKEVFYPQPNWKPFTMRWPYLTSMILLSLGLAITQEVLYQQSRAAPLMKFQRPG DINPFDYFILKFLPTIVTVTFGVLWTMADFEVRRLEAFYQMSKEGGALAAESINVDYL TLFNLMRPIRALHRKHYAVAVSSIISLLAVSLVPTLGSAAIVMNPDKTIRHNDPLVEK TITMHPTLSRLLTSTFLIIAILGVGLLHQLQSRRSGLLADVKGIAGLASMAVVSHIMM DFKDMDVAKPKAIHSQLRNHRYVLRNSSLAPDDSSSMTTQEKARYKEAHLSENPHPIM LRAEGAIPFIIGILLFLGFIFVLLFSRLSEVTDKAPWIVTALAVCIKLAWESLETDMR MMEPYYILSKRHAPAKTLTLDYTAMPFGWITVRALLNQHWLVALVGFGTVLAETLTIF VTSLATVEGKDFVDQIRSNMAHGGDHPPRHHDDTNQGDFQSGQETVQSFVVSLGFAVF ILLYMLVVATRVFVRRRHPFLPRQPNTIASILAFMHQSKMLYDFVGTAKLGTAEVALR LQDLGKTYGLGWFEGRDGQTHCGVDEEELSGPYIHGIDFSQAAKPWDREWQLF PFICI_13091 MRFSSLGRIALFSCLSAFVAAEPMLTSSSLNTCQDDSSFTASLF NVVYTPNNNTASVDIVATSSVEGNVVFDVSISAYGYQIIRQTVNPCEIDLAGLCPMTA GKIPLNFNLPVGDEAASQIPGIAYTFPDLDATVRVYLNMTDTGKSVACVEADISNGKT VDLIGVKWALAIIAGLALVSSAIINGLGHSNAAAHVASGALSLFGYFQAQAIIGLTAV QMPPVVRSWTQDFQWSMGIIKVSFMQDIFTWYQRATGGTAATLFDTLTTVSVQVEKRG VPVQAGMDLMRRGVAMVPRAANTLLKRANIQTGSGSYIVYGIQRVAFKAGIETTNLFM TGLTFFCLFVIFTIMGVAAFKGWCELAAKKKWIKSDTFLEFRNGWLTVLKGILFRLTL IGYPQMTILCLWEFTQVDSPAEVVLAVFFFFGMSATLAWAASKVIRIARRSVAMHRNP AYILFSDPQALNKWGFLYIQFRASAYYFILPVLGYTLIKGMFIAFGQGSATAQAVGLL IIEAGWLVASSVMRPWMDKSTNTFNISIAAINFINSVFLLIFSDVFSQPALVNGVIGL ILFFLNAIFALVLLLMVIVTTVIVFWRKNPDTRYQFMADDRASFMKSQSQLTGTTELD ALAATARGDKGGYKPGLDLDDDNESISSESMRRRTDTSMMNVPQNGSGSIRGSGSNPP RSPVDPSVPLFPASGQYSNNNRPASPYGNNPAAFRAQHNASPWQRGAGYDH PFICI_13092 MTPTSTVPQPAVVVPAQLGFLAIFNPSLGATDDTIDDQIVYYAS VSSQEQHTARKRHRGSKSRPTEALSQDERNERLRQIGLAQGMVEFGRGFAGGKPIDVI ETQKARVVLRELEPGWWILASIDLTRLPLPPRLGGYVDDDDSENVEYSARELKPAALL LQDLLRAHSTFLLHHAASLSALFVRSKRSKFVTLLSRYWDLFSSTWNVMLHGNPACSV FGGIKVASCGELGVGVGEEDRGSGEREVLEGFVDRVEGLVDLVVSKFGEADPDKIAEE EAAGRSIEHRWIGTGEEPGAEDGAIFLGIGALSRHSLRDLTRWMEDIYTWGEDAYGVK ENPTSTRTRTRRQRRKSVNINDQAKQEPEASNDAKGDEPQTQEPPAAQDAQEDNKDTT DASGASRLVNYLKLGYGTHWSLGSSENSTNTETKSTTKDDEPVVRPRIEARSSSRQSA KEAAGHFLIGLQGDVDDDGSIHSAGDSDQEQIESRTRLRTLTVELEKEARDLPEEEKT QDLGSGDHELKAAKSGRKNAMDSNSHFDSQDRNKTKRMRVVVYVSKPFIYTFLFENRT DSLAWDSLYKSLHHQLEPLRKPLASSTLYRPGKPDAGAKASHIFDLIWDPKSLIIHST IPSIPEPISVTRPETRQPSTWTRLEALSTHMQMLQTYGGTRDDHSELERTSKTSRGWW VVWTRVLESRETTISSSGDIKAPPSLPGESSQDSEISNATGDSASSAFPSVVSAATEN VATTGNAEVDSGATPTPHEMSQTRRKGRKLKVSKEIFLIRRAGESASRRNSSLSQISE GREGWMDGASRLAQGIGVDTKRYIEDLLSLAQ PFICI_13093 MSTSALPYYQRTFFKNAEDLRKKDPQYNFDQHCFYMLNVCELCG QPLDGKELPATSGKKKFSIMTDTTEYMRHESRKCDKHQCKRFIVGTYAQYGYFFDGLH KPTI PFICI_13094 MKFTAAAALLATVASATPTNLKNAIASRAATDACDIGYCTQNGG TTGGAAGETVTVTSVDDLTTYAESDEAYTIIVSGDLTGSAKIRVGSDKTIYGESGSSI EGVGFYVKGVSNVILRNLKISKVVADNGDAIGIQASENVWVDHCDLSSDLDNGKDYYD GLLDITHASEYVTVSNTYLHDHYKASLVGHSDSNADEDTGTLHVTYANNYWKNINSRQ PSVRFGTVHIVNNYWDGALGTGVNTRMGAQVFIESSAFSNCATKAIESADSDEIGYAS VDDVDLGGSENDAEAGDLTASSFPYDTIETLGSANVASSVTSSAGQTL PFICI_13095 MVRSSFGLQWGRILWTPLVRAHRGKPSALATALPRYNSSSSSTD RQARTLKLLRRLSMPSKDSDIQDYEDYETLSVLDESIRRATIQVIGRNGLDILDRLDG SMVHEDHLIATGGLCLEAYCEYIGALSLAHAEAVVRKDRAGPRVWRWLQQPIVQDQIK SNHRNNESLLPYLAAVLVGAGHWSLLESWLVSCWRAHVTPISASSALVRNSDYNRQRK EAFLQFKWGGQFLSGAFNALLWWSPDGSADSVYTNFVRFCKDHGLDQDGARENILLEF PIVPSWVAIGKMAHKGVPASGIAFDELMEMFEILLPGNSSAWPRSSLYLVHPEVPSAD PVLKLLKDVASDPNHKLYGFWGTKKWIKVAKFRQRVCFEAADRLRKQGRAADAQWVHD FMTLHWDTGFLTKNGTADPRRGRR PFICI_13096 MGSLSTNVSASNPFRDRILAGEVCAVMSVKVVLSNEIAMMTKMA GIHGMFIDMEHSSSDLSQVAQLILACTYAGVSPLVRVPSQSPWHISRVLDSGAAAVIV PHVETVDEVKALVRAAKYAPLGARGCANNQPILHFRSLPSPVQNEVLNRETMLIPMIE TPGAVDLAEEFLSIDGVDGILIGSNDLCSDYGIHGQYDNPIYQDAVTKIVLAGKKYGK PIGIGGIGGRADLLEKWFAMGASWSLSGGDQAMLLAGLKALGEKYNGINERVQEARKS S PFICI_13097 MNSFYAAAARSIRPTTTSFARSAARPAAFRPVLSSAARPAVPVA SFHYTRQAMAAQKIKVKNPVVELDGDEMTRVIWQDIKDKFIHPYLDIDLKYYDLGLPY RDETNDQVTIDAAEAIKKYSVGVKCATITPDEARVEEFKLKQMWLSPNGTIRNALGGT VFREPIVIPRIPRLVQGWKKPIIIGRHAFGDQYRAKDKVIPGPGKLSMVYTPEGGQPE EIEVFQFKSGGGVAQTQYNTDESITGFAHASFKLALAKELPLYMSTKNTILKKYDGRF KDIFQEIYDTTYKADFEAKKIWYEHRLIDDMVAQMIKSSGGYIMALKNYDGDVQSDIV AQGFGSLGLMTSVLITPDGKTFESEAAHGTVTRHFREHQKGKETSTNPIASIFAWTRG LIQRGKLDDTPEVVAFAESLEQACIDTVDVDGIMTKDLALACGKTAREDYVTTTEYLN AVERRMKSLLKEKL PFICI_13098 MRAPIILLLAVQASGQALISSDTAFYGESPAVYPSPNATGVGDW EDAYAKAVDFVAQLTLAEKVALTGGVSSGNGCAGRIPAINGTDFPGLCLSDGPAGIRG TNLVSSWPAGNAVGASWNRNLTKLRGVGMAKEFKAKGAQVALGPVVGPLGRIANGGRN WEGFSNDPYLAGALGADSVEGIQSVGVTASTKHFIANEQEHYRNPSGNVAAVSANIDD KTMHELYLWPFQDAVKAGTGSIMCSYNRLNNSYGCANSLTQNGLLKTELGFQGFVVSD WGAQHSGVASALAGLDMAMPSGSSFWGNNLIAAVNNGSVPESRIDDMAIRILSTWYKF SQDTDFPAPGIGMPSRVSGPYQRIIGTSPDSKEVLLQGAIEGHVLVKNTNGALPLKSP QLISLYGYSARTFDRYAWGTPGWNNGEEARSTADTSTTQIASNGTLFTGGGSGGNMPA YLSSPYEALSQRAYDDGTHLWWDFQYNSQNSQVDQGSDACIVIGNAYAAEGADRPGLH DDFTDNLILNIANQCNNTIVVFHNAGVRLVDQFIDHPNVTALIFAHLPGQDAGRALVS LLYGDTSPSGKLPYSVPHNESDYGALKNESLPVGIYANFPQSDFSEGVYIDYRAFDQQ DITPRYEFGFGLSYTTFGFSGLAASVAAGANTDAYPIQPIVEGGRQDLWDVVAQVSAD VANTGSVQGAEVVQLYVGIPNGPIRQLRGFEKVLLSPGESGHVTFDLTRRDLSTWDTE KQEWLLQEGEYQVYIGSSSRDLPLSSTLTI PFICI_13099 MPPLKVLSLDGGGVRGLAELLILKKIFTAMARIKGNVDPENELL PCDYFDLIGGTSTGGLIALMLGRLKMKISVAIEAFQEISAAAFPTDRKKWKPLKIFIG GAVYDAKAFETQIKKVVTRNQPNEDLELIERSPNPKCRVFVCSTTNYTTSPRLIRSYA SDDDHHFPYAPIKVWEAARATSAAPSLFKPMTIKRPGPSMTLYDGALTGNNPIRQVVN ECNGFDHGRNFECIVSLGTGVKGATPLKSSNHVLKVAKVLAKHSLDCHAAHVEFLSTR EGRGLIDDQRYFRFSPERNLGEVELDGWESFDAITEYIELFAIEKRHEIEKCARRLLG LQIDTNMPPELGIHNSQPSTDRPPVASRPNPNNCRHVSAPPMLSPSQTNPYAERTRLD ALKSSTSLPIALSTSAGPGHAITPRHVNNLSGSGSRPTIRRPATTMLSFSAFGDRSHL LTTFDNEDAAKHIVDEIKEAEALLERGSLTQAYHKFRESLTLVYNSPIPERLKLTCHI HLGIAECRMLAPDGKTKEKKKALAYLESAKQHALQALDLKHEASQPAARMSLLAIAIK KAEIEAEQPDMMDPAQVATLYGQVVEQIDLLQERINRESGTEQARDYKPLLTRAEEWR KRLKLLGPD PFICI_13100 MAPMSLPESVEGDDVDVGVAIKVTVEAAGPNNDVDEDVGKDVGE DTGLEAESDATAVVWVLPLEEVSPTSKEIPVNGAETVVKIAKKQREGCILAC PFICI_13101 MASYGAYNSYYSEAKINDFSRKFSSFANGQHYTTGNNYQDKTQG VPESYSQGQGQYMIRCLSNGSNTSQNSLSTFGSPPTPVTFPTMSDWHSHYPPSDQVQY TPELAYDPEQPWWQEESSYSTDYMEPSISYTMSPTDGVDNMGYPYPSTMSIPRTSPMV TMQAPALMQEVELPNTSHMCSEPGCESKTSFKRKADLQRHWEQIHQTPDQKRQFFCDY PKCERSHDSFGRIDHFRQHYRDFHNEDLPRKSGESIDWYADKKQSVSPRTWRCVKCLS KVVIKDSGFTCNQCNTPCEKQRRNIRGYQ PFICI_13102 MASTQAPTASGSRVAPISQDHESDSEYEYEYSTTETETYYVTLD LSKADFVARQPSSLPSGRGNYKERAFTDIYAKDRDEIVDTCKQKPKKVHPEQAVTAGE HALEQGKAQQKQDPADQTIDLNEFQILELHSETPLIAYRGRVYEGHWARNIGTELLFA KRDESNPLPAIRQLGKGVDLLAASSARIMLTEKNVRPRDGKVQRTLKDRYENGEFSDP DDETVPAVPDPEPGASAERYEQGDFLSRFIALKKRKGETDEVTVIARLHEEGKKKSGA KKPKEPRKPKQPKQPSSTRGRGRGRGRGSRGGRGTGRGGTQSRNADIADDDSMISEPS NLVSTPMDTEYVRPSTRRQRALSSKNQDKPEDVDMDDAEE PFICI_13103 MPEVHLLDYVAGNVRSLVNAIEKCGYTVSWVRSPSEVANADKLI LPGVGHFGHCLSQLASAGYLPAIKEHIAAGKPFMGICVGLQALFEGSLEDPEVAGLGT IKGKLDRFDDSQKAVPHIGWNSANSSSKSLYDLRPESKYYYVHTYKFPYVQGELESQG WTVATGTYGSETFVGAVAKDNILATQFHPEKSGVAGLRLIKSFLTGEGAKALGQTVTG PAPTGGLTKRIIACLDVRTNDQGDLVVTKGDQYDVREKSDDRNVRNLGKPVEMAKKYY EQGADEVTFLNITSFRDCPVADLPMLEILRRTSETVFVPLTIGGGIRDTVDTDGTKVS ALEIATMYFKSGADKVSIGSDAVLAAEEYYAVGQKLFGNTAIEQISKAYGNQAVVVSI DPKRVYVPKADATRHATIKTAFPGPKGEEFCWYACTIKGGRETRDMDVVEVVKAVEAM GTGEILLNCIDKDGTNSGYDLELITQVKDAIKIPVIASSGAGNPAHFEEVFAKTRTDA ALGAGIFHRGEYTVKEVKDHLAQKGLEVRQFEGNL PFICI_13104 MRSVFQVEGNMASNGSSLMDVYNTPPADYPTPPSSFSSTDGFVK PAFGVSGWLEFWDYAGGASFRAFVAEDGEERSLFAFFDAGLMGRDLKKALIAFIDLAE GPFECSQVVICLDRMIPEDEVKSLMRSFQWVGFELSTLDHWAKDVDVTSDRWLFMAME V PFICI_13105 MAGDTTATSPVSRFPVYLLPSFNIPFRRRRQSSVSTTNYGSPPS DALVPSLGSSPTDSSLSSPLESPPTTPSSYFPSRFSFSKIGRWATTSVDPHSHVTTKL TQTQPNTIRCSHCSTDFAFTSQIVSKGFTGRYGRAYLVSPPENTTGSKGTADLVNILV GKSESRVLVTGSHVVADISCAICRTKVGWKYVDAKEETQKYKVGKFILETARVADYQS WEDMALDELPAPAEIATRSSDVDNEPIVFDSEDEDECEDIFSGTWDPEVAAKRRSKKV NRRPRQAF PFICI_13106 MFICDFRLLAILQRVLSASVTVDKEVVSSIGKGILVLAAMAPGD TEKEADSLAKKIVKLKLWDDDNGGRWKHSVQDIGGEILCVSQFTLLASTKKGNKPDFH GAMGGDEAKKLYQYFFQKVQQGYQAEKVKDGVFQAMMQVALVNDGPVTLEVTANPPPP PQPPSTNGGASS PFICI_13107 MAARKLQQEVDKCFKKVAEGMADFDAIYEKIEQSTNPAQKEKLE DQLKREIKKLQRLRDQIKTWATGNDIKDKQPLLEQRKLIETKMESFKAVEKAMKTKAY SKEGLSAQQKLDPKEQAKLDMSEWLAGQVEELEQQIESLEATSEGIQATLKKGKNQRE KQERVANLDHHIERHRWHISKLELIRRSLENGGLEVEEIDNIKDSIEYYVKDNQADDF MEDEEMYEDLHLQDEEEAYGIGHEGDKGSSQETQSVQDDTPEVESRPASLPSTKKQTS AEPTATSGRRPSTQLKSPLPTLATLHNPSSSSSTNGGAAVAGGMKPASVPPRTEGLKY ASAAAAAAKENSLGIAPLPPPQGAPPVAAVSSISQQARSSAANSPSIPPIQPAPLETK TGASIAQVETKIPDATPATPAQSKKAEKAAAKAAAKAAREADAAESSRASQANGLANG VKSTPVQEDKEEECVYHLPASLSDLVESFEITKKRSLQVNAPSQMRMLQVSQANTPDI GDAEAPRRYKPDQRYPFPTQYPQEPLAIFDDPRLYAKIDPDTLFYVFYYKQGTYQQYL AAKALKDQSWRFHKQYQTWFQRHEEPKSITEEFEQGTYRFFDYESTWMNRRKADFKFA YRFLEDEV PFICI_13108 MSIRIALDNPPEFYTNLDFIQGRIILGLNRPETIGNIVVKLEGD SRTALDLDDRGVAVNNYSNSHFPTDYRSETHKLLYLVKQVYPDAAASASPVGGMPGVM YPGQHEFPFKFKVPLNNICGNPTAMAALNGIGGIGGPSGSANFLGFAGFRTMDGSKQL MLQHVRATLPPSLTGFPRKAEIRYYIKVTIQRPGFFKENWRYQIGFKFLPIESPRPPI TKQEAFARRPFTFDPRTPEVIKKRTSFFGFQNNTKAQEEELIPPSLEMSARLPYPSIL TCNQPIPLRLVAKKLSPSKEQVYLTSLEIALLGFTHIRVFDSRNTEATRWVVASANNL AIPVGDPNDAVDTETVLPDRFWAERPLPNTVAPSFTTCNLSRHYEIELRITLTWGKPI KGGKATAPYPKQLSLPLKLSKVDIYSGITPPPELVQAAAGRPQPPPLPPRASTAPGAP HDPLYPPQLAPGEVPRYDDAPPSYDEAMAQQLTGPQERPAYSGVTAENDPSTMPPEKS GLH PFICI_13109 MRLRLKPLLAAACSRPARPSHFEAWLAPLVRDVTTCRRFQSHQS TQKRSEPLRILFCGSDEFSSANLRALHEEQLRNPGLIESIDVVVRPGKRVGRGNKKIQ HPPIRDVATELKLTIHERDTFTGWTMPTNINLIIAVSFGLFVPARLLRAARYGGLNVH PSLLPEFRGAAPLHHALLAGRAATGVTLQTLDDRTFDAGVVLAQTPLSDGSLFAIPPG CTVPELQAGLTPLATEMLVSSLREGRHVPPHRAVGWQQQQQQQQQDGSASGSETPKGL LPDATAWAPKITPQMRQLVPPSDQASPAWTARQTVLRQRVIGPLWCKAREAHGKVKRV IVDDAMEEVAVPESDVFNPEKGLYKKLQIHRSSGRPALDEQGGEDSIVSVIFWVPHDS EDIYLVESQTAALKIGRLKIEGDKAKPATVALAHFESEVVEQNMIDAQYVLR PFICI_13110 MNHSLWYYQNSVVVVPCRLTRSHKYNEKDHAGIAEGTVLPQENV PKFFAQNGFAGVDPKKTKKNGGGRGNWGNLGEEVVDEQFKWANERRRSNSSGYSNHLH DFKTKFEVNEPEPVFEESIHGPEHEEDDTLTKTETSSSAGSSMDEKHKHM PFICI_13111 MSPSTIMIDTSDDFAFPIAKPAQNGSAAGAARTLLLAPPSIASH EEKLRQVLAAHDRSVTDLQMLDRLSAGLVSLPASTYDLILVLSDADGTRSESTLLLNR DVFAKVVPSLKVGGKLQAQNSTLGQGTDSPDAREAVLAGLVAGPDGFTKAEEEEAAVP LKLSFGKSKKRSAAGPPVATVTVAETNGVDKKLSMAPPVSKPAGVGFVDLEDDFDFGD DDDLIDEDTLLTEEDLNQTLAVPAECVPKVGKRRRACKDCTCGLAEKLAAEDAKKRED ADAALKSLKLGADDLNELDFTVQGKVGSCGNCSLGDAFRCDGCPYLGMPAFKPGEEVR LLNNEIQL PFICI_13112 MAPGHGQRPSSGRSVPATADFQYESSNKTVNKFLGGRQRAWMSN AAPVKPTPRPPKESLHERRPPIRIDSSQQPHQQETLARPAAAAAAAPPPPPPQVVNRP QSLPVSAQTVQVPPDASPALPTPAPSDEPSPALSAPIQPTNSSQTLPSVSTPTSFVPV TTAHFELIQAVATSEAVGATSPDAQDPTQLARTPAVATGQAAAVQEAENHISTVSDPD CGAVTAVQTPLTPSTSTVTPMSAALPPSPNVREQPPPPPAKRQRAGNMAYTLLADLNA FAKLEHQITQSGGEYSLDGDLERPRYSLLRNACNEGDLFFVVLHQLFCCWTLSTEFLH ELSVECGADPSQIDNAFGLLDSILKSNSKLRIAHARFFESFPAPLGARNNQFPLYKQT MVQVFTFLTLMSQRWIVVTDAHVKLGYPLLMDELLNTFGLYSTTLQAIVFRASRRNLG VKDGQAGEAMDLLFKTDQNAHNDGNGNIVSRVVADIHNDQRNIQLIHTYKMLVAQARA SGQAFQQRQRVPYQPQHLSQQPNTMMRHPNNHVPVTGHASGGAGVSQNMVSAPNGQAS ATGAFGGNFVFAPTVASQQYSVPSTHFNRAASNGAVNSPTNATFFSQLNNNNTPIATG PQQSPIMAQGQFLQMLGSPGAQFSASPQSSPHLAQSFPSALHSRRSSHHLQAPAVQSP QMQNMMNLPQQMRGSPVQNNMNSVQQTQLPNPSSPHLPPGTSQSPVQMQQNMTAMSYA AHVRANQRLNNAAVDVRQLQPTPSQQQLQQPSLAMYAAAYADLGRQNNSTPNNQSLPP ARMHVTATADFRRHPPTRIPQDQHPHDAHSRKSLEMSLHQSHLRSPRRILKGIMFSSQ HERYYQYIKYLALDPSPIPQQKYLHVFQFNVTQSEVFKLSSDSPANALGPPSNFYFDG SLRIRIRVCFARGVGRVPSEQDWIVAETDWPEHIQMQLNNQPLMFRRKQHHSKDQPVE VGGFVVAGENILKVSVPVQKPFEKGMPFVAVEVIETLSHTSMYALPNLSDEAVIPAEE TRVVIQNRLGGASNSCDDDELAMVSSDLSINITDPFSMSLWNTPVRGKGCTHLECFDL ETWLTTRPGKKSCTCGAKRASDCELCPKEPSLVDKWKCPLCSGDARPGSLRIDGYFAK VRRALANQNLLSVKKIQVTTDGSWTPIIPDDDDDDNTDSDDDGPARNGSLKKAPISRP PTNQVAQQRGRTAQVEVICLDD PFICI_13113 MATTTGFQPKPEVGDILVVIHDFIARSSDELSLAKGDRVELIER DDEFGDGWFLGRHMVNGNSGLFPEVYTRPSPKGVSPVTTTAKSALSPATAPVLQASTN GISTATNQIQSTTASGDGRPGTSNTTTSSKAESTPILPLNATKADNSPIIAAAPLVSK TPTGPPSFNGTGSSHNDSAMLHETLTVIDEHMNDLHSTPSTGALHHATDSSSEYSAPL HDRLSYIQGEETDEEEEELHSRAQVEAWTADDVAEYLFTSGVEKKHCEVFRDQEISGE VLLGMDQSSLFLKAFDLGSVGRRLKTWQKIKSLQDEVNGLAPTQRTTQNYGSEAGSDI RVRSRANTLTNSQMMPPITTRSSSAAGRRLSQTTPKMDPVSPMTPVSPNGMSLNDSPT RPSHLKRPSAASIRDLHHSRRHSSTVDNRFTGTTLVNSTPKMSATGFPPPQVGAGVAH KKQPSFDRNWTMGSANGSFSQRPLSASGFQGLNITAERDGDQSASDVDRGYFSGTEID NGRKRNTLKKRDTISGHSAKSSYADEQRMRSGTALARHSRFGSMDSVSGGAAAKYFGV SNPHRRTASTATTESVRPVPPSKDYPSPTVTKLDALTGGTNSPASPSIKGSEWQAKAA KMSGFSGLRAISDAVTGNERAKFAPPGSAGSFKESPMQSPSTRTGSSTPSGGPSLDLD SPDTAKATALANQAARKPQKKDKKETSAYMRGLAKIGPKEAIKDADFHGWLRKRNANI MSTWKPRFFVLRGRRLAYYYSEDDTEEKGIIDISFHRVLPANDEKLTGLHATLTGASN IPIAASDSTLPTAGNNDDLQKGDDSMFIFKLQPPRAGLSKAVTFTKPVVHYFAVPNLQ QGRAWMAALMKATIDRDDTVPMTSTYQAKTISLAKARQQRQRPPALMTAEERAAAGLK EGGGDEKKNGLGISFGEVDSAVSGLEKMGLQSLESSSARPSTFDAEKSGGSLSFLSSP QSA PFICI_13114 MAVTSKTSIASRSDTRAVAPAETGESGYTQTLYILVSKGFPRDS ESSRMIDFCIVTNDSKNTNTVYRLDGRRGQYGLKVVPGFGNPRLRPHFLRQLHVATLP VRSPNDTTLHELVKGISISNENPEYTRWMWIDSVLGALSAASLISSDEGTGIIDATID CVSSAPYPD PFICI_13115 MAPSWSPVRLVACGLLTLASWTSTVLADKTAADYFVHSLPGAPA GPLVKMHAGHVEVTPEHNGHLFFWHFQNQHIADRQRTVIWLNGGPGCSSEDGAMMEIG PYRLKDRDHLEYNNGSWHEFANLLFVDNPVGTGFSYVNTNAYVSELDDMANQFVTFLE KWFALFPHFEHDDLYIAGESYAGQHIPYIAKAILARNKLPATKHQWNLQGLLIGNGWI SPPEQYDAYLKFAYEKDIVKKGSDIAQKLEAAYSKCEKAITNGGINHVDTGVCEQVLQ EILRLTAKKKGDNTECVNMYDVRLTDTYPSCGMNWPPDLTSVTPYLRKQEVLSALHVN PGKSTGWTECNGAVGAAFSAQNSVPSVKLIPDLLKEIDVLLFSGAEDLICNHLGTEAL ISNMEWNGGKGFEVSPGNWAPRQDWTYEGEAAGFWQAARNLTYVLFYNSSHMVPFDYP RRTRDMLDRFLGVDISGIGGTPAESILDGEKLPDTTVGGATNNTSSDAQEQDLADAKW AAYQKSGELVLAIVAIGASVWGFFIWRSRRKNRGYQGLSAVAERVRGDSGLEGFRNKR TNRDVEAGDFDESELDDLHVATPTGLNKEPYSIGDDSDDEQSTEKPKAGSSRT PFICI_13116 MGDIAPLVKDKINVAIAGGGIAGLALAVGLVKQPHVEVRVYESV PQYRDVGAGLALHLNAIKAMTLIGPELRGAYFDKAHVMAADQDTEMATEVILAHGESK GTRVAELGRAKGRMSIARSDLLDGFWSLLPQETVVFGKKLAAIAEKQVAGEEGHGQRD MVEITFTDGTKAEADVLIGADGVHSLTRSHLLGADHPATAPKNHDNWVVYRTMVSMDE ARRCIDEKWCGMGVPILLGPRGHVNIIPLNKGTRLSAGVAVRGASNPDLVAAPSSEAT AGDREAGAGAEQKKLDPENYDDYTEEAQKIIRMVARDTSASWKPADHDHAPFYARGPV AMIGDAAHASLPFAGNGAAQALEDAAVLVALFARLQELQHQHNQRLQQQQQQRTSSAN ANETSRSSSAQQDSWDAPAAICTALRAYDVVRRQRSQHVVDLARQFGRVYAYVEDDGR MHASPERMRAFFADMSSFTNDFDVAGQNREAVELLDMLLWQDADDDHDIAVVKDRKRA EKVNGVNGH PFICI_13117 MPLKRVLPSCRARICLNHGRIIYRRSRPSSHGITFDCGRGASRF YSDAADSDPRLKALGRRIEDDYATIRESYATPKLPIVLAHGLLGFAELKVAGNLLPPV HYWWGIADALRANGVEVITAAVPPSGSIEQRAAKLGEHIARVAGGRSVNIVAHSMGGL DSRYMISHLQPKNVDVRSLVTVATPHHGSAFADFVFDEIGDERLPQLYGFARKLGVET GAFAQLTQKYMTEDFNPKTPDDPSVRYFSYGAMMDQPPLLSPFRTTWQVMQNAEGPND GLVSVQSSQWGDYKGTLVGVSHLDLINWTNRLRWTMKKIWFGKNPTFNAVAFYLDIAD MLAKEDL PFICI_13118 MASTGGDCSRNDCLYTILQYSPSLVGNAVLLALFAILIPISCLL GFRYHSIVFSTTIVTGLLLEIIGYIGRVLLSLRDNGTRADYALSQLGTVLAPTTISLA IFRLMPPIVAAYGEQYRPWRPTWHNVVFYAFIIICIILQAVGAILSTVFDNSILYNFG IRLLVAGLAIHLVSLFVFVILGFRFALAVRQRKGGWDRGMPAVHDTARFKSFLFGISL STFLLVARTIYRTIAVARDSSAIERSEVLFLVLDGALVFLATALLQILFPGKMLSTSW SDSTLHERRMTQKGAAVISRPAPIQLERSSYAPYHTRVSLQSGKTTSPNMYSPQTYQI YSPHGQSPKTISPKTSPRRSMIPPPSQRTMADQRRNMVDSEQLW PFICI_13119 MSPVRNNALVSFTNYSADLCIDQTDGNPADGTPVIGHRYNGYKH QQWRLQNVGTTGPWPIYIIKNEETGSYLDLTNGKADNGTKITTWHGGDTINPNQHWRF ITGDPANPNTVMIQNVRTGTFVDLFEGRKLEQTPIVGWNGDFKNLGNIYHQQWKVQDV PFICI_13120 MSLKPTWAVPEPGFDETKNEHVLKMYKTLADGSRPAMVQQTQTA ASLEARIEEKLQDFTHQIGRAPTEEEKKWITQVETRCSGWLEGLRVDLQGKTTVPVSH LNRLEDQQEKVILYFSRELMDLKAANARDSRGFNHGNLSGNADGSKDSAKELQKQVDQ LKLQLQDMDSQLENLKGGQPKAL PFICI_13121 MRQTQNEYETLDNKCKTIASEANNANQLAKNLQNRIDEFENDFE KLLNENENLIRMAASNGKVASSTSTLEELVEQLNNLVDEKDVLIDDFRDSKGDLKIEI NRKTLGRARKAQEVE PFICI_13122 MATVVQKLDAGPPPVRPLSVNGVPGIEMDIDRRSMSPSMNGVKF GSGPKRGDTRIVVIVFGPGQENIVSVFADVLGKSYRLADGFGSISSTDKDVVIGMAAD KAKEDITARAKNIVVAINAHNVNQGMPPDAQLSAECDYEFLYSEAPFFRRDLSRFTSF VLGQINHHEALMAKPRTYFISTTFPDVHAALPNIDILTVGADAVELRVDLLKEPLSDG TFAPVPSLSYIGEQLMLLRQRTELPLIFTTRCTKENGRFPMDNPELYYEYLYRAIQWG VEYIDVEVWLPEAIRQRLYEQRGNSRIMSAFHDFSFTFKWPSVQAQSIFLESRKYADI VKMIAFINEHNENFEMEYFRSKIRAEYPDAPPLSLVNMGETGQFSRTLNKVFTPITHP LLPIIAAPGQMSAAEINAALSLLGQLPKRNLYGINSPSLRVAAPQAPFYEKCFNELGL PHQFAVVERQTRGPSCVEAWCNQKAFGGAYLNPPVSYTSLMHNSNVFSRLRNGAGPIL TEAARVIGMIDTITVRSSSNHAPESAPASIPSSPTRNGEARHRSVLSQSSSGLPPHSS LVFDNATWRGILSTLTRDLAPSAYFGRTALVLATSSDDAASVIFALKALQVDKVYTVG FKTPVALSRGMTIEPFNSLESLQRARMVGDEASPFVIVSALGPEKGNIVGMLLRVFGG TRSPSSTNTRKVFLDLADGPRKSDPGTIAEQSGFAAYGVADVAAFTTVESLRQLVGQN VPYSFVRLASGRGIF PFICI_13123 MPRPQVGIERLPTRRMSNEPRESMNCKSCRKRKIKCNRLRPSCE ACQVFQCACIYDAVPKKRGPKTDVLEALLKRVDGLEQKLKESKKPDDSPLADEPPLSA ATEDGASNTAASETQEDSSSVVEPQAKRLATESYRAKDGADESAVYSPPVASEPSPGV QTEALLDTYFSRFHAKPFHILDESTIRQRIQLNQLPSYLIHAIYAVGARYTPHPSGYQ SAVQLSEEYASQARKEVDTDEPSVDALQALLLLVTAFTAAGKGKKAYMLLTNAVGMAM ALELHREVELNARITPVEREMRRRLFWTCYLLDRFMACGSKRPSLVADKTILLRLPYW SPSPSALPIEGEFFQSDSNLQHLQGSGKKCQGSSGMLIDISRILGITNRYLAAGGVKG DSHFPWHSLSNLSKIRQHLDVWASGTDDVFSSVDALFGQPDSTVLVLSKLVYHLIHCL VYRPFIPIDLAELAGSGQHQSWQIEATNMCFLHANAIAELVELGKQAAAIEWPAFVGY CICTAGTVHIHGAHYNKTGTNEMSAFASSADFLSREMQQLSELRYAWASVQHQRETLQ GIYNAHSELVKSVTNNPMRHAPVFHLEDFFDRYANIGGPGGQSFTFDPANLSLSDVVV DFATDTYTGHDLYAPRNSIDAGAAAAGLVSTERPNLKRKSIGGANGRKRPDIRNLTPL QRPGQVPPTPGLPTPAHHSFATGQTPNIMLQSPRSLPSNGDQMVHHPVHLQHDQEDAA AKAAVAAAAAAGFSLSPPQPAHHPVPNMPVTPFSPQYNFASVHGHTPLPNGRIGNDLN NVGYDPMFGGMPTNAFSSPAAWYGDEDRRPPPVAPSPGAASHSGSAGTGPGEEKDPFL SLLEQLAESESAQGQGNDLDFFLNGAQI PFICI_13124 MDQHLQVTSGAPTSPPPPQPGNHGPPGGGSGPSANPGLFAARQH ASELATEYYAASICGIIAIFIIGHWTRVLVNKRHVPAGISKVLSPFAAVTRAFRRLFI RKIPGFLSAGHAILYLVYTAINLALAFTGLDFSRPTNFAARFGWMSAANFALVVFLGL KNTPLAILTSYSYERLNPLHQVAGYATILYMILHASIYSAYFIGAGKWDLMHEDIVTA GIVLGFAMFASGVEAVVLRRFKYELFYVFHIILFIMMVVTLALHRPELSAEKVGIIAC ITAAIWLSDRLIRFSRLIYNGINNEATVYPLPNGGTQILLRKPLPRAVPGKHCYVWLP KVRLLETHPFTIVSTSPAELIVNSYSGFTRDLAEYARKNPGASLKVSLEGPYGTFPDP YDFDKVVLIAGGSGASFTFGMASNMLQKMTADSDKQIEFIWAVRDRDNLSWFSEHLDA IRTHTHGSRVALRLHTTKAAPKDSVVTEKASKQSLQPTINSLTSADLSPMTRVYETDM DERLVKSSDLPAMIRPPTEDPEKDDIRAIVCRMGKRTVTTGSIDLPVEQGRPDVAVLI SEAVKCVDKDKRVLIAACGPDGLMRLVRNTAAGLITKDGPAVELHCEQFGW PFICI_13125 MDSEVPGIEINPPLLNSANPWATTHRDLEALFKCPSLGAITTRT ALLNGFKHDAAVHQYTFFDTVTHAPNEDLKNVKDEETSSLNTLGYSPYLLREYLSYIK ALSDDLSEPSTKLIIVSVTGSPDEVAECYRLVADHSKVVKMPLAVEINLSCPNIPNAP PPAYSGDMLMLYLKALREIISGGEIPRIPFGLKTPPYTHAGQFEAIVAALRSQGAPCP VTFLTATNTLGSCLVLSDIDSQAGGPKLPGPGIGGMAGAALHPLALGNVATMRNMLDQ AAETKDVKIIGIGGVEDASGYKRMKSVGACAVGVGTALGRKGLKVFEEIETGLKGEL PFICI_13126 MSWKTPSTTDRTVTILGAGVLGRRIAAAWAAGGYSVVLRDPSKE QCAAASEYVKSNGWRFPGKHANEQLQVQTFQDLEPALEKAFLVIECVPEKLEIKHVTF AELERLAPQDAILVSNSSSYKSREVAQHLKPETRRRVLNMHYMMPPDNKIVELMTCGD TNEEIFPFLVSMLRDIGMLPIVAHKESTGFVINRVWAAVKRECLTVLLDGVSTPEELD EVWTEMFVNTGLAPCKAMDAVGLDTVSFIEQHYIEERGLKDPGIISYLQNYIDDGKLG AKSSKGGLYPPGYTTKTGNQSQTAFDNIQAPELYFLDVGLSNKPEEVFSSGRILVGSA DGKSPLRTVVEHQHLPDGIAISHSDRLIFWTNMGIPSKNDGSIFSCEMDGSNVKAIVP EGLVHTPKQISIDEKSKKVYFSDREGMRVMRCNFDGSDLEVLVETGDWTQGFEDQTKW CVGIAVAPDQGKFYWTQKGPSKGAKGQIFRASINFPAGTDAKNRTDIERLFDGLPEPI DLEVDEEGGLLYWTDRGELPLGNSINRASLGDIGSGKYDILARNMHEAIGLTIDKKNR HIYATDLGGSVYRFNMDGSNKQKFYDGEGAFTGIALSHV PFICI_13127 MADAEKQDGIEVPAENHQADGAAGENNEEEEISAMKRRVAEMEK EAAKLREMQASLEERGNDLQQDDKNDVDSRSIFVGNVDYSVSPEEIQAHFQECGSINR VTILLDKFTGQPKGYAYVEFTEPSLVAQALVLNDSVLKGRNIKVTPKRTNIPGMSRGR GRGRGGFGRGFHGGRGGYMPRGNYRGGNRGRGRGGYAPY PFICI_13128 MAASRSESGLPSQANLRITIIAADGLYKRDVFRFPDPFAVATIN GEQTKTTTVSKRTLNPYWNESFDFRANEDSILAVQVFDQKKFKKKDQGFLGVINIRVG DVIELADGADDQMLTRDLKKSTDNLVVHGKLIINLSTNLTTPARQQQGPPANGARPSL ATPQASSLSDRHADRPTSSGPSNLAPGSQTNLPVRPNSVTAAPAPGHGNSSTQQQPRT SSQMSPFEDSQGRLPAGWERREDNLGRTYYVDHNTRTTSWNRPTQASSGENRGEREAA TQVERQRHQNRTLPEDRTGTSSPTLQQQPPPQQQTAAAASAAAQGANSTMMHTGATTP GTGELPPGWEQRWTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGGQNNANGTIQQQPV SQLGPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKLIY FRSQPAMRILSGQCHIKVRRSHIFEDSFAEISRQSATDLKKRLMIKFDGEDGLDYGGL SREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFKFIGRVVGLAIF HRRFLDAFFIGALYKMVLGKPVSLQDMEGVDADFHRSLQWMLDNDISGGILEQTFSTE DERFGVLTVEDLKPDGRNIEVTNENKKEYVELMVKWRIEKRIAEQFQAFKDGFHELIP QDLVNVFDERELELLIGGIAEIDVDDWKKHTDYRGYTESDEVIQFFWQTIRSWDGEQK SRLLQFATGTSRIPVNGFKDLQGSDGPRRFTIEKAGEVGNLPKAHTCFNRLDLPPYKS LEALQQKLTIAVEETMGFGQE PFICI_13129 MLMFRFWGSESRGSDTAVQVGQPTFELGKSVHPFVSETVTVTSP ARPPKRRRPTDAPIALSTGTLACPAVKRTKLEYDGSAISPKKETTSLKKEDVAPVVAP VEAEEGKVSQSSAPKMSARLERAKEAIELQFSQEILLKHHEHRLVEQELAKCQIAMEQ LRRCHLIPYPVNVPTPEQMLIVASGQGPALQPKTGAHVPQWAPPFGVTDGPYARHLAK WLIPDPKFDGIPAPILVHPESTRARHSVESRSAMHMAHELGVAPNKSRRSRETAGQKL HALPSGYSQPKGKAGPCVLKRAADGKMVKLVCVTCHREDFSSTQGFINHCRIAHKQEY KSHEEAAVACGHPIAVDETGGAVGAVNAPAPVVTRVPVTTAPTRQTVRDNALSYSDAC FSVVKRIEDSMEAIRNADSTKTPAASPMSNANFRSSAAAPNLSELARMKGSDVDMAEL VRDATTKIDLDALLYAEDESDDSDEELLGVADTRDDPTPLALSGMRMPKPVSPVPPPV LPGSIRPTSSSGRTPPLPYVAPIATPALNLSSSECSEEDPMDLDLSPNTAISNNAPSL VSDDDDYDDSVDGSGDESDVDDTLDSQSVTDVAEIHFDDEGSSSLRHPHSSTSGPSDP MQLRKQEEKHVTFMTPVRESSIPTTPMHNGLKRKNRI PFICI_13130 MPAEDSASSEGRAPLQEKRKRVASDETTASARRPPNKRARLAAD LSLSQPDDRRALEAVESKYDVQVHSIVSSTKIQTKVISALRHLSESAPADADLVSGKQ RIVVLKGAAAVAGKLVSIAEIAKREVAAHENGAWFQYIALGQEIKETHREKPVIVEET ILDGRNKSNNHEPEEDEEDDFEYMKTPFERALEGKPKKHAVAIMSLFLSRASVEELKR RFSEQSGTSSTQIKT PFICI_13131 MSSQPLLQTAPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG ALAIGMLNFGDRPAFISAFLFTGVAMLTMIYALATYHWRAKSIRQRGQAGFDDRFGPT MLAIVLLLAVVVNFVLRIIYSNGQGGKKN PFICI_13132 MSSQPISSTRLKQIAQDACQSAIGSADFYEHAKVQEWNSSIINS ILKALISESTPSGSNSAQGPAHKFVVNATIVQHLVPSSALNKPKGGSDIKTDDAHIST SDDAAPTSTDGKPHVGRRGMHSATQAFWNEKTDGMWSFKYDGGEGKGMDVVVSVIWIA V PFICI_13133 MSRPTRIGNVVTRLLSALSPAKQVKAGRSATKQWVCQRDEAHRE RPSRPVPTTELRARWKGSMVSFAIFVDSQQHPAAIPRIQQEMAAQTRISLKMPDNLPT RLAGHKSRHTMICP PFICI_13134 MVEIMRAPSLGGSYTNSMDVGGMTSRFSETKLASIDETEAVSAS TQEARKEQGKEFKKTNYRAPRRAFSTNDYQLASPLSSHAGSAVSSPAMRPHEPNSYFS LVPTNSSNTPLSSIPGTPAESPLSPSANVAASTIQSRLASAALHAHLPRPELPGPQRS YSVMDYVPVPVLQRPGEKLRLVHLPAELHFAIFDFLDDMDSACLGLASRHFYYIHRRM RGTVPLSTRRAGPNDMEWIWRLAGPLIANGESEENAVNRVLPRGQVYCRKCGVSRCEL HKHIQEWMGNGYEYCEELPEAPAPVRKTYKAATHRPIGLGHHEAHQQTTEQRVKSNHD DEGMECRI PFICI_13135 MSSPSASSSGAAKRKRATTATSAAAAAAMDENQLLQPSSRDASG EEGDTTAPESGRLTHRKTVESVTNSSSSNPSKRLRSSNNSAATAVGAGDQIVDPGEPS DTTEASIDIADRVAKKNKKHATFKDVQEEGKEESTMAPPPIGKLTHPVGYKTNDPPAG RPIRVYADGVFDLFHLGHMRQLEQAKKAFPDVYLLVGVTGDEETHRRKGLTVLSGMER AETVRHCKWVDEVIENCPWIVTPEFLDEHQIDYVAHDDIPYGADEGDDIYKPIKEAGK FLVTQRTEGVSTTGIITKIVRDYEKYIARQFKRGASRQELNVSWLKKNELDLKRHVND LRDNIRTNWTTTGQELSRELRQYWPASRPQSPAPSLRLSMPGTPGQSQNGDISMREVA AIASASARSPTTPGERGPNEFISGYTLGLIGGVRSWMTKNRRTVPDVSRPPSDDESEG SDEVAQARGRKTSATAAAGPA PFICI_13136 MTSLEFIMDMDVDKEEPQVIKKDGPSSSTSGTRLDRDLLASDHE HPREHQGRLDTSPTKQKRGASGRHNKSSSSSSSFNTIAADSSTAITSTLASPLGPSTR PAPAPGNNNSNFNSEEMHRYGSHASPSGTGGGGEQPNRPMGNPGEVQVKLTPITGRVS RAKKGVPVHTCEICRPPKTFTRAEHLRRHQLSHQTPQYPCTYPGCERAFHRADLLARH ATRHELEGDKASSRSTGDNSRRASTTSTGYDQGGPGPMQPSPVMSPNPSQPYPGSSYS GYSDNQYNSPALSGSQPMSPQQRRDSSGPSSHYTHHAEIPTINQPPGLDESPGLTYDT HMSNYHEVPRTAPGNGLLIVTSGLGPDAAPAMIQPELSPWASSDSNSYSSTPSDNSHR RNYNIPAFSSPPTASEWQSSFVYTPPSQGIHSPHMDVITSNPNFFQDPFYTGMIDPSM SLYTEDNHFLSHPQSHFPSVRSPTPPNMPSSVQSAESLVTLAPASHDPLLGARFKGQA ALMGSLSGATFLTAFTLPKPARDAIPHFLEVYWKRFDASYPLIHRRKFELAPDEILRA AMAAVGSQFLEGKEDRLKGNQLHEWAWQEAKRQLQILQWNVSTMQTILLCEIFARFRG KKIAIKPSEPFRSLYSRDSSLFSLVFSSPSSSQWHPYSTSPLQVDTITQPPDLDHSFG STNSTPSIGGLPSTNALQKPRWAEWVEAEARRRLLAACFAVDVHTSMYYELPLMQNFT TPYPPIPLTAASEELWNASPEEWEALTNARPASLEPAVLSEDIISREVIASAAPMDQA VFLASEVLRIPRRSASTIDLYSNPDLGDVERILTLFPDSGVAYTYAALHYTPLHDLLA VSGDSWLFSQKVLEGKAFVQYQKTLKQWCGSLHAATAARFAAKALIAFLTINNNDNNT TGGASSSIRRWNMSDVSDYWAIYVCALICWALGHRGTSPATASSSSSSGGASTLTGGS GSSGPRSSSGAGNARATASANIKERESELEALGWLHLVASQANLQDVFSHVRVGGRAV TISVVAMVRKRLEGEAAGSRSRLLIDAVGILKKLEAGVNWKWF PFICI_13137 MGSFLFKWEHPASEVYVTGTFDGWKKTEKLEKVGEHFEKQVQLS DASKKIYYKFVVDGNWVTDHTAHKETDESGNENNVLTPERIVQDAPATTAIMNSAAPD STTAALAADVPLEKDKDIKTDGLPGVFPETPAADLNKEFSVNPLPAAPGAVNPIQLAP GEKIPEHVAAESTTSNVKLDPESYEKADTLPGGVATFSSAAPTSTTAQLAAGAPIETK TDKVPEIVKESQEKAHVDPEASAVPEEVQEKAATEKELLDRVKEAPSTSEGTAGVGTE KTESTVTASEAAASVAAAGAALGAAAVAGAVAAKDIALEKGTAAASTAQASATQAATN LPDSVKAQLPESVQSAIGTTSKETTIEETAPAVPAEVKESIAEAGKSPEAAASTSAVE DKAAVEKELLKEVAPVAAIDEESKKTEAKAEPASEPSTGAAAVETPAVVKTGAAAGAT TSEVISEPKTETEPAATEPATAVANGSSAPTTATPETPAKSTTNSSKPEESPATAEKK KKNRISAFFGKLKEKAAKK PFICI_13138 MSDTTPLLVQFPNSGHLGLTRYRRCRQAAQRALSSKFKHWFVLT LVVLDVAGILADICIALITCELDRENEPWVHPTRESLKVLALTLSSLFLVELLLELWA HGPRRYLSNKITCFDAFVIVISFVVDVAEHGGVAEEVASLVVILRLWRFVKIADEFGV EASERWDEMEERVAALEKENSDLREELRRSNKAQPADEEQGIPDEGIEEEQ PFICI_13139 MKSIIFSAVALAAAVSAQATTSAVSSTGPTCDAQPILEQCLVTT TGYLNLCMTTDYSCLCDKYTSIMTCFNNCPNDSREGQYSQLKQLNCNNASIYSSLSAA TATTSAKGSSATAATATTTDSSKATGTSDSSSSEETSTKSASASGSTQTNAAGLDKVG SSSGLLVAVAGAVAALL PFICI_13140 MLASRRVASLALRAKPASSIVPLRSVAAISTTSKKDATSVAPHG STSVLPRERKEIPLPSQEGTKGVIQYALTTLDQVANWARQSSLWPMTFGLACCAVEMM HLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPEPRWVISMGS CANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTSEALMYGIFQLQRKMRNTKITRMW YRK PFICI_13141 MSATQSGSANIPDRGPSVFAVTTATLVLASVFVAARLYTRLTIV RQFSWDDWFIILAWAFAFAVGFTIDLGTKNGLGKHDSDIAAGDWNTLRRCEYAFSVLY NPALMATKTSILIFYLRLSRNTQQVLRLASWAVLIVVNVAGVVLTFLNIFQCRPIRAA FDRYPGEAQCIPLLTEFLCSAPVNIVTDLAILALPLPVLTGMRLPFRQKIILIVTFTL GIFVTVVDVVRIYYLQQAITSVSPVTSSNPEATFGDQAEFAWNASLSLMWSSVEVNVG ITCACIPTLKPLIVRILPAMLIDPDGTRRTSDTTVKQPSDSSRHYSDEGVVRPPAMAP EPALLSDGIARTDQAAEHESTALEFLTTPDMLNTTQPSSPTRLGRSSTIMTAATAATT GSSENTVLFGFVNMKKPKSIIRTTVAESWKYCTVVTILFVLWGFSYGLLNTLNNAIAT VANMSEAQAIGLTSVYFGGGYFFGPLVVGEWILRHDEHRRTKKHDGTPSVGGFKATFI IGLSIYGIGTIMFWPSAILTSFTGFMISSFVVGFGLAVLETAANPFIALCGPLNYAEM RLCLAQAIQAVATVVSQLLAQKVFFIGIQGQESDSTLLLDVQWTYLAITLLCAALALF FYYIPLPEVTDAEMERSTKYLPMDPKKRSIGGFQLRTWTLALAVLAQWTYVAGQESMS IFFRGLLTPDAQVQAASNSGASRTAARQESSENTSSEDTAALALSISDYLLVAHTAFA ASRFLVAYLAYLSPRHPKVPQPRTVLNISTGLSILFAILIAAIRPSNPNLVAIPAILF FFSEGPMWPLIYTLGLRGQGKRTKRAAAYITMGASGPAFWPFVMYAIKQHGASYQIAF TVVVGLLVFTAPFAIFLDFKRDARTLVDARVDREEQQRLQSGQQEQMGLDEIIARRRH TTEGNTQPSMVRKLSVAFINPFKNRRVSEPTSVEHRENKRGSGG PFICI_13142 MPVPENNHLDGDDAASSRAPTMGAYSDTTPLLRSETTSRTSRSS SVSGAASPPCAAAAGLDEPNVKVSPRRGVSIALSVYVLIFLQASNMSGMTMAQSTIAA ELDAYEHAMWFTSSYLIAMSSFGPLAGRLAAIFSPRTIILFTSAFFVAGAMLSAAATS LPVFLLGRVVTGLGGAGIFTTAMILVLELTSKRRRGLFVGLVNTGFTTGVSLGAVVFG ELVPVTGWRFLFWIQTPLAALAGLGVYFSLPASFRPEKNDEEVSTFAKLKRIDYLGAF TLTGTLVLFLYGLSGTIQIIPILVSACTLVAFVLFEYYVASDPIIPVVVLQNRGALLS CLAQLGFMATRWTVLFYAPITALAVFGFSPGASGSMLIPTNLGFGAGGLIVGWLHVKR AGSFWLPSLVSMALFGLSLFMLSFASNPSVPTWVYVAILFANGLCTGATLNYTLAHLL HLTPSSTHYIATSLLATFRGFAGSFGTAIGGGIFVRSLRDGLEQGFMTVDGTDKLSDA RQLLIKRLVGSPALVHDGGLSTVDHHVAVDGYVAGLKVLFQAAVVLSAIVLVLQAATG WNGPKDQDEDVEEVRQALAQSDPEYEA PFICI_13143 MYTLGRTLVLVLIGISQAIAMDPFEPQMTLPQITFPANKTCGEV NVFYTGLTAYHPLVISRGFDPVQTDIALRNDTANLVKAGFNVYVLFQGPDQPVSNLGD RMKGRVWDVTGVGWGIRNSTMLELVDRFEGMNDLTIENFGQHVNRESANLIEFREEAP LTPTVFNWSPTSLAQSVVRHVPLIEDCTDKPGTLYAYEENCPPELCEKVTVVTSGSLE ELLEGIQ PFICI_13144 MSTINPITVYVHTGGPNPWKVTIILEELGLPYTKIVVDNPKEDW FTAINPNGRLPAMIDPNKELTLWESGAIVEYLVETYDKEQKLFVDDFATKWHLRQFLH FQMSGQGPYYGQAVWFQKCPEDVPMAKRRYIEQSVRVVEVLDKMLEGKEYLAANRLTY ADLSFVPWNHVLLSAPFFREALWDKYDLAKKCPNFIAWHERLMARPSVKAVYGL PFICI_13145 MSSTFQNIAIVGASGNVGAAAVQELLAQGFTVTALTRASSTATF PAGVRVEKIDDYSSVTALTAALRGQDAVVSAVATTVVPSQIALVDAAVAAGVKRFLPS EFGANTRTLVGNDGVTAALGTKTRVNDYLVQKSSENEDFSWTSVVAGSFFDWSLDMGT YAFNKTTKTATIFDSGNAPLHVSTVPFIAKAVAAVLRHPEETKNRYVTIASGYTSQNK LLQRAEKTTGQTWTVERVATADLKRVGREALERGDFGAAFGPLLQSHLFQDDGGRLVT TENDNDVLGLREEDLDAIFDEWLVAEKGKAWASGL PFICI_13146 MRAPFVAGFATALLAVPTFGYEVQTPPLDTDWTYTVGENPWPEH PRPLLQRDSWQSLNGIWKYRPASDGEGKIAPVNETLTQDVLVPSCLESALSGVQALNV TYSWWTRDLDVPHEWWNNGRVLLNFEAVDYEATVFVNGQNVGTHTGGYWHFTYDVTDF LKRNGTNKLAVFVFDPTDIDPATWNIPVGKQTRNPGHIFYRSCTGIWQTVWMENVPNT YISGLDIAAGMDGQVDITVNVTTAGTYPEGGTSASISVIDADGNEVGSYEGAANQALS FTVDSPDLWSPDSPTLYNLTITVGDDEVSSYTGFRTISKGEVNGILRPLLNGEFFFHF GTLDQGFWPDGIYTPPNREAMVYDLHYLKSLGMNMLRKHIKVEPALFYRACDELGILL IQDMPCLYPKDSDNVFPNAAQQAEFERQFDILINQHKSYPSIYTWVIYNEGWGQINDG SLPEARLTARAKELDPTRLIDATTGWWDHGVGDFSDNHHYANPQCGTPFYSIRSSPYD PSRIALQGEFGGIGQNLSIEHLWNVEFAINHINETYELDADLDAYNYRAHLLLDELRQ QTEYYACSGAVWTQTTDVEGEVNGLLSYDRRIERPYSDQWKADIQALYDTAKAKAGGG NATIEMS PFICI_13147 MNPIPSNQGGKSLSASASSFNPTQSFSVPSPSFDSNSRRAAVAS PALAYSAPRKGQASRKQHKDKKKPPRESRLDEDDAMAEFRAMRNTTSRKGQTSITHLL NYSAPLRHSHDHGYGHGHGHGYGRSSRRQPTWGPGSGYHPVDKAKFVHANYRFVVDPQ GEYAAQASDADKPLDWNNVQQIIASTESQSTSCPICLSDPVAPRMARCGHIFCLPCMI RFMNSTNDDEASSRKETRYKKCPICEDSFKIPETRPVRFYAGQESPLPAPGHDVVLRL MVRESSSTLALPNEGGTDPVNEGEAVPWHFAANVLDYARIMKGTPDYMVAEFDREIED LRKQSSEDEIQFGAEDGDWTIRAIRAITTAKDRLKEPKPSDSSDTAVTNGSGKAKSKR PASHPFHFYSAPPHLYLSPLDIRILKTKFGDYSNFPSTLLPRVEHISTGHVVDDMLRK RTKYLAHLPQGCVVNFLECDWTEIVPAEILGTFATELEKRRKSHRDKAAQEERERVQS ERLEAASIGRSARHHFETNHVEPYDPTPALSSEDFQPLASTTPPNPRPGFEQLAEMST SPSTSKTVWGTRVVAASPDLGAVPEKSGNDGWLNEDEFFGAAEVALQMEAFRLDGDGS GPSTPGAAGGKKKKKQKQKITLMSTGGHRGN PFICI_13148 MLYLSTSLFVSVLPLVASAFPSYKNNTKPPAFFLAGDSTTAVGG GWGDGLLATLRAPAWGVNIGQSGATTVSYENAGNWTNITTHVEEYAKKFDTYVTISFG HNDQKSTSGVTFEEYQANLIRFAEEVKSLGGTPLLVSSLSRRVFTSEHNATDSLHDQR LAAIYAANVTSSPIIDLNGASLNYVDTIGEDAAHTYNLSPDDNTHLNAWGQVVFGRMV SDLIIQALPGLNKWIIPNETLSNEIWSGIAA PFICI_13149 MGDTKEIRYLDDEEIASFAGELDKNRDGYIDYHEVEHRLDLVHD EIAPNPQPHHLHHNDKDDEARHQFLRSLMGSDEDRISRGEFASRVREWKIPSLKQDSE DEKRDDDLLKSMSIWRRIRAYWAVRGPDVLFVALVVSTQLAFGIWQLVKYVTETKYRA AFGWGVVLAKTCAGALYPTMFFLLLSMSRYFSTFMRRSPRISRIINFDLSQKFHIRIS IVALCLATLHAIGHLGGSFIWGSRTDNESDVANILGPGMVPRPYRDYVASLPGWSGIT TLGLFYVLSILSIPKVRRWNYEIFQLGHLLMYPIIALLCAHGTLALLQWPMLGYFLAF PALLVVVERVIRVAMGFHRIPATLTVLDDQTLEIKTTIPSERLWKYRSGQYVFLQIPS ISFFQWHPFTVSACIGREMWLHIKVDGNWTKKLKGLAGESGDSHILVGINGPFGAPAQ RFHDFNHSIIVGSGIGVTPFSGILMDLQTEDNRLHGGPETQRQLSTGPGERRLSAAQP RGPGLSTTSSPISKSTTAVGETGAMNYHHSQKKTEPYGSYRRVDFHWMVRDRNQLLWF SDLLNAVSRAQVWHRQHDPDCHLHINMTTHVTQKRKNIATHVFRWLLEMHRTKEHPES PLTGLMNPTHYGRPDFVQILESHYEDMKKFKYTDREKAGVGLEDRLKVGVFFCGTPVI GEILADRCRMLSARGRADGTKIEYYFMMEVFG PFICI_13150 MATETPKIKLYTNHLCPWAHRAHIALAELGVPFEEEIIDLSVPR TPEYLKVNPRGLVPSLAYGDEIITESAVVSNFLADAFPSHLVPASTDPNGPLVRARIA FFADTFVSKVGGHFQKANFGKTEEDRAEAVENLVAAAAKELEPLLADAAPFFGGSDKL TLAEVLTGSFVIRIYTASKHGILPKSLASDLAAKAPNFDKWAQAVIKDPSVLSIWDED NVVQRTKERNAKVRAQV PFICI_13151 MPDPEAIDQCLRRGLEHEDEDYERTVQKLVDQDLDDWPNEAGFE GLNEVRGPVDLHVKGSIPSWAAGSLFRTGPGQYNVEDTPLGTYRTKHWFDGFGHSHKF QIIPNQLDPNGPVRVEYSSKRQTEELVAEIKSTGRRSTITFGQRQDVCVGIFSKVMSV WRASGLPLPTNIENIAVTVQGSVPGLPLPNTKQPLESGHRSTPKVLWLGTDNSILKAF DTNTMEALDCKAHTTLNPLLKGPLSCAHAQRDPETGDYFNYNLQFGPVSTYRVFRSNA ATGTTDILATIAQPDVRPAYIHSFFLTRSYVILCVPSTHIRAMGLSIPWNRSITDAID EFDEKNLCKWFVIDRREDKGVVAQFETPAGFYFHSANAYDELDGLTGEINIFCDMLEY PNTDVIKSYEIDVLMGKNGMGKNFWGDESRARNSQVRLARYKFSIPKNHQSSGGSTKY LGAQKMFEIKAPHIGELPTINPSFATKDYRYVYSLPNRGRSTLLDSISKTNVKTRETI YWDNPKGHTPGEAIFVPRPRIEGDKEEPAEDDGVLLSIVLDGHGKTSYLVCLDARTMK EIGRAECEWAIGFGFHGMHVPA PFICI_13152 MAAFEPVPEALIKVAPRYPGDKEPDRQARRYLKQLNDMAKGHDR PYSWGLTVFRTTYTAESDETFPRAIERLKQHAHAFATEDLRSKVKRLPGNSFTQFNPP EGPLDPAPNEELSRRFYCDIIEDAASLDGADAEEVGRAFDAWLDKHRQLEDLRQVYWG RYHFCILMDEQGIDHLLQFDPSEPPRGHWREKYDFYVKVVTVFRRGQTDMRRFWLRVG IKENIFILANGVDDIDISEIGMPDPEDAAYNWYGLFPYW PFICI_13153 MLCNWCQNDRQVVFCEGCDMYFCDNCWQILPHHKTNKPGPGGIP HSKSDPRIVEKVKQWMAEPQNEEDEHEQHENDEDSLWFGVSKDGEGNPILEVYRRYAA IMMDTFKEGLPNRYPGLVSVVGQTGAGKSTLIRLLIDPVNHPTSVEESGSVAVPILGR SDCDVPTSSDVHLYIDPKTQKSDRPILLADCEGFEGGERDPIAQAVTVGRHHASAKGR RSLSRVARASKINLKWASRKTPDHERTSKRQYAVTEMYPRIFHAFSDVIVFVLNNPKT LEDVFEKLLKWAHANHSASINLPSKPHAVIAINKSSNSTPNSHWSSATATDEAFKSMD AQLQKNKTFQKYAAQLAGRREIDNLRQLFECYYSSVHVVRLPDKSRYELLHEQRDVLY ETIQDCCEQSYRKKMFRGMLPDVDQFWLYLSLAFNHFSETLDEPFDYVKASLRHRPPP DTLRDNVLEFVLLVGKKTNLEVEELFAKVTGFIASCIMLDSARKQRQEYPRKQEADSY KSLCSYVVRRYVNTNVQCQYKHEGLFPWRCALYAGTHDEMHQGSAAIGVTRQIGGTFK HKFSPKVYSWENRIEQQLRELHDSGEWRTKDLSDRTRAHCRAVKSFYSQLGTGLSKLT SNSICLCCLFNLAQFRLRCEHFICFECAMEVGHFEGGKTRIRVQCPIDGGEVTTIHTN PIHSGLRVLTLDGGGIRGIVELCTLRAIQTRLGKVPLQEFFDLLSLIKRSTGGIIALA FGHQRLPVDKCISLFRDLVKDAFTPRKGQKLYGIRYIETMLKKSKYRTRTLEDALKRA LGEEPLFGPIDPDVRPLKVAVTATTEAGRLPYLLSNYNMATSSLKRSAIEYRRDRPES PDEEVRVWEAARATSAAPGYFKPFERSFVELMDGGILHNNPINIAMEETAKIAFQENL NQRPDIVLSLGTGMPYEGLRRSRSSAAPTGQENQERQRTRVPFAKTLFTLISYQIKLN LDAQSQWERWMSSFSHPDDGQLFRVNPDLRKEPPAMDKVEEIEPLIQSVDGWLAQNND IDDIARTLVASSFYFGRVGNAERGSRTGVQLRGLIYCRLSENADNIKALGEYLTRSGN P PFICI_13154 MTARIKVGVVGYGNSAKSFHLPFIQAVPDFELVAILQRSAAPSD PATAPAGSHCTVDFPAIRHHRTSDAFFADANIDLVVVATRNDSHAPLGKLALEAGRHV IIDKPFAQSTQEADELIQLAESKGLILTCFQNRRWDGDFQTLRRLKDANALGEIKEAE IHYDFENAGAWFPRDQKEYTPGSGMTFGLGTHSIDHAMVLFGRPKSVTAFFRAQRGVE SEIEDSFTIMLQYEGQQRDLLVTVKSCVITIMSQQLKYIVRGTKGSYFKTQQRSTCIQ EEQISNGIGPSDPNFGIEPAATNGILTTLEEFDASHQEFDDKTGKYTGHYPTIPGRWL SLYENLAAAIKGTGELEVKATQVRDVLHIIELARMSHVQKRTMQCDE PFICI_13155 MPQPYAASTNPSNGASMSHHHSYSQSQSQFHVQGQTALSPPPAA HSLPYNYPPRATSVATGHYPPANVGSARADSIPYHSSRSNTPINFSQKGPAANYHYNR NRSGTANSASSSSGGGAFTTTTNGTSSTAAGFRAEVAGSRFQSSLRRPGDPTIREQVP RPQESLSEPARFADETPSSPSFFAGTLPRTSSLLPPPRIVGTNLAPVKFNAVVFDPNS PPDPLTNPQPLHSRGGSTGGVSGVSDSFRNLNRWSASTTSSRASHSVEPHLPAQPPLK VTTNFSRRMSVDSIGILNQAIESPPATYNSPRRLTKRRPSATSITSASPRAASSASNR RPSSPALAAPNLPPIVTLPSLELSTASLANLTSQPLEQDRPSATTDTPSSIFSGDLSG ELRSQRLNRDEQTDPDSSTYTTSQTRTILAAARLREAMPERRGHSRSRSSTLQSSSDS GGRAKKHPSQKAMLSKALAKANTAVQLDNAQNFEGARISYIEACDLLAHVLARTTGDE DKKKLEAIRRTYTSRIEELDGMVHVQVQMESKALPARPESISYNGVEITTADSDEEDL SPTASRKPAPDYLGSGRFGGSSTNLAVDSVQGPPTSSFSSRSPMRRNFEGSLTIPQGQ LMPAPLSPRRPASPAKPRTPEPEEVSRQDFFASSDRLSAENVNGHNRNPSHESVSWLD PIDESDGSEASSVHSRSSSFGVRRKHIRAISGDTEAEFDAALDAAVEAAYDDGFDPLD VYDEPPEEDVVANAMRRVEIAKERVRQTEREALSEREAIIQAAHERERQRQLSIAEHG DPQAYNGHFFDGSDSDEDERMLEDLTRDYALNNFSFNQQGSKQVDATSRERKSDSSGL TQRTYHSSVGSSPPTANTVLSSVAELPNYKPPPPSLPPPPQALPQIPSQSPGGSSVRN RRLSGQNAKQLKIETAKLVQATSGASTATIPVKPGNFAAQSRQAMSATATKPGPFSMR SPDSPRRGASPAPPMMAPPTPPQNPPHTEDPNDFRTGSPMSFGGPLRKNQSSSSLKSL KARQMSVPNLESPSDLYPLAPLSQTMTNSSISRQPAVPALPTPLAMTFGSKAAGGFGG MFLFDSDFHAERPQSPNSIQSPNPDIPLPLEPCPSDVMLRPFWLMRALYQTLAHPRGG YVTTRMFVPHEVWKVKGVKLRNLEDKSSQCDFLTAALLNLARVDSNDADAVLEEMQSL ETVLEQVQQTLSRKLGNEVGTQSAGAFRDREDSEPAPPVPRSTSVSGKAAAFSWRRLR SKGSAANLTSAYGNKSTSGGGERIPEKEALGVGSGTMPTLPMVPHPSSRPAKRDVLSL KFDGPYAGYMQSLARLFDAAQTVDLIARQVDDPGLRHADKTQVGLELCTRHAAEFFSF YICRFVLADLGLLLDKFVKRGTEWVLM PFICI_13156 MINSIRGSTLLLGALRLATLCNASFNWTETKYVIAFGDSYSFVQ GTAGYPRYSFIGSMLDGQFAFSASQLLDDRIVQNFTGTAEGGPNWLEYLTNCAVEDGQ YAPADCPVQLWDFAFAGGFISSEFISGGRDYTIPLVNQTQQYLTYADPVLAESPVSMD KSKALVSIWIGINDVLDSKYKKPLLESYESFWTRELDALFAQSVTAMLAAGYQNFLFL NLPPLDRTPENQQTKHKYPNKNGVDTWSDLLSERIESFQQENDGVQAMLYDINTFLNG VMDDPTAYGITNTTTYCAGYNHPDVITNPEKYDCAPLDEYFWYNSLHMTSHTHKLVAA DLADYLEIQSA PFICI_13157 MLELRHAQTNMPSAAVVSSLQQQKQTLEAFLLRLKAATPSQTAA ILEGLDVVDGSVQLPNVLPNQLPNGRIGSQQSPTSPPLSPLIHESSEENVDTSSEAGF DPLNHVSVDDHGHVGVFGLTSTLHDPAQGRHSSVAEFSVTKETSYQLIANAALERQKE FRIRLLPDIDGVPVHIATHLLDLHWNRQHHTFLLTYRPALLRDLLHGGPHCSKLLLNA IFACASKYSDRVYLRDDPTDPLSAGKRFFERCDELIASEPPFGRPSIPTIGAFLLLGS TFIARGDISKGWSYTGFAARMVYDLGLHLDCRPGTSPEDVEIRRRVFWGAFICDKLQS LYLGRPMAMHLHDNRCPTEFADTFEELDLWTPYVDTEIPLSGIMCQPTPVYSVSTFQQ LCFLSKLMTRIIDCFYSSHAATSKAAANLQMLDAALTDWYNGLPSHLNFDRRAKDETV PTPNVLNLHNIYHSLVILLNRPFVSDGHLRTQARADTRSCWKKCMAAARSITGIVCAY RATYTLRGAPYLTSYAAYVSCTIHVRNAALEKDHSDGEHSRLLFRSLKALDELSTPNP GVTQPAKIIRGLMRKHGIAEPQVTQSPDPLSVVTAACLPEVSPQSATLTSIFDTFAPL EFGDEVAQQAATGMASEPCMSDALFGFMNAVDGFQDIGDADWTSGNDRNGIMHA PFICI_13158 MAPGVTTTEVEQSSTLNPVSQKVNGPPPTNQKEDSFFEDVHFLG DKDGNIVIRSPPKFANVNDELEYKKQHLAAAFRVFAKQGFDEGVAGHISLRDPRNSEH FWINPLSKHFSQIKVSDLVLVNEKGEVQPGGAQYPINGPAFAIHSEIHKARPDLNAAC HAHSVYGKAFSCFGRVIEPLYQDAIRFYNDLSVYDAYGGTVVSTEEGQRIAEALGPKN RSIILRNHGIITCGNTVDEAAFLFISLDRCCHSQLLANAAAGPGWEKVYIEKDIAEMT HMKSGNPSKMWLAFQPYYDQIVAETPDVLQ PFICI_13159 MRAVRVRNGKGDADALYISENEPDPVAGPGQILVHIKAFGLNRM DIMQREDKYPYPLLPESGEFMGVEFSGVVKAIGVECRSDFKIDDAVFGLAYGSAYAEQ IAVSENMLMHKPPSLDFETAAGIPETYFTAIQAVHLVGALQSGQDVIVHAGASGVGQA VIQVAKHGGARTVFTTAGSDAKTELCKSLGANFACNYRKDEDFAQVVGRETKGRGVDL IIDLVGHDYWDRNIASLAMDSKIVLVALMSGGVIDEFNLRKLMNKRIWVMATTLRTRS KEYQKQLRDRFVELALPGLADGTMRITVDKVYSWKQVGEAHKRMEANVNAGKIICVVD PFICI_13160 MPAIPSDSAHHKFDIVVVGAGNAGYSAAISSAESLGPSHRGKVL LIDKCPEDWAGGNSYFTAGAMRTVHGGLGDILPVVNNVDASLAKQIDLAPYTREDFLH DMRRVTDGRFDPALGQTLVDESNAAIKWLAGHGVRYQLSFNRQAYKVNGRYKFWGGLA LKTEDGGKGLVEDHQAACKRLGITVWHSTAAKKINLDPKTGAFTSLIAEVATGDHVEI QAASIILAAGGFEANPRMRAQYLGHGWDLAHVRGTPYNTGEVLEIAMRDMAAKQAGHW SGCHATAWDANSPQNSGDRIISNEFTKSGYPLGITLNNLGERFFDEGSDLRNYTYAKF GKAILGQPGGTAFQIWDSEGIPWLRSEEYRDEIVEKISAETIEELADKCARYGLENPG RFVEEVKEYNEAVALFRKENHSKKWDPAVKDGLSTQSSKKKLKIPKSNWALPLTKGPF MAVRVRCGVTFTFGGLAIDPTTSGVISNLTGKTVPGVFCCGEMVGGLFYQNYPGGSGL TSGAVFGRKAGQSAAKIVNAQSALESTSTVAARL PFICI_13161 MADAQNVDLPEGAWDTHIHVFEPERFPYGLPRSYTPKAAALRDY PFGTTKATNIVVVQATVQGHGAGPLLSALGEGVPATCTGVRGLTTVDPTAASDAELDA LHAAGVRGVRMHEVKWGHGDEAGAGAIGGKIKAMSERIARLSWVVDVFTDVRTWASLD GLIRNEIDPRVKLVADHLGKPVPGDVESADFQVFLGLVRDGYVYVKLSGFERLYHGYE AGIDSLESAVKALVKAGPERILFGTDWPHTQLGVSRQGKTDEQRLTEIEDFRDVDDAL HIRKLRSWIPDEQTWINLWRENPKRIFQ PFICI_13162 MDPTGPSQPPKATAYETEGVGIDSTPQELHSARSHTHGAAVEQR VPTSQSSGIHEATPTSVTRGIRGAPPGEEQYGRTEEQVGRHRELDGEQMRAPGEGNVA DVVSRKPGASGSEPDLASDLDRKKAEQAEQREAIKSAREQGTLSGDVDPRAGVQT PFICI_13163 MEVQVVLSPDMASNAHSAAIFETTNNDDASNDTPPTSLPAVLAA FVPSLIVAIFYIALFMILRKPFRRIYSPRTYIDLIPEKHRTPSSSTSRLDWISALLKL DDRFILEHSSLDGYLFLRFLRTIIFICFVGSCITWPILFPVNATGGGNLSQLEKIGFG NVADTSRLWAHVAVAWLVYIFVMYVVARERLWLIGLRQAWQLSKSNASRLSSRTVLYL DPPKEAPLHGDLTLNYGQEARKQWVVRSTDKLDHVVSSRDSKATKLESAQVSFLQKTT KKRSKLSKKQGHDVELSEETIDELRPTQKRFFITGEGRDKISHLREKLEQAAREVEEK REGFSTDESHGRFAVFVEYQSQSAAQRAYRAAPKSHLPASTNLAIDTKLIGVLPKEII WSNLALPQAIRVSRKTIGNLTIAALIFFWSVISAFVGTVSNVNYLSNNVEWLHWLQDL PAPILGVLTGFVPPLITSLLSSYVPIFMRYVAKKSGEPTTVTAELQVQNWYFMFQIIQ VFFVTALSSSATALIPAIISQPHQVPLLLAENLPKSSKFYLTYFILQGLGSSASNILN QSDLLKYYLLDWFWNTTPRQKYDQFTSLKSMSWGKLYPKFTNFVIIALAYACISPLVM GFASAGLSIFYLSYRHNLFFVVQPKLETKGRCYTRSLSQILTGVYVGELALIGLMGLR KATGPSILMAILFVGTAIYNHFSNRFLNPLEDHLPTKLIGEGEGEEDALLAAEEGEEV LEEAHDRSRIHHLGRDVRVPHRILDPIARFFEPQIYTSHRVMKRYLKDTDVDPPNYTK DDHENAYSHPNLTSKTPKIWLPSDKAKLSKKEIEANSQAGITTTDEGAWLDDKGRVKI ERDDLRSLPLWKDTTLY PFICI_13164 MIHPVGWITACAVVLGFLCLLHPLALKIRRRDQSPQPYGIHVVS DPPNAKFEIVAVHGLGAHPEYTWIRRVNAQKANTTPQEVHLLRDLLPETFPEARILSF ADNTSWLIDAPVKTARDLGKDLLDQLKKHRASQRGPRLPICFIGHSFGGIIIKEALCM LAITDEIVQDTSGILFLGTPHQGSSLSLIGSFAAWLTSFLGSSADLPLSLRQDSPELR NLAGTFESRFKERRDVKIYSICETLPTKVFGISVVTHNSAKYPGSHVMLVNADHSGLN KCYGWKDQAYVKITEAIIDMRRLSLLDRADKDIRNSYDMENLKIERLSSQRLPMEQCY INLVLVEQNQPQDPRQDSTQPPALYPTLLERMDIKESSSEKQFDLQTLFQPRKIDREE EIRSPKRILIRGRPGVGKTTLCKKIVHDFVYDRQWNEHFKRLFWVPLRNLPQRNPPYT IKNLLRDEFFAQSSDRNSESKLEELFRQCNSPDFNDSLFLLDGLDEIWHGLSTDSDLY KFVQHLLNRPNVIVTTRPSVQFSGRINEFDLELETVGFFSAQVKEYVRNVEPYNASSI LDFLDEQPLVADLVRIPIQLDALCFAWRDKSAIKRDGLIRPETMTELYQAIERGIWKK DAVALGKIKQQQTPFWTELELMLEEEIFLLEKLAFTGIHNKITIFDSGVIRKLLQHLP SPKLMIDSTLQTLSLLRASGVSPFDMDRSYYFLHLTLQEYFAARYIKRQWAKGDKGTL DVLDLESEICETLQPATFFHRHKYNEYYDIVWRFLAGLLNSDTESQVRFFNAIQSQPL DVLGPAHQRLVMRCLFETRHNFSLRRPLEDQLSAWLDFEFELYQQSSGEKLVYRSLAG AMEFPVDVLEQRMSSDEKFGHYLLQSIKPRRGVSTSFVEAVIMHLRKWSDMEVLLTAL SFFKSFSDGELPKAWMQEVVARLEHSNTIIRLTAMDILRKQSSLPMGTLQAVVEKLED RNRHVRQAAAEVLGNQPDISGAVLQAVVDKILNKDHDAQESAIQVFRYQPSLSVSLLS LIIHKLASKNSRVRDAAIKVLQNQPSLSVSLLSNIVLKLESDYYFISEAASRVLQEQP SLPKGVLERIILRVKGVTFYRTSEPALEVLRKQPSLEESLLCILVDMLKNENPIIQRS SVPILQKQPSLPGAVLKTIIQMLEGGNPYTVCAAIRALEKRLDLSETLLEGVIQRLED QNANVRACAIELLQNQPSLPEAVLEIAAHLLRDKDSEVRQAATYLLKKQSDLPVAVSE LVTRFLEDESCQSHALTIFENQPSLPKAVLKLAIHWLKHEEAQVRRDAIGLLENKSNL SEEVLEIVARLLEDEDEESDVRCAAAKLFRKQRSLPKAVLNIATRLLEDEDEDKNVRA FAMPLLRNESNISKAVLKAVAEFLADMKQDIYVQKDAYGLLLNQLNLPEDVLLMVLQI LAKEPDAFGSYAENFLSNQSSLSLAVLHKLVHIPHQQAISFLKTQPSLPEAILVRLAQ RVYDSTNYWDYSEIFEVFKEQTHLSEAGLQASANMLESQNSSLYSFALDVLEKRSLPT TVLETVAKRLPLICLSYSDQRLLWMLMGNPELIRISQSVGTFRALFKYLLRQSCNVHC AWYHDGQTHFLTSGGVIVDLSDLVPTCVINQMVQYFSVPTVAMAL PFICI_13165 MESKTLSPGRMVQNKTSSYTLESLHPDVLVLLLSAVTSLDDLSA CIHASPVLYRVFLLYKTSILVRVSYGTLGPAIRDLLILVRTEAQPISSLESKVRNHMI EETVISWKKELSMGENHFPTGITEAEAVHLARVNRTVQYFVELYASIRLAYFERELGL SAGGWSLGIRERYYLSQAFIRCQVLRNLYGPPGRPLFDERLLITRVWTLFESWEMEQI SQADSFSYGLCRALVYCEKTEEGVPPTPEYWQAARRALREVAPGGGAVQEYATPRSRY YKDYYPNMHSLRRRIHESTASDPALMDRILQWRDVANGKLTRTPYKFLHYSQQLQAGT STRPLDFPSVPRNLTTPVSADPPWGWVDAMAGRGADRWGRDLLPQPPNGTKADVWQAT ERIFEEWRWAGFVFWEKDRAETLKTKGLLGEKIATGWLIAPWE PFICI_13166 MKFSVLVWLVPALVASQSTTTSSLPAWATGVETEDGTCGGTTGW VCTPTWGACCSKDGICGRSDAYCGEGCQPLAGNCNAVAAPAPGPGSPSPDGSCGGANQ YNCTGATYGACCSSSGYCGDTTGHCGAGCQSLFGTCSTVDDTVSTDGQCGSNGKTCEG SGFGDCCSSGGWCGGTDAHCGTGCQEGFGNCTSTGSNPPSGGISVDGACGANGKTCEG STFGDCCSSSGYCGGTDAFCDAGCQSGFGLCSDAVNVTTDGTCGHDGKICTGSTFGNC CSANGYCGDSDSHCGTGCQQSFGKCSAPSNVTTDGTCGKNGKTCKGSTFGDCCSSSGY CGSTSDHCSVGCQSSFGTCDSGSANISTDGSCSKNGKTCKGSTFGDCCSASNYCGKTT DHCGTGCQSGFGTCTSTDNNISTDGACGKNGKTCKGSTFGDCCSSSGYCGKTTDHCGA GCNAAFGTCSSGSSNISTDGQCGSKNGKTCVESGFGGCCSLTGNCGSTGAHCGQGCQK DSSSACLTTNIPTNDGTCGAKVGLTCAGGSFDGNCCSSSGYCGTTTGHCGTGCQRGYG KCT PFICI_13167 MQSHARSLVACALVGVFILCLPVLLSQSHYGSGPRVSPRNILQR GPSDREQLDDSAIGLVNGASQNNPELLTTTSWSIFSSSHSPSDPHALERRADGPLYCT DGPCIDGSCCGKSGICGYGPDFCGDGCTSQCNATAMCGQYSENGEMPCGMKLCCSATG WCGTTEVYCDNADPAHKTLPCQAGYGSCSITGPPSCPAGSGSSSKRKIGYYQSWNQRD RKCNKVAPNQLNTDGYTHLFFSFASIDPQSFRITPAHPDDVQGMKDFTALSKDGKLQT WIAVGGFDFSNPEAATHTTWSDMVSTKDNRAAFISSVKEYMDTYGFQGVDIDWEYPGA PERGGRKLDDTRNLSLLVKEMRAAYGTAYGISLTLAPDYWYLRWFDAKAMEANVDFFG FMAYDLHGSWDADVLALGSLVRGQADIREISKNTLPLWFDGLNPAKLNFGLAMYGRGY TLADPSCNQLLCPFSGPSKPAPCTSFNGVMSLVEIKQLIKQRGLEPQYLEEAMMKQIT WDDQWIGYDDEDTFAAKMSFADSLCFGGTMVWSIDFQEVGSGGPDEEDGEVVYIGTEV YQQPTAQCEPPCIMVLPPSKLPSSTTISLPEYTTSLEVGSSTAVNGEATFVVTTTTIT ITLTSIVTDQYPMSNVHVTSQSGSSSIVAMPSVEVPPVSVVLTNGQGETSTRVLSLPP WPAITNGPVETGNGGTTSGEGGASSTITIPADEPYTKPAIVANCEQSILYVVEEKARI TLQDCQGPTTMEWDCPPTKTIEIAAAADKSFSLGCTVWVGTGFQGVPTTTSTISGLPV YSTWPAGELVWVEDEPEDDDDDKKTTCKLWFFFICISWGDIKIGGWRWDFPPGILPPG PPPTIKFPPQMTIRGTLPGPWPRITIGANGVATYPENKPTDCTSSTADVCITTTSFSA TVTGQTTRTATSVVSTCTPIVGCNVEDDDSTTSVTTIDSCTALTQLGRRAEATATASA SERHELSARAGEACTKDDIIIYPVSIDDRSNPSVYTIESYLQELGYDGLLNTPNVKKL WEETEVAGIPEEYTAFFFVRDVPTFLIPIIREHIGDYIKDVYSIYDFNQRNNYLPQSA SASVARRNSAFNESLELEKRADETNGYWELSQVCLPPNVDWEDSAELPDGPFLAYYDT SFGEGQTIYVVEDGFSDDEEFALTYNIGAETFNRPQVRMIDETLDYGQVHNGAALLKH GSDVLSKVWGKSFGLGKNAQIVMPKNTNGDPADRFYKIQDRYLESLIRVLGDVMAGYP ANKGKVILNMSFGWLVDVHTWVHPAHFETLHEILTALDQHGVVIVAVTHNLNLDVRGR NRLDGWPSRYGDPSDNDYIPNLIVVSGSDKNGAVSRLNPSASWVIKAPGYQVHVAGGG VEDGASLAAPLVAGTIAYWRGLPGVRADWVEELKDPANVKKLLRFMQRPIKAQTIQVP DGTRSRVPTIWSGRVPDGDCLVNPKLKGCPIFTDKIADLAPFGAGDCGPGGSGIKRRQ DGQGWTCVIGGGDGGNGGGNNGGSNPNGLTISYKPGPVSPTCNAGCGTRCSGYYCDPT PTGEPPGYYDPLDPSHVGTLTGLPTLSSQPTTTSCGPGEGTTTSLMCAGGNGHSACVT RQVCTSIQTVPTSLPDLPTLEPEDPPIPTNCAATSTWEQCGGPGGGGSKTACMTHSSC ISTSVSTTKVTPTTTQQPTNPSPKVIYIMDTTFIKYPWYVFGTSPDTGLDPCNAEPFS KQGVAASIYPASLDAFSAFGIGGITYTGSESSPGTLKVPGYGDVKCAKDPDYGKIHHC DNQQNWYERRAKCTWT PFICI_13168 MTFGVTTSDFPEGCAVVFGGSGGLGRASAGLIAERGSDIVVTYK SRAAEAESLVEEVRKLGRNASAVACDVTDRKSVEAVFEHAVKTYKRVHTVVSAGGLVF DTGPLTDFKWDSFRGVIETDVYGFFNIVQAGVPVLRAGKGGSFVALITSATDRTVPQD ALSSTPKAAVKMMMRHLAIEEAGHGIRANAVGPGVINAGMVMPLMETPARALLEGATA VTPLARWGEASEIAETVAFLASSKASYITGQTIMVDGGLAA PFICI_13169 MVYVFPIERGSQKAIIAGAVVFSILPVAAVILRIIARSKHKDNK LDLSDWLIILSCIVAVGYQGLAVACVAVGGMGFHTTEIMAMAGTDSLTTLLELVTAVV IFWSLSLGLTKLSILALYSKIFSVRSFILLSQACAVFVVVWAMILFIGAFVICTPVQY NWDKFSVVGTCGDVRMLWAVTGGLNIFSDLVIMLLPMPYLYGLSLQTYKKVGLMATFG IGLAVCIVSAVRLAEIIKIDMNDFAFSGGIALMFSALEPCLLVTAACIPLLRPLVSRK SSRGLSSSYASGYAGGKSKNNTGNNSRKGGFSELQDDDGSTRQLHIGQSKYGGDATAA TDVNSVDSSDRVGNHHADLELKHITIKRDWRVEESPV PFICI_13170 MGRWSNSWRVPKGVETPPGSDFDVEAILKLGIPSIRRSDGPNGI RGTRFFGSVSSACLPCGMAIGATFDQELAREIGHLLADEARAKGAHVILGPTVNIPRA PLGGRGFESYSEDPVLSGLMAGALTREPAARSELSGERHDGRDSFCRACQEPQTYEIL VQWGCAKTSKLKVPATVDFGHGGFRISGCRRLDLAQGIADAVELAKNSDQVILFAGLS GEWESEGEDRETLDLPPCTDDLIDRVLEANRNTVIVIQSGTPVLLPWIHKAKDVLHAW YGGNETGNGIADDVFQTSRGSLCESQLSIRGWQVFVWRGCIFSYEKKETLS PFICI_13171 MGVSVAAGSKSVGSELAAVLPEGPWYKRPYLIRLNFSLISLVLF SSANGYDGSLMNSLQALSQWQEFMDDPSGAWLGWINAIYWLGAGIFFPIAAWIANKYG RKPGIYVGYVFLVLGSVLQALTPNDVGFILARFFVGCASALFGNAAPVLINEVAYPTH RGILNALFMCGWYVGGSLAAWETFATRNYPNHWAWRLPSLLQILLPLVALPGLLVAPE SPRWLISQDRSGEAREILVKVHAGGDQDSPLVGYEFAEIQTAIKTEQEAHGSASYMEM VRTPGNRYRLFISISLGIFAQWAGNGVVSYYLSLVLNTVGVTSVTDQTMISACLQVWN LVFSVAGALLVDKAGRRPLFLASALIMLISFVLVTALSASFAEKGEASMGLAVIPFLF IFFAGYDIALTPFLTAYPCEIWPFRLRSRGLTVTWVAAITAIFFNTFANPIALDSIGW RYYIVFVVILLIMAVTVYFWYPETRGRSLEQIAIIFDGDAAEVLDVSKVEVFNENKVE IELRV PFICI_13172 MDAEFDRKFESLRDAHNKGVIVGLHEVAGLAPRLDIDVLMEKNP KAFNLFLIAFEQLQQVPSRIHGFPSQDWYEIPGRQREAGDPGYCAHSKTTFPTWHRPY LAMLEQALFVKMMEHANKYNEPHKTEYRKAVYDFRLPYWDYHRPRGGKVEFPGVILDG KRTSYKYNFCVPLIFTAPTVVVLDEPDNLPRTLERNPLNFHAFDATAGQLNEENEWKK IYSEETGVSRNRTSRHPMAESLDNPDRLNSVVNEIRMDSARLAVRLVTDPEYGNYEII SKSTVARGNVQAPASSPDDPRKTEEQKQLEGKVKPFSGSLEGIHNMYHVYLGGFGSAS GHIGYVPVAAFDPIFWFHHNNIERMFTIWQAVHADKETNWFNYDPQEAKEALRPFLRR EKPSGGVTDDDFWSSDDVRDSRTLGYYFEDAGDANGNFPGQKVAANYAANYNWSIPTD PHEDVGEPPEAMKPITMEDKSFFKNQPVQVLPAPIPEIGSVQSSTAPASAPASEPASA PASVPEPAPEPAAASLPASIPASGQAAETKSTNQVLWEWYIDDRVEAMSLNDSFTVPY FVLSKPSATLAGLRDLAVAPTLVGATHIFTAPKETCGNCAAKARDRTVVRNTMIITSQ LMDYVKVGELGSLDPVHVVPFLTARLRWRVVTAGGVQIDPRRLRNDGSLKISISSRRS TVGADASEEPLYANYPRVVADIVAAASAQATFVQQT PFICI_13173 MSTSSFDYVIVGGGLAGLVLAARLSEDANIEVFVIEAGEDQTAD PRVTIPGMWPTLIRSESAWNFSTVPQEGLQNREIGFPLGKLLGGSSALNGLSFSATSK TNVDAWEGLGNPGWGWSRFVKSMKKSYTLGDAECPTGGPIQLSAPEDDSEWPDVWKRT IESLGFPTTGGTLDGEFSGSAVALDAVQPATKQRSYSANAAEAEKILFDTSNKTVATG VQLTKDGETKIVNARKEVIVTAGTINSPKLLELSGVGHAALLKSVGIDVVIDNPNVGE NLQNHPMCTFNFEVRDEEGFDTIDKLARQDGGAIAAAMDAYSKQKGPFSKSGANLIAQ LPTPRVETGGNTSELVKLLRSTILENQQKPKSFEEYHEAFVHSVLSSPTEASGCYLAI PGYAGATGDGWMAPTPAGDEKYLTITLLLAHPLSRGSVHLNASPSGAKILAIDPKYLT HPLDVEVMARHLQVVEKIASTEPLASHLKLDGKRSPSAPPYGSFADLDVAKDYLHKTA IGAHHFTGTCSMMPQELGGVVDAQLRVYGCQNLRVCDASIIPITPRTNPQATVYGVAE HAAAIIKSGL PFICI_13174 MGFFESISSQAGTATLFIASLGLLYTLWRCIYNVYFHPLARFPG PFLAKISPIYSIWGLFRGRWPFDVHQLHLKYGPVLRLMPNELAFTDPQAWKDIYGHRQ GHPQFHKDPIHVGSVQDIPGATTLTMANDADHSRQRRTLAHAFSQKALLEQESIIRGY VDLLVEKLTPFASNGQPVNMCDWFNFTTFDIIGDMAFGRPFGCLREGVFHSWVSLITQ TIKAGAFEQATRRMFQINSWPQRMLVKLIPSTLREKRYRHLELSREKCLQRIEEGVTR EHNDFLYYILRQNQKGGVSQNEIILNSALFIVAGSETTASLLSGLLMWLLRTPHAYHR LTTEIRSNFSDAKSMTFLALQELTYMNACIDEALRIFPPVPTGLTRTVPEGGDTVAGE FIAGGTTVSVYSWAATHSPDNFARPDEFVPERWLDDAEDQARYASDNKEASQAFSLGP RGCIGKHLSYLEIRLILGNLLWHFDLERSDLEGSNTGDIWDVEGDLRHVKAFNTWNKP PLMCRLTLVRR PFICI_13175 MLNRRGPIAKLVGGAIGLKKEYEADRKEKKQAAQSSSSAPSHDD DAASSSAQHLAGDSDLSDDEDWVHGLDEVQQYEAHENGSQHDDPNDIDIDRLLREFIA RHPVPSEQCHGALPMPVILPQRRPESRNRGFVKAYAPVLENCGINQATWFEFIDGFEK SIKANPWFHVANGAIWIAGHVEQAVVGISPLVGFITMAMHVSMEASRRAYVQYQQNQY LDTMNEEFFKPRGLYCLVMTYRPSSDDLVDHVDIDHNIAKTIATREEQGKWKRAFSTS SSTTTQEAEIPDCAPLIFPQLDSLDDKEKGNSIKQFGSFVSDYYDRQAAAKFEAEHPD SKIPVAPRKEFASDYSDPNTVVGSGGLIAIASGGKYNPVGPLGKLRERVSERRAERGI DRPGIIEARKRRKENRPLRRMLKQDALYLMVVNLPTQEEMDQVLAKVNASQST PFICI_13176 MVLLGRRRSIGSILRKEILDHRKPAAGREPVLCVRERAQRFKSL RAPPCYIVFCDGNEVAVIEKDLNTGKTRFSNDFLVHTNHDVHHLVDAKSEEYAKASFL GHEEWLEESTNRKECFERKWTRHLIRNQWEATAKESSHGIEGGTTTYPVQESTLKRWV SSGTTMADCTHFACIMDPKSGEIRWLRRGPKA PFICI_13177 MEGTAVLTAETSPDDPIPTYQIDLARPPETRYDEISRDFGPRMR SLVGLFDEIISCFVPIAFLRRIFTIIASLLVRRVYDPEEMKEIRGIAAATGVDLYLLI TLNNLLDCLLGCTSGAALVKPTKGTRKAAVRKWDEPRLMHFRTLDWGMDGLRDLLVVL EFVDSRASGSKVLARSITYAGFVGTLTAVR PFICI_13178 MSRAGRTKVVVDTDIGTDPDDCLALAYLALHPDCDLLGVTIVTG GVEKRAAIAEVLLRVCGRSDVPIHLGRREPLLKGDGQPDVQSYEFVQPLDHRVEWPEN TAVDFLRQTIRDNAGEVVLLTIGPLSNVGTLFALDPELPSLCRDIVSMAGTFFNPESH LEWNMLCDETATAIMLKTPRPRHWFVGLDVTRPCTLWTEDVEKRIYKDLGDLGALIQT IGDWWLKKKQRFTFHDPLAAAVIFQPDLCKWRRGRVVLDPNDGATGFDEGKGDDIVAY DVDPDAFFAHFFAVIKNADQAE PFICI_13179 MPGTPFGATGSALAKLQLALIVAPSFILFGYNQAGIGGLLSEED WVKTFPQIDTVNYTGATKSKHSTIQGVVVAVFVIGALFGALSCSYTGNAFGRRNVICA GGIFTLIGSILEASSFGLAQFIVGRIILGGGVGQLSSIVPVWLSETSSSVNRGRQVVL TGLFICVGYVLESWINLGFYEFKTGPVTWRPPIAISIVFSLILIGSIYFFPESPRWLV LKNRSDQARSVVAALRGLPEDSVEVQAEVTGIEFSLEETAGASMKFTDMLKMGEEKLL YRFLLCLLLQFYQQMSGSNLVSVYAPILFQNNLGLGQETSKALAGGALTWKMLSSFIA FFTIDRLGRRAVFIISGVGMSCCMMSLAITTSFPTSNKAAQIAAGCFIYLYNTFVPIG FLGANFLYCTEIAPLKMRMAMSSISTANHWLWNFVVALVTPVALDTIGWQYYIVFCVI AAMIPLSVYFLFPETMGRNLEEIDLMFKESPSPWATVRFAKTRPVALPQEFAEKGDAK STHLE PFICI_13180 MRGSLRTSKPSKPDPPFVPFAIDDYAVDGYAFNGYAIDGPVQDV HNESHTHELLDSIMMRITKSSVGEDDTLDEEDLQAILDDAETTTEEREATSGALGDCL PALDDHDADFADVDDDNQQEDDEDDDNDKDTMT PFICI_13181 MAVRRADIQGILDEIEALRRENQHADDEGLQDVANTLAAALEVE PRIIYFSFNKHPEVLVYDRIRLGTYEIIAQYKRDKRRSILMPGDFVAFRIAFLEWPQV PQGRDLKCFTGIVTLVNGWANDRERTQAVPDFTRAIALEIGAAIDHPPHLIYLSLHHY PDVDTISGMSVRAIMRFDVTEREKARPVLNLGTWIANKVTFPQWPQIQDGHVQSNTAD GRNYGHNIMGTEVITPIRFLKPESQRCAENDALCEEILGIVTRYLSLPGHLIKNIRQN CHLIHDMRHPLSKS PFICI_13182 MTGAIIASRIAFPEWPQVPQGTVRTNTGNPRLYRLNLQVNTAED IREYRNLANQILDAVAGHLTLPNLMIENIRQNCIEIYDMTRPPSKNPVYRRWQEYGAA PTLHDAVGMYSIGLGRFHPRFKEALRQHGQLWLIWSGGMRPILGPRHGNIRAHDAAIR PTGQIDPVIPYKPPHRDSRIAMQEAYGDRFGHLYNDNPAIHRQLERIDEVVEASQARG QLIPAVRYQKN PFICI_13183 MSSTKVATAQFYSHTDVSANLQLCVDYMRQAKHIGAKLIVFPEN ANRCRDFKTREAAWDTCEDLDGAFVRGLQAAAKDLGIIVVAGVDIKGTTKPDCHIAQV IISEKGELLYTHLKTVLWDYEYTLFVPGSKELRVTPTSVGRIGLLMCADGICPEVPRI AALKGAEILCNSLNSRGPDEMRCHEPLRAIENHVWMIASNTVGGPEDGYPWTGGSQII SPKGDILACAGETDAGLVYADITPATSFPKHLGGGLGLLSKFRRPDLYLELLKPLNTH AAASMYGPIPDDTPKKPLKIATLQLSWYHSTKWTITRTLGQITYAASRGTQLTVFPEL WCFRRGEVAADPGAAADFSADLLEQIKQTAAKSSIYVVANLVERAAATDDGGCAKFYS TAYLVSSSGTVAARYRKAHLGEAERSWATAGSDGLVVADTPIGRIGLMIGNEVWLPEV CRILTLRGAEVIAHPADWDRIEAATMAAVERTEENRTHLVSCARTDNPAKFGSQVVVA DRFRFGQCIALMRYPTAIWSRTGFEENIFHELDLMDSHSKMMGFHLDPVATMQPALYG VLADMNDIN PFICI_13184 MALGTRTRGRAADTIVFITGADRGIGYEVARNLSSPSKHEGYHV IIGSLTDELGADAVTRLLEEDLSRSLSTQTIDVTSDESVQQAVDSIERDFGRLDVLIN NAGVLLDGLDTTQVPRHLLEKTFSVNVFGAAAVTEAAIPLLENSTSPSPRVVFMSSRM GSLSVKTDSSDRSAMRHFPIYRSSKCALNMIMLHYASLFRDRGWKVNSCDPGLTATAL AGNQKNLGTVEDGARNCIRLATLNSNGETGTFTNKEGAIPW PFICI_13185 MAFTPRISQRKPLSCTSCAKKKLRCSKSIPCTSCIDRGLEASCA REKVIVRKKHQRSSSIGEGSSLGTSSNNGPVSLTSEVSGSPLTIDSLCDPASSPEVSK SQHPSAAVPDDAPVTLENLALGRQRILNMRFTGGNLLNEVDDPPGWLPPQIDFIVSLA QARVLLAYHTSHLAWIHNILHMPTFVEECENAFAQYALKSRAWIALFYAFLCHTAYHG PESLLVAVDMLPQRSIVEQLYTKTIEALHAADFMAVHSLHAAQAISLLIQVGHNLGQS DFVFVLLSSTTRIAQSLDLNRLGPDSGPSKDPIGREIKKRLWWFLVKQDWMQIPYGNM FTINASHFNTPPPLNCFEDPLHMCGENGIKALDSNVLTQSSWAGIHNQIAILIYKTHE RMSRHGHPGNDPRKIKILYDEVLSANAELQQLWHRRPDFFRMEAPLRPEWPSTVPHLR RLFAISFARAYFAIHRHFQLKSFRDDAFSVTRISCLAAARSSLRTFIEWPDDDESLVF KKMWTNNNNLVAAAVALLFGVLFSSEQADGIFEKMEMRQLLTNFLPCLGRIGTYSSVA RRGVDLISVILDYERAIAEGTKERLDIEEVVGHVKSSGVVGIAPSYADWTNAATQYDF MNMESWIDMPPP PFICI_13186 MAATFEKAVLGEPRPLDEKLATENITANCSDSSRSQDVAAGIYS LDAQADRRLTTKIDLKVIPIMGLLYLVCFLDRTNIANARLAGLEKGLNMPSTGFNTAL WIFYIPFVLFEVPSNWIMGLRWIKPNLFLGAQMFILGILAMCQGLTHSYEGLLAIRFL MGIVETGLPAGAGLLIASYYRKKELSLRFALFFAFGQSGSCFSGLLAYALMDLDGSAG LAGWSWIFLVEGLVTIVFSIFVFIFTPHFPSQDKWMDKEDQAQLLARLQLDKGDQKQD MGVKVDWLKIVFDYKIWLLTLLFFCADMSAGSLSSFNPTILSQLGWTARRAQVMTIPV WIIGIVGALSTTLLSGRLNTRWPFILPAILVSTVGWILHLLQVNPPGVRYFAQFLISF GTFVCMPLYIGMLTANLRGRASQSFGTAVLLGIGNCANFVSSNVFITTQSPRYPVGFG TGLGITALSFPIMIFTMFVFIYHNKKIDKKIAALQPGEELDDQVDYKYVY PFICI_13187 MEEFHLVVVGAGWHGLAAAKVYHEVNPENSLLVLEAAATLGGVW AEDRLYPGLKTNNMLGTYEYPDFPMTTETFGVKPGEHIPGRVCHEYLTKYAEKFNIID CIRYHTKVEVAEHQREGGWVLTLNTVTGDGKGAGVSKKIWAEKLVVATGLTSEPFLPH IEGQELYNAPLFHGRDLIHHVGSNIGPKKRATVFGGTKSGWDAVYAYATRGVEVDWVI RKSGHGPAWMAPPYVTPLKKWLEKLVNTRLLTWFSPCIWSAAGGFSGIRWFWHETAIG RAITNAFWSVLGNDVMTLNKYDSHPEMAKLKPWSLPMFTPSSFSIINYDTDFFELLRN DMVKVHIDDIVGLSNHAVHLSSGTTLESDALCCVTGWKHLPPMKFLPKGIEKDLGIPH APSLPDELYDLVPKADEEILSRFPRLRDRPVQNKRYVSLLDNKGMSTSDEINPSTELT PYMLYRFMIPANQGLLRHRDIAFAGMVMNFSTTIQAHIQALWITAYFRDEVALFPDWT DAEAMDKMRYEAVLYSRFGRWRYSGGRSSQVPDFVFDALPHLDMLVADLGLQVHRKKG MMAEALEPYGPEDYANVVTEWMEKRRELMG PFICI_13188 MFVRTTVAVAAALFIAPIAAKTDLSGCVSSETVAYGGASLVWYV PDTGEICEFLDCGGGRAPPKTTVPGCDAYAGTEAYTPSYLAGYGSYAFPTSAAAAAGS TEESVSTTSLTSDATSDVTTEATTTATTDVSGLPTITGDTTALSGTVGTITSAASLAT TTTTRGSSVSTASSSSSSSSTTGSSSSVSQGAGSMATAGPMALLIACVGAAIL PFICI_13189 MAEQLILKGTLEGHNGWVTSLATSLENPNMLLSASRDKTLIIWN LTRDESQYGYPKRSLHGHSHIVSDCVISSDGAYALSASWDKTLRLWELATGTTTRRFV GHTNDVLSVSFSADNRQIVSGSRDRTIKLWNTLGDCKFTITDKGHTEWVSCVRFSPNP QNPVIVSSSWDKLVKVWELSTCKLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLNAGDEIHALVFSPNRYWLCAATASNIIIFDLEKKSKVDELIVEFAA VGKKSRQPEPISLAWSADGQTLFAGYTDNIIRAWGVMSRA PFICI_13190 MDSPKSGQKRSPPRDESDEKIELPSKKQRTATPQSDNKVIVATT GKKRSDSGNATSAERLNVPSEANFAREGLQRSIALALQHVGFDSASQEALESLTSATE MYMSTFTEHIKRLAESARRSQPVPTDFGHMLRKHNVPLSSMKPHLKNPVDKQKLEPTY YDPLPITPQTDYFRPISTKWLGEELDGNTEKKDKPWIPEGLPDFPSKHTYKFTPKETV AVDPAQKRTEAMAAAQKGEKALRTINRATKMSQQKELKDLAQRNTMSKGRHDAWEGMM KSMMSDLGGSSRDRQELADYSVTVDSSVRYRRREVPRVSNRLPLGVIPSKG PFICI_13191 MGELVTCPDQESVVEKFKLSVPLDKFPSQILDHAQTLKHLDLSG TGLASLPDIFGQFKSLKIAFFSNCNFEVFPKELAACPELEMVAFRSNRIREIPENALP SRLRWLILTGNKIESLPKSIGKCSRLQKCMLSGNLLRELPDEMSSCHKLGLLRLSANR IENLPSWLFEMPELGFLSFAGNPCSSERPEAASAAQALAKVPWSDVETRELLGEGASG SIFRGDWTCAGESRPVAVKLFKGDITSDGTPLDEMRACMDAGSHSNLIDPLGEILGHP EKKGLLMQLIPSVYKTLGLPPSMETCTRDCFLPTTTLTPHQGLRILQGIASAAAHLHK RGIAHGDLYAHNILYKDEGHALLGDFGAASIYKDYAVEGLEVLAFGHLMEDVKNLIEV GHSESESWVLVADEMASLHTACANTSVTARPSFGSIEHELGGLLSKILSVK PFICI_13192 MLQGIDPSLFPVLKTRKALIIIDAQNDFISTEGALHVAVPQGLT ERLIRVVKGFRSYGDIVWIRSQYSQPRPPKNEQIITSDPSVAATRRPSGPRGRRPASG DDQPPSRCPEAFLSGPAPGRPECVREQTVGAQFPAEIQQLIEKKDMSFVKTYYSAFQI PDLIQRLRMKFVSEVFVCGSLTNIGIMATAIDAGSHGLDIGIVEDCCSYRDKDRHTAA LNRIQKMTGCEILSTDQILDRLKPKPKVPRMAAPIPNPTGRRYPVVYEGSSATNTEDA RPKPSSSAASVSKPSDLASSMTKLSLADNQDDRLPPITFSRARTAIRGNPSRPLPDIG KRLNEQFNMLGSMNASPNDDLNANSGDESPNEGPTKAADTAQPQRSARAGTLEKPVEK TRGNLESVTPSKENATTLPLSDQPPSNNESDCPTPVAALPQTNTTVIKGASEGAKVTQ KQAEPIHSTEEYQRNEAFDMEPIDTNTTTSEPLCEGDTRVLYNVLPQPLAEDVFDKVR EEVDWQRMSHQGGEVPRLVAVQGEVDAEGNIPVYRHPADESPPLRLWTATVKKIRDEV EKKVGHPLNHALIQFYRDGNDYISEHSDKTLDIVRGSYIVNVSLGAERTMVLRTKRQP KEQDGAQSRTSPDGSKREVQRARLPHNSMCKMGLQTNMRWLHAIRQDKRLDRDKTADE LAYNGARISLTFRQIGTFLDQNQKKIWGQGAKTKSKAEAHAVVNGQSSEAIEMIKAFG KENQSTDFDWQAHYGPGFDVLHISAAPRLFTSSDPVANMRVQLMLAELGIDHAKGSSA PVSTDRGALQERSGTADIPVKFVDNDEEKSTVQGDVAIMMYLDRFYNQPDENKAPLRA DVARTLTRFNEALNIGERRLTAEHADLKRWLEPWEVYAGEADFIAGASIGMADFAFWP VLYDIVEGHWDLSYRNLKSYFERIRSRETVIKIYGKDKEGQNTLNTKPDSGN PFICI_13193 MDAAAAKQVGARILGEIEEESLEELLDNLRTASLPHSQGHPPLK PQIPIAALSTLISKHHHAEQSTPPPLLTISGRFLPLLYHIVSTLLASPHRYAVVIVDA DHRFDVTRLLSPSTPAAGSSEQDVEVEAEAVVNQALPAKRQDLRHLYVYRPARSRFRP GVEPANDSPLPDQTQACIAAAQHHMLYGAHPSRDRAWWGTIVVGGGGGDVNTGWKGWM DVRRTEVAPFGVGLSIEEALSERERRHERVSERGWEGRCREGVYSWK PFICI_13194 MSLHFPARRLVQTARSGSLLPYTQQRSASWFNWGGGSKKKSPLS EELEKREREKKIFDKMADRTAGESVFDEEIKQVDDASRAKEGGPGAAYSGPSRAKEHM ARALDPDPRWRIRWQRKKVMQMVRNGGKVTKEQQIKMTEKEVTQKSENLNTSTKKLMF LTRQIQGKTLDDALTQMRYSKKKTASEVAFLLKEARDRAIVSRGMALGQVNGEIMEKP RKIQDKEGNWMEVSDPTSLYIDQAWVGKGPPRGMRIQYHARGRMSRMMKPSAHFTVIL KEEKTRLRQYDDKLKKEAKAKPWVHLPNRPITAQRPYYTW PFICI_13195 MLFRATLSALVLATEILPTAAAVQHHNPILPKRQTPDSDYDYVV VGSGPGGGPTAANLAVAGHKVLLIDAGGDSGDALVESVPVLFPFSTEFADTEWDYFVT RSSDPTVQAQDSITSYRLPDGSIYTGLDPPTDAVAIGTLYPRAGTLGGCSRHNALIAI RAFDNDWTVVADKTGDESWSGATFQRLFQGIEHCDYLPNSIVGHGFDGWFWTELTSLL TAVQDLKVVSIIVAAGAALGKGILGTLIGTVEGLAEILTQDVNAPGPTIAQGPFQIPL SMKNSVRGGARDHILEVANAVNADGSRKYQLDIKLNTLVTKIQFDQSGDVPRATGVEY LEGQSLYRADPRWPSASVSGEGVVNASKEVIIAGGAFNTPQILKLSGVGPRAELEDFD IPVIVDLPGVGANLRDHIEVSVISQASSNFSILNGCTLAQGYPETLDPCLEKYLNGVT QTDKGVYASNGLAVGVSLRSSAADAVDPDLWVYGGPANFPGFFPHWAERAVADHKHWV WVSLKASTKNRGGSVKLASNDPQDIPVIAFNTFEDSLSADQDLQASYEGIKFARDVMD KVLPLDGTFEEVTPGRSAASTEDEVKEYAKTQSFGHHACCTAAIGGDDDEGAVLDSAF RVRGTTGLRVVDASAFPVVPGFFIALPTYLLAEKASEAILADA PFICI_13196 MRLSSVCVAFPLLTGVLASSEEQSKPAVTSEAIQELILLEDLLA GSQKLQDFADANGGTRVFGSAGHNLTVDYLYDTLNALDYYDVFKQPFVELFFEGNATL VANEVDYAPGVMSYSPSGTFSAPLVLVSNFGCDVADFPAEVSGNIALISRGNCTFAIK DTSAQAAGAVAAVVYNNVDGALTGTLGSPSDSFVPIVGISLEQGDDLITALAEGEVVA ELVAESTIENRTNFNVIAETKSGDKDNVLMLGGHTDSVAAGPGINDDGSGTIGSLTVA VALSKFSINNAVRFGFWGAEEYGKLGSYYYMNQLNTSETEVAKIRAYLNFDMIASPNY MNGIYDGDGSAFNVSGPAGSAELEKTFQDFFRSKNTSSVDAPFNGRSDYAAFIENGIP AGGIFTGAEGIKTAEQAFLFGGEAGVAYDVNYHQAGDTIDNLALDAYLLNTKGIADAV AKYATSFDSLPAVDLAQRKRAAVKTKMFSRATSGHSHANSGPCGHALDVV PFICI_13197 MSNPLNYWPGGIPAHIRCHPGIITGLWSNTVKGWLLFLKEHAVP RDSSNIEADFEIKQRRKLVDQWASMTQADRDSYHSRAPEPQGADSWDPPQLKSPDPDL VGYIQRGFVNLIVQQPLDSRNRALWVKLCILLYRLDMDEYEHSFGPERVVVIYSNPAD NAPVTPETFMAHCFVEAADFEGLFFADQESLDTGRLLLCDFEANGQVKASSRIWPLWT SMAYGYIMGIGWYADRVIRDYEHGDGDCDANAAIDMELPIIDLLKEKERFMENDVDTD LWENAIEQYAPGYLEMEAAGNGMAPEYDLGNLMK PFICI_13198 MDLKAPPALNLPPDEDPPSYAPASYNTANVGPHILTDEKAYDAV SIGSVISQAPGFNPTIHLAIETLGFDLNVFNSRNWNGDQAIPVFRMHPGATPDLPFER AEQPEYISVRPKKNSNSCALVRGDDPAQTPLISTVYRWGPGRDPHMRIYGPNEQISVA DAVDKDKHIANEFEVKTHFITSRSASMVSPFGKFEWRYGKRSEKKEYAADSLLVCDRL DSPNAPTNASSSSKKDSRVRIAQLIRNEEYRAQGSKKRWVGGNGGRLMLDLGDWVGRE KSGVVEEVEAVLVAGCLLMLKRETDRQKDNQLAAIT PFICI_13199 MPFYKLTALAVLALTRCALAYSEPRAYVIPSGQFDGTARADEVV DKAAFDGPQVTVNNGSAYQWWYFDAVAQDSNATLVAQFYPGWFSESNAVLLNIVWPNG TSYANVIPVGDLQLTTIGEGSQGYVDSGSMSWFGASDLSVYHLTLDLPEAGVSGKITM RSRAPAHVACGLRLPGASFEFAPYLYWANAVPDSYASVDLLVNGTELSFSGSGYHDQN WGTAPFASDLTQWYWGHSSIGNYSLVYFYHIDAELSVTASAYLSENGEPIIASCASSV IEVAPQGANVTVPLALGTDVERWLISISDPIRGDFNFTVENMVMAADQEIYTRWVTKT TGGCIGCDSQTGTGIIEWMNNPTLALTADA PFICI_13200 MLANTTLILIVTNLLLGIIFAVGHHLFYASLDGEIVRSPSQQEW YLRIGTGLSFLVRALLSASIGSAYVQLIWHNLKSTTTSIKGVDAILGVLHNIWDLTVW ELWRTRPIVIVVALAIWALPLTAIVTPATLIIEPASQLREDNITMPVPIPDYSYPLKY AQLQMSDNLYQAPAARILRLLFSVASTGTILALPPPFPNSTYPIEFYGPSITCEDLDR STSPLAQSLDQSLTEDYGMASMGGVQIDYVGFVPNCDSVVNPCLGNDTANAIWSFRQM ANSSFSNDQKINLRSLDHMSSDYSKIYVVVPEPTSDFPVHFKTIKCGLYNSSYFGQVN FENGQQNLNFRIEKIQGVSIQTKCSNSRENLCLSAAPYIAMFDALAKMLLGTIEVSHY GYATPYQTQIMTSVLAQSRELQYREVISRTQVPLTIANLSTAEALEQVFTNTTLSLLS DSYFL PFICI_13201 MAIGRTFNVVGIHCAGEVCDVVTGGVLDVPGRTMFEKMNYFWQK SDHLRNLLLNEPRGSSAMCCNVILPKCNPKADAGFIIMEHEEYPAMSGANTIATSTVL LETGMVPMQEPTTKMILDAPAGLVTITADCEAGKVKAVEFENVPAFVYGLDLDIQVPG FDSPVKVDIAWGGMWYALADATSPGLSLQPANGRELVDIGERIKRAVQAQTNPVHPDN PAIHGVTVLEFTEPLIINEAGKVATNTVVVSPGRLDRSPCGTGTCARLAVLHKRGQLG VGESFMHRSIIGTNFRGTVKSETKIGEYDAIVPAVKGTAWITAFKQVILHPTDPFPEG FRVGDKWLLS PFICI_13202 MGQFLILSDSTVHDILIDLRKDQILHIRDALVQCLTEFSTGSER EYQPPVGIVNRPEGNKTLFRPFTSASSVGVKVIVDPPPSSPAAGALHGILAICDLNGI PTGMLNAEEITGYRTALSALVPFLWRRCVENIVVFGAGRQALWHLRLALALRGDEIKS ITILNRSAQRAQGLLAEILQENEKHWGSPARMKYLDPSYVGYKSEMSTHLGTADAIFC TVGTTAPVFSAESVLDAKRRRLPYISAVGSWQQNMLELDPNLLRRVRKPENGYRLDEQ VGGSVLVDDRIGALEHSGEIVQSELDASDIIEIGQIEQLRSSENSKQLHEWLEDGLLV YKSIGVSTTDLAAGRAVLALATAKGVGVQLDNF PFICI_13203 MSDTTKEHEAKGDGQDIYPAPSHGVGHIGESLEPKATTHDAVFG EITEGGPNYRNVGWIGTSVLMMKTQIGLGVLSIPYTFDVLGLVPGVIVMCAIAAITTW SDYIIGVFKINHPEVYGIDDVGYLLFGTIGRNVLGGAFILYWIFVAGSAMLSMSIALN ALSEHGTCTAVFVAVAAIVIFCLSSIRTLGKISWLAWVGIVCILTAVFTVTIAVAVED RPYAAPQTGTWVTDYQVVGNPSFTNAISAVSSLVFAYAGTPGFFSIASEMRDPRHYTR SLMVCQTVVTVTYCIVGIVIYYYCGSYVATPAPGSAGPLVKKVAYGIALPGLLVSGII TAHLPSKYIFVRALRGSKHLTANSFIHWSVWLGCTFAISLVAYIIASAIPVFSGLVSL VGALLGTLMSFQPMGAMWLYDNWGAKSRSIKWWAMVVWSVFVILSGTFLMVAGTYGTI ADIITSYTTEGGSSAWSCADNSGST PFICI_13204 MTTNPAPAAEPEANSSAVRAVGGRAVNAYIEELERRASHRSASS QPGVEDAHQNSSGAISQSPGAQGQYSPTVDAQPDTSSQPQPVAAATTLSTACSHETSL TNPLTTGQSTFMSADDGTTLYLGTSSNWSFTQKTLNMVYEHVFHENMPLIDRVFEGQS YDLGWSGKPAQSLTPPALPDIDHAIHLIDTVKFYCGQMFHLFDHDTFMPALHEFYKQP GSASLDELWYIHLLLILAFGKAFKNKRRQGKCPAGAEFFVNALQRLPHMIMLWRYPLQ AVEILTCIALYLQCIDYRIAAHNYIGQAMRLALGHGLHTDMPAERIGVELVERSRRAW WTVYILDREMTSTAGVPQSIHDDDVHPQLPKFSGLVQPREALRMRIRLSQVTTDINRT IYGVDGKLNSKFLDSTRNVLAKVAKIADDLHRLFPIRLEPTAGGLSRISAHLHLHYHR SIILATRPLLYCFLKIRLESPLDCSAKLEASETTRNLIEICVDSSMQTLMILCTLLAH DLLDSVLPFDLESASMAAIIIMIASTVDASLIVNGSDWLQKARSILGNLYANGNELSM LHISELKQLGRLLAGLNITPSHTTMGGLDEGSNGSVPYQNDPRALFVSMTVEPSLTSI GELYNAAMEDTGTFGSFMANNIMDLVNSIDDIDRDWMPQTMAEYDIW PFICI_13205 MAGKKADAPAAGMLWGGRFTGAIDPLMHKYNASIRFDKALYRED ILGSIAFARANSKAGIITEDEFQAIERGLLQIMEEWKQGAFVIMPNDEDIHTANERRL GEVIGKDTAGKLHTGRSRNEQVVCDMRMWLRDRIREIDSQLVAFLKVIVTRAESEIDY LMPGYTHLQRAQPVRWSQWLMSYAAAFKQDLERLRQVFERVNLSPLGCGALAGNPFRI DRNIIAEELGFSGITLNSMNTSADRDFIIEFLVWNSVFTNHISRWAEDLIIYSTSEFS FVRLADAYSTGSSLMPNKFNGDSLELLRGKSGRAFGQMAGLMMTVKSLPSCYNKDLQE GWEPMLDSVQTVSDSLGIANGVIATLKVRPERMLAALDKTMLATDVAEWLVRKGCPFR EAHHISGRVVALSENLEISMDKLTLEQLQAIDSRFTPDIVEAFEYESSVEAKSSQGGT SRSSVLEQIQILRAMFD PFICI_13206 MADTVPNKSWVFKQIPEGLPKLGVDTVYEDRPISLTPPPGGVVI KILTVGLDPHQRDRMRGPDFQSYVPGYVFDETMTNFAISKVVKSDHPDHKEGDLIQGL LPLAEYGVIPKELFEFKPMAAPLVWKVENKYNLDYSHYMGPLGLAGMTAWNSFYGLVK PEKGKTIWVNAATSSVGEVVVQLAKKEGMRVIASVSSAEKLKYATEVLGADAGFNYRE EDISGALKRLAPEGLDVVYENVGGDHFQAAIDNLNWFGRIIVCGMASQYNKPKEEQYG VTNLSDIFRKRITIRGFIYWDHDIYEPNIASFHENMPKYIADGSIKASYSKHEGLEKT HEAFLEMFTGKAFGKAVIKIADE PFICI_13207 MSFGFSVGDFLAVLELANRVRKGFLGAPKEFKAISDNVRDLSIV LQDAEANTDKLAPAQLQEYQDTLQSCKGLLLEIDSVLQKYCTITEVGKIGVRRTLKRT WKRLKWEPEDVRDIRSQITVKIATLNSLNSHVLVTNVAKLVRHQEDSEFQSLLEWLNN TSFVLQQNDLALHCQPGSRRWLFESPVYQDWVKQKDGLLFCPGNAGTGKTYTMAMVIE NLRSSNDSDVLTVYMYCTYRNHTQTIEDLYRSLLRLVLEEAGPSDSEETMQACVQYRL SRKPLSRHDCLKLLQIHVSMIPKVNLLVDALDELPSEIRRPFVNDLLKLSEAGNISLF LTSRDIPEIRAPFQKCKTYASLEIRSSDEDIQSFLRDNTFQLPNFVARSPVLQKDVID AITDASSGMFLLAELHLKSLTTAISPGSLRARLSDIVIKSNAYDELYESTVERIGFQG PQARQVAMQTLLVLTSARYPLSSAELTHALAIDDCSNGFNEDMVPDMDDLIAACHGLV VVDGTSDIVRLVHKSAQEYFERTRSRWFPAAHVTMAKLCLRYLEMGQPGGILPEGAER QAFFQYATANWIYHSSEAENESLDTRDPLPQVSSSPGLVTGHPEADRALVAQSSLRQI AREVFDVHTTIVEACRAGRLAWVEQLLIIRNYNPNQRDRSWEFQFENFDSRRPMVPAY FDGPIEHPSQDDVLLTVATSQRNYSMAAMLLAHGADPNIANIHGQTPLSIAARNGFDD LTSLLLSHDLIKPDLKNSSAPSALLTSIEHGHEKCYQLLLERSDRMATDKNGNGVVWY AARYGHLKIVMELHKWPEIGAALEELPFCHTPIVAAIVGGHQDIAEFLLPLTPELICR QCSLRLAHLAVQVGFDDLLTGLLERDPSNADHKSTQLRQLWIARLLRTCGQYTEEIMV LPTPFLTAIRLGNVSAVQAILPYVDVNRETNMQEAEVNGRGSWRALHEAVNRGNLDMV ELLLSKHGIDPDPIDDAGRSPFLLAAQRGNCSIMTALINHGNIDYSRKAGGESAIDYI LTHYKNPRYVKTLASVMCTKFSHQDSTGSTLLHRACRFAPFMDRKNVPIVAEILSIPG VDVNIRDQDGNTPLHLAVRYHLRDVVRLLLDRADTDVVAEDPDGNHALNLAYKTGLVS DGVRGDGYWRPYRTKANFPYSLGEWAEVQTSKFFLPSYRAYDESIFMMLVRDKRSNLE HKNHNGESAMVITALTGTKAMVQMALSNATLMSDLGTTNQNGCSLISCAIQANPYPDA VELLRANYPIPPVELEDKETVGL PFICI_13208 MPQHTLMDILQRAAQSSPEKELVFHGEDDVRHLNYSQLYKTVIT EKEHLEACFKNSDHRIVLLHLDSHYLNVLDFWKVIAAGGIPVVSTPFSADPVARGRHL HHLFEVLGDPIVITTPEYREDFDTQKSECTKMCSDDTDLLLYPASSSNSARRSGARVT SAEVFDPDNVAFMMLTSGSTGGAKAVEITHGQVLASMQGKSQMLGTSKDDSFLNWVGF DHVACLTEIHLHAMYVGASQVHIAPRIAMKDSTWLCCLSNYSISIAFAPNFFLASIVE RLQKRKPISGINLAHLRFVVSGGEANTISTAIAFNDAMKELGAMSNVVCPAFGMTETC AGSLYNTEFPKPEIHNELEFCSVGKSIASMEWRVRTNEDGDCGISELGNLQLRGPVVV KRYHNDPENTKALINSNGWFDTGDIGYRDSESNLVLVGRSKDTIIINGVKYFSHEVEA MVEEAVSGIIKPYYTAAFPVWSSESSTEEMVITFVEQKELTNEELLTTIISIEKAIFL YCARKPRAIIPLPASFVQKSSLGKFSRSAMKKAYELGTFNEQYELTSQRTSMVRVMHR IDPKTDFEKKLIDIFADELDLCTSEVRFSDSIMDMGFDSIRLLGFKARLQERLGLEQQ IPIGTLLTSPTIKALAQALSVDSTKAVVPTSYDPTIKLQTGKSPSTPIWFVHPGLGEI LVFLNISRYFSDREVYALRAPGFNAGEKMFDSIDEMTNVYMNAIRQNQPKGPYILIGY SFGSMIAFETTKKLEAAGHEVYMGSLNGPPHIKWRMVQIDWCELFLNLSYFLGFITEE EAVKKSAEFHDAGYTKEQVLNKILTIAPADKLAELDLNATKLAHWADVSASLQGLAHN YDPMGKVKHIDVFYARPLLAVGKDKQMWLRDHLIPWSEFCEEPVRFHNSPGAHYTMLD ADHMSGMQKILKKALKARSVY PFICI_13209 MKEAYVAKGTVVTIIDSTIPQPKADEVLIKVVYAGSNPKDWKVP EWLDTNINQGDDVAGYVHEVGSNVTEFKKGDRVMGFHVMLSPHGAWAEYSIVPAHTTA KIPDNISFEQAAAIPLAALTAVVGLYSPTRLALPEPSNPAKEDIPLVIYGAASAVGYY TLQLAIKSNIHPLICVAGKSQDHVRGLLDPSKGDAIVDYRDGDAAVVDGIRRALGGRK LLHAYDAVSEKGSYQNLSQVLEPGSTITLVLPGKQYDDIPKHIKQTVTSVGSVHDAEK DLGYRYFRYISEGLKEGWFKPQPTRVVPGGLGGVQQALEDLKAGRVNAQKLVFEIAAT EGLESSKI PFICI_13210 MSARQRNNFKSLADHQQDVRYLKEDTIARFLELPDILGVSPVIF QMLSYIGAFPFLEDAPAVLSFEQMIMVVAIMTERYTKILAKGAATRRKLLFKSLAVYD RKLSEIEKPATEPKPSQNGSNGSNGSNGNKMTQALGFAVDEAGEDPEDDAVDDDELVL AALDSLDLNDAFKTGDAHAATTHGAMIPADNFRRLIMLLLLIAPMDPQERLSTFAPRV AGDELESLRTTAENILSAFLNVEKSPGITFHHFDEIVQGCFPYLFHGFNALFEHFLFS KNLDLNKRKGSVPKAEPHAIPPPPLLHDKGRILNINVLSQLSFFISGDELFRRLRLLY SGAEAGFSMGSFATKVFNWRAPTILLVTGTRIDDSARHGGAEAHFLDTIPPKRFPNGS PDSRERVTFGALIRQPWQHTNRECFGGDDMVLFQLGPVHDVFKASAFNKDYVAYTKPP NVNPGITIGCPVPKTGQASRHESLRALGPVSLIIDSSFEFGVFTHDYTSRGGAFSTSI SRKFDFQDRFEIDDIEVWGCGGDAEAKVQRERWEWEAREAEARRRVNLGTGDVAADRA LLEMAGLIGANRSGGSMA PFICI_13211 MADRPMPSSFDDDKSQQFLIPSRLSGTILTCAALYNAWRAMRKG DHAQVQRMFRARIGAQAFTVCAIVAGGAYYGADREKRKELIKLEAQKRAEERHQKWLH ELEVRDEEEKLLKEHLKRRKERVQSKQAEEAERAAHDSAEAAAAATAATTEVAGGKAS PNDKKQESSVLGALSNAGGWFGTGKSTESSTEEKPVEKPVEKPVAGSKK PFICI_13212 MSTSEDPVVNGVNGQKQTNGRRTPSLSGLSLTEYSANPSPPSDR KQARIKQLVPDELLLPNGHPDYVGMILNSRVYEACKRTSLTPATNISKRLECNVLLKR EDEQPVFSFKLRGAYNKMAHLDPKQSWKGVIACSAGNHAQGVAYSARQLKIPATIVMP QGTPSIKHQNVSRMGGTVVLHGADFDAAKEECLRLEKAHGLINIPPFDDPYVIAGQGT IGLELISDTNLSKVEAIFCCVGGGGLIAGIGVFIKRVAPHVKIIGVEAEDANAMVQSL AKGERVFLKEVGLFADGAAVKTVGEETFRICQEVVDEVVQVTTDETCAAIKDVFEDTR SIVEPAGALALAGLKKWVKTNPSSDPSRTVIAVTSGANMNFDRLRFVAERATLGEGKE ALLSVRIPEKPGAFSELIENIMPHAVTEFSYRYATDEVANVLVGISLTAPATQRVTEL ETLLERINSTGMTATDLSGDELAKSHIRYLVGGRSDVPDERVYMFTFPERPGALQKFL NTLRTQYNISLFQYRNGGSDVGKIIAGIQCPATELSQLEGFLKQIGYPWQDCTDNPAF KTFLRS PFICI_13213 MATSSLLSSMRALSLGHHSCKSAASTAAASTSMMLRSGTRQQPV RAMSQAITRATTRPKTITSTVASTAAKALQPKIQQQTRGMKVHSSVKRRCEHCKCWI PFICI_13214 MGSSADALDPIEATNLKSDELFIREHLQPSNGWTDWAHPNRQST YSISLTWVQNLKLEDLKACFALIEETSKPHYKASSKGWKPRSKMSEMKSPELRYVIVR DAEGEIRGFTSLMPTYEEFQPVIYCYEIHLKPELRGTGLGKHLMGFLERIARHTPTVE KVMLTCFVQNSDAFEFYKKLGFEVDEISPKPRVFRDGREVGSDYVIMSKSVT PFICI_13215 MTFKFKALVFDLGGALIDWDHNVMNSLAPNQFSTIMNSTAWHRL ERGTIHVDEACKEFAKMIGTTPDVVKNSLNESQLSLKVNTQLVETIQDLKRSAPDLKL YVMSNISREHFEITLGLDLPWALFERCFASGIVGMRKPDLCFFDHVIKEIGLLPNEII MIDDTTENICSARSRGMHGILVNQSLKSVGGALRNLLEDPLERGETFLKMNAKNHHCV FEGHEEMVLKDNFAQHMIWELTGDEDIIYLRWPDGRTLGVDVPQPKPCKPKLNGSRQS DGVENDRPLLESKVKNGLWNYFIDGPILTTHHFPPDADTTATAYLSMPDRYLSTTVDA KLVMDVMAQNLDQDGIMQAYFDSERPRTVPEVCCNILRMFHRFGYGALPAAEKTEDYV VSCLDNNACLDGARHYTVPETFLYFVARLYTETSSEMLRGRLHGIKAQLEDRLNEPTN PLALALRLFTCQIIGVEAKLYKRDLDRLLALQDEDGGWPAGHFCCLGRTRVRIGNRGL TTALAVRILRHQRI PFICI_13216 MRLTPAAVAAILAYTAVASPMYARNAPNSCESSYDSCLAAPNAD SAKCVSDFTACAGHAPDHKRQQDPCQDAYNQCVTAPDANMSTCAANQAACQKKQKRDA PAPAPAPQGGLVDAVVNADVGLLNGKRDVPPPPPQGAPAPAPGANKRDVPPPRGPVDP TLAPAPAPAKRDGPVDPTPAPAPAPAKRDVPPPPPQDPSKPAPAPGRRDAPAPAPAPQ GGLINADSDPAPAPAPAKRDVPPPPAGPQDPAQPVPAPVKRQAPAPAGPHGSLINADV NANVAVGKRDVPPPQGPVDPTPAPVPAPAKRDVPPPPQGPVDPSPAPAPAKRDIPPPQ GPVDPAPTPAPQH PFICI_13217 MIAEVPAQVPFEMQPGGLPKPGNPAFPANLDPDVLSRVPKLSPA QAGHLRHFHNLAGQLDGDWHHMGSCDPGQEVFGAYRYQLATMTYAASAAHYHRLPVMS SVFRVLFEQLIHKMMLRDVWGYWYLTSQSGKRIDPDIMELRKPWPDPVIKENIMFSGH LLLMVSLYAMLFNSDKYEREASLTFEWDPVFWGMGSQKYQYNRASLQAAIIDEMEKSE WMGVCCEPNNVFVICNQFPIIAMRYNDVRDGTSTAEKVLENYKAAWLARAGGFTRKTE DGEDGFIEFWMVKQDVLVHNVLGVAANAWASAFMNSWNSEFVHGVFSRHLRCLLTKFP DGRVNFNNKKVIKQLKTIIPIDRGSPKQLTNSPEEFQRALSVARTDLSNQHMPTPMGP ACPSMDFGFLVQWVSEVAPIEDQDGDDILEGLLCHADTYLNPTWEKGGLYYPRRDMPL SDAEGNWVVMDPLSGNASIPYGRLNVRDGQKKMWDQPWAEEHHGKYPKIEGVDLSSGV DFLRGEWLDEHGTLVLTMKSWNDITRLVAPKVVNLPTGVYGVYVSGNLIKVHEIRERD ILEIPLAVGAAEVDVVVLQSRLE PFICI_13218 MKSSMMKSAVAALALCSNAVLGALTAEQIVDNINTLALKSQDLQ EPATSITAVNGPLLAVGQGPIPQVINGFRDIIQTATDDFSDMKGTAAITEAADQDSIY DAFRDFVRAHQEVLNTLTGKAALFSTVPFIGQPLAAVLRQDESVLDTLTFSLIDLIQS RAADIDSQGQNLSKTVATCIDAYSGL PFICI_13219 MDNWLRNLGNQSTSVQNSLASQWTNPVDILSVLMIIGGDVVQRA FAQGTGKLYVPVCFSFGCVAYAFMGWVNIISHGRLLPPPDYECKVFNLGSGYGRESKS FIVGRLLRDLEAHETRQLSESDRDYAIKITVFEAVYNSNGCSRFSWTKLHLVGLGVTA LQIGISMIPYGVNQDWNILLIVLIGTFLVQWAGALPQWTAEKLPHRQNSRSIYALTGG NGSRDVMVIFGYGNCLDLESLACPQTPQNSRPWEKFKRRLPLSSKDIESAGRNDTWRS QPLARRFWPLGKSPLGFAITKISYGCLSVLWLLLLINVSAAKAFPDSWCLLSVGGIGM FQNAWLASKELSPRMRNIPLRKKEHIVARKVMDGIMDFHRTYDCGVALRDEFFPGKLR DDEDDWWAGNYADYDNKRALVPSRGQPRYRDEPRKYERYIYPTPSSSST PFICI_13220 MFNHESGLEVASHHQSRSGGLEVIPNELESIPTALDSWHAIHGP SEKEGQQPQADTGLGAEPSSSGPANSSHVRKTRRFWIISLIVLILLVVAATLGGVLGS RARNQAPGTSDTSNSLPNPGEGNSSTPLTIRNNSMLSITGHLEEDGGWTARLVFEGPD GKLRFLDRSGPDGFWSAVTILDRVPLAPNGSFTISTYLIGGLSAPQYQLYYATTSGQM CGQVFKNGSTPIDGSPDSINALNFTAPDGTSMASYFPYIMVQDQASNQMMWYGYKYQI QNGYFDLTAESQPVLASSHTSMVVLPVNAWMYENINITLLAGFFYRTMEGTLAFALGQ PTVPGDHPSFLLWNTTAGGFPNVSLPEGGAISGFASGRVIRSEQVDTHLLYQDGNGTI QVLWQENGKDWQGPTTFDAFDGADMGTSIACLTLGAYSRDGGMHVNVPSNSDTNRCYF QSQGGLKEVWYDGYTWRDCGFIATG PFICI_13221 MAHLEAQWTQLTANPRLQRSSQCLSVISSQAYIFGGELLPRQPV SNEFDVVTLDKNNEAAATTLPVPRGPAPIPRVGSTTATIDGAIYMFSGRGGLDMAPIE EKGSLWRYDPIGSLWELVEPSDPAAAYPPGRSYHASTSDGAKNIFIHAGCPENGRLSD LWSFNIQTKTWTELEAAPSPPRGGTSLAAHEGKLYRMNGFDGKTEQGGALDVYDIAAR SWSTISFSPDGVQGPTPRSVSALLVVRINGREHVVTLFGEGDPSALGHAGAGKMFDDV WAFDLVSQKWSKATPTGAQLPAARGWFDADVMGVDADQQFVIVHGGLAEDNTRLGDVW KLSLQFR PFICI_13222 MSTCPLIRLLALQIQCSVGDEKSACDWCSEHNLACTYTRETQVK KKRNRAKLRDVQELLKRVEQLESTVANSRSDSQASVQCDQNASFDIVATPVPLIQSGF SGSSRGLSDELTAVQRSTPSFPSTPINSPLVPAHNSTVECSLGQNWYCMGLPLLSDRG EQWIQSKTGQDFTFSMLRLFKCYPSILESSLPSRVPNEELWRLPAEKTVQEMAAAFFK SSLHSAFPILDENSFQMVLGRAYEQTQNASSRSGSFPPSESAARVFVMAALALMGCGD EMVRRMYAAKVQSLLGYVTEQPSLIGLQTVLMLQRFYWSNERNSSVNVLHSIACRMVC ALGGHVCLPCPSGSSLAWDHDGPLLRRLFWLCYMSDKDVSLRSGQPPLLTEEHCDLTP PACFSAQSQGSYGNETQIALLSFSPHRLNLCFLKERVYRELFSYRASKLADAAVLLCI RQLDDAIEVWRSSITMELRPKLSITTRVAAPTMLPGSAEDTLRVYLQLEYHYMMTVVH TTVRRCGADSIVSGNIPDDLHSAIHSSCDISLEASRSTLDYIANSAAVLAKENATHIG FYTSVAALSLFSDMLSHPRSPRSSVWIEHLDTATRLLRSLLGPCFSERTEDQINDAVD LLQELNRLGRCAVAKAREDVQ PFICI_13223 MSTDNTSSMDYSDDGPALLISSCVLGFVSTVIVGLRFWSRKLVG TPWGLDDWLTLASLVAHHAVVVTVGFMTQRGGLGRDIRVIVAEEPSDIAELYKSLLAA ELFYVFSSALVKLSLLAFYKRIFPTHRVKLGCWILGLVTLGWALSCQIVNLIQCVPLQ AFWNFELRADPSTKCLDPVLFFLANSAVNVVIDFATLFLPIQEILKLHTSKSKKWGIA GVFLLGGMAFTASLLRTVFTGTMYNEGVTNFSKQFVASAMATVVEIYVAIISGCLPAL VPVYRRIRYNNPLKTTSVSCSEGYLSNANQSTILKSTTRPKIRTSELGGEGSFERLAT SKNDQFAMNELSGSRTVNVSSSVMKYDSDDNSSDTPQGIVVRSELSWQESRTA PFICI_13224 MVFRPNCSLPESAPSGFVLSPNVRSTTGIAWSCVSIIILSTWSV LFLSVPPDINTTGFRQEIRRQLYLAGRKLAGMCIMLAFPELITANCIANLHVAWINCA QLRQWAEQDNVPWSMAHTLLANIGGIAVQFSNIDCEQGVPKQCSLQSHEVPAIALNTT ASNDNKGPGRVEIKSNAEPDTRFASKLESAGSQKCDQEILNSTLSVKDIPSFLKDFQR KQQRRLEGHGETPWRPYTPHQILATKVASEMKLSHGNTRVYNSQNIAPLQGNIWILDA NQLCLARRLNIIRQLPRITPNEIDDRSKSDGLMRFLAVMQLSWLAVELIARATGGMPS AALEISTLAFASMASIIYVIEWRKPKDIRVPFYVDADSNISQTAFAQVAQVAPMLYPT PNRHYYMPTYVIHQVIDNKYSKRYLDRIYMLFSAICTSIFGGIHLFAWNFPFPSHIET VLWRTSALIVVVVPIFSVLSIAIESILSNHTSQKTWKWPAMALTPIYLASRIYIMVES LRSLYYLPPEALAATWAQEAPHLW PFICI_13225 MKTTTTLLMGLFSLLPSALADAPAYCVPLYEVDQANTYYYYDES TACSSAGVGTNCCVTFITGGTCEPDSFTSEEVSNLESAVGQQVAKDGQFETSTVGHWQ ATFATLTTAIANQNVLTEWFHGINSFAAKPGSMPYTVQFVLDGDYMQVNYQC PFICI_13226 MLGFTLLLLASVRLIHSAAIAAVKSLPECGQHAATPNATCPLNV CCSQYGFCGTTPEFCGQGCQFACEQPKPHGAESNSQKRVIGYWEAFNSNQPCGMMPIE SIPASLLTHLNVAFAYITPDYQMTNMAGVAENIYSDLANVKSRNPRMKIIISVGGYGF NDPGPTQTLFSDMVGNAKSRATFISSILTWLAQKGFDGIDFDWEYPTAQERGGRQGDG ANFTQFLKEFREAIHDSGKDYIVTFTAPVSYWYLRGFDLEQMMTYVDWVNVMSYDLHG TWDQQDQSSISGQVLAHTNLTEIDSALNLFWRNNVDPAKVALGLGLYGRTYTLSDPSC YHPGCATTTPGKAGPCTAQDGILSYREIMQVISDTGASPHTDEAARVEYMVYNDNQWV SYDSPRTFKSKIEYANKLGLSGLMLMARYRIPPLIVRLERFYTE PFICI_13227 MAYQPQQPMQPMQQPFEQDMGGEWKSSLFVGQTSERLRDPTMQT AQDLNNDCLIHGGLCFFTGFHWVYTMLKRTEIRERFGIPGSSFGDCCTAFWCHCCAVI QQDNEVKARLRPATGPVHTPYQQEQGMMVVPQEPPTPAYAPPQKEGYTDRP PFICI_13228 MYESSAAASTLTRPRIVVAAISVIAAVSAGYLLYQSRVAEPQID VVPGPGLHRSNAVRRNRRQSLRQEDSSDADRDENVDTTATAAPIHDVESQNEPAWYTE SSRQRTGENIVTLLFRVSEDNARRNAYVHRGCQCNGCGMVPIRGIRYRCANCADFDLC EVCESQGLHNKTHVFYKVRIPAPPFCPRQVQPAWYPGDPDNVSRMVPRELLARWSRET GFERVELDAYWEQWTFMANTEWKDDPDGLCLAMDRKTFERCLVPSGGYRHASPNLIHD RMFAFYDINGDDLIGFDEFLKGVSYRKRTDKLRRIFEGYDIDGDGYVCRRDFLRMFRA YYVLYKQMHRDILEGLDEHMMTTAEAQQLVNGRAPLSSFFGREGRVPPADQSRPMEGK VFRSSGEVDIHDGKNTVVNEDKPDTSSREDILNGLFARSNNRSFFTESFGPSSFQGRS STEEQYISSIINPPTTLNDLSALISGDASSRLDDIIASIRHEVANRPARGHYDDTESN ASDEAHEENEDFTHNLPTLRSQPFHVHDHGAPPRTRGLARERRNGTTSARSQRIRDER RRREQLLDRWTRRQFYLDEEEGAQPPQDWTEDEDVLRNLNGIAGSSKAPAQVLSPRSR SSSKVRFAEDTDDFDVRSIRSNHSTSTRSIPERWGGMEIPDAERDAGKEILYQVTQQA FNELLDEVFKKKEDLALACAETVDERSKYRHLFRHLEPDDLTTTPPPRLRRANSDRPV REQSLDELLWTSGYTVSPPPNDTEAAEQAPSEDKENDQPPPSQSHVGSLENETQLHTS GHEYQSSVGSYDPTMPQFRPNSNETAQSSPRTSSPLLDSVSKTTQRQTDKKSARKSHQ NTSTKSSQSSDTQSTHEESLPENVLLQYKRLDIAEEEAKYRGGWGRLSFAEFEQIYKE HEFQDSSRNRLDYLGSWIDFCIP PFICI_13229 MRFFTTLAYAVAGFTMVTAVERDSSATPAANPSGWDYGSTSLAR KQKATVTSSDYGSTHAVRRNEDKSADWDYGAVTSSNSIVRAARRTASAAWDYGSNTSE DSSAKRH PFICI_13230 MLANNLLSVVAAMLGMVRGAPTSSAATTLAVTDGMVPVNTTMPD GTVKVVWLHKAFQPVAGPAQASHLEGRMKWNPNGNPNQCGESTFTDMTTLGSPDQGDC QVIINQMVSGPGYWQLLQGGDLHANGDWCRVAYHGSCVFGVKTTVIFGLFIGSNDISD VVIEAMKLRKGDPRVQFEGSMPCNSPNGQGGDDPKQPHTTTKWALFKPLAGVAPAQLG NGTL PFICI_13231 MALLSALPLELGILPLGSLLVTGVLISFALVVVYRLTLHPLASF PGPKLAAATGLYETYYEVFKDGGGRYWVEIEEMHRKYGPIVRINPWEVHINDSEWNGV YKYSSKAAKPRWYYLRFFGMFPSTNSAESHALHQQRRAPLNAYFASTNIQNFQSQAQG QIEKLCDRLRAADGHVVSLSDAFRCLATDIATGFCFGKPFGHLDEPTFDHEFNQTVRT VVRASMWSRHSFGLFIPLMHSIPESFSIKMNPSFRRVKWMKEMMAGCVHRSMHKPEPA PGQQADMVQTVLQSPLPPEEKTFLRLLSETRSVIMAGTETTASVLVCITASLLKDPSM VARLKYELFDAEITYGGPVPYNRLKELPLLTGVVNEGLRLANSTPSRLPRVCESQDLK YGQWIIPKGTSISTTCQDVHNDPKIFEQPYSFMPERWDTPEKRRALNKYLMPWGRGTR LCLGMELATMDLFCTVARIFSPSIGFDMTMSNTTDEDWKAYHEWFACFPKGKGLDVVI SRPEKV PFICI_13232 MAPVHAANGVISRQLVASARAAVVAAGPQVAKLATRDVLSSRGL SVSSDAQKVTLGIIAVYVVVIAILWNVPYVRWSLWPFKMLVIAFHEFGHAITAVCTGG RVKSISLDPREGGVTHMVGGKSAITLPAGYLGSSLIGALLIFCGFDIVASKVASMVLG VCFLLTLWWGKRDWLTILTVLLAVGLIVACWFIVHAEPLRFVVLFIGVMSSLYSVWDI CDDLILRKVNSSDASVFAQRYGGSSQCWGVIWSIISILFMVAGIIAGIAAFPESFAQQ EEDSKNFLPTR PFICI_13233 MERSFKKVIVVGAGPSGLLLCLLLAKHGIPVLLLEASDDLDDRP RAAIYGPPAIPDLKRAGILDEVRRQGMTAGTMAWWRMEDHSRLARLDGSVLDDVDGED LRTTCLVLDQLDRLMLNEFLTKYRGEIKWNHKVVEVQQDDGAVWVEAETPDGRTRITG DYVVGCDGATSQVRKSLFDDFPGFTWDKQIVATNIYYDFESKFEAADSNFLIHENHFV MIAKITTDGLYRVTYGELPGLSWEEIKERQPEIFEKILPGHPKSNEYKLVSMAPYKMH QRCAPSFRVGRILLAADAAHLCNPWGGQGITGGFVDVGGLYECLAGIWDGKADDKILD LYSEKRIEKWKDIIDTVSQDNFRRVSDSASSTILERDPLLIECRKAENDKEKQKEMML QSMQLRYDFTQHYTKG PFICI_13234 MSVPPIQADLPVLDRAEKEGKFTDSDLKTAPTTYSNDHSGSDDE GYDKKNPFIDPDVAAHWRDVYEGSSYECRHLFDPNLTWTAEEEKKIIRKLDWRVCLWA CVMFFGLQVDRGNLVQAVSDNFLADLKMTTNDYNYGNTIFLVSFLFAELPSQLISKKV GPDRWIPMQICLWSLVATFQSFITGRASFFVTRALLGVLEGGFIPDIVLWLSYFYTSK ELPIRLSYFWTTLSATTIITSLMAYGLLHMRGVAGWAGWRWLFAIEGAITFIVGAASF FMMPASAVQTKTWFRPNGWFTDREEAIVVNRVLRDDPSKGDMHNRQAITPRLLWESMK DYDLWPIYILGLVAYIPQSPPTKYITLVLTSAGFDTFTTNLLTIPSSVMHIITLLAIT RLSEYLNERSLVSMLQAVWTLPCVFALRFWGNIITDAWGTYAVVTVLLSYPYCHAILV AWTSKNSNNVGTRSVSAALYNMCVQMGSVISNFIYLDDDKPKYRRGNGVLLGIDILVI ILFLLTKAYYVWRNKQRDRVWNGMTEDARRDYTRNTKLQGSRRLDFRFAH PFICI_13235 MSLESILVTSATGNQGRGVVKHCLASASIKVYALVRDPSSAAAQ ELEASGATLVKGDLDDAASVQAALEQTKPTALFLNLPPGPGSLQLSRARIVVDAAGNV PSVRSLIYSGVSGTGSHDSYPDFGPDHPMYEYWLSKQEMEDLVRSAGFASWTVIQLPV FLQLFVPPFASVMFPDLWKDNVLRTAFKPETKFDVLDAGDIGAVVAAALQKPDEFRPR VVPLAVEAVTASELAAKIGKARGVKIEVAHETMEDLAKKLGPLGPRLVAFQGIYNATG STIDVQKNREEFNLKSVEDFFAAANV PFICI_13236 MLRLLRTKPAQFRPALFQRFRISSTRPEPSQIQFQRVKVRRKWF RPAAFFGAAIIYYACYEIYTTSVFAVIGQWLEGEISKMSPKERKKLEKELEETDPAIY IPLPGTIETVASPPYKGTDPEWKAFAKLSRDQKKIASIKATLAEFSKKAIENNPQISL QFGKEWRITRAWLDVTYPLRPPPTFQRQVICWDDDGLSVVTQPVDSDVAFKLQRTLMP TAMTLSMWSFSTTLAQHHYSSVARYFGFQPKDEQEQSVQQVLDRMRQHIVKGTPPKAS GMTSDPTTLSPKPAESKDEKAVSPTRRQTADGSPTDDSTTSQTSEDAPPDSSPGSRFP GAVSPFSKSQETPEKRPSAKDIHGVKEVSGHTVGAWQALRQKWKQVWKPKRPYPPRGS VHFNGLVEVASPKASLVIDVSAWFDPKTNSIDGKTLSLALRAIRPRQMAPAAR PFICI_13237 MKLWGFLVPALAGLAAAAESEIEAYILRSQQAGSASSISSSAAQ TILQQRVGYAKQLPEDISEEDIHQIAQYGIKSSQHLFSDAPADDLSSLVVVIKNADDA HVAGLKKAISSYGPSFTAPGLDKLPSSYLPKVSKQCSLEDTIALKSGCWRGKSAYLEY DAAKDSKALKSLASSIGKLQGNTLETVVVTVPTSSTPSELRRRQQSEAVLNKEFKIQT TSSESESAATKNDDQSFNPHPFVAKVGAIPACFTSKNSCETATANCSGHGICLNKFKS DADSSCYACHCQKTREKKGSDSIWYWGGAACQKQDVSVPFWMFVGFTIFMVGAVAFSI SLLFNVGEEKLPGVIGAGVSRGSK PFICI_13238 MSAFANKRKARKITIHNEDEEEGGSESPTPPSVASDFDQGPALQ PTFKTRPMKQSLLRKTIKISDIDEPQPNNSTEDDEEGGAPVVVRPALTGRAGSSKIKK RTPAASKLSFDADKQSTDDDGAFMLGGDQPASEAKQSNPVALATTKFPHRKGLTKNNR LPIRSEEEEEEDRPRYSKEYLSELQSATPNTPANLESLQIADEDVEMSLDPSELEGAT LVEQTTAPAGQSTAILTEAEIQEKKERRARRAQQAGAEDFISFSDDERGAGDSYLSLL AKRQPAVISQKKEKRLVKDDNLDEDDSFFVEDGGLSLGAKAEREARKKKRADMASMIA TAEGAEDDETSDDSEAERRAAYESAQTRAGMDGLAEEREQQRRRLARDGTTQVPPKIT PLPDLSVKVQEYKTKMAQKLAEIQRARAEIDKIKQEREEIDRREPELQQLLNEAGERY RSLMGGNAPAPQTDDANGTVAAARSLLDHSKNMDTPGRGLESMGTTPLRQTDQMEDV PFICI_13239 MAPAQPELKKYLDKKLFVQLNGSRKVIGVLRGYDVFLNIVLDDA VEEKDGGEKVRLGMVVIRGNSVVMLEALERIGGGGGGGGDREHRG PFICI_13240 MAQDSLEEQQGSTHSGGGGGGPLPQAATLLTSSSSPHHELEPCD EQSGSGGDTVAAPSNGLQLEPEMRPEAHDLENDSASVTTSSDDLSRFSTGLSVDQQSD DDADSSLGEERPYSTLSATSSIFDFVEEHGRTYHKYKEGKYWMPNDEQEQSRLDLQHA ICTKLFKGKLALAPIDKPSRVLDIGTGTGIWALEFATENPQSDVLGTDVSPIQPYFVP TNCRFEVDDVEDEWVYSYKFDYVHARHMVGSIKNFPALFSMIYENLNPGGYVEFQDYY VKLQAIDDSLNGTALQRWNNMLNEALAFTGRSGMNSSKYKRWMEEAGFQDVTEHKFSV PGNPWAKGREKKSLGLWQMQNILDGLHGISMVLLTKFLNMSPEAVEVLLVDVRKDIQN PRIHFYYPVLVVYGRRP PFICI_13241 MGKAHRNRTFRVLKRLGKEAVNPQTDSPLYNRIPSEIRIHIFEY ALTDYGRPPHGHDMNDGFHIRHDHAPGFVPDMDTGAPRTDFATIIQHRPASQSTLGFR TASPEFLWERPGHPAYTRTDTALLLSCRRVYSETHAMPLMLKEHAFAFGSGQKIGGRP SCSYSDYFSKFLGRSSSILGTKQYQLVRRVRIFASSILLWTFPRNIFNTVLKDFGALQ VPIEHLRITIRRSSWRFWRENGPYVISPFRRISKSTNMKELMAERTGDMVIDDRAWGM AFQHLPNIKSVTIEFEVTEDKREELEAIVDWAKTWKFPLSGDRHLSAEEQRIERMSWQ GLLGHWSDTCTECMAPLPSATESCSTCQLRTRL PFICI_13242 MDRPPEENVLCPKNIQWIKECLAESVDTGDPRGQDAGDLHRLKY LPLRLLDLMPDGDYDCRLVTTDSETLPPDTKYLALSYCWGSPEDAETQFKTESSSFSD RKRGFMDDQVPSGIRDAFKVTRTLGIRYLWVDALCILQGDMEDWEKQSAQMAKIFRGA FAVICTAGSDSCHQGFLERNMDTACIRFQSRINPAIHGHYNIRWRSVILNQYDANLDT NGTDIWKCRWGTRGWTFQEENLAQRAIIFGKTKIHYRRTTLLWTENQKPLPNYTNLEY SSASIQKLDDTAISGWLEHLQGFFGRQYTNSQDVLPAISGFAALASNGDSRNYLAGVR KPHLHRDLIWLLRFGRTDKSSLLLSLQTCDPYIAPSWSWASRQQGVVVGGFLEQFFLH ALPTDVRREYSYVETHVSVVGHNPYGRVSQGRLKFSAVVVRLSQRLSSPRNAWDMREY NDEDFYARIVLDWKTEDTEPGAADDLFFMLLGSFEPIDIDSEIGSESSISDHGDKGQG RMRLLVRMDVVPQPKFKGGDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDDEDGVYTS DQGASTAALISSSDTSSPSPQAVSSDSTAREEGARLPVDDDPPGSDFSEDACQGRMAY GIIIHPALEPGEFYRVGVWAAPERNGSGGLYYFRDRQESTLTLV PFICI_13243 MASPIKVLVVSPTGKTGSSVVEGLLNSSTNFEVTALTRESSLEN PTLKPFKERGVKIVTADLNGPKDVLVKLLTGIDVVVSCIFWRNLKDQIPLAEAAKEAG VKRFVPSSFQTPAPRGVMQLVDWKDDVLAAIQRVHLPWTVIDVGWWSNQVIPPLPSGR TDKFVVPFFKSKPADGNVRMALTALQDVGNHVARVIADPRTLNRKVLVYTEAMTLNEA ADLLDEVSGEKSIRSYLPAEEITEAIISAAEAFGKDPNDMNATLALFLNQYQNSWGVR GDNDPKNAVTLGYLDFNELCPDITGIKLRDIYESILDDTKESLGISWE PFICI_13244 MSRPIVLQLGDDIRWNHDQYAAFTSKFEIKRSYSMPRAEFKQAL KDKKFGDFFAIYRPFWNTGGEMGNWDDELISLLPPSCKIYASAGAGFDWVDTAALAKR GIIYCNAAAACTESVADAAIWLIISTFRLFSWSALAARSGSPEQFADANKNLAAVTRN PNGFSLGIIGYGRIGRRIAEKAHAAMNMKILYNDVVEIPSSVPATFYKDMDTLLAEAD CVLVATPFDGDTLINADKLAKMKKGSRIVNIARGKLIDEPALVAALQSGHLYSAGLDV HFDEPNVNKELIKMKNVEVLSHNAGASLDSHIGFESLGMENITSFHDNGKAVSPVNAH LIKQSRL PFICI_13245 MTALHSIAHELPSLARTTARTISVQMPESLNALKQLCQQKVDQK DYTRAKFIKSNIPVYDLSTTSTTDTEAVAGLQNEWYNALGTGPGVVVLKNFLPDHGLI QEANATFDKIIKSEEKSSKGDHFAAAGSNSRVWNSFQKHAEADPSSFVRYYANPWLAR VSEAWLGPAYQVTAQLNVVRPGGKPQSAHRDYHLGFQTAHSCARFPRSIQVASQYLTL QGGIAHSDVPLDSGPTRLLPFSQLLPEGYMAWRLPEVTEYFNENWVSLPMEVGDAVFF NPALLHAAGENTTKTVERSVNLLQISSAFGRAMETVNGPKMVQSCWEELKKFAQKEGS GQGTEACINALGEGYPFPSNLDQRPPGPDGMAPTSEIDILWEGLQGGWSTEKVVTELD RIRADSGS PFICI_13246 MPKTTVLELSVMASNISEGEERIIALLEKIAENNGNDDSDRLAQ TANGLSTAAMTVSIVALVIALLQALLEYASSNENHREKCNVGAIGRAAMLPRGSKWSW RHWRRKYTYPVLNLSALRVLESLPGGDADIERLEKIFRDAAASPYSQSITKDDSRQPR RAFVWREWKVEKKHFKSFLVCYWPWVLYHLDTNGRGSLHVYRRELPPLQRLRWYWFSL FQTRNEKLHPRATWAQLLEAFNITELENLAADRRMDAENIASSVDVPTQKVSLQDLGI LAFHLGMRTVDISVTDRTILAFGPRGSISTEDLPGFGKVVRFQSHGDFNLGFRSPTLR RDQSAQLFGQLCFASSAGDVEDNLWGRLSIFPREWEHKPVSSGRTPRLFTDYSDDLVL PTTFDNSEAEAWEKLKSLQTWRAEHEHYGIVWPTIFLAATAACLPGQTLGFPARLMLR PFHEFLRQWAEQVRDRCGLPMEPAQRRNLKVSEWLNLEQLQLQDLCIVDFGGSAAVNS VCELSSWAFWQIGIETLQEVEFQLCQERYTMDSIDTLNGFDNYFQQQYATSGDAQETY RILPEITSLFAEYDPDSWSAGLGDLRAGLGPKRPQNVLWTQVILLDLTIRKLLNSCPP GPDSSPKDPTNPQKDDLPHLWRINATRAVVGSWSDPNSEWPLQNLESFLVKAFNIDEN DTENRYRMTKLSGLIQLRTLCFLAYLMVIPDSSSLYLARNQEMVVLPMI PFICI_13247 MLSLFLAAGLLGLAHAQQVGKEQTETHPKFSWSKCTSGGSCTST NAEVVIDANWRWLHGTSDTTNCYDGNEWTSACSTATDCASKCALEGADYSKTYGASTS GNALTLKFVTKHEYGTNIGSRFYLMNGESKYQMFTLMNNEFAFDVDLSTVDCGLNAAL YFVAMEEDGGMKSYSTNKAGAKYGTGYCDAQCARDLKFVGGKANVEGWKPSTNDKNAG VGPLGACCAEIDVWESNSHSFALTPHACTDNNYHVCETSNCGGTYSEDRFAGKCDANG CDYNPYRMGNQDFYGKGKTVDTSSKFTVVTQFQTDKITQFMVQNGKKIEIPKPTYDGI SDSSSITPGFCTNQFKAFGDRDRFSEVGGFPQLNKALQVPMVLVMSIWDDHYANMLWL DSTYPPEKEGSPGAARGDCAQDSGVPSDVESKIPDAKVVWSNVRFGPIGSTVKV PFICI_13248 MSWGFEVLGNLTTSIVNLAGNVSLHGIEGFFSVPELTSWRVTPD NPVRYTGSLDVERCAALHNEILWHGWNKSGGRPEDFPGVNWYEQYRDDGAGDSRKRFS APLAAFLDKALSTSNVAHSFFYHLSGLNAPSYMWDNHEWYQDDPDKYRYLTLYLAHSM ASHPDGLVYDQREHKAIMQMSIHDRDVAMNGRQEWLPLEVILTGWLDMLDVGKVQAVP DDVEWQPWIMVPYSQKQLDATVAAFDRLVDAIESRMPNYQGPSVAESQHVLSASEEVL FQPVLSVDDVEAAGIKPGFAHSFLTKAKRPGFRYIAPGLAIPTPETLKLQPFADIKRP RDSWLPEDEEMPDEPYPLLIFSSSENYETWRDPPRHPKFPDSDRPFSYPYHQLPSFSA GLYFSPTHGGPFDFEDGVKLVLPEPIGAFGYARKADGSRFGENIADATALGGYGRRGG TFSDLFQLGYNPYAEMHDVQLEQVLDAWCGLVESGEWMIGEDGVEDTIQKFKDADTRS KWNKYVVPIKW PFICI_13249 MKSSHSAILLALSHYAAAAAIYNKRAISSVVTGTPVGFASSVTG GGDASPVYPTTIDELKEYLTSDEAQVIVISGKYDFSGSEGTSDYDACDAYSCSPDNGG QALLNTLNGCSTSTYSVTLDTAAYQGINVASDKTLVGTDGATLYGKGLRFVDVSNIIV QNIEITELNPQYVWGGDALSFSGTSNIWIDHVTTSSLGRQHYSFGSDSSQGITISNSF INGQTDYSASCDGHTYWGLELVGSDDQITFYKNYLYYTSGRSPALSGTTLFHAVNNVF SSNSGHLIEGGSTGGQGLFEGNYFEDINTVIDSYSGVMFAATSDNLSTCSSYLGRDCV ANSYSSSDELTGDDTSFLSQFSGQNIPEAEDVSSIQSSVVSSAGNTL PFICI_13250 MTSAPLNQSSSGVGLPKNSHQGDSRSREGTGDNKPLVGASASAK PGLATQETTRMETALGDAVLRFLRIRNGPKQQVYDLDAIATQPSIWDAENVEEYKAQY LHPQWENWSAFDPAFRWTWREERAIRRQVDWKIMVWVCVMFAALNIDRGNISNAVSDN MLDDLGLSQADYNLGQTISRVGFLVAELPSQLISKRIGPDLWIPIQICLFSTVSGLQF FLKGRASFLATRYLIATFQGGFIPDTILYLSYWYTGTALPIRLAWFWMSSQLVDIGVG FAAVGLVSMRGVLGYEGWRWLFLIEGAFTFAIGLASFFLMPQCPAKTKSWWNPKGYFT EKEQKIIVNSVIRDDPQKGGMFNRQGLSVSQIWECAKNYDMWPLYALGLLFGIPKYPV NQYLTLSFRSLGFNVVQTNLLSIPWIVGSSITMLLVTALSELVNNRSFVSMLEDAWLL PCFIALLTVPDPSGWEYFAIATVLLSFP PFICI_13251 MKITKVLLVTFTSLLVPSNAGGVNVTTVNGRPHCEVTANGDEIN DVPNILEAFDECGTSGTITFPEDQEYWIAEKLNPFSDNITYWRNNSYPIHFQNHWAGF VLTGDHITIYGNGTGGVDGNGDVWYTEEAGSTQPGRPMPFVLWNVSNVVVEDFSIWQP QLWSFNIMNGTNISVTNLYVNATAKKAPSGYNWVQNTDGFDTMDVRNLTLRNLTYTGG DDCIAVKPRSYDILIDGVTCTRGNGIAIGSLGQYLEDSSVPNTKIGVYIKTWVGELVV QDNYESDNQPRGGGWGNVTNITLANFDVTGATRPFYIDQNNGNNGSDTKGTSNMLISD VYLRDFHGVLSGSGNTATLSCSKRNPCYNIFFDNMTETGSGGQALVGSCSNTEDDGIH GLSGC PFICI_13252 MAPTQLPASGNPLVFFDITLGGEPLGRVTFELFQDVVPKTAENF RQFCTGESKNSMGRPQGYKGSKFHRIIPNFMCQGGDFLNGDGTGSTCIYGTKAFADEN FNLKHEQPGLLSMANAGPGTNGSQFFITTVPTPFLDGKHVVFGKVVDGMDIVKKMEAT KTGYRGKDVPNMDVVIAQCGEM PFICI_13253 MAIIDQAFLDGAHAVARAVARQATDTTTAAVTSAISSAISSATS AASTADASSITSSAASPTSTSSDSTDPTSTSNPNNGNSGGSGTSSPLLFFVALGFGVV FTNLWIIVGVKYCFRYNARNRQLRGLDENGEPINLENMPTRPHRRRREKKLMTMDEVN EKFPMMKYKTWVAGRAKDGLPTAGGVSAPPSRAGSVRSVEGIVPDVPAKEMGSADDIK TAADVPRTSDSADATHDHDNALTQTQTRGSIMTKDPDRAHASDDEEDDDHINAAVPPE LLATSGDTCAICIDGLEDDDDVRGLTCGHAFHAVCLDPWLTNRRACCPLCKADYYTPK PRPPPADGDPNHPAASNSADPGRNNNRMNMPRQPQRSLAGWTLRDGPRSILGRYGTSP TDRYAQPRGSGRDRRRPANSQGNSRTTQRSNGRSNRTTPSTAPATASAEGQASQGGWL SRVRNRLPTIRQNASAAEPTAASANNAAAAATTPSQLEAGTRSAS PFICI_13254 MSSIGPQLPAHLTKRKRTPEDEGNPDSPPPKRAPTNQDEITLGD DSSEDEYGPSIPKASSGPSAGPTIGPSIGPSIGPSIGPTFPTTNNNEIPLDDDDEDSD GGPAPPPAQKPTSKPIGPSAEPPTRRILGPAPPPGPLFERPSGSPNDDDDNNDSDDSD DDYGPALPTSNAHLARQSQALEAAALEAANPKAPQRDDWMLAPPTAGSARAADPTKIK ARKFNSGPRSGGGGGGGGGAAGEINSIWTETPEQKRQRLENAVLGRGGAASSSSNGVQ SGGGGADRLSKEEQAKADQIRANLEAARGPSLYAEHQKREGGKGGRIEAEEDDPSKRG FDWEKDMRSGSKIGTAQRKELMNKAADFGGRFSKGKFL PFICI_13255 MADNYTDPLPTEDPATSSDEETIKGDQDQDHIAEILEEERQEDA ATAPPESHGPIATRYRQLLRDQDDTSDSGSAEGLPRRVGSPIDSLLSVPDDSPSVQGS GISSPGSSILPSLASRPGLSSPTPSFRPFDRRFQSRIASPSTLSPRPSSPAFLTGHSR TGSLSSQMLLDNGETDTPSPPWEVVRWTRLKKLNSQAFSEAGKRNFGLPTCIAVSASI VLGTSKGIILMFDYNQNLKMIIGPGTKAVESGPITAIAISADHTTLAGGHANGNIFTW DTSRASRPFLSIPHLDPNQLQNRTVDGHVPDVAITHLGFLGTRHTALVSADDKGMAFS HLATRGTGALGRTVKTVRILGRYPHAPPPPGKPLKPSTVLAFAPLPLGNVDRATDSMG LTAMLTPYLLVIVSTTPVAQTQHKSARPKDVAPHSAMSGCLAWFPAVKLKVPDPSTGN TMSKAKLVYCWSNVLTVLDVDEIPTDKDKPPALKFKARSRWKCEEAIVAVQWLSRSVL TVLTISQRLIVLEDRSMRMTEAFDLIHKHIYHTDLFSKQLHSLVEQLDEEDTSMHGVV ADAFYMSLKAYKGRMFLMGFNDVSIGALSNWADRLIALMENGDYVGAIQLATSYYTGD ADKLTVGLPEDTELRHKMVHDKLVEIMSASLKYAFGQRQKNLASADDGHLQDLAETCF VACNSIGDLDFLFDEMWEWYEDGDAEGIFLETLEPYILDATIKIVPPTVVKAMVTHYV SKGWESRLEELICHMETATLDIDEVTLLCKQHGLYDALIYVWNQALRDYVTPMIDLVT LLIPITQDNNPDSGTLMNDEIFGVNALKMFPYLSYTLTGRVYPTGEIMDDQTAAQAKA EIYWFLFSGKIVEWPKGSNKVFRTMPIQSEEPSFPYLRMILKFDAPSFLSALNEAFED SFLNESQEKHLNGGTGKDLPEEQIFGLTVDRQYIISILLEIMNPDDFASEDTIYLDMF IARNLPKFPQYLILPGSILNKVVTGLCNYPGADLADDAQLSAEYLLSIHHPPDMEPLM PLFKKAGFFRILKRIYRNDKQYGKLLETYFEDPEDQEEVFDCIGECLRAQIGVTKRQI QEVHQVIKQHSRDLVELSADKAAQTLGTYAPELHQHVLTSLSESTELQYEYLKTLLEP EDEAPFDVNGTIDQDFIEQYVQLMCQYNPIHVSDYVSLVQATNLRLDRLLPTMEETGV IDAAVILMAREGQVSDAMDRLIKHLNTLESALQGLLAGSNGHGEEANLQEAAEDLLLG LQKYTHVGIWLCQGQMKTSKKLTSLQRRQSSPAINDLNAEEKLWLSLIDATVQITRRL TSIVASPLQKNKIAAAHDFDAEKLVALLRSLVQHTFTALLTATSAQSVSPTSSSRLLS TSGGSLTFLRILKAFLTQAAATSPNLADLRAVLSSIFSAYAYEESILRLSNRLLEQQL FVNVQSAVQLRQRGWRPKGSTCEGCGRRIWGPGVQGNVFEAWEEKQAIEERKREKKKA AKAAIGAPEERGDKGKAPTPITTPREYFEFFGPRDPLKDAADRVADDELGGSSNEPVG GEGRSREQALGPLVVLACRHIYHQSCLDLLQSQKVDKHRTVDDYGREIKEYRCPIDG PFICI_13256 MLFLGLLVVLLWLPATVLAAFGYTDDGSNYVIDSGAALVIKVSK TNGDITSMKYNGVEYNGYDGKNTEVESGLGTSTVTIQQFSSPAYIIKVTVVYGTLKHY LFVRYGNNNVYIFTNKADSSVTVSRYIARFPAGTWDAAHSTLDADYYDSSTFIEASDI TEDSSGYTESKHYTGNTYGRVIDYDYVGYTTGSVACWMVRSNHEKASGGPFFRSLLRR GSPTGSDIYEIYHYNMGHTDVERFGLQGPSVLAFTDGGAPNNGLFARKADWSWFDTLG IDGWVPSSGRGYASGVGLSNMKSGYTYVVGLSNSAAQYWGTAASSGGAWSIYGVLPGT YTLTVYKSELEVYTGSVTITAGAGTAVHTITCDDPTDDTAIWRIGDWDGTPKGFLNFV DTPMKPTYMHPSDVRLSAWDPSNYIIGTSTASIFPGYIWVDINNNHIVYFKLTSDQLA SAHTIRIGITEAYINGRPMISVNSWTSSVTAATNQASTRSLTVGTYRGNNVMLTWEVP ASAWIQSTSEWQVLTITVISGSTGSGYLSAGISVDAVDMI PFICI_13257 MMSFSVRALAVLASQAMLARADTTTTISSSTNWGTWEGWGTSLA WWAGAFGTRDDLANIFFTTNSVTYNGASVPGLGLNIVRYNAGACSSNSIDGESMVVSS NMIPSRQMDGYWTDWDSTDPSTSSWDWSVDANQRTMMQKAASRGADVFELFSNSPMWW MCINHNPAGADNGANDNLQSWNYDQHAIYLANVAQYAAKNWGITFDSVDPFNEPSASW WSSTGTQEGCHFSISTQASVLGYLRTELNSRGLSSTIISASDENSYDVAVSTWNSLVS AGASGNVGRINVHGYQQASGRRDTLYSLAQSAGKKLWNSEYGESDATGSSLASNLILD FRWLHPTAWVYWQMIDGGGWGLIDGDNDAATLGAVSQKYYVLAQFTRHIRAGMRILDG GSDNTVAAYDATNKKLIIVAVNWGSAQYLNFELSSFSTPGTSGALVKRWSTMIGSSGD KYVAASDTYMNGTKFWSYFATNQIQTFEVSNVVL PFICI_13258 MAMLALEKELEKLTKTARLSNALADVDKIIDMLTTAREEVESAN DPHATSITLTKLQNPIQKGLEAVNEDIRSVYKGQSKFAKALDRAFTGEKVIKGGLPED HDPMAEHPTLINRAIAMHLLREGQFGAASTFIKEAQPQQPDTSISRLQSQLSPSAVEF PESPRSATPTDTHVADVDDELSTLQSEALQSSFADMYSILSALKQHNLIPAIEWARSN ALELEARGSNLEFELCKLQFIWLFKGPSVNGLPDTEQNGRQGALQYARENFGRFQYRH LREIQRLSCAMVYASNLPSSPYRSIFDITIAFDEVAASFTREFCSLLGLSAESPLYVA ATAGAIALPQLMKFLNAVKGKGTEWTTSQEMAFETPLPKSMIYHSIFVCPVSKEQSTV DNPPVLIECGHVLAKESLQKLSKGSRYKCPYCPQEGMVKNVKEIIL PFICI_13259 MSFRLPLPTSDGRVHREEETTLLRRASHRLSSNRSAQVDGSTTP VPERPVEPTEATVTTTPSPPPNRRHVVFADPVAYRYLEEDPCVSVVERKGILSGYELY LVEQWACSRQSPTLVIATYTGDQRHSIVVGVLSVPEDEAAWSPRLKVYFEAIQQYHAR PKETALGWLMVTNLSSFPSALTVISVPEGDIKKYRQSFIVNENLKRLGCSGRSGMTLS EPTPAAKAKFHQLYKTNEKIPFSEAVVELVKLCQVALFIFGKLEQEYIDGLLCDVTET AVADWWTEFGADHYNMEPSDGILGPSTVAALLGLLMGSRNRLSYYGAPIGKDVFDIDS TKRGIGYFQKSQKLERTRRLDRQTLYKLRQVTAKAAAGEGWGVQKAVKSTVTEIGGKR GEIVLGMVGGRDKGGIGDIETLDLDRFISLAQGERAKWLWLGKPRRTVIDHNEKSTPD MSNILFGKEEQQGQSKRTQSMPLDEELDFQRRDDPSGVYSSPAPDSATSIAVENPSEK DAHKRGVFKSVAGKFGDARSGFGRFKDAVYSGGGLRGHAARPSRDESAEAGYTAATPS VHTGSSVGLAATPTPGGINRAFTWKNKPEEYRDVFKDVTSAPSVQDDISATPISSSRP VTQPVEDDSPPSDDSRRLSHIPESRHEVKFDTAIKDPAVTAREAQGPLATTKRQFNQP GTQVYRRHSIEGMRPEEKRLNENRWPRRLSFGDAEEAVLRWTELTIVDDGAEISARTV LGKQYQLAEVVQSLYMNIQQVKQTIEPWVDSKIASIEAIEAYYTKQQDDLQNLYYQLS ESCRYTKQDSDDLLAHERDQITAAIKDFEVLVARLDYEIEALVSRVDDVEDGVAQFEA QVQGVEKKAEELKAQLETESWLHWFVRTMTGIGTGPNITRAGERR PFICI_13260 MSAPSRAAARRAVASHAFAGRNLLRPGVGLSSTPFARHAGNVNN SGSTTVAFFIPGRYVSTEHNTTGHQNGPPPGFDINKAKQPLSKDTNKTDSKTAAESTS KADHTDDALLAQRPANEPSAEAKTLTELAAKKEAEAQKVEEKQGKKMTLGQKIKHEIQ HYWDGTKLLATEVKISTRLALKMAAGYELTRRENRQLQRTVQDLGRLVPFSVFIIVPF AEALLPIALRLFPNLLPSTYEGQQAKDKKAATLRATRKEVSKFLRGTLMETGLPLTPA TTQKEEFAIFFRKLRATGEKPTTEDVIKVCRIFRDDLTLDNLSRPQLVSMCRYMNLNT FGTDMMLRYQIRHRMRQIKRDDKAISYEGVDSLNVGELQVACGSRGIKTHGVSPAKLR EELQSWLDLRLKHGVPSTLLVLSNAYMYGQKTEEGSFHSQIEALTGVLSSIPEELYHE IELEVHNAEGAATNKQRLEVLKEQQELIEEENKQDEENQSTGMATPRDVEDIDEKEER RMAAEQAGVDKSQVNEALTAEADGNEVAQKVAKEEPKKVES PFICI_13261 MHLSHHHQPPPQPFPAPHHGGPAAFGAPPSPPRYSPPHHEHSHH HHHHHHHCCCGPHLPPPPSSRARALSATASPSPNVLLIDKIPDLNAGNMPPAPPLSPA FAGHHPVSSPRRSPSPPGIYQGYHPSSLSPRIGGPHHHHHHDHRNGPPLSPRRRPRAV SNLPPPPPYKEDCHLIDRIPPMGAPGNNGPPPAWGLRGEPGVHGHHHGGWR PFICI_13262 MSSDNSDQAMDVDSSHERALQADTTQSNDTDSGTKSNAPSGPID SKDLVANQTLDDFEICPCGCRERSSDIMNKFFNVDPQVRLSDTDQNLMEKLRRILQTT DHNVRKREAIETLQARFLLCVGHLCPPKAQWGLRINFSSGVWNHSEKMELLHQIKDLA FDIKQDLLKLNKEYQPLHLPVIFIDQDHPRWYHGTDFNVKKYGVATDDEELAGRFDVN RELKTYLSKDLEDEVAIEFTPLE PFICI_13263 MSERPESVAFLQVTRDSRKFEEACPCKCYTPAVLGVTLYFANPE VNAVPRDKLLIPGVTSIIQATIHDIRNRSKLDQHHLQMTYESGSFGGESPNGCGVVFV LEDGHANMTDEELLAEVKEVNGRVRAELGGLNKSHQPDSMGWMIKSKIPGTEEMRTRA VFREAVSPEARFTVFKELQSVLGSELFIPIEIKHVPGPN PFICI_13264 MTSLATTLGLRAASAGAFVPNHAAGYLAFNFAWSYLALASRHLK QLWGIDHQSAPRDDIAKYGEAAVRSGKITQSQLNMLKRNEAAYANSVENYTLLVGAIG LATAAGVAPEAINRAGLVYSIARVAYGFWYVLVENDTLALVRALFWYIGNGSCLYLLW KAGELLTKAA PFICI_13265 MASKIVKTLAVMAAAKTVEAHTWVEMIRRISSSGAFVGETGYAM GHMNRTDTGFADTAVQVKFLDVTSNPAVCGDIGKAGYTNAAYPQLSASPGEYVAMQYA ENGHVSFPDLTPRGYLGGNVMIYATTEDVTDVGINDALYSWNAEGTGGNGKGKLIASH FFDDGQCFENPNSSPIRDERAAKTNVSSLLCQSVAQLPEDLETDGTVNLLWIWDWGQN PNIAGENTTEIYTSCMTVNLTASDTDAATSVKAFSWSKNVDISDAAIPGQISTLIEVE QRGTGSASPAAVTDVPVATGGASGNATITATATTTASGSKSTHKSTKTRSRSGITTVT VTADAETVTHYQTITVEAGAGNSEATTTRQSSAAATTSASGLKTSVRSSSSSSSQAGG IDISIAPFLKARSTGQARRLQ PFICI_13266 MQLPLRGHPPPRCSPEGDYSYDHTHHEVLQNTRRPLAESTGNAQ AHKTESLSLCQTSHLSLSPILHPMPTPPILPTQSIASTYGTSFRTNRTLQRNVSLRES PMAHSSQGRNPIYNRKFFADYRAKVEQKSAEKEEPKWPMCLEDSFLDGETPDPVASVQ FQPTTYQATALLIIPFMGRKKVSSKGKLYGRNMLISEYIWIRYWLMFPPPEGTELPTG KMREESPWKDIFRTRKQGSSHIQVLKGYFKTHVCFHFFFPCQQDDKRKKSRHYAKEEE VESFKNNTVLNALAEGRLPDERPNYGYFAQLMAGDSETFVMPKACWIYVSSSEVTLSP DCKEAYARDGTVLRADSRAPDGQHLENGGAYPHLLLNGNKETRAALRSGRDEEAPKYI LHEYTKSLTQVESSSIKDISREWKHDFPEFYDNLMAAMEDTHPSHERTSRCVVGPCDT FHFEVVLGLHSTSRFPSGTHLEGSVQLQICRPELANHRWRSVTSVSKPEELEHDANEA PFWNCQNACELVGRQKDVIEVPFPATSWASTFIMLSKYVTAERERAERDRKERRSKVK TEEQGGDNVEEKQSGGGKKASTKMPTPMELLEQVAMYQEIWSAPDDGSEKPAWTRRAI ILWTFAKETRTVNSKGKNTGQPAGTNWRFLTKVDPLSPYHRQRTLVSGPPLTVSRDAV MSPNPAYANHYATATMHENFNTAAYHDSEPSMTAATHGSGNDIHFPGHSLHSYPSSLG LLDSFSCSSGGGLATPPPSTSLSSSGYAHSFDGATIASTDSLHHHVSFLSDGSGTTAD SQSTLVGGSGTNEHHDAAFLTNLGVAGAYDEDPSLIQPWTSTSTGGLEALEQWATTYV DGHHHHHQPAMAWTGHATASTAGGMEVTNNVASNDATPWGDGKDTLWGSNHTASETGT AAATDPVLMAPPNQQHHDDVWATASSHDWLQSIAATADATRHHHHHADDENSAWDHHT QEILGLSPSIAAAVSSELQTTALYSSSSAAAPPPTSHGIHQVSPEPGLLHHHAHLGSN NGSGTGSSSRKRARAEEDDDEGDGNNEGYPCSSIRKLAHDGDDDDVATDGGLRVPAAN MLEDDEHASLFEL PFICI_13267 MAATSEESSRIFVRNLPPTITQADFEAHLRKHFSNGHITDIKLL PQRRIGYVGYKTPDEAKSAVKKFHRSFIRMSKVSVEIAKPIADPSLQRRKYTDHAASA LPGSGKPSQTERLPSDPNQKKRKRDEPEASDPKLQEYLDVMGATKNPSKKTREADAVD VDQPPVVVAEAESDDEYEEVPSRQAKPASQKSTQTAMPSEAGTLRNQNQVDTVSAANV SKPEYDGMDIDQPGADQVPAAAAVATATDDDDWLRSRTNRLLDLVNDDEDIPVAAPVP SASSKTPVVLSAPSNEDAAVQVVDSSIEEVVEEPASDNEKSGKKLSAVDTVHKTARIF VRNLPYSATEEELHEYFGKFGELEEIHVPAKSNTANNNQGYAFVTFSQSDDAVKAFQN ADGATFQGRMLHVIPGSAKRQQLDDFALSKLPLKAQAKLKKKAKAGQAFNWNSLYMAQ DAVLASTADRLGVSKSELLDPTNADAAVKQALAETSTIQDTKAYFLSNGVDLDSFKSG QRSDTVILVKNFPYGTTIEELRNEFQEFGQVLKVLMPPTGTIAIVLFAHAAEAKTAFS KLAYRRFKNSILFLEKGPKDLFVGEVKPTPAGAELIGKEKISASDLLEGETTDEPAES SSIFVKNLSFNTTTAQLHDAFKHLDGYRSSAVKTKSDPKKPGQVLSMGFGFVSFSSKA SAEAAAKSMDGQVLQGHKLVVRTSHRGHDAAEQRRKEDAAKKAAGKSTKIILKNLPFE ASKKDIRSLMGAYGQLRTVRLPKNAQNRSKGYAFCEFTTSREADNAMAALKDTHLLGR KLVLEFAEAEDIDPEEVIARMAKKTGRQNAKVTLHQLIGGDRKKITIGAEEEGEGDF PFICI_13268 MADDNKSPPPTAREKLDAQIKSADMTDDMQQEAIEVAQEAMGKF SIEKDIAQHIKRTFDDRKGPTWHCIVGRNFGSYVTHETKHFIYFYLGHCAILLFKTQ PFICI_13269 MSPMTIPDGGLHLESSESSDSLPMQAFGVTLNDSLIEDLINCVQ KGTQIELFLGSNPTLQYGEYEEPLKPTAESLDCDLYLTNLNESKTTAHRFPLPTMSIL KRPAPGSLKPHIRVEKVPVTKGGKGSKSKALQGKSAMSMALSSSTTRSLPTSPALTGV GSPMHNPAFAASQQQLEKNKEQRSVVVHELAVGDQAYDYLKEKWTGAEADLKSTLGKV ADKIGEKYSMKKIYWKELDVWNYKYEPSENRQKAIENAKKQYDKQRLETTHEAWERLL EEKDRGKGIILSKLQAQIAAKGNMTPAVKQSKAEESSSKNSSDEGAKSKAGGEAMARS NSQPVGSKSKKVSERESLTKSLLSNKPTVKKPATTTKKAAPTSRASKGAEKGGKKFLS EEFVQDSSSEDEAPLATTTATSKPKPMEKPVERKPERAVEKPKEKPQPPPVKSKERSP PPVTKVKERSPAPVPKPKPAKSVIRAPRPSITTSKASTASSTSKRPRDEDDSSSSSGA PLMKRMKPKEAPAKPLSNTTLKHRPSDASQNSRATPVNASYKSKNTSPVKSSPLASSP PTNASDIDENSQSSQSQHHDRDRHQVSAPAARVNGRSTTNGNTPNGVSVAKKRPAESQ PVSSLPAPATKKRVTKDVLDKAHKFDKFYEKYKLLHDQLTRLANPPKDQVNDLLDMRD RLVILKAEIRREVDPAA PFICI_13270 MYPHRRIGTEIRHSSHSGMSYYVHPMALDVPSKIRHASDQSHDR NVTTVHNPIQIPPAVSPPTTSVKPMTMDRGAEERLAQAAKQLHEQAKESMGIYQSFFD KYNQDISSVRDYVDTVTRRRIWQSKIAQNTQYSQEQEGEDQQLVYQVSKLQVCFDHFS DAAGYVTLQDEPSDANAYNSRYFLLDKIAHAYNRVKELARKTMMNEGACPDLINELQE LHDLVDPEKPTARVIYRFDKRGIMPISADTVTMHIDGAEAGNYEGGQAEAAVHWG PFICI_13271 MVQQSGGHVSALDFPQVWQKPCFEELMACLKSLHIEPPVWGPQA STRVILENQENNARFRKEVAGYLSSIIKSDLRWLGDSDDEREAIWDEASRRLSERCGR AGMGEITRRWPFTSGDSATYPDFELIIREPSITGDCLGFKTWGSSYFLAGLLDQIAAR SLSHLLPAEAEGTSSSSFPDVLELGSGTGLCGMAAAAIWKTRVTLSDLPEIVPNLSHN IETNRQMIESLGGTVEAGALTWGGEPEDDDELFSNRNQFKILLAADPIYDDDHPALLA SAVRDHLSRDANARVVAMVPLRDNITRRLLQDFKDKLAKDDDWPLTCLEEHSLMGQDD WGDDDDEKTHIECWWGVFGSSAAR PFICI_13272 MSSLAKPSGAATTPLRSLPQMSSMRREFVLSPITALAFYTSTTD GRLYLLAGEDNHVKVYDVETSEFRGDVGLFAAQSVHGIAVSKAGGGSGDEKKQQRILV WGGFSVAILPIDLVEAVISDQAGQELTRPVVVVEEASDWVFEGIISPYAPDELALLTA HNDLIKARYDEDSGRIVFLETVSPSRPILYSGNLSWEEPDCILVAAGTVFGEIVVWKY STISSKSQESPCEILFIFSGHEGSIFGVHVSPIIHLETGESLRLLASCSDDRTIRIWD ITDPQDLSTGTREEYQRKILAVRQTGFGDSIEESSTDESAARCLAVAMGHISRIWQVE FPLRQPRLSSSSIDLWSFGEDATAQKWSLSLGTSHPSTNGGDKAQVPDLPTRTRGQLK NEAIYANHNGKNIWSHAIRTDDSGQLLVVTGASDGKLSVIGSCHRVTEGKTLEEMPAM PEVVELDEESTVPQEEATATPTENSISPMHPDSYPSGEDASKNPTPKTSKPTKKKKKV KKPTKDFFNRYALLSDNTILTSTKNGRFFIANATKNSSWEQLYLPDHLRDASSTYAVI KGSFDASTAYVGTAEGNVFVYRSGGSSKLEHVASVHGKVSDIFSLTSSPNVSPSVQVR EENATQGKPVEHHRSKVLVTVLGSDSASLLGFDETSESESPKQVHIPVDRRFIVTSAA THKNLVVLGSRTGYISVLESADDKVYEVKASVEPKTNDCVTSITFLPPKANEGSVNFL TTSRDGKFRIYTLYKNQESWHVTLIHETSPPFGPIIEGASLAPSDDEGGLDLILYGFR STKFIVWNETQRREIASIECGGGHRTFVYDAVQSALGDFRLVWTQASQLCFFSQSHAS HRALKSGGHGREIKAVAASGDLVATAAEDTTIRIWSYANQGQAQNAETSMRCLAVLEN HTAGIQSMKWHKQSHLFSSGGNKEFFVWRLSQLSSAYRGLAVKCEAVYPDRSDDIDLR IMNFDVSCVDDTTTSLHGPSFLITMALSNSTLLTYAYSETAGFQLVAKGSYTGACMTQ VQHLHVDDAAIQVLTTATDGYVAVWEAAAPLVDASSSSHDVVAEFRTSLVTRLHQSTM KSLDIKTFPSGADDDGGTSYLVATGGDDNGIGAMHLYRNGASGRFAVRSKSMVRSAHA AAVTGIGFLSLGAEGTVAVLASCSNDQRVKRWEIADALGERPRFRLLDNRYSAIADAG DLEVLDEAESFVVGGVGLEIWAT PFICI_13273 MTRGTSLALWTTICLLLLLPSWIVAVRAMRPDRVAQLRQETVDM FYHGFDNYMSIAFPEDELRPVSCTPLTRDRLNPRHIELNDVLGNYSLTLIDSLSTLAI LASAPPDDKQTGPKALDDFQKGVAALVEQYGDGRPGPSGQGVRERGFNVDSKVQVFET VIRGVGGLLSAHLFAVGDLPISGYNPGRTNDDVEHHPIVWPNGFKYDGQLLRLAFDLA QRLLPAFYTQTGMPYPRVNLRHGIPFYVNSPLHENISLDPDGTQEPPEITETCTAGAG SLVLEFTVLSRLTGDPRFEQAAKRAFWSVWSRRSDIGLLGAGVDAEGGHWIGGFSGIG AGIDSFFEYALKTHILLSGHDLPNRTMPTHIPFGSSLDPNSIYTPLSDEDNSPDAFLG AWHHAHAAIKRHLYSEVHHPHYINVHVSTGSPQAYWIDSLGAYYSGLLAIAGELDEAI ETHLLYTALWTRYSALPERWSVRDGNVEGGLGWWPGRPEFIESTYHIYRATKDPWYLY AGEMVLRDIIRRCWTKCGWSGLQDVRTGEKSDRMESFFLGETAKYLYLLFDPDHPLNK LDAPYVFTTEGHPLIIPRESGQYRRRQSSPAESGVQSYVASDFTLSCPVPPSLPPLTG SATAARSDLYHASSLIRLHHVPNIHGISSSKPQFGSDDSTSTEAPKPRSNHTHFPWTL PEAMLPSDGICAAIRQQHTSTIEFPSNTQQVVGSAFNLMFGGHNLVRTGEGIAINSLN GLKLTMVQEEPPDASHRIWRVQSIGNLPLGRDEKVLISRDVLTGMSDPLFHRIRDAVV VDLLLQTQVLPEKNDTEMNDLQDMAHPQTSQSSVDVKSVGAPNIFSSFLSQITSVLRD PVATYGPIPAPKPEYRYAELPAITPVGLGAAPLPDVAEAPNPLLGIQIDSLSWSKIYF AGQACQGKLPDKAAKSHHVIVMRRGGCSFSEKLANIPSFAPNRNALQLVVVVSDDEEG NDDGEYDVWSTNFIRPLLDETQRTPSGIARHHPIAMVMVGGGEHTYEALSNVKSIGLR RRYHVESQGLEVGNLIVL PFICI_13274 MGDLSYEQQSSGHHNQDHIASEGHLPLRAYSAATTTVGACSTPT TTITYSSIAPFNYNAPYDSSLPTPVSVAGSPSLSDSASVKMVHSFSNPGSTSQQPTPP GTSRSSTADWYSNAQNHTMIRSTQPPSPLTHHAPDILGLLDDTHSPPQQQVIPVTSVE NYYGHYGVSGPDPQDDLSPPMASATLFSSSPHINPSALLKGYQMGPSSHLHMAPAPPQ LPLMNNMHGQGSLGTHIPHIDNLHNHESYGLGHAPIVLGMEPSYKRAARRGGIRRSQP PRKRQRGSRAARQSPYHGIGAHDDQVPSRAGSKSPVRRIKEEREPRQVLQLRHDKDRP EDHFLFSLREELINEKGKGMWDKIAERYHERYDKKERAALQMQLSRAVLKLAIWPEDE DRALTEAIEEYDKRRYIDILKIMKEKKGCRVWDWKPEHIAKRLSELGEEEYDPDLKPK KIRRRRKEIQREKGQPNLWAEPSPPSAVYDERGLSLQTRNNLSSEEEERLLEAFFVPE EESPANHPDAMDLSGSFPHSRRTSAQQDHQSERVAKQACDQMIAQHSETTPYYDSSSR GQQSYPHLS PFICI_13275 MDAHSLIGAASASLSPTSPVSARHGPAMKRKRSIGALDSSPGSE NPDNEDQSLLEREKKRQPGVKRACNECRQQKLRCDVVQEPFASCSRCNRLKLECKIES NFKRIGKRSKHAEMEKEIDRLRRNIAQARVQGYTMDDEDDFQHSQLQSPIANSAYTHT RNPSLMGSDEAVNSLLTLKRGGSYSVATHYAYELETVRLTEGDVNSLFKEFFTHYHRF LPFLNPDQPPDLYFQQHALLFWTIIAIASRRYRAKPILAELASGPFHRLLWGTVGDVP NSYFVVKALCLICTWPLPTSTTTADPTHILCGVMMKAATGIGLHRPSHTQDFSRVSVE LNKDQLHDRVTTWAVCNIVAQHVGTGYGQPASSLYDWTLAIRPGEEGPFTLSTELEAR LRIERFCDKVSKEMYSNASDPRGVAGDEHRAMLMRVYRRDYQELQASVMSQHLSSVVE AHLKAAAVHLRLAGFFDSSKTPGYMDDLMGLWRAITSFLDLLFLDSNIDILLYATNYM QQMLVAAGFALLKLMRSFFAKTTSDFERGRTLFHNTIQAIRRTSIQNNDLQWRLAELM VQMWNGARIDQTKTSYHETDPLEIDDSLQLKVRCRHSMSLVFDSIWRWREDYQAQGRG SLDSLKQPTNPDSANESSASSTHLDSTLMAPTNNLPNLMAANGALTPNATSGMNAGPS SMIAGINYGNDPNYDFFDPQHWMLDGLLDFNYSYAPPLEGI PFICI_13276 MSTPKKNSICITMLNVKTDSRALEQVGADLQYEDSDAFESFFTE EVNNSQGPILLGIEGWQFWTDGMLRKVLPNLPPPTYHQMGSQKSAATSLPTMDLGGSE TSFVVNFIDMVELKQLSQTRRKVMCLDDSRAAKPVRVFYWACEAGGINSHPDRPIIVV CVETRDPGLGLEHRLEKELENHLLFNQRRWDRLEQSLHWIAVDLFFIYNRWDEVLGAI TDTVANTDILVYLRSLPTMILARSIHRNIGTLIELSEYIYLHEAVSQQIKDAVGRSAN QKAKEQEGNQAPRHLEKRLGEIELHLQHVKSTTEIMQKQLENLLALAFNTETIEQGRT VARLTGLAFVFVPLSFVAGLFGMTTNNWKIIWYTPAAVASLIITVLAAFFSHQAIDLW DRYHHEANHSKLSRLLRANTLDFDKEAARSSVKQSTREAMIRSVKQKLSRKSNVLLQR PEAHPPRKDQQVIEGFAEPPHFLGSHTQKPDLPSPKIANDRESGQQSATKPPNGSRRD VGELTNDLELFSVQPQREVPFEIMSYGPDSNVTFHMRRTAEIQSTIDRKLEIVQGFNH SKSNRNRETFMTRINYGMRLSDQHQAAKPSQV PFICI_13277 MGNVGRFFCVALPFILTTASIICMLIVGLTGVTGNSSLYIFRAN VTDLSISSSLVTSLLSRDLHELQARQGDSSSSTSSSWHDASSLGSSTASSVSSSASAA AASAISSALGGSSTSSNITATDLGLDDLYDVNLWGYCSTDSDGNRDCTKAKFNWAEST FNDSYLSTSGYNVTLPDEISDALTAFKTVTKWTEVVYIITMVALGLELVLGFFTYCSR AVSCVTYLVSGIATTAVCATAGMVTALAVIVVGAIEGTAKAYGVTGTINKNFLAAAWL GAAFAIAASLFWLFSACCCKRDPKQRRSKQGDEKPFLSTGAYAPIHDHQNNNHSYNQQ AWAPRGNNARSDLAYEPYSHSHSNHV PFICI_13278 MGNQSSKEGGSSSRSGAGPGGDRIESLQSYPSFGRSDTKESSRS FKALRSKIPGTGKTDSPRNSTILSNGDEKSDVASVKSGRSGRSRGSVISASAPQSPQS PDEARSPFDEDQPPPSPVQSASIRAGHGDITAAQASGEVDHVSDQPPSGSTPSNPDVA VQPGASILVRKDSTINPILQDATNGSAKDEPTAGIAMNDIKDIDLDDYIKRLLDAAYA GKVTKSVCLKNAEIVAICHRAREVFLSQPALLELDAPVKIVGDVHGQYTDLIRMFEMC GFPPSSNFLFLGDYVDRGKQSLETILLLLCYKLKYPENFFLLRGNHECANVTRVYGFY DECKRRCNVKIWKTFIDCFNTLPIAAIVAGKIFCVHGGLSPALSHMDDIRNIARPTDV PDYGLLNDLLWSDPADMEQDWEANERGVSYCFGKKVITDFLATHDFDLVCRAHMVVED GYEFFTDRVLVTVFSAPNYCGEFDNWGAVMSVSSELLCSFELLKPLDSSALKSHIKKG RNKRNTMLNSPPASVQPQSV PFICI_13279 MVQDETRHPEITHRPGLAHGGIVPTVEGRDMLETNEHLITWQDE ATDPGNPRNWPLARRAKALTAMSLFVFISVFSTSMIAPNLPAMAADLGIEQQQPARRQ MVLSIFLLGFAFGPLIASPLSETYGRVRVVRSWNLLYIVFNTACGASRTAATITVLRF LSGLFASATLGIGGGTLGDMFRPAERGKGVAIYSWCSVLGPLFGVVAGGFIAKYLTWH WTFYLSSILSVVVQGLGCIFLEETYAPLLLRRRKWARIRETGDTRYHTDFDHLDHVGT RILHQNLIRPFKLLTTQPIIQALALYNAYLYGNIYIFYADFVSLWTDRYHESVQIAGL NYVSIAISGTIATLVYTISLDRIYRHLSTKHDGNGKPEFRIPIMAPGTVLLGLGLFWY GWSAEHTLRWIMPNLGCALFVAGAMVCTSSVNAYVVDTYGQFSASAIAAISILRCFAG FSFPLFAPQL PFICI_13280 MTAKELIKLGPEKDAWLYDRSSKTYDLTRGASSANGSCYTVATS RGPAATSIRIDPARTALVIIDMQNYFLDAKLRNHETGLAAVPATLNVISKCRKLGIQV IWLNWGLTEQDLASMPASISRSFARTLIDPDHRPRRLGLGADLGSGLGRTLVAGEWNS ALYPPLAAAVNSLDKHLSKNRMSGLWNQQTPLWRYLAQSSHKTLLFAGVNTDQCVLGT LTDAYSAGWDCIMIEDCCATTTEGAHDVCIHNATNCYGFVVNSEGFTSGTLA PFICI_13281 MTLNKRLDDFPFHAATVDIVTTTPPHIASSNPRYTSGYSYHLSP NVTADSLPTTIPDPPSQSTHDRPFFNVAALRSEAAFEEWPAEVGRYGLSAASSNITTA TAFQTRQHFYADQSSQRLSAGPGSSSPPVPPLQRNNTRTHGKRVLSEVAPIHDRSARP PAKKPRTGLPPPSKIDLSEFKTPPLPQKPAVTSPLFFSHKARPPLVRLPRFSSSEAAG TMLRSVKDPSAADVTTLKLARGTVSSASPARSSSTPGSGSWTSLEASGFTRSPDLLGG PPGLQFLQGIGILELLERDDRPTFVIDGTNVANSNPGPFVILYANPALQASGCMRHVL FSELQDEDAKLDFAAFKAWVKSPGDPQGGLDLFLPSFHYAGSDWTCSVIRNRFRFVSA NRSAVSIARSSPEPQLERETSVDSRVRVQTPSAQPRTPSDILIPDAPDYFGSATAATA DTSGATLANDAVDRLFLGRSSDFLPEHNSIPSEQASFDWTRIPLTPDLPEHIQFARSR DWASTPLGPIEHWSADLRAMANMVMGSPHPAAMYWGPEHIAIYNEAYVPLAGQKHPSL MGQSYKIAWKEIWPEIEPIFESATQSGQATMKNDHRLIVNRHGFDEETFFSWSIVPLV GENGSVVGLYNPAFENTRRKVAERRMLTLRDVGEKTATAKDVTSFWSQVQKGLEFNED DIPFALVYSVSEDSESDMSSMHSGSLSNPPQLVLEASLGIPEGHARLVTPLDLRTSTD GFAPYMRDSMAKAGVPIVLSKEDGTLEGDLMDGFTFRGAVTFCRSIVIFPIHPTTGGE SVVGFLVMGLNPRRPFDDDYQLFIHLLSRQLATSMASVVLFEEEIKRGQRAARLAALD RQELTQQLHLRTQEVEESELKFTRMAEFAPVAMFIADRDGLITYCNDMWYAIAQRPRD DYFIDSWMDEVNDEDRPALEEAWQQLTKQKEAITVEFRFKQAREESGNVIDNWVLMSA YPEKFPDGSVKEIFGCMTNISQQKLAEQIQDQRRQEAVELKRQQENFIDITSHEMRNP LSAILQCADEISALLGTYRSKTEFNREADNLKAALEACLEAANTITLCASHQKRIVDD VLTLSKLDSQLLLVTPVDVQPVAVVQRVLKMFEGELNANGIEMKFQVKNRFIDMGVDW VKLDPSRLLQVLINLMTNAIKFTNSRAERKIIVTLDASKHIVERTDSHISFFPSDRPE TGEITDKPDWGLGEKLNLHFSVQDTGRGLDEHEKTLLFQRFSQASPRTHVQYGGSGLG LFISRILTELQGGQIGVDSQKGVGSTFSFYVQSRRAKGHKDETVSVAPPLTRAPGSST TIESRQPQPLPGVASTKVSAIPKAPTSPGKRVFDFLIVEDNLVNQKVLQRQLKNSGNN TQVANHGGEAIELLKKSRYWRGQEQTGSEISIILMDLEMPVMDGMTCTRKIRELEQQG AIVSHIPIIAVTAYARPEQIEDAKAAGVDDVISKPFRIPDLIPRVQELVDKTLASANR MITT PFICI_13282 MGYRILHREWRSLKALWWMMIPELACTVALLVLFGLAQPDLFRT QLWQAGNDLGFNSSPNIILYAYANHRALPTIPFVWSLTLTNFNVAISVLSLFMLIAKM IAIIMHVFYPVVALLINVALTALYTTSVYGQMGPDYADSNHPSAIAWYIAKPCTVAAN QSVQKSCRTAKGTYAATVIFLAVYLVNLGLTIWTMIPNEADKRGKDVDSDEESNSPSS LRRNNDKWEMHGIPQTPSAAVPYTPRTMAFNTLDRKLPLRSQQYA PFICI_13283 MLVITVFLACAGIALADVRSLSLNIKAEDITTGTPLDGFVSYSI EFSSFPDFAGSSAAPNEFSNTLINNIGYYQGSNPYIRVGGNTQDFAIYDENETLPLRG IYNTTRSADYPTTITIGPSFFDAYNAWPKVKLSHGFNLGGNNDSRVHATLNQTVPLAC KALSNGNFYRFEYGNEPDLFVGIPTAQVRPASYNESDYVSEWLQGTRIINELVEQNCP DLLDNDTYGFLAPSFARTDNHLKAPLAWSDGLDADADITYFSSHNYISGANSLGVTLQ GTLMNHTRTKQSVDAHVAEYNAINPGSNVPHIFGETNSLYQQGRPGLSNTFGAALWAT DFLLYSASVNIQRVHLHMGTNYRYQAWQPLTTNITSIGTKAPYYGNVAAAAFLGNLNV ATVQIAELETGDAGDDAEAAYLAYVDGVLRRVMFINLREYNYTLNGTGDLASPNPEPR TVRTYSLSVGNLTAGAGVQRLSANGSDAITGVTFDGWSYNYELERGAPVRLANVTVGE RLNVTEDGVLSVGVADSEAVIVTLDS PFICI_13284 MAPVPKLKDPSLLKTDVGYVNGEWVKAASGKTFEVHDPSTGKLV GTTPEFDGKDTEIAIAAAAEAFKTFRKTTGRERSKMLRKWYDLMVENSDDLATLITWE NGKPFADAKGEVTYAANFFEWFSEEAPRVYGDTIPSSVAGNRVVTIKEPVGVCGLITP WNFPAAMITRKIGPALAAGCTVVCKSPGETPFTALAIAELAHRAGIPKGVVNIISALD KTIEVGEVLTTHPTIQKVSFTGSTGVGKILMKQASSTLKILSMELGGNAPFIVFDDAD VEAAVAGAIASKFRSSGQTCVCANRIYVQKGVYEEFAQKFAEKVKGFKIGNGFDEGIT HGPLIHGRAVSKVESHIKDATSKGAQVIVGGQKLPDLGANFHELTVMTGMTKEMAIAS EETFGPVAGLFPFETEAEVVELANAAEVGLAGYFFSSNIERIGRVAEALEVGMVGVNT GLISDTASPFGGVKQSGFGREGSKYGIEEYQNIKTITYGGMGKPLQGSS PFICI_13285 MIRHSIHNGLGRGRPELSRLSEGISASLRMFSAAQARCAEDNDG ARSSSRQRSAAAGSELLSMNDKRNNTPTTPKTPAPRSSPKIISVGSAGGARTTGFTGF KKVDAKDLSKPLPGAAAPGGPGGIIRGGFRGRGGGAFPSRGGGAFPSRGGGAFPSRGG GNFAARRGGAAGGGDGQFRGGGRGRGRGRRRGGPDDRPQRSNRPDAAAMQEENEFQRF KAFGTAEDPELIEYYEAVECGVERPYQPTGPKDLLASLAGYAPAIASSPSPLAKEATI ISQAVVLGGGRRYHPEAWPSPPDAWSQLKQGNGVFFPNERAKAWVNNFSKTKYQSVPK ETQTAVLDAAILGKYDGPKHAELKDTIGTVRSFVKRHGTWNAAAERSIEARIKSLLPG AAQSGPAKGGQAQGKGQAKRA PFICI_13286 MRGTTTATLWRQGRALCELRGITQNAVRPTSAALRAAASSSSQS FAVAAPLRPFHTTPCRLKKGGKGKHAEERQSGKGSKSKSNDGSSAAAPASTPSSSSGG SSQHPTPNPEEPLDFADVQSRLAKASEAPAEALKKLKSGGRFNPDLIGSLRVQPDKKE AATYPLRELAQVVPKGGRTISLLVHEEASIKPIMSAIQASRDFNQQPQRDPDNELELV LKMELESREDVARRAKAVCHEWREKVRQVRQKRDKVHAAWKKDGLLLPDAKRKADTEL DKVIKAKVAEIDAAEKEALKAAEGK PFICI_13287 MAVIDQHDNFSNVSWHSDRHDPADLTASSSSNPVFDDSEPVGAH HPEMEEDPERIDPGLSGDILNCTVTEPRKESDGTKDAFVSYLVTTNSTFPSFQKSHFT SRRRFTDFVFLYKSLSKDYPACAVPPLPDKQRMEYVRGDRFGPDFTHRRAFSLQRFLT RLGAHPILRRAPILHTFLESPDWNATMKSRSARGSVNVEPGSGGVFDNFADTFINAFT KVHKADKRFIEVRERADKLDDDLGHVEKVVARVVRREADLETDMRDLAEQFQKLITLE PGVESAVHAFAASVEDASTGLRRLKDHTDQDYLGSLRDMSAYSMALKNLLKAREQKQL DHEQLTEYLNKSTLERDGLASGYGSSGAGGFIRSKIEDVRGVDHEASRRERLRKLEIR IDELTTEAERAKKTSEMFDEEVVKEVVDFERIKRIEMKKQFGGLADSHVEFYGGLIEI WERYVKEMDGQLSPS PFICI_13288 MKLSALLALSGLAGIGSASTLQTRDFDSNDYYVLHLDRAVQPEN IASRLGLRHEGPLGNLADHHIFSTAKRDDDLVESAIKERRRKRSLVGDTDYLDLVKFS QKQSLHAPLQKRIPPPKPAGFYPVLPRQNADANAVIKQQSVMQQLGISDPIFHEQWHL FNTVQLGHDVNVTDVWLSGVTGKNATVAIVDDGLDMYSNDLKPNYYAQGSYDFNDQTE EPKPRLSDDKHGTRCAGEVSAAKNDVCGVGVAYDSKIAGIRILSKMISDADEAIAMNY DYQHNQIYSCSWGPPDDGRTMDAPGVLIKSAMLNGVQNGRGGLGSIFVFASGNGAASE DNCNFDGYTNSIYSITVGALDRKGAHPYYSEKCSAQLVVTYSSGGGDAIHTTDVGENA CYNGHGGTSAAAPLAAGIFALALEVRNDLSWRDMQYIAIESAVPIDLDTEEWQDTSMG KKFSHTFGYGKVDSWKLVEVAKTWQNVKPQAWFFSPWIHIKQEIPQGNTGLTSTFEVT ADMLKQANLENVEHVTVTMNVEHTNRGDLSVDLISPDGVTSHIATTRKFDSSKDGYDD WTFMSVAHWGEKGVGTWTIIIRDSKVDSHKGKFIDWHLKLWGQTIDASKAKLLPMPTE QDDDDHDKIVTTTIPPGTTTVPAGSDQTGTPVHPTDLPDDHPHRPQKPTGTDGQPIET GTTEEEAAETSAASSWVPSFLPTFGASPHTLAWIYGSLGLIGLFVCGLGAWWWIVRRR RASPRDSYEFELIDGDEGEGLTGAGEPKRKRGGELYDAFAGGSDDEDDDAAAVHGAVR RSIDSDASEEGNEKQSSRLLGR PFICI_13289 MSGPVSTPYWGHLPSQSRRSSGDHNSRAHDRNSSLDDPASTARS QLKLNRESVQTQATEDSTFSPLASPTVSDDGLTPRPPTLPYGQNRYPRDWADKSKRRS TRTNGDFSAESARATPPAAPDVPRAPPISYKDPRGNGSLSPYTFDASGKPGPPTSAHR SVALAKAVADMEAENSGSRRTPRTFEEDLEARRARRASADIGAEPSRVGSSRRTHNGD RPDRTASHRRRDSVDPDGSRQKWADDWSPLQRLELTLDSLTKEEKRARVEAAEKRARQ KAATAAAEAGVNSPTIESSERPSAQQQVHFRARRQSVGKGDYQRPGAADLRWEQPAVT AQRGAPTEQRVVDDHRRSVSLGQAQYAQVHAPKSRNVSAPGGAAPPQRNMTFRERAAK NDPTVVPHREDNDRGSPVESPITAAIPGPGITRSASNKLRKNPPGDPWYSKRMEAEER FPSVRNRNNEYEEPDAFDEVGISRSKTTGGTLKKTPQQPGAYTRPSVDVHDPDYEEYE DYEEPPSRARSFAAAIGLGRSLSMGAKRQPPPRPPKQEFPRENGNRTKAVQFPDQRPI PDDPDEEEYDEEESYHHHFREYFHRDKSHPGQTAYQPPKFLEEWKKATVGLLSDALLE THGQVPKTPENAPNDKDTAWWEGSGRRSGSMSSRPPKAEAFDGEYADASGPTRFKPAL HLKCGPLLRYCGMRQDRSPARSRAGVSSDKQIWRGSIMIVTEDSESSYEIAPTLRMFV QPIDLLAPPPAELKGEQALLPEYVDPIAGIPKLGRRGETLYVRPVEHLEEGLDVSTME GDGGLFESTRTAPDFHNDPNAPGSFASRAMRSSMDGERLGKYKDVRGFRLHAEKGCTF WRFNIEIELREKQQRIAYRINRGPSIGFWVPSRNESMNVMFHSCNGFSLSVNSNDFSG PDPMWRDVLNTHQTRPFHVMIGGGDQIYNDAVMKKTSIFQQWLEIKNPLHKHNAPFTK DLQEELETFYLERYCMWFSQGLFGLANSQIPMVNMYDDHDIIDGFGSYPDHFMKTPVF SGLGAVAFKYYMLFQQQSIVSESEETEPSWVLGCKPGPYIHELSRSLYVSLGAGLALL AVDARTERARDEVIREETWKKIMDRCYAEIVKGETTHLLVLLGVPIAYPRLVWLENIL TSRLMDPVKAMGKAGLLGNFLNRFDGGVEILDDLDDHWTAKNHKDERRFIIEDLQDLA ADKSVRITILSGDVHLAAIGQFFSNPKLQIPKHKDFRYMPNVISSAIVNTPPPDMLAD VLNKRNKVHHFDKETDENMIPIFTTGVDNKPRNNKHLLPHRNWCQIRSYVPGETPPPT PPPEEYESMPEAKPTENRGGLLRRFSSKKNRGPTYRPDVPDEVDRSRPPLSGGGGGLF RSLSRKNSTSNGEKRPGNLLRTLSLGRGASAGPKDGVFSRPSTDRRRPNDGGINGDWG AESDGDYYDATPPPPTQTRRRQPTAFEGPNGERGDKLARMGLRGGAGSAREAAEYSVG DDSYFTVTSRVPHRAYTQPMTSAPPRGDGWAVDEPFRPKPLGRTPTGLSTKQLKHVER WQVDVKGALDIQLNVEVNPKDPAGITVPYRLLVPKLEYEYLGEDASVASDVSPEIENG TAGIEAEPVTPKRGGTLKRLFSGRGKGSQYRDHRGGEGAEYDYH PFICI_13290 MQITDSDAVFKGLKPTLPQRPRRYYTPCLVFLIVVRLEMLYRVV HDFQCTVQGVEAFLPFLLAVYDLFFNRKAAAVVDPNEPEDPWGSVWDDIIAWLQASQM PSAFIILLLSYGVFLGADFAPRSSYFCSTASDQTAWVIFLQWAGVTLDATILVLLWRI LSWTKTTKLRLQKLSGIMTASSLAAGLLWVFGRLLLSRPTTTGQSFLGLDTIFIFDVL TNGIAFAVAVVSATLWMCESAPLSMTATTTFICGATAAFREILLFGTYQQTSRFLPLI VLVIVSTTFPFYTFIGGMRSVLYIPRVLLLLIMITLLIIATTITMLKSPIIDRHPVGE LVYKNRVEADRWLRYASVSTTLHTAVTEYKERHHGRDPPPNFDRWFDFALQKKSVIMD KFDQIETDVVPFWGLKPSKIKEGLETVKTLPDVGIITIAAGKASHSLPADLSQKLILD ELVSLISSFSQHLPEMEIAINLRERPRILAPWDDIHRLAEKGARPKSKLEKVLPQALS KRLVEDVKTPRDSATGRPLEYVTAQDFRRLQALACPPGSKTRASITWNVRDHCAACAD AHSQGHILQDWQYSLDPCHQPDIFNLHDFHTLPHQSDLYQELLPLFSGSKTSSFNDIL LPLIRPNSNEKVDDDSRFDSKKDHLFWQQEPQPQPITHDMLHGGHRNRLVRLINNATA FDKQSMLLGFKSGKDVKYAYDEVGIRAANKLFATDISYINAAGTCDNPNCQLIEREHF GFKQKTEDPLHSSRYFMVLDTSDGPSPDVLPALQSNGVPFISTIFREWFTERLMPWTH FVPIDLRYHGLHSTLAYFVGLKGKGKINGHDQVLEGSVQDARWIAEQGRKWAETAIRR EDMEVYLFRLLLEWGRIVSNDRDNLGFVLKEGQPK PFICI_13291 MPRLQLQEIDPATDFPALARCMFDSYEDPLQSFFYAYFPIHGAT DAAREKAIAECATRLHSWHAEDPTSYWQKIVDADNGRIAGGALWNIHEENPFAHEHHA EVSWFPDDGSRRFAEQVSEIHSAPRARVGQRPQVYLFILFTHPAYRRQGVGQQFMDWG MAKADQMGLEFFLDATPTGKPLYDANGFVEVGKNVIAPSTDSPDDAWKAAEKKIGHST WYLMWRPPGGNYEEGKTAKPWEKN PFICI_13292 MALLYAYQGLPAQQCIRLLRLLPSLDAAKPLEGHLFEYSLWDMY DQMVPYDALSYVWGDPNASHSIQIDGLAFPITASLNTALSYLRHSRRERIIWVDALCI DQNNEREKEHQISLMYQIYACSSCVVVWLGEERDGSDQAIKELYSLGTAAPTNGVLKN TASDYVMRLFQRAWFRRIWVLQEVGAARRIQIQCGNSQMDGCAFAIAINLLHQLPETT DGNETLQNTIRSIAYLIKGSIFRLPYKGPGAAAEGVCTFGELVDMFHDRQATIPHDKI FALLNMSSNDMSKSGLLPDYTIPIGELFQRLVRCIISPTLTVHSQNHQQVAVVKSRGY ILGTIDKVMGDILENNTQRVSVKWNYDVIKWLEGVSSWDIETGIPWTIRASTTPIRKT DLVCLLDGALAPTIIRHHHDFAVIIIAAAPFPFNTEFSETIKISMSGWSQTSFPEHEF LLIWDWNYSPAAYSHWETCAVWARAHNWVFPKSMAVTNEDLYGTSAQHWASALICLDG HGMYRAAESFRGTFRIMKEILGQCGSDATLESHFQALLLRDHPLSTDEFLSILLYHAV ESRCECLADLLVGQERFDLYDQSVGQRSILALASEAGFLKVVTWLIEKEQRCTFPRPN HIMHHKDSPEAQMHIARCVVEAAGAGHLAIVHQLLQKNTRDMESGIIREAEISLLYTS ALFAASKAGHVAVIKRILQEGRYIDEALALPELASGKITALVEEQHFK PFICI_13293 MPVPPMPRMLEDEPDGRGIEHDLRYNQHDKWGWVIYRTAYGDDA AWERFKQFVVDNSHQWIVASSVPGIARNLEWTFVSDQEALDGASTAQLRARFRSWAEE AIRTENPRATEDSLSGVWPIQRYSYFIAVNEEALRCVADVKHPRDWQLVWVKFVKADW ESDPDEDDGSYELVDGTTEEDVGWMLIMSHTIGADFYDSLGDDGMHWYTYYTRPPDVC CP PFICI_13294 MATSDTLDFVSSVGVGRMSTVAQSRIDSRTFSAVRTNSSAESLR QFEEAFARTDLRGLVRRIDFDVILPPVSEKRLENKFQSSLEAKANSTAFSRAVLDLFA RLSSWDAKGIALRVTATSPSDDETMRATGRSISVRPRRNDFRYIGADLAAIPETGLPK VYSVSSLDMEKLDCNSGNPSGRRLHPDLLQVMMLSLPMLESAKWEFFMPPRSRGQRRQ EFRTALAAALDRSSFSSLQSLCITLHDVDPRNENAELESFVGDTGVDDLSAAVQGICN SPTLTTLRLCGKWSLSSTAFERGFGPSVSSVYIDFSSISPSGNWLFDSIPHLADEDET CDDYNDNDSDDDEASTGGDLYDFNSADSDEMDNLDSEAEDRANSFLPAQETRGRPNAD NFLPLFVSVTTAAAKSFNSLAHLEAHLMGGVSQVHLGYFGCRGGQGRETAEFSVDWPH PWIRKRHPGEELDKPTWYLATWGAVDPDDWKIPRALRAAMESCPTEVSIMTHSNIKAL EFE PFICI_13295 MKGVQSLSVVRVDRVILFLLFQLWAITVSCRPHSHSHSWIHEDV ARTCRNEAYNPFDYSVKPKHDPNVPLCALQPPQVAAIQTRDILLGRQVTQQQDYSCGP DKPCGNGACCPKETGWCNYGPEACGTDGISPNEVCWSNCDAKAECGRYADPPNKECPL NVCCSPWGFCGMTEDFCVITDDEETSCQSNCEQPESGSSGSDIRQRVVGYYEAWVHER SCNGMSISQIPVGALTHLMFSFAYITPDDFQIVPMDDLDPSLFREMTAMKQDNKALKV MVALGGWTFNDPGPTQTVFHDVSSTKENRAKFIGNLLSFMRQYAFDGVDFDWEYPGAD DRGGQEGDGENFTLLLEELKQAISEQPLEYVVSFTTPTSYWYLRHFDIKGSTDAVDFV NVMSYDLHGVWDADNPIGSNVLAHSNLTEIKLALDLYWRNDIPPEKLNLGFGFYGRSF TLSDPACYKPGCAFSGGAAPGPCTKNSGTLAYREIVDIIKDNDLKPYYEKEHQIKYIV WNQDQWVSYDDPETIAAKIEFANNLGLGGLLIWSVDQDTDDLEALSAVVGPDISALAL HNKRAEDASFWQDMGAQDCYVTGCGGDCKTGFKEITNQPCGDATWLFRHSTEDNSKLC CPLSASPDPDDCQWQDIPSPECNGRCEPGEVGLQLNKWGDGAYCENGNKMYCCKTASE RENKCYFAAEGKKCNSGEGPLTWSGKFTKDYDALEDIYDLKGDALMSALEDYDISDVS LYCCPQEDLDRWDNCEWKGSPDGGNCYDGHCNLNTQVEVTWAGSGGGRSCGGIDPGRI RVFCCDPPNGETLFLPVALENLFPEPPTGEDVKTDFDLNIDNTWGDGTADNESEDDAN AASFQFYVLASPSEIQTSLDKRDGSHWELFNCNDGLSDGEQTVQMVCTKDSEDSNCHD IYKGNGVHGTIIQMPQGQGCGPGKYAVAKSLEVSQNQTLPRHLAKRKLGSKATVYDLT FNYDFTIVPREFGDTQMRIDFSNQEGYWNTIVAAPSSKKNKRTLADVNGNHRRWLEEE WRDDFHYGALSQEDLHKRWFGDDALAWLKQLLNIDVKIEKKHDYEEEMSVIILQEEWE CGNFKAKVDAVATAGIQMSTSFGITIITTLGPDMDLSKSYIHFNNEGNIESVFTLDAL MKMDWDSDVFTIAPIPIPGGTWTIYGIATLGPRFDLNARFRAGVSLQGRIEAKAEMAN WRIRQTYPQMDDYEPEEEDDVNRQFPSDGIASPSFDASVTAEGYAEAHILPTLAFGIK FDKQWGIDDADVELVADLYGRVRAKSDLVGGDCLFGYKVEAGVKLIADAHVPDIFQWH PSPFEFGALDKRIIPSSGDSEWECLTASSARRALPAPNATLPSHSIIGTGLRKRLVPY GPVLTLPKAEQLCPIKGGSTEPGECSEIYAVDSFYNVESEDFDYLQPSSITKRDATDL STALYERGFLERRAKGKDVKICNTHSIHVKAWDTSGAFNVWDNGDWSDCNDYSFGNQG SRKQQVPRPPGKKSAYDRYVVEHVLEAQLLTLFLKEGYTSVCSDMGESGSGWYQTNVG LDPVVAGANPRSPWQYIADAFPYKAATDNGKAYPANHEDEFVYVLEPINIVKEFAFKN NEALPGSGDMAKAVASEQTVDNAIRTMKNILLTYKYMTDPTIQATLVTQAQRVADRMS EVEDILANNAAFTSEIQGLGTLWLTFVKGRTELAASKLVTFLTNWMPKIERVLDGTDP AQDAQNPGRATRREKISKLKEAVEGRGRWTNPLGYAW PFICI_13296 MLSFLSSSHRTACHLFLLAALSRVVLAGQFLDTEAVPAGVSSAC LAALTADTACRSSVADLSAGKYYPLSALQVICDESCSSALSSFHASVLSSCAGDVWED DNGETLPVALFSELIRYSYNLTCLSDGGRFCNNVAAAYAAAADPQASEVPGGLPAGGD FGIHDTTDPCDSCLLANLRFKAESPYYEGPVLQSQSAYESKASSCGIASTTLTTTANS LLTPTSTVPTATPTCAGSVYTIQPSDDCHSISLSQGIGTEWLIIDNSLVAFCHDFPTE GELCLVNTCDVYTVLESDTCKSIVRQHGITDSQLRAWNPSINAGCYNLDQMIGDQICV SKPGTPYVAPSETTIAPSIPTTAAPLPTNAASGSNTYCGTWYEAQLGDYCNLIVMKYG ISLVDFVFLNSAINENCTNLYAEESYCVRPVGDINTYSGKPGYAAPTLTMTGTIEDSA TTLPDASFTYPSPVRTPFPLATGSRKDCSQYSNGDEWQQNLTSTYFTSHCALMAAVIG VTLEDLQVWNPSLGNVSDDACSFETGVRYCAKYYDGEKTGTSTDEDSAFPAREGMTTN CSITADVRNDGYPTCSDILAQWELTIADFYAMNPSVGSDCSGMWAGYHYCVRTNDWVA PTATAVTSTTSTSEPTSSPTAPGPVQDGQPAECNKWHLVESGDTCSVITSTYSITFEQ FREWNPAVSEDCVSGFWGGYAYCVGVSSSSSSPAVTVTPATTSTVASAPATTTTAGPV AAPDPNQAGNAIASCSAYAQAQSGDWCTAFADRNGVTYANLYAWNSVLGSNGENCGGS FWSGYWYCVAVVA PFICI_13297 MKYSAALALASAAAVSADCSITSFLENGNWYCEAVQLISYAGLD TSGSYKAVSNMDTSTGDCTFESKSYSGSIAPFDEELSVHIRGPTQLKQFAVYTPASAS TKKRAEHKSHHKRHGHQHLHTKKHTESQKEEARAVGDIVTATIDGKVVSWINTWSGED SDDSASSATTTSAATSTTKAKSSKSSATSTATAAAATGDYQRIAYYNAEDGTADGVTF VGAWGGVAGSGTWDTKFGNSLSYLSADGTTGAESSTVLDNVLVGDNVEYAIFSDVECT ADDADCGYYRPDSVAYQGFGGADKVFLFEFTMPLSGETSGTNPDMPAIWLLNGKIPRT QQYGDCSCWATDSDSDGCGEADLFEVLSQGDTKAKSTFHFLNSLGSSDYFERPTEDYI QIAAVFRSSDSTASIKVLDSSIDFSSSLTTDTVDGFISETDSLLSTIMDFISSL PFICI_13298 MSGKKIITVFGATGNQGGGVVDTFLNDPKLKNDWAVRGITRDVN KESSKKLAAQGVEVVTADINDKASIVKAISGSYAVFAVTNYWEHLDMELEIRQGKNIA DAAKEADIKLLIWSSLYNIKKLSNGVLSEVYHFDSKALVEDYIRELGIPATFFMPGFY MTNLPGGMFRPSPPDNAWTLGLPIPASSIFPLYYPGDTGKYIKAAVLHESKVLGKRIL GATEYLTGQEIVEGFRRAFAGTEAAASARYYEVPAEQFRGFLASTG PFICI_13299 MSTPAAASTGVSIPTAATNALAAPASTTATGATPTVPPRPDSLA SSVNQNASAYSRMAQPGYGSAYSSPYSNMSGFGSRMYGGYGGYGGYGGYGGMGGMGGM YGGMGGMYGGMGGMNPNDPNNPNSLTNSFNNSTQATFQMLEGVVGAFGGFAQMLESTY MATHSSFFAMVSVAEQFGNLRDTLGSVLGIFTLMRWIRTLIAKLTGRPPPADATALTP AAFARFEGRKSPPGTPGQPNKPSRKPLMFFLLAAFGLPYVMSKMIRSLAASQEEEERK RLEASAPLDPSQLEFCRVVHDFVPQDNVQGVDLAVKKGDFIAVLSKTDPNGIPSEWWR CRARDGRMGWLPSTFLEVAKRPGQPLAAIKAAASEPGSPRTSSMTSTTPAPPPVVTGK PGDVSMESFQKSQFYS PFICI_13300 MSFAIEVPGEASPLGFQELCRTLQLASTSPDHTQRQSAGQQLSS WEQTQIDYYPLLQLVFLDKSLPREIRLLAIIQLKNGIDKHWRHHTIQNSIQPAQKDAI RSNLYRGSIGEEDKQLALHNAFVVAKVTRTDFPQAWPEAMPNLVSLLRETKDEPFKLS GALVILFRVVKELGTARLRKSQTALQSVTPELVYLLGEIYTSSTASWNSSLASGQGDA VAIEQDMNNSLTAFKTLRRLLITGYEHPHKDSAVQQAWSLSQVQFGQFIGYASQESSI PASLLEIVGKHMMQFTKLHVDMATTHPASFASLPHSMDLVRSYWGMVVKFAEIYPKSG GLRRGAADEGGHKFGTEGQWLEKIALKGLLLCRACIKMINQPQLTFKYRTKEDRAEQD ALLQHAKDDLLKDDFIVQMANVIISNFLVFREADLQAWEEDPEEWEQDEESGGTAWEW EVRPCAEQVLRLLLVSYKHLLAEPLLAYFATARNPQADIMSKESVYTALGIAADYLEK QFDFDEFLRTTLVADIQQQGPLCKVLRRRISILISEWVAVSVAKESYPLIYEIFRHFL DSNDQTNDIVVRITGARQFKDVASQFIFDGETFTPYAEGILVQLIQLLQEISVDETKL AILDTTKVIIERMETHVNPFAEFIVSSLPAVWNADGDMGYMLKSAALTILQALVMSMG RESQRFHPVIIPLIADVVREDSQVFMYLIDECLELWINILYQSSSPISTELTSLVEPA LRLLNEQTERNPMYITIAGSYILLAPQVLLEERWRRPLLMGLTQTLQQKSTREEQRSA TRYIGYLLRYAQEYGQIDGVKIIVQDLMASGFLKEVFEGIHDAYSARQTSGPKRRTPK VNNLTLTDYFNILSRIAVADPSVLVEILAAFGSLDQVWPWLSDEWFGNFDCISDDMGR KLNLLGLTRLMELGQPMQDLILAKLQDYFSMWISVIAAILGEEDPTIDLLILTSSLEA TEWDTHKDLRERALTESDPVRQVHSLNFVKETLSSLVQRVGGEQAFRDNYAANVDSDV LQSFERLGKPLQNSM PFICI_13301 MPACKRCSEENKPCFYVKSRRGIRDAKERSLISDKPPSQTISTS LYQIPSSGTLTESSSTYGSDIFSNSLIGEASFSPTSNEDTFLEAYYTYFHPSHSMMLP KDYFLRHMALDPDSVNFLLPVVRYIGSHYCAQRAPDDLEEAVFAGACGQLPMTSQSVL GLLLLSIAALGNMKFGYQNGWTSRAISMAFAIGMQHKTFADNVHDAVQAECHRRVFWG LYLVDSMRVIRDPAERLLLHETIGSVDLPCEEWEYEAGRIPRPISLAKYDEQNIFGTA DFSSWAYLIDMCRISNELVLPYHDSMEDKKMGLFDRADSRICDWLIKVPQWKTEVVDS NGLGDMILLHAIALAQQNRLRIRQCASRQGLNLQQTFPLGPARGPHRQAQKIKGFGWK AIPIDVQAADSVCRLFLKSFPTKNLSPLCLPGLLWVAIAYLDACVFLGLDTPGYREKL SMLLHIMTAHGKIWGISRKIAEEVKEVAQDYAVLPQSPSPEPDSSASSSHALQLTPDL AMGELALPMDFDFSAYQNFGDFNSWNNNLSASLF PFICI_13302 MSSSNFAQAQERLAARRQARERETQARLNARREASRAWSQVSQL PYPLNRVGSSSLSLWELISSREGTRPAFRVGQVDAELLDEELVDLLQGQVGDALKYYG GNLKDDWSAEITFALRAVLFKLTIWDHDATYGAALQNLKYTDARRDGSVLVAPSKWQK AFYGMITVGGKYGWTKWENWLLDQDNGYDAPPPLVQRLSAITTRLTTIHSVAAFASFL VFLLHGRYRTLLDRILRLRLVPPTSQVSREVSFEYLNRQLVWHAFTEFLLFVLPLVGI NRWRRWLSRTWRKTKEIVHISSEDDTNGKQGEFAFLPERTCAICYQDQNSATSETEVL AAAASSGVVGSAQTDITNPYETVPCGCIYCFVCLATRLEREEGEGWACLRCGDLVKQC QPWNGDVLQESPRSPASSPKRVGFADDVKSASSVAGDHIDDSIQFIDNHQDGQDEVDA FDDPEHTDSEIYEEEEDGEADYSD PFICI_13303 MPEFFPFIPGTETSRTARQHASDTSPLLGRFRAVPRSSQHPAGG QHHWHHTRPAGPRRHRSSSASHLAALLSAGNRGSVHIGYGALVTAALQSDDDSDDDYY DSDDEQVSWLERAGRTVARNVQDLWVAPQQNAVKRVVESWWRRWGMLIFLPAGLAVIW CAIPFPQYPLDPDDSDLYPGIGDDHRVPGHGAARVQVNFWFFLFVYYGFYNLTALIWI TKVFNLYSLNWWPSTLGFPLTISMIAILSIAAPIPVYLVPELNFLTIHNTAWISWTFV IMAMPVGIAFCIMMLNERHLGLRQSLSETQRIFTSSWWTGEPDTTTSTRNNRRRPGLR SNTFDPDSMLEAPTRARGRIPTSLRRNWLPASFVRFVWFCLALLVGLLAYLIGEAYAE IYLRTLPHNNFETVVYVYSWIVTVHLLDALTGWILGASEGERVGSYPLSWIFKLYFML TYQTYVRALYARLRSPSQFVLLQILSSSLLIIITPITMSATYHRVMGFLGLTTQSYAS YQKIRIRDVFIRFLAENVSMLTFLGSILVLHFGPNKEVYPYFTFDDPLRDEGYDFNLT FYASSITWACELIAGIVLRGLIGWIYGVNASIEGKLDLAVWPELLPTSVAVMLHVLQN MLFSIIRLHFH PFICI_13304 MSAPTSSGSATADSAATNPYQPGLTSSTVLDAPRREPAAAAAGA PQLPGQPLTSDREATKKRVLAALRNFPDFPIPGIDFIDILPIFQDPKTFDALLQVLEL QIRETLGYVPDVIVGLDARGFLFGPSLALRLNCSFVTVRKKGKVPGPTVTAAYEKEYG TDYFQMQSDAIKPGQKVLVVDDIIATGGSAAAAASLISQLGGTLAGYLFMIEISPLKG REKLGDYPILTLLDTAA PFICI_13305 MADVQKPVEVPEAAPVAAVEPVVPETTPAVEAAPAAEAPAVETK TEETPAAAETAATEAPVEEAKKEVKPIEKGRLEHKASPASFPKNLVYSSQEFWFGSEP VTSEKLTAYLKAEKATDVAHHVAAWASETGKGLLFYGKESGNPTGVIQLAEASEPTVD GNQKFTVQAKGHKHVFKAPTAADRDSWVEQLKLKIAEAKELATTVTETETYKTTLETL KPAPAAKKEEKPATEAAVAAEAPAATEEAPAAEAAAVTEAPKEETKEEEKKEEAKPRS ASKKRTSIFGNLLSKKEEKKEEKKEEKVDEETPAVAAEPATEAVAEPTEAPVAEAPAA EAATEVTPAAVEEKAAEPTKPTPTKRASIFGSLSFGKKKTEPEAAPAVPAKETEATEA APVTETAPVIPAVETTEPLSAEVASPATVPTETTEVAPATNGETKKDLKAEKRKSSLP FAFGKKEKSPASDEEGEKKEKASPFSKLRQTIKGKGKASEKPAAAPAETEAVAEEKPA EEAVAPVEGEAAKVEETPAATEAATEEKPAEAAAPAVAATA PFICI_13306 MDYGYFNDQHALSLHRLDDWGVIETLKKMPEGYNPFDKHYEETE LEGNRFQLVEGAELVKQIPPQFGVLCHPNTKNGKYYCRWDKGYDYDMARSEDNGAYEA AKYNQRLNPRIDRVGNYSSAAVDIPRTEDDMKAGSLALFPHVGGLVTSGMYRASGVPF AQQEAKQESRFFQSIWNKADIRELIMEKLFGHAEVLSNLTRTCQVIRRDVDNMMGFFD TNGMNFNLCDKFPEELDSMIQSGKITQEDVDNIRVPKFLVIGPCRKPTIMASGYHGDP NVFWPPQVQADNDEEPQSYETLFNERGFPIVNAYGHKQKKMTYHKQCRAVQRTLITLH QHRKNINYLALVQMDFLGVQTVEAIINSLDNLKCLCIYSCPLMDLSTVRSVIEAVGRT NEKRRSNGRPKLDLDIAPQYRQGPVGERMGSYGITHSDPRIFQNWGTDVGHALGASLV SLFRAAKKADIELLQPGKAFRRWLDRLPLAVNQTYNLCVAAAKYVSNEETRKIYALQV YPEKLYGGSHEKLTKQFDDTVAIDLMIAATAKPVTWSDFTNEGIFKCTQCNETLPGIL FRYEAKQNRPEFIICEGCDLQNQLGYEIGHNHILKNQIARTLWRGVPEGCTNLDWLMG DSNKAVRNHKNFIKTTEQLMTPEVCMQEAEDLAKDREEVIQQMTTIFDFREKKHLNQR LKDLDNMIESHKVRAGQQRKPRTGNESMYDWDYRRQAYHWRGTVERGEFIFGAPYENI TMLNLEKSFNMRH PFICI_13307 MSSLSLSQMLRPYATRPMTAKGAVYGRFYTITRRRCAREYSNDP STERSQDGVKSKKTRPPEPGAAKKAEKMAKQQWEEQARETEKRVQKLKELNALEWPRI QNQTNAARMSIPEFRSKYRDLAAGTSAADEVQLRGRVLATRKHSSKLAFLDIQGDFHT VQVMLDFGHIQAASGTTVEQFREKRLTLLRGDFVSVEGTANVTPQGELTLKVNKLPDL LSPSIAPVPTKLVDEETMVQSRHLSLLVNQQVSKSLRFRSELIWWLRNYLVKRKFLEV QTPILADYAGGATARPFLTSATAFSHRDLALRIAPELWLKRMVVGGFDRIFEIGPSFR NEGLDATHNPEFTMCEFYQAYADLTSLIGLTTDLVKNLSSWSREVLEKSKSLSGEILD PAYFSHFEQVEFIPALEDALKIKMPDLTDENALSSLRDVLRTELGREVEPDVSLNKLL DDLASEYLEPKSEDSPLYITHHPSCMSPLSKSFTCPKTGQLVSARAELFIKGREIANM YEEENNPFEQRRKFELQVQARNANDPDEGEAVVDESYIQALEHGLPPTGGWGCGIDRL VMLFSGATRISDTLSFGSLRNVVAATQVSKRS PFICI_13308 MSFLGFGRPQPTSEEKIGAVEAEMKLLAEMHTRLTRVCAKKCVP NDYREGELNKGESVCLDRCASKFFDVHMKVSEMMQQQAQQGAGGFNMGN PFICI_13309 MRLRRAARVILVGAPGVGKGTQSERLLDRFPQLTAISSGDLLRN NVKNRTPLGIKVESTMKTGGLVPDDMILRLITNELGQRGWLSGGRANVMTLASSAFGA AEAYEDLDPFISAIDRNPAAGASLSAHASDDPTASFLLDGFPRTAAQAEKLDDIVPIN WAVSLKTPFDVIMQRISSRWVHEPSGRVYNTTFNAPKVHGIDDITGERLVQRSDDNEE IYRARFKKFQETSEPLLEHYAKKGVLWEVEGMSSDEISPKLYDEFERRFA PFICI_13310 MSVLGGKFLERVGEYKNLGHLSDFPEIEQMMHESFNSTTMSQSP NNSQGTQYVYYHEGGVPGKRPILTGSAAKPTFTELPRIDISRAYSTDPEARRALAAEV NDAFTKVGFFYAVNHGIDAAAVADMFAAMRGYFALPEAVKMEAHSRKNRKFRGYEPMF STRLDPTTRGDLKEGFLMGEDALDPEQDAPPKVVERQEAEGPRNQWPSLPEAAFWRPA VYRYHRVLRKLSERLLRIFSLALGLPEDHFDAITRFPLTNIRALHYPPQERDEDVGIG AHTDFVFFTLLCQQETALPALEVLNGNGVWVPAHPDQNAFVVNVGDFLKFFTGGLWQS TVHRVRNVTGEERYSIPFFYSPDEDGVVDVLERFRETGKTYETFTAGEYFEKRLQIDR RTADDGDGKAVTAY PFICI_13311 MCVIQRQSCIICRHREEDTCPDKNEKHRPENYVIKQCQHLMDIL SRLKYDAGWNPADPPQLSGRFHCPDLQWPPTENPKHDFVCETCLAECCHEWTGVITDA LKKKSQRSAHSRDDDDDDFARSAVHERPQRTPYLDITERHMSPIKRERLFEALTNNYG HPAGMKREITIENLTVAIQNTWLLRAGESAHRYLTVLVPKCNLCDELLVDQRENLQRA DIIRGQRDDASNNTLPDDEIDNIWVSDVEFEPNSTLWKWLAWLSKDIPEALIQDPDYV QYQEPIQTHIKTGFISKPCKLCVEREFQCRKQVCEYVGESKSNWKGWMIFNWLMSRGT GNIPMFDHAAINFGYPTTQPPTLYEMMSLMSATWRRMTGIAWHDVDDMDPPSYSPTPC PFPMTMHERPLVNLCQLPQWRRLTPLELRHTLPSLQVEEVVPDKTTAPPTGKIQAGKG PQKSLIITLNTSRVDKTRSYAQSSRVVAKLKDNPAYMRAMGIESGLSTREMEKALSAL LGLLADGDERTLPGSFQLSNGPKPVELDGEETVGGAVVHVKKKKVPRNDGENRTTSSN MKRCEKTPTRQVQKKPTSRSDTLLLSPITEEQPSPPETEDDLPTRKHVRFQNPEDIEV DEDDLFGDNLEDDDWEQLGDDTDMQDDGEYEQLELEDDETAVVECELGHVHLLEARKM STATGRLFYLPIGWSSTEFENEESSDEEREL PFICI_13312 MNLFRILGDLSHITSKCILIFAIHQNRSSEGVSMITQALYAAVF CTRYTNLFSETSTWNVFFMIFYIFSSFYIIAIMRYKYPRTREREVAWKLGGAAAAGAL VLSPFTTLIFRGPPMAGFLKWLWNFSEILESVAVLPQLLLLRQTTVPTVINSGYILTL GSYRALYIPNWIWRYAHNDPPYPVSVIFGVIQTAFYIDFAWVYYTRQRVKLRNGGIVD ADDMRRGWLLDRIFGIKRFDPVHDDEESAPALGSGNGNGASNGARRSKWGARGISVSA DEDTHEHEASRGNEEFSADPDAKMQDPDELARALDDDDDDEHTPATSSASSRGAAGAA NEVSNGSEWRD PFICI_13313 MFLCRGFSSLGLAAASLLFYTLLPLGASAVIREAECTVSSIEGR SCTQSTPQGFIPENVAERTWRKTFPEPDLDVLSELLDSIGVMQDDYFAPWLGTWPTSI DWTGAVMGTHVSGTLRSFTAALDAVRSSGDPVEDWKLKENLIENYFTQVVSYYFGEND FEIRMEAYDDILWVVLGWLEAVRFVREHTALHYQLETQRKGLDSQSTGSVGEILRNQT WHGNLWIPAFSHRARVFWDLAAKGWDTELCGGGMTWNPRLLPYKNAITNELFIAGSIS MYLYFPGDDNTAPFSQARTSRDAQGTEARQQVARDPKYLQAAVDGYKWLVNSNMTDTQ GLFTDGFHISGYANPASNNTKCDERNDMVFTYNQGVVLTGQRGLWDATGALSYLLEGH LLIQNVINATGYDLKRDQPRDDYKTLAPDTVPQWYGLGRLGVIEDNCDASGTCSQDGQ TFKGIFFHHLTYFCAPLSLPPPDLGREVDHDAFAAAQKSHTEACLSYAGWLRWNAEAA LNTRDAAGKFGMWFTAGLLTNLTSAAWPTVADQHVAGVDYRNYGVPNDTTWQRPGDIL DPGAKMPVGIPILPADESRQQPIDGAAAPRQALGVDEKVERESLADDPNNRGRGRTVE TQGSGLALIRAYWSITQLRD PFICI_13314 MATNRGERMLERMRGAGRHEVADESFGFVMPAEESSEAAESESS PSQPSAPPSAIRSASNTSAKRRAVDDAAAIPDPEPVPEEELLQLQDRTGALNINPYEI PQDQSREEEEDAGEPAQESTAELEEEPVEEEPVAGSSPQLGQTDPSPITVFQNQENED ENEGNSVEELPKQVARPGSRDSMSSRISAGAHVSEEVTESPVGAPGSGHRRRVRMSNV VTQSAELQRMVIDQETAFSSEPGASSPLARKTRMSGVTPSAASARSRRTTRPSALAQS FGAAEVDELSPLPAQSRNNDNTMSSSSARSNQSSTRRTTTAPIQTEHDELSSPVPLVE SRRRAQAKTRAKKSKQPSPDLATRKDEAASIEEAGKDASVEEDGAEQIEAEEAARVIG RKRPRTSPPREESPELDAQRDEPSPPPKRQRQKRAQQSPAVQSQPKAAVGRKFNSEKQ KQKPKEKPSRKRHSGADEQVPIAVQRYTQRSQHNESDTDADILSADIPFANRSGVNVI DVLAQICEDVIDTNLAILHEAANNTVDAATRKEYRTKLRALEAFQQELGTRLLEHTIA LDTLHALKKRVRSIQKEKLTLRNEIIRIRAEKEQVALKIDAVRVRHEKESKQSLDQLN LSATMHDIELVIDNGRQAPDLGPREQKTAELANLELIVSRVAGQVATESGVGNLKLIQ EFNMFLERAAAALEAR PFICI_13315 MPGPDFQQQPPMEMGPGPGGPGVVSQPPPMEPQPDMELRGGEEA GCEICCGLCACQEGCC PFICI_13316 MLRARFPTSHHFTVSIFGCQYQAERPDAAKQDAIQQFNSLIKPA AIHVEELEQNDLPSKIWMSYWPSPQAFKTWWESPETSSFWGGLPDDAGFWRETISLPA TRSMYESNKPQPSGFGHCGEHIPLTSKSGYWGAYRSRMTPDGPDDKFQSPASPGDMQG RPLSKQIRSGRVRMAKFPDNICFVVEGQDYSAMFEREKEFWNKHFDGLAKQWVTTVVT TGPDKGMLSARACHAFEGEKHLGVSSNGAVAVNGNGVSDASAGAAPNGHSLFPGLDYI RQAQVLFWLDLSYMEHIGRHDKVHVKLRREFMTEYGPGGQMEGGDLLLWVDLGILKAD EIDAEYVGCYDGTGFLAYDEHPLFQSEKVSKSTLPSFFDKPIESTPIEW PFICI_13317 MGWWHSLWGSNTTDDPLQKLDPKLREYLEKESPVRYPTTAEQQQ QQQQSQSPSPYPQKTEHGQQQPHESAVPKESQFQDGRYAHLWKNYRPLAEIEAETKSD QEKIMDVLEGYKERKSQIGKAALENCALEQVDWRSCMSNPNFTERFTMCRAQIKKFER CYNMQTRLLKSLGYLSTADRSPEVEEDIQMHADSMYHKFLQQEAEIEAAKTEGRPIPK FEPLLAKKPLVGIPAPEFELSLEQQQLLKTRLEKVDEIDRPAEEQAFRAELRAKAELD GRIRGIWNQQAEERKARQEAGQATTWDRVMNVVRGDNEKR PFICI_13318 MATELTVQSERAYQKQPHIFQNSKVKTKSARPGKAGRRWYKDVG LGFRTPKTAIEGSYIDKKCPFTGLVSIRGRILTGTVVSTKMHRTLIIRREYLHFIPKY ARYEKRHKNLAAHVSPAFRVEEGDQVTVGQCRPLSKTVRFNVLRVLPRTGKAVKKFSK F PFICI_13319 MDVTVPSTRRSRKSTGQSPVRKKMDKENITVDVASSLAGNGGRK KSRSKSMGPGGLDALKPSTGNRRVSLAAPVRPPPRSILKPTIPILPEIPPHKPKSRFG SSIQSGNGSFSPFGKDDSSSSGTKIALRTEEEQQAAARKREEEERKALEKEINDRREA RRKSLANRRVSFAAEATLHTFHEVEYMQDSTTSSEAARRASELAAQSESQAPDSPDGS DPPSTPPDQEDLVPDTPEDQRDLHQKKRRRSSAASTLNYHDGDDDTLASTIYSSDSDA TDGVIETHEEIDSDSGSDSDNDGTTMDVDIDEMTGTSIASGQSIWTEHSMDSENDTLN DALRLAQRQAHTQSIDEEEEIIPSFGWAKKPVLAQRDPHQQATPEVSHAETSSGQDDD NGETDMDMDMDMEMTHAIGGIIKSNAPEDDAADEEMSMDVTKVLGGILARQSATSPEK ANEESYMDDATMDMTTAIGGIRKTQAPEDDATELQSDAGYEDMSMELTNVIGGVLAST QTAAKGRKSLPSRRRTTNAGDEATMDMTVGLGRIIPNQQRIEVEDDEDDATMGMEMTQ AIGGIITQPSPKEARTVAKQLMEEEVDRTDSTPVTADSPSKRRMSALTENKAPSPVVE SPGLSAFRGKGLRHSTIQIQNSSSPMRDSSPMRNSSPARNPSPLRNSSLRSSLRIRNT SPAKSSSPFKELTPKSKVASSPPKRTPSAKSPSRSPARTASPQRPTIQISETPRSVQK SPQRTSIFQQDLSTGISTPRHILTPQRRRLSGLGADRPGLGSPKVTQILDRRGSIGEA ADNFIPGKPGRGVSFADPRPIEEELDRDRRVDEDRENGRKILEREADGDDENSTSTLK DMISSMTPKKKSVPLRGRKSLHVGSAMGLLGKRPSELDEDDEDDQRDGVKRLKGHQGS PVKNVRLNAPPSKAETTGRRTRSSGRVPEETEESASTPTSLSPTKISSVQSPQAKNKF KVVEDQPTNTFSFEDPTRLDDLEIPDDGLERIHLQDFLNMTSIRFMELTTTKRRHTQA PDSFRDSILQREDDMSFERCVVAGACTVPMLELYQHSCRELKKYISEGRRIVREIETE TFEENPPLFKEYISASPDFKLLMDNQFKNVKTHARLLSKAMWYEWRMKLQDGLKEGLL KIAEEMDEDERVLQKEEQLLQSILPELTAQSEALETEHENLQAIAQEIADCDPEDLLA ARAELTAVDADIEAMARQIEELQHTLQETEATVVGLSRRKEDCVAEIAEAEQIREECR GWTSHEISSLKEKVDAIEQEHGWNITGISGSRISMTYRRDIELVFDIASFQGSAHQPG SSSSGSRIDLWYVAANRERDPQPPTPEKEFFVQSIRDYVRRLDQASTKISTLLRAVGA AWDKAGAVANDIRILNCTFPTEVSRTDDSTLTVKIVLLLAALETKVDIVLGMHAQDTP QGLEVTISPQAKVVYGEHFKIDNVCDYLVTRLGELVRTGDEAADKNSWSDVIVELHER LLARGRK PFICI_13320 MTQHVIRLLAFVAILYAFMALLGVDLGTLEMHDASEDVKWIVLA WPFAACLAFGLMEGIWFCGLCAFLLYLWMTIPALICGTLFLVASVVLLVGGGVASAKY GGVRWLCFYAYVAFLGLIDAIKYGPQGMERLVWVVQCQLGIHLGLGALGLVLYVVVGV CYAFAFGLMTSVPGRAVQQFLGTLLKVLYQFGKATVTLLWTCLLAFVLCCHFILFAWS RFPDSLPWNQPVARPSTSSDIHVDNDSDDEDDDDSHQPPPTGMSRSLTFTDKHLDYAS DTEDDDWDKDFDFDSTDDTTTDESSDDDQGYRKNRQMNSLGCSTYGLPDDFYDYSDDD SSAADPSSPMKSPEPIISDIVSVCHTPEGAELQLDTDSDDSASEMDWTPCPVRFKSPE QPASINTAASPLPTAHAVDSTAMAEDSVVDMMDVDEPSRASLDLVVSTPDRRPLTSRL ADMVAQNFTEIEEAAPTTFAEWRNMTQKRVYTQEGFMAASTVPAPSPVLALPPVTPVT TMPATTDVAMSLDPTEFIDVQEVEMALDEPDRELALVPAAQDIPLPMETDLEYAELNG SDAMEVEPVAVNPVTIQPLLAEPEVMQTSEEMSCDDWDGFEEDFEEAWDEHFAEQQQN ASCDQFVFAGAVPQQRVAPASMSFFGANATNTNEVQVDFSFGPRQTSAAPVSWFGTTD TRSATTAVANTVVDWNFGSQPAVGQRNETMAEEGQSAATDVANFDFDFGSHQQAAPLA FFGGDVTERAASNIANVDFVFGSQQPAAPVALFSANATESVDNNAVANVVDSGFRPQP TTAPMAFFGPNATQSAAAELPIVDFGFGSQQPAAPVTWFGANATQPAATAVANIDFGF GAIGSADASSSAVVPAGLGSVVSWAQPVPTTAFVFGSEQQQEVMMDEDCGDAAEEESI PSVEDPSEEEGSFEPGSLEEFLLGNDSLFGDDDDDDGSAPGPVSAMEEDDHDSLASLF GDGGDSDSLPKESSAPTAADTVMDAAPEDNGASCSTGFGDQNVSREDEVDYSMSDDEQ SSQQQSSQHPAPLAPQTPYVGPGLQEPTRRSRYAPDAMDREKPTSHEALRTAGFLVEK GTPSPTPTRTTGARQLQRLTPTSKKVKILLKKPSKPE PFICI_13321 MSTPHLSSAMNQPSPTSSSGPEEAAENTADEEDSEDYCKGGYHP VTIGEKFKDGKYTVVRKLGWGHFSTVWLSRDNSTGKHVALKVVRSASHYTETAIDEIK LLKKIVEANPSHPGRKYVVSLLDSFDHKGPNGVHVCMVFEVLGENLLGLIKKWHHRGI PRDLVMQIAKQVLMGLDYLHRECGIIHTDLKPENVLIEIGDVEQVVKKVVKNEGSDKE NHRNGRRRRRTLITGSQPLPSPLNATFNRDNLFPSPKSHTSLNAMMQDTTPGAKSPSE EQREKTADILTKEVSGISLDKSGGASSSSSSDKKKRKRRGPIINVKIADLGNACWVSH HFTNDIQTRQYRSPEVILGGKWGASTDVWSMAAMVFELITGDYLFDPQSGTKYGKDDD HIAQIIELLGPFPKELWKNGRWSQEIFNKRGELRNIHRLRHWALPDVLREKYHFKDGK DSAKDREERERKAKDKKDSDEEEEAMEYHPSEVASFLTPMLELLPEKRANAGGMANHP WLDDTPGMEDVKLEGLVPGSRGEGIEGWATEVKKR PFICI_13322 MIGTQAQQPTPGAKAGPDVQASVEGQSSNSTEPPLMAGANGSSS LGKKRKKEGLKPIITTEGPSPTG PFICI_13323 MSDNESPAGSPPQTLHEEERDGEPKEQELGDYDVEAAGDSDKNN TEEDDLSDIDEDQFGDYDPAAAQIEEKPVEIDEDVARTLKAGKRKGLATKKPKEGRRE KKKRRRDEEDNDGADGEIVTGKRSRKAAAPSSKKPSPEPIDESTLTPEERRRRAIERA ALGEVKNKVKRRKKDEVDLEAELDEQIAALKTAMENACRDDNNYRQQGQPALQKLKLL PEVMSLLNRNNQQSAIVDPDANFLESVKFFLEPLSDGSLPAYTIQRDIFRALQRLPIQ KETLAASGIGKIVLFYTKSKKANKDVKRMAEQLLGDWSRPILRKTHDYKQRQVETRDF DYQAAKLRQAAGSSQLTLTQRPAASQAALREAERERILAPQNLSNRARITGLPAAYTV APKSTFAPRGDSSHRPIGSSGVEAFRKMTQKGKKA PFICI_13324 MERRTFESPMDWEYQNSGPLDPTSPFVQTAQRAQNNNAFGLPKS GSFGPQSAFSRTQSSPHKLPPPSPGQKSIFATSNAVLQRTNTAPAFRNPAFTTPRKPF DMDALSEASPAEDSPAQTDISENYPDTPETDNMRNLNKMALTPARSKALSVALNKRSP GKGDILRPVTAFGGREKVRKRKRRHDDKDISGFRLPYKYQEEWDESEGNDTDDSVYEP NKYHGPNTQPGRKQKGWFSGFLSVIQKHPDAPIVLGSWVTLMFNLAVVGLAMWLLWVV VASFRDDFWAAKQELRAVVVEEMAKCARDYADNRCSPREQRLPAMNAMCDEWETCMNQ NPDNLGRVRLGARNIVEILNEIIETMHWKTLAAFIALFAIFCFSGISLVKSSQSPSSF AFVPHPPQASQPVYHNPQLMYGHIPQTPRTRFLGHYQNDETPDTDASPDQKALPPPIY YQTPSRRSPSKGDRGRSPTKSRSPTKKY PFICI_13325 MEGGSRDRSVSAGPEPTSATSEKHPAPPGSNGPSDAAVNKRRRG LGVVTPNACTECRKKRAKCDGNKPCGRCKSQGVECIYEVPVRQSKENLRSEIEQLRKQ QRQSDSVLTALVKPELWEEVLTRIRSGQSVEGISEWLGGSLPSGGGALPTFSPPSGLP VPRPTLPPLSGITDLNFGSNAALPGGYGPLSMGPSMGPQYAQKHEYDQQQSPWAFSSQ SQTQSTRSSSHPDAMNWTSDAPSQNRVGSWVLDQGSPPDSTARYRGMDQILAPLDSPG LRSPPTTWTGITADGALVQHLLALYFCWEYPTFASLSKEHFLKDFLDGRSRYCSPILV NALLALGCRFSTQPNTRANANDPTTSGDHFFAEALRLFAKESNHHNLTTIQALGIMSI REASCGRDSESWYYAGQSVRLAIELGLHRLSGDVDSDELAVQAATFWGAFALDHAWSL ATGSLPQCSCFPHLPPKPTIIDDIEASLWVPYTDNGSDRLGAPLQRSCEQPSNVRSVY KCFCELSELVHKSLYVLHSPGKPVTSRDLLNTYTQYLNWYDRIPEVLRLGTNFTPAVL FAHMYYHFAILLLFRPLIKLRIIGSSVTPRDVCAQAADAIQGLSRSYSQLYTLRRTPS FVPYFVLTAAIMHLAVGASHPPAQVDTPGSGIAGLMNKHEEAANHAQPRRSSSSTAGP PSPSSTATAKLTPRAVDAINQGIADLTEMAPCHHFAEQALHILRYLAKKWNIPVKIPP PEHALSHEDLNQLVRPQTSSLNFFVPSVAEDDFMCAWGTGTSGTSTSLAHGLPGPGAG EETQTYSRPRPKTTNSDQSRPAEGAEQIQGSPPHPRMETELGAGPMAHTAKSIENPLF WPFPMQGRPMLPSGRLLEEAGFALL PFICI_13326 MATSIEVADDAVNAAPSRPDPEKTPVGASTERVSDQIPHKMLEE LAPNGEADYILDKINGMSEDEAIEILHEAVQFHADDWNFPSEMRDRMKRLLEGPKAYG EFYERDLRIDAVLMRYSSPYPGVRSVAEHTDDTHVPIETFRAYFLGIGWAVIGTFMST FFNSRFPSITLSGSVIQILLYPCAKFLEKALPDWGITVKGVRHSLNPGPWTFKEQMFA TITYNIAIYTTNSYGMILVQKSDVYYGEKFVNFGYQLMLTLFVQLMGMGFAGYLRRFS VYPVKALWPTILPTIAMNRALTTPEPKENIYGWTISRYNFFYVCTISMFVYYWFPGYL FTALSTFNWMTWIAPENFTLAILTGSSLGLGLFNPITTFDWNVATSSYAALAMPFFAT CTQYFGMYNTAYLPINSSSAFANDGTAYEVQKVVIDNKLNHTLYQEYSPPFYSAGYVL TVGANFAFYPVYFLYIMFNQWKTVSQAYVDFYQGLRRGKGNFEGAMDIHNRLMSKYPE VPDWWFLLILVAAIVVSVIFLKIYPLDTPVWLVFLMIGINLIFAVPLSFLSATTGTNL GLGSLIQVITGFILPGNPNAFLFAQTLGSWALAGYGDNYVQDQKMAHYCKIAPRAVFR SQIGTILITCFVAVGTQDFILNNVKGLCTADQPSKFTCANDGAPLYTSSLMWGLLGSE RMFNGLYPLFKWCFLIGTLIALVFLAGQSLGPRYLPGVREKLRVQLRPRTFALLDRTL FPFVASLLWLNPILIIQGIQHWAPSNLSYKTPGFILSYIFMYWLPKHRLAWWEKYNYV LSAALTAGVAICALIKFFAVEYDPVSLKWWGNTVSLAGIDGSSTGLLPIPERGYFGPE KGTFI PFICI_13327 MSTSIISSAHQPDILTAPCIRLASKPQLTEAISIEDDWTGTKDA AARRRAQTRLNTRAYRKRKALAKQNESQSIVTRKPIKSEALVQCWDINQQSISIVPAS RVKRAYDAESPLFPKVEARRRGQFDIIFPLSSDHLIILLQYNTLRAMVVNRTLISGIL ATPLACDEERIHVLPYPTNPEGIPATLLPTTLQQTVPHGDWIDTFPSPVGRDRLIRAA GTFDEDELWADSVGGLFDGFPDDDVERRGIIAWSPPWDMSGWEVSEGALRKWRWLFDG LPGALEATNRWRKERREEPFVYED PFICI_13328 MAFHPDTLTDLGGKVFIVTGGNSGIGFYTVSHLAEHGAHVYMCS RSRDKGNAAITNIKQTHPAAHIDLLQMDLMDLSSVVAAAQHFLTLETTLHGLVNNAGI MATPFEITKDGHEAQWQTNYLAHWVFTEKLLPLMQKTAKTLPPGSVRIVNLTSSGHLA SPKGGINFNDPSLKDSSKWTRYGQSKLANILHAKSLDKVYGPGSPSARNGEGEIWVSS VHPGMVETNISASVESPDTGFGMGSVFSVARKFGLIWPADKGSWNTLYCIASEDMKAE QSGGYLEIFARFGEPKCQNAAAKDEKLAERLERWTEEMMKREGWVQ PFICI_13329 MGATEFVSPGPSASTLDPSISNAIHPVGSKFHIAWSGTNLTRHM SVVLFQYNETSGGLVYPFEDATPSMLGAESFDWTVATDKNLSASTLFLFNIFYEGDTS PSAVSETFRITDDSTTSSSTTTTSSSTTFATSASLTGSTTSTISTTPISAASTMTSAV DTTGAVTSQTSLSSAEKNADTDGLSMGAKVGLGVAIPAVALLGIAAGYCFFRHRAGKQ KKHHVDKLVTQVEPQMYQEPPNPPPYYRYEMDVVNPPTTHELYGDFTGR PFICI_13330 MPNNVALQADIGSLGLSGLGAFTTLLSAMSKDNVQPMAMMLLEN LGSLFHVNGPYASHVPEVMTRAVSHPVGRLSLAVGWRRGDAISVFAQTAGGQAITLLA TCLANIYEEHRYGLILSNLCTLLLPKSLPRSSPTQVADIAKLVAAKAAPLSFGNVLAQ QTHRVLSVYQQLQLKPPTQLLEPPSVESAVQLFENLTYLQNGKDCLVRVSGSTSILYI IAMVLFMFPSCTMVTVESMVIHDNEEARIIIEVSEALTKVQVETKLDPCQTLVATPIT PLRRRYHETPLQPSLQWDGWLKQALCLQLARFGLIFTHDLQETFCEFMVQIAPHLKLC DFRNTGRRFSGHPMLGSRKRFDELLGPHFRYKLASTCREICLYDPQQKIQDPVAQWQQ LIKVLERGFKSVKCTCGQCVTPFFRWPRCQRECSRQSISRIMGSALAAGILCCLLEPQ GPVNMTLDDFSNTLSGPVILRVLGTLGLEGADLTEPPDFHGSQYGVYRDILSLIDSRS SYNDSQLGLSCGGYSIYPRVLDSFGVDSEGRFTFKISEGLFLHNDTYHHFLCEEDERP KFDLIAKPGKELAPLSIAKLGEPLSLTVSLREGFGGLAIRLNVQRSGLYFNVSPVDTV LGLMYLDTTQSCDHGIGETLHEEYEAQVAIGSIGTVPNKEFELNITTTAGNSQAQFLA CNGDGRGDLLLKCCLNCGVRQALEGGYTNLIVT PFICI_13331 MAPQSSRWAIPLVAGLAAPVGIYLTFIILGAFPFFQRHFLYAHK LNTLFWHDIDKPEQWGFARNQVTPFPIETADGETLYAWHIMPLPTYLRNEDRLQAEPH GFVEDFSSTESFKVLQEDPNARLVIMCKSFNAGHIAQAIRPGSYHTLTDSSTYHVLAI DYRGFGKSTGVPSEAGLINDGAAAVDWAMKVAKVPSSRIVIMGQSLGTAVTSGVAEHF AMQGIEFAGVILVAGFSNLPTLLSRYTAGGIVPVLSPFRSVPPLLRFFHSFIVEKWQS AERLATVVGLTRSRLRLTLIHAKNDCEIPSSESDTLFRSAATSLIGTNLDDEDFAEWK KERTVLREDGTYIVVAKGENNVVIREELVPYGGHNDVMWSSPVALAVMRCFEIDH PFICI_13332 MTGGERKRKNPPRGAARAEQVVKKRTVTPPERSATPAATAPPPS PPPPPEPVVEETPLPKSVQAGIPLPTVETPQPDDLPGKEYQSIQESGVLAESLNRSRQ KWINEGIFEKYWTKPVKRKGVVKEEPNNPPKDSMQKIGSIIITIEPHVLEATMFGIKD NQKPPPTTNSTFRPVMQYGPPNGVMPPPPKPSTPATPATVPASPAKGPMHPSGAPVSA PAPTPLSQPPIKNPPALAQPGIHTQQQQLEIQRPPLATAPAHAAPVAAPPMAAPSSVL AAPGPPQPPQIAQPPLRSPAHGTHVQPLASPAGPMAQPGAVRPPQAPSASPTPANAPP RASTSSAKPATPTPAGTDPIIVTLAEKASHDPHLRDLMKRVAIGQAAPDELQHFQKII DQITADYKKSGGQQGPSADRLIVDGRTVKYLADEVRTILDVVLASNPHQKASDLKVPH DSDPLIILLVKKSLEDHTTRNMVRRVAENKTKFSDATDLKAVLDRLKDLLPKNAAKPQ QPQQQAASTPEVVHPTTPAKKVPASHAPAAAPQQALRSKGPAPSFKTEFSAIVLEFSG GTGDRYLFPKYSILEYQQGATEVLASFLIVRKGSRSEYGGDPALDYYQPMTIRIASPN GKNLDQIARVVAPQDEVKRYMDDVMDNMTRAEYVLLAMRLPRPEKEDEAAGRSETPKM DKPQQTLQAPQPHQGVLWTTKAAPTKLVSQPPTPKVLGEDEQYQNFIASVS PFICI_13333 MDIDGHDSESSVDVLIIGAGPAGLMAAAWMAHCGVHARIIDKRN AKVFCGQADGLNPRSQEIFESFGFVDRFRKEAYYLNEVWATSSPDENGVIRRGERTPD TPPGLSRFMHATLHQGRIERFFLDNIKKYSKDTIQVERGVMPESLAIEETKVHDQTAY PVTVKLRRLNEEESTPKQHGGAQFADGLFRSNLFGEADEDALLQRSYERAGESETVHA KYVIGCDGARSWTRNVLGFELQGESIDAIWGVMDIVPFTDFPGIRERSMIQSAEYGSL MVIPRENNLVRLYIQLIEVKLDATTGRADKSKITPESIFDAAKKILQPYKIEYDVCDW WTAYQIGQRVGTHFQAHDRVFLAGDAVHTHSPKAGQGMNVSMQDCYNLGWKLALVIKG IAQPSILSTYEAERRRVAQELIAIDHRLSRQISGKPVKDSADPTGINPDDFKKSMIEG HLFFQGLSINYGPSSLIAKPSEADEHGNGGGIAQSTKINAETFAKRQALATGLPVGMR FNSCKVLDQSDGRIWHLQEKLKSDGRFRIVLFAGNILDPAQKQRVEHLCTQLDAPSNF LRRITGTGSIDSIVEILTIHSAKRHSVELLRDFPDILHPFSRQTGWGYHQVYCDDVSV YEGFGDAYVSYGVDKDRGCVVVVRPDQIVAWVGELDDFDDLQSYFQGCLLLSD PFICI_13334 MASQTFHFREDFESPRDSDWILAAFDGALPHMAAKGSGQQWGSR PFSERDGARDRVAGWLTMSETSRRRRDGDGEVKKDQDGGGGGGEEGRLFIAEVELPAD ADTDADTAQGWRVRTDETSGKRFLSVAAGGVRTGWWPTYFQDFEQTKQLIKEADAEGG VMYLEVLISDFRTGSHRKGAGGALVAKIKEYALSQGAKTMYLDCFAGNGGLLVK PFICI_13335 MSPTSITHEVAADAGAGKKHSWFRSVAFQIIIASGVSFTAPGMW DALGGLGAGGAAEPYAVSAANALVYGLFSIVCVAAGAINNRIGLRYGLALGAIGYPLY GAGLYTNNVNAVTWFMLFGSALCGISAGFFWAAEAAIIIGYPSPKDRAFYLAIWQTAK ALGPIVGGAINLGLNANRDTAGSVSSATYIVFIVIMCLGLPIALCLSPAEKVWRRDGT KVVVHKEATWGAEFKAVLRLLASRRILLLLPAFFISYFYNGFLSTWLTTYFTVRSRAF SSFFTNFAGIFSSFIIAGLLDRQNIFIKTRAKIAFSAIVLILIGTWIWAIILQKQFYD APEAPVFDWFQGGFGKTYALVFFWTFAGQAFQQFLYWLVGQYAESLSSLSHHTGILRG FEALGQTVAWAMQSEGNANHFVSIGLNFGILLISIFPTWIVLSELDHTHEIRVTEEDV AAAESNDEELATTDHSSK PFICI_13336 MRALNSTASKTIAKVNSGKPSQRKNQSPATEAQPYDQPATHAHG SRLALNVNPSSTARPRRPSSRQSALSSFSATSSSGNLSSTFKRLPSSEALRAKASRPT IITATVAKPSRAAPISGANRNQNNKLTTASHPPTSQAKQPSSVTSRLSRSPHAARLPL NSRNQNAAQMTQKASSMSRNVKVTMPPDRSTNPTAAGRPTLPHLSASATRSSNRPPLT PKIAASKPTQAPSLAANAGNLSVRRTNARSTNGSTVSARSTPQPPDDDQASFLGHNIT PRSGKRQSRVDSANSTPNGTPDPGRLDAWDRDLRVAYPSSPGGHHGEAARRPVVAFSP GPAEPRMPAKHELHVDLDSKFFHASDIKSNQSAQPKPTIAKSSNFLYASGLSAHSAES KPSTGGLYTSAPSATSSQNDLSSKFIYANGTPDLKPGPSTGSSRPGSVISVNSKAAPN RAPTSAPSGGLSNSQRSISPPKNGQQPYVAQLKSPNMPTATTRGQGTLPPQLGPAPTL RRTSTGTSLSSHSRNGSAVMGEADLKGSFISSGPPSPLPLASPSQPPLTLASIIQAAE DFGEDESVGSHDDSHSEVQSPTKSTHSGDPVNDLVANARRERKVQDLQITNASLEAIN RTLERQLRKQTSELRRFRRMSRAGRLSTLSGAESSRAVSDSVSGVVEDVDGLPLSDLD EEVSDELEGEEDEEPFSDTDSASESVSPSLMATRDARYGRKDEQQLQRDLSKHKELLI DSQKINQSIKRCLDWTEELIKEGKKALEYSIRPSDVVVPGPRVLNPADEEEHTQASIN FDQDAPFEDAHNETDDEAIEPERIMPLEPRLAGWKPDSEAQGSVAT PFICI_13337 MHLVMQQTVEAARSSKACTQCRERKIKCSGTQPCRHFSRRQSEC VFPDGGKKRLYSVAYVEDLKRRASIARHTDQNIISDPSSTSGNGAKFGDEASTRPPNH NIIDTGLSDSPTDMTDRLALDPVMSSSSRFGSHVKNLKSKASDSWDRSAEAHTDSYSL LAELASPVEDAPTSLHWPTQEDAYSLLDHVLTSIGTVQHLFDPRPLGDHLSLVCDQPQ TEINIGSLWDIELLLVLAIGELLRGETWVSGSLPGARYFQEAARQTPGIVSLRASGIV AIEVMALCAFYLQCADCKDDAYLYAGMALRLAISHGMTAMESVGQIRQSDRTHRNRLA WSVYMQERRLAAATGNPFGLDDTEMQARWPFESPGFASATALSTNVRIARITGQIIRD VYRRKHETDDGFVKTTQDILNQLLDIDQKMSREFSIDYTVDTCITSRTTATLRLMLLQ AKMLMTRPVLLYRTRENFCPPTELHTSRTDEPLILRLCDICIDSASRIIKILQAIRKQ GILSKFGFFDLDAAVSAAFVFILAEASSSNIQHYRNDVKGALDVLSYLGTCGNRVAAR KLTEIRQMCVQLDIDTQYDTEALEEGSQNDILDHPGAGPSNDLGTGNITPQPHAFSGP TLHGEGFEELSLSACLASCEGGFNFLELYRGDGMDTDGTIEMDWRAIERAILETEPDQ DRTSQDWC PFICI_13338 MGSLEESSSSRPLIANNNLVSLAASGQICTAFGIKITRGGEIVQ IAKASGYDSLFIDLEHTCMSIQDASQMCITSIASGITPFVRVPHQCGHGFIQRVLDVG AMGVIIPHIHGIEDARKAIQVTKYPPLGKRSVSMGFPQFHYQTPPMNIFLNEMNQLGS LCFIMIETRDALEAVDEIAALPGCDVLLIGSQDLATEIETMPDWDAPAFWQALEKVGY AAKKHGKLLGIAGLYHRPDILGRVINELGAKWIVGAHDVGLLSQGGRINSDLLRSLQK S PFICI_13339 MAPYLDSTNGKDTATPEEKPNIYLLEEFPAEAVRYCQERFRTVL PTDPEVHNWRENAESILVRELTITAHDIASARKLRAVGKQGTGIDIIDKAACDERSIP ILNTPGVNALSVAELVLSLVMAVKRQLRPISTRQAAGLEVRKEHCSGSMMTGKPIGVL GMGAIGTLVARMFQAAFACPVFAYDPFAPADAWPDIQHARVHSLDELLPHVDMVTLHI PLNSETRGLIGLPQFRLMRKDAVVINVARGGIINEADLLQAMEQGLIAGAGLDCHEQE PPTLEQYGRLWDTGKVISTPHIGATTVETQSKTAIAAMDRVYAHLRP PFICI_13340 MSATNPDKCIGEHVEKTEPIVKDDTIPLRHGIDSDEERAVVKKL DRVIMPLMALVYFFQYLDKQSINYASVFGISQDLDLISGEFSWAISLFYFGQLVSEYP AAYLMSRFPVVRFVGICIILLGIVAGCLGATQNFTGLGAVRFLLGFTEGAVAPSFMII TSTWYKREENPIRVAVWVCMFGVSQVAGGLLMYGIGLSHMSISTWRVMFIVCGGLTVV SGILFLVFMPLGPSTAWFLKEHERKLAVERLAWDRATRDRSEINWNQVKEALTDPRTL LYGFMALFITIPTPIVKFSSKVISGFGYTPLQTMLIGLPSGGVSFILIWIGALGPLYI KNSRCLFGTFLAMMPMIGSILLLVIPSSHPWGIVAGTWLAGSTAPPVGQAIALMGANV KGNTKKSVVGAVFFIFYSAGCIAGPQLWQDKDAPRYTKGCTSSIASWTCLIVTMVIFY LSGKNSNRKREAVFRAQGAAGVAVDLASVPVDSDETEREDLHFRYSL PFICI_13341 METLLALWRGKPQIEQSQNTTSEESASDESSSPTTKTTPSSGST QSEAKPMVASSPPSIVAHASDTSDATSSSSPQTTPKARASSAASSTSSGSIPSFSLSP QPPASAAAVARASGAAAPPAIPSLSAAPVPTPATAPSSLMPPPPRPPRLNGVNNSSSL LSPTPSRGGGGLAPPNSLASSARQPGTSTLAPPPTHSTKPAKPSRKVILEPGHSPLDW ARLANSPTSDLRNLPPGTPYLKVTPSMLKKMTGRKGKDAWTALGGRVYNLTPYLPFHP GGEPELMRCAGRDGTKLFGEIHPWVNYEGMLATSLIGMLVEEGEEAGNGKMDEMD PFICI_13342 MWVLEHEGDALQGKRLWLRPGKRYLFGRTIAEPGMLAIHGHKAT SVSRKHVTIEVDAVRKGDSQNLSTRSKVTVEDLGSKLGTTVNENKIKGEKYVVDQEEN DLKLGNMSGFKITWFPVVLSFSFSARELEAGAQTRLQSNFEQLDVKLLAEIDSKFTTH VVNKKRNTAKGLQALINGTYLVDDGFMNALLEATRADDLGGGVTRSALEADFDAHWPD AMDFLPAPGNEPVQRPAEAFAPNPERREIFDGYTFIFYDSKQHNSLIGPITDGKGKAL WREVVPNETQIDDFIRYVKSVAGEKGLGEFEDGSEGKGVVVVRYVPAEPAWYQEFYTA VSLRLDHRLIDQKDFLDAILACDASGLRRPLEVETPGPTQNEPNVPEQPMDVDIEQPP ASPAPRVMTRRPALTTRKKFKGFVSDSDSDGDSKADVLAEEVLTAPSQPPDAVESSQE GLFVSQEVDSQEPEAVVETAQRSQRKRPAPRSLDEDFAPTAAQLKRRRLELGQDPIPR QSTPETSAQPETDLETTKGRKGTKAKDVKVKKEFDVLEIAGRNREEAEAKARAERDEL EANAEDLDLAEIGRLQIVETMELRRDLGPTRTRDQDIADGRWNPAWNGRKNFKKFRQR GAVEAARPPQRIIIELEEAKSNTGGIGDDYWLEDEATQKRKRNTQRESQSQASGILST NKSTRQSRKSASVQNGEGESDAGNTLMEDLDASNQTENVDDDEEPVSSAVRRRGTRDT FTPQESLRSQRTTQRSTIPRGAAKRPAPAPPAKEQPAKRSRRDNIPDSDDDDSEDELR FRFRKR PFICI_13343 MAPVKELSNWLPTRSIPELATKIIARQTATTTVVAPAPNNNNNN NNLSGGAIAGIVIGSVAGFLLLSWLLYSCFNLSRPRNWGETFGEKDTVYTRSPSRSRH RHHHHHHHSRRNSEVRPVYVETRGRSPRSPQPVYYARDVRRSKRDGRSYYG PFICI_13344 MLFNVDWFLWLTLPSQAQQSQGVGKREGPYWLHITSDKNISVDG YAYRDPNSRTGFFTYDPAKSGHDARISEDSHFMLYRPSNSTDNRADLISYSNPGLKTP DPDDPVPGAAHLRLAYTPSTPLAQAYFAHPEDNYTWPIQYVSVQESSGRLGINGSSNI FGVDAEVGEFLSQWFICWGMFTTFFWSVAPYETSNYCTAVQITLEKIVK PFICI_13345 MSLNNSIASNVLKKLGDQAGSMKTLVSLVLERLQKAMAKFPRIP GALGLTKVLVAFSAIYTLNKALTHRVVGGRDAKNAFKRGEEIILITGGSSGMGLVMAE MFAKQGIKVAVIDINGPKGTLPAGVKFYQGDVTSPESLHKAAESIRYDLGHPSVIINN AGLLNLGSIINIDPAKVQRLLNVNVTALFLVSKEFLPAMVERNHGHVVTIASMASYVA IAGMVDYAASKAAALAFHEGLTQELKHRYDAPRIRTTVVNPSWVRTPMTAEIARHATI KGPMIEPDTVASAVVKQVISGRGGQLMLPWDISYLASLRGFPIWLQEYFRSTNAGILH WTHV PFICI_13346 MAPVTAHLIALSPSSDAKSFEHALKTFRSQANGSSLLWAGYCHH WIHEPQLSRPALTGIGSILKQWDFVLVTDQTIPNAQGLPVALLNLVSDHWSITFDAAD ELINGVEDSMRDVISKSPPALPAGWSPEDLSGLRKSAPPADLVTSLGTRSPVFGKDKS ASLMDLKTFVESHVLENPGPIFMFNLLSFFPNQQAQYLNYVSAFVQEIGPKYGARPVI YGFGVSGWTSRPEDAADPGVWENVAVIGYPSLLHFAKMLDDARYAELDRAYKGGVVRD NPLICCTTVDFTA PFICI_13347 MHFDLNNNNNNTHIPLTRLKMSAAMSALPRSRTSPGDADFAYKP LSADADTRLVELEPAPDASFPLQCRITELCLSSEDLIEYEALSYTWGASEFTETLYVI EEEDKTSVIKITTNLRDALHRVRLRHQKRLLWVDAVCINQQDISDKSKQIPVMAQIFS AASRVLVWLGTSLEGQRSLVDIKKALRFSSRDSQRIEAHLSNLESSFSNLVKLPWFSR RWIIQEVVLAADAVMMCGAEEIDLIHLFRVMHGLVRKSKLPPALVPLDTISKLWKTWV FDSKPEGGLRLLELLSLFHESSCQVDLDIIYALCNLASDCVVVEKQGQAHENKISVVV DYSQTAECLYRSIVEPLLGLKVNAEGVVEKVLTDQAFGVDHDQRYLEVLRAVVERCDG SNRNFMAWLPDWRLPKRREPIFQLLKEANTPRDTFEMHREFVRPRKKNTFGRLKIVNS VLEPFPEHPDMAEVKNWLQTMRDRFADYQPHLSEDQFLEVQSAQSMDFLVESSLFNRK TRQMSPDCVWCAFLFIVLEQGTWWSSPMSNRTVDLKKLKDGAWSLCLLSFGGQYEVQV SSECLSFLCSLFRGRRIFSSRRDDGAHDTISGLGIGPDHLEAGAFYNLDFAQHTELEY SSQEDLVRQQLLWQSLAEREGLVLVGDAGVYQLADRKDWHRYYPYQDLYEQSGNL PFICI_13348 MSTSRADLFSSLVILPTANALGHLMPAVGASWATTGALVWDYPI LILLFSVHTLLDSFDKYRDIPSEKKPSTIITLIWAGTLMLSISGSSYVSGFSSAIRIV WFLCLEIFWAVPLIPLYSRRRGFYLVKLRQLFGPLKSAFCGVMAGLMDADPASHHACI ILTADCGAGPQRVQALAYSILYNFLRESFYDARDIEEDKQDHVSTMATFLGMPNTIAL LLAGAVAGEAWISGAITLEGNTRAVGVVGLSSWIVATQTREKRLAWVFFSLVSLLPAW HAQAHL PFICI_13349 MTFTLSLRQAAFGFLLLNSVATAQFSNGHNGELVQRESAEDKRG LFDYVNGIVERVNAVAASGTAVAATETVPAPAPPTGTPPAPPAPPANGTEAAPPPPAA TDAAAPPPPPAANGTAPAPPALIAADPAANGTAPPPPPAKSDAPALAAVPPAPDANGT APAPPPAPAAADVNGTAPAPPAPPAAADVNGTAPVPPAKADAGPAAATPPTPPAAAAA NGTAPVPPAKADVAPGASAAAPPPPPAAGNATAAALPDPAKKAKADGKSAAAAPPAAS APSAATPAAGTPAAAATVAALAAPVPPAAKKTTGKRFLRYYQA PFICI_13350 MTFSSEEWEEISQTIPSTEEPFFQKYIEGRDALIAQEAKQRSDA SFRQNLSPIAKKACDIVARIRDEEKKTHWTSQFEDSLALKEENITVHPGMMFSLSKER MESTKLWKIIRQMPKGALLHAHLDAMVDFTFLIDLLLNEPGMHIMADQPLTSPAALAG AKIVFRFRKTALTEGNIWSSGDYEPGTPILLTQAADAFPNGGKEGFFKWLYSRCSLSR TDAVAQHHGVDEIWQKFFSCFRVVETIIHYEPIFRAFLRRLMRQLKDDGVNYAELRFS WGLDYFLLNSEVPEPDYVAMMDTIDEEVEAFKATPEGQGFWGLRLIWATIRINPLRNI VQSMDHCITSKITHPHLIAGYDLVGQEDLGRPLKDILPELFWFRKQCAEEGVNIPFFF HAGECLGSGSDTDLNLYDAILLGTRRIGHGFSLYKHPLLIDLVKDKKILIESCPISNE VLRLCGSVMSHPLPALLARGVACSLCNDDPAMLGQDTAGSTHDFWQALQGWDNLGLAG LGSLAENSVRWAAFEDQTPDEWSRDIREATVGSGLKAQRLKEWAVEWEKFCLWIVTEF GDPE PFICI_13351 MSKRSNTAMMRIAIAGGGGFANILARQLSETAHAMIVLSRRPHP ELETYGCQVITVDYSDLDNLQFTLRGVDLIISTISGPEQINLIDAARRAHVSCFVPSE FEGPLSRRPTDDPMERGSSTALEFLERCASSRSRPMRYTVFSCGLFYERFGPGGLSSL NMGASFGAHRPSGDMLDLTAGIAEIPVTNSHGNQVHVTMTSVYDVALFVASAIELGVQ NWPREFRMRGAYVTTQRLVEISQEVTRTELEVFSRPYQQLHDWLRYHSDMQDDANARK MIHLIQVADGRYAFTDANLNELVDFRPLGLRDWLQSTWGRS PFICI_13352 MSSEEEVLSGPAAPEILEDRIWVDGCWDFFHHGHAGAMLQARQL GTELYVGVHSDESILENKGPTVMTLQERLAAVDACRWVTKSVPHAPYVTQLDFISHFG CKYVVHGDDITSDSNGEDCYRFVKAAGRFKVVKRTPSISTTDLVGRMLLCTRTHFIKS LEKTLAGEEGHGTDAEKKAVGEAMSERIAQYATDETGKAPGADVWFWSASHAAKSQAT EEEKGSFRSHLKGPGPQLGQRVVYVDGGFDLFSSGHIEFLRLVVEAEEKLARADGWFD QQAVDERKGKGGDYGPVFVVAGVHDDEVINHWKGVNYPIMNIFERGLCVLQCRHVDAV VFGAPFSPTKSYLTSLPWGTPDAVYHGPTSFMPLSYDPYAAPKEMGIFQKISSHAFGD VNAGTIVQRIMKSRDLYEERQRKKGVKASIEAAARQREILEEEQLQKEQERASSG PFICI_13353 MTPDVKLSGPYGGLKPEQIEAFWRDGYLIIPNALPPTTTQALLD ETHTMLEDFSLDDHPMTKFSTGETSAHVGDDYFLDSGDKIRFFFEEDAFDPATGSLNK PKARAINKIGHYLHALSPPFASLLDPALNPDLLGAGTTGGSVEAGHKESHPAAVARAL GFRDPRVLQSMVICKQPEIGGAVPPHQDSTFLYTDPPSAVGFWYALEDATVENGCLSF LPGSHRWASVKQRFVRAGEGKGTTFIDTDGPRFPNDKEYGEEKSNGTSAETGEWKLGE VKAGSLVLIHGNLLHKSEKNLSQKGRIIYTFHVIEGEGAKYDERNWLQPPAGGFTSLY RD PFICI_13354 MAENENFEDDLFADLYDDNDAPSAPAAAPAAPPTQKVEPSHEPA PVATAVAEVNASYDNNAFSGDIHGQGGDYHDNEEAYDDDDEVDFNLGNGSSHNVAPKQ EESVAAPTFHSAKGPGAKEDGKMFIGGLNWETTDQSLRDYFSQFGEVTECTVMRDGAT GRSRGFGFLTFKDPKNVNTVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQDT TEAEFKDYFAQFGRVVDATLMMDKDTGRPRGFGFVTFESEAGVDNCTLPGQVLSINGK PIEVKKAQPRNNMQEEEASRGRGKFGKKPGFDDQNSNQNQMQNQMNQSGMTPQVMAQY MQRMQQYMSMMQQQAAMSRGMGMGMMNPAMMQQMMQMQMMAQNGGQGMNPAMMQQMQN QMNQMGNQMGQGGQGNDQQQQFGNQQQMFGGRGGRRGGRGGYQGGGYGMGGGGGGGGG GGGGGHAGGDPTSWEGMYDDVPQPNYNQGGRGGFNRNRGGGHQSPSPADPNAAPPPNA PTGPKNAGKPGANYRGGGRGGNRGYHPYQR PFICI_13355 MFSSSGSGKKPPQQEMVQVDRSESTSPFWKLQREATPKKTGRES NLVVGASYSHADMLKFDSLNVSGSSSRPSSSRPSSSRPRTPPSSTSLKGRSSDVSSPP LHKKGGASSPPIKSYISFLSNTNQDWTADDPEGDDMYGYDDDDGDDFGLPSLSNMKRK NRRIAAAQGKATDPGASSPGFESSFGFPTRRYSNSADIAIERPMPAYPMPKKSEGKIL RPQYKDILKDPANALHLINHPAIPANASQKEIDALNSRVTRINKFKKILQATTISLPD LRALAWGGVPEEVRAMTWQLLLSYLPTSSERRVATLERKRKEYLDGVKQAFERGASAP ATGGRARGLDEAIWHQISIDVPRTNPHIELYGYEATQRSLERILYLWAIRHPASGYVQ GINDLVTPFWQVFLGTYITDYNIESGMDPGQLPKPVLDAVEADSFWCLTKLLDGIQDH YIVAQPGIQRQVAALRDLTARIDADLAKHLENEHVEFIQFSFRWMNCLLMREISVQNT IRMWDTYLAEEQGFSEFHLYVCAAFLVKWSAKLVKMDFQEIMMFLQALPTRDWTEKDI ELLLSEAYIWQSLFKNSSAHLRGGPSRAPSGSLQL PFICI_13356 MAPGAISNVQNNGSDVDLTATAIDHKMEEAQANGSSNGSKVAYE ELDASKLTYSFTKSPLPVPEAGVTSSGNKSICTDHMLVANWNMETGWSAPEIKPYGPF TISPTASVLHYATECFEGMKAYRGYDGKLRLFRPDCNAERLLMSSVRISLPSFPPSEV EKLVKAFMAVDGPKWLPRDRPGSFIYIRPTLIGTHSQLGVAAPAEAMLFIIASYMPQM DAPPGGMRLHTSPADMVRAWAGGFGYAKVGANYGPSLLATSEAKTRGFHQILWLYGEE GLCTEAGASNFFVLWKNKETGRTELVTAPLGDKIILDGVTRRSVLQLVRERCADEIDV VERKYTIDEVLEADAEGRLVESFASGTAYFVCPISLIHHRGKDVNFPMGKKNEGGDLT LKVKNWLKDIMYGREDHPWGYVVPEEQ PFICI_13357 MDRMTIVNAVVDFINAKHSLSAAFLVFGRNPFASMSSPSPSLRR GAEPPSPLELRTGRSFKRRFDPDVTDDQDRGKSPKRRTLKNYVSFNPFESQHQRPNVS IPGKRLFVDRQAQKQPQHPPPRPSTPEPPLMLAIEDSYRKYQTAKFSEVLTKIDATSE HITEEALSQTHAGQLAMEKFSSKHASILESVLEVKTTLSVSSPNGTRREKDVLISDMI ADAERRANTTAKELEQLWADTTVAPPVGDLDSEHAAAMAELEEELDKMGENTIGEYDK YEKVRF PFICI_13358 MHLSQTLLSLPASLVMLVATQLQAQQILLPTAIKKMSLDEGEKI MPEHLGFAPEYAPLQSRQQVRALLTPEEELLLAVNSSAIIGYRPPFGVHAVGVDALEL RNNGPSWRRAKEALHRLQGRDYSCPTNTESCESIGQPNYCCATGETCVEVEDQPDAGN VGCCPDGQTCGGTVGPCGSDSTACAAEVGGGCCISGFVCAQIGCIASTVTVITMTTTS STVLSDSTAVTTVVVTTSPSIITTESTSTSSTSTSSTIVSTSTASATDTDTTSTTTGT ALPPWRPTSGSESNTITTTSADYCPTGFYACLATYGSGCCRTGRDCVTTSCPPISSTT ITSNGATIVVPASDVPTTTATSTCASGWYLCGTAAGPVAGCCPSGYACGTASCTLSAT TATATVQKELPSNASRTTGILSLAMGVAGLLVVLMT PFICI_13359 MATSPLVLVPTFVGLSLLGAYAGPVTLGLNGFGDANWIYILTPA DPAQVGLPGAPKPFTKDYTGVEAVDGILAALVVYFSALIDGDIEPRFKLYGYCAFWQL GALVVILVLEGLRGGNRGRIASW PFICI_13360 MPLWVLLFLLTSPLAKSTSPAHKSSLLVDLWDITVMPLSVFLTI MLPTIAMYLDPSTVSAKDHYTALAFWQLFPIWHYLAHTILSTVGRTLVGPVDGTTQGV VTHSAYLSRVRGLYDLVFLGGVMGQLPVLLLALAPDNVLSSAAESFPWMKPYVTAGVT LSSVYVPLSPFNYPTVDAKSIGSGDLAPLAVHFLHWDLYIGAGSLCLWALYVHRTTVK KTSLAVLLAKTALWFSLGGFASAVAALLWERDVQVLESDYDIKKA PFICI_13361 MKVIIPLTFLTAVMAQSEYSYYQSLYGSNSAAFSNCVVSLPGGT YRTLGTDQGCTATATTTAESSEDTGSASETGSDYSASASSEDSGSDSVSVTGFGYSTS EDNGASATTENGGGGGSGGSATETASLVSQLSDGQIQAPTGSTTYTATSPAESAGESA GASAGESAGASAGESAAASAGESAGASAGASAGASAGASAGESAGYSAGQSAAETAGE TYGATAGGESTLVTATSAAAGGNYSASAATPTVAVSTKSAYGASATAAITAGGAETYG PGTMLIFAAAGLAILL PFICI_13362 MATPDLPIVLFHYVGSPYARRLVWYLALRQIPYTECMQPPMLPR PDLAALGIAYRRIPLMSIGRDVYADTRIILSKLEELYPTSDAHPAISASTAEGRAIEQ LISRAWTDGGIFTAAAGSMPSNAPLLKDPKFASDRADLVGLPDGAPSPFTREALEARR PDSLSMVRDGIELLETTLLADGRDWVLGSAGPSLADIEAVFPFIWLAGLPGALPADVI GPSRYPKVFAWIDRFGKVTAAKGAAGKPKAVTGDEAAKIITGSGFAESDETVDESEVV VQAQGLKAGDDVRVWPSDYGSKHKDAGKLVAITSKQIVIETQGPAGKVRLHAPRHGFK IAKAVQGKL PFICI_13363 MAPPESKPSDNPPPVTNGDKKVTVPKVENPSNAEPGQPLAPPPK PGESGDDYFSSKLSLEPNPFEESFSGGAVVETPGGTKLPSVAALTSPSSLLPGNGNTP FNWGGGSLRTGPLSPAMLSGPAADYFGDTSHSLRGGFTPNESSLRTGLTPGGSGSMFP AASPNSAALFNQLASGTATPGTLDFQRTALNAAKARNAVTQPPTTSQPQDTASNGVAV KTESKPVPGAFDPHDNDAANGLFMLAQGAQQRNGAAPANQQYPVGGNQPVHAHPHPAP VAPTNTSPQMNGNGSSVGATSIRGVSEAASGASEEMEQNRTTARGKKRGSGAGTTNGR RKADEPPSKQPANKKAKTAAVPAPPSHDGEEEHTSEEDGGDHDDDDDSKPKSKMTDEE KRKNFLERNRVAALKCRQRKKQWLANLQNKVEIFSSENDALTAQISQLREEVVNLKTL LLAHKDCPVTQQQGLHGAFMQQAMETYNPQMNPYGMAAPPMASNQPVMAGQPRRYS PFICI_13364 MSWEAHLPIAPRGTASAPQTLKAASSPPDLTISPFAAHLLCRTH PLTASISSDTRAYGFWTGFASGRVESIQLTRPPPPPPPPGLSTRVARWGSRARGATTE PTPIPTTRVALSIYSIWIHVKSHQPSMTTLVQTRQPLQVLSMSHQPKRRRSERIASYD ENDGDFQFTRATKKAKTTIPEPIPEDDVAPAPAPAPRRPGRPPKSSKARETSVEEPQP APPKRASKRRSSQLAVPQDEPQTVSLPQQRATRRTRRSSSVRLEEQQEEKIVEKLKPK PKPKARSTNGTSSKSRNDEIDMDVSPQLSPRPAIQTPEPLVEKTRGKGEAKKTAESKT IALPFSDTPVMNRNKEMRRKTGGRRSSLGMRGRRASSLIDNGHSAIPHRAVDPADFYK HIEAEGLSEPRRMKQLLTWCGERALSEKPPLGSTNSNAILGARAIQDQLLKDFGSKSE FSDWFSREDVPRPPAIEKPNPRNTEHEEKIAALEERVKRLRAEKKTWLALKKAPPELP PLFPPTESSSSSGEQQKHLPDTSLLDQEEAQMLASITDTASSFAKLRIRTQARIHALQ QSLEFKVDHLADSVHKVEQRVNTAGREADHVLALSAARLKEREMEEKKRAGTKDMPVM EVLRSLGRILPEGESGP PFICI_13365 MAVLRSVLRRTVAAPRALAATSRPFSVRTSLAARVSNAPPIDPP SGGEMSVGELEGAEFKIEPLRRVGEDERTMRARLVYQSRKRGTLESDLLLSTFADTYL PNMSKEQMAQYDLFLDENDWDIYYWATQEAPSPATEATSSSQQQSTTTPPAPSSSSSS KSSSSSSWQPTSPFQAAADDLKAPPGQAGKNAAAAAAAASEEPYRKPGEGEWAQTVGT FKPAYRPVPKRWQDSEVLALLREHVKRRSMGGEEGGGMGFMPNLKN PFICI_13366 MAFSRTWLQYVCGLLFFISSISALKFDLEAKHGKNERCIRNFVA KDTLVVVTATIDGRKGDGMAVNINIKDAVGNEYGRAKDVVGESRQVFTSHADAAFDVC FENLLTGRPGNPYRHVELDIDIGADAKDWSAIQATEKLKPIEAELRRIEETISEIVTE MDYLRSREQKLRDTNESTNTRVKWFGIGTTMLLIALWGWQIMYLRAYFRSKHLI PFICI_13367 MPKFFCDYCDVYLTHDSMSVRKAHNSGRNHLRNVVDYYQQIGHE KAQSVIDSITSSYAAEGQAHANPMLPQNQPGHGAPLGMPGGFPGGMPPPFPGMPPLPG MPGAPPLPPGAFGAQGLPPPPGGGRGMPPFPPPGTGNQSGLPPFPFPPPGAPGGLQFP PPGTAGAPPFPPFPGQGFPTPPGMPPFQPPPGGQSHGGR PFICI_13368 MLSSTETVLPPATQSGGGGRGLYFDPPAFDSSSLTINSTGRKSL RRHKNLPHPRNHISKTFSAHNAAQAPQAFSESKLSWSDSSSQLSSPPSQSRRSGVPDL PPTPPALPRTSTSSQSTTTHSVPPSSPTCVATPVQSASTLSAARILPGTPPNQRSPPT PDVTPPHQARRPKAFRPLLLADRAFSKATTADSRTASFKTAREDPYSSDEDRKSMSRP TAASRQSSQNTVRQPSGSQKSQARSIGLGLGLESDENLTPRTKQEFFTFDGAWDTGSA SNNEVELEWDDNLMRNVTVRKRRRQTIMSGEHASRDKGAEVLEDTTITPTNATKALRS MPLHEGLRVCSSPVGTSDRGHRWNSAATVESPNLSDVRRFSGVSTRSTMSTVVEAILV ETPHQRPRTLRHVKKHLALRESRFGSSPTSSTTNSAGISEAGLRRRPRAGVESGRTDS YASSATVNSLASRKARRDVWKTGAIPVVVIPNRKTSMKSAGTPSLRSTSSRRSQRSNS LSPVPTSKQPREKQTPPRVDRGPQRGRARSTSASDASLSGDQRTADYPPIVPLRTSSL SAPTSRNNSRNNSRANSLTGSHPGSLTAESLKLHNALQAAQDQQTKDQPPPPVAVEHA PDVPEVEYIPAENDHPDPNRLQPTTSAELHKDKDTHDHKLLVDHNGDPFFGKRLTTHN TPFSIASIETAGTHHSAAEVSEALAVNIYPHKNQSLLVVNHLSKAFEANEPQEEKHNG TDAIEKKPVTAPEGPSITTTGPNGEPVTPPQPDFSLNDVDSPLRNPRAPPEPPAIKLT PATPSGLTPAADKMKMMGNYFESTNVERKPSLVRRAFSLRKNSDTGILRQKILSRTLS LTRNVRKETAENPAMEHGADAIRHQYPTIEDPPAEEEKLHPFWRPASLQLHFDPEQED WVHDIPGQDDEGYRYPAPDSPRPPPPRRSLSARMKHTFAILPITSDDHYTSSDDRGPE RRTIQRTTSGNLRVTRQRDTTTTGQDQSPSQRRRRHTDAGQDQERPSTAPTARHGHRA WGEEKRVDANGHRFFPGWQDKIGQIGIQGLQRRFSEKRRLKRSEELRQKISGPREVRD DLGEVIKRHSYKGPSYQTGYVRSSNRVEDDGTARAPRVQVQV PFICI_13369 MISSLLVLGYAALAAAHGDHGQKSLSGPHESLWYNTLPGDGGKQ ADSVFSGISTFGRLPYQPCLSMRDAEYDIAFIGAPFDTGTSYRPGARFGPSGIRQGSR RLNLYGGYNVPLATNPFDSWATVLDCGDIPVTSYDNTWALKQIEEGHYHILSRDPKTN AKKAGLSKKGKTLPRVITLGGDHTITLPLLRSINRAYGPVSVVHFDSHLDTWRPKVFG GSPSEVASINHGTYFYHAAMEGLLRNDSNIHAGIRTTLSGPSDYENDGYCGFEIVEAR EVDTIGTDGIIKKIIDRVGTTNPVYLSLDIDTLDPAFAPATGTPETGGWSTRELRTIL RGLEDLNLIGADVVEVAPAYDTNAEHTTMAAADALYEIMSIMVKKGPLSLDIEDVETE L PFICI_13370 MAGQRFSEILSSVAERIRARYDKFKHRRAQWKDEKRRLKKSRPD IRVCNRAIARDPEPIQRRLDAEAEKKKKEEAREKGWEDHLARRQRQEAAAERRRRFLL SSSRG PFICI_13371 MSRSRIAHIAALSAAVGAVYGAEIYVSPTGTGTGSQTSPYGSIQ SAVNAAVAGDTIYLRAGTYSPTTNIQITKSGTAASPITLRSYQSEKVIIDGEALPGTP YGLDESLPNNERGILHIEGADYWKFYNLELINGPYGIYARDASHNYYELLSTHDNYES GFQLQGASEYNTVIYLDSYRNRDPRKNGESADGFACKEGSGEGNVLRNARLWDNVDDG LDLYMFGSAVTIDEVYSWGNGVNRWGFTEFEGDGNGFKLGITGNPVANHTITNSIAFS NAKKGFIDNGNPGSLTFNRNTAWNNGDTGFLMRSSASKLTSNVAAVNSASPQVSLTSN STSSGNSWDSSTTWSNSSFVSVDPATLKGARAADGSVQASDFLIPASGAAIGASTKVA V PFICI_13372 MKSTTALSAAWALLATRPALAQDDNSDYLNSVCSPEGEFTSGNI PPCIDIVNIEAACQPNGTSSLALEAHAQCMCGGSYFPEWLGCRQCLFDHGGMSRRNLT YYESVIHTASALLCDAATPTAPFQSVFASAAAEVPIPTTGDTALSDIAPSDTAVSVYY TASGGSQGAGAITGSATAATATGDVTTDAAASTTGTSATKTTSKASSSKTTSTASGSS TQSSSSNFAGPTAAPAAKNVLLAIAGGALMAALQ PFICI_13373 MGLSGHCLCGSVKYTVDADPLIVGYDHCDDCQRQSGSTYSLVVV VPKDKLTITGNTKSYAKKGSSGQDVHRIFCPECGSPIAHDPDAAPPIIALKGGTLSSE DKKKLKPDTEIWTVGKLPFCQESLAKPFDHMPE PFICI_13374 MRLVTWSFGLCLVPLVASQVTWDWAKPISRTGWVASADSVQSGN EAAKAIDGNSSTFWHTQYSPSLAPLPHYIQVDLLKSYVVNGLGYQPRQDGKRNGDIGQ HNITVSTDGTTWSSPVAYGNWLSDATTKYSFWSNTSARYVRITASSEAQDAGNQWSSI AELYVYSPDTTLDGSKYVAPTKSSQGSWDVTINLPIVPAAGAISGDNVVVFWSAFRPD LFSGGTGLTDTALWTPSSQAVTPKVVSNTGHDMFCPGISMDAKGLIVVTGGNDAKKTS NYLPSTGAWQTGAQMSIGRGYQAQTTIGDGRIFTIGGSWSGGYGGKNGEIYNSTSNVW TSLAGCSVTPILTADAQGAFRTDNHAWLFAWKANSVFQAGPSKAMNWFNVSGTGSYKS AGTRASDPDSMCGTATLYDAVNGYILTAGGSPSYQDSSATKNVHRIKLGDAAATPTVT QVASMSYARSFANAVVLPDGKTLVFGGQSYAVPFTDTTSSLPSELFDPSTLRWTVVAP IAEPRNYHSIGLLLPDATVLSGGGGLCGTGCQQNHFTAQVYSPPYLFNADGSRATRPT IKTVSATTLKPGATFTVSTDVAATFSLIRHGSSTHTVNTDQRRVPLTTTNAGTLTYSI TLPTDPGVLLPGYWMLFALNGAGVPSVATQFLISLP PFICI_13375 MVGVPVGWEGDANGMIATGPPNTARKGWYQIHSADYLERGSGHL TLRQKLDKYLTSQGVDPSRYPFAYLTTAAKLLGYHFNPVSFWYLYSAEKEMTAMILEV NNTFDERRMYFLSSDDPSSKTADEILAETGVDVKPLTKPSTTTMRRAWPKDFHVSPFN SRKGGYSLVAHDPFAPMLEGSGSIDSTINLLSSKSHAKLVARIFSDGAAIDPMTMTTW RKLKFLFSWWWVGFVTFPRIVKEAGVLFFKRQLHVWYRPEPLKESMGRRADDTERQLE AIFRRYLRHLVNQTPAVVEVKYIPSGVSNAEGETMMSPSAQESIDNGRNVLEFKVLTP VFYTRFAYYAHDLEALFCELHDNCTIWISKPELLPKLIFKKPPPAFATRNIVDFGCFK LIQSLRQRPQRIERPLTSAQASSKPPDTHVKTDIRDFRISSMDAYVLEHESDTEKKVY RSLLLRMFVADRIALGSLELLWLEQLALRVLSAWNLTP PFICI_13376 MSDDWDSVTKIGARTRGGGASQRETVVKSASALNAARRSGAAIS TEKKYASSNAGAAPEGQRLTKVDRSDDIVKPNTVGRTVGEAISKARMAMTPKLSQKDL ATRINKTPAIVNSFEKGEAAPDQSILAAMERVLNVKLRGKDIGQPKFAKKS PFICI_13377 MPAAVKRQRPAVKSRLVVAKQEEGTTMNRFTRVSKSIQSTEEKT DVISKHAVTTSSETKASTSTPSRKRKAVKAIVDDSDSSADEAPRTAAPSSTRKTSERD ILPAKRGRGRPPKKSKPAPVSLKRGRSLSVSESEQSSTDKLFKRLRLESSPSRDSSPV TAATSIADSEADSELESSPAPKLPVEVLDLIELHAALLKTLTIHYAHNGSHVPADLRI LCPNVSRAWGKKAVTDVDIRTCLGILNLKTMKPLFSLSDYGRGKVCIELDQSQTSGLL VESELNHKFRANIQSLWSQSQFNKHRSIPTSFIQSLPQASIALCESVTKACAVTAKGK QRLDDLKQGIAAKKLEKEAKIAPKSLSASSPSSLTNPDGSKMSLLDRIRFKQMQKAAL PAGLSPAELERHAAFQRVDEVTALIGMLSRASSGGMGRISFTMPVMLQKLKDSFRMGI SKEEGAICIRLIAKEVAPTWIKVVTISGKENVVVETDQQLSKAEIAKRVQNLTVKN PFICI_13378 MYAIAKEQPHSYVRISINSDNIFAGDTLEQVSIFNTLLVQVGPD GILHDDREDDEDFLSYPPQLVLAIQNHGQNIQIERFQIGCYPTDPDLRSSANFSIARN WLHHCRHEHTECRFDYTPVLPTRVIDVGFDEKFRDTRLLLSEGLKAEYVALSHCWGGP ISPVLTDKLVGLFQEYLPFKELSANFKDAIVVTRQLGMRYLWIDSLCIIQNSKADWEK ESKRMDSVYGNATVTLSAMASAGSTEGILKSIPVEISPLPVPLRVFADNHNLNVMIKR YEPTAEDLNKLDMKGPLSSRGWTLQEFVLSPRHLLYGAHQIYWKCAVGYFSADGLTNG LRFPEHGYDGVAAILHSRFRDSHVQKCSPSLNGILNEYYKMVSTYSHRTLTFKSDKLP AFSGLAQGLHELLGGHYLAGLWSCDIGDGLLWHGELGSAVHVSRYRAPSWSWAVTDEP VVFDVDAHEIERDNPFSVQMISHEMVPRVGANPYGEIRSGSLTLKGFTRPIIRSKQVI PYLGYEEECGCVDFDDAEVTDNVRVFDRGIGRQWVAIRRTYGERTDWEVDPGLYYAEE YMLLYIHHTRRDYCLVLKPSHLLQSNAYERVGLFVTFEDIRESVAGWKTQTIVLV PFICI_13379 MPSQIAAPVPITPTRKGMRLVTSEALFASLNETLASSNGTNDKN NNTKSQPPVRGIKERDWDVPAPPPPSPVSFQHHDQWRSSMHH PFICI_13380 MSPANQLKKRRRQDYPYVLEYRTRWADNDMYDHMNNSIYNFLFD SVINSYLMEFCGLEPPTSSQHPLMAHTHCDYFSAISFPKVAELCLRVNKLGKSSVTYE VGLFEKGVDGVKAVGEFVHVFVERATLRPSASGMNDQLRNGLEKLLVQDQSSKL PFICI_13381 MEPTTTAAASPASLTPEILAQKAREKRARVARAGGKQRALLAAS VDDDVWPRPYVIEGGLRRVEPYHYTYNTWCKERWRGRTLFEIFESEFRDRPVEYYREA MAAGKILINGKPASADYIVKNGDLVSHKIHRHEPPVTAEDVVIIHEDEKMIVINKPSG IPVHPAGRYNFNSVVEMMKEQRGRDWTAYPCNRLDRLTSGIMFIAKHSRSAEELRAQI AGRSVRKEYIARVIGEFPDGEVVCEQPILSISPKLGLNRVRADGKEARTVFKKLAYYP PEQKLELDSAHEHANTTDESAKTTNGSSIEDAGYSVVRCLPVTGRTHQIRVHLQHLGH PIQNDPIYANRKVWGTNLGRGDVEATENTDEDIITRLNRMGKEEVADAVAYYDEMVDK YHQKKAEKMSGEFCEVCDTPLYTDPGEHELSLFLHSLRYEDAGGAWSYKSALPHWAFP PSGRSGPTAVGSLDDLVDAVKESNPEIA PFICI_13382 MTKSTSDSSKSSKRKGTRSVSTLTPAQLARKRANDREAQRAIRA RTKEHIENLERELEELRSVSSRDDTVQDLLRRNRALEDELHRLRESMGIASNGPRNYY QPSYHHSPTSRTSAPGAVAEYPGMEMGAYETLSSPGDAWPSTVASTIPSTVSSPSSSG ATDDLGGAYYPTSAPSIMDRPGMSSSMGSPTVSSISGKSGYDDIKPEARHRMPTAQHA VHPTSIPAATSMEHVPNVLHSVANSVRSQTSTPHWETPGLITPPLTQSDALLNGYIQD CRRLVGMEGVKPHPEVIFGPGCPNIRRLIETHWNLASIVQQSPLPLSPPAHPLVELAT TLFDNDGLVMTLERVGSFLLFQRILAWLVQPSQETAAGLGNNFAPTSAQRTISHGQWV DFLMWAQLRDAVIQRQEVYANDEFRHLYNTSLRLLNWAGGPSQALLPDYASGAIYLTQ QFISHVLNIENWALEERFFRRYPELGVLLPLVRQTT PFICI_13383 MTLVRRRAKALWIYAIIIVFFAFCLFLARRFLPNSSFSNILFGS VSHGGCKIITKGNYDKLILKGVVLTPKGPLQDGYVLVESGKVAEVGTSYSSESQDTLI TVVDCANSVISPGFINLHEHLTYSIVSPFKDLGERVSHRHDWRVGARNRTIREALVAE DMIGDSIKWGELRHLFSGTTSVVGGGMVTGLVRNLDFASGLEAGLLDAPSVWDVFPLD DADGILRNNDCDYGPEAIDRQRAEKYHRYLAHVGEGVDDEAANEFRCLSDETYDSLPM PGGGGLSTDIIAPNLAMVHALGLSASDFDLVAKRGAHIIWSPRSNMFLYGKTLNITYL LEAGINVALGTDWLPSGSATMSREAHCAALATQQLYGRHLEAKTIWEMMTINAARAAS FEQHIGSLEVGKLADIVIFTESTGDVYSQAVFGSTENIEMVLRGGRVLLVGDKLGGLS SSHCEPVQIGRSRKAICIADELGLSYAEFAASLAGFYPAALPSIPPYEPLCDAIVS PFICI_13384 MDKIAALCFGFSSEHVGDYASYEKAVNEHLGQLTKLTSDSSKPL RSHGPELLNLLDPATHSLSYLAILHDLFLPSLQGDKASLSQKLVTFLLSFDPIQIRYA ASNMSQLLALVASGQALPPSVAVNVLATAILRIDPTGSMLTSHHINLIKLAYNTDNIE PSLSVIDKSIVFYPGMGNHQKPKYLADPSLSPATFVTESQGFSTALKTPSLLEYDLLR GMAYCSRRDWPKALNALERVITYPTRDHGVSKIMTDAYKKWILVSLLCHGRLIPLPIS VGSAATKAYSVLGKLYKELATVFETENAAELKEKSNASTEEWLADGNTGLVREVLSAY QEWQIKNLQQIYTKISVREIRQFTKSAQTGQILATDEDVEALIQNMIISGMLKGVIEK NDDGTAYLTFLPATAALSEDQLARELADTALRLDALNPIFKATNERLGTSKEYIKQLS RDRQKGGQDKEGDTAMDMLQNFDEEDLMGDGPTLNDL PFICI_13385 MSASDARRAITEGALDAIFADQAIANERFPVPVLQCLQIKPLAA GPAGGNSAERYRVVLSDVSKYVQCMLATQANHVIHDETLVRGCIVRIKSYQANSVKGK NILIILDLEVAEELGVHEKIGDPKANAVTSETTETTIGGTGFYGKKSESVKPEPSAPI KSEPMSSRFGGGGPAKQSSAVSTKIMPIEALSPYANKWTIKARVSSKSDVRTWHKNTG EGKLFSVNLLDESGEIKATGFNDQVDQFFDLLQEGQVYYISNPCRVQMAKKQFTNLPN DYELTFERDTVIEKAEDQSSVPQVRFDFVTIEELQGIEKDTTIDVIGILKEVGETSQI TSKSTSKSYEKRELTIVDDSNFSVRLTIWGKTANEFMTPVESVVAFKGVKVSDFGGKS LSLLSSGKVTVDPDISEAHRLKGWYDSQGRHGDFTTHQHSNTLGNATNRENQMKTIQQ IKDENLGMDDKVEYFSLKATVVYIKQETFCYPACPNEGCNKKVVDQGDGWRCEKCDAT HPQPQYRYIMQINVNDHTGQLWLSCFDDTGRQIMDMSADHAMNLRETDEASLTAAFDR ANCTKFTFRVRAKMDTYGEVSRVRYQVMSASPIDYAGEARALADMIKQFGI PFICI_13386 MPADDPQVATKAPENDAHEDQEEGPVIAGDTPAQSGPTMGEHCT SDRPTPQGEKPQGEIRKLGGIDVYVTKPASYPTSPAKLLLHLTGGTGLKSINNQIQSD RFASEGYIVVMPDLFEGDPAPNSKTFEESSSAEGQTQDGSGGSSFLDMIKLKAAETAK SFMIDMWLARHTEEKVLPILEKVIDAAKAEFADAVAAGNGVYAVGYCFGARYVLLLAA ERQQIQSGWGGAPKPEDEEAGKTNSKGPYIKAGALAHATLVSPDDFFGLKVPVSLVCV ENDPMFPDEVRKAGEDTLSKEGVEHEVQVYPGVPHGFAVVGDYADAHIKDAQVTAYEQ MLKWIKEH PFICI_13387 MSSTMKAVKGGAGGVDTLFINDQTPVPKAKDGEALIKIKAFGLN RMDLIQREGKYPLPPQAPTTLGVEFSGTICSFGPGSHGDFKEGDEVFGLAYGGAYAEY IAVSSKMLLHKPSFLSWEQAAGVPETWITATQALYLVGQFTKGKSVLWHAGASGVSIS GIQLSKDSGASAVFATAGSDEKCAFIERELGATKAFNYKSTPNWDEEILKATGGKGVD IIIDFIGASYFERNLNVAARDAHWVMLGMMGGTKLQDVDVGKLLFKRVRIEGSTLRSR DPEYQGKLRDKLAEYIPDFESGKLKVLVDTVLPMEKIQDAHRLLEANKTTGKIICTIG QS PFICI_13388 MKFTVAAVAALAAGAQASYAASNVTYVTEVVTAYTTYCPAATEL TYGSKTYTVTEPTTLTISDCPCTISKPVYTTSSVICSTCTAPSSAPLYPTTQANSTIA TSTGVAPTGGYTTSKATSASTSPAAASSTVPATAGAGKAAALSGAALAGAAGLFAALL PFICI_13389 MHSSHILSLFASSTLFAAVNAASGTGTTTRYWDCCKPSCAWSGK ASVSAPVDTCDKNDSVLADVDAKSGCDGGTAYTCTDNSPWAVSDTLAYGFAATAISGG SESTWCCACYKLTFTSGAVSGKTMIVQSTNTGGDLGSNQFDILIPGGGVGIFDGCTSE FGSALPGAQYGGVSSRSDCDSMPSSLVAGCQFRFDWFEGADNPGVTFEQVQCPTEITA KSGCTRDDDSSFPAA PFICI_13390 MGSIDTKERGIRIAIDRGGTFTDCVGTFNGEDVVIKLLSEDPAN YDDAPLEGIRRIMSHFLDRDIPRGEPLDTSKIDSIRMGTTVATNALLERKGERIALVV TEGFKDCLSIGNQSRPKIFDLAIRKPDVLYEQVVEVDERVTLEDYAEDPERTQSKAQA KTGEEGADKATLVTGLSGETVRVLKRPEDEGIRTQLQGVYDKGIRSIAVCLMHGYTFP DHEALVGRIAREIGFEHISLSHELMPMIKLVSRATSVCADAYLTPAIKKYIQGFQKGF EGGLGTRSVADEKGSKGARCEFMQSDGGLVDVDRFTGLKAILSGPAGGVVGYAITSYD EKTKTPVIGFDMGGTSTDVSRFGEGRYEHVFETTTAGVTIQSPQLDINTVAAGGGSRL FFKNGLFVVGPESAGAHPGPACYRKGGPATVTDANLFLGRLLPEFFPKIFGKNEDEGL DPEASKKVIQELADQINKETGKNMSLDEVAYGFLTVANESMTRPIRSITEAKGHDSSK HRLATFGGAGGQHAVAIAEALGIQQILVHRYSSVLSAYGMALADVVDERQEPDSKVWE DKGDAVEELKSKMEKLKDKSRQSLQEQGFGSDDIVFEEYLNMRYRGTESALMIVKPTQ GDDMEEWDFGKAFVKHHRYEFGFTLDERDIIVDDVRVRGIGKSFRYQDKTVDEQLIDV KRQQAEDSKAYGKSHVYFDGGRLETPIYKLENLSVGDQIQGPAMLADGTQTIVVTPDA KATILKTHVVIDLARDTKDSQKDDMSKREVDPILLSIFGHRFMAIAEQMGRALQKTSV STNVKERLDFSCAIFDASGGLVANAPHLPVHLGSMSTCVRTQAKIWEGKLKKGDVIIS NHPSYGGTHLPDITLLMPAFNEKGDKILFYAASRAHHADIGGITAGSMPPHSRELFQE GAAIKSEKIVSEGKFNEERIVELLYKEPAKYPGCSGTRCLADNINDLRAQVSANQKGI SLIEGLIEEYGEETVQFYMVNIQNNAENCVRQLLKKVSKKFEGKDLSAVDFMDDGSPI RLRVTIDSEKGEAIFDFEGTGPQVYGNVNAPEAVTYSAIIYCLRCLISEDIPLNQGCL KPIHVKIPPKSLLSPSDGAAVVGGNVLTSQRVTDVIFKAFEACAASQGDCNNLTFGFG GNVTGEKEVRGFGYYETIAGGSGAGPDWEGTSGVHTHMTNTRITDSEVFERRYPVILR EFSLREGSGGKGQHNGGDGVIRDIEFRIPVQVSILSERRVYHPYGLAGGEDAECGLNV WVRRVPVSDAQKAAGESAEFEERHINMGAKNSAPFSAGDRIIINTPGGGGWGKVGEKK VQRSQKDHTEAWRKGSHANREDAALQV PFICI_13391 MNWTEGNLARHSRGRANNEVLRRQKQHFARARSRMLSGQARQSP VAISFLNDTHKPQARAESDPSTSQQQDTNTFQSDGRPFNESRTPWRIRNVAFGQDDTR EARQESASLSPWGAYQASNRKRPALAEIFNQEQANEKRRRLLEKPDWAGLEMQQPIDL VLPGQTRMSSGRRWSKIDPNTTRHSLDVQQRGHRTLSASRNYSSEHVKTFQQRPLGPI RVRIGSQSVTNTADSPDWLSSEEQMQALRMPRNRSRRYAQNEPRRGSSVSLSSGDREC SYSMISTDDASCSIQTKHEDSSKIHHPIPQRAHTSKILQWSPSFRSDDSVSVHAQIGR PKRWPPSEVAADMRWLEHIMPAENICIPLTRGSSLLESPPHRPDISPGISELLVQSSQ VNHRDSEGTPVHSSSEEVRRAGYLQPVNQPSILSTAASQSITSHGIPSFEASHGMMPG ATKTCKRPPQVISSIDPPTSEQRHTGMTGNPNSLVNRTKDAKSCDNSKSTKIHGKVHQ SEADDNDAWMKFVFGDCEVDSHAEVFREALHEAARDLALPRTPQKAAKIAFGGDINYE VEAPQTSNQSISAQRGSEEASSNSATTSRFAIIGSPSPEQMPPIRHQGEMEEDLVTPP TNVSDDTRFTTSPIPVDVSSGSGVPPTDSPKSNEATVDGSTVSEISQQIFRFAAPRTF VGKLARSGIKPKDIPSQSAITGSRGQRRTTTGRRSKKKKWSDDRANIRELPDFDEDPI EE PFICI_13392 MSPTKLIIVCCHGIWAGGPGRGLDESEWLIAPFQVGETPTFVEH IKAGLRALKQDKEAVLMFSGGPTRNETKISEAESYHNLAIANSYFGILSDPSETSRIV SESRALDSYYNVLFCLVKFWQECRGHTAADDDKAWWPERITIVSHAFKRERLVDCHCG AIGYPLDRVDFIGVDPPGMLDGSNAAAIQGVMKAVAEWKEDPHGTGPSLAGKRRKRNP WGVPQDLFLSDESRGRSGVKTILVDGVEVLDPKVEQPWSLL PFICI_13393 MATPNPLASADPAPSISKTSLAITSLHVDIYGLAELAPGAASVS CLWLHHPRLRAKEDMSTIASLAIDAWNRQPGAASRGLIAAAWDQRNHGTRLVSEIANH SWRQGNKNHAQDMFGVISGMVIDNGLLIDSLEGYIFGNGEHNGAGSGPRSVDQHLALG VSLGGHSVWQAMFAEPRITAGVAVIGCPDYAALISDRARKSKLQTFSAADAGASFLGS ADFPAALVGAVAKYDPKGILFGTGAISYPAPEPEQSRLRPVLDARIRGKKFQVLSGGQ DKLVPYAKSEPFLDFFKSAATTWYKGGDISVEDNVYPDAGHEFSPPMMKDAVRFIVDA VAGAGKGQVAAAKI PFICI_13394 MNSAQNKVMPPDEVAGRAPAATAAGGAHEDDSNNGSNTSTSSTS YSSTARDDATTTRATSSAAGGSSTSSSSSSDDGSSSSSSSPTYPSASTAAEARLIERH RHANGYTSRRVSVRERVSHFTWAWFECTMSTGAVATLLGQQPHTFPGLQTIGTVFFIL DLVLFVAFTCLITARFVMHPGALRLSLHHPHESFYFGTFWISIALIIYGTQEYGVPNA GPWLVRALEILFWCEAAGALLVVIFQYHIIFDEEELPVKDAMPTWLLPAYPFLVLGPL AAVLESSQPLPSATSVFIGGLVFEGLGWSVAFIMYTVYFTRLINSKLPTESKRPGMFV AVGPAGYTSSTLAALGMQAPRVIPADYLGLSVPTGELWKAMAVPAAMFVWLVGFWFFA LAVVSCLRGARRMYFTLSWWAFVFPNAGLTLGAIMIANAINSPGIKWLCSAVSALIVA VWLGVAAMNIRAVWKRQVLWPGADEDMEDLEGRLAHDKARHD PFICI_13395 MAPTSGHSSNHSTSSNGSKQAVAAARHERTPGTPRKDSSSGRER ALQDPGLKDYRLGEPLGKGAFGAVYKAIHWGTGEAVAVKQIKLVNLPKSELRMIEAEI DLLKNLHHDNIVKYIGFVKSTDCLNIILEYCENGSLHSICKLYGKFPENLVGIYMTQV LQGLQYLHDQGVIHRDIKGANILTTKDGKVKLADFGVSTSTLSGPDKEHQVVGTPYWM APEIIELSGATPASDIWSLGCTVIELLQGKPPYHHLQAMPALFAIVNDDHPPLPEGVS SAARDFLIQCFQKDPNLRVSAKKLLKHNWIVGCRRSDAPVAKAPGNFSQAVEEVKQWN KALDSDSHSHLRASTGSNPTEALHPRLGPRFAVPEQTTRLSTPAKGILSLAKPRPIAE EYLAPELDDDDNWDNDFVTAISPTALQLPHIKPQDHFGGLLSTDRIKQFATSTSSRET SGNWDDSNVGEMMTMRKNSVQEEDPQEKTIRPHWKMPPKPRKYSEPEPQPRRKSSSTP RRRPATSHQRPKSQGKGLEAKFELPSRPNALAFREHSIEDYSDVFGNDEHDQFVFNQR VGQMKKPESPQLFHPSDLKSLPRSTYTPPGGSLRRQAASRPSVLPDRQLQGTSSNIEI QRFAEDEDDEDFSDMFGPTDKIVEKPESDRASEAGGLMVLSKLSNNSWLGDEEDEDDP FASMDPGWDEMDFEANVARDRHARLTEKTEELVRSIKTIDGEDRLSELSEDLLTLLWE NAEVKDLIISAHGLLPILELLEPCTIKSQQHMILQLLKVVNTIILDDVELQENLCFVG GIPIITKFAARQYSNEIRLEAAAFVRQMYTTSTLTLQMFVSAGGLNVLVEFLDEDYDG ARDLVLIGVNGIWNVFELQGPTPKNDFCRIFARNKILDPLALVLHRVLDEDDGDELKE LVEGRIVNIFYLFSQAENYVKEMVANRQVLKSVLRDLRRMTPAHQITMLKFIKNLSML STTLDALHSADAIDALIDILGLSMKKGHPHFREICNQVLNTMFNLCRLNKDRQEDAAV NGIIPLLMKIMKTERPPKEFALPILCDMAHSGSKGRRFLWQNKGLDLYVSLLADQYWQ ATALDAIFVWLQDETARVEDHLINGNFTDAIVACFNTHKVNAFDPNLVEPLLKLLRLS PSLSSSLARPEMFSGIGQKLNHKKAVVRLNLLRLVRNILDARDTGYLDGLRDRHLRSL LDAIQILADKDSAVLVRNLASELVKSHINGEPDSGLQPPLSMLAPSSSSGHSRPRSSG RRIYTPPSHHSSQSTPMTPTSSHRSSQAAYIEVAASPRRRTAVPQDLETTGYRPRSRD GGGFPISALPRRVSTDQGVTAVNAAGAVTKSRLPRNSIIHAYQNGSESSSARSRSDMV AKGILVRALGMGKPDEGQVKQT PFICI_13396 MGLPLFQAPVESDISSKPALKNPADPATARSPIRRTDRRRVHEI RAHRLQLLHVLQGNDIGASDTASNTERDRVPSAPRSRPAEVARPSVRVRRNDIWNDPA FDHESIREWDTRLASQPGPAERISPPYPSISSLPDPHSSLFAEDGLLRVQGNAYYDMA RAGSHRYDDHSRSVSRERSAPRRSREPSQASGRPPFPPFSSFGRRSSFDSTTPVHLRS ENGDRSPDSSALRRILGVSVPAFTPRSHRGYRRYSRHLSGISDRGRGSGRHLDGSGDR DRDRSYDRDLGGLGDRERSPEDDSAWDTLQSSITPDPHAPSVGSSFASTTVSAAASHT TGNSSNTSITNPEDGIEPPCDPVDQDANSDNEDDTEAELRTEILYRQATPHSGRRPFI DGVTDAHNSADEDSTDNLEWLSQMQSIVQGLAARQDIPDQWWAAVGLTRSMTSE PFICI_13397 MIELGLARVQKLLQSTPQTWKAIHVAGTNGKGSICAYLTAMLRA NNITCAKFTSPHLIDRWDCISINDKAVPESVFRHYEDLVKQRNTDWQVDATEFELLTA TAFEIFEAEKVQVGVVEVGLGGRLDATNVLKHKTVTVLSKIGLDHQFLLGDTLPDIAL QKAGIMRPGVPCVADASNPQSVLDVFRTHGQEVGASLKVVTSESSPVVAALQEHLEPH QKQNLAVAHEAFRAAFPQYAHASDILISAARNISWPGRLQLVQIKSLTDREEHALLDG AHNPQSAEVLAGYVDRHLRGNSAAKPVTWVLAASQGKGLTEIMQLLIRSGDNVAAVEF GPVDGMPWVQPMKTSAILNSAASHNPALQHDSGTNLHDALQWATSTASGGPLVIAGSL YLVSDVLRLLRDTK PFICI_13398 MATRPYDEEELSISLSPSQIRRRVPEINVTPTTSDPNNGKQRAS DHASTAVPTHREKGRTEQRVGQYNIIRTLGEGSFGKVKLAVHRSTGQQVALKIISRKK LISRDMVGRVEREIEYLQLLRHPHIIKLYTVIKTSAEIIMVLEYAGGELFDYIVSHGR MQEDKARRFFQQMICAVEYCHRHKVVHRDLKPENLLLDDNLNVKIADFGLSNIMTDGN FLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYVLLIGRLPFDDDHIPSLFAKI QRGVFNIPSWCPVGAANMIRKMLVVNPLTRATIEEIRQDPWFAHELPSYLAPPLEEFL NTGVDPNKAINPSDIAPHAPQKVQEKLHNEVTEKISKTMGYGKRDVEEALGADEPSAI KDAYMIVRENKLMQVNPAYGTGNDNPYFASSPPTTNHEEHAGSLNSAMQDASLKDNEF DSAASPLADSTRSNASTITSTSPRGYVSKIGILPTSLPALHKEALDRHNAGDEEAHED QPLPDLPSHPRTPAEHQEAIRRLKPHSKGSVRLDDSQSRPAPMTPVVQKKNKPVRWQF GIRSRNAPWEALLCIYKALHKLNATWVVNEDYDEVHGPENEQSKRAQAPNPYEGMKPE DIDLATFKPTKYYKLPADPWHIVCRWTKQDMKKHMPSDGDDNAHIVSVLDNRKQDFVN MHMEIQIYEMEYGVYLVDFKCSGYERPDGTMLEEKDVMSPFPFLDMAAKLIIQLAEAD PFICI_13399 MLLTRLRAAPALRSLHGHRLVSTLSKNPFIKVFPNATLDNRHIL TYLDAQPPSTDLAIGTSTENPPTPQSFSENHKFLKILYDVLAEHAAQDPLLQSQAQAF AGPGGMTFGAGGGVFHQQTSKRSSRGKHAADSGAGGASAQGGAGGGGVGGYVHLSDLR NPPDFGRIAWPEDIMGSIEVDGHGQIVGKFEPSGTYRIVTNEGILGLSDFLRNKLIDR LREEERKI PFICI_13400 MQPRKPQAAPIFAARTPNPKASNPIPSSSPAFATPQATLKPFSA APAKATILPILLPPATLRPLAFRTFTKKHSLTLTSSALQELATFIGRHCGSGWREEGL AEKVLEEVARSWKNRNGGVIVDGTSKELKDILKTLEGNMSGGRITTGGDLARKNSLTI EALDEADLSNTRLGIRPATLARDDSNRSFGISALEMEQNENEDEDGLNDPRDWTKVIN AYDQPRMVYNVSKKHFERDPTKPSLMPSASHKTALFRNRYNVIHQRLLRNESFQTSAV ATAKAGPPSLKRASSSVVTAQQTYKITPIANLLGRHGSHHMLLGLLSVSPTGTLTISD LTGTISLDLSQAQSVPEDSAWFTPGMIVLVDGIYEEEEESIGKGLGASNGIGGIIGGR FQGFFIGQPPCEKRKVTLGVSGPEGGLDHTIGGGFGWIDFLGVGSERAVGSKMRKVQQ RILRHISEDHDSPSRDRVVILGELNLDQPRALQALHKILTIYASEPEGTSPMAFVLTG NFTQHAVMAGGGSSGGSVEYKEYFDSLASVLSDFPTLLQTANFVFVPGDNDGWASSFS AGAAVPLPRKSVPDMFTSRIRRAFVAANAEAGVKKGGEAVWTSNPARLTVFGPSHELV IFRDDMSARLRRTAVRLKSSKSNFEDQENVAPPQETAEDVDMTSTLQDQETAVEAMDI DAAPQSARPEIAHDVQAARKLVKTLLDQGYLTPFRQQIRPVHWDYASALHLYPLPTAM ILVDATAPPFCVTYEGCHVMNPGTVLVAGRRGVGRWIEYELGGKGKVRECLF PFICI_13401 MGLLALGTALDWPDAKTHAHQVREWGIKQLLEIWNKAKHKERDA MLWGDEVEYLVVTYEKDDPKVLLSLRQADILKALAADEELAAKGGGVPAIQDQKQQSN PLPVFHPEFGRFMLEATPGKPWGIGFKELLDVEPDMKLRRRIAKDHMKSNEYPITLTT FPRLGVPGVFTEPHYPPSGSKLRSQFVPDEIANPHIRFPTLAANIRWRRGKKVQVNVP VFHDKNTSNPWKDPTVNYDLHNWPEDDDVRNGASPDNFIHMDAMAFGMGSCCLQITFQ AKNITEGRKMYDQLSPLGPIMLALTAATPIYKGFLADTDVRWNQISRAVDDRTEEELG EKPLKDSRWRIPKSRYASNSTYISTDSRLRPEYMDPNLVIDHDIKQQLMDGGMDDRLA THFAHLFIRDPIVIFNEDLKELDMTKADHFENIQSTNWQHMRFKPPPAGSDIGWRVEF RPMEIQITDFENAAFAVFMVLITRAILSFDLNFYIPIAKVDEGMETAHARDAVLEKKF YFRKNPFPTRPTRSAMGSGTSTPMISRPPTPTGPVEDEYALMTINEVINGSTSDEHDF PGLIPIVESYLDSVNVDVQTRCELDTYLNLIRRRASGELWTAAKWIRDFVDKHPAYKH DSVVDDEINKSLIEAVIDISDREQVGRGWDGLDVPNLDRLLNGFRGGCGGSKR PFICI_13402 MAAAALMSPATAYHPHNTAYPPTYLHHSPAPMPGILNQADALRR TSKDSEPAQQRQSLPSISEMFNSAKPTYSPTTPTTTAGPTSLPPPPPPPPPHSAYSNN APPRPEPPVDNRAPPAVFSRPENTGPGPAYQFGEHRDYQKPQEPPHHHQRNDSFSGSH GAPVPYPGPSPLPPGQLPLSSQGGPPISPRHAGPPMAPQYENQRPPVPADEEYCIQRR YDPNPLNRQFEAWGYGDCLQKLMWASRTIYNFAEAYANLASEQPTGQPHPNRLPTDKE VSEMLHNTDYVRKTLDSIREHVHHSIASEKAREGGKHRGSYDDDDVSMYGDGSKQHYG LGEVKKRRGRAAPPGRCHSCNRIDTPEWRRGPDGARTLCNACGLHYAKLERKRQMEQR SMRTKAVE PFICI_13403 MVSCFGTAVIGLLIGIYAGIVPAVQYYIADDNHIAILGNVGMYL GMALPTFFCWPLPLLHGRRQYILFGLTLAMPLLFPQAITVSMHRSSQTSVWNWALLLP RGLMGVSLGFANMNFQATLTDLFGASLMCSNPHQELADHDDVRRHGGGLGVWLGIWTW CFIGSLGVGFLVGAAVIDRASPAWGFYVSIILVAVALLLAVLIPEVRRSAWRRSLAEV RTGNRISRRVARGEVMMHRVKDGPKWWGQEVWHGVLLCLEMLRQPGFAIMALYCAWIY AQVILMIVLLGSLTSRYYRFRSTFVGTAVSSISIGALAAVPFQKANLFSRARYQPSKS NASTIDRKVTWTSHLVRRAIFTITLPFAGVMYTVLSFGPPVHVAFPCLFTAMVGFLSC LAIAECNGILMETWDCSDLQPGTTGHYRNKKDSGKRINYSSFPRVQAGFAVIHSLGFI LAAVATVVGGMAQRNLGQRTATAVVASILLALTFLLLCVLTRFKQVEIIPRSKTLEMD RWIAERNETFRRRATVIADAKAAGRRDLSSIPEFDIEKHRRVNILELGALTRWTEIRK ANRLVDQGAHSHLNRRALEIAREELGHRSQEVLDDIYRGSVMMTDLVRKASKRSMRSK NSEASSVEWEASGLPPREACTPTGHVRNHSSRPGTPFVERDCFVGQAVLEEENQSSAD GGTGSENRIPVRHRSPMYAMTDASNDGFQNQNLTGVDHRPGDHGNGTTVIHRSHISPI LITRPNDERQDHHDT PFICI_13404 MQEPHPTNSVNLPQRSRTHESLTRSLTTQLLEDSEHRSHPQAWD PKRTTEWIRDLLKSRRSSTALTQSPKKHHPLQQSHHDYPYHGRGSPMSRVTTFSDQNA ADADAMEQAMENLERLLSEALELANEVAEHDHAHLDDSFLEPPIPESPIAEDSQASSV HESLPQHSSADDIPSRLYAHSGNVRGPVLSSKPSSLTRQNKTSCEDPSLPLPPPDRDL KRDCKNSSRLAYDEDDSGTITRHRKGAVPNSREVREYIRIFHSPPITPRVGSQGIQNH TFPQVIATTCAVRDDIAARRRSTEMYSLDGGSDDIADFSPPTQHVQESSAPRKRNGFA RHLKTHGVTKVPAEARISSKSKRSETLHNISLNGRSHISIQNANFSLTKSHRRQPIAR D PFICI_13405 MQQSDLAQEVFSSSRPSLYSRESNPSLRVVSNRTPSYHSDRART GSVRHSLLEGGKARLDSSDMPVPPILTRMNSTDTITPMALKKDDHIEHHRASKVRAAD IDADQGYYGASKVRPAAMEPPEVPNINKNHHNTLSENQNGYKGASKVRPADIVEQENS LGTPSVRSIMPSFDTRPSRYGRPQYESKVRALSDETILSSDDSILGHGDAHGGHSWVG KVQSFHNASSRPVVSKRLQSIPHLAFSEITEDDSQAEVGQSQATSY PFICI_13406 MAYYEKEYRSTRYKEDDSDDDKYKSTTVRRYKVGGNGGGASTTT TRVDRVKRYDDFDDDRRSTFSYRDDYRDSHSHVGRPAAEVVEVDKRVEKTYLPERPRS AFDPPVQRTVVEKRVIERDGSDFRDRDRDRDDRYRYEERDVEYSRGVAERRERDIVIE REREPERRDRVVRETRETVTEQRDTPLSPRERDWDRRSRMPWEDDRDDVRVEKRVEHR TEEHGDHVDDVRVEKRVERRSDDHVDDVKVEKRIERYHEDNHDHHHHHHGGDIERYRK EVEYYAPADPPPQPIVIRQKAPEPQQIIVQEAPAPPPVIIDRQAEPGYVVLRENNREI ARRDPRDEEYYYRREERRNDDYAMERYDRRRRDYPSEDEDVYVKRTIIRRERSTSSDH HRKRHLAEGALAGAGISALVSSRRGREGDLQDNRGKKVLAGAALGALGTEVIRRARSA YEEHYDDRYYDDDDYHRRHRSRSKSRSRLTTGLAIGAAALAVAGGLKYMQSNKIEKEE ATRGRSRGRRYSGDYSVSRSRSGGRSRSRASMAKAAAATGAIAGLVQHYRSKSRKGSR SKSRIRTGAEIAAASLTGAAASKLWERHKEKKDQARDHDRDIDDEYYSDRSQRYGRSR SRSHSRSRSRARSFTSRDAANPELGLVEYGATPLPPSHYEDPPRERRHRHRHRSASVS PERDVKQKRSKSRLREAAAGVLGAGAAAIGIKKYNDVKKERERSRDRSRDRPRDQSRD RSRDRSIERRESEERRAERKRNRKSRERDRRRYDEESAVGGYYSGGEYDPQAPPSPPT ASGGAYYPQEPPTAAGPSGFTQHGNNSSMNVNAYPPPPGQYNPQDYANMPPHPSHYNP QDFTNMPPPPAGPPPNIGPTGRPINPYPPTGPENVSRQPPADDIPTSIPHDDPSMRGA SAQDGVRRSRDRHHVPRRSSSRSSSISTTVENPTPSTTKSVCFIPLSPQSSRTLRKHH ADHEERAATPNNENQHDSDSETQTIRPRRPGMHRRRSGSDPSSDRPLVQRRRRSGRDG SPLSDEDDVEVLPDRFDSAGRPVDANNPRRWHSRTGDFEYRPRTRDGPHVQGAWGVHG TDPEMVNRMAQGVGSLLQGRQGWMGMIGNLLTSLPSSSGGDGGDGGGGHGHGHGMIED RDHVNDDGDARRRRRQQQRRHRRDDEEY PFICI_13407 MKSFALLTLAAASIVSATPTRTEKELPKRADSLPTVTASGKAFW TGSDRFYMRGIDYQPGGSSGNSDPLADTTTCKRDIAKFKDLGVNTIRVYMIDNSQSHD ECMQELSDAGIYLVLDVNNPKYSINRYEPAASYNAVYLQSVFATVEEFAKYDNTLAFF SGNEVINEQANSTLAAPYVKATTRDIKQYLGSRGLRAIPVGYSAADVSSNRAQTADYF NCGSDDARSDFFAFNDYSWCSPSSFTTSGWDQKVKNFTDYGLPIFLSEWGCIANPPRS FEELGSLMSDDMTAVYSGGLVYEYSKEGNGYGIVELNGDDSDVTETDEYDNLKSALSK YPAPTGSGGAVSSTSAATCPSTDDDWQVDSDALPAIPDEAKDYMTNGAGDGPGLSGDG SQNAGSTSTGTAEAGSGSVSATSSGKSDGGRPAPPMDLSFFAVTGVVGMFTLVGTLLL PFICI_13408 MLESRHIDEFRYYYYDPSKAAAAVFVTLFAISTLLHLFQLTRAR TWYFIPFVIGGIFETIGYGGRIGSALQTPNWTLSPYIVQTLLLLVAPALFAASVYMIL GRIVRLVDGARNSIIPIRWLTKIFVTVDVISFLMQGAGGGLLSAAKDSSGLQTGENVI IGGLAVQLIGFSVFVIVASIFHVRTVRHPTAASSTVAVPWQRFMFVLYAVSALILVRS LFRAIEYGQGFGGSLQHSEGWLYGFDATLMFIAMLLLNVWHPSKIISTSSRKSLEAGT EGGYQLQQ PFICI_13409 MLCQICEAGIRDAALRLEETPKKSHLEGTSGYERVTGDTQVVVQ LHSSIEGLHSSIATGCLFCRNLWVRRLYERPSLQGKDGRINLPLLEHITTVFRAEGQA ITQMTLETRHDSIIVEFSDHGDWGSNGIKYFMSRLDPIEFDNHVESPVSELHTSTKDS VSLWRKWYTICKQTHHNCRLSEQNSTFRPKRLIQVYEKDSEVWSLVDETMGIVEPYAT LSHCWGASQPRRLMKEDLPRFKERNLVSELPKTFVDAIDVAKSLGIYYIWIDSLCIIQ DDQEDWREQSSLMRSVYTYADCNIAASWSTGSSGGLFSTSDAALKDATYINLGFEFHG CPMYQFSRISSFENDVVNAPLNTRAWVSQERYLARRQLSFTASQAYWECPELVANEQC PSGLTDNLWTRQQSLSMWRKRLVPTSEEDFRRIWSNIVEQYSGCALTKKTDKVIALSG LADHLQRTRHHTGNLYVQGLWSRDFHRQLCWTSHFSESKPKQLDRSIAPTWSWLNLDG KVQQDDAYNNPWGKYRIPWVQAEDDGIITTTDGPLSLTGIALFGTLQNHDPFGSGRYE DKVLLSRPLESPGLEPLFSLGGTFDWNVSEWPGDAAQPRELCFFMVNMSLVTEEIHGL ILWQVPSAQGHVAHVRLGTLTFAGMPGRHTTSRTPLMQHIAARQGLPRCTEVIQNPGT EFAFAGAHGYMKTREQRAERVRWEMDLNRTDMKDLVYTVHIQ PFICI_13410 MRFISCLQLLVTCSVASPLLGLENAALEKRQGGPPPSPTNGNGV TMVPPTQNPTLPGFPGGRPTLAPGPSPTRTLGSLPPSTNQPAPPSGPVAPCAGNTANS RSQWCNYSVDTDYTNVVPNTGRTREYWLTITEITASPDGFARPVMAVNGTVPGPTIIG DWGDTMVIHVTNGLQTSTNGSSIHWHGIRQNYTNEYDGVPSITQCPTAPGETITYTWR ATQYGTSWYHSHFALQAWEGVFGGLIINGPATANYDVDKGTIILSDWSHATSNSLYDY AQTVGPPTLDNGLINGTNTFDGSGTRFTTSFVSGTSYRLRIINSAIDTHWKFSIDGHT LQVIAADFVPIVPYTTNYINIGMGQRYDVIVTANQASTAQNFWMRAIPQEACSSNANA DDILGIVTYGTVQDPTTTAWDYTDGCDDETMDLVPHFAQNVESADIQTSEDVSIGVLG NLFKWTLNSTTLLTDWGAPTLGRVLSGDTVFNAQDNIITLPNKDDWFYIVVETQIGVT HPLHLHGHDFYVLAQGTGNFTSDVTLKTTNPMRRDVAMLPSGGYIVMAWKTDNPGIWL MHCHIGWHTSEGFALQFAERISEIASFTNTQYIEDQCNAWNSFKTSKGVVQEDSGV PFICI_13411 MVQAMLKSLFAVGLARLAMAATHGEGAEGSVMGPVAFLWPDDRP WSAAYDNIGPCGSSTSVGNRTIYPLSQGEVALTIADEAYKVAFYIAFDNDPDEESEFT EQVVSNITEIVPGHQCYKIASIPSTVTAGTNATIQLAYWSTYEDENNGKNETFYACAD ITFVEDADFDLSVPCFNVTASEFDSGSSTTTAGSSPSATSTSQTDSSSSSTSSSGIST GAKAGIAVGTIVGSLGIVALFAFFFLRRRRSEPAAHEMDERGAQAAAKGPASVRSHET PFICI_13412 MHFAGVLAASLAVVAASSLELVDREVGTASYNCHDNCGQAMLEA ENGDVCSDSIFLTDYAACLSCSGEDNLDIWKYYGPSLTSAAAECGLETTPAAGAQSTT VQSAIAAETGAAETTAAASTAEVATSSVATSATTSATAQATAAGSAVATSTGNATSTA PTSVVTAGSWAQFVVNMPLLSAVLAFSAVRAMASL PFICI_13413 MGLYSKLPDNVNEVDVIIAGGGTAGCVVAGRLAQAGVSVLVIEG GPNNYNDPSIIHPLMFITHYLPKNKMTKFYLGEKEEQLGNRQLFEPVGSVLGGGSSIN MMVYSRAQRSDYDEWNMPGWSAEEMLPYLNKLESYFGPGDKAKHGHDGPMQVTSGPFN VPRCEDDFISAAQKVGYSELQTLYDLDVTNGVQRAMRFIGPDGKRQDTAHRYIHPLLQ DGKHDNLHVVVESEVVRVIFNDNKRAIGVEYQARGSDGPVRTVKAKKQVIVSCGVFGT PQVLERSGVGRAEILRNAGIDNIVAENVGVGENFNDHNMILYPYKSSLTPEETVDSVQ AGRVTLEHLLETKDKVMGWNAQDIHGKIRPSDADIASLSPAFQKAWDRDFKNVPSKPV SVMATIGGFPGDPATLAPLQYLGISTFILHPYSRGHIHIAGPKPGDAIDFKANIFTDP EDLDLEMMKWTYKKHREIVRRMSLFRGELPAGHPPFSPGSKAALFGIDKPEGLEGPLP ADITDIEYSAEDDAVLEAWLKEMVGLSWHPLGTCKMAPREKEGAVDASLSVYGVDRLK VVDLSIVPGSVSGNPMNTACAIGEKAADIILSELGNK PFICI_13414 MACKPDNIFEKSAMKVVIVGGSISGLMSGIALKHGGHDVTIIEQ DNDQRQSHMAGVVLGPDTVEYLARHDRQNSPFCSPSYKIQSLLTEDGSTKSLATVTRE VTAWDALYYRLRSCFDGYISSYYPSHPTPLTTDGSAKLLSRHEVFDFSRSTSGQQMIL EVRDLDGHKTFEIEADMVIGADGPNSFIRRRYLPKVQRQYVGYVIWRGTVPEGEVSPA LLESFKDSLTICASQRQYCMAYIIPGKDGSLEPGQRLLNLAWYTNQSVEDLNRIMLDR LDGHKHATTVPSGHVQEEVWVAQKKQARAAGFPSPCLDVIFKIRQPFLQAVTEMFSSQ AAFEDGKVLLVGDALCLSRPHVGSGVSQAAFDALSLEDLAAGRISLQQWETRVVRYAH LHSTQATWWGKWYQQHWTRAMPYGLYYWACYGIERLRSWWEGH PFICI_13415 MVEPLFQAGRALFNPRICKPRNRELALLGLTSIRKVPLIVHCHR SVCQSIGITTEQYEDGLAGRFPQGLSEEEIMAYKLGRVFTKIESRLDDAIWKEATEKM TKSEAAGIAHVVGGYLWMTLLANING PFICI_13416 MSPSTLRLLQRVSSEDDRGGSLQGYLIFLSIATTIAVGLRFVSR GLRSNATAWDRTASFWWDDWLVLCALPFLLLQFALTFVMINNGFGRHLETLQLNDITL ILKLFFTGNYCFDTAIFLSRASALLFLVRLFPKGVNAKWYNISLYTAQALNVAWWVGI VVATFFDCIPMEKNWYPTIDGDCVNSNRVYIGSAVASVVMDLIILLLPLPKIWNIKLG LARKGGLMVIFFLGYSVIVVSIGRTVTLLKSTEALNTDITYEAVSLFYWFETEPAICI LCVCLPAMLPLGRKLAGSYFSPLWIKLSSVWASRGSQSYSSSRTGNKKLYRGAAADSG SLRADGFHEPFPYTNQTVVGTQTVPSRHDEDMNLSTQRLHHIHSATGDDAIELESQNH VQAGIQVDRTVDVNY PFICI_13417 MPNEGESQYDFPKSDTGSFDSELDGIDGEDPVVVCGFSIKLPQD ATNADSFWKVMMDRRCAMTEFPHDRMNVNGFFQQEPGQSTIPVRGGHFLKEDVKAFDA GFFSISAAEAASMDPMQRMLLEVSYAALENAGITMHDISGSRTGVYTGSFGIQDHTLQ LARDPECSPIHAAVGSGLSMLANRISWFYNLHGPSIGVDSACSSATMAIDIASQALIT GSCDMAMVTGCNMAMSPEFYTWLSNMDFLSPDGRCHSFDHRANGYARGEGIGVVILSR LSRALANQYTIRAIIRSTGSNEDGRTPSITYPSRTAQERLITETYSKARLSMKYTRFF EAHGTGTAVGDPLEAEAIGSVFRKYRSVEEPLYVGAVKSNIGHLEGAAGLAALVKTIM VLERGIIPPNTNFEKLNPKIDADFLRLKFPLECHSWPSTGVRRASINSFGYGGANSHI VVDDAGSYLRLRGLEGRHCTHLCPDQTSSPMSQKTHNSVVVDKHSTKLVVLSASDENG IARISQGYQEWRSSSQKDPQFLDNLVFTLDSHRTHLQWRSFALLRSSSELQELSTHLS EPNRVRTSPPRLGFIFSGQGAQWATMGKDLMNYPSFLADLEQASSFLKSVGCHWEVVE ELLKPATASNIDNVEFSQTLCTVLQIALVNLLRKFHVLPSASVGHSSGEIAAAYCAGY ITQESAWKLAYFRGLCSAKMQSQSVTKGAMMAVALPEQEAGDLISLFNERSHSFGLSI ACINSPHNVTISGEEVLIDELKALMDERQVFSRKLRVSVAYHSPQVNIVAAEYESMIG SIQEGARSDHIPMISSVTGESIDPQSASNAKYWVKNMVSPVRFAHAVTFLCQEPTSCL VEKGDGSHTHKTVVDHLIEIGPHSVLQGPLQEILKTVPRGKGVGYSSVMRRGSSAENS MLKCMGELHCMGLGVNLRAVNAFQFDCPKKNRYALLVDLPEYPFDHSRKYCSESRVSR EYRLRKNAPLRLLGVRSQECDPSCSRWRNFIRTSEVPWAEHHVIEGKVLYPASGMLVM AIEASRQLLETSERSIDGYALRNVRFEAPMDLSENHGVLEVQTSLKRVTDENSMPSFH FTIHSYSASDWILNCSGWIDVGLSSRTNDTWAGQRSAQQQMDIVAELTILADTCRASV EPQTMFDALRDSGLEYGLKFQELQQGPIFFDNENKQAFSELKAFEDSDDKHGYIVHPV TLDAILRLSYTSFTSGGSRKMPTSIPTGIGFMWLSHRKSLGHLEMKAMKACSQITDVT RRGFSCSGGVLTTQRESATELVLRFHTLQMTNIANSPPADPVLSNGNPRQCCMEIECK VSLDKTDCSKLQALLDNLHPAQNQLASQNGELSLLTELSLVLLEKQFDPSILDGTHEL WKRRYWQWASHHLGIVHHKRSWSEAEVSSLFEELRQRLLSRDHAGRLYATVASKLTGF LQGSANPLEELLHTGLLRNCYEEWSRYRCSLQAASYMDLLAHQRPGITILEVGGGTAA TTRNFLGTLGNGSSLRCKRYDFTDISSAFFAHAREEFSQYQSQMSFKTLDLEKDLTSQ GFEENSYDVVVADNVLHVPESLATTLKTVRKALKPNGKLIMQEIMKPDGWTAGFVFGL FPGWWLGVDDGRQLSPNIGVSDWDTLLQSHGFSGVDFLFRDTDDEEAHHMGWIISTAV ADTPAPQPSLAARQHSMIVILDKMDPLQYLLAEGIERQLMCSSEPRPTILSLDEAADA LGSNAFDGLVILLDCGTRSLLASVDLGTTWSSLKQLIMSCQDLLWVTGGGGKSHNVSP SHGMLDGFARTLRSENYLLHLVTLELDLGEEASECLSEHQANHLSAVVYEMLSRKHDG RYEQEYVEIDGLLHTRRVVEAPSLKGQIESRLMPYQTIQARPDGQIRFEATTTGAEHR LQYVQSIDDQEEEEERLNADAVEIQVKAVRLQHRDKTSLMEAEDKPDLGSYCSGTITH VGLNVSKFKTGDRVIASQHGSFRSHVRAQAPLVAKLPSDWTFTDACQMIPQMVVAYHA LVEIGQVKASDSILIHNGANPIGRAALQLLVRSRVDPSGLCVSVGNEDEAAKIMGDSS LPFDNIVPQSWFGTDLLLALSKFANRFDIIFDTCPERSLLSVLMSFLKPNGHIIQLRP DTKSRLQSLHGAIAASNISHSFIDVNRDPSEEALDYATTSSKILDSNWTTMLAAHRTD EISNGLRTLDHQTCLVVCLDDQELNLRKPRIPTFVARSDATYLISGGLGGLGREIARW LVSCGARYLILLSRSGPETEEAKELMNELTANNVLVEAKGCNVADAVALASVLAQCTL RGFPPIRGCIQAAMVMKERVFTDLSFEDWKNATSPKVHGSWNLHAQLPQELDFFVLIS SSMGVLGTGSLAGYNAGNTYQDALARLRVAQGEHAVSVNLGAIYDGGYLKERTHQLLG PKKLERYVLMSTQELLALMDWCCTSDITTIADDHPTAKSSKSQAIVGISPPAHWRHIE EIPAVMEMPFWGHLHHMPAPVASGTEKAAVHSKLKHASVGLEQRLTSVESLAGCTDVT TEMLLQRVSTILGTSIERLDVQSAIHSYGIDSLSAIELRNWVLKTFRVDLPVFKIMGG ATFEAVGFEIAQVLHERNKPETSK PFICI_13418 MDNFTEKIVKAAGLGSYTDITPEYLKKLFEEIGGSPEKLRAILN LWFVPDFKPTFVEPQNHESIGLQDIDFLSMPSTENAIRPLRLIDLETGNLVEAWNTSP LDSYCMLSHRWKGDEITLAHIKRAREIALKKTQDGQTADRDNDIELVLKQSRQDILEQ EDIVLSLLDGDSNIGDVGELLEKRIKANDAKAQVNWARQNLDDEKSKVERYRLETSIF DHLVRRISQDINEGVEGTMGAEAVSPSGSVHAGPIATTVVDEAHNEYAAAQQRLLGAI DTRQQTKEDEEFFQRNYRLGNAVDELISHLQRWKSATKLHRAMKEARNIFEKRIFPKR GARYIWSDTCCIDKLNYGELSQSLSLMGEWYENADFCLVHLDTDWRVSDAINDWKQFV KEVKGKSDVQEPGQAGIASFKAIKDSSPEWANRAWTLQELVMSKMAYFTNAGWVSLSR PIESLGYVYPLIPFIDLYTHGDITNIYVRVFENEELLTKILHGIRDSEAIDSCLGKES IEVVESDDGDLAASDSVKMAVRLVLILQGLGYRLPTTMTTETAVSEMTQSVYLAAWNL VRNENDGPRERAGELFQVLQKHHLPATTRAEGSDGEAEIQNAINFLLVCLVSETKNLV VSDREFIAKFGQVDQLVTWKQGIARSGFPAGRVLQLSCRRKATVPIDHVYSLMGILGV RFQSFHAEGYPKALSRLLDEVIITHNDVSVFNWAGVEMGSPVRGRSMYPASHRAYETN KDSGQRYNMLISAEVQRKRSEVMITYRGIIKMLRDFIDCIKTKDSKDLPLEWVREISA FIRNSTFDKIRPELENIGKILVYIKDYCVQPVLSAPPVEKPQPVEMTTSPTSHEKASW SSYKPSLPSLNISSTLKTKKLPSFGAARFGKSSSEKDPEPPEEESPTLPPHAEPVLPD EPKWMSLDHEVKQYLKILGSPDEMHKEGRSLPSQIQDLKVETDEPEGATRNGEDNENS SLGDLICPNPIIVNNSGIEGVFDIQRVIVTMVDTEKLQRQVARAGSPQQNISGWCTIS TGFARVVVNFACEQHILRKQLEVGQAVEDKIIKEDRAKKLHSDMEIKGTANVHLENPW STTNLQSGAADDGDGEVGSSLKPSQEENTIVRIIDFIQEPQLQLVAGEWVLARFSGAR GAKWFLCRLELGSTHQFYGHRIATTDIDFANSAIEPGLVKTWQTYMGRKKRKMCNILN TYIKSFESATKGQEMLSRTSSIVNQNYGRLVDAGNESLERVMSTGTGASSMLKFPFSG STNDDKALVDVDSEDDDDQSSGNHLFDDILDQGKEAAMALGEYTVMAAYEKLCELHAR HMEKHLATSVLKRTPKSLQAAVEGVDENKGFLPAMFHSSKRVHMF PFICI_13419 MASYHRVLATFDRSVSLKSVECDYDIHPWDILVDGSRWDGKIRL IGFVDVFFLICYSGESRFEKGIIIYKDDVIIRDILREAALPAGELGLEVINEDEIEAA KAKGREPILERYFKLQSIRGGLDYTITSLGHEVGVKTRYQKTDLRKYEIKIRKGKDLN VKKRIRRGVSQHDLFDLMHDAIRLGIITHAELIGHIVGKTIGGSTMEDVSSKYLEAIM GTKNSKNLALAADR PFICI_13420 MDITPIVIAIISLVASITVAGFGAYLNYSTVERKARREAEQLLQ KYRDPLLFAAEDLQSRLWGIFHTDVLSFSGRSVHHDDALYIYTAFVLGQFLAWTHILR SQTQLLPFSLEEDQRLQKFLITLHNIQGVLLLSGTTESTAFTLWRGNQMAIGEFMTEV RDSEKMCMGFHDFTKIWKGASNDAHDDLHYWFDPVVVGLKTLAEKGPDVAEGNKLRRL QHLLVDLIEVLDPQKRRLQKGPIGPCGAASDCPCTRCRPLVHEPKAKKLGGRSSWWTK NSEIV PFICI_13421 MLCEPCQYVVGEIQKNPPGKSTFRHHASIGDWERAVQQNCLLCR RLEHYEVKVGAQKSLVAETFPRYLYRGDSLVSFAIRTDEDFPCQLNGLFCLHRIKAET DRLTIPNYVPTNAVGSPQSMALARQWISECIKHHSKCRRTEVASKSVWAPDRLIYIDD ENSRLRLVRGADVPSDIPYTTLSHCWGRVKDKLVLTRANIEEWHDQLPSLGKWKTFVD AVEISRQLQIRYMWIDSLCIIQDSKEDWQQQYPQMCNIYKRSYCNIAATSAVDDTEGC LFERDITMDLPLRLCFATEGYQSTAVESMVIPAIDIGKDSLLGQYDLCRQNTWVHDIT YSPLNSRGWVLQERQLSPRVLNFTKTQMYWECDEMQASESHPYGLAESGDSNLKSKAI NPFRLKNANIEEDAPVGTGTSPLMKRAFDIWANAVSAYTVGNSDPRLSDGSPGFNKNL TNPADKLVAISAIAHELQPYMNCRYLAGHWETDLVRQLAWTGSNGSQRVSTYRAPSWS WASVDAPIHVFHSLYETGEEFHPLAEVLNVEVELLTDDPMGQVTSGSLTLRCYLIELE VQCLLTSRYGLFLETETETIMVNGEQTRLHIQLDDEHSRPTVPWSVYCVPISLLIDWM TSPSHPKGAWTLDFRSILLEKTEIEGKYQRVGFLGSRFASDVLMEDFVNDPILRAIGS FDSSKEDDLFSLDTQGLQEIKIV PFICI_13422 MRVEQDNLTRLYQPILLEKALTIIHQPYPQFTEDGPALQEDPLL SSQQAFQAFVNKLAQACDSRPGGDTVTAVTILRDADNFPWYVVACNFRSKEALQGTKA FLDSLLRMIRDEQDMGRRPLLKQILWQLLSFSFPRVKLYLNNLLSHLRERAMFPRDIT NRNAKAKFLSDCETCINVIHGARGKEVDEAIRSQSRDNEMEIAQVWHELRHYLGRLLS YRQAAEILLGAFDRWPSLFRDFTIDTIPSNGRASRPLPKSKLTAEEIIVNMSENASEA KTYLQQAYDLQNMALDERIQQQVEKSFRPVLHAEVALHEHLLRVGIEHPERYWNNNKY IGSSKPTFAVRAGHDNLYANWQFPPAPEQEGRMGLQANLELLGIITVLVRNDAKRTLD ERRPRGKRHDSNTASSMPAYFDLGSHGTSSQTSNSRRPDRDKGLQRKDGSGAYKGDDW DSYELIASGGDDEPGNDGASISGERY PFICI_13423 MQFSTSTVLALFAAAGALALPEAQTQNAERCVANLPNCAGSSHI GGDKCRCSGQVAPCGNWKCGWDGPHSILNCGSHGSGCHWIN PFICI_13424 MTAGGSTVFIPATEVNPALSTWRSRAENSIEDFGEFPLCPEVLA SSLRKPPFPFLQLPRELRDKVYKEVVTVSQNFNDLGSDYPSEKTFPGLNILSVSRTVR KEAWEMLANGNIWIQVRLCGPPSLFQSILREVVRRDENGKRYPSQVFTTYGYSRDSVR RLKESSVITVCLGDSSGIKAKDKLLEHDFRGLSLLIPYQSSQWPHLIDAIGESASSNS IHITCRNNVLQDTSISGRAFERIIKGLFTIRNAAQASSSGCSNALDALVSKMQSPLRC DVDYLEFGLEFQKMGEYHLGESDHSEAMYYFDTGACILEHGLGSGLATVGSLPPHQQT ATMRAIIMHLVSSFSHAVNLMISRRFESSKRGMLPEAYMLSLLGKSLEYACASLWLGA SDCERRQSHWEAGTAFALMSCISNEALIERYGFQKQQCLEAAARHLWYALYIDHSNDS TLPPNPMYLMICHQIGRDPEEPWPLATIEIPALGTWTSDPQLLSVWGAQTVMMRFYRQ RAWPHMGETMNANELRQLYSNHGISWTMTGDDRVQLSGPGMESWASALARLFSQPQGV FARYW PFICI_13425 MKTIGIIGGSTDIATVEYYNLINKHVREELGGLHTAEIIINSMD LANSAHYVNHELWDEGAEYLRTKAQSLARAGADFIICVSNTWHRVSDQFMAGVADTVP LLHIAEPTAEAIKGKGLRKVALLGTKATMSSPYLPDLFAKDHGLEIIVPTDKDQELIN TVIFAELSYNQFTDASRNAYLEIIDELASRGAQGVILGCTEIGLLVSQSDRPDIPMFD TLRLHAKAAAMKAVHG PFICI_13426 MVSAGISASPTAVVFDDPPIAIKNVLDLTEEGFLTDEVLTEVLP KRYTFISVENYGRSAWTHTAKVTTKRSDGSEKPFFLKISYGNHGGVMLQGEYESAREV VRLMPDLIPQPRAFGQFKVESPVTYYYLSDFIEMDTTTVPDPTELARQVAELHKTSRS PTGKFGFHVTTCDGRMPHTVDWEDSWPKFFCKLLLGVSKLDTEANGPWPEMERATKQV LDFVVPRLLGDLKQDGKPIKPSLLHGDLWEPNLGVDKKTGRLIMYDVGSYYAHNEMDL GHWRNEFCSHLRSKVYLEQYLRQYPAAEPREEFDDRNRLYCLKGNLNWSAGHPNSVLR RTAYNHMCYLCEKYAPLDGIDKYDPGKDPTVTGASIVVDVGPRRPGTV PFICI_13427 MGPPDLAQSLANLQITAAADNVNIDDILFQQGPRKRQKQDPAAL KAQLENDYLNPSNKFSNEWLNRLQQRWDCPTDLTELFRIAPTQTRTVTRFTRQGLEGK VTGYKNVTVPANSATAKNSTSFSRKPASRADFVRGAAGFFPFAPGGLEGVDSIAASED QMLRPGAAAPNGTGNKLERVIKLGAEGGLLEVAPGLERGIDFSKKKAKVDDSDAKAVE EVLDQEPEDAPNADGVDGIPAPQPTEEELDGEDGEDIDALLPVEFPALEPHSALAASS ARKAGKEWAHMVDINRGIPNFRELVPDMAREWPFELDNFQKEAIYHLENGDSVFVAAH TSAGKTVVAEYAIALAARHMTKAIYTSPIKALSNQKFRDFRETFDEVGILTGDVQINP EASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDFERGVVWEEVIIMLPEHVS LILLSATVPNTKEFAQWVGRTKQKDIYVISTPKRPVPLEHYLWAGKNIHKIVDADKKF NEKGWKEADLALKGKDKALPAPAPAARGGANQRGNQRGGPQRGGPQGRGGRGGNSQGR GRGGPPRASHAPGHMGRAGRPGGFTSLNQDKNLWVHMVQYLKKSTLLPACIFVFSKKR CEENADALSNQDFCTAHEKSHIHMIVEKSVARLRPEDRALPQIIRIRELLSRGIAVHH GGLLPIVKELVELLFAQTLVKVLFATETFAMGLNLPTRTVVFSGYRKHDGHTFRNLLP GEYTQMAGRAGRRGLDTVGTVIIVPPGGGDEAPPVVDLQNMITGQPNKLKSQFRLTYN MILNLLRVEALKIEEMIKRSFSEHATQQLLPEHEKGVKIAEADLAKIKREACEKCDAT LDDCHDASMKYRELTQELHKGMLSIPIGRKMFSQGRLIVFSKDGVRTPGILLSDGIST KTTGGQPSIHVFEIRSMRETRDSTDLLPFLPAFRSLFTQLPQSKRLIYFKTQHIAVGD VEYLTKTVTKGILPDIFQGNESHAKAKDRIFQICKSWEADIWEEIDLSKIKSMQLQEL IGKRHQEARRAVDTAPIHCESFLKHFAMCHDQWLIKEHISTLLATMADQNLQLLPDYE QRIQVLKDLSFIDNETRIQLKGKVACEIHSADELVLTELILDNVLADYEPAEIAALLS AFVFQEKTDSVPNLPGKLEQGIKTIVEISEKVNNVQTLHQVIQSSEESNDFVVRPRFG LVEVVYEWARGMSFRNITDLTDVLEGTIVRTITRLDETCREVKNAARIIGDPALYQKM QEAQEMIKRDITAVASLYM PFICI_13428 MAPGAVVNDDLENYGADDFGSDPFAESGDDNANKADSQSKKRKD ASGLGIDEAVAVSKKVRVPRVKLDDKKLLSDKGIPKLRKKAGDLKFKGKGHEFSDTAR LLSFYQLWLDDLYPKAKFLDALTMVEKAGHTRSMRLARMDWINEGKPRSATQIDENDD SDLRGLDHGREPAIFPARVAPIFEKSNRPAERPKTPSLDDLFGDDDLYDATPKASRTN NASAATNQGLGSNAPDEEDDLDALMAEEEAQRQAPTSIFGNGQLPSRRAPPPSAPDED DLDALMAEAEAATNERPKPQLPARNDAEEDDDLDALMAEAEAQGSIPGSKSSKTPGTE KSSKDANADDEEAMAEMDGLW PFICI_13429 MSTPTPQQTYIPSKVLKTEYPLIDNDPHFNRVIAYARPSDYVHG AVAAASGPGLLYAMERFAPSHVGRGGFARAMRLGGAVGAIGGFLYFYTRSTYRFYGMT ENAREVDMDMKEMVAKVKAGETLYGESKLTPHMQGVAARQGRYSALFMYGIPWFNFVN HNQHGVDTAKYFIEAERQLEAERTGNAQSDVARLG PFICI_13430 MQDRLAGIESLLQKLTTSNTSVGVNPNPTHSVLTRASVGSTPSG SKPTPSSSAAHDDISTVADPEDVESFEGNSSLAAHAAFASELVSRAVQTSMLGSAPFS TPDPRIEAALSSLRQMVNLQTNRGGSTGVETGSQHSRNVHRGRLRDLPMPPMEFVIEK LRALKSAPGPMMLLVVLCFTDIDQFTDRCRRLYFSTDDGDVSESLFIIVNAGLMYLFF EASIMAPANTSEKADLQQFCTMCQNNVEMALAHIPLLMPATMENIEALLMAASLSIDL SRPSMAWMLTSRAAHMCRSLGLHQDNSIRNDKPELRSAKMLMFWSTYMLDKGLSLRLG RASVLQDYDISIPSVLEATFGEVPSQAILSLWIKHAEVQGKIYQRLYSPGALRQSEQG RSQQVQLVVRDTQFLLQETLKLLEDVRINSQSKDSKMFVIILKSDVVSYYSSLALAQR ALPPSGTGRSRTFADECLESARLAMTTHEEAIAMMDDISLQIVYMHWTILYAPFIPFI VIFCHVIETSSGADLPLLDKFVQSLQASREVSAAIDKLHQLSKVLYNVALLYVEAKTQ QSLDQDMVPVGNEFDMYLSQLGFMPMDETAAGAGGLGRDLTRDMLQTAQLGDWFSGGN HIMGLVEEDLSTFNTSAW PFICI_13431 MHSFLCLGFVAAALAAEPWINAPDTGLEDYLYSTNYTEGSLPLL KDIRGVPDFDWAAEQHLDLQQYSFYRTGSAGEWSYRNNLDIWSKIKFRARMLTDVSGV NKTLPTTFLGYNFSAPIFIAPAARAVYGDERAELNFVDAAANEDILYTAALYASKTIE EIGVQKQTHNDTLNGPQVTFQQIYTNANLSVTWDNIARAEAYGVKAIVWTIDAPADSV RHRAARYDTTNANSVTSALTWDLYDEIRNRTSLPVIPKGISTVEDALMAVEKGAPAIY ISNHGGRQLDHSPSPVEIAYEIYRNAPQVFDQVEVMADSGVRYGSDVVKLLALGVKMV GLGRSFMFANCFGLDGVTKLIQIMKQEIIRDAAQAGVPDLRNVSRSVINTRALNETVF LLDN PFICI_13432 MSNRQIARDMQVEFQARFQAKQARKEAQKAAKQDPLLRKQIQEL LKKGETAKAYQKAKILLSKQALAAQMDQMADMAELSASQIQANNAMNRMTHMMGQSSK TMGIAQRTMNPERTLTTLEQFKQQNEEYAMSNGIYQDAISQSTSATVSEDAVHELLGK LADDAGVQLSSQFNEAQPSKAEPVGPQTNEPTAEEEDALQQRLRALRA PFICI_13433 MTSKAKLNVQSFPRPPLLERTPRHLQIKWGDKLIADTKESFWVL ETHHPPTYYLPPSSVKLPLTRTPRSSYCEWKGRATYYSIELDGNKVSNRIWSYDNPTR GFEPLKDFLSFYAGPWDCVVDGEKVEAQPGDFYGGWVTSDIDGIVKGKNGNFDPVI PFICI_13434 MAGWYTIGIALFASIGTFLFGFDTGIATTTIAHQSWIEYMHHPS NGLTGAVVAVYIAGEALGALTQTFIGDKLGRIRFMQLMCVIVTIGTVIQTASVNIGVF LAGRALAGYAVGGLVATVPIYLSEISAPEQRGLIGGISGCGISFGTMMSNWVGFACGF APYGATQWRLPLGIQIPWGVVMFIGLSTFMPNSPRQLIRAGQIDYARREFIKIRRDLQ SHEAQEEFAFMRAQIEYEMSREIKSVREAFKLYRHRALVSIAVQTMTSLTGVNVIQYY QTILYQSLGIERNTILALAAVYGTIAFASNALTTRYLTDQWGRRKMIIAGLAGIIVIE IYAAVMQRAFQNSSNRVGKGFAVLGIYLFVVCYYGMLNSTTWLYGAEVLPITLRSKIM GLAAASHFIVNVAVTEAGPSAFANIKENYYYVFVACTLFFLVLAWFYFPETRRKTLEE IARDFGDKVVLVDETDVQREHTKMTKDIAGDEAGVEQVEAISQQSGRA PFICI_13435 MSAVLGPIKWFYHEFGIASIHETGRNAYFIILARSFRMIAYGAN SLILAIFFSALNFSDHQIGLFMTLTLLGDVFLGTFLTLVADKLGRRKVLLAGSFLMVF SGLVFAVFENFWILLLAAILGVISITGGDFGPFRSIEESILSQLTTPETRSDVLAWYV TTSTLGSAFGSEASGRIIRVLEVRDGWTTIDAYHAIFWIYAVMGIVNALLTFLLTEAC ELETEKDYVQVPQSEGQHVADPSHVQLPRPEETRPARNWFMRSVTWFSTRLADISAPT RSVMYKLWFLLAVDSLADGMVPYSMTNYYLDQTFHPSKATLGDINSVAYFLGAISSVF AGPLARRIGLINTMVFTHVPSSAAVLLFPFPPVFWLAAALLFLRAGLNNMDQAPRTAF IAAVVKSEERTAVMGVTAMLRTLAAMTGPTLTGILASGDRFWIAFVVAGSCRLAYDLG LYAMFVNMKLYQNEKNNDAETMSGSSRGRRELDEEQSFQLDSLDDSDSEIEEEPKEPK NIDKESTNGSLALPRQGETIRSRSPHSLRRAGLK PFICI_13436 MAQLGATTVVATAVTLLALFVSRFLTNRRHVRKLQEAKAPMPAY HPVFGHLVAVKKAMQNLPRNVTTHVMVRVIAKQFPKGIFYLNLWPFNKTLMVVANPYT AAQIEAAFLDKPQVITDTLEVIMGGPSLMTMHGGTWKKWRALFNPGFAPNYITRLAPA IADETAVLCQLLHDAARQGDMFQLEEYTLRLTFDIISQVTLGSRLHYQTQGSVLADGV RRQVYWTPFATSFNPFRRFLSPRPLIQKYTSFCIDRYLSGEIDKRFDELVQSRRDPAK HIQARSVLSLVMDEYLKDVSDTKELSREAFKQLVIPQLRLFLYAGHDTTSSTLLYCYY LLFQHPDILEKVRSEHDTVFGSDFSIEHIQQEVTRDATQFNKIPYTQAVIKEVLRLFP PGASIRDGRSDLILTDEDGKQYPTEDCYIWTLNLVMHHSPEVFAKPDEFIPDRWLVEP HDPLYPKKGSWRAFEWGPRACIGQTLAQLELKVVLVMTLRMFDINVAYAEWDKKHAKK GITTVEGNRVYQAEMGGAGSHPVDGFPVKITLRA PFICI_13437 MSSYRQHTSDGDNPPYISVSSHEYHGGEQPGDEVQEAAPAAFPR FQELPAELRTMVLQERLDEELIPPIQTLTIMAHDNGQEPEPHVLTRGIKSKDRGLWHT GNRLVRAEVERRCCPALLPVRQLTDPGSHRYLINQGLTRSTPERHRDMGQRPMPRGTV FYVGPEVASMLLLLSEERPVHDDGSTLGQVENGRLHLDWLENLLLDHHTFRVLLRQAE RTGNDQPFRFAPNLRTLYLGFGRRWTEVVMIEARSAEDTLLPVRLLQPPSSSRDDDDD DVGEPTGLVMMAVGGHAGREQEMHGGLTAMIMDAARQSLSDVRALEASGLQVRWVVVR DDCMVRRKEFIALYDSL PFICI_13438 MRPPRIAILSLFFAACLFLLCRSIAAAARTSTAISAPPSTRDSG FRSFFSWSAPFSLFSPNAIITIADDNSTSLAARPAAFGPPLPSKGLSGQLWIGSGFTE ESLQDGEESDGEQGCSDVPGWDGGSIAALKSSVKKMNDKTKPGASAGSSKNGKRDAGL KTPMLDRFSSSKTESTSKNKAVNDGTDDYLHAGLDSSRMQNDAAALSMPAHADIQSIQ EGAEIAGKIVLLSRGGCGFLEKVKWAQRRGAIALIVGDNQRGGPLIQMYARGDTSNVT IPSIFTSRTTAYLLSSLMQPGSFIEDIIDENGKSSYQVQNSDKARRKARLQTATTTEL PRATDASGMKTPALKKTAGATTGEGNKPEVSSRGWLSSIFRWDSGANTAERSRPPSSG QHDWVLVDEFSDSQDEAIKSSREKAAKAGKHPDTKMDKPSGDGFRIGVEDWRDPDLLG SSLQEDEDSKGISKDGTKSPSGNKANSNKVDEKKTKDANRPNGGSITPGSGEYSPDGA SRVKAPAFKAEKPRPDADEHHGFLSKLFGGHGEEEEVPETHPHPPPIKTPIAEKPAPG ELTPPEHDGLWVIITPTSGASPFFDTLLVLVISPLITLTVVYALLILRARIRRRRWRA PKSVVERLPVRTFHTVASTPSQSPRVTRPPSPSPPSPTTPLLQGQQSTSRPRPRSRTT TGIASDLLQVNSSLQVPRSPPQEKPSEWKKYMGRQVECVVCLEEYVDGVSQVMSLPCG HEFHVECITPWLTTRRRTCPICKGDVVRSLARGSPSSPRYEPYRDDSDDDFGAEASTS LNNSAERSADDNDSDVEQGILSSTSRRSQPQESWFSTLSRSLREVSNPRRSRSRDSTA DRDR PFICI_13439 MSYQFQVLAVVRENFSLVLLSLPLILLFWYLWTAFRSPLRQYPG PLLAKWTNLWRLYHVSTGNSHQVFHDLHKKYGPIVRLGPNLLDLDYPELIKTIYNIQG NWKKTEMYHGSSAKAEGKIIYNLFSETNQHEHSRQKRPIAKLYSATGVLALETHMDQM IQKLCEVLATQSSDKTGEGKVLDLGEWLLYFAWDLVGEITFSQPIGYLDKGHDFDGTL ANADQTMDYFAMVAQMPFLDYIFDKNPVCKFFGPPGFATITQISIRHLLDRYAGKDGS YHDASQPDYLDHFIEAKKLHPDIVDDAQIISYLMINMIAGADTTAITMRAVFYYALKD PAIWTRLEDEVLGSPQQHHHHHHDATPLSLKDARRLPYLDAVIREAMRIHPGVGMSLE RYVPPEGLRLPNGQYVPAGSVVGINPWVVHRNPAVFGADPDMFKPERWLRDDARGETE DAYQSRLRRMNQADLTFGAGSRVCIGKHISTMEVLKVIPSLISQFRFELDDPKKEWNV VNSFFVRQTGLKVRIQRR PFICI_13440 MVSLVAKPPGEEGKAWPAICIGMFVAFGGVLFGYDTGTIGGILA MPYWQDLFSTGYKNSKGHLDVSPDQSSAIVSILSAGTFFGALASPLLADNMGRRWGLI GACIVFILGVILQTIAVALPLFLAGRFFAGLGVGLLSAIVPLYQSETAPKWIRGAIVG AYQLAITIGLLLAAIVDYATQNRNDTGSYRIPVAVQFAWAIILIVGMLLLPETPRYLI KKGHMDRAARSLSRLRRLPHDSPAIASELTEIKANHDFEMSHGKSSYLDCFRGPMLKR QLTGCGIQALQQLTGVNFIFYYGTQYFKNSGIQDPFTISMITSCINVASTLPGLWGVE KFGRRPLLLWGAVGMCISQLLVAVLGTTTTGQDAQGNVLVYNLAAQKASIAFVCIYIF FFASTWGPLAWVVTGEIFPLKTRAKSLSITTATNWLLNWAIAYATPYLVNYGEGYANL QSKIFFVWFAFCFVCIAFVYFFIYETKSYSLEEVDQMYNEVTSARKSTKWVPQTTFAE QQNAYGEKNAVVDEHEHRDIA PFICI_13441 MTVASPAQGSAVRGASLLIILQIVSRAITFIANQLLLRFLTAQL LGVSTQLEVYYLSVLFFARESLRVAIQRQASTTTTAATPEKSADPAAKPSGSNESQSV VNVGHLALVLGVLAAVAFGGLYLHYVDPATASVAYLSSSLYIFGLAAIIELLSEPAFV ILQHRLRFGPRAAAEAIATFLRCFVTFGAANWAWRSGREMGVLPFALGQLGYGTGLLA VYLWYGWRLAWSEGFSLLPKRVTKIENKATTSQPIVPEPYTLGYFYTPTLHLASSLTA QSVVKHILTQGDTFLVSILSSPQSQGVYALANNYGSLLARLLFQPVEESSRNYFSKLL STRTEDAKEKSASDEPVKANVTQARADLQSLFKSYILLSILVVSLGPFGAPLLVQIIA GKAWAESGAGDVLAQYCLYIPLLAMNGISEAFVSSVATKSEVHRQSVWMGAFSVMFGV AGFVFMRLLNLGAVGLVYANAINMLCRILWSSRFISSYFDRHGAEFTWSGALPNASAV YVLGTAVLWQVLYQVAGVSVGGVSNHVYKDVVKVVGCALPYVALMAYLERRLLIDSVQ SFRRSR PFICI_13442 MSKHTLEDEVEVDLPRKKSKKDKKDKKAKKEKLQEEESSATTPV TDAMDVDSEVKRDKKKKDKKEKKEKKRSAEEAGESADGEVEPAKEKKSKKEKKEKKRK TEESEEPEKEAKETTNGASNGSATAPTSTSGKYSQNAALSSLPESEITSFLTTNEITV TDPLSSTNLRPILQFTHLPNTTLVSKNPFKSFKAPTPIQAASWPFGLSGRDIVGVAET GSGKTIAFGLPLVEAVLSFDKPTKGAGRIRAVVVSPTRELAMQTNDELSRLTNLVGLK TVCVYGGASKDEQRVQLKKADIIVATPGRLKDFLEEGSIKLDNAKFAVLDEADRMLDK GFEEDIKLILGSCPKKEERQTLMFTATWPQSVQALALTFMVSPVRIAIGNNESGDLKA NSRISQTVEVVDPRNKEQRLLQILKAQPRNERVLVFCLYKKEATRVEGFLQQRGIQVV GIHGDLRQEQRTRSLDAFKKGETPVLVATDVAARGLDIPEVKLVVNVTFPLTIEDYVH RIGRTGRAGKTGQAITLFTEHDKAHSGTLINILRAANQPIPDELMKFGTTVKKKPHGT YGAFFKDVDMSKKGTKITFDD PFICI_13443 MADKKTEKDKSKVHKLSLKGSAKLVAEFFQYSIHTILFQRGVYP AEDFTAVKKYGLNMLVSADDQVKAYIKKIMSQLDKWMQHGKISKLVIVITDKDTGEHV ERWQFDVQIFGSTKKSSKSKSKESAGADENADGAAKGSTVPDTNKTETEIQAEIAALF RQITASVTFLPQLQGDCTFNVLVYADADSDVPVEWGDSDAKEIVNGEKVQLRGFSTSN HRVETLVSYRLGE PFICI_13444 MTYSWLLLSTSWDLSSIQAALNVLISVLSTIILWAWSRFWWQRV SARVVHKDSGVPLPQLLLVTGPGEGWDVVTVLGRRLFTRGNWPLLTQTFVVLCITLAS ALSGPIAKGALRHGSTTLQKDRLVLQAVKGDGGTGSTLGATVLWNQTGSALDIAGFPL TQLLDKLPLSSPDPWIYAETEWDPTWTLHCNYTSNVNLPNVTASGDSPMRQPLAAFPA FNKTYSGAWLDSSEYRFSTGFTGLVNWTEHKWSEALFFVLVQSNPEVHDRMDHNNETL TLSITLFHAQNFSCASNDTTATSGGSDWIPVGAVERASYSRAECFITRKASVPDENRI PWPWTNDTMEIIGSYESWYYTPFQAPGSMQRTIETPTAESLIRFYQIYMATTNTYYSD PTTTALSSRVQTVELSLPFLVVAVLLAVLTMWAAIRYQLFVRQHKTKIKEISVPDGKV DWIIHAAKISHHNSGNLSSKEKRHNDLEYLQNAMFGRRIAANSALSQIEPEDSKFARV LQSPRILVAAISPNRSFSGSHPTSLDHESGQESDHSGETAVPGHAPFSTVSEGRPLSR DLVCDDTNPAEALGGELPSMAVHGTDLPLVQMSGGEETRSPKSEQNGKPDRTEEHEVE VRSSLEVKQVSRNPQ PFICI_13445 MLKPQVSSTRQICPLDGIWNFAVHKEPDLEDDKLWTQTISSQLQ VPVPSSYNDIFVDAKIRDHVGWVVYQRDAIVPHTWSLERYCLRFDAATHVARVYVNEH FVLAHSGGYTPFECDITDIVKPGQRFRLTVAVSNELTWESIPPGKIEVMANGDRKQTY YHDFFNYAGLARSVYLYSKPQISIQDIFITTNFQENTGLVDYVIETSHPLEGADVRVR LIDENEQCVYDGRGQKSRAVIGNVRLWQPGGAYLYQLRAELISSSDPNTVIDSYELPI GIRTVEVVGNRFLINGNPFYFTGFGKHEDSLIRGKGHDNATMIHDFHLMDWIGANSFR TAHYPYAEEVYEFADRHGIVVIDETAAVGINLGIIAGVFGFKAPPTFSAESANEKTQH THLQAIRELVSRDKNHPSVVMWCIANEIASAEAGAREYMEPLVKLTRELDPTRPVCFT NMAFSKVDKEVVTDLFDVLCLNRYYGWYSQMGDLDAAEQILEKELRGWQEAHDKPIII AEYGADAHAGLHSVLDVAWSEDYQSRFLAMYHRVFDRVDNIVGEHVWNFADFHCTSSM TRVDGNKKGIFTRERRPKAAAQVLRRRWKEDGIAARKATLTNETGMGV PFICI_13446 MDTKSDSQHVETMQPAVAKRRCTWQVILFSMLANIGPLMFGYNL VIIGAVTALPTFQHDYGQPNAAGKYTLPALWVGLWAGLVQLGVSGGSVIAGWFQDRFG RRPSFLLGGLLGAAGTAISYSSAFPSDTDHRRIMFLLAKIIIGIACGILLSTCQTYIS EIAPIGVRAIVLGFYAFNISVGHLFATVAVFTQTQNQTSSAYQVPFATQWAFAAFAVI LVFILPESPVWLLLQERYDEAHQVIKRLGEKNSEQVLQGMQATLINERATEAHGSENP TYKECFQGTNLRRTRIIIILNLIQQFVGIAIVTNGAYFLTVAGMSSTNALMVNLIGIA SSIVANMASWWTVSKFGRRTMTLISIGLDFLAWVSMGIAGCFSSSAAKWYVGVALLLF GFFNSLGVASAIPVISAEISTVRLRSKSQGIGLTAQCIGAWAFNFFAPYLYNSDQANW GGKIGFFFAGLSTIAFAVTWWDVPETLGRSFAQLDELFGENIPARKFKKTQLSVEPSQ DTKLGAEMF PFICI_13447 MPANNPIQAKTQAWKRHWPPVASDGDIHLQDLQDVATDGMPDGG GRSEIHCAGMAGGANPEDHREQALVNNMVEGSEDGYDGDKEGAN PFICI_13448 MASINHVVSSQEDEVITSEVSYLKIDPKHRYEKPYRVNYNTGGL FPWTNTSHDTQPIFIKNFRTIQTPGSLEKFGFSVKTLQSQLSRRDFEDSVKVEEWFYP EVKKLLNNTFPEAAKIEILEHGIRRRDHKARNATGGLAPANIVHIDYTENSAFDFSHR IFKASAEQYPRLLMVNLWKSIQGPGNDWPLAFCDRRTVDYDMDIVGEDLVFKSGFTEH ARLYHNPRHEWYYFQDLQDDEVILFHQFDSELEGGGGTPHASFFNPLTSKAAAPRISI ELRALIYFE PFICI_13449 MAVSNVLSSFMGHCIFSYCIFVFVVILQVHIWARVIRVQRSSLS RIPGPRFAKWSRLWIAKAISTGRSHEIWTETNNRYGRMARIGPNHVVTDDPSITRRVL AARSGYSRSSAFDSLRMDPEISNIVSEKDGKKHSSTRAKLAPSFAGRSLATIEPMMDE QVLVWIDSLRRSSSQVIDIGQKIQFLTVDIITRVCLGDAVGCVRNDQDMFGLLETIEI GNQVAQYFSVFTELCTLLFSLSRIPFLKRAIFPKVTDSHGVGRVMGLVHKVAAGRSED KRDDLVSALIGRGMPTEQIDSEVIVTLAGGSDTTSTSVQSTLLCIASNPQVYAALRSE IRTALQEGKISTPIRDAEAKQLVYLQAVVLEGLRKHPPLSQLRERVVPAGGDTLGGFH LPAGTFVGFNGWGTQLNTEVYGDDAKLFRPERWIAADEDRLREMHACHSLIFGHGATK CLGMGMAMMEIPKVIFELLRNFDVTIANPHKPWTSHCYGIFHQKGFCVVLKPVGERDE PPPAYDGKLLD PFICI_13450 MTKPLPIRQRAYAPKTRAGCVGCKTRRRKCDEAKPQCEGCRRRR LVCVYGPSRAQLRDTTKQLVNIQPMPTRELQLYHEPSRSVFAKLSSAEVPYLDYFVRK LVHRLSFPGLGNTGLVQFLSQTALQQCEHNEHILHAVVGIGALAYFRQSKSTNVPLYQ HRLSGCLENEHYRRAVKHYGQAIASTRSLMQDDASRQPWLVLITCVLFVLFEQLQDNT DAIDKLTASVIKFLNSPTRGSLASGDLLAAAVDDTGVAEAEHFICNNVVNNSMFSPMY PQCRKHLHDLSILSPQMAAIVGPEKSVAEFVSGWFRVGTVMVSPSLSFAQDLTMPRET VIAIMSAWEQETQRRLLSDPKPTGHSAFLLRYVGIACKAVTQSPPRGPADRDLSVGGH EIMEMMQLIEDEFEANRPTLTLEDSELIGEGSYDVTLPGLFIAARDMSSYPVRLRALN ICRRILRPSSSWSNKAIYLGLCALVEIEGAGQTQEGATESQLGEQYNWTEGYWNEDYT KLHVVLQAVTPPGDLGHTPRRRHLIVSPQAYGLA PFICI_13451 MTSSALPSNGELVNIGTHSLALYTHGPAPTSPNDPVAIFISGVA SDALNWQAVVRLLGTSVRNYTYDRSGFRNSDASPLAPSAENVALELSLLIEKAPIPNP LILVGHSWAGVLIHEYIALKGTDQIAGLVLVDANHETSPLVMNVNDPILWNVIAANVD PYIGWGLETNHKFTQAEWDAFKVVKTKDKHKLAVQKEDENYMPSFEKLREKQLSKKQP LLGNKPVYVIGGTRSRDWSGLYNAGVAIENGTEEERSYVRELIKTIDAKNEGIMKEFL KLSTRSELVFATESGHFVQITQPEIVVGGIEWAIGNLS PFICI_13452 MADQSQSVVPKTGPRRLQHDDYTVAIICPMGVELAPIRALLDEE HESLSTSRDQNAYKLGMMGLHNVIVAVMPEIGNNAAAMVITQLLNDFKCVRFGLLVGI GGGVPDEDYDDDELEDIRLGDVVVSESKGPYGGVVQFDRGKSMSSGFVRTGHPNKPPH LLASSVEILKTEHDLHGISISRYLEEMYNRYPQMQAKYGHPGSDRDRLYQATYPHQGG TTCKKCDLAQTIVREDRKDPGPLIHYGTIGSSNMVIKDAQKRDELRDIMEILCVDMEA AGLMDVFPCLVVRGICDYADSHKTKKWQPYAASTAAAYTKELLSHIPPEAVMKTQAVT LEHLSIKIDELTQSTQQASSRFQSAEEQEILEWLSPKDIDFSSPYNQSRQVHTPGTGQ WLLDDERYRDWRDFSPGLLWLRGAAGCGKTIICSTAIHDLQTLTKESRTSSLAYWYFR FDNTGSQNVSIMLRSIIRQLSPSPLSAGVRALRDRHKQAGSNPSLDELIATLHHTLHD AIGDVYVVLDALDECPSTGKLGQRGKLLQYIENLFCQPRFNLHLLITSRPEPDIWTKM GPVSRYSVDIEELLKADVERYVDAVLMNSNLGSWAHAKEKIRDKLLSFGERRFRWAEL QMRRFTQCPTDKDLEDALKSIPRSLEESYQKAFESIPNRSRVYVRKIMMWLAVSLLPL TTEEVAAVVGFRTTDFLIHICTTLLVTIIDKFDSDIIKLAHFSVKEFLVAQLQEDESW QWYRFSTNMAHEHVAYTALQALVEPRGELRPIIFYAADFWHRHAREGLKSRSASLLQN QINRFFDPNHTNQFLGWLEILKRLRSRVYSEDNLQPLYYASLLGFEATVRELWFDESQ LSRSRRHHQNALEAAAIKGNVSILKWILNKCEFPERYLSFRKVAGRVEANEIEVIAEL CNKMPQSELDQSVFEAAASNTKNGQLVMKQLLEKKGDQIHISEGMMKAAAGNWRYGHK MVELLLEKNRSQVQITGDVVMAAANNGDQGQEVMELLLEERGEDVHIAEAVIEMIVGN FNVRAVELLFEKKGDQIRITESMAKAAVGRNGPGGLFGMILGRHSPNKQTAQQVMQLL LDKDEHVQITEGILKAAVQNATGGYNVMKLLLQKKGHQMQITESVICAAALYGSYEVI ELLLEQHIEITQGLVEAAAGNWQNGDKVMKLLLTRTGCSSLITENAIRAAAQNEWCGK DLIELFLEELGHQIQITEGILKAASRNQRCGAQVMRFLFEKGGDQVQIPRKVVLAAAE ARDSTMMQLLLEKGADPTVADNNGFTPLNTAAYYGRIETVRLLLEKGVDSTSTEYGSL TVLEWALKGGHIEVVKLLLKEEANQLTRRMTAAHHPIWLHATDTSK PFICI_13453 MQFPRFPKPTCSTATTLLSMLLVDLAQGRAPTAGNNTQGLTGFQ PCDALIAANLSHAVHLPSSSLYPSLVANGSWGIDTRKQPYCFVLPSTAVEVSETITAL RDAGGGAGDWHVAIRSGGHGTDNSNNIATGVTIDLTQLNATTYDAATNVASLGTGARW LSVYEELEKDGVTVTGGRQGIVGVGGLLLGGGISWYTARTGFACDSVVNYEVVLASGE IVNANASANSDLWRALKGGGSNFGIVTRFDVEAFPTKNLSLETRVFAEEYADEIADAF AGFTDLDQSFEDDAMLTVLNYNPETGNSSFTVTHINTMNVANSTAFHAFNSIPTSTPG TKLSLSLVESSGLGNTVSGATLNAATGSLTIVNDPRVLRYCFEEHASIVEDLKSSIGP QSFSTILDVQPFPSYFANISIEKGGNMLGLERDSRNKAVIALGVSLLATESREQYPLV LQKVTAVNERIVAFAKSIGSSQEFVYLPYADARQDPIGSYGAANVEHIRQVAHKYDPN GFFQRRVPGGFKIARVD PFICI_13454 MESQPQNTGTEKILSPAKLAHVVLRTANFKSMVAFYKTFLGAHA SYENGTLSFLTYDEEHHRVAIIGIPETKPKDSNSAGLDHLAFTFNTLHDLMLAYQQRK TRGIVPFWCVNHGPTVSMYYQDPDGNKIELQVDAFETVDEESTFFASSAFAENPIGVD LDPEDLIKRLRDGEDEGQLKKRPDIGPRKFDSVPIPAAPVSISI PFICI_13455 MWLLDTETQQLHPVEFFEHNRPRYAILTHRWRDDEVMFADMGNL DQAKTKASWPKIRMTCEKARSHGLKYAWVDSCCIDKSSSAELSEAINSMWHWYLEATE CYAFLDDCDLSSTTVPFEEQLRASEWFRRGWTLQELIAPGPDCFWFYDKRWNKMGDKS LLSSLIANITSIPQRVLLDPHAVSTYSIAQRMSWASQRQTTRIEDTAYCLLGIFGINM PLLYGERERAFLRLQEELIKKTSDLTLLAWESSDQNITAEFCDVFASSPSVFANSGQI KLAWNEESPQWTLTSKGLEVYDEIYQTWIGGVFKTLWWIGSIDTSTRQSFDDFRNIYG RPFDIHLVFVELDMIGPGIYVRTKQNLLSLSVANWQKPQSLAHTRCQINTLSFPNLAH WYTDIYRLYLKVPRGMQIVGLFPEKYSNFGEKFLFKTTLLYVHVILIRISEGDDVICL VDSHIHPKRTGHILRFRMLNRRQSPLLAEAILQRLNVTEVLSQTLTSVHPEVTSLIDS TLAKVRGQTYVVSA PFICI_13456 MDSLYQHFPLDPRSIRIIVLEPASDPSSDIQCGLHKASLDYVLD FEALSYTWDGQTPECPISCRTVENLNDFPSTPFSTILVTQNCVDALRALRRQSGRRLL WIDGICINQADIDEKGVQVAMMGELYGACRGVIVWLGNKDEAAERAFRFMLDIGGAME NMVSRQRASEPTSAQVPLAEDIELHESLREMLQNLQRMSKEQYNPESENPLRPLLNRS WFHRMWTVQEVVLPAQGDVTFQCGDLKASFPTLRFVATQIEVAKFTKVGIQSSMKLQL ELTDALARRRLDGMDGDQNSDAVKQGYQNFTRTNFSHILHMYNQGDGNRTSKIKLSAI LDGARRKRVTVPADKVFALYGVFQELGIPMSAPDYRKPTEDVFHDAAVASISSEKALD VIYLAVTKTRRENLPSWVPDLMDHGFGNMDPRNCTEDVKRFTAGSSLPPAWEFLPSHR LRVCVKLVETVTKSFPSFDVHVKSLQGHEDNLEKPSTSQASLAQLHKALTLLREWAEA VRGHAASYPSRKDMVMSFLKVLLHDEKSCVNHAEEEDRFDKWFSVLEKDDVELMRSSI MKNGKEMSKAKLAKVAEYQGSSVLKQLKSWKAQMSNAFEERERSSKSFAVGMLGHVGM QNVTYPAMEPVLQLHLAILRFARRQAFFTTETGRFGCAPDFIAAGAVREGDRVAIIAG LSLPFVLRPLKRGGSVRETDEYELISHCYQDGIMYGEAVHGDGTELQDVVLV PFICI_13457 MQESASNGCKGCQFFLATIEDYLMSNDSEGFSVFVQEAKPIRIV VDGSGRFLLNSDFMSASKYITVDLCAADQTSFDYPNLDAGPRRPLSPDTNTPEVFELA RLWLDCCSRHDSCDAQKDTPLPTRLVELTGDLELPLRLCVTKENWRGKYVSLSHCWGK ELPFRTTSDNIDSLIKGFKVELLPQSFRDAVTLTWELGFKYLWIDALCIIQGNLEDWA RESAAMTQVYYNATLMISATAATDSSVGIFKPRKCFTSHRFGPNGSLLWQSPAIFPST SADEEPLDRRAWSYQEKVMAKRILHFRKNEMGWSCLTSAFSESRGTTPVDGPVDDSPS IKRYAHKFLGVKSPDVDLGGLKRDQQGALCQWYNILSGYTHRDLTNPRDKLPALSGLS HGLLIPEFGQYLAGLWEADIFRGLGWTYTNRHKIPVQKYGCYIAPSWSYMAGQGRVHL FDDWIWADAEPALRPSERWEQQYNPRLIDYHFQYDTSDQHGRITNGWIVIRAHCRRIL VRQNSTLGDNSRLGDDDDDARLRLDEREGFFEWRFDGPHGYHCPWLLLDREPDGWGEK WANNDKVDDFVAVQIGQHAHLYKAFLDPELASVLLILRPIDHDGEQVYQRVGIVLVPL NKRQKWHRKWQRRDFKII PFICI_13458 MAAVHDTIVAGAFQGWTPGFYDKNDRYYVPSKDVDVSKFKDFSL RSHDPMLGMTVYDQGALNSCVANANALAYWYELRKATNKTLEVDGPSRFFLWWNALEG DLTDGYAKRGPLQNTGTWNRHAMKILNRIGVCSDAMQHYPPSPAQTKMTKDQYSKFIQ DTLAQKPEDKCYDQAETHKIGAYFRLDADRAIDTGRQSRSVDEMNAVGEICLDNVKRC LLDEHPVVLAFRFYWESIPWDKSTGEIWSLPNLWAGKDGQPPLVQSRHTPPAQNHGGH AVIAIGYDDKIQRILCQNSWGSGTRSFPSKGGLFWMPYDWVKDFAATNDFWTCRLEDS DNFKSMSEEEINQRVQNKIKEMTGH PFICI_13459 MSTNESSSQGQGQWQPAGIDVLQPNSEGFGAATTRWNAYGAPVF AKATVPGSAAEVAEIVKAARASEIPFLAMGGRHGYGHTFSQLQGGLAIDLRHLNSVAI DKTQETVTIGGGAKIRDVINPVADAGFQIASGACNGAGYVGTTLGAGIGFLQGTFGLA LDALFKPGFVLGYSGAGANFGIVTSATYKLSKQINGGQVFYAELVYSAEQQSAYFEMM EAYQDQRPAQLGCSSCIFWNPATNSTCVLSTFIYVGTEEAARSELQPFFDLNPVIAAA EDIPFQRVPNVILTGFAEVTCNTTEGIHSIHTVNVRKFTAATFSSVFTQFDAFLKQYE DARSANSAIVIDNFGTAGPTSISDDSTAYPWRDAACNVMLQMRWTGLDNPLGETANTL AKKLRDELAAKSGYDGLSAYVNYAWGDETLEQIYRKDKLERLVALKKQWDPANVFGYS NGIPPNYKTQ PFICI_13460 MTSHSSAEQEQPASAPSRGDDASVADDADSEIDDEELDNWLVPT YKPKFYSKRPMKKALTAPWGLLVSNADVAKLKTGFKSQSMDHKWDFLVEDPDKNGNTS IHIIRTWCREECWIIHLVPASDDHDGGSAKIESITWEGNKAIGRCDAEQAKKEAVILL RGWLRCEFATLPQYAPGVFYNPKAYTKLNTE PFICI_13461 MTKYARQLGFNIAGLLGFGYDLGLQTEKTNRSMLTMLDAGTAWS NMSLQYPSLPGFRAALILIKTFRKFHWPYLAIIEKMIRLRTGMAKGAKHDLYSVVANS FNDQSDSGIRDSKLWG PFICI_13462 MLSTISTRLGFSSNGRAICWLVFGGTLFLFAITRLKYLDFYGTF CNREKKNALPGECFYFLRTSLEEIGMLVHLYCVIPASILVWAQFVPSIRRRGLGVHRV NGRVCLALGIVGAVAVIPNIRRAFGGDPAAQLANITLVGLFVMSSIKGYQSIKQHRVQ DHRAWMLRSWCYAGGIITVRIVMVLSAILISMAGGYYIAQPCDKIDHVLYGRNNTLSR YPGCAPFYTGEKLDQHVVVDANLMNAKTDLMQLVSAFNLGYPMSAWISLALHVLGPEV YLRMSQEDREPGKPANNGKVKIGTKED PFICI_13463 MNSITVRYSPLHPLSWFRRFRPWEVVRKREVALVGILGLLGIGL GKSVSSYTRESTQTASQSGDVDVYSSHWTALGDSYSAGPGAGDDYDNFEYGGEPCYRS KGAYGSQLDALDIDAAGHFQFLSCTGHKTTNVTKYQQPDILWGDLESDMRVTLSVGIS DLFFSEYVKACLIGFGWMNCSETLDKIDNLIDNSDGQNTFRDGLYSVWKGIVNEDWSS NPYRKRHVPSILHTLYPTMFNSSTDHCNDEGLFDFSAKFTHELRNQTNDLVTRANDMI GIHAETFMRDNWEWTGSIDILDYNNEFDGHRLCEEFVTEEGAGFDNDEVWFLDMLGSD TSGAGDETVDIDTCDPDSGDLGEAISCEVARYKAQYPGEDFDGLENTPKKQIAKAFHP NSAGFQVVRDRINEVWLKTRPQLRVLPLGDSITNGFQSTNGAGYRDTFYDLATMHNEY TVDMIGSVQAGDMSDNDNEGHSGATISEISDFADLSLGQRPNVVLLHAGTNDMASDDS ANGAIDRLADLIDKILEQCSDATVLVARIVASSNSATQERIDAFNAGVEAAVDSRATA GKHVHSLPMDDAVTADYLFDGIHPNDEGYARMGTLWFGGVKYAFNKGWLEDPVAGDAP ADSNSTCSTVPTWLPQGTIASGGGLGAGRIPGRNCTGTDTCICTYTDDTEETVDNSSD DACSALDRVDTSAVIMADIDGDGRDDYLYTDKKGKVTMYWNTGRAPDDGPNAGKVQWM SMGVIADGVGARGDQIRFADLDGDGRAEYIWVKDSGAATVWWNKGFNASASTKVIWGS ATGEEVATGIGDGQGVVFADMNGDGKADFIHLASNGAATLYINQGRRDSGGWGWWNWG EIASGVGSSRENIRFADFNGDGQDDYVVVDPESGGLDVWYNRGTKSGDWASVSSIVWW NPGEPIASGVSYLPNWSNSMMVTFGDLNKDGRDDYLYVGLTDASIYAFINGC PFICI_13464 MAMTMDIEYQPLNLSSRQVRLITLLPASDTLGDKVFVILRTVSL DDEPTYEALSYVWGDEKDTREISVDGKTANVTKNLEAALRRLRGPSPRVLWVDALCIN QKDTNEKNHQVPFMSQLYTKCQHTIICLGEEGNNRIEAFFQWINSTPSQKSTDDGTSS TATQSRRQGSETVYLGYIELFKLSYWQRMWTYQEMVLPINDPICVYGDYRCPLSTLFE CEMHLSRIESAIPVLSGLESLMSYQVSRTIARGVQMAREGGKETYLWSHLLYTAGRAS SDPRDRIYALHGLCSGLRKVYPAEYNPRYHSPYRALLEATVYSIMYEAAFVATLGLFR MRPNRFLETALPSWVPDYNVRLRRAPSIFRCAERLQRRSIDDPMPSTRERDTAVIIGV PGVGVRNPLEPMSTLVPADFLDWKVMDLVLSKGQEGCHTASYATGAELVLLPGVKEHL EEATDVSREVSAGHIFYQPESFMHVLLGDKPTLRIAGRYIGTCERLDTFGEDRRANTS MLYGMFGAWRSMDYSTSLNALFLTIRRAYWVMELEDVPTLQDFRTGFEMAFPLGPSGE FSIDDMIEKLLHRAAQVETFSTETDEQRLIDLFHNFIDETGPRTADETVFGVEGIPEL VGVGFEALQTGDIIVAPIDQHRQQSGPLVLRKVETSDANAARDESQNQQAQRNKEGTV YYKLVGPAIIHALTEESELVACLAHRRVEDFFVQ PFICI_13465 MASNITSNIPSLPTPAALLAHFPSSVQTGISTLMSKITTAAGDG SWKTTAISIGVGYLVLVKALRFQREKSMRKRYGFPDRASLQKMTVEDAQKIIKELSSL EFPFSNETSLQFALFKTYGVESISRLLLATRNLTDPINSLKRYEDTAVIIGEFMANPP NSVRATTSVARMNWLHSKYIKSGQISNEDLLYTLSVFITEPERFMRLYEWRALNEMEY CAYGTFWKSIGDAMGIQYEGYLAKTEWTDGLDFALDIAQWAKSYEVTAFVPSETSNKP AKALIPMITYWLPRPMLSFAHECVHVLLGDRVREAFMLPEPGVSAAAFIYTSLWARSF FLRHFALPRFTALKRHNESTDSVDEPLLLNWSYGNYPFYIKPTLWNRWGPKAWAVWMY GGTLPGDHPKEHLPEGYRFVDLGPVTRMGKGEEEMKRDYERMKSKGMGGCPFG PFICI_13466 MSSAVENGASSDLTFRKSAAPTGAVVPPSPEEPTTVSKKEPPPE KASDTRRRSWVVASFWAIVIFLGLPIWWQTTSIYRANLPVEQMLEWSDGKACRPVFPL RISIDPGSLQEQEAENLLRLTQHALDDLNDFSAHHLRLQLASKEAQQDSDVALTIRLT PAESTHTATSSLHPHYPILDVSYPPNSIPTANSPSSGLATYLATQLRSTFSEEQNIIS YLLETSSVHTEQQPKALSQEVADSLSKRMTRSMKYSRTYHLTFSLFTSGPAPSSWEIE AAIDEYMKPVLDMLAPIHNFTIDTQVQLYAAPGVQSQVLSKEDLSSFINAAEWPLSPS IGGAPTLNFVVYIGNQTIGTEASETSQSWLIPQWGTVYLLSPPSTPHVSTETLKQPIL TFTSHLLSLLGTPQSSSLPLRLSTLNRVRSADLLLRASSSLGSLARLSLALPSISIPS RVADGVAKSMHHLELACASLGNPKGLEHARIAEAEAERAFFEKSMVGQLYFPDEHKVA VYLPLLGPVGVPLVMGLLNEFKAWRNRRRQKAKSM PFICI_13467 MTDDGGTTTETSTTTVYLETDVEIDQTTTTSTSTVTSASTTTVC RLGQPTQPARKKRHLDGNPDCNGGAASGNRPSRLGATAYGQGAGPGSYPSYGNGGGRE PFGHGGQPAYGGGHGLDHGSYRSGSGPDRGVYGGSSGEYNDDGNGGYSDDSSHGSNAG YHGVDSNNGDGSYHGGSGGSSNGYNGHNNKGIYSGTGDNDGDGGYSGDSDGDYGIGGY GGDGSRSNYGGGNSKGQVPGQTSYYGDDRQEPDYDSSYTDAGGLTSYEPWYSTATTGT FESTNTGTATNEPTFQWTTNPISTGSTNTESPSTESTSTESTSTESTSTRSTGTGSDN TGTNSNEPTLDSIICSCIASTITETATETGPTSTEIITSTETDTADVSMDTTTTTVTS TTTGPLSTTTEYETTTSFDTTITTTTSTSTETATTTSVAIATSTVADGEGYTGAAPGG DGCGCSFRVACGVSANPFPFAFSVETGNYLTCIDECNQDKYCMSALFDDGGSGMCYIN EGDRNTQNSDGLDEANIAEKDQASCTSTDSLSCSDDVGP PFICI_13468 MPGPLALAVPAVAAGLAYLNAKSGLWYDYTLFRGALLAGSHSSQ REKHDTVNTFYVLEDRATSKATADRIYLMFEDRTWTYAQSYEMVLRYGNWFKTKYGVK KGDVVAMNFQNSDHYILICFGLWSIGAKPAFINYNLTGKALTHCVKAVDAVLLLVDPE LERNLDEDVRASLAGLPIDFLTEQVIAEVHATSAERPPDSLRCGEAHRDTAVLIFTSG TTGLPKAAIVSWLKLIVAGSFATGWLATKPQDIFYTCMPLYHSSAFIMGAMHILEAGA TIALGKKFSTKTFWKDVRRFDATIIQYVGESMRYLLAAPPQVDPVTGENLDKKHRVQL AFGNGLRPDVWERARERFGLRRIAEFYSATEGFYATWNATWNSFSAGAIGRNGWLFEK VIKSRVAIIDVDPDTATVRRDEKTGLGREVRRGEVGELVVFIQDMKDYEAQFQGYYNN KKATESKIIRDVLKKGDGFFRTGDLVSWDKDGRMYFHDRIGDTFRWKSENVATTEVSQ MLGLHPSVQEANVYGVQLPNHDGRAGCVALVLKDQPSEVVMRSLAQHGKKMLPKYAVP IFLRVVKDGGLVVTGTNKHQKQELRQQGVEPSKMGDDELWWLKGDTYVRFGQQDWQEL NGGRVKL PFICI_13469 MASDLTSAPVLSTPAEHTFSDDPTPNAVLDAARSKRSDDELRRV YEIERTVSEIAQKGWRRVALQFPDAMLRDAGWVVEALSQELRRVPLSPPSAEIKNGSS DLETDMNASNTATNGETAEIAQPNGQDAEKSIPRLYVLADTSYSSCCVDEIAAEHADA EAVVHYGRACLSPTARLPVLHIFTKQELDYDAVCDAFEKEFDNKKDEKVVIMADVMFQ DHVKPICAKLTDKGWTNVKATEIVRDPSATIPNRKLVESEDNSSDSASTITDLKDHHM FHISTPPTALLLTLSSRLSSLRIYDTPVSPYTTATDSKPDPSITANMLSRRYGLVIRL ATASIFGILVNTLSVANYLPTITKLKEQIAAAGKKSYTIVVGKLNAAKLANFAEVDGW VVVGCWESGLVEQDGMWKPVLTPFELELALAGDDKRIWDGSWWGGIEGVGKDSEEDVE DENNEETEESNTFAQDVDGGVDDEESEPPEFDLRTGKLISNSRPMRMAIRSQQAAKND SASTQTSATKAKDPSNALVQHKFGELAMVNGVVSPGAQYLRSQRTWQGLGSDFRDVDD DEKEYEDSTLVQEGRSGVARGYTVGEDSERN PFICI_13470 MAATSLYQSSYPPQLTPEQQEYLATTVHEWTITNGLVVRLRSAN GAAEDSETSHAGTIPITLFPSRFPADCFLEALEIQTAYNRLYAAVASDEEWLGRVVQE LAPIDSFIAKLWEIHETVKAEGYVQDLSFGLFRSDYMVHYPETQERPTIKQVEINVNS AAFGGLAPQVTSLHRYLNSVNAYPESASSTIRAGSLPPNPSTERIVAGLAATHAAYGP SPSNHPLCIVLIVQDIERNVFDQKHLELSLFSETKANVFRLPFTQVLQHTRLDTERRL LYSPPAFPDRIYEVSTVYFRAGQSPDEYNEVTWEARTHLERSRAIKCPSVLLHLAGFK KVQQILATPDSPHLARFLAAERECERVRATFAPMYPMDMSLDGLKGREMATNPEIAKR FVLKPQREAGGNNIYRGAIPAFLQNVPESQWPGYVLMEMIEPPAQRNAILRDGELQSG GVICELGVWGTVLWRRTDQGIEVLKNDEAGYLLRTKSDQSEEGGVAAGFGSLDSVCLV DV PFICI_13471 MTTGVFHHIVPDSFTGKPWSKADGPDKSYRDQGHEWNVHNLRGR EHEFTTDNSGFAVVHAPAQEKLFASNDAVRGAYYAEVEALLRRVLPAGGTPIRKVHIF DHTVRRRQADAPRQPVQQVHVDQTAAAAEARVRRHLPAAEADELLRGRYAIINAWRPI EHAALDFPLAVVDWRTTEARDFVAVDLMYPKRRADSGLGDCEVDDDDDDDRGKEKLPD PLTTGSVEGYEPRGEHIQVAPNPNHRFYFQKDMNPDEVLLLKCYDSFGEGEPGGIPGL AVRTPHSAFHDPNAPKDAPARQSIEVRCLVFYE PFICI_13472 MKITIVDFLSDDVLSGVVSDNIVYRPDMKLRSPSVVLGVLQRSS SDALISSTEFNRNHFSQWRSGLTKYMVHVRVSSDPSQPQTFTESLGDGFIVASITASN QLKAYVSALEVLERLSVDQLALNTGFYRPLGLALQREVLVVGAGMVNLVTAYWLTEQG WKVRVVDAAPDPSSQAPWMSFGCSHGGDAARMFTLSEMDNYNDRTLSTTMNGWFNSDV ASLGWRACKLDSLTPEEQSWISDYEVVPPWLANRYNEDIFSLSRDSRVSWEQWQEREP DLFSACETRRDILRLYSDPQHLREAIERQNRIGATIRVLSPQEICTYEPALSDAVLSG AIAGGIIVLGFTVNAHKFMLQLIGRMVAQGVTFEWDTRFDRILFDQSGNVEGLVCADQ VVQAENYVISPGAYGRNLLEGTRCEARIHGVLGAWLRLPNLEPQLEHSLKLARKGHVT EDANVTVTTDLNGAPILILGSGYGYTGVDPFNVDEVLLQKIYDGLIDTAQKFFPRSYE ASDATGTLAASLKYCVRPWTSNGLGLFEMRSTAIGGRFIITGGHNTGGFAQSPVIADA VVAGLEGKTHNMHKYYHPDRAMNFLDRSHTLATEFDSNMASLPLTPGTTP PFICI_13473 MSNFRALLEAVDPGRLAPMPEVSVVIVGAGQRGLSTLERLCALY PAYSDAPRLRVHIVDPGLPGQGSHSETQPSHLLTNTSTAQITIFVDSSVQGAGPTRSG PSYAEWLWLSGYRWKDGIVRGDGDPIPDDTFTSRALLGHYLRWCFDHIRDSAPVGITV QEHCTEAVGIERRGEGFVVGLHDEDHVFADYIFVTTGHMSGRVSVADELALVNIERHK SHNPHIDFFPSAAAFSRLDTIEPEAKVLICGTGLSAADAVSMLTAGRGGRFEASGHQR FRYVPSGREPKVTLYSRQGLPAGAKGVNQRALGEDYEPSYFTNGFIHAKRRESGQLNW NQDILPCLIREIETCWASTARKEAGPGALGFDAQQVCDIVHKLLYPWDGEVINSPEEH RSFVLSHIANDIDSAFCGNVRHPAKAVAEMLRDLNDVVRDAVNYGGLDEQSHRSFIRD WASINNRLAAGPPKERSMQLLALVEAGVVEIFEPDPKVVLDEEQACYTISTNRFGYLH KERFDVLVRARVAPFNLDLSPSPLFSSGKQNGIFVPFRNGSFGPGGIAIDRSLNVLGA QGTPIKTMWAMGYVVEGANYYTNVLPCPLSNSVSLRDAATAAQGMLAHLSSKVGAIMK EKEIIVEKDIAVASISLKVRN PFICI_13474 MVRQSHLFAFAALAVGGLATLHEQRSTKYNKTIYAYGMNGIEGY PVYSDENGLAVIAANYTDTSALFDVKFLLILGTIDTTGASAWNVSLNSTEDDASFYIV PDGDGYVQAGFTINDTAPDGAATVGFVLYGSDIMFASGDTYLYQFWATNTSTTGLWNV TWNVDGDAEDGSVPVMLKARAPSSD PFICI_13475 MFLKSLICSLLVATKVAAAPQSSAPSLSKRCTNSAEDRSCWGDY DISTNYYDEAPDTGVVREYWFNIVNTTAAPDGVERVVLSVNGSIPGPTIIADWGDTVV VHVTNSMENNGSSIHFHGIRQNYTNQNDGVPSVTQCPIAPGDSYTYTWKASQYGSSWY HSHFYLQAWDGIFGGIVINGPATANYDEDLGNLFLNDWSHLTADVEALAALATGPPTL DNGLINGTNVYEDGGSRYETTFEAGKRYLLRLVNGAADTVFHFSIDNHTLEVIAADFV PIVPYTTDFVSITMGQRYDVIVTADAVADNYWMRALIQTACSDNANPDNVRGIIRYDS TSTDDPTSTAWADATTDGTCYDEDMSQLVPYVPITASSDPDFTDDFNVKIVIGDNGVL WEMGSSSFVSQWDNPTLLQVEEGNDTFSDAQQVYAFPDANQWVYWVIQTTNNAVHPMH LHGHDFWILGQGLGTYDAETANLTYANPPRRDVVQLPGAGYVVIAFYTDNPGVWLMHC HIAWHTSEGLAVQVLERESEIGALIDADVMSSTCSAWDDYTSEDDVIQDDSGI PFICI_13476 MSAIHENEIEPIRRDMEPPDSFSSSSSLPSSSSSSISIPHEDKV VKMSATETSPLLAAAADTPVEPKALRMSTARRVLLIATMASAGLLNRYSVQATVIMLP SIGKAFSIPTSRQQMIISMYSVASGSSMLLMGRLADVRGRWGVFLAGATTFSLFALLL PFARSETFLYLLQALQGFSSAATVPSGIGILASTFPPGRERNVAFVAASASTSLGSVL GNIAGGAIGGLLSWEWVFWISAALAAIVAAAAWVLYPAKPAVFSLQHSDSEAEDNHED NSDCNNKKLQSTQSVDYFGGFLISASLALLQIGLSQGNVDGWANPYVPGLVVASVFIG YIFVVLQLRMERNDQFPLVRLSMFRNVGFSAAFVVVACFFGSFNSFLVFVSMFFQDIL KLDMLQTTLRFLPGGIIGGLACFTVAPALSIFRGFHMLIFGLICGIISPLLFALPMSP EATSYSYWARGFPAMCLCISPEIVWPVIGLYVARNVTESDQSLAGAILQAANHVGRGL GIALATAAQVVACSSSNPGTVASLLRGIQAAQWTNVGMAALSLVCTLVFFRGLGRA PFICI_13477 MPIDGSQQTSKSSNRLSEQLASLTIAGLVNAEIKVQRPVEDAET QNEEPKKELCSQRPPSPTHPLPSEEARDGVYLNKYALRRQKREWLRDQLVSARASGEL HTTLESRGRDHENSCWVCARRGQFQICPQVSLPGANPRVIIEDHLEATFFAAEAGNPS WRPGQRRLVFYTDMAAISGSGNDPSIAGAGVTYKRILGDDVSDWIDSSYGIVGTNRPD KSELYAVGLALEIAATEVEEICKDSPPTPIMVMTDSHAAMYYIHDYIWKGTIPSMFSR DTFDRLMLPITRMQELNVPLDFHWVPSHTSVEGNCRADALAGAASQWTLSRFPAMAYQ TRFECQIVQIPDPKKLAYISVLGTQPPQKESRPKGQSYMSAKREAYADFASQIQGMQA QWVSDQLAESYRPSES PFICI_13478 MSQTSRLFTALIRTHHITSRKKLQRVKKAAALRDIPFVLVRYGG SPGIMYAEALDQTSLGDWVSAVHDLRYKDFQCVQKPVVAQDVISRTGSGGSAMPSSPF NEVDSVADFGAIMERKGLTKWFEIGMGYQSSD PFICI_13479 MKSVATVATGSLLAAVAQAQVVQWDIQKRATKHKDGILRRDAST ITEVITNEEARGGYFASVSIGTPRQNLTLQLDTGSSDIWVPSSSASVCSTSSSGSRGG SSSSSDGCTFGSFNSDDSSTYTVVGQDEFSISYVDGSSSKGDYFTDDFEIGGTSLSNV TMGLGEETDIAYGLVGVGYAINEAIVGTEQSYSAAYDNLPVQMQKEGLIATNAYSLWL NDLDASTGSILFGGIDTDKYEGDLSRIDVIPDSSSGRYTSFEVYLTSVTANSSSGSDS LSSSEYPIPVVLDSGTTLSYLPTDLASQMWEEVGAIYSSDLQSAILPCSMASSSGQFL FQFAGSEGPQIAVGMDELVLSLVTSGSNPTFSSGEYQGQEACQFGVQNFSSDPFLLGD TFLRSAYVVYDLVNNQIGIAKTDFNATTSNVVAFASEGAEIPSATAVANQVTSSAGSG SVTTPTYGASAGFGTKSAASSVPAFDWAKVGVMGAATLFMFVGSGFFLL PFICI_13480 MEAEKKEGLARLGIIQVLVSGGDDDDNNDNAADNNEKGDEHLTK AVDLTKLPFTRALLMATLYVALYLPTLNQTVVSNALPKILSDINKFGSDIGYTWVGSA YALAQAMALPLFGQLGRTPSRKWALLVAMVVFMIGSILCGTAVNIEMLLAARTVQGLG AGGISGVLFVLLGDMIRVRGAGKYNELYGAVCAVVTAIGPLIGGTLADRASWRWCFFL NLPVCVVCTAVIWLLLPNTDTTATVSRAVRLFDLWGVTAIGIGKVLITLAIQWAISNS SWQSPQVLTTLLAGAAAVIAFFPAEATAESPVVPLRFFKHRTRVGAYIAVFFHSVSFS GLNYWLPMYFQAVRQQKSSESGLSMLPWTLSFAIMSAATGIVVVKSRRYQVFIWSGFL IATIAFACLTLLFPTTSTAVTSVMLVIGGLGVGPNFNAPLFPIHASFDTSDSDYVSIL SHSTSAYAFMRSLGSSIGITASGLVFFEDLSKHQLPSLSVFNLTQAIEYSDLLTEMEE QANVEVLQTAMQHVFIQVCITMCCGLLLSLLIRRHQFNNDEQEEDEDEVIPRGVPRVD SFGTEDG PFICI_13481 MSDLIADYIVVGAGVTGCVVASRLAQSPVKPKVILIEAGSDPSS EPAAAGFLSGLSLAGGKFDYADASEPVPATANRIHTLSSGRALGGGSILNYGGWLRAD AADYDEWAKLVGDKRWGYEGFKPWFHKVECLQDAHNDSRSGELGVSGPMHITSISAAA SGERKYPLREEVREAWLELGVSPAKGNGSIGGLTEFYENSREGMRQPSQMAYSLAQVQ VITDCLVDKVTFADQDGTGNTATGIQLADGRKISARKEVILCAGAYRTPTILIRSGVG PLDTLKQHGIPVIYESPHVGQNLHDHFATYLAFRLKDPSAGYALGSPSWQHNPALFQG LPWDWVVSQPLPAEVLARYTSEEATKARNLYEVITLYVPPGIPGIPVDGTHLATSTML LLPNSRGHVSIRSTDATDSPVIQPNFFSTPLDHDTLVHAVRQTLKVMLTTRMSSIVDS ETPPSAPGLEGLESLTADASDEVIEDRIRRTGMQHFHSGGTAAMGKVVDVSGRVLGVK GLRVADASVVPIPLGGHPQATLYAMAEHLASDISQEM PFICI_13482 MAIIEEKDNAPVWKLHNVDATYWDEYISTRPIYDHKIFDRVIDY QSSHSASHSAALDIGTGSGSALGPLTKSFDHVVATDNDPTSLEFAKSRHSSIPTEHLS YTLSSGEDLLQHHSPGSFDLITCAETFPLMDTQIALSNIFSLLRPGGTLAVWFYGPPF FTEEEYSTTCQPILDALMDCKFRPVVSGGDEARMKSWKRAADGKFSWLDYIPFDAKLW TDVRRHKWNTQARLSFFTREACDFPVECVRSVGPDEALSEEQDPTFWGVKWNFTKLRS FVNASFPTPNGLVGEQDKMETLFEQLASCMGGQEAERTFSWPAVLLTAAKKVES PFICI_13483 MAAATDSHTIVLVTGANRVIAGVRRLEDQTTHSLSELPRGEDSK LVTVKIESTSDTDALEAIQLLQNEHGIKKLDIVVANAGYGTVYGDLSQVQPSEVRDLF EINTIGPLRLFQAVRSLLESGDNPRFVLIGTPIASIAAMEKMPFPMFAYGASKASAHY LTRKIHCESPKLTSFAVDPGFMQTDMGNTGARHFGYEQAFVPVDAAADFVFGEILKAT REQTSGKFPSIDEDRGFIEW PFICI_13484 MASQHRYSPVASTERNSNDLQELDFDDKDTSRHSQRRQTLYHCL VVLLIVSNIGFASLWLLYPRVKSWQDSSDCARPQLIYSPATSALRYEKKRLWRDIDGP NPYTGKPRPEHDEAWRELISPITIKVSADELSRFSGGDSTIEFADGSGYIAEMGVYHE LHCIKRVRRYLHLSHYYPNMTEADRVREDAHIDHCLEYWRESAMCRGDVTLGTFFWRD GYPTSRVYTDNECIDWHALDTWARRRMVDMNDRSIFVGYE PFICI_13485 MQQSYSKDLMIGLAIAFIIIPSIFVGLRIWARRINRRQLHPDDY LCVGALVIGIVCSALQLYAAIDGQLGQHQIVGPDGQPILDDPRFVVYEKTKFAVNILS VFGLGLVKCSILLLYKSIFNNVRNFRWAVYVMLGVVVAWTVSYFFANLFTCFPVTVFI EEFYGNKCIDTIPMWLSVVATDLVVDVGILILPIPMVLRLHLPWKQRIGVLGMFMLGA SVCAISITRLATLVQLSAEFIYHYNDETYYTSPVFYWTNIEMAMAIVSACLPTLRPIW SFLSTEKRNTSQHSEYSLRKPDGYGMVPDSTPLQPLSRQSV PFICI_13486 MKIVSLLRIISASCSVLASPGLANYTSLTVKQVSAELGPLLSTQ NLITSEVPARWSDFDPPHPLVVVNVETELDVAVTVKYCVDKKVHVLAQNGGAGWATFD QSEQVVLINMARLNGVTFNSNKTQVSIGGGSTINNTIAHAYAAGALVETGNCNCVGTL GAILGGGYGNLMGLYGFGVDNVLSLTVVTADGQLRNVTPSSAPDLFWGLRGAGPNFGI VTSAVVKSYPASQDDMQAWSGGLVFDSDDLEEVVQAIQDLDLQPEMNVFLYYISDGSE SNTPIVLATVFLYKGNATSGRDAFASLYAIGPLLDSTAVLPYNQWNAGGDQFCNFGAR KPSYGAGFQNMVPSTWRQIWDEYVAFQQQPGAQNSVVLLEAYSLEKARSVDAGTSAFP YRNINFNAVAIPWYEDAALDSQAQAFGSMTRDLWRSTDGLAKNSTYINFAHGDEDLDV VYGESLPRLQSIKHHFDPTNYFNQWYDIR PFICI_13487 MNKTVVIVGGSLSGLICGVQLKRLGCKVTILEKDGSAERESAHA GVGFRVNVETFLKQYDVSGVTAAIPSSASQFALFKRPKALVTGSNLRVTSWGHLYRIL RANFDGFTSDSCPTPPAQRETDGEGRYLIGSRVTELQYADGIVTVRYTDSETGLESRI DADFVIGADGIHSTVRDLLHLPMKKEYAGYVSWRATVPESLLSKESAEYFSDAVSVNQ FRHSYMMCYVIPTDSGSFAPGERLMNIVWYYNVKEDSEEFNRIFTDIHGVRHRNTVPH GLVKSEEWERVRDAFKPRLAAPFVELLYKVKTPYVTKVNDSICTQPTFFEGHGILVGD ALTTLRPHTGSAAEQAAFHCLCLGPVWEGKKTLEAWSREVRTYSKRLWLIGRLVGAFG QGTVLELLKALFLYVTFLIRCKVGCSGMK PFICI_13488 MFRKNVARQFPADRISNSKYDATNESLKGTPHNACFLERNVTAF DAEFFGMSSDEDLGADPQQRVLLEVTYRALEDVQAIVAGCDILLSPDCFIALSALGFL SPDGVCQSFDSRANGYGRGEGFGVLIIKSVDDAVRDGDTIRAIIRATGTNQNGRTTLA HPSKEMQAQLIQSLYDKAQLNPLDTQFFEAHGTGTAIGDPLEAMAIGKVFGRGRSLDQ PLIIGALKANIGHLEGCTGIAGVLQTILVLEHGTIPSTIPSLTGTNLASAETHG PFICI_13489 MPPQPKSVGDAPSRPLGNLEGFFKMLADGGKLLNREHWTIHTAL RLAFHPSVTDPAPLLRRSWEILWRQHPALGATITAANGEGPRLTAAQDTHESANSTFK ICSEIADSSELFSSLCSTPTATCYFIQRSSEVVIRSSHWRTDGLGMAILCHDFMKALA SVIKASGDRHGSSEPPSLLHAESSLAPTLEQLARAQSRLDIPSESTKVPVLEAGADAL VAQFLRGVPSIGLPTKAESADAVPGSSGRSVMRLGAKTTAELAAACRDHRIKMTSAIH AAIVRVAASFPQHPLCKSYAAFVPVDLRRALEATATDETRNVSKVVGLYFSGLPVCVE QVVSEGDRASTIGFDTIARSLDATYSRDLVNFWESPDGQTVGLLDLAQPYLERTTQLF GAPVPEGLPPVQTPDLSSLGKIDSFLQTEYGTADEGKAEVMDFWIGTEMLNRSVQFHT WSWKGEFVLGACFNQSFYGKDFVDGVLNKVVHELQAGLNVVTSE PFICI_13490 MRPPIKEACPPSGFRPTPMIVLDNGFLPRTFDLDTRIDMKNIVP DAYWAYQNLSTSYNTGVSGYAIDVCLEYEFGFLHAKAQRLINLETTWLEGLFHASGRT MAQKHLEKLRRHARATQLGPDFFDAIRRCPYTIMPINTGNHWEAVLLCLQRDEDGKKD GYTKVRHVTVIDPARDADSAPRVEKQLRRILKPKGFTFATKRCRRDITGSHQRDSHSC GLHVYQTVKTLLHRIDVMARDGTADSGSPDDDRLWAPMDGKRFIAEKVRAKMRGIAAE VFLRDCKGKVRAVFVPTRRIVPHGSRQSVNAATILYPNSSYKTKMVETGVPLEPSIGK RKRNVNNLDEDGDNPLGLSPGTFLDKTSPQ PFICI_13491 MASTEEKSRPVTAPDISDSESTNQTSAQDPVSVGQLSQAQPKVP DGGREAWLVVAGSMVALFHTWGIVNSFGVFQTYYETELLTTSSSSAISWIGSIQGALL MMGGIFVGPLYDAGHFRHLLIVGNFLIVLGMFMTSLCTRYWQVLLAQGVCVGLGCAVL FLPSAAVLSQWFAKRRALALGVQSAGSPIAGIVIPIMFGHLQPQIGFGWATRVIAFMM LALSVIPLVFMKTRVPPASHKRAFLDASVATDVPFLVYIVGLFFAFIGLYVPFFYIQL YAIQHGISSTEFSPYLVTILNAGSVIGRLVPNYLADHFGSINILIMLALAAAILAYAW MAITSSAGLIVFAALYGAFSGGVVSVTPSAIVPYCPDLGRLGTRMGMSFLLSGISVLV GTPIGGAILGNGSEREWRDIIAYSGTTMLIAALLLSLSLFLHLLIVN PFICI_13492 MHLKQPHLSWPKRGKKNRVSKGLSEALGGTLGALGLERRDDDTD SQISRTSSRDPSPPPIVLHPAEPKTTQKVEQVKKPDDMSILSALRKSKSEHHDQHHNP PARPATLPVVGAVTLPVPQVARKSRRLSDASSITDSSTLNQEPVTKEQPKSGFLSKTK DAIMGRSRSPSPSGHKSSNGVSDAVRTFRSQFDEELKGTKEAALIAGISHESFLGFIA DERLRLMPAKGSRWDKLLKWAEDFATKIAIFDHSYRDEIDGCSQAAELIFACLQALLL LGPKQGEALERAFSVCHEYGLTFQFYSRNTKVLDSIPEAIRQLGLALTDMVGFAVEVA VFYRKSARAMRTTSVTVDFNVTFGSRMASFSSRKDRIAELMWSWQLQKSAETSDVHVS IETLRQWLLPQDHSLQQRALVRAPGAVRAEFTCEWFDRPLTDFSRSRDKVLVVSAAAG AGKSYLYGWILERLQRRVGLKEWSSVHASIDTQTRAQSTQTALVKTLLLQLLEQNVGN IELFRALANVTELSANTASTQDADDALWYTLDTALKGLRNVMLVIDGLDAVDGGEEEV RDAFEHLHSIATKHAQVKVIILSRPLPQGWPKKVRSAAIDTAHSTIDVKHMFRSYLLS RGIATKSEADKVAQELAERSKGSLAWADLAVQLFAKATTLAEVQTATKALPSTLKDVI NAQVSTIDVTSNARYFLSWLLVTERPLAVSEMQALLELNLKKASREPLSINIVDEIQK TCGSLVVIQDKTVRFRNESIRLHLLELSKSKDQKLLLPAAEAHKDFGARLILYIKTSV ARNTEPSMGEISNGEAETLFRSHSLLEYAARNWLGHFQRSAYMLDGKFQASFAGELKS IFPTSTLLANLERRCWEKSALITKANEMHLAALDIRRQVVGDSAPSVLQGCINVAMSF KKLSADADASKYFFQAAKVGQSILGRSNDLAVACATASLDCASKVAGKLTARDESVNQ REEMLKFLLETEKERYGANSRLASKYSNQLAEMYSDIKENEKAEAIYRDVYKTSVAQN GEFSQEASAAAEKLQAVLYKEAKHEDVVQYTAPIFESAQRNLDIFDIRRVEITMRMAE TYENKKDVSHSEELYISLWRGLTEYCRKTAANSSASAAQINEAHERKIQISIAYARFL RRQGRDAEAQNILHGIWLDYQHREQKSSAIVKQLNVVGEELKSMGILDTAIAVFKSVW GYFKGTGEQTSTAAVGTAVALMGAVQEKAEKKAELKAAAKVEGSTADADESDDEDDDD EADKILDEVAEAAVTAPAAPAAPAAKGPTYAAVAAKVTIEGSIQTCETLSQFYISKGR YTEAINVCVKLLKEIWPDMAVAGKWGFPKAHRAAVITFSRRLAWCYAKANQTEQAEKI YNAIFQSSLRSGFRVQEAIVTESSNQLIEFHKRTQQYSKALSVYQQLLENYRTSLGAR NALTVQLFYTMGDLCTQYKLRGAETYYLEVFKAEKGTDGVLSQSSMRAALALQKVYYE QKRWEQTREIYASIWATFTAKAKEYNMSTEMVQSIYKRYTTVLETHLKVDLETLRTIS LQYRDTCSKVYGANAQITSSASLALADVCRKSTKAEHQAEAIKICEEVVAQADKAPAD GKTKTKSAWELSLLASAKRNLAALYASQTQASTDKAAGAETNEKAVTLWKEQLEINKK QYGISGKATLASLSSLVGMWARSGKPELRTQAQQQLRGTVVEVLGESCGAGASTDSTK LHGSAVSLANTYLSCNMSIEAWVLLRQLRFQIIGWGKISGEAAKDSSIKLPEGVDRRS LVFIAAFEETLRAQQEANKVVKTSFSDIMTDMLTEGILYDRYNLSVSTADISVEQKLF DGARLYAFLKTTDAEQHAEQLRVIEDALFKLFFDQYGGSIKSQLTVTRTFFAALLIEL GQLRHHEDLVLVACWAITAKARMLLEAGDAAGAFDISKVLYQFLSAQKAFANPQVIPY AFKLSLYLAGLGVKGSNPEATLHTQMLELSTTVLRETLSACRTSNINLVSLQPTELDN LVRLMGEQRNYADLEWLLEQLWLSRVVQKSWDALTVVGVGRRLVQVYSVRGKHEQAVH LAESIVYNLRRTWGPLDSTTVAMANMLAELLASDSQYAEAMDVHGEVLQALLERNAEA EESEDDSIQPFASSMSLMTAALGKQRKQEKITDGRAAQLALEQLRCVQNVYARNGGWA EGEWETNGEELVRYVVDEFGSVAPAAFKTFPSEVGAWNKTAPPEEKPVAPASWGLQWS S PFICI_13493 MRGLRAAAVLSDSRLLTSRCSGRFMGICSQSAGSQPRVQWREAP VQIEGRRYFNVSRPKRAAVGNAAAAAQAALRKAAEDAANLTPEAVYEKMSPEERHRLS KVRNIGIAAHIDSGKTTATERVLFYTGRIKAIHEVRGKEGVGAKMDSMDLEREKGITI QSAATFCDWKKVEDGKEETYHINLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQ SQTITVDRQMKRYNIPRISFINKMDRMGSNPWRVIEQINHKLKIPAAAIQVPIGIEDQ CKGVVDLIDMKSIYNEGPRGVQLRTVDGVPDDLKQLCEEKRQELIEKLADVDDEIAEI FLNEETPTPLQIKAAIRRATIGLKFSPVMMGSALADKSIQPMLDGVCDYLPNPADVEN LALDKSKGEAQTKLVPYNSLPFVGLAFKLEENNYGQLTYIRVYQGTLRRGTYLFNSRN DKKVRIPRIVRMHSNEMEDVDSVGAGEICAVFGVDCASGDTFTDGNLPYTMSSMFVPD AVMSLSIKPKKSTDADNFSKAMNRFQREDPTFRLFVDEESEETIISGMGELHLEIYIE RLRREYKVECITGQPRVAYRETISRRADFDYLLRRQTGGPGDFARVGGWIEPNADPES NSFENQVVGGAIPDKFISACGKGFENACQKGPLLGHMVIGAKMVVNDGATHVTDSSDF AFSLAAQMAFQKVFDDAGGAVLEPLMKTTITAPNEFQGNILMLMNKRNATIVDTEIGS EDFTLIADCSLNAMFGFSSQLRAATQGKGEFSMEFSHYAAAPPHLQKELVAKYQKELE AKRTK PFICI_13494 MRRAGARGLGRELGRVVNGATLSRQSTCGLSTRVQSRSPVALTS PTKWTRNSSLQTIGQTRRQSTVAAAQDGEDEAAPGTYSEADHEHAVISTFDLFSIGIG PSSSHTVGPMRAGNIFITDLQEAGLLQQVEKIHISIYGSLALTGEGHMTPSALVLGLE SANVETVDTAYVPERFEQIKKQKKLFLGHGLPEGKGKGKEIAFDYDVDFKWQWGKTLA LHSNGMRLTVFDKEGNMLATNELFSVGGGFVVNGAMSTAATSAPSSLGSEGSSSSQEP EAPGRHPADLVENMYYKEIRRSDAAGDRRTGAEINPIESAGETAGLLGTEGGDLVPRA ASEDVPANTAAVQDQGPRYPFRDASSLLALCHKHNLTIAQLVFENERSHGYTDEEILE KIWRIWEVMDNSILEGVQAPVDSVLPGSLKLHRRAPALYRRLTRGLYPSHTQSLGLNS SSQASIATPQATKATTALARKEVSVKRGPPRIHGSLSHAVMPSPTRRTTFPAMDHLSV YAMAVNETNAAGGRIVTAPTNGAAGIIPAVLKYTIEFVSDDPERDVPTFLLTAAAIGM LYKRGATISAAEGGCMAEVGVACSMAAGAFAACMGASPETIEQAAEIGIEHNLGLTCD PIGGLVQAPCIERNALGAIKAISSANLALSSSGMQKVRLDDAIRAMRLTAKGMRNEFK ETSLSGLATSVPMHIPVSVPDC PFICI_13495 MAETIGKEQGATATQIEKGNLEYTKFDQADLHDKVLNAEARQAT ANEHSLTLVQALKTYKRAAFWSVLISTTVIMEGYDVTLLSSFFGYPAFKRKYGAYLNE ESGYQISAQWQTGLNDISAVGNVIGALLNGWLTAKYGHRKVIMSMMVFLSAFIFMTFF APTIEVQLVGQFFCSIPWGVFATTGPAYAAEVAPLALRGYLTAYINLCWCIGQFISAG VLVGLVNNPTDWSYRIPFAVQWVWPIPLFIAAWMAPESPWFLVRTGQLERARRSLERL SEPSHNVDYDASIALMVHTDKVEKEERAGVTYWDAFRGTNLRRTEIACMAFLSQITDG GILCYSGSFFFQQTGISDDTSYAIGLGGTAIAFCGVIVSWLYIAKWGRRTIWLAGFTV LVVVLFLIGILACVPDQTPALAWAQSCLTLVWLGAYSMSVGPIVYTIVAEIGSTRLRT QTVVLGRSTYYVGNIIAGVLQPYFMSPTAWNAKGKTAFFWGSLSFLTTVWGYFRLAET KDRTFAELDFLFQKGIPARKFASYQIDQDEEFLSHEARH PFICI_13496 MLIMLPKTMWSWAIEANGEPHEALRLSQVPMPPSPPVGSNLLIR VTHAAINPADTSLFTAWIPFRGRPGFDFIGSVVGVGPKVPVQIKRLMSGMEVVGALGL SQILWGAGTLAEYVQVPAELVALKPPNLTTEQALGCGIAGQTAVMVMREADAVDGLRV LVNGASGGVGTILVQILKAKGAHVVGVCSKRNEDLVKRLGADEVIDYTAHDDLHAYLA DTYASQQLDLIIDCVGDNALFTSSPAYLQPKGRFIEIVPGRTQGIYPFIMNQLRPVIL GGTPRQYKILGLAPSGKYAHELAHWIEDGLVKEILIDSEYGMKDVVRAYEKLMTRRAT GKIIIKIQD PFICI_13497 MLSRVSIYLVFLLFEGISASPSLPIAHGKQGSFLPSTEAAIKHG PQIFNALHDAMRQFGSSIHHNGMSLFQATMPTGTILYHGSYSTDVPERLEWLAFEIEH AENFARGRLRRRDNASDIFMSDNASQKPLESRQPKVHHQLDDFRTTESSLDGSITVSR DRGRCFDKWNPDDCEFTSGYLHVFQALRPLNLLYIDGMAAAKSGMGSNDIEDFVVAGN RTRSFLEDFVRAAELCEWGEAWHIDGFIRMEPGFEVVYCNFRDGALQRLSVTRRPRQM FSMSDLMYDKFEWMRAASQRYHGFAAGRVVLDYSSMVSAYFYPLNLTNPNATRPELPR LLSATDAELAVIKAHLERKTPAARGETSSQGGTDWQGVTDMVVTRYADVLQLGSKCET VEAMKRFLDHLLMMYIDHEQDKVDLRKAEQSCAKHYLLGTVTTTPEEELIYAGILATT RLICDTLFRAYEVVQNSEPDVESLTEAVSLVQGLMDTLRWSKWKECGPCASNEICYVA MWPFGNTEDHFSPSCLNASSTFDRDSYWTWEWPYW PFICI_13498 MPAPGKIRSTQGCWTCRLRRKKCDEQKPNCSICASLEITCLYSD KKPEWMDGGIREKKMSDHLKAMVKAKANERREKKWASEIEVDGHHSEASTATSNDAML ADSMADHTLDSNTDHEMGNSSGPSMYTPGTSATEGSPTAHPSPDDQMARPSLQVFEPV LAESDISGHVDVHIKPHAKKPCPDDESERELNFSMLYLDYVVPYMSPFYRPPLLQGGR GWLLVLLMRNKSLFHTALSLASYFFSVMVNKTMLGHEDCQQRNWSELQKQQRLSIESL QKSLQCLNSHGVANLFHESIRSLECIIQMISFDATIGNAANWNMHLDAACSLFEQIIQ HHATDRDQPWQSILAGMDSQSMAIEFGNGQHPWSASQASFRFQTIHLIWTDILASTAL NRTPLLEAYHQQLLVGDNPSLQAWDFFGCHNWVLLIISQIAGFQAWKKEMKRARTLSM VELVKKGSCIESRLRAGIAELDQVIMDVPFDPFDPSARAPDQPFLGSGFQTITDFGQQ RSSVAQAIHTKIWAKAALTYDAVVVSGFQPGLPDIQNNVAETIELFRALPSPLCLRTM VWPFAVTGCLALPEQEDFFRSMVSNMDGMQVFGTVKEALRIMETVWEHRACMDADLWD ITTCFNVLGHASLLV PFICI_13499 MESMMSMMPGMSMGDAMTNTATATTGSLSTTATATASAMMASMT GVSGTTMSGMMNGCKISMLWNWYTVDTCFLLTAWRIRSGGGFAALCIGVILMTIVLQA LGWLAKFYDQRLVQQAKQAAIHAGTSDSLIAKDGHHVSSPSSFRPNITQQAVRTLVRT AQFAMAYWIMLLAMYYNGYVIICIILGSFIGIYFFQWDRLGGLPGYAPETHPEPTGCC G PFICI_13500 MEPAQRVQKQPQQGFFGNPLRIAIPILIGLFFTRSPYMMSATAP SEGKPWADTPIKLITTPQYETKQTDIFTTGASHMAMLHNSIFRGYNSIYNQAPHVQPT DKSDFIGYALTWHKFVASHHDDEEANLFTKVEEVLGAKDIWSETLKEHEAFLGGLGEF QKYLTSLPSVSDFDGAALQKIMSGFQEPFEHHFHHEIATIAALKDHPNAPKPGTPEEA AASATFKTWGKTTVTKAGTLDVVPFFLLNLDGTVEDGLWANWPPIPAPIKWGLTNIGG SWHSGWWKFSSCSGGQPKQLYALQ PFICI_13501 MGQLPSKPKKGAKFRVIGAGMCRTGTKTLNEALSILCDGPCHDS GIQSLGGSLHEIKTWLDVMELAPRQNTKEDEEHMDYLIRSLFDGYVATMDCPAATLVP EIMRAYPDAIVVATTREQASWWKSMKHMNGLMSNWYLPVVVMWLRKAQGYGQWGSRFQ ALSKWRYGSEGIREPTKAIHEEHLRQVVPPEKLHWYSVSQGWEPLCKILNVPIPDVPF PHNNSKLEAEKSWQRHIISGAGSWIFVLGIMTWFFYYASIWMNEVSEEGEAIEI PFICI_13502 MERATKRPRLVDSSEPPQNAHHNHQESSRFDGTGIAIRDGTVQV QGDLYVNSSHATEETKNINPARERILESLRFEQIDARQLSIKKAHSKTCQWFLKTALY RKWESRDLGSHDSRFLWIKGKPGAGKSTLMRFLLEHNRSLARRSSRTEVLISFFFNAR GESLEKSTLGLYRSLLLQLLEARPESHRILDAVRPGRPWTVDLLKDLFEKALQELEET SIVCFVDALDECEETEIRDMVRFLSDLVETGGRLHICFASRHYPHITIQTVLSIVLED QNEHGNDIARYIDARLHLKEGKRAEEIRLELREKASGVFIWVALVVEILNKEYEAGRS YALRERLRQLPSDLHNLFRDILSRDNKDRASLLLCIQWVLFAKTPLTPVQLYFALISG VEPACLDQIDLEDHSDDNIRRFVLNNSEGLAEPTKSATPTIQFIHESVRDFLLKEQDL YGIFPELQGNIVGRSHEALKQCCMSYMSSPNVVKLKPFLPDTAFFAPFLAYANQGVLH HADQAERNGISQQDFLTAFPLSQWVEHYNCLQNDHVRHYSSKASLRYIMAEAGMPALI GVLPAGQSCFDVEDERYGLPILAAAAAKNHDTVLAMLNIEARCLPNFSFEDFRSQYPP LPDKLNASSRDFTFDKSKASLPQLIQYGSEMLCLFYLLTKDEIDLRGSENRALIPQAL ENGFIVVAKLLIDQGADIHTADKNGETPLSRASRYGYREVVKWLLDRGADISSAADNG ETPLHWASWNRHIEVAELLLDRGADVSATMNDGATPLHWASWNRHIEVTKLLLDRGAN IFATANNGATPLHWTSLKGHVEVAKLLIDRGADISAAADNGTIPLHWASWNGHIELAK LLLDHGANISATGHDGATPLHWASGNGCVDLAELLLDRGADISAAAQHGATPLHWAYR NGHFGVVNLLRAHGAGYYRTRNSG PFICI_13503 MDGITIDDRSFGALKTYQVSQDPALIVHQAVSSDGGHLMIVKRP SHLETNTDVEAHLKLELWNISMGGPPFMKSSGSIFMESGLHSTPRVVFSDDLRIVLIS DQLYRISLDGGPLSITAVHGRIPAEDNPDTVRWVVRHDELLFLARVDTTFFDQCREDD VSLERNIQQGAPDTNTNKSFSLCKLCLREHSPPGLYVCDICYVPDDRIEICRGCVCQG LWCRDTTHILTAKSNYNRTLSWRNTHTLSKIDLRNDANTSTETSLFSTRIGRSIESCP TVNKDTEQLLWFIDATHILACDYKTGNNSFHAVRNVDPEHVTYIYAACISSYLFSFSI EKRDSAIIIRSDSFRIIDSGTKRIVQLERFWTNEARLPAIQKSSKVAISTPTSVLGAR MACYIAASGQIVYQPLPCRIIFRKGQELPGSLPSARPNVVTIVKSPVRTQNASTWRHL FEIPSSLSCKYATTYYFPGHTDELGTRRGTSVVLLALPELNQLPVKVDLDLPNDQSQP CDSPMEPLDESFTVHEKRQRKEQVEKRLVQEPEKEQMTSSIGHYTLRRGTLCRACSGV LGDSSLLNTSDHWEPTSIRKLDGFFHGTGSKKSSENSKLIPGRSVFRVNAVSDDTEDN NEGTLQESDICALEDRRGTNQQTTQSHGYHEYQELTGADINSDVHQRFLYEHHAHHPS LVEFAKAILDGCHLCSLFLDGLGDGQQGVVQDLLNAHGRGVFGMTTDHNQTDSKLKVP EANYANVKALLSSRNDIKWERCSTNLVLRIKTSAHGKTANLKLCLYKYLDGDDIKPAF RARIRMGVPDKYQNGNQGSSASLQQQDNLKWFEDPITDPMRYTGSPLAMDSCRRWLRQ CCSSHSCVPPGITSLPPTRVIDVGSPDGNRPPHLYITSAVKDAGMMYLTLSHCWGRNN SFETLTTDNLERWTQGFHLETLPQTFRDAVLICRSLNVRYLWIDSMCIIQKGDNLEDW RREAPKMAAVYSNSLCTLAALSSTSMSSGCFAVRNSLRYEPLLLRSKEEGGLNFLAPR RVYGASGGAKTAEKVHHLNSRGWVFQERMLSPRTLGFSSDGISWECDDLHATDIYPQG IKQKDFFSSTDFMGKHHFKELTMPLLRPLIDSTYRASFLVAWYELVEEYSKLGLTNAS DKLIAVAGIAQVIQKATRFEWYHGLWYDASDPQMFLSQLLWTSPHPRHYDESSVGGPI NTNAPSFSWASIGSQADHRITFHARFINLNATSDGDSRRNYYWLPLVKQQDGGQYSFV ECISQFGVMDVHEAPKNTLKKHLNDPSEKMLLDFRTEVLHLGEPFDVDKQSVDSMLPP LILRGHVRDVTLVRADTRQNLWKYPWAEEQENSSGKDDGNDDLSPFSKTAWFFPDSCP CNIRDGTSVKCLTIARWQRSWDERWFCAGIVLVSTKFSAHITIGGVTESTRIFTRVGY FEHSWKSRSSDWENDGERKLIPLI PFICI_13504 MDSDTIAIDDGVSRVSGYYGPGAVGAWWLLSLTALIGSFTGDRA APGGAKPPSIWNLFNIDANLLGAVGYPLVASLDLLYNLKTYTSDPQSMALYCAPLLIL FEAEIIMAALLGGVGTRIYRRQPRTIDFVFVALSLVYFYLSRRIAILVCIDKLVLFAD HSLAPLSTWAICEGVHHISACQRTMDDYINRDFYPDILDQSGDSKPSESHATGYEENE VMTVFFILFGVGGTVSVGLRSLLDEGWESLTYWYKVVDFFLAACFGWLGWFIFWFIIL PFVLNMVVLFQFVFTMLLLKLFKIKLGGGMIPQSAASFLDLDQMAAFMVGGLVPLVMR AAPVLRRLLASCRHRILRGNGNTVNDGDVV PFICI_13505 MVYAGGAFATWERDCVYSVLGLASDIDILNLKPVYDSHATTTID IYEQLVRSQINASACLDLISFASNSQRDPSKKCPSWVPYWPTYSSKSYDRMAWQSFTA LSHIHRGLAETPEQARALYRASGGCAYRCPSQTTQPGILCCEGYRLDRIDLDADPSII DMTQRFEDQVAITGMDEQLQLKSFKHLPPENLWKTVVLDRDDTYLDKVCPIEHRDGFL QLYNDAIDSPKTLDAELLEWFVAHAEQLFGSLPQKSKIIEDLRATEGMSYRSLSNFEN QLLHVWFNMRKSPVRLESGSWAMIPPNTKSGDQVYILAGCNFPVLLRETGQEGEFELI GECYVDGFMDGQGIPLDQGNMKWQTISLV PFICI_13506 MSYYHRHESRRSAAAESYAPRASTYHHASRRTRDDSSDSDTSEE DTRQERKEQERREQERREQARREQERREQKRQERKEQERRERKEQERQERKEQERRER KEQERRERK PFICI_13507 MASADLPKDTTKAPVASNDSDKEDLFGSDSEEENPEEVKAREER LAAYREKKAAKPKTIAKTVVIMDIKPWDDETDMVELESSVRGIEKDGLVWGASELVAI GFGIKKLQINLVIEDEKISLTDLQDEIQEFEDYVQSTDIATMQKL PFICI_13508 MPHHGRLSDISNSARDWTLWFASVLAAAVVINIAASSYMAQGTL PSVTKSRTAPIVHYMPKQRALASAGLTEIAAESTSVHLHGLSRRDQCASPGAEETVSD LPLRIGAVFIILAVSFGACVFPILAARFSRLKMPADFFFAVRHFGTGVLLATAFVHLL PTAFILLGDPCLPAFWNEDYPAVPGAIALTGIFIVKSVEMLLHPAQRLSGAAAHAEPG GLQARSTRGNRSLSMNQSLSQIGRGQPPLEELDAPNQGSEILMMQTISHDKLNPEQQL KKETLHVLLLEMGILFHSVFIGMALSVSFDAKEFVILLVAIVFHQTFEGLALGARISA IDWRERKLQPFLMAAAYGCTTPLGQVIGLAARSAYSPDSQVGLILVGVMNALSSGLLV FASLVELLFEDLLTDESWRVLRGKRRVFACLLVLAGAFGMSLVGAWA PFICI_13509 MHLPPPTWLVVAIEASGFFVSPASAIRLATSPTYEGRGVCPQRC RESGPSVDKWPVYPDMKQLKRCSESVFYSFGLNDPVDNSVDGAHRISACTSSDEDDAE NTIETISARAADNSPSSDVRFEIGWWQEQPGGIGEEHQSLIRQLRGYLDGGHGYSGEQ PFIMFARSGQAVLGVYIGRALKSQELSTVALGALEARLSSMGASTPELAMQLCEPDYS GAHVFGLMLSTNGTFGAAQEAITGWRHGRCLALPHSADIKGEVQFIMPLPSMVLDSNM TSSADSFAFPDSAEIEGQVQFPMPLPSMVLDSNTTSPDLLKPGATGPDLHLSVADCRT IQVQDNDICDTLAARCGISRKDFDKYNIGDDKFCNGLKAKQHICCSAGSMPDFAPPRN ADGSCFTHKIVDGDTCDSLSAEYSLSHDLLNEYNHKTWGWNTCKHVLFKGTVMCLSKG RPPFPASLPDAICGPQKPNSVDPKDDSDISKMNPCPINACCNIWGHCGISKDFCVDTG TGAPGTAEPGTYGCISNCGMDIVKGDGNGAIRVGYFEGYSMNRECLYQDASQIDRSAY THVHFSFGTLTPAFEVETGNILSTYQFEQFKKIRGPRRILSFGGWDFSALNTTYMIFR NAVTDANRLRVATNIADFIKKHDLDGVDIDWEYPGAPDIPDIPPGDPDDGVRYLKFLV ILKSLLSGKTVSIAAPSSYWYLKQFPIKEIGEVVDYIVYMTYDLHGQWDTDNKNSQDG CENGNCLRSQVNLTQTMLSLAMITKAGVPGRKVIVGVTSYGRTYKMAATGCWGPQCNY LGDKVNSPAKKGVCTGTGGYIADAEIREIIGNKKRAGRVVTSFVDDSSNSDILVYDND EWVSYMSPATKKTRAALYTALGMGGTTDWAIDLEKYNDVPAPASDWASFKQKVLDGED PKSDDSRSGNWTNITCTTEMVVHQKEYDVSTLWSGLGADDAWSDVKRVWTKTDRPRFE SGENPRLTFMFSVHETLKIETASEGCTRVLDSQCNSDGCSPGMNGELSGPAGELIWNS LVLIHTMHQSYYTALTSGASTFGLKVQDMENTFAPIPPPPDNESLFILLDLLSIGILT AAGPFFNNIIAKLPRFAKPGNTAFNNAKDTTMNMIGQSIGLAKDLKSAPKAGDWTPEK QATFSAYMGQVIEGWEDITAKSLKKIFDGSDASLAVLDKLLANGALYPMGPDPTSDLD LFKNIRKSFFAYAIPILWFRAGYYPFIIDSGAACGTSNPITKYMTEDVQRQTGVCYNN RIYFLAMVEGDPQECTEVDCEDRKFAAPQGITSLDGKDYGGLTRDDIVAGAMRTYVKN GLKNGAHPGSVDLNNNDNTDALYEMDITTPGFISLPICSPVVAWKSWDATTGNVGAPK KGSTPNWPCDAVKGLSNCGDYEYDNGSTKDDSPSVADCEQIIRNIQGDTTTEWTQAVV GKPWRDITAKGCKFSFRASKIDGNSEFYVGGQNVIDLIEKSVKDYAKDGKVSASGHMK CGATVKKQVTEWKLQKK PFICI_13510 MEPFPPDRYEIITPRLIIRHAVPKDAMAFIGLLGEVENLPMGET EAIKGLTVDNMTERIAKWRKNASDGKNAVLAIALCQTDHVIGYMGFNCFRSKPEADKT EPDRDMPLSGVEGRYLTDVGVVISHKERRKGYSTEVVCAAIEFALYTLGCIVVRFETG IENAPWQTLMSSFGFDNLKCKEVLSYGDNPTGWKWEVNSTEWSEARQKLVNAGSWPL PFICI_13511 MADSLASFAKRIGLSDSHAKALQSQHGSSWSNDTQPDENLKIAC QVAQLILGLDQVETSPVNQTIVKENWSLACVAQPYCVFLPRNSSDVSKILQIIDYFKI KFAVRSGGHSPNPGWSSIDSSGILVSLERLNQVTLSDDKSVASVGPGGRWGDVDATLT PFNVTVLGGRAGPVGVGGLLLGGGYHYLAAEHGLSADNVKNFEIVLADGNITNANATD NSDLFWALKGGGPNFGIVTRYDLYTYPLTATWLQLGIYPIDQAQKTLEAFATWQKDGG SNPKSNVILTITLDAVIIGLTYNEPLSGPPDVFAPFSELTPLQMLIPPSNNTFSIIYE IGNSILPAEHLRHDYRGVASQVDAQLYIDVYNFWREKALDVRNSTGADQAFVLQHVPE SLVAQGIAKGGNALNIPQFTHQWWTTLVDWTNAKDDDVVRSVAIDTTAKWKELSAERG LDVAFLYMNDASRDQNPIATYGIDNVNKLKSIAQKYDKSGLFQTQQNGGFLLSKV PFICI_13512 MTGTILLTGANGSAGLHAAEQLLKHHSEFTAIFTVRDAGADDIN TQNLRQLIARYPEAKATVHQLDHANLASVHEFANKISAAIAAGEYPPLGAIVCNASYW NMVLDSELTADGYDKTIQVNHIAHVALVLRLLGSFADEGRIVLLSSIAHYRQPNAMTS HLPEIPDDIDQLNHPPPDKDRQGRGFQRYANSKLLITTWMYPLNRYLQKNPKFKNITA VAINPGGLADSRAFTSNTPRSIQLLQTFIVKPFMGVINRLADPTLRSSAAAGVDLAEL AVNKAHPGERGYFTMLKKDESDPLTLDEGVQEKVWKKSLEWAHITKDDTALKEAFE PFICI_13513 MEPNDNKPPPHPHEEEEYVRGYQLQDIPLDRNSRDEQSAPLQQD ASHGSSSHDDYYLEPKGPISPYSPASGPGGYYDSTQTLGVYHYPSADPHSPTPDAEEW GKGSFSNNSWRFSRPPSSFGGFNDTTWAERQQQPGTRLSGAGDGLRRRPTRKIKLIEG RQGPVLSTNYPVPSAVKNAVEPRYMEEGTHEEFTEMRYTAATCDPNEYTLLNGYTLRP RIYNRHTELMIAITYYNEDKVLFARTLHGVMQNIRDIVKLKNSTFWSKSGPAWQKIVV CIIFDGIEKADKSVLDVLATIGVFQDGVIKKDVGGQETVAHIFEYTSQLSVTPNHQLV RPNAENPKSNLPPAQFIFCLKQKNSKKINSHRWLFNAFGRILNPEVAILIDAGTKPGP QSLLALWEGFYNDKHLGGACGEIHAMLGHRGNKLWNPLVAVQNFEYKISNILDKPLES SFGYVSVLPGAFSAYRYRAIMGRPLDQYFHGDHTLSHGKKGLESMNIFKKNMFLAEDR ILCFELFVKSGQKWHLSYIKAAKGETDVPEGAAEFLSQRRRWLNGSFAMSLYSLQHFG RLYKSGHNIIRMFFLHIQFLYNFANVFFSWFSLSSYYLTTSVIMDLVGTPVEATGTHG WPFGDTASQIINVVLKWIYLIFLIIQFIVALGNRPKGSRHTYIASFAIFAIIQAYIIV LSFYLVYKALRTPLGEDINTTSGQAFFESIFGDQGITGVILIALITIYGLNFLASFLS LDPWHMFHSFPYYLVLQSTYINILMVYAFSNWHDVSWGTKGSDTADKLPSAAISKDAS GPRIYEEVERQQDDIDTQFKRTVYRALAPLEPEVEEESKDKEDSYKSFRTRLVVSWIV SNLILCIIVTSNEFEFLGVQEASIKRTPTYFRVLLFSTAALATIRFLGFLWFFGRTLI RSLFNKK PFICI_13514 MGKYSIASCISGLRESDLGKMTLGFGKHSVEVPEENLYTLVKLV SVTSVLTLVAAAFSKTSFALTLLRLTDGWLKKAVWAIIITLNLTLLVNAILPFLRCIP SEAAWNPMVPGTCFDILITIRFSIFGAAYSAAIDWLLALVPWAIIMKLNMRINERIGV AVCISLGLV PFICI_13515 MAEESKVIDPQLAEPAEEDPKGKGKAAAVDSDDEADEEPAADAS APAAKKKKSKKKKLKDALSGKSSSKDEQESKLHKAIDNLTPDQVSQLLDLNPALASEI AKSTGSNDLSSATEALKRLKLQEIMTGLAASGKNAKDMGAYKFWSTQPVPNFGEESKF EDGPIKVQTLESVPKEPAPLISGFEWCDMDISNPQENEEVRELLQGHYVEDDEALFRF NYSYSILKWAMMPPNWKKQWHVGVRASASKKLVAFISAVPLEIRVRKNIVHASEVNFL CIHKKLRSKRLAPVLIKEVTRRCNLEEVWQAIYTGGIVLPTPVSTCRYYHRAIDWQKL YETGFSPLPAGSKPQYQVRKYHVPENTKLKGLREMQEKDVDAVHDLLIRYLQRYDLAP DWNKEDVRHWLLHKKAKDQLEEQVIWTYVVEDENKKITDFFSFYCLESSVLRESKHKV VRAAYLFYYATETGLGDNVDREAFKTRLNALMSDALVLCKRNKFDVFNALSLMDNGLF LEEQKFGAGDGQLHYYLFNYRANAIAGGVDKRNRLDDQALSGVGFVML PFICI_13516 MAENSMFTEGQFLLGTFASNCSSGMSVTKIPERWVNSWENNLKL AKLLDEAGIDFMLPIARWIGYGGETNFHKDVLETVTWATGLLAHTKDINVIATVHTVA NHPAVVAKQIATIDKISNGRVGLNIVAGWNQPEYEALGLQLPATHEERYGYAQDWFDV IKALWTKTESFDHDGKFFKLKNILGLPQPSRRAPILNAAGSGQGREFAINNADFLFTP AIDLERSKGEVAALKAQARDAGKTVGVLTLAHVVCRPTEQEAKDFYAYFCEQNTDEGA VDNLVALQFAHAQSFPHDLLALIRNRMAAGHGGYPLIGTPQQVADGLLALHAAGFNGT TISFVDYVEEFPYFRDNVLPILEKAGIRRPARSA PFICI_13517 MLVVHACDFLKATSQLSNLTMATTKPQRSLAEDIKKHPAFPTAV WQLVPDRQGLLPVAADRGGPVDISWEIHGEGPIKMLWICGLGFIKSSYQRQTMHWGHY HADKYSVLIVDNRGMGGSGKPLSRYSTSEMAKDIIEVLDHVGWISSRQVHICGLSMGG MIAQELGMLIPDRIASLNLLCTAAAIENTTSFTENMMNRITMLLPKSLDRTIEYAAGN IFAKAFLDEPDAAKPPTASTPKVKMPPNGEYLMFETNFDRFAAQEITKQMDKEGFTKQ GFLCQLIAAGWHHKSPAQLKDMADKVGRERILVLHGTEDNMISIPHGRKLVEYIQPGA GVIVEGMGHAPVMERTEWLADLLSERMAVGEKLSGRA PFICI_13518 MAPALDDAETISLLDSIQVEAFSSDAGRYAAKEAARRLLARLET PFERAWELGFETPVLLSGLQVCQDLGIWAKWLEEETAHPGGPKTLENLLAMATRPVEP NLLRRFVRHIAAVHILEEVGPDTWKSTPFSRAMGDPVSYLDQTVQCGMDHTVPCGMNL AKFLPKYDYKEPLDIAEFDNYRDMSGDDFFTYCQKHTGAGGSFIGLMAALRNHKMDWT QVYDTTRLTEGANLQDDSNKGNPAPLLVDVGGAHGLDSARFLAKHPELPAGAVVLQDL PDVIEKHIRQELDERITRMPYDFFTPQPVRGARAYFFHAVPHDWPDADCVRIFENVKA AMTKGYSKLIIYEIVLPAQGASSMMTTMDLQLMACTSGLERTEEHWRKLLGGMGFQVV SISRHPRALESVIEAELV PFICI_13519 MYNRIAVYGHRGWVSSAIVDNLAISGASVTVLYRPGSDVSGLAG ATKKIELDLEDEEALVAALQDIDIVISLVGHEGVSRQHAFIKAIPKTNVKLFVPSDLA ARYEEQGLRIGVNAAKEAIELAAKRASIPMTIVLPGNFAEFALGTLAMGVDYKGNRLI YTGESADKPLNLCTRHHVAAAYASIFATTPIEKLQNRVIALSELRPTGKAVALALEKR HGKAPKIVAQSLEKINDQVEEALRVGSPFALAWYCRKIWGTGQQAEMIGNDIWEVPGY SPASLEDLVVEGKLEPYRGMPPQVVEFFSKTFE PFICI_13520 MSRILQQGPDGKGPNTKAYDRPRRHGRNDWRRLMFLGLAVFIMM AGSWKRALWLVPSLAVPVLSGASCKFDLYKHLGNLSPYFVPDNTPASLKSGAPPGCTV DKGFLVHRHGSRNPLSDEVGVIQNLSYYINNNSALFSTPQTELPAEYAFLTAGWNSTF TINDLSAPGRQQLFDHGVAMKLKYPDLFTDVVLAGDQDRVVESAVWFMDGYYGRYVNS TATLNRIAEDDVTVSWITPVDTCDGWEYSLGGSLVTEWGAVYLPPIAKRINSLLAEAY PTVNFTAAHVHGMLYACAYGTAVYGIDSSPWCPIFLPEEILNHEYEYDLLMRGAFGYG LPDDMGTVVGSLLVSNVTAFLQDNSGPNLSLNFGHDTTIDLGLTALGLAADPAYPPEG PVNATRAWRTANQVPFAAQMFWRSLSCGEDTRIQLLLNEANFDLSPTGCKSDEYGTCS FGDFIAADTVQAALNVTHGDARWSAACAASQ PFICI_13521 MSLSAQPSIHAQDLFGVNGLVAVVTGGAAGIGLMMTKALEANGA TVFIIDLRQNKLDEAQKQAKHGKIIPIQGDVTSKTELARIVSTIASHPLSGGVVNLVV ANVGIKGPEPPVSLDPGGPTAKPSLQEAYEFLWAPETADFNAVFATNVSATYYTAVAF LPLLDAGNAAGNVSQSSQIVITGSAASYSRVASSMFAYGASKAATNDLTRRLSTTLVP YHIRVNSIIPGTFPSENTEDLVKFFDANPEVLKEIFPVGRLGNTEDISGLILWLSSRA GSYVSGSIVLVDGGALSVRPASY PFICI_13522 MQSILKSVFLAAVAVSALPQPFPQDVASATDPAAVMTPEEAAAQ ALRAFMPSGFSVRANQIIPVVVGGPQDTFVPNVVTAAVGDVVQFQFSNGNHTVTQSAQ DVGCQPLQASVATAIHSGHIPFVDGQTTVGTFSMPVTSTDPMFLYCATGPHCQEGQVI VINPANVQQVVDYAKISQASSQSGDGTNVVGGTVAQIPLDLAAFTPAPAQAAAPPAAE APAAAPAADPAATSAAAAAPPAASAITLTIPPAAPAATDAAAAGSSTTTIFVTVPAPA PFICI_13523 MPTQASVSPIAGTNGSVSSKTIHQFANLLDWAENIAVRPNGNLL VTLLGLKPQLWQIAEPWTDTPAATLVHTFPSELYGLVGIAETSPDVFVTAGINTTTPS LSSVWEVTFGTDDNDGSESVATRKIADMHNAIVLNGVAAVPGCGDEPSSSSAVLIADS MAGVVFRVDTQTGNVTTAAQVPEMAPLGNMTSTQNIGINGIKIRDGYLYFDNSYAATL YRVKIDETGFVAADAVAENVAVISDETFLDDFVFDTRGNIYIASNHGSTVRRVDMATG DNVVLAGAAGQQTVLGDTAAAFGRTDMDREVLYVTTSGFDVKTAVEPGKVVAINVAGL K PFICI_13524 MIASFSCPPAFAIACLHPIYTICVVAILASTTYVGVVKESLVDV TQSVRRAEWDSLVEGSRSLIAGPETSWKWQNFDSDAKVPKDADHLALITLVFPESSPE APQTAPSAHIVPLPNNLSVAHLPSTSNTLTTYAQDSALAFAVKYSQAAEFIAAAQEIP NDVPGQESREIEDGRSEKKMWIIKAARGQTGTSLARWAKNAWTDFLDLLKNADTLDII IMVLGYLSMHLTFVSLFLSMRKLGSNVWLAVSVLFSSTFAFLFGLNVTTRLGVPISVV LLSEGLPFLVVTIGFEKNIVLTKAVLSHALDHRRPEDKSGNKRSHSPSESLIQYAVHK ALKDTGFEIVRDYVLEILLLIVGANFGVQGGLQQFCFLAAWILFFDCLLLFTFYTAIL CIKLEINRIKRHVELRKALEDDGLSRRVAENVATNNEGGNGKNVPLFGQDFKSSSVPK FKFWMIAGFFAINIVNLCTIPFRTPGSDSSISSWAGGLGGVVTTPPVDPFKVASNGLD EVLFLARGHGQTTVVTVLTPIKYELEFPSIHYAVPSKDSQGEDDFSQLVGISVGGRMV GGLLESLEDPILSKWIVIALAMSVALNGYLFNVARSGIKDPNVPTHPVDPKDLAAAER FNETSASTPSIGQLHKAPPRQKPATPASTDDEADQLLMKSRSTATSIPTPRAPLVSRS QADLDKLLAEKRIYDMTDDEVVALSLKGKIPGYALEKSLKNTTRAVKIRRSIISRTKA TSDVTGSLESSKLPFEKYNWDLVLGACCENVIGYMPLPVGVAGPLVIDGQSYFIPMAT TEGVLVASASRGCKAINAGGGAVTVITGDGMTRGPALSFETLERAGAAKAWIDSEAGQ ALMKKAFDSTSRFARLQTMKTALAGTNLYVRFKTTTGDAMGMNMISKGVEHALNVMAT EGGFEDMSIISVSGNYCIDKKPAALNWIDGRGKGIVAEAIIPGDVVKSVLKSDVDSLV ELNISKNLIGSAMAASVGGFNAHAANIVAAVFLATGQDPAQVVESANCITIMKNLRGS LQISVSMPSIEVGTLGGGTILEPQSAMLDMLGVRGPHPTTPGENSRRLARIIGAAVLA GELSLCSALAAGHLVRAHMAHNRSAPPTRSTTPAPTGAMTPVGLTMTSAAEKAVGSVS AAAAERAKR PFICI_13525 MAPFRPALLVIDFQEDFCPPNGSLAVAGGRDIAATINKLLDLPF VLKVATKDWHPPSHISFASNHKDKQPFTDTITIINPANSEETYESRLWPVHCVQGTPG AELIPELAIGKIDKIMEKGQDDRVEMYSPFYDPFESPRGCDSGLADLLRSYNVTDVYV VGLAADYCVFNCAKDSAKEGFRTFVVEQATKAVDPDNWPQKRKELEDLGAKIVSMESD KVQRLMTAF PFICI_13526 MAWINIISIILPASCLISLAVLLHTQQSVITNDYELVPDLSNSP EIPAPEVWALLADTALTMALFVKGHGGYKSWSALAGSVLPEIYLVVLLAARFWVGGQS PFWADHLRLHAAALYAGRFCCGFVASIYSAYMLPISNMSWLGPARILLLGTLVWSQPL FKLVA PFICI_13527 MESTGDTSSCSTAANTTDCLLDRLINIVSEKFAADDGKTDWDPI TFAFTVPVGIFGILATLLALVAIIQGIFAASPGRRKSSHQVIGKWAEQTVTRVSLREL RTYTQASTPRLISDRLLNLLEMEYQAKIGNIGATTAVDQVGDGLLKTAGDNDNNGRII ANWLGEFQSLARRLPLCSKILSALATVLQTSSMPSAEAGWLQLLHQFELANLPLDTND TAITAADYLPDDLKAVPAYADIRTIVVLAAVGGVKSFEPEIGSSYPLLIAPTFAIEFR QHPALGRVAKSRESKNMWQILQKVWISRSYSLSAWTSSMAMAKSR PFICI_13528 MDEISRSGYSPIRSSVESDSIDETEDDSLMANHPEKTKFPSRRA CLLVQVINMIVFIISAVCLGVSISYHHQPKVLNADLRRTSSWSPIHDMIDLQPRIAMI NGTLFPPKDPSIARQLPNSDADDKWAEYELLRVIPVPRKTIIRLGKNPETAVKLDNNM WGLGDDAYGVVFDVYHQLHCLNSLRKIAYGSYYNESMGRADKLKLREIHINHCADILF QALTCSGNVNLMTLHWVETQERPWPDMSINRQCIDFDRLTDFRKQVSLDMDRYRVTMN KPEGVTELPAPDHYYELFGEKNPNHLHGEDPEEDHIL PFICI_13529 MLAKSTITIILSFCSALGVATPLPISAENSAAPAEVKPRAVPLY WANALLRSAEADQADEKEKRATPLYWTDALYRSAEADEASEQEKRATRLS PFICI_13530 METSPGNVQTQPNSDSLRSSTSASGSESHDSESTSTSASSSGSR SSESTNSPPAQTDDTPTSGAEGPAVQDPAEMKILFGSSSDYSKSLDGEDSEEERPEWD KEDYLTPGSAQEREMEWWETHEHLKRQWRKERATNPKFRGLARGQ PFICI_13531 MTVKANSADPTAAATTSANGEAPPAYTPVAGAPPPTGPPRQLSE KELAALNSAFSSLNLPTVATKVDEDTCLAHLKLLSAFYTLKEDVGYTDGLWAIYDSRA NPKTDAGPELGAELAKLREKRWALYVARAVNRYEAWWYTFASDPLTLADMTPDSVKYA EFTSSDAGMNWEPPRPLPPLDVLMVWHAHMLNPRDYLEDCIRAGRREFWTAGLPWKHI NEAIDTNFNYKVSDACIAAWKTATGREWDNMEDSMTKQIKCPACSERLLIPWTTCGHA EDDKGSRPSLVGNGYGDGEFKSTCTRCGTAITREFLEAARFVSDAKFLLAKNHPMPGT ILSYRTGMPEKLLLRFSNVSTLELGFPNRLIQNHLRSQLLELMKPGQHLSPVNMDTVR TMIEDALADQTVLKRVENVTGVAALNRYRLSRSSRIYVRKMMSRYWGNSSPFALELGG AVLRQGIFTDKMYKIDWIHSPAARDTMKRLVVKYQRFIQIMAANPLKVAVPTLDVDLA WHTHQLSPAAYLDFTFAKSQKFIDHDDKINEVKLASAFEWTSKTYQEMFGEVYSECTC WYCETVRSSHVSSVGRALGVSKNDKIVNEFHESGRADLCPPDASAHISAHNAVKYYDE DPKRGDIYKAMHDAQVAKMEENYRKAQKRAEKKGRKIPPRDEYYYYWGYPYMMYGPWV YPIYWSPGFYYCDPGVAAAGTGHAGACAAGTCGGGAAAGACAGATAGGCGAPGGCGAG GGCAGGGASAGGGCGGGGGGCGGGGGGCGGGGGGGCGGGGC PFICI_13532 MAGTDETEKKTKAADKKQQAEEELPADDEEYVEGQDDDAAADDD DVEKVTEDKGADVEDIEEQNGEDAKEGEDKAGAEEEEQEEEKEAEVISDEDEEEEEKD EEEGDEDDKGTKRKPSSKSQPGSSKKQKTATSTATNGGGSSAKVDTSKPNAAAESGTV GSKHDAPRDPATQGSADRLPRTGQTVHWKALPGYVEGEVVEILTGDKEVEGKSVKATA EEPRIVLKSSKSGKICVHKAQAVYFDDE PFICI_13533 MIKHTILALGHLAALTTAASQTCLAKGPAGYCKQLTWEAQKNQT GAPTIDECQQLCPVVSQDPESWFVNLTGAAVGEKRGLINGYPCHFSLGRGPGQGDPLK FSLANEDILGLIDGAVGRFGNSGHVAATGTMNCEGKNITWWID PFICI_13534 MRYTILTLGFLATHTLAQSCGGDKFTDRCQPHPFGDFMDHVFLS VPWKSLNSCATLCPLVSNNVGDWQLNLTGAAVGEKRTLVENGLCQLSLSRLTGKASDP LNIVLAKQDVLLMVQSAVNWASPLDKLGIDGVKFDMKCDGKDFAYWVE PFICI_13535 MKCVILTLGYLATGATANACGNEGFSPHCILELPPPLTEGRFDG APNRNTTYCEHLCETVANGQDLYWETNMTGINRYERRTLIDDGRCRVSISAEESRHPQ PDHNLVIVKQNLLWMLAEAVNVSIMYPDGSVGAFGTMTCDGKRFTYWVE PFICI_13536 MKFTSLTIGCLAAIAIADSICAGDKYDQGYCQITSVETIDDADI TRTIADCERLCENIADHDGDWHVDLTGTSNNVTLININHCRLKLAWSMDQDDLPEFRV AKQDILDLVDGAIIFAEAEGVDWVFLKGNMTCDGKDVSWWVA PFICI_13537 MSNHEGSSQSDGCDSHHGDCTEVEFDGEYFNSSRYSWEHRGDNI PWCPRDVIEHPGGTPTTSSKGKADTEHKKDVAKGSRSRQGRKDTASKS PFICI_13538 MPEQSKSKWSHLPLSTSGPIDCAVSGSVLLNTPYFNHGSAHSQQ ERRDFDLTGLLPAGIQTLEQQAKRAYQQYSSRQDDLAKNTFLTSLKEQNLVLYYRLLA DHLDEMFSIVYTPTEGDAIENFSRLFRRSEGCFLNINDLDRVEHDLSQWGTADDIDYI VVSDGEEILGIGDQGVGGILISSAKLTLTTLCAGIHPDRALPVVLDCGTDNEKLLDDD LYLGIRQKRVRGEKYDKFVDNFVKAARKLYPKAYIHFEDFARRILENYRPEIPCFNDD VQGTGCVTLAAIMAGLHVSKQKLEDLNMIVFGAGTAGVGIADQVRDAIVAYKNCSKED AAKHIWLVDKPGLLTTQTDNLSHAQKIYAKDDPGFSGKGNDLLEAVKHVKPNVLIGTS TKPKAFTEEIIKEMAKHTDRPIILPLSNPTRLHEAVPEDLLKWTDGKALVATGSPFKP VRGPWGEGGKEIEIEAAECNNSVVFPGIGLGSVLCRANRVTDKMLVAAVTGVSDMSPA LEDPNAPLVPGVDNVRAVSVRIAREVIKAAVDEGVATEKEIPTDDKELDEWIKEQMWD PVYRPLRRIEPKGASRQAKAELKVVGSLGKVE PFICI_13539 MPCLRNVRSDNFDIDDIPEVPVNFARYLDNYIQTRMILMVERTD KTISKTKVANEMFRHTFQKSRNAFQGLETQVFVTIDIIKKVPELREDHRALIRRMYDQ ASKRCELQDESHEVYFNHHLDYIINLFSDTSSRKDYMVDTFIPMIDDFLDTIGEEDPY HAQIRGHRNTVRLLVRAVDAMLQQYGNLFFGKRQIVRPCIDDGENDDDDDETEQDPSN LHELLHQDEAARKSLEQKLDVLKMELFLAEARTSVEAKMAMLSMDVSGVEE PFICI_13540 MPRKRRSSLRSQLRSPSRYGTPNIFNPIRPKHAEAPALLETARK YFGKVELWADDFVGDVETTVTTRDVHACIAVIDAFSRAEKFIIDSKSWLTGESLNFTA LSAARMLCILNQFKHGDSYRLTISGSGKVIRKGWIAINPVDVEIENNNPVKVRRLLYN QRSFRDEQYLQQGTPTPEPRHRPRTRSHTKKQKKRRSGRGI PFICI_13541 MPGTKRKHSDDDGLSVDDFVGTAETTIITTNPDACAAVVRAFAG AESFSIYSNVFEASLTTSGSHDVVSKGWDMIKLTTKKEESEDTGGEDSDTSES PFICI_13542 MLPTLTQRPGQVKVTMNLEDGQTCADAMRAFSDADIFDVQVYVF DRDGRHDGKQSIHIRLTSPFDTLRMVGSCPHAAKFELNIIGRDNRMRRGWNFLGCSDQ DNVERLLGKTQGGSNEAHGSETLDGSNEVMGNDILSISDETRVKADDGGFESPDLYSI PAFGQGENTKNNRA PFICI_13543 MPGQKRKRTNDSSIQDVDTEAETTIVACTSKVTAKVIRAFSDAT GYQVYAVRDHGVLLPIDVLDRFATTASSMVNILKNFRGADEYKLTIYGSHDAIRRGWV AMCSVGDSAKDNIDEDSVASSKTKVEEGTNRDGNSVTNEGSEEHRRKRVKGVRENTVK REDRPGIKREVE PFICI_13544 MAGMEEQGHDRFRFTEVYRPMFAKMVFHDPDTCLTVIKAFSNAS HFNMDIWRCDRDGRPNIADALSMHSRGADALIKVLGFDCYRNGGEFFYLAIAAPKYLC DRALKELEDEIDVENRITLETADFSGQRTIE PFICI_13545 MASKKPIDDELGELFEGLGDEGGSKKPVKTKTATSKARAGDKAD DDLLAELENLGDQPVRPHTPRVKDVTKRSSTSTPPPAASRLSEDKPNLPRKSGESTRS YHASFTPSATSSEFQENEKKGPVEQTAPAPAAAVAAASGGGGWWGGIFATASATASAA IKQAETAYTQIQQSEDAKKYLDQVKGNVGYIRSYGDELRHRALPTFADILHTLAPPIA SHERLLIHITHDLAGYPSLDPLIYGVFSRVMSQVEGGDLLVIQRGHESTARRASDAAF YTGSSSSAGWRDGPWWRQTDIPRDLGTVKGLVEGTKLCRVSAESYAHDYFEATGGITE AQKRAVEPLSDDNPVRTSDIFLAVQAITVDADTALFAGSTAGEKEKEESLVAEDNTDT QVCFAIYVLDPVHEITYSTVSQAIPAKWIRWLDAPSPLTPASSESEHQHDNELAHVPD EIREIVESGGVDPREWVAEWVEESLTLSAGVVAQRYVARRMGVGEGGLGKGKQKIDAV VNEGGGEAARAGLI PFICI_13546 MESPNSGRGRGRFSSRKRSTVISASSSAQQRSELLDMAPSSTPA VPVAPASTLTFETPSPSNTRGTRMRTRNLDGVNASPEDPTSKGGRSLRKRTRVDYSFD QADEEEQNAEPKATPTTTRSYKKRRTDALLPDQDFEDDVEPDEKQRSLELPSKPIGRR SIGRRSTMESQPLPTDRPDDDSLVQDTIEVGGQQSPEPLNGSSHRRSSNASHKESALS QLSLSQSSAIEPLAPTASAVDTAVTTEEEPAPQIKTEVAPDIPIPNIEVNEAQPLVSQ SIRASAREPSPTSSTAPADAQPTSKSLVDESSDDPYAHITPYIDGVTAFYPAQQGTAL APSTEPDVVAEETPQDTPPDDGLEDINEVPDDSTPAGSPAPMEDTAMNSPAPDVGTPD VPVITPKKQYPYKKLRSAQEFIDFIASYETMPLDELYTRLEHLTGVLDSIQQEHNGCR KIIDDEENAAKYQQEEQLFQHRVKLARSKDPNADPVRKDFVVKGIRAPKADMMTEYAR QQDRVLAQAYGFEYDERESKIGQQDPIGQRGGIGKGRLRDRPKQTAKAAEADDSTVVH GKRSRKAPNLFGDFEPASRGSTPVPVLPRKRRGRQPHEDIEAPVLPIAVGQTLLEETP KKRGRGGRPRKHPLPTSVPEDTPAPELDLEEEVQDEGRPGRKRKRRNLDDDEFPPNGV TGMSTRRRNSRLGEIPSNSFYSAGSTQPNDESRPNTASSTGTVSTTTSAYGLREKRQK RFAAEDEDDDFVEDEEQPKPKRIRRVPKKVQEQDFANIPASNIPDTEPNMTPAAKTPR IRLKNATPATANPNVSPDNSVSGLSGGTIMHGQIMNGDGNGALSEDNKDYGQMTKSEK MSASMKARWASGSMSNAVAKRRATLAAKKAATQTPVPEGTPEIGVEQQELQQ PFICI_13547 MNCADNSGARNLYIISVKGIGARLNRLPAGGVGDMVMATVKKGK PELRKKVHPAVIIRQSKPWKRTDGVFLYFEDNAGVIVNPKGEMKGSAITGPVGKEAAE LWPRIASNSGVVM PFICI_13548 MAQAAPVDIANGNGIALGSASPKKVAYFYDSDIGNFAYVAGHPM KPHRIRLAHSLIMNYGVYKKMEIYRAKPATRLEMTQFHTDEYIDFLQKVSPENMESYQ REQSKFNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRQKCDIAVNWAGGLHHAKKSE ASGFCYVNDIVLGILELLRFNKRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEY FPGTGELRDIGIGQGKYYAVNFPLRDGIDDSTYKSVFEPVIASVMEFYSPDAVVLQCG GDSLSGDRLGCFNLSMEGHANCVKFVKSFNLPTLVLGGGGYTMRNVARTWAFETGLLV DANMPKTLPFNEYYEYYGPDYELAVRASNMENANSPEYLEKIKNQLIENLKRTAHVPS VQMQDVPPDFPQTMTEEEEAELEDLDEDENKDVRMTQRQWENQRERENEYEESDNEEL DRANGVHPQNGSAAGQKRQFTDYQKSDLDDESAAPTPTNGNTADTVIKSKELDEVMED AQPEKEAEPEGIAAQEEQLKNAQVAASNADGDGDVDMETEEAPKAPEKTDVKEEEAGS PKPDAPAESPKAAESKAPEAAMTAETTTTEKEPTEPATEDKPAEPASDAQEAKAPEAP EDAMDVDEPKTEVKPAEDNEAPKPAEPEEPSSKPADESKEKTGDEEKDKATE PFICI_13549 MSAEGTIILYDLPSQEPCRAWSLNPWKTRLLLAFKDLDYKTEWV EYPDIRPKFEEHFPLPEGVSLYTIPTVKFPDGKYIMNSKDIAQYIEEQYPEPSIHLDS PYIRKVMIEISALFDHTLGRSLYGVVLDRIPSRVLNPRSAEYWIRTRSEIVGKPLGEM TAEERGGKVLWDNAVKNMAELTRLLKENPDGPFFEGKTVTYADFYWVGFLLFFRRLGE DVFQKLISVSGDVEGSENVHLKLLEACKQWSERDDH PFICI_13550 MAETKKKQLHLGAFMRPVSLHTGAWRYPGAHPDANFNFADLVSF AQKLEAAKFDAFFMADHLAVLNMPDAALRRSHTVTSFEPFTLLSALAALTRRIGLVAT ASTTYDQPYHIARRFASLDHISGGRAGWNIVTTANPDAARNFGLDAHVEHGERYARAR EFYDVVTGLWDSFADDAFVRDVESGVFVDTARMHVLDHQGPELSVRGPLNIARPPQGW PVIVQAGQSEPGRQLAAETAEVVFCAPRDLEAGKAFYADIKGRTKAAGRDPESIKLLP AAFIVIGDTVEEAQAKRLKLDSLVSYESSIASLSISLGTDASQFEPDAPLPADIPETN ASKSGREGVLKLAATEGLTVRQLAQRYGGYSGLAFVGTAESVANEMEKWLVEEGSDGF NVVFPYLPQGLDDVAERLIPELQKRGIFRQDYEGETLRDHLGLERPSNRFFPSA PFICI_13551 MLSRAFSLLASCLALVAQASYLEDRQANWTIGQTVSTSSGPVEG HAAGNGTGTSEYLGIPYAQPPVGALRFQPPVAFNGTETINGTDYGFVCVQVDMFAGIP HLESRDRVEKREGTLTPDALAIIAGYFAGIPATSEDCLTLNVWTKPQVGEEKKAVLVW IHGGGYSSGSSAVSFYSGKNLAAEQDIVVVSLNYRLNIFGFPGSETYPAYNLGLLDQR LAIEWVRDNIAQFGGDPERIAIVGQSAGGGSVGYHSYAFSDDPIVAGYILESAVSLSA STPERALTSWNNAVKAAGCDTADVPDQCMQLDVSASTLLNISGQYGFGPTIDDKVVFA NYTDRKPNTGAMLVGHNDFEPGLNRPLSPKLPDAVWQQAELSFTCPAAERATYYALNG NPTWRYRWFGDWSNLRLAINPSSGAWHGSEIMPLFDTIPQSVNVNTPEESSIAAYLRG AWATFAKDSAKGLSTYGSGWPQYSTEGDSLVRLAYNNITGTNLAAGNYYDDGCPEYPI V PFICI_13552 MAPSNQTSNRAPSQLSCELCRDRKIKCDKQQPCSHCRTAGVACV AVHRLRLPRGRHAARIRNAAALPSPASSPGNGEVHHTDETHEELKARIRRLERLVQSM SGSRTTLPSTQPTVSDGPRSQEGPDEFWQDLVQEVEQLRDIVSLNPGEADNEIAQASD HTQSRNPDGGLVALGIATSTPSLGRLLPLHLDKDASAKLCEVYLRQVDPIIKILHRSS LANWMMHGQDYLKYPRRHPSTDALGASVCYLAISSMAETKCAEMLNVEKEKLMSNCRR ECEVAFEKSGLLTTRDITVLQAFILYLVARGVGERSRAVWTLLATAVRIAQGLRLHLN FSSSTSVSFFEQQMRKRLWLTICLMDFQNALAQASKPIVPLEEITPSLPFIRHINDAD FGTNTHGPVSDREGITDISYALVKFHLLVFGRRMGDGSNNAPSSSVGIRLDWEVAQQH VQEFEQSALKLLHFCDPESSSYAWFVWHGTQLFVAGARLSALRPLYRAEATRQPAPPR AKDNTEVLQQTVKALEKMELMHTDSRGENYRWMVSIQWHILAVAIAECYVCPDQALVC HAWTLIKSLYQRYERLIMRNSGQPLKGPLGKLMRRTREKLEGLLVADTPRSATDPRDY DDRNQAIPTNLPLQAFNMVETQLEQSGPVYPSPEETSLFGEQVTSAPTTDCAPNSPWD QSWKMWDEFMIDMSFDDLDGANSFF PFICI_13553 MVQITPKPEGDNNNFEDPGSRRPHEIYTPSIEDLEVGQPPILNV DDATKPDPHLVTWNGADDPENPKNWSRKDKWVMTVLISTYNFISPVSSTMVAPALATL GADLHMQSEIEIEMALSIFVLAYAIGPLFFGPLSEVYGRSRIVQLSNIWFLAWNLGCG FAQNSAEFFVFRFLAGIGGSAPLAIGAGILGQVDCWSPAERAKAVGIYMLTPILGPVV GPIAGGFIAQKSTWRWVFWSVSIVAAFVQICGLVWLKETHGPTLLKRKREKLVMETGN TELHVGDDDNKVLSSALGSALARPARMLATQPIVQLVALYMAYCFGITYLITVTFPVV WSEVYGENLGIGGLNFISIGVGSILGVFINVQFIDRLYRHLKKKNNDVALPEFRVPAI IIGSAMVPVGLFWYGWSVQGRVHWIMPNIGVAILTIGTMICLQNMQGYIIDAYTKLAA SCTAAIVVLRSLAGFGFPLFAPYLYERLGYGWGSSLLAFISIGIGIPAPILFYIWGAK LRAKSKFATG PFICI_13554 MDRLNRMLAGAGGMGGLGAAPGADNSNLIDNSETVYISSLALLK MLRHGRAGVPMEVMGLMLGEFVDDFTVRVVDVFAMPQSGTGVSVEAVDPVFQQKMMDM LTQTGRPESVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVV IDAFRLINPQSLMLGQEPRQSTSNLGHLNKPSIQALIHGLNRHYYSIGINYRKTALEE NMLMNLHKHVWTEALQMEDFRHEGEHNKEQFQRLVSLADGYEKRVKEETELTKEQLKT RYVGKLDPKKHLEDVGQQLIEDNIVSVSRQMIDKEATMPKKDTSANGQANGDHMDVEE L PFICI_13555 MDTIMACLSPCFKTQEPPAETSSFDTKHSNKVRARLGKPLKPIN TPIEMVASPAAAFDRFSPMSPRPKAAPSVPPATTPLTATELGELFDKVDETLSGPNIH YAICGLAAMIAHGLTGRGANTVSILVPEDSKDVIRPWVLAGGNMVTKKDSTPHGFEVL MSNGTTRGIKIRWIEGAAFEKLGIIRSHMGSRSARMLTLASCLEQVASAFLKECRGGK PPTPSKHVDTIVQDIRGALESATVHKTSLDPAYLELFLSHQFWSPYHAYMGDERISEI MLLCTRARLPVAEALAEARRHSEVRQHDALLSQYGVQPMLGVVEQQPGAFHNMRTLGR DTVPSTYTLKSKESRGSSDLLPSPTGRSLTAKPRPMPSPLGRGPLSARESGPSHSRSS SSVRVPQDKSKMRESLDLVDARSGRPDGWM PFICI_13556 MEALGAAASIAGLVSLAIEIPKLIDTINSIKSAPGEALQLSSTV DALIATLQKLESFLKTDEAREMHLADDYTHIEYLFILSEHNVKGQRYFDKVSMASRQV RMSQHCGTITCNAKYIRVLLGHEKLVGSVLSLDCVQQMAKSHKEVISHFKTQSEALAQ MAAAFPDQAIQASNLLKKIESISSCVESNARQLDAVHLGVNNLQEMNKDFADYCDYKI HGQALEWLSPLDPFLRHEEIQTSRLPGTCKWISEDSDFISWAQTLHPDKSDDVCCRIG DPGQGKTYTMSYMIEHLEGLYPSGTGVYVTYLYCDYQRTKDFPVQALIGAIVRLLLSQ FDRLPHKIRDLVTDATVKLRRPNATIDQMHQILSWLEPQVERIFICIDALDEYENAEE LVNACRRLPLRKSLLFVGRHSIFQSLRRSYPQSSCRSINPPSDDIEAVIAEGLAKAAA RDPSLMPETLKVEIQKGISKLANGMFLLATLHLALVLSRNTILQRRLALSDLPPTLDG TFSQTLKRIKEHTHASQALRILAWIHCIGPSPLELIRQALAVEPSHSRFEEENLPSRL SMLDCCFGLARIDTIDTIEGLYHGKDKKVALVHSTLSTYFQSNKSILNDAMVMNAESC LALLSFPLPLPGDLLGLARDWWVSLLCDLNPLPTRLSKRACATCVQINIQINDGNLQN VPRHARMLLAQNARSNGFHRSQIDQRQPLLNTICMLGLDVLDLDIHELLEADNHKDSD LIDPIDMFGSSPLGWALLPANHFRTNWQFPQPESSFKQCLLRAGKLIGRCSIMQPRRM VLWSAATLAKINEGGHTHLEGGTGLRYPNASPLLFFIANHSCDTTATEGCLEAIKRNW DIDVWSMLQGGPGATSVCDRCLSLQETTNLWNLVVWGSEESEVKSSDTYDTCWPGGNW DKYQPKPTPRWDMDIIALFEPGKYTPVHSFTSQERWHKRRALELYCSARKDISLETVY SFPAEDYTIYKISLPQQAILQSSAISAFYSVFVFALLVLKLDPIVPDPQGMFVLQICA WDNQTVYAKAILDIAATAINLQDQHGRTALHYAVEIRSRKMVSVLLRRKADPNIADLK HQTPLHLAIIGRDLTIFEMLISSETVPKLTSSNMGRAWNLALEAGGSFAMRLLRIVHN NKSLWLLDQGDTTAPSALAPSNGILQLMIVADQSLACTDKDLDFMIRMVTKSLVKSRP PATGSTIITARSNQFSSPSELSTIIRSAIVNGADAVAAYILHNYPIDIGNISDKGESA LHLAVIYNAPKVFQFLLDCDSLNSTAQVNFEGYTVLHLTLVHKRLDILQILLHSNKVN AGQVHSSSGATALHLAIRHSFTDAVPLLLSCPQIDFDVLDEYGRAALHHAVLKQDVAL VKLLLDCPMVTPTVISGDGRTALAYAALCAGEEMITVLLEVGSFDLSLKDTYGKTALD WARLNTSTGVAAMLEAAGSGSISAA PFICI_13557 MVSIFGDDPVYNWFLYSTPEAKRLRHLQVLIEGIIKAAAIADGV FTEINGWGASAVLIPPGSKPDSPLTVLRAGLVPAAIRLGPQPLKRLVHDYTSAAEKTK AKGMTAKEIKRRFHYLIFMGTSLDHRRQGLAGKLIQHMQARIQAEDEPRPIWLEATTP ASRDLYAKHGFELVEEIPLGKGDVNAKGRREAGGEGVTIWGMVWRPQEAPI PFICI_13558 MVVCNLHVVALKSGTSPAAFLAKIRQHDMKPIFQAQVLRWMILP THNSAGHLLNRNLRWDLVLGLDASTSIPSALQPVIEAIWTVSCGASARVLADYSKRNA ALLKPEPGSVPQVELPDVSQSSNSQNLEFSPELGEWISTMPPALQNHPVSMLNLLAFN EGKKDDYVRYGKEFSSRVGARYGGNVKIAARVVDGEGQRARDEGWDEIAFVHYPTVKH FAAMSASREYQEVNQQYRLGALKDTFILCTVEIDDHGELAGGRAALERL PFICI_13559 MSRLLTRKGQVTLRSLNGGLHSSVSAPTAYATTRAGVLSSRHTA ARCYATDKQDGSSFKGQMLESVQSRIAREKQERAKFAAERQTTAGSRAWGITFMFVAG ALGSYYLGTLSPRELDPNSTVPLSQSHPPRHNLGKANLEAAWTDFAAVVGKENVSTLD ADLDTHSTSGWSSYRAQKDEKPFCVVFPGSTEEVSEIMKICHSRRIPVVGYSGGTSLE GHFTPTSGGISIDFRRMNKILKLHKDDLDVVVQPAVGWELLNEELAKDNLFFPPDPGP GAMIGGMIGTGCSGTNAYRYGTMREWVISLTVVLADGTVIKTRQRPRKSSAGYDLTKL FIGNEGTLGLVTEATLKLTVKPQSTSVAVCAFDTIRQAADCVGKVVGQGVPVAAVEIL DEEQMKCINKAGMTSKEWKEAPTLFFKFSGTERGVQEQIQIVKNMARSTGSKSFEFAK SEEEKTELWSARKEALWSTQAVAKEGDNVWTGDVAVPISRLPDLIELTKNDIKKSGLY ATIVGHVGDGNFHVILLSNDNQRLEAEELMHSMVKRAVEMEGTTTGEHGVGLVKRDYL PHELGESTVDTMRKALQKNTRFSMQLATFTVLHLNPMSV PFICI_13560 MVYNWDAHEPKCYRLYIDEKKSLEEIMDIMRIEDNFTPSKRAYQ TQFRRWNFPSKQNPAHKDGRLVARVKELWERNLSQKEILRILNDEDGFEIKHRELMRV RARNRWLLRTPNPHRTLMSDAAPDPNSNNLLSESDPEDEEVEDEEEAPEPNASAERSA KQKENEYGLSKESMVHWEARKGRRRIRVRSGSAAVSPGQPRFPSETTIDQSRIILGLD MKMYQQVRSRFSKLCEQDGVSRKTVAGPEKWEATKSRLIEEMPHLQSALWVDHDDLES RKLALDVICTDVTKRMRSMEHKLTIADAKSILGINPQQYRTMRQDFAGLLKDDHATSK TEAGPQHWEELKEQWRLNSNVVQEILATGVMDQDRLRAIDVVARDVMKRLRDGQGKNV STKTRPKAIPQVSTGVMLGGDEADAHTPDSSNEDATEEVHEMDHDFIPASPIQYPRSS TPTILHSPNTADIRMGHDARHVTGQSHARDTRLGLQHHQSLHPALPQNSVLAPGPSQH HSSLLPDTLLSGGLPIDPHLSSTLPMLINDHSQNLGTQQSQPTFPLTQDLAPTLGSTS HTYVQSSYLPHPNPSPPVAVYLRLHPSSPITIAPPIWITTLTTRTLNELRQVACKDFA GTVCGRVEGVLDREMTIEVSRDDELTAYLMVFEGRNRSSMSGPPCFVVQLLPAEWKT PFICI_13561 MSNPGPNPNNVYKPEMGPTPGHGDPFGFTSAPYASSSSLSSGVT DRRPLPNFDVHKGVPATPVPSNIQSAAQRRESAKARRRVPTSQRKRTKLSCDACKAKR CKCLRTGPTPDSDDTQDDSHLAPCKNCVDAGIECVTTLPRKQRIYGSVEQLDRRYRAL DALVSGLFPDLPSDATPEELVEYGRQRGVAMPDLGTESDAPKSPTTSSLHITSPSSPP NAGRRIGDDSPELGHNNAHFIRDASGRTYYIGPWGSLGSFARVRNLIARRLNASTDPD ASRRGQRLSSKSVTDAIARSFGETSADIVSPAPQASHLTQSSLVNVQAPLDDSISTGD SRFWVATSKIKLPDKKLADICVNAFFDNVHPDFMLFHRPTFQQNYEDLWNQSGRRPSV PHLAAGRYVSVGWLCCLYLVFILGSRSLPQDPRALRFQRTWYESVKELPPLLIMSTLP NVCALMLLALYYQSTNDRTKSWVYLGAASRLSVTLGMHRECANEGTGPIITEIRKRVW WTLYDYEQHLCCSLGRPSSIEDTEVNVDVPDEGILQCVPALPRAQLGAWVQLIRMQAA IRREIHVPNLSATNVIPQAVRLLRQLGSWRRDLPSALLPPSRDLVHADPQRYRRIMLL HVQYQKIINLLTRRFLLHEVEAVDQGESLGQDAFVIVSLGKVCVTSAMRCAQLLVELW RAGKFNGVTALDTYYAYLCSIQICLRLLEPSRTTPVEDPQGARSSEDWKFQAHKPQVE PSELQLRSDLDFEVETLITQMNFAEQYSAAELTEVIRQIHDVLKTIPMSGFSAKCEAI ASEFAKALGAVDGKPESTLFGGRMGQDMHNVAQRMSEAPHLSQSISLSNAPLFSNPMP PAAMEAMGKPQQPFQQIPTAIGANSNAMDHVYSGILAPSTTGGTQAAHEPQIQWDMIQ PPEEWSDRNRMFTDMAADSLSWPFQEDYQYNPMNQPP PFICI_13562 MENPMSSLLDTMWQAVSDCMRYLVQSPTFWFWTAIILWLHRYIR LIVHCFSHWTYKSKPIPEKPSYTAEDVTVVIPTIHNCFEELKPSLESILACKPHELIL VTTFDKQDSLEKLVRTLSTRNIRVLCSSIANKRLQVCEALPQVKTRLIIMADDDVTWP STLMPWILAPFEDEKIGGVGTCQRVRRERDGSWIQQSWNWLGAAYIERRNFEISATHK MDGGTSCMSGRTGAYRAEILQSQAFLDGFKNERWQKYTLNADDDNFVTRWLVSHQWKT WIQYEDECEIETTLETGMKFLYQCSRWARSNWRSNYTSLFKECYVIWQQPWCTYALHI ATFTSLAFVFDVLIPLAMWWGSEGWEHDTRVAILVSQLVWMFGFTKWVKLLGLFRRHP KDLVFLPVSIIFGYYHGLIKLYALFTLNQTSWGSRPDGDLNDALRMSPRPTPNESLIT PAARADDFEHLYRRVKTPRAMAEKALYAAAYHHDYPPIAAVASFPNGSHFVQQPSES PFICI_13563 MTSFQPLGALRSLSNDSEKFGKSKHKVVKPILKKLSHSEKNSID LDRGWDEQEVPYRSDPWGAGDYEAGGRTSKDISFGYSEASVVGVSGGRRSYHHSRSIS GNSYVSVATSGSGSALGLGIGSRTGTTFVHPFQQTPRTATPPLSYANSLVSFSADRDC SPTITEDDDSYSVNNQPAASNISLRNQKNSTSNSTAATPTTTTTTTTTGSSSNNDSTS KSKSRSSTNSNGSYQSATHQQSRPSLTNPSTQSQPSLSDSSARPSRPSLHSQRTSSYT DTPKSQTSLRISTGIRSASTTPAQSSRLANVSSRSDLQSYLAEESSSSSTTRAPTLRS VASPTSPIASMSPFSRTSLDGFPRLRAKSDLDTATRADHVREARKKIQAKQMAKEEKY ARQEIKQRERADNKRAQEAEKRAAALQKEREAAKRQEEIAALAEAMPQKTKHNRKISN NSSGRPSTSQRRQTFESEKGTRNNYSATETNSTAAFDDETGNARHVSFQSTRRSNTAK RKTHGAWTAFILWLRTRLLRMSN PFICI_13564 MVRLSSRSLLAGLGLAAQYTRAALTVDLSSPDSIKQAASQVAED LLTFYRGDEPGWVPGILPGPPPDGDYYWWQGGAMWGTLLDYRHHTGDKTYDDMTSTAI LFQVGDDRDFMPANWSASMGNDDQAFWALSSLVAAETGFTDPPEDQPQWLSLAQAVFN EQTHEERRVPAGSNCEWGLRWQVYRTNNGFDYINTIANACYFNIGARLARYTNNDTYM ELAGRTFDIMEKLGYVDADWNVYDGAHLPDCTDINKAQFSYNSAMLMQGAAFLYNYTN GEQVWQDRVQGLLTRTIEVFFPDGIAFEPACEPGNCNADMRSFKGFLHRWMASTAMMA PFTYDTIMPVLRTSVEAAVKQCTGGDNGRFCGFHWTTGTFDGKTGAGQQMNVLGGLTS LLAATPPLTNTTGGTSVGDPNAGSEESTLTPLAEITTADRAGAGILTCIILASSLGAF AWMNLETM PFICI_13565 MAAKYPQRKIGDASVSAIGLGCMGMSFGYTSYGGYDDEESLKVL TKAADSGITFWDTSDIYGPFTNEKLIGKWFATTGRRDEIFLATKFANRFIDGKMEVFG DAAYVKKACAESLERLQTDRIDLYYQHRVDEKTPIEETVGAMAELVKEGKVRYLGLSE CSARTLERAHKVHPIAAAQMEYSPFALEIESDQTNFLKTARKLGVKIVAYSPLGRGFL TGAIKSRADLDPTDSRFQHPRFSDEHFASNLQLVETLAQIAKDKGVTPGQLALAWVLA QGEDFIPIPGTKRVKYLEENLQAVHVKLTPDEVTKIRKAIESVGGSKGARYPPAMMAK CFGDSPELK PFICI_13566 MYRKQLGSLFLTTAAVIVPVVTGLGQQPVISFTSVDGAVQLAGG SIAAPQILVADNEYWGVIRAAGDLAKDFGRVTGTNYTLSNGNADASPASYEYHPAAAN FTVYSTNGTAYFSGPEYSDPSAADTVIIAGTIGHSQIIDDLIASGKLDVSEIQGQWES FVSKVVDSPINGSESAVVIAGSDPRGVIFGLYDISEQIGVSPWYWWADVPIRQNKDIW FLPEGKVQGPPSVKYRGFFLNDEQPGLSGWVRSNYPDTPFGDGYNKDFYANVFEILLR LRANYLWPALWGTMFEVDDPANQPLADAWEIVLGSSHTEPMMRAQNEFGTFYINEGLG PWAYNLNNKTIDEYFVYGAQRAKPYARNSLWTMGMRGTGDTAIEGLGNQAIVSMLETL VAHQRQIIEDVLETDVTDVPQMWCLYKEVASYILDGLEVPDDITLLWADDNWGNVRRV PLLNETGRAGGAGVYYHFDYVGDPRNYKWINTIQLQKTAEQMQLAHAHGADRIWIVNI GDLKPLELPLSHFLDIAYDADKWGVDNTTDWLTAWVAREFGPDFAEEITDVLTRYGMY AARRKYELIEPYVYSVVNYNEGDAILEQWDALAADAQSIHDKLDENEQAAFFEMVLHP VLGGQIVHKVHIGAEKNAVYANQHRSSANDVAMQVLADFNADGDLTAQWDSLLDGKWE HMLDQTHFGYDGYWQQPMRNVAPALSFVQFAVSAVSGSVGIAVEGLNASIPGDDKYHT NSGKYLTLPPLDPYGVATRWFDVFSRGTVSCDWQAETDQPWVKLSQYSGTVGPNNGTD TRVYVSIDWASAPQIVNNTVSNINFTTGCGHSGFATWYGSPYIQLPINLRSVPSNFTT GFVESDKYIAIEGPNYQRIYTPLSNTSSDVTYHTLKDYGRTYGGVTLWPQDADQVTPE TGPALEYDLYLFTNTSNVNVTLYLSPTQNYLSDRNPLHFAVSLFPSGGAAAPATTVRF IGDSVGADLPPSWGYAVGDAVWGHHPSHNTTTRWNVTQEGAHTLRVWGLAPSVVVQKV IVDLGGVRPSYLGPPESFLVGRDVEGEFNVTSFANQAAVLGALGS PFICI_13567 MKTHNTAGKFKIASPSSDPDDDAVKEDTIVSIAISTLNGPLMLR SIFKTLVASTHFFGPIFRLWHGYDAQQGLFER PFICI_13568 MSVADTQPTSGDNLSVEAALDSLFDKILESLKQIDIFSSSNQPI VFPVYAHDNPVAGTSHAWCVIQIIKWLEAAGCRVISDRTPLLPRHGLGDENENAAIRN ILSSQLRLLPRNDDRENTYEPTYANKVIVFSSEVLRQYCVNAAAEQYVQSVAKAYRDS QGQPRDHLKSNIRAMVEENARNGSAWFHHVLTEIAFMRIRKDHDCLDHGIIPVALDGD LPDYLPFVNPTDLVLKQEINSLYSSDLHQLFFKIILQIYPKQHLPIEKFKECYMALKA RLIGGDESVIRNLSDAEVVKFIRQVYESILQQDRIATRQRLRDADSHRGIEQNAKLSR KRAFLSKLATVPYRDRKDRNPKRDEGTCEWFKHHTKFQEWQQSKKASLLWVSADPGCG KSVLSKYLVDDVLPTTDKHLTCYFFFKDDLDDQKTLLSSLRCLLHQVFEQNLSLLDVN LLSKFEKDGQLLNSRTDLWKILIDIFQKQLVECFVCILDGFDECAADDRSFLMENLEK LYMEKTVPPLKLLLTSRPYEDISRGLRKLQKRELIIHLSGESEQEMDKISREIDIVIQ NNVDDLGEDLDLEPDVTDLIKNELTKVENRTYLWVSLMIDILKNTIETSKSALKTVIQ QLPRTVSAAYEKILSREQNDETNAKTRRLLHIILAATEPLLTEELAVAFSMSGDASVD EKAISRNTKFTREFSKLLVVFRGGKAYLAHQTVKEFLVMESPRPPENALLGPVVRWEH SFHPHHSHFVMAKSCMSYLERYGSNYGVLFYYAARNWPGHFRGAGHVNEILVTQSRNL CNSSSKQVQPWFETYWQMAMDTYYYPRNPTSLIVASILGLKETVEMLLNVTNTDLYAT DSTYHKTAFFWACDRGYLSIGKSLLDWVSKSNADISYRIINTFDRDANTPLHRVIEKL SSFWGTPLDSASDPQYDILGFLLNRGANINASNFQANTPLHLARRCSGTIVKLLLQSG ANMRLKNKEGYTALHRAAECGNLAVVKVLLKHAAKSNIDNCIHSQGFYIKILLLTAIE SHDRGLVELLLDPITNVKLEYMLSNDGQFPSCIGTLLTFTIKQQASEITEFLLKRGAD ANFTSPNKLTLLLEAVANGGCETVKILLDHGADVNLTPPNRLTPLLHAITDGDCEMVK ILLDHGVDVNLAPPNQLTPLLQAIISKNRDMVELLINYGADANTCTNDHESPLFFALI WGVNRNIITLLLNHGADVNFVSRNGRTSLSVMADIDDQCVLVDTPITTAIKCGRADIV QDLVSRGADPDPAFRYLSRSWIHETDRQNALLWRQELQTLLLAERAGEDRDLGTWSLI DRGLEVDTRIERDSSRLDQEDRKHFFNVVL PFICI_13569 MASTADELRQQFSWPSDILSLLLLIGGDIVQTAIAQQTGFTIRV PGSKIFVPVAPVCFSFGWVAYGFSSLLAAVGEMRLMPKNEISSVLVNCSNGFAREVQS WALGRLLRDHEIRCRHEFSPDDGAPHTSIRIDIFEVGPVSRPDLDFVWWLGWATLLVQ LGIAIIPLALYNDWGVLLVALGGNVLVALTCASPQWNEEKWAGRRLEKEKVTCLTRGN GHSHVMVFISSKGSWDLESLATGIAASQRVGIRWITVILAMLWSLLLISVSGLKERTW FMIGIGAIGMLQNIYAAGTTRQPETSDFHLTPFARAQIIVGRRGPFVDETDANVDLEE DCQNLSDLSAWVSNNAAQEKQNTATSHPMPKWLASMSKVDGLPSWLEPIKPISIERAQ TLSKWKKPSVASTRAPEMIYAIGVHGALIELEKWVPTAGLSLVNIFFPGGLEYSEQNT RNNIHKKFWKRAYHTLDLRKKAEEKRRSPEL PFICI_13570 MVNFLVCATLAVGAWAAAVKDATRRAEETVDCTGVNAINIHCKS SEAPYHRDQFYVGGRSLNATTGTLTVDQLYVEKLTPLCNNHKPNPLVFIHGGGIAGST WLNTPDGREGWASYFTRKGYVVYLVDSNSIGRSSENNLASFTMVAGTSNENVEIGFTA VELYNYYPQAVLHTQWPGSGQNGDPIFDNFKKTFIPLTSSYIAQENAMRLAGCQLLAL LGANAYIISHSLGSRFPILMSNDCPQYIAASINIEAASVPFWSYGYGLGGSASTPYGF TWTAVDYEPAISNSSELEIESVGEESLAKRNCYRQKEPARQLPKIASVPYLMVTSEAS VHITYDHCIVDYLKQVGGNPDFIKLADIGIHGNSHFMHVEKNNLEIAAVVEDWIQKH PFICI_13571 MELTAGARAGSAFIGKTPNNQDDFFIIRGWYRSYGLYTANASDG FLYAAKRPEHAIDESKQTSIIIGMLIVILAIVLPTIGRLVIRLKNNSTRFGSDDWAIL VAACLAVVYPCLQISTVINNGAGRHIWESTYADYNTYAYNLGICQLIFFISVGLIKVS ITLFVRRLADGASKAWRIFADVFLGTLIIYLAMALFWWVFSCSPPRARWDKWYAGSLT DPAHCGNLVIQARTLSIIHVIQGFILLMSPVIILWHIRINLAKKIRLFTIWLVGGISV LGGLLQQTTTTVTNDNFWEYTVILRWTALDLALGIMVASLPVLDTAIMGTFRSSTNKS AGYSGSHPNPRGGSNRWTGHRGNLVANQAPNNDDTESVEHIMLTTKSANR PFICI_13572 MHTHVVFEDVDDWKARMLMELSIGRLIHVSGFPPISSRAEIEGY LRGNGVMQCKFIWPHADANNHQHTSWFWLHFEHTTQTTAALRALDGGVFGRNTILAFM PDGMTLYWQFTAAARAMPRTTARRPQPELGIWSEESRAAILKLLEDFPPFWSTNR PFICI_13573 MLHVQLTVVTAALALVVTILFFFLFHRGQIPQSSHSSQADRSTT RHSMRRELGLVQIYPKQGEETETDVDIIAIHGLDTRSPDTWT PFICI_13574 MLPSKVGPARIFTCDWPSDLFEDSNFVQKTFDEFARLLQARIEA LPPALNQAQHHQRPILFIASCLGGIILMKALVLASPEYIKKAVHGIVFLATPFSGTSF RDVAEWAEPGLRMWAFVRAEQVSNLLRETKMNSDLIKLRHDFTALCREHMNPDCMTAF YEKGKSSLPRKLFPWLPAFLAKEKPLVGEESASLDIVMDRLSLNRSHLLMNKFSGPFD QDYVLVIGRVRKILGKIREGRTLYMADKWISNHCYTTDKLAIQRIAHTDEPLAMENCF INLVIVETPGESLKMEEDRKSSAQFSIHDRLKIREPDEEMQVDIRKLFDPRKGKKSET TKPRRILIRGHAGVGKSTLCKKLIHNFKELGIWRDIFARVLWVPLRNLKRQDHEKKSL RDVLRQEFFLGSPKSEDLSRELEMELEADGGEKTLFILDGLDEVYEGLETDNYMYELL YDFLRWPAVIVTCRPNVSLASQRNLSFDLELETIGFSSEQVNSYMQNVLTIPGKVRGE SIPDVQKIESLQSLLQQHQLLQDLIRIPIQLDALCYIWADGDNSLRDGTALGTMTNIY QAIVERLWKKDIPKLHFEDGERPISKVVMEKASLRMIEHDAPRKQYLLERFAFSGFVD NVINFDREYQKAILEEHMDKSQSLPPSEEPLLSASQTLHRLSFWRASNPSAKHPTYHF LHLTFQEYFAARYFVRQWKAKEPLRLGKNSGSKRTESLTTFLMDHRYEARYDVFWRFV TGLLSLEGKEICKFFSLVENSPRDLLGPVHERLIVHCLSEVPPDQLFFSEKRKELEIR IVDWLIFEDEFAGHTRLANEIEVPLASLKAALELGGTATRISIVLALRSRPALPIEFI HLACSWLEADTDRKLKLHILDLLERQKGPPDDLVFEAVVALFRDREERVQDEAISTFE CWSLPQNQIVSAIMPFVKDKKSRIRWPAARILGKYSRLDDEIMDDLKVEIEDQESDIP TEVSLDILESQIQYNRDVLGFIAAQLEDKYLHWIATNILQDQPDLDEDIFQTVIKLLE SREEFARKAAIKVLASLNRRKEEVEDIVMAHLLNQDPEIRIGVIKALREWPELDVKVL NLLTEALEDKNRDVRLASIKSLEQQPHLSHDILDVVQARAQDQTEDDQVRGLAIHTLM ERKTSVDGIDELVKTWLREENYSIQQEIIGALRTWPQPSNEILDTVLERLKEGDHYAQ EKALDAVRNWPQFNDTALHAIARRVP PFICI_13575 MVSVLKLPDFKDEWGKTRAALLNALGKWDGLDDEILLVVAEQLD GRSDRNSRYYMGASNISSTAAMFFHTRPQPNNKVLGAISDHLENTDWTSRKGALEAFG NWSQLSDEILTNIAAHLGNYITSETAFKVMMKQEALPFTVLKQYMQWMYEASLQTSFS EHIYWLADGKPCITIGGRKVYWVKSRNDGADLDADDIKWLQESVLKWRQGLKRKHSS PFICI_13576 MSARDPGASSITVAVRVRPFTIREAAQVQRTDGDTVFLGDGSLA AAPTPKLKSSGIRSIIKVVDDRCLVFDPPEDSPVQKFSRSVVPNGKKVKDQVFAFDRV FDETITQSEVYESTTKNLLDSVLDGYNATVFAYGATGCGKTHTITGTAQMPGIIFLTM QELFEKIAERSDEKRTEISLSYLEIYNETIRDLLVPGGSKQGLMLREDANQAVTVPGL TSHHPKDVQEVMDMIVRGNEYRTVSPTAANATSSRSHAVLQINVAQKDRNAAVNEPHT MATLSIIDLAGSERASATKNRGERLVEGANINKSLLALGSCINALCDPRKKNHVPYRN SKLTRLLKFSLGGNCKTVMIVCVSPSSAHFDETQNTLRYANRAKNIQTKVTRNVFNVN RHVKDFLVKIDEQMNLINELKAQQKDAERLAFVKFQKQLEKRGSAASEGVQRIRTAYQ NAEAERQDKVAHMKKQRAIERRIGLLSSWIAAFDSICDAREDEDCMPVNLVAMRKTAQ GILVELEHSRHHIHQKLEKTNWERAIDSALQHSINQLPSGDGCADTGEVASLQREAEL LKSQFAREAYREVLEQDKAGDAGMMQVLLTAQFDILASLAETTDMNADEAVAHAKTFI NRLLDTGCSAASHIIKPEGGKVPVEKFAPSKRGTPKRKKAVNVMIDGVKPIPNPALAA VVSEQSQLLVSPAKGSPRRSRRVQNGRKSIAFTPVKKKSRVVRWRDDESESGTIADYE KTPKKYPSLSPQKSPEKSTELPPLPSYLKEDSAEESSPSLIAPEATSMPLPKPSRFQA GFLSKTRTSIPGPGGSPVAPTLSLNLTASDDDQTSPLRNLENSRTANSRSPSGRMSLS PKPSFYSPTSIPKPKSIAVDENQPPYNSNSDSEGPSSLIDQAKLRSALHATKRRDRLS SLGTAPSGARRVSSIGSYRVSSIGSTSHKSASSHHSGATASTTNGISRMRRISGDREK SPPISCSPSHLPIDGVRSFTPGQARRMGIGGSVRGTATPERVEKGSKNRRITIGGSAM HSQSAAKRGNVVWR PFICI_13577 MYFSTFIAAALLSSGSAFAAPTGNAGVAARQTYIGSVNFTTVDG TTVHSNMQVGAGYRQLTQPGELAVMTALVGISDNLVCSSQSNDGKTTTVTGIQTSTID PARQQVEVKCDWIDGPPTV PFICI_13578 MSLSTESTRPLLLPQNRRLRHLQGIYLRNLSFVRPHGKTTDDLN LGKGSTTKLQALKASSQLHHAASSESLRDRPTKIRRRSTNLANKSPVIRQKALEVAIE RRAADAFFSLHVEGVEDPVYISEVKDRSTNFNFRFFDLSQAHSHITRSAEVVVKIWGK RQDQWLLLLDQAVELRLLNFMGTLRNQHFPPNCLVFHLIDGIYCLELPARVPEPKQGT PLSTSSYNALMKLSNLEASIQDALATREALTAQINGILERTPPDPVPMAEEKARLANK YLTAEQRSLKAAEARREELKQSIAARRAAIAEGCEVQDKTAQDIENAATKLPSARASI IDARDNIRGQRRRICEELGQIFPIAAASSGAPLAFQICGIELPNTDYDPTLNTAAENA LSAGLGYVAQLTHALQFYLGVPLPYPITPFGSRSSVRDDISQIADKERTFPLYIRGGA TAQYRFDYGWFLLNKDIETLCASAGLKVVDIRHTLPNLKYLLYVCSAGTNDLPERKRG GIRGLWAGKMKGRIGTSIDDGASSAGGSRRGSADSELLDKQRADLRKLIISDPNSHGD HRTAASPVPERSASARLTLDEDTKFTLRTKGLRENIVN PFICI_13579 MYNQGNLKGLRRLLGRPANDSTATDNNLGPSGSPIVPSYRPSAS QDADYATSGPIACIDKSPDGQFAVLGGRHVLKTIQVDGSSVKEGIDVRATITAQPTAK GTASTTNSDQLSIKDVKWATNASGEPTIFTACANGRIFQYNLSRLGSKAPGGTGLEFV QMREDSRQINTLDINPHRNTWLLSGSQDGIVRCFDTKNPVSNRTGQATYRAFQAFKCN AEGIRDVAWSPKDGMVFACATESGAVLKWDIRKPNAPLLRINAHDPTRGATSIAWHFD GVRLLSAGLDSACHIWDVSETASKRQKPKWSITTPAPISSVAWRPPLWSATARGKRAA QIAVSYVDGPTKGYGISPVHIWDFGRPTMPFKEIDRFDYSPSALLWHDQDLLWTAGAN GFVQCDVAYAPKVIDRKAPSCLAFSPTGDVLMVLEERTQPRRPHPTISTHDMLPTSSF SSSPNAQGLSHSRSDSEEDVVGSFLGPSTTGSQRSQNSSLRPAAAALSSTPPSGSIND EPTIPLEQSIAITKGFKPNQVMAIGQAAPPLKTRHYQFLSHHYLTCLLLDLPYGPGRT SLDLRVCGIMEHYARAAESVGQLRLAQTWRILAQAFSALLKRRAQYHLEVRMAKRKNS KSPLLISRGDSKLNLQKLVKPAPHDVGGDETPRKASSIRSADNRSSLGHSFLLGDAES ESNVPTPIARPVREDVPESHQFVPGKVLTPVQELDSFRLPPAFHRDIVQTSPRKRHDS APLSDASHNSQISSTEGYDFYDIEAAEEIPHAIDVPKKKEPLSLDYVEPRASSSQRRQ PIRHDSEESFGQMFSVSDGNRIPTAGSSVANSMQHDAGRPDATIGSSTSSLDDYHSRI RGKQILDSPEESGISGPQSLQQADSAGSQEIFMISQTTVDSVESQGSQLEFHDFSQPS LELSPIAPKVKQPQSPRNKSHDRVPSEQPEENTSTTITETDYMPWDDDPPYPFPLSTE VENKITAPSLRPYELLSRVLEYEAQNSALNASAIILLLRPLVPAEIIDSHMATAILRH HHDRLMKQQFFIEAALLRNLCVVDWPDGMEVWGDNYTSIFFPAQDPQRVSASFSCPNC HKPREINRNSDLGVWKCERCGYTMGPCAVCKHRDATVVSHPPIPLTKGVAQRRSTGDD SILSTWWYCPGCKHGGHAACMEGWHAPVPSDDVNGDEVHIGTPGFLGNVTGEAFSGGC CPLDGCGHACLPGPWRDILGNQQKEPLERKVREQTRSTIPLLHRNDQKMEPESEFIGS APAVIGGVRGDGLIVSQSRAVEGVREALKASDLGNGNLAEDGNRFSRAAGGILSVLGT SPGRSSSQGSPVVGSQNSRANDRSERDKRKSVKFVGATDERR PFICI_13580 MAPSVPPSGVWTPAVTLFDPDTDTLLPEDQAKYYKYLSTTGLAG LVVLGSNGETFLLTREERAQLLQIARQAVGPDFPIMAGVSGHSTKQALEFIADAAAAG ADYGLLLPPAYFGKATTQSVIEGFYDEVASKSSLPLVIYNFPAVCNGVDLDSDTVTRL AQRHPGKIVGVKLTCGSVAKISRLSAVLKPDVFATFGGQSDFLLGGLASGSVGCICAY GNLFPKTVVKIYELFKKGDLKGAQELQEKQALSEQAIKAGIAVTKYAASVYTAPKAGI QDAEKKFRPRRPYEEPTPAVKEKLQKTMAEVASYDSQLA PFICI_13581 MASDPTSALNEVFILMGIGLLVILLRLYARWEAVGFNRWEADDY LMILAMGVYSAESALAYKVVAKYGGIANNGMTDEYREALSADSDEYKNRVGGSKTQIE GWVVYVLLLWIIKAALCTMFLRLTERLETYRTRILVGFGIIIASWVIVTISILASCAP ISKSWQIYPNPGLTCQPAISRVNVFVTLAFNVATDLYLLTIPIPMLWSAQIQLWKKLG LIVLFSGGIFVMMAGILRCVLIISNDVTGAQDAAAWAIRETFVAVVTSNVPLIFPLMR KWIGNTLGSFSSRKDSRSRQTGAYPGSIPLPDRSWHSRKDDGDQFHPGGDAFAFAGSR DRINDSSVDKGIKVEMNVQVSSSNKRRTDSESNVSF PFICI_13582 MSSAVRDQPRESAVREVATLGLPHTSQASAGVAPAMLQASTETV GEFRRERFDEIDLPKAKEFKPGKDRRFSCDKNKDLVGEFYKNKRSVDGNEICRKSYST ARDLKKHLRTHVFPVICPETDICDIRKAEQGDMREHLRVNHSDFALNHPEYGVDFGPF ICPNCEKDYTRPDNLTKHLNKNVC PFICI_13583 MTKTPRFDDYQRLLGRFYEPLLLLLALGKTRGEHSPSPPDESSR QSIRRRFFNNIAYLCDFTKGGDSTSAIGIEERDDCYNFWVASNQPSSKIRDFVDDILN DVRKLGYEQSLRRGDEQRFTYYCIDFARQRISKEAKMLSNAFKGCIKYLEEQGQPEDV QLVSWIRSFDFQDNRALCERAYEQRKAPEMKQLAARSKTEQGESVLSDRAASFEDARH LLGRLAHHIRAPKQILEDGPRLEELLSQRYFVCQLPLCKSIAPPQADGLTTLESMLVR MLPAKDPNLHKYKEALLNLDHRVKLHDRVIGQYKSKSFQPKVHAEIQVLEYFYENNLN FIDDDRYINCSKPACYCCHLYIRHHPLGIVEPASHKNIYPNWGPPLLPEGAQDPDYPH QLHILNKMLETIRKEALTQISHQSIGPRGHRDTTTGITPTTLAGSDRQIQQVDSIKGK FGYLNISAMKVMTGKAAGKGDKDSGYSDTEKSDTGSSTSSGEQSSQLLSEIEDDSDSD TINGDGDSDRTGGATL PFICI_13584 MVEVDQYTVGWVCALSSELTAARLHLDEERDSADFEGLPGDDNT YVLGSIGKHDVVVATLPHGEYGTSSAAVVVRDMIRSFPSLRVVLMVGIGGGVPVVEEE TLPGRSLSILRDIRLGDVVVSAPRDGIGGVVQPDLGKALPDGNFVKTGHLNQPPRSLL TAIQLLASDIELYGHTIDHDISTKLGSRPGLKRYHRPDATTDRLFRSSFVHAGSDQED CALVCESHSEQLIDRPARDVEPQIHYGLIASSNTLVRDAALRDALGKKSNVLCVEMEA AGLMNQLPVLVIRGICDYADSHKNDTWQNHAAMTAAAYAKMLLLKATPGRVKSAQKLT EMSGVREQLDSISANTNQSNVIQQELLDLHKKQARPNLQAELEKWFKMSDHSSYLFSE DTRQDIVLSMQLLVHSLTPISAEQLTDAVALANYSEVPETVGSLASVCTEVRLFTLER SFPISVRIPYQVKIGSITRNQFKFEVRYKTFKEYVAMNKMPQGKTMLEGQLKPDMANL AVAKICLKCLLQGPSQTESCRFSSYAAQHWIAHYVAAGTPDPASKELALKLLTDDEHA FAGWLRRYAFPDWFTETKCLCALSLVALGGSVDLTNELLRHDKGRCQHSCTAVAAACS IGHIEIVRLLLDNGFGFDGQAVALARDGGHAETYQLLTEHSSTAL PFICI_13585 MYPDINSSKPHRYYLISYPRTASNLLLKILAVESQPGFSSGGYD GGYFFMPVDGILCERHLRSRCVSDWTSDERREVQESFQTCFENQLRWVESAQSQGHSI FVKEHTTFFADPIVRTRLQFGADAVNEPNWTVRYAGGSTHSTLNTSVLPDEFLLTWLP TFLIRHPAVAFPSYYRTIIEREGKELAAADNFASLVITVEWSRALYDFYLQHRDALPC SPAQRSEWPIVLDADDVINHPEIISLYCAKVGMDPQTLRFSWDQADPEKLAKMHPAQR AMRTTIYGSTGIVKGKSLQELNILDEASKWRSEFGDIAAQHIEKLVRQAMPDYEYLRA RRLRATPA PFICI_13586 MWLINVETRKLEEFFGIDRIPKYAALSHTWEDGQEVTFQEFRSS PTRGLKRGYRKIDLACRQAAEDRFKYVWVDTCCIDKSSSAELTEAINSMFNWYERSKQ CYVYLCDLSITTDKVENIEGCKWFKRAWTLQELISPIEIKFYNRNWDLCFSKSSALTQ LSTITDVDARALNHSKPLNTFSVAQKMSWASSREATRIEDIAYCLLGIFNINMPLLYG EEEKAFLRLQEEIIKTCPDPSIFAWKLPSAEVTTDNASDLLHGVLAPSPEYFSECGGM DRLPQQQLPQFSMTNHGIKLRAQFAPIHFSKPRGSAYVLPVCFIGTQLHSIRTRNSGW GYFVRQDPTNLAPTPSKNSSTRHMLEPYLLTQLHTSSGLQSVNDIRSRRPRCLQFDLR RGMRIYRVWPWLQWDEVDNVFFSADVDETGWSALKIVTYPKKGEGNFSQQSLDFLFYA FNWSESPGSKPECMVRKVSGGLADRSLEDMNDRAVTEGWGPYTVYNRLEMAQIPKHSV ILGDTVDGSSPLLTFRLDWVQDHHVSACPLWKVTFDLNFGRSD PFICI_13587 MHFTTAFLIGLASFSAAQNIIQDGDKPESCLETCQDPINISKEC DNSTGDSDDYHDCVCSSADAQSRFTECNTCIVSSSGSVDNDVADEMAKCGWSTSSTSN TTSSTAAISATSSATGASSSAAATSAVADSAAGRMLSGYVPLGISMLIGAHLLG PFICI_13588 MHSITTFICPLAIISLSTALPAPIINPCPAPKSVSPSLPIDSPG AIVPAITTIASRDSDNSPDSRDGDVNEENDQDDEDEDEDEDEDEDEDEDEDEDEDGPN KGAIVGGTLGGVAALATIVGAWQWHNIRIKIKKTKTQSKLPKLQGQGQGHQQNS PFICI_13589 MKSHSSLWQQLLRAGIYVLFVANVNSSDVTISVPTVNSDAQVID ADFCGFAFEQASFEEYAVDVDGNANQFSVNLINAITSRTGGKPIIRLGGTSADYGRFD PRQSAPALPKAEQDNYQNVGGTTIGPSYWELTSGFSDAQYIIQVPLATTNVSETIAWT QSAVDAIGWDKIQAIEIGNEPDLYSDTMTGANGIALQPPAYQGTLNNETYVGNYTKFA AAIADAVALPDGRVLQAFDVSTHFGAAVAKEAYILDVETCFGLGIDADNRIKTVAHHY YQNNAGDASTLATGLMNMTATHAHLDQFQRRIYWLRTNEPDIAFVLSEVGNSLDATNS YGYQARLGSALWQADFYLYAMSIGVARINYQQIMHAGYDMWLPVASAGLEAQVFANFY SQPFVADFIGASGSTTVQQLEIDHGEEKPNLAAYGAFEQGSLKRVAILNLQYWNETTS GTQRPVTSIDLSVPEGTTKAIVQRLGSSAGAGAGADTITYAGSQWTYESLGLEVQDVR NDTSTIEVVEGVVTISVYDSEALLVSLT PFICI_13590 MDLLKILSRGTKKTTNSVSAPPPSSKPANPQLYHDPTRGLKRKR NEEALDVATTDDAHEFDFFAAPDPVETRAKETEQPKATKPQPKTEEVQLLDQDEVRQV LRSHRLKFTLLSKHEEAKLKVKKSKKKEQPKPKSKDAKLSLYPQPLTSFTDLRNAYKI SPRLVENLAKQGFRLPTEVQLGSLPLLIDPKTALKNSESDSVKLGDDEAINFLAVAPT GSGKTLSFLIPTVNAILKRRAGSGKPEGHVLEALVIAPTRELAHQIVREGQKLAAGTG VKIVGFKKGMRLPTEDGVENLDEDSNEDGDDSAASEDDEESDASETPKSDGPITKADI IVTTPMLLLNFLTKKGSARKTLPHVRSIILDEGDVLLDELFRDQTMGIVSCCTNESLR FSCWSATMGSNIEALLLEKFGQTESASVVPLVRLVVGLKDTAVPSVNHHLIYTGDNER GKLYTLRQLLHPNSSNSSTTIPEMGLPFLVFVQTVERASSLYEELKFEFPAEAGGSTR IAALHSNMSESARSKIIARFRANEIWVLITTDLLMRGIDFRGVNGVINFDLPTSAAAY VHRVGRTGRAGHEGGVAVTFYTKEDIPFLKNIANVISLSEKQAGKEDGIPKWLMDALP KVQKEDKKKLKKKGVESRRGNKAEITTKSAWEKRRENNRKGAIAGSKKRKIENRGDDS DGGNSEWGGLDG PFICI_13591 MASPLCSDNSDRVFGPRINTSCRALDFTLLFEDIFFACLPAAVF LSLLPTHIAVLRRSPVVCSVRTKLLVAKLTTLVCIFTTQVSFLALRTQNNVVQTRASV AADVLSIIATAGVAWLSYIDHQRSLRPSTLLSLYLSVVIILNIARVRTLWLVGIIPAE AANLTLTFALTMATFLLESTEKKSTIITEERRYGAPEEYSGFWTRTAFAWLAATFRAG YSKVIVQEDLPKIDTRLQGHILHEKLVSTYAKCKYNLHSLLKACFRENLTSFLSGIPP RLCKSVFFFAQPMLINVTVSYVGEASPDPSYGHALIGAWALVFLGIACTTGLSSYQTT RFITRVKGGLIGLIYQETMKARTVDLGPNTAVALMGTDVERIGQNLQSIHEMWASIIE VGVATWLLEQQIFLACLAPVAVIIIIVAITGPLSTAAKTAQRNWIEKVQERLRITSAM LDDMKAVKMLGLSSVMTDIVQGLRKSEIEKSEVYRKLMSWNVGLSNCVANLAPLVTFA VYVIISLYWKSGSLFTAQAFTSIALINLLTTPVLMLIQLMPSLLQCISFFDRIQEYCN YADDSSVDTSSSQLTKRTGSAISLQFLTGTTTNKPVDSTKHAIELQNNNFSWEKSKSY FLKDINLKVLPGTITVCVGVVGSGKTMLLESILGETISSLGRTSNRSATSIAYCAQQP WLENTSIRNNIIGVSHFDAAWYKTVQSACALDSDLQSLEKGDKTVVGSKGLNLSGGQK QRIALARAVYSRKEIIILDDVFSGMDAHTVDHVSRRLLGPSGLFRSRGITVILATHSH KLMSLADTIVALEDGRIVEMGSPQALQSQKGYVASLSLDVPAQESTSVKESHDSQISR VSSTVAESFISAADAIEVENKDSLDARRKNGDWSVYSYYFSSSGYFIIVCLLTSMAAW VFCTEFGTVWLDWWSGANEGEPNKNVGMYMGVYTALCLLGVAMIGVACKFAFVDIISM SAFRLHSNLLTTTMRAPLRFFTTTDTGTLTNRFSQDMELIDMNLPIIMVNYISTAFAS TAKAIILVVFSRYLAATVPFVLVALYCLQSFYLQTSRQVRLLEIEAKAPLYTHFIESV AGAATIRAFGWQSIYQERNYKLIDQSQRPAYLQFCIQHWLSFVLDMLVTALAVILVAI IVTWKDKFTAGNVGVSLVMVMTFSTVLMRLIKMWTMMESSIGAVARVKRFAEETESEE RDGPVADVASDWPRQGAIEFRSLVAAHGPDSEPVIKGLSMAVKQAEHIAICGRSGSGK TSLILALLQMLESQEGRILVDGVDVSTVSLTDVRSHLNVVPQDPFLLPGTIRFNIDPF GKVSDEDITRALERVHLWPIVAEQGGLGAELDVAAWSAGQKQLLCLARAMVRNSKVLI LDEATSSVDSETEAIMQDIIDTVFRDCTVLAVMHRLTHIGRYDKVALLDSGHLMEFDS PASLLSQDSHFASLHRSSATRP PFICI_13592 MSDQAAADRDGNAPGNTSDTSFMFVPQRLSKQARSHAMKEHWKQ RRRDKRNREEASTNGESTSSKVRRLIFPKLATQESTNSTTSSSHRSQPSGSGSNNVTP PAPQPPTATRPQPVLPGIPEQALRGMSQVLSCGHLDPFDAFPIKLSPEHHKLIHHFLC IHAAMVFDGNPTKSFNPLLDVWLPLDLSNAAAFNALMANSAAHLSMMQGKRYSPESLH FKNEALRIIGEWLTESSGAPSDEVIAAVLRMMTYERQWGSETEWLTHRKGIDSMIDAR GGIETLRHNWRLQLVFYLISLMSRPSWFYSYNEIDNLSLNTDSALVLGSATNLHNIRC LWLLSIVQDTRTFMDRFREQFNNGLSNLSGIQAAVLLIQMQMLEPGQDEGTSQQTLDQ ARSEHIRLSCLFFICVLLQTTAPTNPTASNMTGKDLLLPLSGDEAPYRLNDFLLVHDL EWQYSLPDLHRLLFQNFAHRNAETARIADYAAQMADVLSSMNRESRRGLEICLLNILL DQPGLGPHNTLSIGDETPDSLMSTLHIS PFICI_13593 MASHNNSFDFIVVGGGTAGNVVAGRLAENPNVKILIVEAGIGNP EQVSMIKTPSSAMDLRGSEHDWAYKTTIVKRDDYERIEKPNTRGKTLGGSSNLNYFTW VPGCKPTFDMWEEYGGKEWTWDPLVPYLRKSVTYHDDPQLYNPELKKTGSGGPIHVSH AELLDELAPFRAALTKAWTSMGQPLTENIYDGEMIGLTHCMDSIYRGRRSGSNLFLEG KPNITVMPQVQSKTLIIDPADKSCKGVTVIDASGQEHSFFAKREVILSQGVFESPKLL MLSGIGPSKELQKHGIKVLVDNPHVGQHLLDHPGVPFVLRVKDNITMDNYLIHKTNLH TQSVEKYSKDHSGPVASGLLELVGFPRIDNYFEKDPEYRKRKAANGGRDPFCPDGQPH FELDFVGMFGSAFQWHYPVPKEGCYTTVIVDLVRPVSEPGEVTLNSADPLVQPNINLN FFSDELDIIAMREGIRFSYDLLTKSEGFKDLVVAEYPWEMPLDDDVAMRRAVLDRCQT AFHPCGTARLSKSIEQGVVDPALRVHGVSNLRVIDASVIPVIPDCRIQNSVYMVGEKG ADIIKAAHKDLYA PFICI_13594 MEAVGAAGAIVGLAGSALTGLKHLNDFITVLRDGQIDLSTAQRR LAEHESRLLELRNDYNSLRNSSITDEENALLDSCIKDSYEELKGYRTRLEKMAKTRTR GKSLQRVETAVRMFLSEEDVKKHKSLLQDRIFRLEQFSERVNRVRVNESFQSIRSAAT QFHAEDMTMHSQISSALEAASEARERQLQQLSNMQSFWVAHMTAVIEEHNQETRTLIQ SSSHSSVLEMKKNMEQTFQTMQLAVRNKDRISQKQQRNSRHLLHDIRDHGHNGADQEV LFPSIFHANVYRTPFGRLRVSRNRMDRYTTGQNHGGPWRVMFRIRFEPYRCFSLKFVE WTCHLTMGINDPSVKIESKMGVLCEDDEVLEALGLIRKPFCGYFFCEIDINGCPKHKK TCESWWWRTKLPSPGKLRRLLDERRFGPHDSLRHAFQKTSSILSVFALHHRLCEQTRE QTRADYDNARCGIQYYDMPRCYAAARHLNMEDGWDAERDVVDTRLTKTSEDFDNLARF YPAYYEMLEMLLEIGCRPDRDDWRPVQWAITERYCHILEMHPQYASSDYFQLYSAMKL VRNAAACATMIMRPQWRPYGFSNMPTMLWVGYLGHLLPFRNCIQEMSETLFDIGGLDA VDKELVYDRWFLVLMIHIKPELRTLITGNAGYRTSAGFTIERVCSEIQKAPLDTKFIV TQYVIHYGNAVLVQLLVENGIDIGLIFKSAAEGGVPEIFDFILDSHLERIPVSELKRQ LSQPMMQRRISRDSGFVDRLLNLKFLPRQWEPSQKYADHLLGDADGFILNRDHVMQPL IRKGPTESLGSIDIMVDCFIFRYEQEHCRFPEGLRLYLQYGMFATTQYSNFWRQEDVG SRARAFHQVLRRLSASSVFGCGLEYAPRDSSPLDHVAATKGYTPLMVALYAGMIPAIE VLISAGAEITKCAPCGLSALQLAEQNAQGKHPRAYKTSNPYCQSIGGMKKSGRTGLVS CNSDETMLEMLRDALRSRGVEVPEPGLPKVNLEPPPYRPRKASWRFGERIETLLTWLF KPTVVISIEGLRDRVLDIVVVCTFTFLSIARLLQKDAENFVSLVKWALKLLSRPVVMV FIVAWTFTTLWRHFVTAT PFICI_13595 MDPGSALGIASAIITFIDFSWSLITGTIEVYRNGRVKDDQDIET ITSDLESITDDLRNGAPGSSRAEKSIANLSEKCLATSQELQKLFRPLVKNSQNKRTIW KSFKTQWAITTGKEKIDELSFKLQGYREQLMLNLALLLRDDKASLNVQMHAIQDSFSD LNLGLATKLTSLRDDLIEALEKETTPQAPPNHPNGEAFSLIDSLEDLKRMIAYLQSSI IDMPIQQRILRQLAYQQMPSRHSAIVSPEAGTLQWIFSQADPIDKDATSADRQFQDVR TDASQKYLTWLEAGTGVFHLSGNTGSGKSALMKYIAGHDVTKKKLELWAGTQTLVLAD FYFWAPGNDLQRSLEGLHRTILFGALSQHPELMEKIFPQQWRRLKASRSWTDPLVEGI QEFGQRRIEEAFAMLTESRDFSGYKFCFFIDGLDELSGDKQDHENLAEQICGWAKAEN VKVCASSRPYREFLDIFQSSGHTTIYLHQLNKSDIYAYCREKLGQDRKGKNLPEQDRE ELIRAIAKKSQGVFLWTFLVVRQLLNALRNEDPLRVLRKRVDEVPVQLEELYAKSLES IGRSKIDRERAFRILLLALENLCPWPIDALSFSWIPGFDDGQSQGLQDADFPNATMFE CYSKDEAVRTLSRVKAQVQGLTRDFLETKHNDNIESGFRPYQGEDFSNWTVEFFHRSA RDYLWDNKVQRALIRHYLMGLHALKDGTTLFWELQATINCRGVYEPYWVGLRPINWST YQTIVYDLISKSLVHIAAYFGLEKFVLDGVDRNPEVLRTDNNLNLLVSAVMATQGPPG TDLVGELLDRHVDLEGMHPVKHWKTGLIEKWPVWVIVSISLFQRIIPRNEPSPDIIRN LIRMSQLIIDKDWSLKMTFWLYPFTESKRQSRAFDLGEILRFDQALALESEMNRFNRW RRWVRYLCSSDDHLELVRLERIVMREATWEPR PFICI_13596 MDPSTASNKSFILEKPKSVTYADRPVPKLSSPHDVLVAVNYTGI CGSDVHYWHHGAIGHFVVKDPMVLGHESAGTVVAVGDSVTTLQKGDRVALEPGYPCRR CEPCLAGKYNLCPEMRFAATPPHDGTLTGFWAAPADFCYKLPDHVSTQEGALVEPLAV AVHIVRQAAVSPGQSVVVMGAGPVGLLCAAVAKAFGATKVCSVDIVDSKLEFAKSFSS THTYASQRISAEENAENIKRAIGQPGGADVVIDASGAEPSIQTSLHTVRMGGTYVQGG MGKPDITFPIMALCLKEVTAKGSFRYGSGDYRLAVELLASGKVDVKKLISDVVAFEQA EEAFKKVAEGKVIKILIAGPNEKQ PFICI_13597 MEPRTVIPIDQNWTFKQASHDDSTYLPVGQFPTNVHLDLLSHKK IPDPFIGKNELDVQWIGEEAWVYRTTFPTPSDFGSAAKAVLAFDGLDTFASVVLNGDT ILESENMFLPERVDVTSVLKRDGENELVITFDSAYLRGWKLVEKYPDHKWGCWNGDNS RLAVRKSQYHWGWDWGPALLTCGPWRPINLELYESRISDLWCDIDVDESLKTATVKVN TTVEGKPAKIRFDVSLNDESLARQEVEGTDATFTINDPELWYPVRYGKQPLYTIKATL VHQGKDIDAISKKIGLRRARLVQRPLKEQPGTSFFFEINNIPIFCGGSDWIPADNFLP RISREKYYDWVKLVADGNQFMIRVWGGGIYEEQAFYDACDEFGILVWQDFMFGCGNYP AWPELLASIKKEAEENIKLLRHHPSIVIWAGNNEDYQYAESENLTWDQNDHNAEGWLK TDFPARYIYEKILPDACKELVPATYYHPGSPWGGVDTHDPTVGDIHQWNVWHGTQEKY QNFDKLVGRFVSEFGMEAFPSVKTIDAFLPLGKDDPDRYPQSSTIDFHNKADGHERRI ALYLVENMRYAPDPLEQFVYCTQLMQAECLASAYRLWKRQWKGPGREYCAGALVWQIN DCWPVTSWAIADYYLRPKHAYFTVKREMAPVSVGMTRREHKTPRDKYTRVHIDTKIEI EIWGSNLTLEDLEVDCMVKGWDVETGEETYSEKVADKLLLPKNQSTEVVAMDVPAPKK GDEGRTIVASYLIRDGEQIARYVNWPEPLKYLHLQKPKHLKAELNEDATAVAISAEVP VKGVALECEDDDVKFADNLVDIVPGEIVSIAVTGAKKSSKITTRYLGMI PFICI_13598 MTCPMRFDRDREVQVPFEKPHIFETIAEPHELKNYVDDAIYLTG GQFAILCQFAHPGLAEGSYKHSNFAYRILNRLQTTARFLNAAVYGTQQEKEAIFSVIH SAHSDVKGETYYADDPELHKWTAATLFVSLVVVHEAFFGKLSREKQEALYKESAVYGT SLRMPPEMWPATLDAFWEYWHHMIATLEVTDWARNLAGDLLHPKKLPLWLKPQAPVAR LLTIHWMPERLQREYGLTVTPLNKAMYHFVVGYTALVYPHLPKSLKQLPSKMYMKDMK KAVKRIEETGTWYKAAQA PFICI_13599 MAVMDMLDRELEEQQECSPGGGNSSPAQQQQPVAGLPAKPPASS TTDLSSTRMEPGGQDNTGQARFRQMLQGIATQAQTKIDAMNKPVRAEIHGQRSAGPVQ TGSNRTSRVINTAAIPHRPASQVLGSIVPKREDHPRAQGEDGRSRGRHEVIVPSPCAV LSAECQRRKFNPVFREFMTHDGRFSCVVEIKGMRIIDKRTWTDARQAKQEAAKMALLY LEDHVPIESSTTTSRGFSSDSSHQEDRHREDRRRENEKRSHQLREEEELEAALLLAKI RRIFGRQDSMRSEIMADPTASRAFLEGFAWGARAANESVGERTRSRSPLRVANERRRS RSPPRGRDERRRRG PFICI_13600 MKIEGRTFIISGGASGLGQACVETLVAAGGYVAVLDMNDENGAA IVSKLGPQTKFFVCNVLETDSIKAAVDGTVAWIKETGKPLGGVIPAAGVSTPATMLDR NGDALSLDDFDFVMNVNLRGTIDLVRQAIVHIAKTQPSVPDGERGIVIMVASSAAFDG QKGQVSYSASKGAVTAMTLPMTRDLARYGIRVVTIAPSLFASRMTSMMSDKVRASLEK SMEFPRREGQPEEFAGLAKHAIENVMLNGTVLRLDGGMRMPSKM PFICI_13601 MTRPRGLSAASEESTGTAGEQSCEQELGSMYDYLAKIVLLGPSG TGKSCLLHRFVKSEWRILSSQTIGVEFASKIIKVGTGARRKRIKLQLWDTAGTERFRS VSRSYYRGAAGAVLVYDLTNHSTFRALQPFLNDANALASPNLSTILVGNKLDLSGDED SLIDFGPPPTPGGSMSSATGPYGTSFQSTATNPGLGAMQRATEAPEGREVSSADATRW ASRVNVPVAMEVSAFTGEGVDEVFARLARTILTKIELGEIDPDDPMSGIQYGDGGMWT AGASDGGSIKSGLTVDDTDYGGVRRRKKGKGRGTVALREWEEVFSLGGRRRGCC PFICI_13602 MSEQPENLSLKKRVTNPIVPDKPRAGSAMTHGTGHGNKTGEYRR DSLRGQAMEGIGKLVHNQGLQDRGHEMRRKSGYEG PFICI_13603 MVAGTKVAVLDDYQELSKTYFEPLRNAGYEVTIFTDTLLPYNHA DTPQDVKDALVKRLEPYTIICTMRERTPFPGELVSRLPNLKLLLTTGQRNASLDVPAL LERGVVVAGTAKEGQNPDSTTQHTVSLILGLARNLAADDAAVKSGLWQTRLNTGLSGK VFGTVGLGRLGAAVARIMHLAFNMRIAAWSPNLTQDAADEKARAMGLPVEDPQTGAKT FRAVSRDELFAQSDVVSIHVVLSERSRGMVGKEDLAKMKKSALFVNTSRGPIVREQDL LEAGKSGAVRGIAMDVYNIEPLPKDSEWRTTKWGEEGRSDVLLTPHMGYVEVDPLSSW YKQQVDNILRWEKGEELKILYKDNGY PFICI_13604 MSFVVHRTRAQHYFRQRAPIVHSSIGFVQTLGLEENFGTEPNNE LDEYRRFFEGVSATVETAWNDYYIEFFMGHRAAAFPRWHRIETRLRDLQEHEHLADYL APIGEVPNLYKSHVGWDQLDHVCAFILRLQMVRLEHESHGATPWTKSRTWGAAYVLGD MLHWLHVHQQQEWLKSGEVAEADVATEQATNGNAIVQFESPQTRWGRFWSW PFICI_13605 MTRESRFLELTSLESNCEQVQLTLKDVIKKKLDHEQAALELGLV LKLAETRILAAQRLSCLFLHLGVSGIFGEFTNLFIRGGWNLPMPDWVAVYRLVRQHQD DPVWRHRHYPADAQIMDKWDVNHHYAKVILSVFVTGKATSSTMSAEKKDLSPEVTNRL VSWLRICDANEIFWIVFHALTYLKLEAERKNKPLPRRVRIIRRLTMSRK PFICI_13606 MALQDPEKAPDAARAVAEADAALRPNDRYGGSDSSSATVAPDDA NGGATTNVGAATATSPSNSKGHDPAVPGAGDDAPEESRTRLQTILIMFALCSALFLAA LDVTIVATAVPTIVAEFGSSSGYTWIGSSYTLANAATVPSWGKISEIWGRKPILLCAV AVFWVGSLICALSVNTGMLIAARAIQGAGSGGIVVLVNIAISDLFSMRSRGVYYGILG MVWALSSAIGPVLGGAFTADVTWRWCFYVNLPISACGFVILVFVLKLHNPKTSIRDGL AAVDWLGSLLVIGGTLMFLFGLEFGGIDYPWNSATPICLIVFGIVTIGVFIVVEQRFA KFPVIPPRLFRKRSSIIAFIVCFCHAFVFIAGSYYLPLYFQGVLGASPLLSGVYLLPY ALALSIMSAGVGIITKKTGKYLPQIIFGMFVMTLGFGLFIDLESTANWPKVILFQIVA GIGVGPNFQSPLIALQSSVDKRDIAAATSTFGFIRQLSTSISIVVGGVIFNNKMEEQY PYLLQSLGPELANQLSGSSAGGSVTVVGALQGEQGDVARSAYWNSLRTMFILYTAVSG VGLLISPFVGQRTLSKDHKEHKTGLQTLRVQADQEEQGPAEKKVDSQV PFICI_13607 MADNDNLGPGLRAAASTFFALAAVTLFLRCYVRLRMSKAFGWDD WFMVLSTIFYALNTSTCLAGVAHGTGQHYWKLQPADLSAATMFWWYCYLSYAWSMIFS KASIAVFLMRITPNRRHRMVIYCALGVSIFCGLVFFFVALFQCTPVSYFWTRAGAGSC LSIDVIINVVYAYSALSIITDFTFTLLPVYLVWNLQMDKRTKFALIPILSMGCVASCA VAVRMAYLENFRSNDFLYSTTDIAIWSQIEMGLAISAGSLATLQPLMKMTLRKLGFTG SGDSEQTPSSAGLRTFGQGSNKSSFSRKTPKSLFSMTTFTRMDEETEEEQTIVVADKD DVELGARPGTRVSLRNDPHDYRVKVKTTNSDGSKWARTLGETEEDVQGITKKTSFQVV PFICI_13608 MFLKLITLLLLAITSQLAASGPTQQLSKRASLTQVTNFGENASG TLMYIYVPNNLATNPAIVVAIHYCTGTASAYYTNTPYATLAEQYGFIVIYPQSPYSGT CWDVSSAAALTHDGGADSESIANMVTYTISTYGADASRVFVTGSSSGAMMTNVLAATY PEMFAAGVAYSGVPAGCFYTGTVDGWNSTCSQGQVVESQAYWTSVVENMYPGYQGARP RMRIHHGSVDTTLLPQNYNETIKQWTGVFGYSDTPQQTLPNDPASPYTRYIYGENVEG VYGTGIGHTAAIFGDADMEWFGIA PFICI_13609 MGQTPSIPRDPSRALEIIDMGYSRTATMSFAMAFEEILDGPAMH GGTQMFNREDAYCRNISLLYKYKREGDRERLLKTLKEVIGGFVGCSDCPMIHFLPELM ELYPEAKVVLVTRDPARWWDSFSVFGDADIKTPWSKWAFELFMAPVPGARWFPSIAAG FDEDLERTHGLTKQDKGFLDKHNAWVRQQVPKEKLLEMDLAAGWEPLCKFLNKPVPNK PFPRANDREARDKFIRQKVIQAGAIWITIFSATVVAGHGLSRFWKRSCC PFICI_13610 MTKLAALYASWALATVVVALPSLGLLEELAPRQAEVSCASTYAS GKYPTWQQLPLQTTMPDPFLPLSKTTTDSGNTAADVMAGKAAGRIASREEWLRCRQPE LLNMLQEYQYGYYPDHAQETVKATRSGQTVNIEVTAAGKTGKFKATLALPTGASAAAK VPVVINIGGMSNQPYLSAGIAVVGFDYTSVAADSNSKTGAFWDLYKGRDIGVLTAWAW GFHRTLDALNQTVPEIDAARAGVTGCSRLGKGALAAGLLDARIALTMPMSSGVQGLGP YRYHAMSGQDETLENSKAGAGWWSDNTLGTFVNHAENLPYDAHTVAAAIAPRALVIDQ GSADPYTNSKATAVVVYPAAKAVYDWLGAGDQIAMAVRSGGHCDMSGYTNVLPFVQKI LQGKNTTRDYNDLSPWSAMPTTYPWATNTPKI PFICI_13611 MVNVRRLATTLTALLPLASAAPTQTQQKREVIQGKYIITLKDSA SSADVESHLGWVSSVHARSLSKRDTAGVEKTYKINSWNAYAGEFDDDTIAEIKANADV ASVEEDQVWYLPYEADKTENAARALVTESSATWGLGTVSHQEPGHTDYIYDSSAGTGT YAYIVDTGLLTTHTEFGSRASYGYNAVGGSNVDSVGHGTHVAGTIGGTTYGVAKLTTL IAVKVFQGESSSTSIILDGYNWAVDDITSNGRESVSAISMSLGGSYSATFNSAVNAAY TEGVLTVVAAGNDAANAASYSPASAANAVTVGAIDSDWSQSYYSNYGSVLDVYAPGSS ILSAWIGSNTATNTISGTSMATPHVTGLALYLIALEGLSTPAAVIARIKALATSGEIT DIGTGSPNLIIYNGNGA PFICI_13612 MDGSQEHAGRVGPQANPRNSTDISWLPTHVLLAELTRRQDATEP TEKPACGSRDRGSYDTPLHIFALVLILTLSTLACGFPLFSRRASQSRRSNRIIFLCQH FGTGVLLATAFVHLLPTAFTSLNDPCLPYVFSEGYRPMPGLIAMVSALVVVALESYLT TRGAGHSHNHAHVWDSDDEGEQVQGHLHTNGNARGLAAKRASSHRPTDIALQDFGDRD GLMAGVSPLPGSTPLSAPASGANLLSKNQDHDDEDDLDIDLDELDPRAEDDERPLAGN AAQNRSEPPTPGTHSPEEQKRLMLQCLLLEAGILFHSVFIGMAVSVATGPPFIVFLIA IAFHQTFEGLALGSRIAAIQFPKHSIRPWLMVLAYGTTTPIGQVIGLIVHNMYDPMSQ TGLLMVGFMNAISSGLLLFAGLVQLLAEDFLTEKSYKTLKGKHRTHAFLAVVSGAALM ALVGAFA PFICI_13613 MSSTSSYHTAKTSQSNSSGSGSSQGASTPVVGESALGIGATPNM SRSPSGQGNAGSGSAGGSSGSWGNFSADVGGSNSGNSGNSGDDTNYVWAHRQRQQQGG AGGGNAGEGSSSHGQPRPSTFS PFICI_13614 MSTIQQLKNFIRHGKQARTNNNHDDSQRKNDHSPTNVPAQQHKA MAHVTEPNLHVGGGGVAAAHQVQPIPEAYSVQPGAGDAHNRVAQANDVAAHHAEQRID GSKSKDHHVAKLVEEEKASRSKFPKYPGLERWELTEKMGDGAFSNVYRARDLTGDAGE VAIKVVRKFEMNNMQGQKHLHPDFKKVPKAAERANILKEVQIMRQLDHPNIIKLIDFS ESRQYYYIILELAPGGELFHQIVRLTYFSEDLSRHVITQVANALEYLHEERGVVHRDI KPENILFEPIPVVPSKVPKPKQPGDEDKVDEGEFISGTGAGGIGRIKIADFGLSKIVW ENSTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLYTLLCGFPPFYDESIEVLTEK VAKGQYTFLSPWWDDISKSAQDLISHLLTVDPEKRYTITEFLAHPWIRQGSGPTPREE KKALNNGDTLRAFDAGRLADGDRRMDFRSPGAVNLREIFDVGYSVHRQEEEAKRRKQV GSKGQAPSRLTDLNESEEDEKSDPEYVHKTDASQLEQRMRDTNLGKAQERGRERERPE KEARGYGQHSAAVAQAARQQVRDRNRAKGAFELSLDGATLLGRRANKPTARAGGA PFICI_13615 MASSAPQILTQLSLQFFPSTGSCSIDIAAAIQLGRCGYIDISVE EQHHVDVLPDKICVTPTQPESSVASYAPPAPVRTSPPKPQVPIGGNGNGNGNGNSGNG NGNDNGNNNGGSSVNGNGNGNANSGNGNGNHNGNGNSDGSINGNGNGNHNSGSNNGNN NGNNNNNVIGYSKNNFGIINNGGSGNHNQGSNKDDEHNGGGSSTGSASHSTTTYTTTP VIALPEYCQKSTYEIDMTSIRGLSTADIEAHLAVLGLHLDVNVDLGLGLGELLGGLLG SGSSSRDDAVADAAVREALHHIYNVGCGRSLHAYPGAVRAQRVVADMPACLDACSVAA VRSGRALECVGATYRRSSSSSSSSNGAVTTTTTETEETNCWFVLGETHDILDINVSVE LEMYDSLFIAA PFICI_13616 MKFATSLASMVLAASSAFAAPTGTEPTPTLDKRATTISCDSWGS LVTGGYTIYHNNWGASAATSGSQCTYFDSLSGSTVAWHTTWSWAGGSSSVKSYSNVAL ENVNKQLSAVSSIPSVWKYTQTGSSIVADVAYDLWLAPTSGGTNEYEIMVWLAAYGGA GPISSTGSAIATVTIGSYSWKLYYGLNGSTKVYSFVATSTVTSFSGDINLFFKYLTSS QGVPTSYYITSLQAGTEPFTGSSATLTVSAYSISVS PFICI_13617 MASDLPSKDQLHQQVVDGRPITTSEVADIAHAEFERSDAGPIKG GSAATAQSLHDKQQNFLEKAGDIARKYPGEVTKQDAAEVQRAEARLLGERPGKGSTSA KVQSLADQNAASIEK PFICI_13618 MTWPTQSACQTHEREGHNYCHDCDRTFMNANNLRQHLNSRTHVG QGMSCPFCKGSFTTATGLTHHLERGSCPRATNLNRDTIFRALRSRDTGGVITNNLLEW HGDGQYSVTDRAYNYNRRAWECYLCNRLFNSSHGLNQHLNSAAHQSNLYHCPKQSCGK QFKNLAAVINHLESESCGYTRFANVQQVVPGVLSGHRMIGF PFICI_13619 MSSAALQPPAHQPASLSGLEQYTPTQSPGREEYYAKENQSATSP ASSKRPSRRTSGQAPAYPEYNNTPTMTSRTALASPMPVTTGDQASSSSRRRHDPPIAP PRVSSSQQDPTSSRRAAREAERANTSRRAESNKVASNGYEESSSRRRPSQQDGVPSGG SREGYTTTIPIRSNTSSSSKQLSREASEVLNQIIVSQPEEDLEREQERLEEAQPHHVA PSHEDYDDVAPPPIAATRDARDDSRQGRRSRHDHSKKEKLSRFGDYYLGHTIGEGEFG KVKLGWKHDDRVQVAIKLIRRDSVGGNPSRLAKIYREVNILRGVSHPNIVRLHEMSET ERYIGIVLEYASGGELFDYILTHRYLKDNAARRLFAQLISGVGYLHKKGIVHRDLKLE NLLLDRNRNIIITDFGFANTFDANDELSEEEELGLGDRDYVKKVGLDRKKANGSRKGD LMQTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDDPANPEGDN INLLYKYIVNTPLTFPEYVTPHARDLLRRILVPSPRKRADLFEVARHSWLSEYAHIVE FITSSTTTSNEIQNTTVPTSDEETSGLQRSASVREPTKAKQPAPVVGDLARKTGQVDP EEAASQGKQARDTKRRTVQVEYVAPTTQTQRGGESSGQTPPRGKTRAHSATRGAPETQ TPAGDKPLPRDPPVGKEKYTGSPSHRARPPSAHQNVNAPAPRPVRDAGRSTSEGYRNT VSGSTTTGRPTTGGSTHSAGSRHALGAGATRASYGQPIPPTVAGTNAQGRMSQPTGGS KNYVISNPVPQDQGDMDFGRPSVSTGAGVPPKFARLSGYANTPSHSSGGSSKGHKRSN TIGEIGGKIFGRSGSIFGGRRKRDSQPPAEKPSRKYPPVSMSNTMPGEGGVRPSMESR RSFSQTLGLARKRSGSVAGSHTSQERPSNPRRFSLMRAIGLSRDNNASPTPDTNSSQP SPRLEGQQPEEYSPYGAPPHDVEGYADGAYDEPQSQGGAMESPTSHPSRHTRYQSGSR SNAIPAHVPQGAAQNSASDSSVDRTGRRPPNAAPYQTGYDSEGRPAGRNRGVLQKNRR FVDAYDGEEYGRAGDHAGSSGAAKRVMDFFRRRGKARGGEA PFICI_13620 MAAADAQSYKQLKEDFVSNLSGGPVSEINVVTGIASVALLLWSV LQVKQSFFRTSNPVSLLVDFLLNVGAPLLSITLWSSTPLLLAGLLISPALLVYALPQN SQKKKPKLPPTAKVNNGANKSDKDGAGMSALSLKPFLTHYRGSMLVLTCLAILAVDFR IFPRRFAKVETWGTSLMDLGVGSFVFSAGVVGARPVLRERAEGRITPLHQRLLSSLRH SFPLLVLGVIRTLSVKGLDYAEHVTEYGVHWNFFFTLGFLPPFVAIFQSILQVVPSYA SLSVILAVLYQFVLESTNLKGYIFLAPRTDLISMNREGLCSFIGYLSIFLAGQDTGMY VLPRRIKSTGAVTASSQRKTLLLTLAAWSGVWAALYYFSTNPVRGAGLDVSRRLANLP YVLWIVAFNNAQLFVFCLIDNVFFPSFYSAQDARAEKEAYETATSRVLKSFNKNGLAV FLIANLLTGLVNMTVPTLHVGPIPAVGILLAYSAVLAGIAVALDAWNITIKL PFICI_13621 MNNTANTASRPNLEPLWTNYRNLGGMPKTAFKPATSPEGMSSPS PMSPKSLPSPMHPTVYTSYTTKQHERSMVNRFDSERSQPSSSSSAKSLRSIEEEETPL TARLERFSILPPLTLKFGLPSPPAAPPAQLLSPRPNRDSLHRARTLLEPKTVSIPQQS LEPSYERLYRRNSATTGARPLPPPPPPPRKQSVMEIRDQLRNWGHVYHGNIETADAFV IARSLRRQSTSPIHLRAGGVIGSPTSSRGASHNANRQTIRAIIRPKALERQSFLVQRT FDMDELRATIPDPVPHYHGALRPSPASFSGQRQQQDRSGTSSPSPAPRSMAAAASRPP RPLLARRRSSVRSSELLSGRGRASVSLDHEALICDPKTVPIHLKYARAYFPVLAALLV SGHVREGDIIYLPLPHPEVWPQTVRYIYLGQDDLSAAMRENILYLAGKV PFICI_13622 MYSLISTLAAILPLAVAAPVSYETTTPAYDSNPGCQAASFGNFS WTLEDFDFHSSYIFTTPAHQNSWGYVNFNLSNPALTYKASCSASSSQLSDFFYGTQEY TCTSPDGTSAVTTFDFSRPSGTLNVNQTWVCADQDPQWPVTIHGYGQVNLTLECTDTT YQNPNWTLGQIYSDREVKCSPVTLPLKPYMMTAIA PFICI_13623 MRCNTSIILAAAWGFIAVWAESYATFYKPTYHSTYRTDSIYGDA EVFKLGSSQVIDFDTPWSEWSMYLVQYLPNSDQDIFGYTTIVEQTSGEVLAKTFNWTV QLYDIDLAASPIFYIYLYNDDLNVTDDDYMTSSAYFNITEADTVVTTATGTVAAATAS GSHPAQTAILTPTTTATSDSSSTTSSSNNANGNNNQNQNSGGLSTGAQVGIGLGVGIS GLAAIVCAAVLFFYIRRNNRRNTEAQQQLMTARPTEPPVYHSGYPSGYQSGYSSGYPS PYQPSEMLHDQQTAYQQQQQKQDQPEMRVASELYAPPPSESALPNSPHSQVASYR PFICI_13624 MSSSSIARTRSLDHLVLTVKDLDATVKFYESVLGMRHTAFTSGG QERHALTFGGQKINLHVSGREFEPKAQNVQPGSGDLCFLVEDSVDEVAQRLHESGIEV LEGGGVVNRTGAKSKLRSVYIRDPDGNLVELSNETS PFICI_13625 MHFRKVKSDAPGNLSIGEGLNKTTSHVNNDGSTTVRFHRDASEI AAFFKRIHDNTASQQPERKVFVPRRGSSAVYLDDKQDAPSAGRAAAAGPRLNIAIHIV GSRGDVQPFIPIAKLLSQPPYNHRVRICTHPVFKDFVTNQGLEFFSIGGDPEALMAYM VKNPGLFPSRESLKAGDIRKRRKEMGAILEGAWRSCIEAGDGMGERIVASDVHSAADL FIADAIIANPPSMAHIHCAEKLGIPLHMVFTMPWSPTHSFHHPLAAMQYGQAETSTAN YLSFIMMELLTWQGLGDVINKFRTRTLKLDPISPLWGHQLLSRLRLPFTYLWSESLIP KPADWGPHIQIAGFSFLSQANSYTPPPDLVEFLNAGPTPIYVGFGSIVVNDAQALTKL IFEATAIAGVRAIVSKGWGGIGSEDVPDNIYMIGDCPHDWLFRHVSTVVHHGGAGTTA AGLAAGSPTVVVPFFGDQPFWGQMIARAGAGPEPVPYKKMTAETLANSITFALKPEVQ IAAQKVAQQIAEEDGACNAVKDVLNRLDSSDLRCDICPDRLAVYKHKNTGAHLSLLAA YFLTNKGIIKLSQLELLRHKHWYVDEGAESVSIGVVAAMSGLFLDIAVASHDFANRIR TEPSQIPRRRPSSHFRVSLDMPGGVSASTDDSSGDLLPFAHFTPKQLEKMAKIMARKP PEDTQALFKGPCPGDATSGLRSEPTWVERMWSKGEKSRSHLAAEACGRYAADLTRAGL KAPVALFYNVANGFRNSPSYLTGELNVRRRGEIKGLKSGFKTAGKESVLCFYDAFSGL VLRPYRGAKAEGLKGFGKGVGLSLVGFYSGLGAAIFSLPGYSLKGIEKKLAKHRLTEL KAEVLLIRIRQGIEEYNLATDDERQQIEERWKSLYPE PFICI_13626 MFFLRQTIVLLAGAVIIVTALPSPSPQGGFIGVTKCAGHVGAYA CYNANTIVLCTSDDQGTPVSYCGTGQTCTTVEGLPYCI PFICI_13627 MAFHIPHYNIFGTGFRLRAAITIACQCAFVLFGYDQGVFSGIVG NSDFLDQFGHPSPGLEGIIVSIYNLGAFSGCILTFTICDRTGRRLAMFIAMLFIIVGA TLQATAFTVPHLMIARYITGIGTGIETSTVPMYQSELCEAEKRGRLVSSEPLFVGVGI EIAYWFDYGMSFVPGSVAWRLPIACQMLFAFLVIFLVLGLPESPRWLYKHKLNQQALR VLCEVYGREPDHPKIIKEQKEILDAIKAEDERGQYKWSQLLKRDEVQTGRRVLLAYGQ QFMNQMGGINLIVYYITSVLQTNVGLERNLALILAGVINAMFFFGSLFPTFFLDKYGR RKPMMWGSFGLGISMMLVAILLSFQSRGGEIAHATASASITFFFTYMLIFGATANCIP WVYVPEILPLHARAKGTAIGISSNWLFNFLVVMVTPSLITNLAWRGYLIFMALNFAFI PLIYFCYPETSNLSLEEIDWLFLEPHAVRRSIKVSKHGWGERDGWERRRSYQADMVAT ESKPDTSQMENSNSEKVENGS PFICI_13628 MASIEKAEASEIDRVSDGDGGHRRSHGHDHARLSEREEIGRIDA MADAPGVSMESFAHLDEKKILRKMDMRLIPMLALLYLLSFLDRGNIGNAKIEGLQEDL GMTSDQYNWCLTVFFFSYAAFEVPSNLLLKKLRPSRWLPLIMVVWGTVMTLMGIVQSY SGLLAARFFLGVAEAGLFPGCAYYLTMWYCRHEIQLRQAMFFSAASIAGAFSGLLAFG ISKMDGVGNLEGWRWIFILEGIATVIVAALAFFCLHDFPETAKFLTEEERAFVVFRLK YGQDKKAESKGQRSVAEVDEFQWKYVWQAFRDWQIWINIFVYWGIVCPLYGISLFLPT IIKSLGYASTTAQLLTVPIYITAAILAVLFAYISDRVGKRSPFVVSFLLMMILGFAMC ISTSNPKVIYGGVFVAACAIYPSFPGNIAWLSNNLAGSYKRSAGMAIQIGVGNLGGAM ASNFYRARDAPQYHLGHALELAFISVGIAAALIQVFSYAAINKKRARHLQNGDQFSQA ELAAKGDKAVTFRYMY PFICI_13629 MSPTLRLQGKVAIVTGGASGFGKGIATKFVEEGAKVIVADLSAE SGQKVADELKCEFAVADVTKREDWERLLKQSLDQFGQLDIIVNNAGMTYANKATEDVT DADFDLVMNVNVKSIYLSTSVLLPHFLETKKPACFIQIASTAGVRPRPRLTWYNASKA AVINATKTMAVEYGPHGIRFNSVAPVVGTTGMTHLFLGKPDTEENRKAFISVVPLGRG STPADVANATCYLASDEASFITGVNIEVDGGRCV PFICI_13630 MSPPIYQHKFRIVFKDEPGAEDTGRHTAAAKAAQSSHQNLCGVV DVGETGIKIRDHTRKTVTKVGTIIMKKKSTRKVG PFICI_13631 MGEKQEARPFELQDESLLQYDCYIHGKWMPARRNGRFAVIDPGS GKPWAECADATTDDVETAVHSSHVAFDSYSKYTPRQRAQLLSKWHQLIESSKGDLARI LVHETGKPLAEAQAEVDYGLSFVWWFMGEADRITGTTTIAAIAGRRSLVIKQPIGVVA ALVPWNFPIALLLRKASAALAAGCTMVVKPSPETPFTATALAYLAIKAGFPAGALNVI PTSLENTPSLAEALCLHPAVKKVTFTGSTRVGKIISGLCARNLKKATFELGGNCPFIV FDDANIDHAVEQLMGLKWRHAGQACITANRVYVQDGIHDAFLEKLVEKTKALKLGHGM TEGTTMGPVTTSRGLDNAEELVKDAVSAGAKIAIGNGRRHKVDDFPEGYFMAPTILTN MADDMFMSCEENFAPILGIYVFETEKEVVKRANNTSMGLASYVFTKDVDRLWRLFENL EAGMIGLNTGSCSAAETPFGGIKDSGAGKESGKDIAINEFMITKAGTLTLEGQF PFICI_13632 MADPYPFKNKVIAVTGASRGTGLSLTRYLLVRGATVSMAATSEA NLAKALEGIRSDIPDVEHRVMTYSVDIGNAEQVKAWIEATVAKFGPLDGAANVAAQMM PRIWPIEILPHEDVSQCLHVNVVGTFNCLQNELKHMKRGGSIVNCGSILSGYSSAGVS AYCAAKHAVVGLTKAAAYEGAAKNIRVNVLSPGCIDTDLIRQPLIMPNGEGWTVTEDD NLTSIIKRWAKPEEIAASIAFLLGDECRMITRQEWRVDGGWLESDYVGGHKDYKHE PFICI_13633 MGKKKRKQPNVEELLARPWCYYCERDFEDLKLLISHQKAKHFKC DRCGRRLNTAGGLSVHMNQVHKESLTLVENALPNRQGLEVEIFGMEGIPEDVVQQHNQ RLIQNFYQAQADRFAATGNPPPGQSGKEGPVKKIKIETADEIKKRLAEHRARMATQKT ANGGVANPTPPLGLNGQSPGQNNSPFPPPGGQYPQGFPPQGVPGFSPPPQYPQGGFNY PPGNLPARPGGNLPAPPGLPARPGQPGYASTVDELVAGAARQGDNIDHLIRSLESGEK QAQKPSSATPTASEQPAAEEKKSKKDKDKNVKMIYDDDISPEERLALMPKYAYVPAAA PFICI_13634 MAPPFRADHIGSLLRPQSLLEARSKISSAQQSYYVNISSSVQEV EKAAVKEAVDEQKSRGIRPITDGEYARHIYFGGLFEKLEGFEVKPALPIPDAFRTDFP TTVGLARMGAKTRAVVLCTGKIGYKESPYLPEWLGLRDTLPGSLWKEAKLTMPAPTYQ HIQLKPGTAYTADSGYTSDEEYFRDLAACYAAELKTLYDAGLRNAQIDDPHLTYFCSS QFLGGCQKDGTDTDALLDLYIKAHNQLLAGKPSDLHVGVHLCRGNMAGSTHWVSGSYE KIADKLFNQTDYQTYYLEFDDFERDGGFEPLRFLPKGKNVVLGLVSTKTADLEARDEL TKKVKDAAAVIAKAQGVSIDEAMDCLAVSPQCGFSSHSQAGGVGMTMEKMWEKLELVK DVAQDLWG PFICI_13635 MAIPVYTVVHLQMRRSDKIALLCLIFLAILVTLASTIRLYYLVH VEELQDFTGSMPPVIFVSAFEGNLGIIVASIPSIRPLWTRCKTRRRVASHRKENSSSA QGADTLLRESRSGQYDADGFAVIQLDSVASSLRLDLDMPVATAVSVNERLEERHRE PFICI_13636 MSADQRPTPLRLGTTAPNFKADTTTGPIDFHEFIGDNWVVLFSH PEDYTPVCTTELGAFAKLEPEFTKRGVKLIGLSANTLGSHEGWIKDIDEVTGSKVAFP IIADKERKVAYLYDMIDYQDTTNVDEKGIAFTIRSVFIIDPKKSIRLILSYPASTGRN TAEVLRVVDSLQTGDKHKITTPINWVPGDDVIVHPSVNNEKAKELFPEFRIVKPYLRF TPLPKEKTTAA PFICI_13637 MPGHGLMPSHENSTWSDSEANEQQDYQDALRPAPTTKSTTGKLS EFYKGIKSKAVPTREDSLKRSRAPSRLGALKAFGSNSNESTATLTPTNDNQVETPIVF TTPIFSGPSNSSHHARNLSLQNSLEKPLPTTPDPSLLSPLTPTGTAKEGRQGSFAGFD SRKGSIAGSSLSSLGRESRQGSLSSVVEITHDIKRFRQGSLYSILDDETESESEMDSR TSTMDSKSMPPPTMGNQSYGGNFDRSNSQASIASSARDAGEGQRDNLTPRSNGQASAG PSPPAAATTSRAPAAGQPVGTPSTSSSSSHLSGLMCNVHRTTGREPHPLVGATTTILG DKLYVFGGKILSRSRPAPLTSDLYELDLIRRRWTKLETTGDIPPPRYFHSMCALGDTK MVCYGGMSPTPSQPPPTNPEQLPEVVVMSDIYIYDVPTKKWTFVPTQDAPQGRYAHCA CILPSSATFSSNKAPLSALQHNPSGGNPNEGRIGINIDGAGGAEMVVVGGQDGANHYI EQISVFNLRSLKWTSTETHGKSCGAYRSVVAPLPPSVTAKIGKANALGVRQEGGISQE AREPGSSMLIYSNYNFLDVKLELQIRGSDGSLAEKPMSGTYSPPGLRFPNGGVIDTYF VVSGTYLTSSKQEYALWALDLKNLTWARIDAGGSIFSQGSWNRGVLWNRRNTFVILGN RKRSLVDDYNHRRINFSNVCMVELEAFGFYDNPRRVSPMSGFASASSPYNMPGLSIAR KAGWTGGGRFHSRAAEELGEKALALRELADMDILCIGGERIPINSRIVGRRWGPYFVQ LLREGAATQDGSDSATLRTATSGGAGARMSVLTITPAQGNRSTTDSTFSMGSSFGNSS ASSQKPPSTAGTSVSGSSGDITTINSAPTPASLPPSIRPRCLYLPHTYLTIQALLHFI YTSSLPSPQSPLCTPQILCSLLQIARPYKVDGLLEAVVERLHTLLDSRNAAAVFNATA MAAGGGRGIDGTLNPNFFAPADSSLTSNGRDERSGSISQASLDEAASGVGGLQLNTGV PAARPGSEELSASTSVSGSEWSSELGDSERGTTGEVWSGELSSVIGLQKRGLRGLMEG RRMRANVDRNRETDNSRADRYGSRVGLGIAGS PFICI_13638 MLALLALLISGVLFATLSQGSSITLRASAGCTFHLSTGGDAPFW IGELPDGQCRGGSDIQATTFTWFGDAFLDQQGRGCWWTPPTNVLQCDVGQQPSHGFEI PCNGVVTYNGQSTFYQCRTGDGDQVNIYLQSIGIQCQPITIIADGCTACQTSPAGGGA AAGPQPASTVSPSNIVSSVTPFTTGPDSTASTPTATNPGNGGTGPSPTPLAPPAKDCS ADLSSAYHSPSLMIPIDRANPDKAYGPTLYGQISPNASTIFDFDIPASDAGRSCKVFF SMPPQSVLQSAQAGGYYFTGDGSVLFSRMGTLATSGTTYNDVAFGRIERRDLGALVVS PGNNYVIETFDCASAIGTGVSYMLAEPAGRDTCLVYYQEGSPVPVGMFISTC PFICI_13639 MGTGKKERNRLVRQGKTGTGMDNVKVKGENFYRSAKKVKTLNMR EKGNKAQRNKEGKIVQAAAYQSRDAPTARIEPSRNWFNNTRVISQDSLSAFRDAMAEK ANDPYQVLLKSNKLPMTLIRDGSDTNGLKQHKAKMTIESSSFADTFGPKAQRKRVKLS VGDMMGLAEDSEKSMDKYKEKLEQAKLLSGNSGADAEIAGDMQVEEQDPLALAIQPVF SKGQSKRIGNELYKVIDSSDVIIHVLDARDPLGTRCYNVEKYLRQEAQHKHLVFVLNK CDLVPTSVAAAWVRSLSKEYPTLAFHSSITNSFGKGSLIQLLRQFSGLHKDRKQISVG LVGYPNVGKSSVINTLRAKKVCTVAPIPGETKVWQYITLMKRIYLIDCPGVVPPNQND TPQDIIMRGVVRVEKVENPEQYIPGVLAKVKTHHMERTYELKGWTDHINFLEMLARKT GRLLKGGEPDVDGIAKLVLNDFMRGRIPWFTPAPVVEGEEGKAREGREGRLGEMPLKR KRDDAESVADTSVAISEDVAESDSEDDEEEEAEEDEFGGFDDDSDSESDTEAITKAKK AAAAKSKSKLSDAEDMIPLDSSSDEDE PFICI_13640 MPPSLPSTLSRRAVQQTVSASSIASLTRAFSSTTLCQTNKIPPE SPLFINVPTPPMSQAVEDNRPDRFAKKGRLPMPRRIFKRKTYELPKTSPVFLAKSAPL PSNAKSQQPPGSEVEARRREMADMRRRNLSEGIEQLWKRRQETNRERYDRHEKNRRRN VHAMKAPQRADERFTETTIPASVLQTHVPLDPLRMEHALQSKARTEALAARKSEDRKD SIQKLYMSARSFIIDEAALQEEVDRVFRPDTFTSGSTATGTTPTNAWDLWGTPTTVRD MIGEVSRTDSRVLASMQDEGSRTSKRQTKVAEELTGGPMNDA PFICI_13641 MARLKMSPSGLGLLALGALSLSSTLVAAQKNYTQEDMLRAQLML LTGRPDDCPPCFNCLLPAYTCGQFSECNEYNGKCECPAGFGGDDCLEATCGSLSRGKD RPIRQGDSCDCDDGWTGINCNVCTENKACDALMETGADGVCYANGEVVKQNHQMCDVT NDKILSMLDGKIPQATFSCQKESGICDFQFWIDRLESFYCHLEDCESSAEIDSDKNST YYKCPTVACSCIPDRMLCGEDGSIDLTDFLAEGITGPAQFECLQEGGGVNKCKFREPE LDGMVKAIFGDPSIELSCRAGECLYATEIPGYVKPIKPINTPLIAGVIAGCSLFLVAV GLLVWYLSRRSSQYQAISLEDSDDETGKLMADHKPASLYFSEVAYDLNGKRILHDIQG MAHPGEIMAIMGASGAGKTTFLDILARKNKRGKVSGNFYVNGEKVDDAQYKNVVGFVD QEDTMLPTLTVHETILNSALLRLPRAMSRGWKEQRVHEVEKQLGIYHIRDSLIGSEEG KGRGISGGEKRRVGIACELVTSPSILFLDEPTSGLDAYNAFNVIECLVTLAKTYKRTV IFTIHQPRSNIVALFDRLLLLAQGKTVYSGPFASCQDYFDRIGYSCPPGFNIADYLVD LTMHAGAIEPYDDGGLNADAASVGPSSTTAVKSVASISGNSTNEENEPSSSRPRPKRK DSVKARQERELFTRRRSTVNTVDTAASSDVDDEIGAYKLHKQNPPPHINIEEAHDLPP SVNGSTNLEALHQAYMESDIAGNTHDEIQQAVDNARNANGQNGYSPEGPNVYTGGIGK GYARVSYARQFIILSGRTWKNLYRNPLLMLTHYAIAIVLAVLSGYLFFHLTDDIPGFQ NRLGLFFFLLALFGFSTLTSLNVFSAERLLFVRERANGYYSPFTYFAAKVLFDIVPLR IIPPVIMGVIIYPMTGLIPDAPHFFTFMLVLVLFNLAAAAICLFIGIVCKDSSVANLI GSLVMLFSLLFAGLLLNHDAIPHAAQWLQALSIFHYGFESLIVNEVLKLELVDKKYGL DIRVPGATILSSFGFDNGALWTDVINLGVFAAVFVVLAYAAMHFLLVERR PFICI_13642 MSAPLSRAAGQVMARRLFSSTARVNSVHASASQELRALFKKSTT ESQPTDWGRIIRSRASTLVLYAGGMGTVLFWPLGAAWALDGRM PFICI_13643 MPARLPIFEVEQWMDAYETTPGVLNIAETCCASVSVDDLRALSK NVDASSPIDLARKMTYGPILGSPELRQNITSLYRHDDCAEPLSTSSVIVTNGAIAANH LVFYSLVGPGDHVISVFPTYQQLYSVPESLGAEVSLWELKAENGFVPNVAELERFIKK NTLMIVINNPNNPTGATIPTETLKEIVDVARKHDIILFADEVYRPLYHGVEEDKIPPS VLSLGWEKTVVTSSMSKAWALAGIRVGWIASRDASIIEKVAIARDYTTISVSQLDDQV ATYALGDEVRPHLIQRNMELAKKNLALLDEFVRNHSDYVSWVKPNAATVAFVQFRKAG QPVNDEQFCLDLLKQTKVMVLPGCKCFGHGNAFKGYVRIGYVSETEVLREALEQLSAY VKENLA PFICI_13644 MKSVLALSLLSGALALPASNPLKVSAGKRYSLTRDNGEANIAGI FASLNQTLHKYNLQPLPYYAPVAEKQAAMFEARVAKRQANEPITDQTQLGSEDVAYYG SVGIGGQNFNVIFDTGSADVWVPGPSCTRLKGCVHSTKYDQGGSDLGTKTSIQYGSGA TEGENYVDEFTIAGLTATNQTLISVTTASGFTQIDADGLAGMAFSTIAQDNGTTFFEN LVAQGAVDTDEFGFYLGRVSGGTQKDSELTLGGRDSTKFTGDFVTVPVSSQTYWQVDL DGVKVGGTTVANSKGQAAIDTGTTILLAPTAAAAAVFAEIKGSFGLTLEGQKIYLYPC NTANIPSITFAGVDLAINPLDFKLGSLGLGVDVDDLTLGNADLAAEIRQKASELAPEA GGYCIAGFSGGDLTGIDDLYIVGDTFIKNWYSVFSYSAASGAPAVLFAPNIGQS PFICI_13645 MASKLYINETPADVKNAEGLHLITQSTPNGQAVQIFLEELAETY GTKWTTTLINIMTNEQKKEWFLRLNPNGRIPVLVDNSASAKAANNQNPFPVHETSAEL LYLLKEEDKEDKFGFKDEYERNEALQWLFFWHGSGAPYQGQTNHFSKAAPEKIPYAIE RFRKETLRVYGVLEIRLSGKYTGEPRDYLAGKGKGKYSVADIKTWPWVKGWERTGFTK EELDEFPHLLKWIDRIAARPAVQKGIGSKYSQ PFICI_13646 MATTRADTKVIVIGGGGTLGASTALHLIRNSYAPSNVTVLDTFE IPSAQSAGNDLNKIMGIRIRTPVDVQMSLEARDMWRNDDLFSPFFHNTGRMDCEHTEQ GIKGLKAQYQTLLDAGLGAENEWLDNEDEILAKMPLLKREKIKGWKAIWSKDGGWLAA AKAINAIGEFLKASGVKFGFGDAGSFKNPLFASDGTTCIGVETVDGNKYFADKVVFAA GAWSPTLVDLEDQCCSKAWVYAHIQLTPEEATGWKNIPVVYNGEIGFFFEPNEFGVIK VCDEFPGFSRYKKIQPWGAPEPKSISTPRSHAKHPTDTYPDVSEVTIRKAIATYLPDY TEKELFNRAMCWCTDTADAALLVCEHPKWKNFFLATGDSGHSFKLLPNVGKHVVELIE GRLPQDMANAWRWRPGGDARKSRRAAPPQDLADLPGWRHDPQL PFICI_13647 MSAFDAAPPNAVAGGDGYALRTRGAPPVSTVPLWKRIHKHSLTQ MMLISVQAFCGPAMSDAIAGLGGGGLATPQVSNIATALTYTMLATVCFLGGPLVNKLG VKWALVLGAMSFPIEGSSYYCNSKYGNQWYLILGGALSGIGTGCWYVAEAGAIMSLAP AGARGKYLALWIVSRNLGQLVGGAINLSKNYQKGVEGGVTTDTYLAFVIIECLALPFA FLIVPLEKVIRSDGTRILVSEKLSTKQELKQILRTITSSLILLSGFWAIWSFFYSGTW STYLATYFSVRARALSSLISPFFCIVGCFGLGFILDLKHLSQRRRAQLGLFTVVILNL GVYIWSIVIQTRFDASDPGAIDWDDALYPSAFLPYFFVQTTGPLSQSYMYWLLSSFAT DAQANVRNGAAFRCLEAIGQAIAYGMNTKTSTSPLIGMCVAFALMAVAVGPMVILVNR TPDHIPADIIAAEQEKNIDGMSVREPVPMVVEEKV PFICI_13648 MPLKSHQVLGRSSLQPSDLLDLPAGNHELDDWMVYVDVLTQMMP GDISWEYSQEYNIRTKIKVVKDSADPKNAVKTDIYVGMTAAQGKKFASGAQHFREGNK DPSTIGPDKYISSHYKISMESNTAMNFWIAGIFENAYRVFCDKPQWSPTAVRTLEGL PFICI_13649 MRTTLSKFRRLKRTAARLAPQDEGKNEREIDIHHAGEDDAANDF GDEEPDDYFIPSNVEDDGEEEDESEEYDEIIDVVLSEHESQTEGKGRAKRSATIKAEA SRGKRQRLDVPKTAAQVLAKINRQYPVAREDPDVARFRQRMAALVEALHEKIKEEGQ PFICI_13650 MLHAEPARDYSRNAGANSSSSASNAGAAIRPAASTVTQESITTA QTLSDALDAKSTTDAHATEQESLVMRPIVRLPPSAPACAPGSTSITYHDTAVSSGVQA PIAPSPTAQAVNHPDPEVVTIPLSQPTMSLEIKVSEAAITAFEELPKMWHRSWAYRPD EPDAYEEAVCHG PFICI_13651 MASELIRFKRGAMTVLPLYGNLSPERQREVFASTSGRKWVAATN IAETSLTIDGIVYVIDCGLANDMMFSPQLRANVLQRTAISQASANQRKGRAGRTRPGV CYRLYTQDMFTSLQPSTVPAILRADMRTAILQVQTCGFHDVWSFDWLDKPAPEALLRA ISDLKWMGFLDKNAKITGQGKQAAKCPVDPAWFYTILQGMKFGCAQETISLAALSSTQ GSIFLRPHSHRYAADLIGRPNFGHPVSDHLALLNAYHAWCRVDNAHENHVTAIWSERH GLSNKTLREAAKIHEQLGGNLQYLLQGKFPAAKFDDPEYATNIRKALAAGLFLNSAIR VKGEDTYKTAQRHNGLISPESALVGGQHELVVCSTLAMSNRLYLVTCTRIEPEWIADM PYFAEENVLKDAHGQPKVAIMQESLARARGRIEAEKRRDVGAEKPQ PFICI_13652 MDQLRRNQTTAEQATALEDGNNNFLTNKPYSARFRELLKQRRRL PVSANRSRFLELYQRSRVIILTSDTGSGKTTQSTQFILFDEFASGKMVACTQPRRLAA TSVATRVAEELDVELGAQVGYNVRFDVKGSKETRLGYMTDGKLLAGAMADPLFSKYCC IIIDEAHERTIPTDILLALLKRAISDRDDLSVVIMSATLDAQRFQKSFWR PFICI_13653 MEEVFPKTRYILAQPDETGTGSKLHACQCPAAPAVTGVGPFAPG VNDDCQGVHINVVRTDPANGTTVSKTIPLDDVEKWKSEGWNIWYYPSRPCNKDKNGVD PRPISAPEDIIHQDKPHRDTMKLFHTGSSFDDLSFESRGDNKANAGRQITKDMIPKKN WNLGREPVTLWCPENPTSTIYLPPMNESSQNAAGGEEEIETATKRSLWDRFNPSEAHL SRFQSIGYGLGGQVPLGGRGGRGGRGSGFISRFG PFICI_13654 MDDRGKNSDREERDNRSVEERFYSMSFKQLVETLRSDLDPESMA FVRRLFIGGGEPREQLRDRDPAFMRWVDRLRREGSAGRSDEEGTPASGSKSPADRGGQ SCKEEADEHSVRAPSTKDTGAKERPTSEQKEGHGK PFICI_13655 MPTLRNSGVSKKTSKACNRCRALKVKCSGTQPCERCARSSKHQC VFAIEEKRVAVPERYLRELERRSTIVNTKNVPTSGADGRQSQTESLSPTHGPTDSTGR RNVGETALNNAQDSAVTEPGFRQNPLVDYDLTFPKAAGRYWYMGPSSSWSFCRRVFAL IRQRIHEADCPPVPWNFDGTAFHMDWASLPRDKCPDVSNLPPLDYALFLYNTAKFYLG GNLMCLIDESNFLRQLNELYADPQLKARQCRYWYATYLLIIAFGKAFACTRGHHGPSG YQYAQRAMGLLPSISILPADTIEGIQLLALAAIYLQSLDMRVGAFQVIGQALRLCLVE GMHREMREEVEREHSQRCSAVFWTVYVLEREFSALFGAPSSIRDEDITAKLPAEAENA ADPSMLKLHVQLSRLRAQIMDTVYSVEPAAADSLVSNVQKILRNLAIMLEDMRVDSRL HGPNSLASRKALRLILGHHHCVVLSTRPLVMCALNLCLDRRQRQITEAISLSPAVTSL LQACLDSAQTTLRTLRLLAEEELLEGFLPFQVEDAFSSAFILQLISFVAPDLILDEGW NVNAQIAFDHMVARGSILAPLRLEELRQLERTLSSLKPGAQSEKTTEHGNEVDTQLGD DGHGLHEPGWDLFFMDCLGTLSSQDMMGLADQLDSETEFAALI PFICI_13656 MVSDKVARAIQSRITTIRSGRHNLARGYTGLLMKRQSSSQSAAS SAAAAAPADNPIVMRVTNFKETEASRPDFDHSKTPITVTKSPDPSWSYGDGARGRDPA TRDTAAHIEVDPYAPDRSMVSNYRLLVSGIAPRPIGFISTKSADGKSENLAPFSYFQV IDHDPPMFIVGFSSRPGPPKDTYRNLSETGECVINTVSEDMIEAVNATSIDAPYGVSE WDLSGLTKAPTTTVAPARVQESVFSIEGKVVDVNEFKDHAKDGKSVAALVLIKATRFW VRSDASNADYSHIDLDKLRPIAQLGGMAYGRITSTFDRPRPRWNDEVPKSELLRKLGA V PFICI_13657 MSTTTTTSAAAANTCTANIYDTPVQDAVCAMPYGGNHTDIMAAC CKSADVISYYDNCGLYCQAQDQSVQDLRDCLFDQGAGWTSVFCSGNLTATATVTDSVL PTSAGASIVASGTGGGSRKTGSSSSSSSSSTSSGNAAPRGASDYTLSTLGLTLGALLF SATALGAFAV PFICI_13658 MSNDPSVRRLLPQNSHLGQFAYAPQQYQQPRETQKNYVFVDEHN RHKRLKVMRACEGCRRRKIKCDAATTNTWPCSACIRLKLHCVRPNGQYDGSADSQPYE TPRADYETGPMPDDFRQMSSQSMMGGQPKAGMYAAPGPYAESHNVYQAVPYPAPQPGQ HSMHYTTIPPVGVLDQSYAPASVFPTPPMQHHHAPPHSESSPESYTTQEHYQNSDLAD LLGSLKMDEKGTAPYLDKGLAVRNVDDDEPVTEDADEFKVSLPMSSMPGSKIRIPPEL MPDEETILHYFDLYFANVHPYVPVLNKAQFYQQWHTNRESISPLILEAIFAVAGRLAD EPALGQQWIALATKHADAFMDVPRLSTLQALLIILKAREASPKKGYYFRSWTSIVNCV TMGKDLGLDEHYEDHQAGKSCGSSAADCLTKTRIWQTIFICELMIGSPQGRHDLSVDL ESLDFSVPRPMPGGDDAEYHVTRNFTYFARVVRSVRRMNNVYGRIKRRKDWGLDPEFV QLNPSMNSWLSDLPADLSVTFPPDGSPPWLPSHFIGNLHSYYYLSVLMLHRPQLNFLD PNSQDGQWKSHMILCLSSAKLLCRLEEAMLQSFGLSGLQCMQRGISFTIYAILTCIPL YLVALTSPDPDLNVDARDYFTRHMRILEKTMGSWPMPEMVKQIDAVREAFSADLRKPF VLKPSFPYGSPAHSHSTPPRVNIKPPMLRTSSVDQVSYTSHPITPPISAGPVDNKSDS SPAIQSLVMMASGQNSQAPSLPHGISMAEPPTWNPSRIFDQWNSTFGTPQPTSVPPQS NSLSVPPSSGTPEVPSIQALQSANAALPSGQPMPPQQYSAAPVQSFVTPAMWQESVAS VYEGGLKRHWDAR PFICI_13659 MDKDNANPTILTAAQLPTRQRAKKATEIHYPFDSDLTAEITRLP SYLTGPLCDVPWSSDESDGTEDDFTDEPIDEQEIYDLISNISDPEHPISLGQLAVVNL PDIAITPTPSPKADPNALTRVLVKITPTITHCSLATVIGLGVRVRLEQALPPNYRVDI MIKEGTHSQDDQVNKQLADKERVAAALENDTLKGVLDKMLETCY PFICI_13660 MDDLYDEFGNFIGEEAEASEEESEHGLDAGAYVYDDDQSEAAHE ATGQELMEIDDGPSNAVILHEDKQYYPTAQQVYGEDVETMVQEEDAQPLSQPIIAPPD TRKFTIEEADLPPVFYDRGFMTDLMNYPDQIRNIALAGHLHHGKTAFMDMLVLETHDI QDRLERRTGKKRDEQLRYTDISVMERERGVSVKAAPMSLVLQSTKGKSHLVNIIDTPG HVNFVDEVAASLRLVDGVALVVDVVEGVQVNTEQIIKHAVLEDLPLTLVVNKLDRLIL ELRLPPNDAYFKLKHVIEEVNTIIENTVPGSGETKRLSPEKGNVLFACTEMGWCFTLQ SFAKMYAESFPGVNTDEFARRLWGDVYFNPKKRSFSRKPLPGEERAKRSFVHFIMEPI YKLFSASISQNPDELKGTLATLGISLKPSQFKTDAKVILKLVCEQFFGPSTGFVDMIV QHIPSPAEGAQRELQQYYTGPLDTKVAEAMKNCDQEGPLVVHVTKLFSAADGKSFSSL GRVMSGIAKPGMQVRVLGEGYSIDDEEDMVMATISGVSIAETRYNIPTDGVPAGNWAL LSGIDNSIVKTATIVPPTLEDEEDAYIFRPITHFTESVLKVAVEPINPSELPKMLDGL RKINKSYPLITTKVEESGEHIVLGTGELYMDCVLHDLRRVFADMEIKVSDPVTRFCET VDEVSATKCYAITPNKKNKITMVAEPLDDGIAEDIESGRVKMRDGTRKVAKFFEEKHG WDLLAARSIWAFGPDEMGPNILQDDTLPSEVDKKLLKTVRETIRQGFSWAAREGPLCE EPIRNTKFRIMDATLANEAIFRGGGQIIPTARRACYSSFLMASPRLMEPLYACDMTGP QDAVPVIYNVLARRRGHVLVDGPVAGTPLYRVQGLLPVIDSFGFETDVRIQTQGQANV SLVFDKWQKVPGDPLDKDVVLRPLQAADAQATARDFVLKTRRRKGLSEDVSVAKFLEP EFYQSLIESGALDL PFICI_13661 MSGLPPVYIVSAARTPVGSFLGSLSSLSATQLGATAIKAAVERV PEIKPEDVEEVFFGNVLSANLGQAPARQCAIGAGLKDTTVCTGVNKVCASGLKAIILG AQTIITGNADIVVAGGTESMSNTPHYLPTLRNGAKFGDQNIVDGMLKDGLTDAYKKEH MGLAAELCSEDHGIDRAAQDAYAIETYTRAQKATEAGVFNTEIVPVEVSGGRGKPAVK ITTDEEAKNLNAEKLKTVRPVFKAQGGTVTAANAAPLNDGASALVLMSEAKVKELGVK PIAKILGWGDAAREPERFTTAPALAIPKAIKHAGITEKDVDFYEINEAFSVVALANMK LLNLSSDVVNVFGGSVAIGHPLGCSGARIVTTLTTVLREKKAKIGCAGICNGGGGASA IVIESLQ PFICI_13662 MHIRDINISFQSGVCLAVTFAVFYWVSKSIYNLYFHPLRNFPGP KMAAIGCEYEFYHDVVKDGRYLWKMEEMHKKYGPVVRINADELHISDPDYYNHIYASS RRKVDKWAPMVASYTIPESSVATVDHDLHRLRRSILNPYFSKASVVKLEPIVGERIDR LCDRLEECALRDEVVSLDSAFSALTTDIITRYFFGSHEDNIGRPGFVHPLQEAILGLT GAYQFTRLFPNVAAVAKKLPLWIIDLVQPKMANLLRWQDDLLQSIEASVSRDGASSSK SNSVILSAFQDPNVPAAQKSIPRLVDEGMLFLIAGSETTARVLSRIMFQLLQDRNLLA RLREELDGLSRKSGGLTSAVELEGLPLLTAIIMEGVRLSHGVLIRLPRVSRLESLQYG DLSIPPGTPVSTISYFVHTDASLFPNPLTFDPDRWFRAQQEGVNLSKFVVSFSKGSRQ CLGINMAYAEMYLTIARIVTKFDMSLFETTAQDLEMHHTGGCRNRLITYSRDRVKEQD LATAFLFVPSVMNPHQVEEMAHRDRDSAERHHRDPRDAPYPASSGTPHHSNAASLPIH QPVASRLPGAIHSPGGLLANHGSAPPIPIGGPSSASFAGAPLTDVANRPPPQSVQNAS SQMFSAIGGAAPAPASSAASAALALGPPLQQESNRPPTQNIPFGGGSGGAPGGPPIPP GAGALQQGQQPILNDALSYLDQVKVQFAEQPDVYNRFLDIMKDFKSQTIDTPGVIKRV SELFAGHPNLIQGFNTFLPPGYRIECGEGNPSHIRVTTPMGTTLQPITAGKFNFAEAN PSQPGSGANFFSSRASNWQQQQSQPQTSIESPEAQFSTPATAGGPGGLFGPGPGPSSG GAFDGPGSSQVQRGGSQVPNSTTPNAAGARNALTPTPGAQNTANGAAAQAANIEKRGP VEFNHAISYVNKIKNRFQDKPEIYKQFLEILQTYQREQKPIQDVYAQVTSLFNTAPDL LEDFKQFLPETAASTNKGGLARSEEPLGLASLAHAQSTPQPSHSSQKMPPVGNFAPPS ATKENKKRPRNEKAPPAAATPTIPDTLGPAAQRVIPGIPNNNKRPKLGHKPLAADVPS IEPTLTPVMPEPLPPAADSQVSAEELQFFEKVKKHIGNRATMVEFLKLCNMYSQNLID KDDVIHKATQFIGGNPELLKWFKDFMGYEGYDENIENRPLPPQEKVSLSNCRSVGPSY RLLPRKEKLKPCSGRDEMCNLVLNDEWASHPTWASEDSGFVAHRKNAFEEGLHRIEEE RHDYDFNIEANHKCIQLLEPIAQQMLNMPPQDREQYSLPQALNGTSTSIYKRVLKKIY GAEKGTEVVNDMFENPFAVVPVVLARLKQKDEEWRFTQREWEKVWQAQTEIMHLKSLD HMGIQVKQNDKRQLSVKHLIDSIKTKHEEQRRLRSVKAKVPREQYSYDLSDQDVLLDL LRFMVLYGTNNGHHSASEKERIIDFFETFIPQFFDLPEDKVTDRLRDIDRESVEDDAE EQLPTELTNGRSRRNGKRSDLLRGVLDPGRNSAKGRKEKEGSAASGSKESTPDHGSGN EEEMADAPEEQAVSELSNERWLPTVPRATVVKGSRDLLDSEGELKADGFFPRRYHHFF CNQTIYVFFTIFVKLYNRLKDAKESQGSVLEEIARSKSKKPAKQLGISVNEINYFDED DPASFWPKTVELVEDYITGEIDDNRFQEVLRHYYLKKGWTLYTIQDLLKTLCRLSLVC TSTDAKEKTPDLIAHFLQSRSEKETSFQTEMIARTYAQKCVKDGDLFLIQWYPSASKA TVRLLQRDETTFQMDELELRERWQYYISSYIRVEPTEGVPRSKLRKSIMPRNLASSDV DSDDGTQRKPVFYEEKLQTKVCLNSNKLGFEKGGTEWFIYNTGPETQEEKTATDERRA LISEWRSQKLKERFVMNNGWLENGWMKNLSQDEVQKTNENFQKWIKDGVAPSSSLRDE PMGDAE PFICI_13663 MFQVVLGFFNATKEPRVNVRSPDFFDYPTAVAGNPFVDGWYADP DTEIYNDLFWVFPTYSDAYEKQTFLDAFSSPDLIHWTKHSSILTIENVKWANKAVWAP APIARNGKYYLYFGANDIQEDEDTAGAIGGIGVAVADQPEGPYIDAIGEPLIGHYYND AQPIDQDVFIDDKDGQAYIYYGGHSHANVAKLNADMVSIGTFNDGTQYKEITPENYVE GSQMIKRNGIYYFMWSEGGWTGADYSVSYAMSDSPLGPFIRKAKILQQDSAVAKGSGH HGVINIPGTEIWYIVYHRRPLSETDGNHRVLAYDRMYFNEDDTIAPVQMLVQDNFADD NTIGWKMYGGSWAVVDERLTSSGPSAGLAMLDTDFESLVFEATVSLEQTGEADTEAGL IFRASNFSDEGGFEGYFAGLTGSGDVILRSNDAATSDILAKEAAASVGQNEYTFRVTA NASTISIYVDDMDAAKITVEVESGPSSGATGVRSVNASIASFGNISVARPEDAL PFICI_13664 MATEDNNPEAMDGPASKRLKTSHAEENGVTNGNAGSDGVQDSGS AAASGAPTTERRRGMAPIKAEYLVTAPGATKMEVDDDAAEAGPVGSNDPVTGKPDPRG ENGGRRSKGRKKQNGQNKARDYGYTADAIKLCNSRAFNNEFSPRECKYGERCNLCHDL RKYLKEGRREDLDTMGGTCYVFETHGKCPAGWKCRFVKSHSKEIKYEDGRSELILEGA PDESTSMGENADKRPGIVNVATNDQKWSLAKKKADLARSETYIGWLNKDMDRTRDLYG SKNRTAEGGQEVIEERRAQFVDPPFKASEKRKIYFGRETPVLAPLTTQGNLPFRRLCI ELGAQVTYSEMALAMPMVQGNNSDWALMKAHESEIAPPRFSPSDNVVKGYDNSKDLKF GAQIAGNQPWVVIKATEALTKYLPHLRVVDINCGCPVDSVFQSGAGSALLDSPTKLER MIRGMNVVSGEVPITAKIRMGVRDNKPTAFRLVERLALGDEDLRDRLGAPGCAAITLH GRSRQQRYTKSANWSYVAECATLIKSYNQKLADVTDTAQAPDPSTQPNNGKMYFLGNG DCYSHVDYFNHVDNAKVDSVMIGRGALIKPWIFEEIEKGQYLDKSASERLEYVEKFCK YGLENWGSDEMGIGFTRRFLLEWLSFTHRYVPVGLLEYLPPSLNDRPPAYRGRNDLET LLASDNYKDWIKISEMFLGPAHPGFKFQPKHKSNSYEMEAEG PFICI_13665 MADRSPTSKTKTVRSQKISMPSSDAEHDESRDRALPIRSHTPGH LSSKSQSHSRRKTSESSIRQRSQSVSTKQVHSTTVPTQPLPGSKSFPVGNEPDNTKDS ASVSTDGTADGKRPTNIRSTSAIVSKPPSSSATPSQGTRKQNAAPRPRGAYVAFPALQ DPKNAPDVLPAPSSGMYWSRAPESGAAHTPLRAHTMTLIGSNIFVFGGCDSRACFNEL YVLDADSFHWSSPHVVGDVPAPLRAMTCTAVGKKLVVFGGGDGPAYYNDVYVLDTVNF RWQRPRLVGDKTPSPRRAHTACFYKNGIYVFGGGDGVRALNDIWRLDVADTNKMSWKL ISGPSSGSPSTDNKPKARGYHTANMVGSKLIIYGGSDGGECFNDVWVYDVDTHIWKSV TIPITFRRLSHTATIVGSYLFVIGGHDGNEYSNDVLLLNLVTMTWDKRKVYGLPPSGR GYHSAVLYDSRLVVIGGFDGGEVFSDIWLLELAVHAYYSQISHFTIEV PFICI_13666 MAVSQSRTLWTVIIAAAPLAWVLYTKAVYNASTTVSFTLDNTDT QNDLNSDVLPAEVQQDRDAWIVVRERIVSKPFQTTSTRQTKGEEKSRLSGLLDTYLRT TMRLFTYTPQAWLMRRLMTDPEARRTFETGYLSSCDFAVGDRVCGVYVVTSRSAPRQE EDEEGRETVVLVLAPPQGWTGPVVKGRLIAVLEEEEQKEEDMEGGNGVRTIRAVNETV LWRRREGEAPVFLEGAVGRWLHAFMVQWMVIRGVQTVKREIEMW PFICI_13667 MAPSNITVPPRGPTKSSLQTPKTAQWATTTLRVGGMTCGACTSA VEAGFKGVDGVGSVSVSLVMERAVVMHDPERIPAEQIQEIIEDRGFEAEVLATDLPSP LMRRDDSLFDADEQESGPATTVTQIAVEGMTCGACTSAVEGGFSDVPGVKHFSISLLS ERAVIEHDASLLPTEKIIEIIEDRGFGATLVDSELQQPVKKISQSQADKPSTATTTVA IEGMTCGACTSAVEGGFTGLDGMLKFNISLLAERAVITHDTKKLPAEKIAEIIEDRGF DAKILSTILETGDASVAASTAQFKIYGSPNVEAATAIENSLLALPGVKSAKLSLATER LTVSHQPSVTGLRRIVETIEASGYNALVADNDDNSAQLESLAKTREIQEWRRAFKVSL SFAIPVFFIGMIFPMLIPILDFGAFEIIPGLFLGDIICLCLTIPVQFGIGKRFYTSAW KSVKHGSPTMDVLVVIGTSSAFFFSVMAMVVSILFPPHTRPSTIFDTSTMLITFITLG RFLENRAKGQTSKALSRLMSLAPSMATIYADPIAAEKAAEGWDSIKSSDEPKTPAREG NAAEEKVIPTELIQVGDIVVIRPGDKIPADGIIVRGETYVDESMVTGEAIPVQKKKGG HVIGGTVNGHGRVDFRVTRAGRDTQLSQIVKLVQDAQTTRAPIQRLADTIAGYFVPII LILGLLTFIVWMVLSHVLPNPPKIFLSDESGGRLFVCVKLCISVIVLACPCALGLATP TAVMVGTGVGAENGILVKGGAALETTTKVTQVVLDKTGTITYGKMSVASAKIIPLWQD NEWRKRLWWSIVGLAEMGSEHPVGKAVLGAARTELGLDAEAAIDGSVGEFNAAVGRGI SATVEPASSTSRTRYNVLVGNVNYLRENNVEVSDKDIEDSEQMNSKKNRSKSASAGTT NIFIAIDGKYAGHLCLADRVKEGAAAAIDVLHRMGIKTAIVTGDQRSTALAVAAIVGI PDDDVYAGVSPDQKQAIIRQLQSQGQCVAMVGDGINDSPALATADVGIAMASGTDVAM EAADVVLMRPNNLMDIPAALNLARTIFRRIKLNLGWACAYNLVGLPFAMGIFLPLGWH LHPMAAGAAMACSSVSVVMSSLLLKFWKRPQWMDDALLTEKGSIKRRGRGWGLDGLVT KISDLFSRRNKKDEGYVPLDTLERNDV PFICI_13668 MEQTKALNALEPFIVLTKSATAPRAAADLVTRATSASGTYVFAE LLETPQIQALAESPEYAAYFTQLQIFSYGTYADYMCKADLPALNEQQTLKLRQLSLLT LAKNPHNLSYASLQSALGLTDARAVEELVISAIYADLIQAQLDPRNQAVLVSSVSPLR DLAPGSIPSMLANLQEWSGRCTSTLADLEAQIQAIKETAAARHLEKKQWKEETDKLVA AQADTDNKGKDGAHSHNQPRLISRAAAAFRSGRGKRERPGSAAYVFEDAGFDEMDVDD EDDPEDGPADSMAGTSGGKKRASRRKL PFICI_13669 MAYSGHTPPEPMGRSPTPGSTVDMPRNRLPTLFEVLARRTQPPV DLFSFYIYMRDQQRSVDYLDFWLDVAQHMSLCRHYVRELRRSVLVGTPDIEKDDKSKR SSMALEALGDVRDSPAGPSHYINEKEREQNAQMSAYLRDQPPTPGTPGGIQESPASSQ ERRARASTQLSSPRNMTTDSNSPAHAVSREDIRASAERILFTFLIPGAEREITLPGSI THDITTAIEQEGRDDPEVFDVAKDYVFQAMERDAFPGFLRARALGNLIPPTIVARLII GLVSIFGGFWTAFVLIFLNMSRSTRCWVILPFTVGVYFLASYQYSLDPLMAIAGYSEY TTFNFTRIREPYVRKLLIKRSLMVLAVTALVDAALCVLFILVPGKRL PFICI_13670 MSSGKKTAGKSAIDQVVAREYTIHLHKRLHGASFKKRAPKAIKE IKEFAQKAMQTTDVRIDPQLNKKVWEQGVKGVPYRIRVRISRRRNDEEDAKEKLYSYV QAVNVKNPKGLVTVVVEE PFICI_13671 MDFSEENSQNSAPGSIQAAKLGGARKGPDSQSVSKRLQTELMQL MTSPAPGVSAFPGADGNLMAWAATIEGPEDTPYAGLTFKLSFAFPSNYPYAPPTVLFK TPIYHPNVDFSGRICLDILKDKWTAAYNIQTVLLSLQSLLGEPNNASPLNGEAAELWD KDAEEFKRKVLGRHRDVDDD PFICI_13672 MQHSQLDPLPNDLPFRIVSKTIGRGAYASIKKAKPLDTDTPIFA VKLIHKGYAVKQGRISAKQIAMEVSLHSHIGQHPNVIEWFATGEDSVWRWIAMEYAEG GDLFDKIEADVGVTEDIAHLYFLQLVSGVSFMHSKGVAHRDLKPENILMSENGNLKLA DFGMATMFEYKGQRKKSSTMCGSPPYIAPEVLLCGRSEKASKYSPDVVDIWSCGVILF VLLVGNTPWDEPTATSWEYQEYLKSNGRSSDKLWTKVPADALSLLRGMMSVEPSKRFS FARIRQHPWYTRQNKFLTSDGQISDPLNVATVMLENLHIDFTQRPSASQSQSQQSVDA MDIDSTSSNNYLSATQPETPINDVLFDWERPTLRSIGTSAVSSTQPVSRIDAVGPYSA TPGGSLIDALAEEPSMSQFSKTPGVIMSLTQRARRFRDIVPAHAFTRFFSHMPPQLLV QMLSDALHNLNVPMPNGAPYVGQGDLVATLKVKTVDGRKQSLHGEIIIDRIRLQGDQE LLEVRFVKVKGDPLEWRRFFKNVVLLCKDGVYKPDL PFICI_13673 MRTLAQDEVERHMLACPTADNLKHWSKTYSATPHLAGDLKHAES IRDLWRSYGLQSDLVRYDVLQNFPSAASLKLLSEDGTVDFEAGLTEDELPEDPTSSPD NNLPLFHGFSANGEAVAELVYANFGTIDDFRLLESKGVSVRGKIVICKYSKIFRGLKV RAAQQYGAAAVIIYTDPQEDGEFTEANGYRAYPDGPARHPTSVQRGSVDYFSVSVGDP TTPGYPSLPGSGTERKDPKDAIPHIPSLPISYADALPLLKALNGRGLTPEQVGGEEGG WRGVLSGVDYCTGPSNVKVSLLNHGEYRYSPIYNVIGTVAGRTKEIVLIGNHHDSWTP GAIDPVSGSTALNEVVRGLGGLIKAGWKPYRKLVIASWDSEEYGLVGSTEFAEEHAKE LGDNCVAYLNVDCATNGGEILGAKGSPLLAEPLSKIMRLVPSPLHDHQTVYDDWASYE SRHDEQDRKKVASLTDTMGTGSDYTAFFHHLGIPSLDPTFDQRPTAVYPYHSNYDSFF WVEKFGDPGFKKHLAISRLWGTLAVRLAGTPLLQFRAHDYAITLKKHVGILQAKEVTG LELGPLEKAVEKFANATAALDKLVQGSDLVSLHAGVVSRSQTGVAEINRRFRAIEKSF ILKDGEGLPGRTWYKHIVFAPGLWLGYTGVAFPGILESLDDGDLDGANKWVERIAQSI DGASLAALGSEGVSRKR PFICI_13674 MAESDLKPLRRHDYHVAWICPGDDVALDPARLLFDEEHPRPEFD ADYDDNTYYFGTMGGHNVVLATTSPGMRGNVNASRLTSPMFKTFPNIKMTMVVGIGGG VPSESPADDALKDIHLGDVIVGWPGDGKPAVVYYESGRSLVDGFEQLGQVDKPDWVLL QALAKIKSDHRFDTIKPTPRPRTGFRANLNNLQQYDPQYAYPGYQHDKLFRSGYNHQG QKEDCADCASGELIDRKPREERHQGQLVFHQGRIGTGNSVILDGQLRDQISRENGGLQ CIEMSAAGVDASRNCLVIRGISDYCDSHKGSAWKHYAAASAAVFGRELLSAVQPKKVT GMEVGGTKVSDMKIDHFMVPLGRNDGFVERQDIVPNLLERVLPSSNRDDCQRNAIEGL GGIGKTQIALELAYRARTETSVFWIPAMSQASFDNAVRGIGRLLNVPGMEDDQANHKD LVKAALEKTTDDWILIIDNADDMNLCFDKDDGLRLSQWLPFNRNGSILFTTRDHEVPS RLDISSNCVVKLAEMSKDEAIDLLKTRLDETQLRDVQSTNTLIDRLAYLPLAIKQASA YMAQTGDSTSTYLRYHRESNQEQIELLSRDFEDQGRYPGTKNAITTTWLISFEHVSRK HPLAIQYLEFICFLAQKDIPKSLLPPASTEREQNEAVATLQGYAFITRRDHGNSFDIH RLVQLAMRNHLQGERLRETTTITIQHLSKVYPFPKHENRSLWMQYMPHVMAAAEVCRE AGDKHATITFLHCVAETYRRTSKYKQAEHLFQQELELAEEMYSQRHPETLACMYCLAI SISRQGRYGEAEEMHRQRIKIEEEVLGKQHRATLASRLEFAQSIYRQGRYGEAEQLYR NMLKVYQEVLGKQHSHTLTCMQELTKTIHAQGRYSEAEQMHRNTLKIHQEVSGQQHRH TLTCMQELARSIHHQGRYSEAEQMHREILEVRQEVSGQQHPHTLTCMQELARSIHDQG RYSEAEQMHREISEVRQKVLGQQHPDTLNTMHWLAVALDRQEKWGEAEQTYRQALALM EKALGPEHDRTIGCKKNLQKCLESSGKSSTTDCRETQKDFPEHEDTRETEQQGQRQGR KRVHKRRAKRVKTGN PFICI_13675 MSQSKRYTENFPKLWQAATDDSDLTLYGFRRFKTSHLLNLRFLE EEIAEIDHKLYQAGLGLGIDHPLADRLGLKDSKKDAKVPRVEDTITEDLVLRLRTLCE QYDNALIALNKIMDMEVFSLLDDEKQCSTRTDLTLSEMYKTRLLRVDLMSRARTDPLQ DWIHRGLRNLHYWRISSREKNRKSASFGAGRAHRWSYEDLVYSANIMSRLILTLLTST FLIVPLGVMSESSSMAQLFIIGFSIVAFSVLVATALKVSSFEMMAMCAGYAAVISAFM ANS PFICI_13676 MAPKSCLEELPNEILLEVLSYLEPRDLTALQRCSKRLLRICRDN FFWRLRCLDESSFLEGVQRRRQLRFSDLDDENLVSTAPRSSSPGPNAEPGEATPAASK KAVENERTRVLANWDPTFPDEKVNWYNEYIQRNAPVVVSWFEQAQVRDGDLNDAIEVK GAALYRPSNDAQSLFAVAPLDDGSVCLWDVKGTCKKKGSIFSKSREGLLRMDSLTVSS RSKMPSIGITECVSVDSSRSKAFFAVQSSLTEVDLQTLQIVSNQPYEWTITTMSEAQP TVPLTVGTFNGIHLHDWRILNKARQDQNERVDLYNGLEPLDFSRVLDPSPLPLYAPLT QAGPQSILHMESSGHKDLISDDIMVAGRFASILHYDRRMFPVIKGSIHSGSRLCSLAS LPYPFSCLESDLRRRGELSIDQVNKAKGVVGGRTLIAGGEYNTRGSLELYGLSQQSEQ PGSDYGMVQNSAMKNRQTASAAKLLSVINHGTRIAYSDGDGLVKWVERDGFTEVRRHK IGHGEKVAQGSLFASMPGSDEIARKLMSTRTVKAGADDDVNNEDILFWTGEKLGIVGF SSQPGFTADDFKVDTRTPEEIAVQQKADLYNEEMRQVMERHANDVRYARFLGSGVRH PFICI_13677 MERSDWNSGGMPLSRSYSASSSFLDTRFSNNKPRLYPEGGKRPH PYVFFEVANDNGYAAPQYKRPRFCNAAPEAHNQAQVHAPREPAFFILREAGREAGQPS PSPVPCYDYDTSGSASRVPSPATSDRFLESEVQPHRFVRPDLRPPLLERTSSGLSIAP EDEDSNTQSNYSDMKKDQQAGHRVERHFAHYHKPGRDSKAERILKSLISPRSSPGKEF EIDDAALNNIFRATNEIFFFGCLRNRVRWDWSDVSNKQYHTQIIGTTALRTAQDGGYE TLIVLSQSYRKDKRYNRRLLISTFIHELIHSYLFIRCGFKAKRFGGHTVGFRRIASLI DEWAGPDTLFLSNMEADLDDFLQSHSHEDIFRNCGMQCSEDINPQQASYLSPGLRLLD QRSYSDGFDPM PFICI_13678 MSQSHICTKKHYRPPGYVRGGDQRRPALVFQGYKARPLLNCTVG SFWEVPTNELAQAQIKAKTRYQELFGKYSKMTRQQLHKQDLPKMLTKFAHQLDEFFFF GSLFNSSGIRVHVQLLPEHREHLCLGRAFSDEANDMERFVQIARRTRVPVGRAKLSLV DMLGVLYHELLHTYLGLYLCQSDGCEWYRLNAEGLSGHGPVFRALEHTGLKTLQSWGT QKGETVIPPEWHDDFVWTASWQEECQEVTSCKELGKIPHAHLANLRHNPSPNEIIQVR GIKVIIDGPRLRRHVKKNAKKDRKHQRKQT PFICI_13679 MKIVHPHLFVRYDLRYALIEVGFLYVAHHGVPEAITERLVQALP TLFALPPEAKAAVALENSPHFLGYSAAGRESTAGRPDFREQVEFATELPDDWRDGDPL YDRLRGPNQWPPGLPELRQIVTEYIQSLTTLSERFLMLVARALALPSDVFLPFLSDQH RLKLVHYPSLPFGSASVSDQGVGPHKDSSGWWTFLLQASPPEVPGLQALNKVGQWIDV PAKPGTLVVNIGQAFEVVTDGICKATTHRVLSGPQERYSVPFFQGVRRSLTKYEAQVL RPHFASFNAGTESIEGRSVDSAFLRGRYETWGESQLRTKIRSHMENGRKFYGQVYEQY MQDDA PFICI_13680 MAAAVASQPASSIPTYHQIPETQYELDWAQLATLDLSQFDQPGG KEKLAKQLSEAIEKIGFFYITDFGLTQEQVDRQFAIGKEVFQLSTEEKLKYRADLENG GYNGYKPLGLREVRPGVHDNTEIYNIPKFIPELERPHPDVINTNRAEIEHFARHINDH VVGRLLVLFAIILELPEDYFLQRHRYNQRSDCHLRYMKYHHRTAEQNKILENVWVKGH TDFGSLTLLFRQPVAALQVRTPEGSWKWVKPYPGSITVNVADSLEFLTNGFLKSSIHR VVAPPPDQADVDRLGVLYFVRPEDTLELRPVVSDVLQRRGYDKSTDGAAVGITAGEWV KARVAKGVAKEKPRSEVAEQNIIGGKSAKYYD PFICI_13681 MSSIKEPAATSSQPADMSDGSDKAQDILATASSGNNTDVEDAEE ARRRALAALTPEEEKSLLRRIDWHLMPLCSLIFMFKNLDVSNARIMNRGTSQNIMTQL AMTADDYNLVTVMYYIPYIIGEAPSNLLLKRFSPSKWQSRIMITWGIVLACHCAVTNK SGLYAARFFLGLAEAGQFPGVILQMCYWYRPDEMSLRLLYFYICGNLSGIFSGILAYA FDLASGRGGLSGWQWLMLVEAIATIILGSAIWFLLPDFPETASWLSEKEKAFIQARLP PNAPRAKEQHFKWREIVESLKDTRLWLFTLIWATFTVGTSGVRFYQSTVIADLGFTSI AAAQLLNLPITVLGLIVIGVTGVFADNGRFPRPLYPLTFLVIILACYSVMVAYPNNGG VYAATMIGNAVTAAWFPTMWPWRVQTTSRATGSAFSIGFVNSYGQIGGAIGPQLFRSA YAPRYQLSFGVAMALVGCCAFTTLVTWWVTRDIEVETRRLKRQKVEAAKRGETVFQDI AAEDELRKARAVPQGIEDA PFICI_13682 MGSVLDERLEETILKPYTLYLVAWYDGLAQWPFHWGLVVIMGDD SENPEVTLSHSVPKGTDSGPQAWKFEQKPYRLPESATIVQLFEISAWQKLSAPYFMSA IVTHGMDVVEAHIDNKGYDCTTWTRDMLIRLADDKHIDLPGDLDDFFQVFIAKAIELQ KLREDLAKQQKEYRPKVLKYNKAGN PFICI_13683 MDPLTVITTAASVVGTAIKISKTLYDTVEALKDAPSDVRALYAT VESVKSAVSRLVALMNDGDKCVWPDSWLDDVSADIETIGAELGEVQKLVEDWEADRGG RRKDTGTGSNAMRDAWRNWKWHFNAEEIQRCTKRLRDARDDLGLQLSTIQVSTTTQTS QNVIEVKDMLGSIEAKLGRNVAAFDGQAINTGLNAFAEQIENLRQAVLRLDDNHEPLL RNGKEIQASQSLADSDACKISNRRESLDSAHSGSSSPILSPLAALNNHAFSQNQSITA VYSASKESPISIAALGLKLSAMQQKHDELLIHWDNALDHIAHLKNEIDRLTRGSMPNP GTGYFSTDMTENDFESANHNEINSREAVIEVLKAQNETLQEMISERVIMSGYRDDQID ARKLGKQLLKKSERVHRQQEEIDLLTWRLQGEQSALREQLQVNKILENEVKRIKHQAD SLIGKRVRRTGLLTPSPQRNDRTSVDGSAERDGSRPWTPASDILRPYSPSSGCSETSL PQKTPSVRGFSFLGVRNRSTQISR PFICI_13684 MDIHRCRFVPYPPSAINAVAFSHSYVPGKKSSAPIRLAIGRANG DIEIWNPLNGQWHQEAILHGGKDRSIDGLVWVNEPDQVLQDGNTLVGRSRLFSIGYTS TVTEWDLEKRRPKKQASGMHGDIWCLGVQPFENPSKKGQPTKIAQTGKRLVAGTIDGS LALYSIDDGELRFDRILVRSPSKKTKMVSIAFQNRNIAVVGCSNSAVQIYDMRNGNLL RKMTLGSDLSGGNKEIIVWSVKCLSDGDIVSGDSTGHVCIWDGKTYSQAQRLQGHKQD VLSLAVSADGSTIISGGMDKRTILYRKTTGSISRWGKVWHRRYHSHDVKTMASHEGPG ISVIVTGGPDAQPIVLPLREAGMENHRSLSNLPQNVPLASASRARLIVGWWEREVHIW RLQKPLKEIVDLAEVDTAVTKNRKLLARILIKGEANITSATISNDGSLLLVSTASDIK AFHLKPRSESRSDELKISKVDIPAAVAQHGATNLKISPNGKWVCLVQEGSKTLLLQLA KDPEDEYRPLVQSKPVRLNRLKRDIPKHIALGGLGPYDRSISQIAFSPDTKMVAVADM AGYIDTWLLQDPTQIADKQNDSDNDSSDSEDDGETDPTSARWVRNPNSALVPKLRCAP TVLSFSEHIPTDRTVSVNGDADAIDDYVLLTINARSDVLTLHPRIGCITPWSKRNPVA RFPVEFRNIRDLVKGVLWAGDRAWLYGNSFLAMIDMTKDIAEEITNPSSALVPLEGTP SRPKKRKRGADTGAGNKMAKGAIGPTKIERHLEGEVEELDLDGPDAMDTDATSAPDDD SDSDDSEEELQGELVSRRRAEGKKGPDALPSQGTGFWHTLKYRPIFGIVPLESAEEGS STDDVVKPYANGQVRKTLEVALVERPLFETDMPDRYFADGEHER PFICI_13685 MEFDWSTPFPEGVISFLDTDLYKLTMQCAVFKYYRDVPVTYAFT NRTPEKKLSRKAFKWLDLQISKLGNISLSDEELRYLRKHCSYLSSDYLDFLKEFRLSP REQVSATFTVVGDDTGSDDDVGDVHLDIRGNWVDTILYEIPLLALTSEAYFKFMDKDW NYDGQEEKAFQKGIKLLEAGCITSEFGTRRRRDYHTQALVFRGFVRASKVGQERGLPG KISGTSNVHLAMRFNLPPVGTVAHEWFMGIAAIVDDYPNATEAALQRWIGCFGEGTLG IALTDTFGTRAFLEAFKKPVRYLPGTPNIPLDREDTVSSKTYAEVFAGVRQDSGDPAD FVRMMREFYDEQGIKDKKTVVFSDSLDIEKCIEYKKISDDAGLQPTFGVGTFLTNDFI SLSTGKKSTPLNIVIKLSSAAGNPAVKISDNIGKNTGDSAKVAEVKKTLGYQEKSWEG GDETSRWGK PFICI_13686 MNSRNAPLSPVSVGGSEYSVGKYQSLDNGDPYNNRAPISPPDSG GANPMMNGFPGPRSVGGPSPPPSVARSSTASGLYAASESGKSMRDENNEVILGVHYVA LKKFLQSTSKDGRANPPPNRARDKLLRLSAVQFLELSTDVYDELLRRERLGRSGPGGP KPPPFLQPEDNFHPKRNQARQKLSTLGPPRFRDLATDVFCELERRFEGFARGDIPRVG SPVSVRGASRAGTPVNGLPPRGMRRPSNASSIRSDAPRFNDYPIPPSPGMPPNGFAPQ QKQFQSNTIVPNKSTMLEEDDDGNDDGKDSFGLDGARQRKRSSDTGISETDKRLLEES QAQVLDLQAKLDELEDRYKKKDDELNKMLNEERSRASNENAEKAQWDDLKADLENQLA QAKSLSNTLRDDLDRMREDHDVEERQLREQLEEAEAAARNASSMGNRGNADAELQQEN QELRMALEEQQQVTEDVRKEAQQFLQEMKVLSEQHTPSWEKQAELHKSIEQLEQEVKD WRNRYARTKTQLRSLRASSLGLTIDQDAAKYVREKGFTEENGLVKDVHVTKFQIAIDE LLKRARTDTPDRAIDSMKAVVVAVRRITKDIDASAPRDEDVMAQQQKLKSRVSATANN LITASRNFATAAGISPVSLLDAAASHLVAALVELLRTVKIRATPVGELEDDDDGTMTP VDSTGFFSSRRTTQDENSFPKPPTRGNQSPLDPPPRFMGLGPRESAQSSAYSPISSPR ESADQFNSRRSMPRNMPANGIYVDKNPPPAAGGYGAQRLDITMEDLKIYMDNQSDQLV ETIQGLVASIRGDAPITQIKEEIGQIAEIVGKIVSETEGTNTDSILIDSLTSCRQRLL EAGDLGSDLVSKGLGPSDREWRMWTQTLPPIAFEIARETKELVESIGRLVMADGDDYS PFICI_13687 MEVANGNATAAAPAVLAPTPAPAQNGERSDPGGYKLKFCTVCAS NQNRSMEAHLQLSRAHYPVISFGTGSLVRLPGPTITQPNVYQFNKTSYDSMFKELQQK DEDLYTRNGLLNMLNRNRGVKWGPERWQDWQVGIPRLNHATDRGSDGTEGGVADIVFT CEERCWDAVIDDLHNRGAPLNRPVHVINIDIKDNHEEASIGGRGILDLADSLNNCAKE EREAVGSAVFDMATAASRASFDERVPEVIGDWQERWPNLPATWTLAWF PFICI_13688 MSPSSSPATSPPAVSSPSSNPNHPALPPLITSPPGRRTNLPRPM SHASKNRLSQYSTGSVPSRSRPPSYLFPIFHSSLTYTVVRDFAYPPVHPMHYGPPPEG SRPPSGMTTPMSESRRLSDPPASWESKLGWEWTSDGGLGRGGELAAIHLGDGPPWSED EDLQSPVVSSRHKKHKSSSAALPHRRERASHEPGGSSVLNADNFDSERGYYAGTSGDG SERYYVNQDGEANGPGGEYVTYPPNQARHSTHGSFQEDQRPRQYAEHDAGYRSESDDH SSTASSPGFNAYDESRYSRDYQFTITSPDEEMHGKAVALFDFARENENELPLVEGQII WVSYRHGQGWLVAEDPKTQESGLVPEEYVRLLRDIEGGMNSLTGQLEGPGSPNDSGTP TQAEHTNTSYGHTPTASTGSANGYHQPVVSTFSTSSADLNPYPQHLLGSQPGQAPPQV VHYHGQRGGSQANTPTVQSSFDSGLGRRGSKESQDISRRSDSISGKMQGLDLLSDKKL TSPVEESDSEEEDDNEPTTKTES PFICI_13689 MSGRQYSNQRKPGREHWPLYPKGFIALRIVQLVLAVCVLGLAGF SVALISIDGLHLILFVAIATLITTIYLIVAQFGSPASYNYWAVLALDIFLLIFWLISF ALLATEISFLSTYTFYCNGGYDICTVSDVYQACVAAAAGVGGVEFALFIVSLVIHSVM IHRHRKAGLHCNPVHSSSAHHETQAAIPLGATSNNAEKPAPQTHSLPYQQAQPAPGYA QQPQATYVPSPTPPAAAYSSPPQQYHQPQQQQQIPSPLTQQPTGASAQHFSQYSPQNS GPPYEAPAQHPNPGPYEAQTHH PFICI_13690 MSNQRAELQREFKAYWADNATPFVDQDLINWLQNRRTDARDDWR RLRRRVAEEGLNAERQALEVAFLAQKYARYYSPVGIPAVDGKRVPWEDPKERNTKKYP DDNNASFCGALHCQRPMPTSGSSLKDRVPPQCGVCGTNLSEKRLARERSRDEALFHCG SCGHDICINCGRSWIERHCDCCERVVGVPPTEKLANTSSFSCWVCESDQTERRLEKKH AQQLKGGDKDTFRCGQCSTSICLECGKPSTYTFEPNYGLVRNLWYKFVDNYWDPPELY GGFEKYLRRERRLGIPQFDLEDLYTPAHVIKDDNDDDMMLYDGVAPKLAKKADEEEEK KKKREEKKKREEKKKKKGNEKDDTEDTEEEEEDEDEDSEEGGQGKDSNASGTYSSAYG PVPGLIQPKKPKRPVASYNQDFKIRRVEFGREIPPPQGYDDFWYAENNKRLYNMASAW SEKYFAKNEFPVRYDGKTWLCGLNEQFINYANIVAHEDPFFGPHDGYRHDGWEYMLRD RSNRKWLIMSILAQIIEKKIFVELLFGATPAERKKLDEQDSENLDHDGYRRAAARSHL INLMLSRRVVPQDFYKKVDELTSYTAKIFQDIFTITNLLDDGYCQRRAVAGTRDKFEV ARESNIAYMYTELHYIISHAAYFAVCMRRSASVFHFLSATPAARMDYPIEAQANYDLY KLSKDEADVVEIVRSEQDKAEIAAAKAKGSATAQEIEDLEREKRLSSHHWLRGAKVKF AVWPMITRYRPENVGRPIIRGKVPYHLRGKDWVAPTVDQIESGEGQRIVEIGKCVVVY YQGIIYPRNDQPGMVFEEDGRSLLTYLAMERPAQTTARWRHVSRLYFAARLLGVALLV AAYARRDWVAERWVDIVRFVLALPIAYALVTVLTSARRFRDIMSSSARVLLALGLGLL VPLSVYLRLPYLVRLANDLSRPLANGVPNTTTTAVIASLVGLGLRRTRSLTSSIMYGS AFFWVLWWYYNSALPRIVAQLDQLAVIAAIKMHLPWVAATSRNLIGKVVIE PFICI_13691 MSDAASTRLTAGDARSLRNRWQGPSRNASTRSSAVYNDDYTPAQ SQAYGFGQGSLGLRSTASRYSLNEQFAATRKEFEFGFDDGASTFDRSTIASEAFEEYL RDDRQDGRDIYPGQIVDYYDSSALWLRDGVIDPYDLLVLPRNPSAQQIRRAYFRLLVL IYPDSHPPKLRKAASVYLTLVQDAFEQLIGPDRRLLQHVDETNTADLVLDEPGRYQIY QLWRLPLASCSQHLLDNDNPGQQDATYHQPVQREHVSKYLDKIGLEPVKICRWIGKMD GILGLGVKFDMGSPNLFESGARGFARFSILNRQFLSLIPICVAPRLEYSSSLPGCLQI PEFQGSWALSTAAETRGVGASVKYMIDVDESTWSLARMKSPGREPTQLAHIAPPSRPI RVEAEISSGWIWSRFLALRCLRRVGRFSRLGFEFGLGAYHLHLSLYWSRLGQRIRLPL WTSFHSTSSPRLLFWTVVIPFMSFAAWDLVSRWRRHQAASFQARNQLADMVQDRRAEA DDITILLSANVEARQAMERAKHGLVILSAKYGVKKDDSWGLEEVADVTTALAALVDDS HVQIPSTVDKNNILGFWDPVPGLVKTLHVRYSFQGKEAIMEVPEGAGLILPPS PFICI_13692 MTLSYQIWEAGSDIALLLLAMKLITSQPQRGFAASENYLYTASK RYAALLENVGTTSIVYLQAILLISLYEYGQGVYPAAYMTVGQCIRYAELLGLPSYRNT SAVLGHPVSSFCHSLFE PFICI_13693 MDEHLPTDDKAWDMDDSSRAYQRPVSSPLSEAQSPFARLCQSAL LAGRALQHHADLERRKISGARYDFTDVGRLLEDAHVLCKAMGHDFTSDPASYFHLVAA RCLNFNAVLRMLGMYATGESLRGVGSEWNEEEMALQMTAMDGVKKTAALVRDFAADLF AFISLDEDVVKTPPIVLDAFYLASKAHHDAWKETGDPVAESSLETTRKCLVRLSGRWR LGKELLDMLETHEMNYIVGANFHDDKLGGIPLVYPVMS PFICI_13694 MFSRAAFRAAQPLKRAAISSRSYATEPAKKGTNPLVFGAGAAAV GGAGYYFFATPQGKSVAQQAEKKIASGPAKEAFTGGDQGFISLLLEDVEIINHNTKKF RFKLPEDDMVSGLKVASALLTKFKDAGAEKPVLRPYTPTSDEDTRGYLELIVKKYPNG PMSTHMHDMVPGQRLDFKGPLPKYAWETNKHQHIALIAGGTGITPMYQLARGIFNNPE DKTKVTLVFGNISEEDILLKREFEDLENTYPQRFRAFYVLDNPPKEWAGGKGFITKDL LKTVLPEPKSDNIKVFVCGPPGLYKAVSGAKVSPKDQGELTGILQELGYSKDQVYKF PFICI_13695 MTTLQNAKPELPMRAESLTRMLALEKKYKIQRLQDIGSTPPLTP LGAPITRSASSAQPNPVLKARHASALPPAPRQRPHMMMKASRRASALPSLSISVPHSV SSAVAVRTDDEKDAQSPIEPGPQKEPPKDTVERTKTVTFSEPEGDEEEAQFSDQSSIC QSPSWAVYGQSKKKDKKREAAEKKKEENRQEKAGRKSFTRRLSKAPPQSTAQGRPSIS TERSLSAPELDQHQKLSDRNLSRTTSHYPPDSMTNHHNLLKHPAAEENSKPKSKGFFS GFRLPGNSSNTSQKTDSSARASMEDVSSLRAGTAYALHKSGQRGDMDFLNPRKPPSIL SNSSSSQSQSSQELKQTKERRSSNHGRSNSLLARLKGPSYLYAKHSDSSEEATQKRPE SAGKTESLADTHTVSQSATHAVPAAAPNVPQSNDQREGGQTIRGRQLQVQANILQSRD SSSDSGRGDFVNTRHLYTRHQVPEAPIANESRRRPPNKKMQAGGQQPESSALPIPSPR QALFDNSNDHHAKETRRNFVHVGQPQSPVQPQVRMAEVPSPAMSPRSNRSYASVYTDA VDQLSESHSFHTESEKFSDHAHATIIRPDSRRGDRNGLGPNRIGLKPIAPPASTHDHG ELRRSPRVTEMRGPRVTSRRDGRLSETDETSTAAAQYDDQTHRAPKPSDYFTFVSESY APPSLELRSPVQDECQENEPVEDMYEDEDLVWVTDADATREGERIDEARDKEQQKAID GTESEEICDSPTLSAHDRESFVPPLDGLGTYSAAPTSLEKNNLVRVTLEDGPSQTVSE RSSSSTHNDPPHSPSPATTPDISRPQSQRGSSLDIARGRTNEGKLGDERPSKRTYRSK MTDLSLSETRGLETHVQDKSGDRHTISLDAEHSDGTTRGGTPEHVLSRSSSIRSPSLR AFAEPLLEEEAENDEGPLKRQPLAPKALSSIDLSTNSSLLHDRLPSSTLKRNKAAASS VSLPNSPPTEMEIETPHPLRSAMKPPRYDSSSSQEASGIISAGAAYLKEARKAAPTPG GPSNRTVRPMYTPRVSLSHQKAGMSEKKGDPMAKVLVQCCNCHFFHDMPSRVYECMAK PDSVIEDKLLGVSAAITTTVRCPWCAHGMTTSCCSGYAALVYLKEKLHGQ PFICI_13696 METEEQKRAEGRQPKPAQAPPRTFRERVFHALPSYSGPYSVGTM EIELPVREPRTFSQIERDNVYALGMDTVLFALYYPCDTSSFAKAGNQPSRATWLPRPR VPTCRGYAKFLNIPHMPVTAYIAATTMFTKIPAFRNAKLAGCRPGEQCCPKNCHDQQT SGADVCDKPQFPVIIFSHGLGGSRTCYSSVCGELASNGFIVVAVEHRDGSGARSYVNI PPSGNLADGRILDNTKSKRSYVVDYIWPKDNAQDTSPHNQRGVDHHLRDAQIEMRMAE MAEAHYALELINNGQGELILKNNLRKKGNVGSSSKGLENINWSDWVGRLHLNNVTVMG HSFGGATSVQIAREEQRFPWVGQSIILDAWGPAIPKVEGDQSHVRKPLLAIGSEAFMH WPENFDAVLNICKDTQESGSPCWMATVKGSTHLSQTDFAVLYPRWMSWFAKNVINPRR AILLSVNSSLEFLRHVLPEEHKFGNSWADEGILETKSLSPTDSLPSTHKPNEKWIAAR LRIPNEFRLRLMSWFRRTPKDKVPKDASGKPLAGIITRCLGDEVWVHVSPEEGERGRP DMPTAWHLRNSRRNSTYSSQCIVA PFICI_13697 MASSYDIQTYLLDKSNIEDTIHKQVLYYDLQYAEGLEEEVYTSE CVIDYTAMFGGEPITFKSREWAEDVTNLIKKFDHAQHYASGVVVRLPQPGPKAVRPST VTAIGNGGAHMVRQAAEGGPITHNGGRAEYEVVRVPELEEKGENPWRIRVQKIIPIWA DGNLRVMEVMSESKIMPK PFICI_13698 MFTGTILLLGGTGKVASEIAPLLHPTYPVLLASRSGTSPDPAKY AGVKFDWDDKQTWESTLSAGPTPVTSVWIVLPTALDPAPLVREFINLAREKGTLRFVL LSSSQLDEGVAAWGQVHQYLRELENFSTQDHHIKSIKDENKIYSATGSGKIPWVSTRD IAAVAHHALTDPQAPNSDSLVLGGQLYTYSDLAGIFSKVLGREIVYQELTEKELAARH YSFSVPEKYADVLASLDTNIKNGGEDRLNDVVLSVTGEEPRTFEDFVEKNKAVWQ PFICI_13699 MSLISGQLQAPTSSLPSLRSLDESSEECIFSALRNLYALYCPLS SALIFQHPKTKPAAPVSEVPTPLVDSGYVSGDEDGDEYDDADILETLRADAFERNHAT SWLTGFIARAEGLSIWKSEEACENAIDQASYVLASFSSTAEENQDDEDIDIFRDFSFD LGTAAADGEEPTKIQIQLLDKAIGARSDHTDVGLQSWGASIVFSDLLCASPERFGLTN LCRAPRVLELGAGTGLVALTLAKLFPLIGTTDAKVVATDYHAAVLANLKDNVTANFPD SASPSLEACLLDWATPTFDAPLDEPADLIVATDVIYAPEHAIWLRDCVAAYLAPGAIF WLMVAHRRDGKFEGISDTVASAFANPADLPRDKAGRALSILSEERVEKRRGIGRGDES HYNLFKIGWSAQ PFICI_13700 MASSKRGPSVLVTDSRQRPPSRGTPQRPGTGAGGGPSRMPSMRF ISVDNVLQYNSEIPSGQPRLPPGQSPAMRRMSARGLANLPSRHGQANMPSRTTKISEK LVLLPETEEKADGFDEEGEGVFKDDDDEGPPNDEELDILKKRAGVRGKSYAERLPKGQ RTDKVSRLTAYCTAQSYKMKSTSEFLRKKHDAKTKLYDDCLYSVYHIPILPGVDGYRV RSRPILKSPGTGKTLLDIEIERSELRDEHMGYEEYHYDMHNDENGGHRGSEERGRDMS RPQPQRHQDDDSISPVNRLAPDAKHFAEMFVFSYGVVVFWNFTETQEKNILADLAFAE NESGVSLVTRPLDQSDFETEEFHFEYRSDIKRPRIFNDMITLLPKSDHMVKLTISHAI AQSTKLCFFEERMSETMLDAQHVPKRLALTGELNMTRTEIVKILGRLFKSRVDINLSS NILDVPNFFWDSEPTLHPLYGAIREYLEIDLRTKVLNERCRVFLDLAEILSDSVADSK MSAITWIIIILIIVSILVTVTEVALRFGILSKEKGRNEGSSHDAEVLRTRLHGAIANA TLDELKIWSAGLSDEERSAVCGSDYVGTTFAGI PFICI_13701 MAGSKKRYALIGTGGRAIFFYTAIARDYSNTSCIVGIADTNQTR MNFANDKLESLGHPKVPTYLASYFDKFITETKPDEVIVTTIDRTHNIYIVRALELGCN VVTEKPMTIDVPRCLQIFDAVEKTGNKVRVTFNYRYAPHNTKVFELLRSGAIGTVTSV HFEWALNTSHGADYFRRWHRDRRNSGGLLVHKSTHHFDLVNFWLQTRPQTVYAQGDLK FYGRENAEARGVRDFYTRAHGSDVARRDPFALHLEDHAQLKAMYLDAEHEDAYYRDQS VFGDGISIEDTMNLLVRYKNGAVMTYSLTAYAPWEGFRVNFNGTGGRLELEVVENSYV NSGGDQAAEGSIEKTTLLLRPLLEKPQEIEIPQGTGAHGGGDTVLLNDLFGEPVSDEY MRAASHVDGALSILTGIAANKSIATGQVVNVDDVLKIPRT PFICI_13702 MADSKPMEQVTSAADTIEPAKDVQAVVGKGGDKATAFLVEHGEV TFDYEEERQVLKRIDRRILPLILGAYFFQQLDKSALSYTSIFGLTTDANLHGTEYSWL GSILYIAQLIFQPLAALLLVKLPYGKVIGTAVIGWGCCAAIAAACTNFKSLAALRFLL GTFESMIAPSLLAVTQMWWRRSEQTLRTSYWNAMNGVTFIVGSLVTFGLGHIESPHIY KYQTVFIFCGCLTVLFGIVFIFLMPDSPMETKYLNEREKIIAIERLRANQMGVASRKW RWDHALETLVDIKTWLWFVLVVAISIPSGGFSTFGALIIKDFGYANFTAILFQLPTGA LQIIAITGSAWFATHYGRKGLTITCIAMFPLVGLIIMLTVPRTHKGVLLFGYYLVQCL AAITPMIYAWSAQNTAGDTKKKTTSAVVFVGMCTGNIIGPLLYNVNDAPEYRPGLIAN LVMFALVGALGLLIPLYLTFLNRRHAKTREQLGGSAHIVDESMMRKKDMTDSKTADVE HNDHAQTQRLEDDKGLHDVTDLKNENFIYVV PFICI_13703 MATATPSITSVLLFNTDEQTIVADVLGADATATTFLLNCPPGTD SDECGTYNETVVVGPWAKPTPPPDASTGVYDLEVNMGTEWFFHLHCDMSETVPVACTT TNLGGNDDGTPTATVTFASSDYSDLSFDWTPVTITAGLEMLASATGTGSAASSVSSAT STGGSVAVTQTGTAATASATNAAMLGRGEPFAAGSLALAGLALGWLLR PFICI_13704 MAFFYTIALGIGYMLCIAIPYFILRSTYYLGLHPLRDYNGPFLA KISDSYAGSFVLRRRLPLVTHDDHKHYGSVMRYGPNRLVFNSVTAIRDIYQNPRTTKS HLYLFSTNKGVPFIFNTLDRSGHARKRKIIGPALSERSMRMFEPIMSSQVDVFLKYLL KSGSNLVDVSERFRRLAMDIVVHLAYGYPLDLQLHDEHYFILKSISLANWKINSFMNW PLLSKMGIQYLLDKSPVRKQWKNVIEKMIQTRVEQDSPERRDFYSFVLQNLDAETADF RQSELFSESLFFISAGGDTVSTAMAGTFFYLARNKRCYDKLAEEIRGKFKTGGDIHGG QQLSSCLYLRACINETLRMSPPVGGTLWREAAEATEKEPFVVDGHIIPPGTHVGVNTY SIHHNEEYFPDPFTFTPERWLETAENDAEYSAGTSTQYRAFVPFSVGMRSCAGKPMAY LETSLILAKTLWYFDFERCEGSLGDVGGGKKGAPGGRGRVDEFQLYDVITSRHDGPWL KFTPRGNYFEDLF PFICI_13705 MTTDAAKSRRLHFIIVGGSLGGLATGLALKAIGHDTTILERNRT PVLEQQGAGIVAGGDTLAFFKRYNRCDRPIAVTSHRRQYLDKAGKVVHKEDMDQNMTS WDLAYFIMRANYDQVKSEHCEPPAPVPSHGKAVHWHDHNVTDIKQEGENVRVYYKTGT GQEGSITGDMVIGADGPSSTVRALVEPEVKRTYAGYCALRGTIPENDVSPATREAFSE RFTFFHGPGVQILAYLIPGESGTVEPGKRLINFVYYTNFPEGSPELEEIMTDKHGKRR PITMPPGMIDMQAWEKQRQIAAERLPPQFAEIVRGTQKPFVQAVTDVISPRNEYMDGK LVLIGDALAGFRPHTVASTSQAAFDAMVFADHIEGKVSKEQWKKETMGFARFIQKRGV EMGIHSQHQDLPVEEHIQDRNIASTPREQEVYPEWATAI PFICI_13706 MAALPYRPSNAEQRRYYFGLARTAGLGDPGTCVFDLDGRIIGMI TGGARVGAKDQKVWRGLSQEGSSSKVGPEAEQTGADSMPQWGNGTDVTFVSPIE PFICI_13707 MSNLSEAYGGPLPKNFRTLREMFLESVSQYPGQLALAAVHQPAD LYQIESIPLDDDEYRQKPYLRWSYIAMDTAIQRCMAGLRAHGLKPGMPLFTFNANGAE HLITHYAASEIGAVFVPINPRNLANREEVLHMIKTARSVVPGKRSAVVTSSPDLIKPL EELGVFQDSLKIVVAAPENSQGWTPFEEIMKAPPTNGAKANGVETNGFVMVEVNGDAD KDKLQDSYVMFTSGTTSMPKGCFRKWPESVMNIESWRSRAKDVKIAPGDGVCGVMPNN HAMGHLWPPLTYLLGGAMIYPGPTFQPDVMLKTLHREKIAQTVLVPTMMFALIGLKSN TSYKLDHLKSVMFGGSVLSPDIFKSCMEELGARGVENGYGMTEGVIVRSLSQSDPKVI IDGTEVSCGWVIPGQTIRIVDPETNQVLPRNVLGELHASAPAIQHYINNVGSDSFYTD AEGREWFKTGDQARMDEKGRTFITGRYKDMIIRGGENMSPTAIEAAIAKDHNLASMLP QIVGAPDAIAGEVPIAILLGKVDADVRQRVQDAVIQSMGNIYVPEDVISVQDLGLTDY PRTMAGKIQKTKLSALVKKHRTAQESGPATMDDSRLVEEVRDIWAKAVGLEPSKLSLD AQIGEFADSITVMRVRDTVKRRTGKTLSLIEMTKAGTISGQIELLRQQHDGTGRKENK RVVRVGPPGVEDMAHLTEVPEFYEPTKKLIVDTISTLGLDWDDVEDVVPAYDFANVML ESGLFHSWAFKFAMISAKADKIQLRKAFEASFKNNRVVASFVVSDKEALGSTDALHVL MRQNDKFFDKIFRDCGSVKSSAELRAKSLDYRDQVAYPGPLVRVDLYDVEETGTCGVI MNLDHAVIDASSALIWRADFDKSFEGTPSLSEHLDYKLWADSHFNQRTSAEARAAVKW HAKRLKDVGQHRKALWPPYIMPNDAQEYTVKSFDEDALHYSFEAPSILEFRRLHPKIT ATVVTKTALTLFIASHTGHSHALFANYEAARTTFPFLPKILEATGQFEATDVSGPTMQ AVMNVVEYRPDETVLQFLERMQKDQLDLTAHAPAPLRQIMSALGDDGNMLLEILGHCS FNWVPGMGTYGTNPNQNFETISSIVRVRQGLSSNFGLGGPDGTTFFIDLRSIHFDLDG LQEVAVELEEIVKWLLTKENWSAAVSGYTAALE PFICI_13708 MSPEIMAVSSARSKDVIERCNDSFQAHLEAIGDMIRVEIRALEK ARDDTLRSKMHDQKNPMAFAFEMDRLCQESSEALLRLLRPELHKAIENLMDQLVAETL ISETVGPRRLLTPPTPTSSRMLPGPPASENPLQPRAPVANMDSDILSPLNRARSVTMP AFDHPPVPGSTISVSSVIYPSPTQTERTAARKTPPAPTPSTPTNPQSKRAGADAISTT PSKRAKTSTTEKPPPKVTTPSKKTAASSTTPQTPASRGRKSDGAKKASRVVNNQDDDD EYQQSQSLSDAELNSEFPPISEIRSKEFAHRAAKGKKPVYLDKPLQDDEFLDI PFICI_13709 MGSLANGEERSGLPVPNPCLSFWQQTTRSFPYLNVNENEQVPSR SKYVIIGSGISGALTSFELISAGVSGEDIVILEAREAASGASSRNAGHVRPDAFRGFQ VYQRVHGKDQALKIIANERTVFHKVDEFVKQHGVDCDFNPTTTFDVCLTREFADFNAQ SFKEFQEAGGDVSHVKFYEGDEAKQKTRIAQAVTAYEWPAGSSHPAKLAQWLLSRAIE KGAKLFTHCPATSITAATVADGGPSWDITTARGVVQAASVIHCTNAFAPHLLPQLSSF VTPNRAQAHAFVPPPSLTGSNILKNTMSLRHSLKHFYSVAPRRPDGIIILGASRDNPK ISKAALDSRLLSSDTEFNDEVRDDSIAHWSKCFPECDASKLRHGEGLIHTWTGIIGMT PDSVPFIGAVPGSPGQWVCAGFNGHGMARIFTCAPGVTKLVLGGSWTDTGLPECFELT EERLAKLSKGVSGSVF PFICI_13710 MALGSLAFAQRHERTPDERALVRRLDIFLMTFGCISQDLDQSNI SNAYVSGMKEDLGLEGNELNYFQTYFNVAYCIMLVPSQIILTYVRPSYWLPGLEICWG VITGLIAMTHNAKQVYILRVFLGLCESSAWPGMMTLLMYWYTPSELAKRMGFYHSCQA LGSMMSGALQVAVINTLEGSHGLAGWRWLFVINAIMTVVVGLLGFFLLPDVPHNINPR AFWFKKEHAKLALERLDRYGRTSSKKMTWAGAKRTFTHWVVYVVASIYIATVLAPWGY SYFNLFLKSLKNPDGTARWTTSEVNAIPIAGGAINVVFVWIWAILSDLLRTRWTLIVT QALIGLVPCIAMTIWTTHPATTPLATAYAGYFLSYLSLGTAPLLFAWLADILPSDAEA RTLIVGFSVAAYYGVSAWSQVLVWPASQAPYYKYGWQTSIALWIFVIGMVCLLRYIDV KHLLPQRRETFSTITGVDGSDAEHGASEPGAASKVTAPEAVKAVPEA PFICI_13711 MESVQAQAKKPKVRTGCVTAKPSCTRCNSGGRICEGYSIPERKK HVFKKKANIRFWGDAENVAPAALRLLRPVDAGVHGTHDERLFFHRFEVSVNGLLLGSG TQRSAFWTRLLPQIAHSNEAVKHALMALSAGFRLMDFEKSQNGPEHEGQRTSDHFVLL QYNRSIQLLKRHTEKVTFENLEIILICCFVFVCLETARGNNEVMQIHLARGLDIIRNL VSTDFFLFCNIEESDVRVPRLERDARRQGFRPSRLSRGEWNQLLRYFGEYELGAYIYN KDSVPSISMRILELDDLLVAEVPEFRSQEDVSAAYTNWTFNVFALLHETEPYRGNAEW WSQSRQSHLYTKVLSWGRQLQQRVEEFMGGPQGPKSDGSIEYCKLLIDRAQGRSLLPA VEWMPFRYTRGQVFEGYEALQRQTVEDWEEIIRHFGSPDRVPDLFLGVTGIRAMMHCS MFHACDPDTRRRSREVVSFFKGKQMQMFHAATMLQLFDDNGVGEDDWLLPGQELFESG GSCWLIEDENRVPDPAESGYPGAGHNWVYESHFI PFICI_13712 MASSIGHNHEASAENQDKSPSQGSRDLVISWLDKVYLHARNAET QSIFSTAAPSVPVPMASSPGREGMFAAQRDGNTLCLPAGAHTHQGPAALNPLAHCYRL RDDGVAIEAPGHPFTCACSDNARLHSARESESPTTPVPTEACLYPPIPQSDKREIYLN LAMQHSAGIFYPLEGHRIMSHNPLKLNKYRARLLNTPLALESVLAVGALMHPEGSGAS FAAAHAAFIDHMISDCISEGTFKSDLMKHLILQ PFICI_13713 MGEAKTRGFGETFEPENVMEDYDCLQIDLLTSPRLLRTATSPST PESFQNSSPSTGSSTHQEPLEAFEDAVRIATLLCLRAATLQTHPMAKQSYSMLLDRLI DRLRIILEWIYPDTYDSAYVNPMLLHEQHGQGAILSMSSARPFLIWMSMIGYQLSVYY GLYHQGWSYHHSEGCIYLKVLVAMGICDAADVDHCTKDDVVIFDMLNLNWATDYKRKS FEMLRWIVN PFICI_13714 MKTAAVFTTFAAIASGLPANCTQTTCPTAQEATSFSLTYGIPLL AFQQLYSTQQEGADAPVVNQLVANTELTTPNDTAVISPNVDTLYSVVIYDISHQDLEL VVGDVPADRYYSVALYTPYGENYVTFGALTDASAGTYLLTPAQSFNTSGTVQADSTGR YAGIIHVPNTFGTAMIRILLKNAEGDIAVVNEIQDGFSAALVNRTGEPVGPQLTDDVF SNSSSSQPEYIMDLTARFAHMVPKTLGGEIVPVQTTATLMAAGVDPKSGTYAKPACVN LTQAYTSSQGAMMSAGESNATSTDLGNGWKMYNSEYIGTYGTHYAIRAYFAQEAYLAM VNTEALYPFYTESIFSLGTNESYRMQFSGKPQLEDVGFWSVTMYSAEGYLFENAIDRY TIGDRSNLTYPDGTLVYGSDVNSTFEVLVQGATPPDEYISNWLPSPPNGSDFIMLLRY YAPGDSLRKGDYTFPHLEPGAAVV PFICI_13715 MNSSTFVRQSLAQRGPQRTRRRSKNRLERAGDRISRVIGTFTDK IMPQREESTHDDEDDKPFEARKTWNRVLEVVTDKVRRDKRLGAQLKEPLQAAINKGWN TRHHSSYLKVHVLLTYWADDDDAKDAANQLDSLFRDKYGFNVFIFPLRVDNPEPAKKL AGLLKSFMEIHGKKDNLLIFWYGGAAHLSGDGRGRTMWFGRGNGNMLISSGLVTKTLA GLLEAPPCDADILTLFDAQHSINDMVSSAGSGIFEHLGASANVDLASWKYNGCFTRSL IDILGRQEVIRHGISVPDLHRELIQRAGNIRRDISELQSRQNLNSTHSQNVVTRYGYM ARTPPPPVYCRLSPLLPRSKNKSGSVVLSQLDRQLEYFSVSPDNEDIDVQLIVKSNND RLDFPSWRTWLQNAPPQVEKIRVRRIEGSE PFICI_13716 MASRASIVGARATTCRHCLVSQTRVAALQSQKRFITENVTRRRA LHEQAWRRKAAKIKAGEEYNLWDVLKERGYVKDTAGTSEQIWELMRRKRISAYVGVDP TAPSLHVGHLLPMMALFWMYIHGYGAVTLVGGSTAKVGDPTDRLKDRDKMTGSDLTMN TTKIHYQLKSLWRNVDARAKHYKYEKDSSWSRAIMNNSIWLNSTPIMEIVGRLFKGMR MGPLLSRDTVKRRLEGTAEGMPLDEFIYPLLQGWDWWKLVEQQDVMMQIGGSDQYGNI VTGVEAVKCIRDTEPNPDFRMDDSLENTPVGFTVPLLTDSSGAKFGKSAGNAVWLDPF MTSSFDLYGYFMRRPDADVENLLKVLTFMPLESVKTVMEEHAREPAKRVAQHALAFEL VALAHSEQLAKDAREQHQAMYSKKSGISTANALPTAQEELKQYPQDGKPASQEAAINF KADMELPESLITGKSLGHVLYASGLASSVSDGVRLTKFNGAYVAGAPGQKSATNKGMT WGDLTFTPAKNWFPKDNRNFLIDGELLIVRRGKHFIRIIKVVSDEQWEKSGKKYPGEH GTGHTREITDTIKSLRETGMDLSRKSWDQMREELRHDKGREEAEQKGQLYIPTGTPPD KPMSKKDTT PFICI_13717 MASIVRPTLLRQTALAAATRRASPAVRSAVLKDVARVSAFHASS RKNLLPPGPQVIKGTGTKPITTDDFPFTLSPRPNATSFNDPAPVPTPSPSHGSYHWTF DRLLAAGLVPLTLAPFAAGSLNPVLDATLCGAILVHSHTGFQNILIDYIPTGRFPKAR KFSMWALNAATVLVGIGLYEFETNDVGVTEAVKRVWKA PFICI_13718 MSSSQQPGPAAAGPNQTSAAAGLSNATSEDGARSQMSQAGQPLG IDAATTGGFSSSSIAAAGGVAAAGAAAAPLPGTGPFLPTAASTAHSPEEALPPPELVA AQDDTIEAAESNADSDEFTPSEWGEDGGASTASTSINSSIYMHTYENGRRYHSYKNGR YPIPNDDQEQNREDMKHVMMLEMTDGKLVYAPIGDYPQKIIDIGTGTAGDKYPSAEVL GIDLSPIQPVWIPPNVKFIIDDCEEEWLNGDNFDLVHMRFMSPVLKDVQKMCAQSYAN LKPGGWIEFQELHAWPQCDDGTMLPDDHVAGFYKLVVEAFAKLGLNIHAPCKLDKPLE AVGFTNIQCVVKKIPIGTWARDRRLRLVGHYLKLVIQDFLPALANKPFAVLGMNQVER EMWRTATFKALDDMSAHRYWNFYFWSGQKPE PFICI_13719 MSSSRRRMATSVANVMFTNAVYFPNYKIYSGATPGMLNYGCISH VFYAFASVAIDGSVFLSDEWADAQAPCDGVQGGLGSLMHLKQAHPHLQVILSVGGGNS SEVFPVLASDALLRDNFGRSARGLVEASGFDGIDICWEYPSDVQQGADFVALLEATRL HLPADEYFLTAALPASQGILQCIDIRTAAHYLDMVNLMAYDFYGSWTHRSGHHSQLYA MNKDEPSGTSGIAFLIGAGCPAKKINLGIPLYGRSFLGVSGPGHRFKAVGGEDGTFDY NQLPRKGAKEQVDKRIGAAMCVGGDGGFVSYDNPDTVKMKATYCKQKGLGGLFYWSGP SDARETSRSLVATGFKTLHSS PFICI_13720 MNGSAIEPLALDAADYDPISHLNQIFSHPSTVHSVSRVSSTLRA HQDDLSRQVSALEQAQAYGPDSSLERMQSAQAELAGLFKKIETVRSRAIQTEQNITNM TADIKRLDGTKRNLTLSMTALKRLQMLTTAYEQLRGLAKTRQYRECASLLAAVLQLMR HFNSYRSIEQIATLSRGVSELQRELLEQVCEDFEMAFAKDEVGAKRATLVEACGVMDA LGDNARSRLMTWYLNTELREYRQVFRGNDEAGSLDNIGRRYAWFKRMMKGYEEEHAAI FPPHWMVGEMLAMAFCDGTRDDFKGILERSMRRVDGAKIDVNLLLSCLQETMDFEQSL ERKFADKPRASIDTLSSADERTQNFNGSISVAFEPYLSLWVESQDKALAAMIPRYKAQ PLIPPDEEFSPQAVIGSAIELFHFYKVTLSQCAKLSTGERLLDLTKTFSRYLDEYAQQ VLLAILQRTGPTAASLEDIVLVINTADFWHTNTGQLEDSIKKRIDPELGTKVDLSSQA DAFLGVASAAVMTLVNRVEADCEGAWREMKNTNWSKMESVGDQSSYVGELLQHINAKA EEILHVLAKQQYARAFADNLVEHLAGTYIANIVQCRPVSEVGAEQMLLDKYVLTKAFE NLLSFHNSSSTPHVPAVGFVKRVNNTMTRIDPLLKTLQVRPSPPEGLVQAYLIHIGDK SDTNFKKILDLKGVRKMDQSHLLELFQIHREGSSNDKLVAQSPLLTPLMNASAAGNTV PSINPGSALMGAQGATRFDAASLGEKLLSAARDGVERIGTPGQQSAAGQQAATTMNEN LKNFGKFFRRDIGGLGARFGKSGDESGR PFICI_13721 MSWMDSWSRPSKTQATPAPYYLLPGGENTPYCHSCGRVIGQRKT NAAATAKTEAKYCSSKCRSHRPGKLDREIESAFVRLLEGSEELGGPADAHTPIKAAKK HKGRNLKLKGDQRILVRCSVVEGLVFGTRDEGADEENHSTRSGSSEPEIEHHEHRPDT PVDHLVESSGQRIDELGDEDTYVDGDVLARLSIRSGTRIRPAQDVSEVNGSVGGEKGK AERIEENEEMLKKRRLGQRRAKEKEMVKCAARRGVVFGFLAGDQDGAGQGGGDMGGRR KCEAVSNGNVVEPSFAKGDWQIRWRE PFICI_13722 MFTKAATISALVAIAQARFGQEQVPVSAVTALSNFGNPGEAATL AGGIPGSLLAAADPCAKLTLADKIVSTLGTDSAVISAAQQLVAAEQNFNPFVVSIPNI CGDATLPATAELRGIVPLIDPAVTGSDTENANSASSLNSPFDATGLSVAEVMIAQGFS NFSSNGQDQSSGSTGNTDTGSGTATTASAAASTTLTKCSKNTATASAATTSAAAATST ASATESADAAATSAASSAATGAGAVSDPVTGTFDGFQASSLGLDFGTCTPTVKFEESL NGRKAGEFTFQAQDPVVNKGQQEALNPNIIFNRICDQLVNVCGAADDAVTACKSAQAD LGGGAKDETTANAWNEALGFAGTNINPDNAPQTGLVGHT PFICI_13723 MIQGIFYARFLPQEGTKIVAQSPPGCIVASQFSLDAKPPLVDFD IIQEYIIPRKAFFNCFMTVNTPDNKYTLLGFPVSIQDERYSRNEFIFNFGLVVESDCD VIPYERLVRRLATTFAEMEKQGGYLSSEGKVVNDGRRPIESLLEIVKEDLNNYGECMI PVDEANTINMKLFPYHPPPPAVRGWHVPVPKMKLMEIVDATWDLTLQKLIPHIDGVND VRRIAWLADVSLPLTQTALQHLLYYDTILLLDMFFFSACYAPRPAIHDFISNRDGLVD ECASYVCIGGLSAGDTIPTSADTSTGTVPGASGSKATGSTSGRKTNLSSSAILSSQRV SNYQLIKLMTTFCVGRSVMEWIKLHTDGGFPVLNLIDVRRLVQFGVIKGLLYRLQKYV VSKQYLALLVTGQAKPLRDSKRTGVKPGSLGGEENGENQHVGIIGGDPLQKYTDGCHS FDQIITEQNMTSQEIVDKLKSIPAPVGDLTVFHR PFICI_13724 MSNHVLLLGGSGKIAQLLTPLLLQRSWTVTSIIRNPDQVANLQK LGENQSGKLNVLVRSLEDVKSESHAKSLIDEVKPNYVVWSAGAGGKGAPERTDLIDRD AATYFIKASVATPSVTKFLMVSFTGSRLRKAPWYSDADWTEQIKAHEKLQRYYEAKIA ADEVLYREGKKRPDFAAINLRPGLLTEAPAGKVELGKLKKPNGTSSRASVAELAALLL ENKDVKSGWLDMLDGDEDPQAAVERVAKEGVDAAEGEPFY PFICI_13725 MTSLLKGTAFITGAASGIGQHTARSFAKYGIQRLALADINTKLL DSSIKSLQKQFPGIEVLPLQMNVRKASEVKSGIAETVQRFGRLDVAVNNAGIGGTGVR THEVDEEEWSTVLDVDLHGVWRCQKEELAIMLEQQDFGYREGRGRIINVASMYGVISP HMSIPATAYTAAKHGVIGLTRGDGNGYAQHGIRINAICPGFIETPLLNTSGGSDANSV LGKEVRKAPIQRIGKMEEIGDAITFLASPLGSFMQSAALVADGGFSTQ PFICI_13726 MAAPQSKRAAPSDTSKEDISNQENVSARAGTTRQSNKQQVRHRA SVACASCRDRRIRCVVPKGQNECNQCKRSGAECIIKNDDERRRPISKAYMASLSERIN MLEGMLAEKGVVPPEASHPSKTRQETSESQDESKKTERRVEKPRKPSRVISPAGEVPS PPDSLTDDFPVLEMELNEPPLEATVETTTIPPAIDLAPMNFEPRPSVHLMTPHTTTDS TQEDVIQRLLSTKGNLSFDQISGRLRFFGPTANSHVYAESSSKFDSREPPEQVRRAER IIRSLTTVTYDYLMDTFWEYYNGVLVVIDREAFETDRESQNPKFYSSFLHITMLAAGY RFADKDREDIIKISLGNRESTLHREAKYMLDIELERPGGIPSVQALLLLGDLECGVGR DNTGWMYSGMANRLAFDVGLHLDCSNNGLSDREVSIRHMTMRACVIYDKYWALFLGRP TSIKNQDIGMDLLSRQFAGMLSKDVNGQQQQQQQQVPTRPPTRGALVQEIFDQLVELM ELAGRIVEIRESNKRNNNSREPNMFAAAEAEDNAYLQVINLDRQLQNWYRRLPDYLTW KPANIKTAPFSFFLLHQQYHVSMILLHRSWAKYGSMTDDASTHSHPSPENGVQSPESS HGLPFSAAETSIGLGDPNSIMVDSRTSLSRNICTQQAMRVARICWQHRQRFSGKKIFV TGIQHAGTAAIALVAALAHQQDDADRRSYLGYLEVISQAIHEMSETYHPAERMDALMH AIIVQLKQDMADPYRTRTNSFAQPGMTAGSLQSQLGAASHSWQTGNFSILPARRENLD AEQGGPALKKRTRQATSRRASVFARPPAPFSDAGTAQPTPPGSTQSIGSLGFDLPNSM SNVFTGFGDTSNMFTLDSLQGSTTDMDANDAHRRGPDDFVLVDPATDGSWSTQAHQQE QSASNQDGGFQMSDWVSGPAGLSASLVLNGGAQRADSLCDDVSTSPKEENKELADGDM DWLSGDNGMNNLSPISLGGLVQSVEKAAGSKSDKSVPPRNHELDFFSF PFICI_13727 MAPSAITEPQVVINGEGKPLDNTVPATNGAVKEVKTDESVSSAI QYHYPPTIPEGTEYSVLKQYHSKPTKLRVACIGAGASGLCLAYKMERMMVPDSWELTL FDKNPQFGGTWYENTYPGVACDIPSPLYTFTWDPKPDWSHYFAYGDEIRRYFEDFAER HGSKQYMKLNTKVVEAQWDEEKGIWQLTLEDQVNKGTWKDWCHCLVNGTGILNNWKWP DIDGVHDFAGPKMHSANWDHSVDFQGKTVGVIGTGSTSVQIVPALQKEVQHLKVFMRS STWISPPFGGGVLEEDLRKNDNGAAAQPGKRQYTFTDADKKKFSEDHEYYLTFRKRIE AEINSLFGMYQQGSEMSETFRKAITEEMHRRIGPGNEKLKEFIIPTWAPGCRRISPGD GYLEALVQPNVQPIYGGIQRVVPEGIVTEDGELHKVDILVCATGFNVAFRPAFKLINA AGNTLHEDWGDSVNLYMGVSTPRFPNYYTIVGPGATWSSGTLLPSIETTVEYSIKMMK KIQHENIRSLDVKQDALDDIYKHFDKFHETTVFQEQCRSWFKDGKIKNRIYLWPGCTI HFLKTIKEPRLEDYNIRYRYGNRFAYLGNGEVKANVTKDVKGLSTYIRDSDHEWSVE PFICI_13728 MHWFLHIQGASGITGWAITKAILDGYPSADTFGSVTALTNRPLS IEDALWPQSPKLDVVSGLDLLTPKGQEGLEAELKERVKHAGEITHLYFFAYIMDGDPA KEIEINLELVKRAVTAIEHLSPSLEFVVLPTGTKAYGVHLIDQFPFSDKLPLKESLPR IPEPHASQMFYYNQADMLSSMSEGKSWTWCEMMPDVIVGFVPNNNIYCLAQALATYLS LYAEINGKGSEVVFPGTEKSYTILSNDSSQDIVAKASIIASLRPDISSGQRYNAADNA EASSWSVKWPVICEYFGLKGIGPTSTSGSDPQPSEYLADHLTEWQALEKKHGLKTGRV GNDRSFGGFPYFIMTMFNFDRHLDMTKCHEMMGDAKVETDAKGAWWTAFDRFKEAKII P PFICI_13729 MSPEPTTALKGPAFERIPPWVQQKLSPLAIDKIQAVHEWVEHEC IPREPIYRAQLEGKRWNTPPIIHELRQKAKERGLFNLFLPKHFKESPGLTNLEYSCCA EIMGRCYWAAQASISIPTTTTTTTTTNTNTPLSYQ PFICI_13730 MNCHAPETGNIELLAKYCNEEQKQKWLKPLLEGDASSAYSMTEP DVASSDATQIGIRITRDGDHYVINGRKLYGNCLWNKELSFYILMGCSDPNNPDKWRRH SMLVVPCSTPGITQVRNLTIMGYDHAPEGHGEYLYENVRVPVENIILGEGRAFEIAQG RLGPGRIHHCMRLIGQCERAYELALIRCNDPRKKPRGKFIGEFDSNIERIAQMRLELD AARLVVLNAADTMDLHGNKAGKRSIAQSKILVPVMAAKWIDECMQIYGGQGLTQHTAL PEMWTYARFVRVADGPDAAHRHQVGREEMKTAQGFIDRHRKYQEEYKKFAEQYGEKYI TFD PFICI_13731 MSHLGASLKEAVVGSASSFPWNKSNLPKQLFINNEYVDSKNDKK LELYSPKDGSEVAKDVALAGEHDVDAAVAAAQAAFPGWKKTPPTVKRDLLLKFANLLE AHGKTLAELTRLTLGAPFGSFGSFEINMAVESFRYFAGWIDKFAGESYPQDDGFMKIV RNEPLGVTAGIIPWNGPLGNVGMKAGPALATGNCFILKPSEKTPFAALSLGTLIKEAG FPPGVFQVLSGDGTTGALLASHMSIRKVSFTGSTTTGRKIQEMAAKSNLKRVTLELGG KSPAVVFDDANLENAITWCVNAICANTGQVCFAASRVYVQEGIYDKFVQGYKKAMEER AKTVGDPDEDSTVMGPLVDKLQFERVTGFMDRGKSQGTLLTGGGRVGSTGFFVEPTVF TNVPADAEISRNEIFGPVSVLNSFKTEEEIVARANDTNFGLMAGVFTQDINKAMRVAS DIDSGMVGINCVSLCFLTAPFGGSKESGTGRENAINALRMFTEPKTVMINLTY PFICI_13732 MSELRNFDSIFSLEGKTAVVTGGSRGLGLHIATAFLLSGCSHVI ITARKLEGPRGIAQAAEKLNALPGIRGKATGLAANVGDSQDIVRFAGQVRKVLGGRGL DILVCNAGAAWGSRFEDAPPSSSTKILDLNVRGIFELTQQFLPLLSQAGTKQDPSRLL IISSTAGTNVPHVGENGTIMYAASKAAADHLARNLAVELGPRDITSNSIAPGFFPSKL AQGLISNLGGEESLSHDNPLGRLGEPEDIGGVATFLCSPAGRYVNGVNIAVDGGARLT AGRLSRL PFICI_13733 MIFSSPFPSLEIPQSNILSYLFPRSEPVSDEPLWHDAKDDKQNL SARGALQWVKRLSLGLERLGVERGEVVMIYTPNHIFVPVAYLGIVGAGYVFSGANPAY TVPEVAHQIVNTGAKVILVHPKHVETAKAAAEKAQFPSSRIFQFSDFPNPSYHGISDW RSFIANESDGDAYQWPEMSPAESQTAVATVNYSSGTTGLPKGVCVSHANLIANAEQTI FIRYAKKPYSFDKRPQERWIGFLPLYHAYGQLYTMLMCVKLRVPIYIMTEFRYEEFLF NIGRYRITSLQVAPPILVMLSKRPETARYDLSSLKDCMCGAAPLSKELQNDCQRRFRM QINQGWGMTEVTCGALHVPGGVLDDSGSVGCLDPNCEARLIDDEGNEVGVNEPGELLV RGPNIMLRYWKNEAATKDSIDGDGWLKTGDVAVCNQDGFFWIVDRKKELIKVNALQVA PAELEGVLLENEHIADAAAVGITLHGEERPRAYVAIHSTSKGKVEPADIQEWIKPRVA KHKFLTGGVVFVDEVPKLASGKIQRKVMREWAKRDAAELERASRPRL PFICI_13734 MADLLLPSVSGPQERDAARRLEAPIHSERHVRIICVGAGASGLL MAYKLQKHFDNFGLTIYEKNPAVAGTWFENRYPGCACDVPSHNYTWSFEPKLDWSAVY PAAKEIYDYHENFARKYGLFQYVRLNHQVIGAYWDNQTGGYNVKVKDNVNGTVVDDHC DILINAGGILNNWKWPAIPGLEKYKGTLLHTARWDESINLEGKHVGLIGNGSSGIQVL PAIRDKCSKVTTFIREPTWVSPVQGLEQHIYSDEERQAFATKPSVLTDYRKDVETGLN GQFGIFLKNNQVNIETHEYMLGQMKEKLGDAYLESKLIPDWSVGCRRLTPGVNYLESL TKENVQVVYGEINEVTERGCLCDDGKEYPVDVLICATGFDTSFKPRFPVVSDKGENLQ DKWAADPQSYFGVAAAGMPNYLFFLGPNCPIGNGPVLSAIETQADWMCRLIDRYQTNN IRTFSPKEEAVQDFINFKDYFMTRTVWQDPCRSWYKPRPDGPITALWPGSTLHYIECM KDLRMDDFDVTYTGNRFAWLGNGYSQTELDDTADWAYYIRDNDDDGPLSTAGRRKLLT KSGTIQGRTMVNFAGMTDEDTPKARETRL PFICI_13735 MQRLFYYQLFYLWAAIKERNSQSSHHSEVITGVKDLPPSSEQQS RWDKKKNPDAPTRHLTNTPRSKYPRIHSARKAGQPAFVRARLDKTNRRVPQDYVGATG KLASVAYITFNNGGSHLTSDEMFRAYCQADAGRERIITQWNYDPCVFLSQKALYNSSN PFICI_13736 MSGNDLGGKDLEVLTALVHQVQATIPPEYQRDDSFDTLRQQFID NTFRYWNTSRMDNPKHDLVSEKVRKRKATEMPAGDTKAPVTPKKIKVAEGAIPSPSPS PKAQPVNSVRALKRKGAVAYCRPELADATINFRFIDGEGSYHLTRDIEFDGGKFTGDM RRNACFKWDCAQLQSLGTFNNDLVVFKFRRWLHVKYHYRGCMDDAPADVEFREVELQT PVWDACIETIKATHDNPPLPQTPFPRT PFICI_13737 MDVSIGQEVGYAVKNDKKTSDNTRLIYTTDGYLLQAAKNDPTLS EFSCIIIDEAHERTVDTDVLLGLLAKRRDDLKVVIMSATMDAAKFATYFGNAPTLHIP GQPHTLDILYLEEASPDYHVDTVRTVKIIHDEYPRGDILVFMMSSNEIERVCTILRRN CQDLDVMPLYGALTKSEQQRALVQTERRKCIIATNIAETSLTIENVSYVVDCGYCIQS VYNPRTRTRVLQTGIISKAAAGQRAGRAGRTSAGTCFRVYTEEFHDEVMLNTTRPQIF TTEGKAVVLAIKSLGHNDVFAFNWLDAPQPETMLRALGDLRDLGFLDGTMKITDRGRS ASRLPIDAMWLYAIMEGHDNWSCGAEMCAIAAICSTQRPVFLRPSAHRYASDELRRQW VHPLSDHITLLNVLHAYVDVRTKAETVSDRQTWCFANFLSYEALEEAFETRDQICTMI QRDVVRGWISKIPFRHPNDYDNIRRCLARGLFLQSAFRHEEDVYRTFHDNQDALLHYH SALMVMAYGIDGQIVVFSSQTLTLVVCGATLTQSESSHITKTTWVEIALLENPRIRVV IHDHASVVVGTGLKGKLCC PFICI_13738 MPPHRVGDRRISLFEAINRIEASGDEYIFQLMKKIEQHEIASAG FRWHATPTQNNQDRVLALFERFLRLTGVIPSMEETRRLTQREKDTLMFPIDQQKLLAQ LRGFMFFVADQTAGKSADNLTYMALSKYRAAMLFWIYHVYNRHQLTPPA PFICI_13739 MKDDVAAHDMDDPLVDSSTGFFKQFDTGEDPEEDLEHQVIDGEP IEQRVEEDEQEEATEHTQIPYEVAARAFMEIIFHGTMSQNGDWKNNPSQCHLCLEDET ISAEQKAHTYNKEEHLKNHMGSFIHTPLAPWCRQVEAQAEADHCPIMSPYCAEPGHYT CNESPRATLNSNLLDDDFL PFICI_13740 MTDVQLPEPFASIPRESFLFGPSPIQHLPRISEALGGKVQVYAK REDCNSGLAYGGNKTRKLEYLASEAVAQGCDTLVSIGGVQSNHTRQVAAVATKLGLKS ALVQEHWVDWEDPGYTKVGNIQLSRVMGADSRLDPSTFGIEHKDTLKNLKQELSDAGR KPYYIPAGASDHPLGGLGFARWAFEVEQQEKAQGVFFDTIIVCAVTGSTMAGMVAGFK LAEKLGGRKRKVIGIDASAKVQQTFDQVLRIAKFTGVKIGLSEDDITEKDIILDDRYH AGVYGIPDEQTIEAIKFGAQTEAFITDPVYEGKSLAGMMDLIKKGEIPAGSNVLYAHL GGQLALNAYSTIQS PFICI_13741 MLLSCRREVLMPGSPIYDYLLAGRPAAITNAIRVQNGLFYVLWG LHSIECAFFSIIRLKRHNVHFLTDLWWQWMLMCFVGGASSWQHFRLAVKEATAKQA PFICI_13742 MPSGNSNNGYSYTSSGTNSQGNHYCSRDYGSSASNSNSYHYSNS NGSYYYSNPNGSTYYNNGQGGSTYTSSSGQSSSQGYGKK PFICI_13743 MHSKVVIIGSGPAAHTAAVYLARAELKPVLYEGFMANGIAAGGQ LTTTTDIENFPGFPKGIMGGELMEAMKQQSERFGTQIISETVAKLDVSSRPFKYETEW APGTVHTADAIILATGASAKRLGLAGEDKYWQNGISACAVCDGAVPIFRNKPLVVIGG GDSAAEEATFLTKYGSHVTVLVRKDQLRASSIMAQRLLEHPKVTVRFNTEGVEVKGDD KGLMSHLVIKNNKTGEQETIEANGLFYAVGHDPATSLVKGQLETDAEGYVVTKPGTPL TSVEGVFAAGDVQDKRYRQAITSAGSGCMAALDAEKYLSELEVDGREGAAKGSL PFICI_13744 MEAEARTAYQPIHPLLRPLLDPEYVAFHDEHLQYVVPSEAEAWD PNSRNRPSPLALGGQGLVKVSSVYDKDLGTFQVRVFTPEGNAPESGWPVLIWFHGGGW VMGGLSSENGFLSHICKFVNCVVISVNYRHAPEHVYPAAATDVLAGYQWIVDPKNAST LNIDTSKVAIGGLSAGGCLTAILSLKVSHVGIWPRPIFQMMLCPVIDNTATVETAWYG SRNAPWLTPSRMTWYREKYFSSPSEAANWDASPCFAPTEILAKSPQTFIAVSECDLLA PEAMKFGESLRDAGVSTRVEIYKGGTHSILVLAG PFICI_13745 MARQQKFVVVGAGPVGSLAALYAAKRGHDVEIYELRSDLRDPST IPLNFTKSINLALSERGINAMSNAGSERLLQHVKSATIPMEGRMIHGKKPSGDLYEEA QLYDIHGRTIFAVDRADLNKRLLDILESMPNVKFFFNHKLTGADFKNQKAWFEKRGPK GTLGRGEEIEIDFDFMIGADGAHSAVRYHLMKYVRMDYQQTYIDTNWCEFTIQPNAAA AGDGFKAKFKISPHHLHIWPGKQFMFIAIPSEDGSFTCTLFMPSGNFAELEADSSKIP DFFDHHFPGVTSLIPRDELISSFVTNPHLPLISIKCTPYHFSSSVVVLGDAAHAMVPF YGQGMNAGLEDVYTLFSILDKHSAQESNDPEDRSSTDSNIQRASALAEYSEVRTPDAH AINDLALQNYIEMRASVLSPTYRLRKSLEELLSKYIPSLGWQTKYSRVSFSNERYSEV IAKSDHQGNVLIRVLQVLLFGTLAGSAVGLRYWRSPGSLSLARLVGSLRNLSRY PFICI_13746 MTDTEPPAKKKCLGADCENDAGSLQCPTCLKLGIKDSFFCSQDC FKKNWGDHKSMHKTAQGKTQNGILHSIFPPKVVSKPDPATGFFNPFPSYPFTGSLRPV YPLSPKRTVPKSIPHPDYAETGIPKSARLINRNKFEILDAKSQEGMRKVCRLAREVLD IVAAELKPGITTDYLDEVCHKACIERNSYPSPLNYNHFPKSICTSPNEVICHGIPDQR ILLDGDIINLDVTLYHEGFHGDLNETYYVGDRAKADPDAVRVVETARECLDKAIELVK PGALIRDFGNVIEKHAKERDCSVIRTYCGHGINSIFHCPPNVPHYAKNKAVGECKPGM TFTIEPMIALGKYRDVTWPDNWTSTTIDGKKTAQFEHTLLVTETGVEILTARQESSPG GPIPIPAPVENGTSG PFICI_13747 MSGEAWLYLFAVLINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNTYIIPEAAVHGFLTFLFLINGYWVALILNLPLLAWNVKKILENTHLLDATEIFRK LNVHKKESFIKLGFHLIMFFFYLYSMIVALIRDETH PFICI_13748 MADFGEYPANMASQDALILREESGPAHAVVKYSGEELTRPKFGP TNPFKDEASALKRKNVLTGNAEETFVSEHTFRSKHRAIERRGGPEREFQTGAQIKQEA KRLRAGREGKGDATIADGDGAYVGPWAKYKREEYEEVGEDEEIGTDEEVEYVYEDEDG DDVVASGTVVSAPVEAISRRKEVEALGDETTTFHGESQYDYQGRTYMHVPQDLDINLR KELGSTPNYIPKKVVHTWKDFGDKAVTGLRFFPHSGHLLLSAGADATVKIFDVYHQRE LLRTYSGHTKAVSDICFNESGTQFLSASFDRMMKLWDTETGACINKFTTGKTPHVIRF NPSPEHSHEFLAGMSDKKIVQFDTRTREVVQEYDHHLNAINTIVFVDDNRRFMTTSDD KSLRAWDYNIPVPIKYIAEPYMYPMTRAARHPSGKYVAYQSSDNQIVVYGATDKFRQN RKKGYRGHNNAGTGIDIDISSDGQFLASGDSAGYVCFWDWKTCKMYHKMQVSDQAVTC VQWHPQETSKVAVAGMDGRIKYLD PFICI_13749 MGVYTQLDDSIKEVDVIIAGGGTAACIVASRIAEADPNLSILMV EGGPNNHNEPSVVNPAFFLQHLLPTSKTAIFYKGNKSERLAGREPIVPSGGMLGGGSS INFMMYTRAQRPDIDAWNTPGWSADEFLPFMNKLETYLGPGDKSVHGHDGPITVSNST FRASKTEDDFLQAAEQVGWPEIEDLQDLDANNGYQRWLRYVSTDGKRQDTAHTYLHPK LGSGRYPNLHVVVESQVHRVLLEGKKAVGIEYRRNPAFQTTTNLTQTPMRVVKARKMV VVACGACGTPPVLERSGVGNPEILKRAGVPVVEDLPGVGHDYQDHNLVLYPYKTSLEP GETIDGILSGRADVGAMIEKNDPILGWNAVDISAKLRPTDEDIAAMGPEFQSAWDKDF KDAPSRPLMLMGLVSCFLGDPASVPVGQYVTVGNYTAYPYSRGHMHITGPGLDDPLDF ETGFFSDDHDIDLKKQLWAYKKSREIMRRMKNFRGELAVGHPRFPEGSKAAVADTDAP LENVQNIDYTPEDDAAIEQWLRENVNTTWHSLGTCKMAPRDKMGVVDANLSVHGVEGL KIADLSIPPENVGANTNNTALAIGEKAADIFIRELGLRA PFICI_13750 MTRLGLFSALATAATAQQSAWGQCGGTGWSGETVCVSGYACSSI NAYYYQCVPGSTTQQTSTAGATTTGGTTTATTTTIATTSAGNTTPTVSSTTSAPKTLV SGYYWIRAVESPNYHSYLQAAPTATPSPGPGDAYLLSPGAAGQFNVVDGQLVYYTGGS DLLYMGVENPTNKSQRTLETWFNSTENDYGTFAFQGDTLTWSVEDISRPNTAAWLVCG DEGQLYINTGAYAYETPDGCSDETIHYYGGSTADL PFICI_13751 MFKFNQPAAAARTSRFEDDSLDKNTTSCQVAIFNEDDLSHLLFL ETAGHDSHIMINKVDDHVEAETPLELESPLVSDHHDLSSDDESAIISDTEEESDVEIP PSPSRRRGGTMSISDDDFEFPIFLGQQQDVEKRQDDEKSSGTRQDAAANARPILRRGE AVPKPRETQERIGDTEATKHVQFVDKTEPQVASITGPLMSWWPTPIEDMEYDWVDKAS RTGMAIIEHVAEIEGPLMSWWPAPTDMLQYDWNERFYE PFICI_13752 MLFAVFFAFWRFMQILTLIPTMGMLAWFVHGYVENNALTPNYIL VLFIVSVLALAWAIFTLFSYHRSSTNALFVSIIDLGFVGAFIAAVWYLRDIAHADCVR VTNNSGFDLYFGPFGSAHSNYGIDTTVNKTCAMLKACFAFGIMNCVFFFFTAILAWFH GDRTSSADRKTYYRETHYHRHGHRHSRSPHSRRSRSSHHSHRRVYV PFICI_13753 MLFPDPASPLHLDHDYVLEIGTLKKQAKEMSSGWSRNRQTRQAD NTPNRNWNPRYAEWLLRIFWTASEIGNVDALYGGSRSSFLYTDTSTGKLMVEEITCPA CSIIAAAGFQAALLLSMLRIALTMSASSSGESSGFPPQGCPKIVTWYPSAVSGWSSWE GSHPLDSTARVHNWKMYLYQ PFICI_13754 MCKKFCEVLRNEEHLPKYLDGFPELQSIVVYEQIGNMLAQPFNR YSWSGPTCIDPPKNIADYNGFRHRCLGQFFTTPLAGFMTDDTADAEDCEDNGPDVDDE DDGEDPLGAKKKTKSTHTWYTLYLCPIENLSFGRVICDEAHHIRNANNAYSRLIGLIP RETVLLMTATPTLNRLKDIAGVLNQVWKTSKLCMVDDARARLMRDDFDIQAVKHTQDS SDPTKAFSPFVEGTAPEEIEALAPDMENRTPTSDWVYQQATKCVQFRRTMRDGVKVVF PGADGEEPEEKIFYPGQNVPPHEIIMEELGFKGRTGDQVTQMTRMTNALLSKLNTAGG APEPTLPDTSDAAALAVPAEADGRVDITIHRLLLLTSFDLHVADIFNAEDAISQGLDL GELRRAMGKKASKPYAGPQSTVESEGLARLGWQYLRKRPPQVSSDYSSKGRYPDS PFICI_13755 MPAVLDMIRGRKDGRVAHDIATSGVPEADEKTGTSNVAPSNDNS DSISLEERNEQEISEHPDSVTADAQIGQRKAEAAALVWSRNAVLATYGWIWICFFMLA LQQSILSNVMYLAYSDFSTAPEITTAYILSAIIGSVLKLPIAKMLNLWGRAEGFLVFE AVYLLGIVVIAACKDANGFAAGYVLYWIGYDAIYFIMDVFVADTSGLRNRAFAFAFVS TPFICTAFTGPLAAQSFLTMTTWRVTIGSFAAIMFFAFTPLAIIFKFFQIKAAKQGLF TRTPSGRTTWQSIQHYFHEFDILGAFLLIGAFVLFLLPFSLSSYGRAGYKSATFIAMV VIGFCLFFVFAAWEKYGTRTHFIRWELFKKRTVLGACCLSAILYYSFYSWDTYFYYFI MVVYDLNISNTGYMTQIYNIGSCFWGVVFGFYIRWTKHFKYACLFFGLPLMFLGAGLM IRFRGEDANIGYIVMCQIFIAIAGGTLVIGEDMAVMAAADRDGVPMMLAILGLSSGLG GAIGSAVTAAIYSNTFPAALLSALPAETQGNWTDIYTGGYLTQLTYPVGSETRDAINY AWGESQKLNSISATCVLILAIPAIAIWKNYNVDRKQNKGTVI PFICI_13756 MRALRYYGKGDIRLEQVEEPRVRPGWVKVKPAFVGICGTDLHEY LGGNNLIPKPGHPHPITQETSPVTLGHEFSGFVEETGDGITDLHQGERVCVQPTIYCN DCDSCKRNMHNACTRNGFIGLSGWGGGLADYIAVPRSAVWKLPENVSLEFGGKFYSSS KWCLWLLTNPALVEPLAVGFHAVSIAPVIHPIPAGTALLVLGGGPIGLSVIQAILAQA DSSDDPPLIVVSEPSKARQNFAREFGAQHVVDPLRQDLVTEVQKLTGGRGCDVVLDAA GVQSGLDEAMQCLRAGGTVVNIAVWEKRATLEMNQLTFRERAYIGCATYNNQDFGHVL QAMASGRIRPGAMITRMIDIEDIEDKGFRALIEEKDTQVKIMVDMGRIRRKDSAVVVS GEGGGREVVV PFICI_13757 MNEAGYVDMPVQSEGIQHSGQPIANVPTMVQASLRQPLDPRLDN TLHHNMGVQGQGNIYSTAGSHMGQMGQIGQGYDAGYLRNDYASRHEYMDHGEHAGPLK KAIAHTDSLYKYLDWENPLRTVGSYVTAASLLLGLHYFPLTSLLLKAVATVLGVMSVA AFAGQSFNSNANTKTRHDRRNYTKVPEATLNATLRDVHDFVQYAVVEAQRILFGEDLS KTLAAFAGTTALYWFIKVLSPFGLTFLGLTSVYIGTLIASPRGRAATRAAGRRASDVT SAAAQRGKAFARSGKKQAVDMSNQAQETASDAQKNISDTVSSTTKNVSDTVSSSAKNV SDTVGSGTKSTTTNLAQKLGISGNDSQDAGLESTKRTSDSASTGISSGVSSHRTVDTE PRHTKGNKSGSRNTGTSKSVLSGDMTSNYTTSTMLGEGDKSFDTAGAASHGTQNVPRS TVAMDHAATSNFPGYGNVDPYIGSSTNATTATDNSLNQGTGSTNPFIHNAGLGATGGN AGDAMSDKKAGDARTAKLAKGVSVGKTDI PFICI_13758 MRAHTLLGLFLASLSSFAQGEDLTGYEYVVVGSGAGGGPVAARL ALAGHKTLLIEAGDDQGANVNYTVPAYNAKVSEDEKVSWNFFVRHYADDEQQARDWKT SYDTPDGDIYTGLDPPEGSVMKGTLYPRTGTLGGCTAHNALIAVYPHQSDFEYIANLT GDDSWSPTNMRTYFEKLEKNQYLLPGIEGHGYDGWLETETPPLSIVLGDTQLLSMLTG GAWALGNLTGGLIDLATLIAGDANADTLSRDQDPSYYQIPLSTNDAHRTGAREFVVTV RDAVNDDGSKKYPLDVRLNCHVTKVVFDNSTSPPTATGVEFLDGAHLYSASPLSGGVG TPGSATASREVIISGGVYNSPQLLKLSGVGPREELESFNISVIKDLPGVGTNLQDHYE ISVNAKVPTNWTAFEGCTFSFDGEYDECLDRWNTSILGDRGTYSSAGLAATMLYKSTV TEDDSFDVFVFGGPVNFRGYFPNYSYNATKLHDWFSWAILKAHPRNRAGSVTLRSSDP LDMPEIVFNYFQDGADEDLQAIYEAIELARDAYDRQLVPTIESLPGNETDTEEAVKQY IRDGTWGHHASSTCPIGTDDDEYAVLDSDFRVRGVSGLRVVDASVYPRIPGTFTAVST YMVGEKAADVILAGLTNTTDTSS PFICI_13759 MSQLPVLIIGCGIAGPILALLLKAKGYHAIVFEKVRQLGDAGAS LMLMPNGMKVLDLVGLANDIYASSIPLEAYEDFTAAGEPLGSSGLPARFLAKYGQRAV GVKRTWLNLTLKNRMTSAGIELREGWELVSIEEDTHAVTASFTNGQKVQGLFIVGCDG IKAASRLSLLKQMSVEQPSPTFTGLTQTSGISPAPSTPARPSLRNWFGEGVHFISYPV SRDRISWAVTLPQTRVSPESWRLYKDQDMQELQDELSKVLQGFEPSIVELVQSAERLL KFGLFDRRTLDADEWYSERVVLAGDAAHPTSPHLGQGANQALEDCYHLSQALPDCTGM NAATTNIDLPSVFKQYALKRQPRTAALVKGARAAGEKRVVTTGLKDCESRNHKVKEEW LDTVAIEAKFDGLCREPFNTVA PFICI_13760 MRRAITEPRTEIPHSRYSALCLSAEQGCNFCRLLRQALVYEFSV YETPDPPAGNAQISLSARVWKDGARLGNLAVICNGRGSAEIEVLYDPPSEQISTFSLV PNFADLVSMIQSWVIRCLETHTMCHTPGNEPNATPYGGKVQLPTRVIDVGSRDPQGGW PDPKIHITQQEERGIYTALSYQWGVKSHSCRTTESTLTSFKQGIPWNIMPKTMQDAII VTREMGVRYVWIDALCIVQAHQGNDGDWRSEAENIGSYYRNALVTLSAVSALTCHEGF LGPRPQTTYSISDPYILIEDTTSRAHRYLVHLPKPYYTAEITHSKLQRRGWVVQERLF SSRMVHFGHRFVSWECSCQQAPEWDPEGHDEDSQEGADDSSMMYKIQSWLARPREEQL TQVWTSFIEYYTTAQLTYHSDRLVAVSSLVKTLEEAYEPKPRYMVGLWEESLPLSLAW SSSGLDDTRGNSYGDQQFPSWSWASHGRIDFDAHRGSARNTAQLEGTFPNNNGPARLR LKSILKPLALMLPRLDPRDMRLDGWEKADFLRCLERDAGRFLLAMICLSTESFQALIL EKSQSETLQSDRIVTYKRLGLLKHDFLTRTAKNFDPDFGVDGEWTIFDLI PFICI_13761 MTANGQTPEYDFIICGGGTAGSVIAGRLAECRDITILVIEAGQH NENLENTKMAGGWAQLLDKETDWNIISEKGSGILDRQVKLSRGKYLGGCSGCNGTLCI RGSKQDYDDWQLDGWSGEEFFEYMKKAETFHSKPWFKTNAQFHGYDGPLHMEPHELAP ISELVLESMVSSGLPQDDDMFSHGTNPHGCGHVLRTVHQGVRTTGADFITNDQAKPNI TILTETHVDKILFERTPSGELHATCVQVIQPSGVAAEIRAKREIIVCGGAYCSPTILN RSGLGRKEDLEKLGIEVLIDLPGVGQNLMDHVIAFMFYETEQEGLTNDHLIYHGDAAA TSYKLWKDTKTGFLSTFPFGVFAFARLDDRLSDSDLWTSAPRKPGRDPMGLTLHQPNV ELWNTECYGGPKQYDQFPIDHKYAFSVVAELLGPRSRGHVKLKSADPLETPEVDCGYL TDPLDLEVLAEGCKLANEVIMNGSATKDIVKGSWPPNLNHHTYTTREEWKPYIRQNAT TCYHASGTCAMGKPVDPKAVVDEKLFVKGVSGLRVADCSIMPTLHGGHTQMPAYGIGE KCADLIKAHWKDLSGAK PFICI_13762 MRFFAAFVVPALVAATPLGGVGGTNGDVPSPDQIQIISTSNSGN GCPQGTVTTDISPDRTVVTFGFDGFQTYIGPGFTAADKTKNCQLHLNLKYPGGFQFAI VESTYHGYAQLDKGVTGTFYSTYFFSQDAAATTTTQTSITGGGTWADGQVYTKQDQIP TTSVVWSPCGQTGILNVNNRIALTSSNSTASGQISDDDATVAFTQQLHVSWQPCK PFICI_13763 MATHLRAAARTVGAVRPRVAQVAAIPVTRCYSSSSEPDLKETLK EVIPAKRELLKQVKAMGSKVIGEVKVENAIGGMRGLKAMVWEGSVLDADEGIRFHGRT IKECQKELPKGKTGTEMLPEAMFWLLLTGKVPSVGQVRQFSRELAEQASLPDFVNKML DNFPKDLHPMTQFAIAVSALNYTSKFAKAYERGLNKSEYWEPTFDDCISLLAKLPTIA AKIYQNSYRNGGALPADVDLEQDWSYNFAAMLGKGGKENENFQDLLRLYLALHGDHEG GNVSAHTTHLVGSALSDPFLSYSAGLQGLAGPLHGLAAQEVLRWILQMKEAIPDSYGE TEVHDYLWSTLNSGRVVPGYGHAVLRKPDPRFEALMDYAASRPEIAQDPVFQLVKLNS EVAPEVLKKHGKTKNPYPNVDSSSGVLFHHYGFHETLYYTATFGVSRGLGPLAQLIWD RALGLPIERPKSINLEGLLKA PFICI_13764 MLRRAVVRATRCSAAPLRTTASISSRARFFATTMSRMSDVNPPV STALPSDAFQLLPETEKAGAAEDALYEQQIKDVEAWWASPRFEGIKRPYTAADVVSKR GSQLQSYPSSLMARKLFNLVQERLAKGEPIHTMGAIDPVQMTQQAPHQEVLYLSGWAC SSVLTSTNEVSPDFGDYPYNTVPNQVQRMAKAQSMHDRKQWDLRRKLSPDERAKTPYV DYFRPIVADGDTGHGGLSAVLKLAKLFAENGAAAVHFEDQLHGGKKCGHLAGKVLVPT GEHINRLLAARFQWDVMGSENLVLARTDSESGKLISSAIDVRDHEFILGVAEQGIAPL AETLQAMEAAGAPGPEIDAFEAEWVKKTRLVTFDEAAVEQLRAQGVSEEHIGAYTREV ESNNNLGISKRRKLANQYASQPITFDWDVPRTREGFYHFRAGMKAATKRAIAFGPYAD LLWVETGDPQVEVAAKLGRAVRKVHPNKGLVYNLSPSFNWMAHGFTDATLKSFIWDIA KEGFVLQLISLAGLHSTATITNELAKEYKKDGMLAYVNLVQRREKELGCDVLTHQKWS GASYIDGILGAIQSGSSGSKSMGEGNTEGQFL PFICI_13765 MGSLFNTPQGRLAGKNAIVTGAAGGIGLETCILFAKEGASILMT DISEPSLEKAKAKIAQLVPGAKRVETMVCDVSKEAQVQAAVESLDSWGGLDIIFNNAG IMHAHDDDAVGTPEKIWDLTMDINVKGVWYGSKHAVLALRKHKKTKGSIINTASVVAL VGSATPQLAYTASKGAVLAMTRELAIVHAREGFRFNSLCPAPLNTPLLQDWLGDDIPK RMRREIHFPSGRFGEAIEQAQAVLFLASDEASFVNGHEMVVDGGMTKAYVTPEGQPTA APVNNALKEEL PFICI_13766 MSSSQELEVTLETLPQILQNDTKVKVAGVDADGVLRGKLMSKSK FLSIAKSGFGFCSVVFGWDMHDMTYFQELKISNKENGYRDLIAIPDLSTYRRIPWEGD IPFFLVSFYDPDTQEPVCACPRGLLKTQLDKFKAHGYDAMAGAEYEFYQFKTPSTDSS DAKPSTAAYLRDNPPHTLPALTEGMFGYSITRPVHNKDYFYEIFDSCAKFKCDIEGWH TESGPGVFEAALEFGQISDMADRAGLFKYAVKSIGTKYGITPCFMAKPKQGLPGNSGH THFSVVDKDGKNLLARETKDENAPWPDVAHLSDLGRHFLAGLIDGLPYIMPLLAPTIN SYKRLVENFWAPVTVSWGLEHRAASIRLIAPPTSKPGATRFEIRVPGADTNPHYVMAA ILGLGWRGVEKKMEIKLPPLGKGEDVGSGADQGERLAKNLKDAVDKFMEKGSIAREVF GDDFVEHFGGTRRHEIRLWDEAVTDWEMKRYIETV PFICI_13767 MASSVRALPRRLGQLATQTRITNSRCRLNQWAGRPYAVKPAPVC IRTFTKTAASPADVDVNAVPHSVEAIRSYADLDTFARRHIGPDDKDTKKMLQALSPPV NSLEAFVEQVIPADILTRSQMDLDLPGANSGPKNAALSEKGLVKVFDEIAKKNKPMHS LIGSGYYETITPPVIQRNVLESPAWYTSYTPYQPEISQGRLESLVNFQTMVTDLTALP IANASLLDEGTAAAEAMTLSMNALPSSRAKRAGKTFVVSHQVHSQTLAVLRGRADGFG INIVSKDITSENAVAEIEALGDDLVGVLVQYPDTTGGVQDFRKLAATVHKQGALLSCA TDLLALTVLTPPGEWGADIAFGSAQRFGVPLGYGGPHAAFFAVTENHKRKIPGRLVGL SKDRLGGNAYRLALQTREQHIRREKATSNVCTSQALLANMSALYAVYHGPEGLTAIAE KVIRGARVISHLAEKAGFKIANSNLGAEGSVLFDTVTVDVGSAEKKKEILDIAEQQGL FIGNSKEATLLSFSVGESSDTDVLKNVARVFLQSGESVKVTEEKLQAEVDEALAKQGT DLGIPETFRRTSSFLTHPVFNTHHSETELLRYIHHLQSKDLSLVHSMIPLGSCTMKLN GTTEMSLITDKQMGSLHPMAPPSASKGYQHLFTWFCNQLANITGMEGVSLQPNSGAQG EFAGLRAIREYQKVHGKGDKKRDICLIPVSAHGTNPASAAMAGMRVVPVKCDTKTGNL DIADLEAKCKQYQDELGAIMVTYPSTYGVFEPEIKKVCSLVHEYGGQVYMDGANMNAQ IGLCSPGEIGADVCHLNLHKTFCIPHGGGGPGVGPICVKQHLTPHLPRDPYNANPAEG TPANHPVSSAPFGSASINLISWAYNTLMGPDGLAHATKIGLLNANYLLSRLKPHYQIL YTNDQGRCAHEFILDVRPFSKSAGVEAIDIAKRLQDYGFHAPTMSWPVAGTLMIEPTE SESLEELDRFVDALVSIRKEIAEIEEGKAPRDINVLKLAPHPMKDLIDGDGDGKWERS YSRSKAAYPLPWLREKKFWPSVARIDDAFGDMNLFCTCPPVEDTTQQ PFICI_13768 MTLFGSLQTTTASNPTNPFGGSLAQPQNQNPQQSAFGTSNLFNQ SQALQQQPQQQQPQQLQQQAFGSSILNTSQNQQRSKLWEPGQDSPNQKPVDQQMADIY DKWNPTTSSTVFKTYFYNKVDPSHVPFYAPSQRETQDEWDQAVREKPGPGFIPAFATG FKGIGERLLMQKAVLAQFNARLHEINSNLDAILSKHDLETNVRAVNARRRHIVMRQRC LALASKVQVLRNRGYAMSTDEDELKSKLEQLDRGMADPALSARTEELWSRLILLRGHA DTLRNEINARGGDNGEDIPEEAEQKAKKLLEYYDQQLQELKKTVDRVKEDYEEWEKEH NPDSKTTKS PFICI_13769 MAARKPIFNQQVLVDTTPLPEDIPKVKEVGATSAPLLSASFFIG ARCRPYNDDFMQCKTENPGKGEFECLKEGRRVTRCAASVVEDINKHCLDEFRKHWQCL EDNNQQLWQCRKPEWKLNKCVFDNLKLEKELPDAQGIPVHLRSKQIYAHYPILRDPGQ KPFGKSVEPPSAQSAPAS PFICI_13770 MYLATFDKPGVNILVSENEYEGVTRAAGDLALDFGRVLGTNGTV QNDTSATIADHSAVIIAGSIGSSNIIDELVASGKINVSGVQGKWESYIQQVVLEPVSG IKEALVIAGSDKRGTIYGMYAISENIGVSPWYWWANVPSKTRKGVWVRRDPGIIQGPP SVRYRGVFINDEGELISWAKGKFAQAASGGYFTADFYEPIFELLLRLKANYLWPGMKQ KEAFYKDDPGKGNGVIADTYGIVMGTSHHEPSARAYYEQKDGDLVGAWDWSTNEANVS QFMDYGINRAKDWETIFTMGMRGDGDTVSPTLTAPELEVIIQRQQEMIQNHTGRLEEI PQVWTLYKEVGKYWQAGMNVSDLVTLMWTDDNYGNLLRVPLANETSRRGGHGIYYHFG YVGSPRSYIWMNTNQLVKAWEQLHYAFEREVRQIWIANVQDLKPYEVPMNFFLDMAYD MSSFTSPNSTAEWYERWAAREFGSGAAKTTAQVYTSFGRLAYRRKWEMLSLSPFPFST TKYDEAFGILQEWDDLAELAQSAHDSLPASNKVVYLELVLTPVLAGRAFTRFFTYQAL AQLYQMQSRTSTNNMAAMAVDAFDTDTAVRDTYDALWDGKFAGFMSAPHYVYPPQEYP PRGDNMPSLTYINDTSTVGNDSYFLGLAVQGTSSAASFGDTVWLRSVDPYMPPSEQRW LDVYTRINGTFTYHLKSNVSYVGVSNSSGELKAPGNLSDIRSILTIDWEEAPPGLSWA AIEVTGNETKPLPSVITAILPINKTTIPSSFNGFVESNGVVSIEAAGFTSAESKNDVS YLEIPDYGRTASGVKLWPVTAASQEPLTGPKLTYTIYTFTNTTKARLSFVFGATLNLD PLRPLKFAYALDSDSAVTKQIIGNYSGGSTPTGWSSAVIANSYSATEDIALLAGEHTL SLWLLEPGVLVQKIWFDLGGKLASYLGPPASFRAA PFICI_13771 MKSSIFFAATGAMMAMATPLDKRVIVETEVVVEYTTQTVTAGSE PTPAAANAAFVKGDKQKEQPAVVYTTTTEAAPTPEVVYVTETYTYGQAAAETTVETPT TTQAAVVIQETTEAAATTEAAPTTEAAATTYVAAATSSAEEAAASPSDMASTALYAHN LHRANHSAPSMEWLDEIATYAESTANTCKFAHDMTQGSGNYGQNIAMWATSDNAEALG AAGAIGMASHDMWYNGEVGLFLPSYYGEATPDMSNFEAWGHFSQLVWKDSTQLGCYAK LCAKGTMYTDMDAWYMVCNYRPAGNVGGSYGDNILTSLGEATVTN PFICI_13772 MKNLVILTLGFAIARAVGEPAPAPTPAPDPRNAVARRADTSCTY SGSAGYSSASESKAACSTIVLSALTVPAGVTLDLEDLNDGTTVVFEGTTTFGYSEWSG PLFSVSGNDITVRGEAGSILDGQGALYWDGQGGSGGVTKPKFFKANNLNDSLLDSITI QNAPKNSFSLNYVYNLTVKDITVDDKAGEELGKNTDAFNINNADGILITGAKVWNQDD CVAINSGKNIVFENGFCSGGHGLSIGSVGGQTNDNAVRNVTFRDSVMENSQQSVRIKT ISGANGTVDGITYSNIAMSGGDDYGIIVMQSYNGVDGEPTNGVSVTNFVLQNVTGTVQ SDAVNIYIECGVGSCSDWTWTDVHVTGGKNSTDCQNVPEGISC PFICI_13773 MSAPHNNDEIEDAPEMLDVDDALEEVEDAEGDIAMDSDDEPEEI ILQNDSIAYFDLHKDSVFTIAQHPTQPSLIATGGSEGEGEDAPGKGYVFDTSSIAGRP VLPPSYNSDPNATQQKSTELTPLFEIDGHTDSINALAFTLPRGQYLASAGMDGRLRAY QLSIDARNKATFTFVGEAQEVPEINWLLPCPSAQYPNTVALGASDGSVWVYTIDASDS SNPLQIVQSYFMHPESCTAGAWSADGTFLATVAEDSSLHVWDVWGEAAAKGLTNENGQ TVVSLTGADQRFEVEGGLYSVAIDPRNAFVAVGGAGGIVKIVALPRIGNAAATQAQPQ SSKQSKAKAKGGEASGSQGGAILASLQSQSDGIETLAFSPTQSLLAAGSVDGSIAIYD TARRFAVRRHIKEAHDEFSVVKVDFVQGSGDGWLLTSCGMDGVLKRWDVRGATPSGNV SSASAAGFVKEWRGHRGDGEGGGILGFVQGGSGERVITAGDDGVVLVFEA PFICI_13774 MPPIPKQMKAVQVTEYNKPYVINSVSVPTDLGPHDLLVKVAIAS YCHTDSMVAGGTFETELPVTGSHEGSGTVTLVGSEVNDFKIGDRVMCGLPLHPCGACH DCLGPEESHRQYCSHITGHVGVHVNGCFAEYVKVDARHTTHLPNEVSLLSAAPLACAG RTIWRGVLQTGLKAGQSLAIVGSGGGLGHLGIQFAKKAKGLNVIAIDAREEGLELSRK TGADIVIDARTSKSKVVAEVQAVTGGDGADATIVLADAEESAALGCAVTRMHGTLVQI AQPDEIKIPFQELVFRDIHVKGSLLCSPEESKSMLEAVAEHGITVKTHEFNSLYKIKE LIDLVHGGKVSGKAVVVVDSEQLKAEKKLGAKY PFICI_13775 MPRVKYILLDCDNTLCLSERLAFEACTDLTNEVLEKWGKPERYT VDQLLEDFVGHNFRGMLVGLQKKHGFTMTPEEVDAYVDRELGAVTAKLSEKCKPCPGV PEQLEWAKQQGYPMSVVSTSAKPRVVASVKKTGIDHYFKDEHIFSAATSMEKPSSKPD PAIYNFACEKLGVANKDTVTVEDSKSGATAAMRAGIPLIGYVGVYGIEEGKEKMEQMA KLLTEECKAAVIMYDWKEFPECLKKIESL PFICI_13776 MLPRSLLSSATALFLSSLTSPASAQLYTACNPTLKDCPADPAFG TAHTFNFNATPPTGLWNTSAGSVGYDAENGASFTISKKGYSPTLTSNFYFFWGRTEVI MKAANGTGIISSIVYGSDDLDEVDWEFRGVDTAIAQSNYYGKGVTNSSSGASHTVSGN IQTEWHNYTNVWTKDKLEWWIDGTLARTLLPAAANDSRNYPQTPMKLSLGIWAGGDSS QAAGTIEWAGGATDYDAGPYTMYVKSARVEDYSSGKEYVYGDRTGDYTSIKITDPDSN STAVDNLNNAATADDDESLSEKWDNLSSGAKAGVYAGAAGVVGLALAALLVYYFKQRR RGQQEAATYAANQERERLELEQFKKEGRNPDSLAYEGTEYNAATMGKTPVVSTAAYNI PDSRSNSLRSLHGPETTSDGWDPTSSGMGSPGARAAATVPLMQDSPQSPTYGNPSRNN SYGPMSPVHPQSPGGPPQYPLPASPGARNMSMPNPSMRMGSPGPQAGGYSAMDRTGSP GPMNRSFSSPRNPGGDDGYWNGGGHR PFICI_13777 MSSQHISLLTATDARSHIHLVVGSNPLAAARCTQSLSVGALPIL LAPETAELHYTLQKLIDDGKLKRISRTFEDGDLLSLGREEVSHVVDAVFVTSAPRDSQ SAHIATLCKRHRIPVNVVDSPQLSSFSLLSSHTDGPLQIGVTTNGRGCKLASRIRREI AASLPPDLGLACVRLGDVRRKIHEEDQLLHNSLADGEDLDDSTDQSASFNKLVTEADL DAAKNRRMRWLSQICEYWPLKRLAAVSDDDVDAILKSYPGTIGAPESVGKPAAPVDLA SRGRVILAGSGPGHPDLLTQATHKAIQSADLILADKLVPSGVLDLIPRRTPVQIARKF PGNADAAQEELLEMALTGLQEGKTVLRLKQGDPYIYGRGGEELAFFRKHGLGDRVVVL PGITSSLSAPLFAGIPPTQRDVADQVLICTGTGKKGKAPAPPEYVASRTVVFLMALHR ISGLVQELTQYTEAETSLPTGGSDDESKSVRPSRALWPLSTPCAVIERASCPDQRVIR TTLGSVVEAIEQEGSRPPGLLVVGNACEALYTREKGRSWLVEDGFRGLDLGDQLSLGL LGAVAA PFICI_13778 MTFIDQNLRSQCLSPGNPELMLRFGTGEFNLNLYEFCIDASVTH GKTWMLCAQFKESLSSASEGNDGPWSTFDLRVGSSEQDVRVLVSTASPEALVVLADYG CSSSALPEVPSDCAVSRGNLFNPNESSSWVDMGLYGINQNGVGLEANLGYYQKAEFAL DSLGIGLTGPSLDNQTIGGIATADYFYLGIFGLNNQPVNFTSLGNYSSPSFLTTLKDQ NKIPSLSWSYTAGAQYRLKQVYGQLVFSGYDTSRFEENSVSFTMAGDVTRDLVVVLQS ISYSGSNSATLLSDPINIFIDSTDPNFWLPDEVCDAFENAFDLKLDETTGLYLINDTH HTALLDSNAEVSFRLSDVTTGGDNVRIVLPYNAFDLTAEYPLVENSSYYFPLKRAANE TQYTLGRAFLQEAYLTADYERGVFNVSACTWNEGAEENIITILAKDSASDCSDCSSSS GDPSGGSSGNSRLSGGAIAGIVVGAVLLVLTAGIVAFFILRQRKKAAYAASEPEPDES VLKGPVHNAIPHPASTSPGKTINGGIGDDEQELDGQDTQVRPNTEMDGATQQIYQLHG DSTEPTSEEPVYYELPGEPATREEDRVSTVGTLPSRSGREDDSSSPMVSTLDTTGWQD DHWEASSDLVSPTTPVQHSARF PFICI_13779 MLPSTTPRAVIALLAPCQHTLGQQNRRVYSIAHQAKRLLLVPQQ HSCLSHNHYNVVFHHNIGGDDFSLNKHHYHDQYTFNNHLDHHLFKHHHHEHYAFIDYP DHYISKHHLHYTNGHRRMSNNMQHGLCSTSPSVYMLVDVIYGTNSLGVVGPSATSKIF PLDLDEVSTIVGGTATEQLSLSDLGTDCPQTAAPSVIATLSDSRCDPILAAPDEVKSW AWPCNACGRFGLFDPPYAIPTLTGGLIETTATTTATAATSAATGATPTAVTTTQVVVT PVTTTTSAVEAADTTSEVISLGPSGIVIIAYYSGTALTTTIPTAASTVVYDGQTLTLG GDAKTLTTIDASITASTASTVTTSESGASSSTDNDPTTTSTPSAVATADAPRALQPVL VYTCSFISMLISMEILL PFICI_13780 MSPGKHNEDILRDKTDETEILPAYALEDTRAGSSTQVQSSAAAS RSAAAVQGPTITSPFDFPPEAPAPSYSESSATPKTTINRPIAIPQVSDDPTAPFLQAY AHSLLARGIPPDTWASFLDTLSAFLAAKVTDRAISHAADIAKQFGNVPKRFGKNVATH AKSIGRGISDKAKKGNIVGAAFGIIGGAITLPISTAVNAVEAITSLPSTAVVAVTQKP QTPRERALVYTAVANKKWFEPRGLYARLMDTPELAQLLGLANNHILQAALSEKSADAD TQLGPLRTHISDLTIDNPTRLKLGEKTLWLVIQDSNNQ PFICI_13781 MIITTLILALFYSGILAIPTIQPESWSNDTRTVATCESCSVHGH KSGNASASATDAGSGASESSESSEWTIRRLQRKCYKNNQICVWKFGIDCGHDRVTKCY FVVNSLGRNKTATETDSPDHVCGRFTVSSGWSGQFGPGNGFSVLALVDNESQLIAWPS YSDKQIQNGTVVKPDQTYTPKKLKGTDKGGRREDEQSEDEEEGEGSPTSVNSGGW PFICI_13782 MRVRTWLAGPVVAASVAAASVSDSLGDGITPSLEVARRRGPEIF NAVHNSMRQWGSSLHHNGMSSFIATVPKGVLLHHGNTSPNSPTQPDWLAYEIEHAENF AHSRRGGPPGRGGRGPPPPQGDDREGEKQRTMKESHGTLELRGEEHKHAEEEEEEEER HGYLHVYETTRPLKFLYVDGMGGGKTTMGTLDWQDYLLRGKTPANDTDTWAHPQRDEP PPPPKRKGPGGGGPMDEQERAKDLCALCKEWGLQGVIRMEAGFEIIKCDFSDGLEQVQ ALQRPEDTDRRPGGFGNLEFVRGLSERYQGIGSTRTIIDYSSMVSAFFFPVNMTNPDP KRQDLPRLVSVEQTQLAEIKKYLEGVVLERRDEEARIIDWQDVSDLIVGRYADRLKDM AEAVDSIETMANKVNFLLTLFVDGSNVETTEDAIDRCANFYLRSVRPATQSDHLIHTA FKTVTHEICSTLFRVRNIVVTDPAPGRSSLAAAQSAVGALMQSLSWTRFKRCPPCPIN EVCVIPMWPMGTVEEYNNPRCSNGSEAEGGERYWGGGPGGPRGPGNGRDGGPPPPLFS PFICI_13783 MEYSRQSHARRGMTLGNVIGDPFALATTSIAALAWIIGMISSII AQIQPIPKGEQGFPTFSWWSIVYMFFLIAGVMIVVAADAAQTYHVALVGYLGAGLVLT SSSVNSLVYSSSAAREAAAAGFILLSMVNIVWIFYFGSAPSATPRAYLDSFALTKEMP QNRQTMNGGYGGRPETSVSNQPPQMYTSAQLNGFENPSPVAGFSANVTPRSPAAQPMA APQKESEIAQPTEYPYRAKAIYSYEANPDDANEISFSKHEILEVSDVSGRWWQARKES GETGIAPSNYLILL PFICI_13784 MGEPQPSDESRFLDDATVRTISYLESRLLRLEHLLYGHASAVLV KKPAIPSLQQLEHRFEKLRQRVRTYDELLKIYNAHPTLFTTRSTEAAPDDLSPAALAQ MVLASSTLYPSIASSLTSIEDTPIPDPSMSASLVTLLPRMRAIEATQKAQSAEIADLR GRSEQVVRSYYEQSVVGYGNRLAGVEKRVEKVERGVRRAERASQDL PFICI_13785 MPNYDTISDGRANEESSSTSADMTRHRRTRGHHQKDGHHGQASM VSSVINLLNTIVGAGTLAMPAALSHFGIIPGVIVILWSAFTSAFGLYLQSRCARYLDR GSSSFFALSQLTYPNAAVIFDAAIAIKCFGVGVSYMIIIGDLMPGVALGFDSRAQGVP FLMDRNFWITAFMLAIIPLCFLKRLDSLKYTSLIALVSIGYLIILVVYHFAADVIPNR APVRVITWDGPISALSNLPVVVFAYTCHQNMFSILNEIKENTPKSIIGVIGSSIGSAA SIYILVAITGYLTFGDDIKGNIVSMYPPSVASTIAKAAIVVLVLFSIPLQVHPCRASI DAVLRWRPNSASRQSQSRTNSPGGRPLLPTAQARSDHGPAAPMSDLRFALLSTVILVL SYVTALTVVSLERVLAYVGSTGSTSISFILPGLFYYKISDPNSTHHQRLAKTDDDADS PVGSDESDDEEAAEAMARSVGSVRSVASGRSNTKSSWRWRRKWRWDLEHINPVHLRRA SLALAIYGGCVMTTCLILNMFVSVAH PFICI_13786 MAPGVTTELPVHSLPSQDGSVKTKAFPKPLSPSGALEKFQYEDT TPAIGREFIGVNIVDDLLRAENADELLRDVAITISQRGVVFFRAQDNLTNELQKEFVH RLGQLAGKPADSTLHIHPVLNNTSEFGVGDDQISTISSLQRKAIFQHEKDQTRKRYDS SQWHSDIQFEPVPADYTSLRLTQLPKTGGDTLWASGYEIYDRFSKPYQKFFEGLTATF IGDGFHRAARDNPDKVKLYEGERGSPLNTGAGLEAVHPVVRTNPVTGWKSIFAIGPFP KYINELHADESDALLKKFLSVITENHDLQVRFKWRNENDIAIWDNRSAFHSATFDYDN LGERFGNRAVGIGEKPFFDPNSKSRTEALAEATQ PFICI_13787 MSSTASAYGDCVAALRGSLSHLESSVETLGNGVADFPRLISVLK TVRHYELIPQPTLAAAEASLRDEIGPAITTLLDRADSHIDRVERRIESLKARAELQQG RLTERPGSSRNHSSSYGRGGKTASGAVQKLGGEAKLRAKAVKQRREALEYSIERLELE VSQKERELRKQIEKA PFICI_13788 MDDSSHNAPNVEQVAQQLPNSSPPSPTIEQPKPAENQHLRAPVR PSVQSRNSSNSSMNGPLYMQNSNNKVFIRRVRRKGDGPLKSLSRWFLENQFGFSFNLI ALLFLTHMLMPKARPYTTRFFTLSHYDAESGLYTTGPGDNYFIAFCIVLFTGLRAGCM ENVLAPLAKLWGISKKKDMTRFAEQAWLLTYYLIFWPLGFYLYYKSPYYLNLKELWMN WPIREVDGVMKAYMLAQWAFWLQQVLVIHIEERRKDHWQMLTHHFLTIGLIAASYAYH QTRVGHLILVLMDIVDLFFPLAKCLKYLGFTTACDMTFGLFVVSWFLARHVAYLAVCW SIYADIPRYINLGCYRGLGKDLVGPFSAPEGFSHLLEPFRDPAGTVCFDEGIAGGFLS VLLVLQVITLSWFYLIVRLVIRVLQGGNADDPRSDDEAGEEEEEEYEYEEAQPLEEEV GVEAIDLKGWERRAGVKRVATSSGVNLPGHSDRKELLGRIGCEKQVE PFICI_13789 MASTAKYQPAPTQDPEEGTWSQAPPSYQAAGSAQDSDRLFGGGE ARSSEDNIPDDFKFGGSVAEATVDIRNQFIRKVYTILTAQLIVTAIVSGLSFWSEGYK AWIQSHPGLVFVSLFGAIGFMLLTYWKRKSYPTNLLFLSGFTLLEAYSVSVIVSFYKS SIVLNAVFLTGGIFIFLTLFACQTKYDFTSWMPYLFGAVWGLILFGFMAAFFPYSSTG ELIYGGLAALIFSGYILVDTQMVIRHHHVEEEIAAAISLYLDILNLFLAILRILNSQQ NN PFICI_13790 MPLQKMIRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGKSVL AHKDSDDASAAHVEDGVKIKPITVELELDEEGTRISLTIVDTPGFGDQIDNEASFSEI VGYLERQYDDILAEESRIKRNPRFRDNRVHAMLYFITPTGHGLRELDIELMKRLAPRV NVIPVIGRADSLTPHELAESKKLVMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELRG LMPFAIVGSEDIIEIGGRTVRARQYPWGVVEVDNPRHSDFLAIRSALLHSHLADLKEI THDFLYENYRTEKLSKSVEGGAGVDSSMNPEDLASQSVRLKEEQLRREEEKLREIEIK VQREINEKRQELLARESQLREIEARMQREASALSQASPIPEANGDHEA PFICI_13791 MNTRPPIEASHEPTVLSVAFNNDASRFSIGLNTGLCVFHTSSCT LNMSREFNGGLALVQMMGSSNYIALVGGGRSPKYPNNKVMIWDEIKGKVALEITALTP IRGVQLTRKHVAVVLQNSVRLYEFTKQPRPLATYETTDNLLGLCCLTERLLVFPGRTA GQVQVVELATENVSIIPAHTSRLQALQLSTDGELLATASEKGTIIRVFSTSSCAKIAE RRRGSEFAQIYSLRFSPSGQMLACTSAKGSLHVFDVSAPGRTNLLGSSPPTQSPAAAT GATANAGDASTNRWGFLSNIPFGPFQDTYSFATAKFELGDEPLGAAATESTAVLGTTR PIKGVIGWAEEECLVVVGAGQDARWERFMLTTDEGGARALVRRGWKRYLGGGS PFICI_13792 MNQIRQIQTLNKRELEAGIYDPAASWHADYRDTAFVNFGGLPYN LSEGDVLTIFSQFGEPVFLKLARDKETGKSKGFGWLKYEDQRSCDLAVDNLGGAEIAG RLIRVDHARYKSRDDEDDSEFRVGWEDLKKKERQDHGEKSESESEEEQEVVRRPLIQE EKELAKLIAEHDEDDPMKAFLVEEKKKEVEEALQRAEKKERKHKHRHHHRTHRSHRSG EGEDDREKRRSKRDATPLAEDRRRDGTPDARDRDRDRRNRDHGRDGERHRDRRRRDDH EDSERRRDRDGRRDRDAEGDGQRHRHRTRDYDQDRRSHRRSRSRSPRGHENK PFICI_13793 MNRYSTYTSTSAGPGDGEEKKKDMWSSMLDDVASGKRLPEKNML VLGGSVESQRDFLESLSNNEKRRNLDRSKDKIPPIANSYALGYTYYDVLDADQDDTLA RVSLYLLSTPSPAFTSLLKPLLTRQSIPNTLIVVLLDWSQPWTWMRQLRDWILLMRTV IASLSLECKDVLQENLDTWKDRGRGGSALNLDGTPALTSEGDVPLPVGQGEWDEPLGL PLCVVCQNAERMDYLEKSQSWKEEQFDLVLQYLRTVLLKHGASLIYTSPTGDTPLQSL IHASLGIHSLLKRAPLKHNVIDRDKIVVPPNWDSTSKIMVLRDGFDVDAVSNGWSIDI DQPFVLPSKPIETTGNEDEPDGSIIPVSGPSEGLVQDPEGSAVTLYESQVQDSSLDAL HLAQQKQDTTRLEVEAASAQEFLATLVPRVDAEKKVDEEEGKQFAQNSTTTTEEIISD HIGPVQFNMGGIQVDADDMVQRLKERQSYSQTPEPVADEEEELGSSKPVDTERLAQFF GNLMNRKPGAGGK PFICI_13794 MMSAKLQESAATGAQKPTTTRPAEQATTSSIEPSQALKNMAASG KSPYVQSQKDSAIKWQLWGSESIERAKKENKLIFLHIGFSASHYCYLTIQESFSNRNV VDLLNKHFIPIIVDQEERPDLDSIYINYVQSLNSAAGHPLNVFLTPELEPVFGGTYFP SPGSHQIDQETGEEIADFLMVLRKAESAWAEQEAQVRADGKQSVEDVRKMTSEGTMGQ TRDAQPTPSSSGDLDIDQLEEAYSHIVKTFDRTHGGFLHLPATAPSNFFSGSIPLDQM REAYDLIRSTAKFLTPAKLSFLLRATQYSQIVIDIIGDELSSECSNFALKTLIHMANG AIHDQVSTGFHRCSTTWDWSLPTFEKMLSDNALALGIYLDAWLLQGAKANDQFAKVVL ELADHLTTAPVLLGGGGFASSEAADSSNKQGDSVLRHGAYYLWTRKEFDTVIGDEQQS TVAAAYWNVKEHGNVEKDQDPNDEYLNQNVLRVIKTPAELSSQFKISESEAMQRVESA KAKLNSHRTRERPRPALDTKVITAFNGMAIAVLSRTYAALKAAGGSRRESDYLSAAIG AAQFIKKEMWDPNTKTLYRMFFDGGRSSTKGFSGDYAFLIEGLLELYEATADESWLEW ADQLQGAQIANFYDSTDAEPDRNNARCGAFYRAAKDEPYSLLRIKDVMDTSQPSDNAV SAANLFRLGALLDREAYTRLAQETVDAFEAEILQYPYLFPGMMTCVVASKLGVKRLVS IGDQGESVKKYHLSPRGGLSTLLFFKPESKLTSRDSKYLAQLSKQQPGVYSIEAGGQV QSS PFICI_13795 MRWASILTALATATAVSASKPGSPAHRIAKYNEIMMDKAQARAA ARDEKTQIASRQSGDKFLTEKTQQFAVNGSLLPDVYWDIGESYAGLLPIGNSNDTELF FWFFPSTNEAAKEEIVLWFTGGPGCSSMSALLEENGPITWIPGTYGPQPNPWSWHHLS NVIWIDQPVGTGYSQGVATYHDEFEVADQFRGFWKNFVDTFDMQNYKVYITGESYGGL YCPYIAGGMLDQNDTEYFDVAGMIVYDGVLGDVNGDIVTIPFVDFHHNVFPFNESFSQ LIHEQHETCGYADYLEKYLVYPPAGVQPTAQPGWNENQTDYLDGCAITYETWDAIALL NPCFNIYEVVEQCPLLYDPLGFAGGNMYSPPGAPTVYFNRTEVKEALHVPVDVDWEIC TENTFPYGDNSPPSSLVQIPKVIDRTQNVHLVHGRLDMVLYMNQTLLVIQNMTWGGQL GFQERPTEPFFVPYHETADLGTAAGAGIAGTAHTERGMTYSTLTLSGHEVPAYQPAAA YRQLEVLLGRIDNLQSTLPFTTDPDNTTQPSSLDPGTGEN PFICI_13796 MSDIETTTIDTSLTLDTSTTVGTSFSTASTDLSSSTWTVTQTFQ PNKTMIDDGTFTTTTVTTSLISGTTSNSSDIFTIYNATSTGTSSATSCWTTSSIPFGL NSTTLSTASRSKPNVTWAVGPPPRMSARSSTSSTTTTVTVMDPHADSTTTTSEVTSTS TTSLTFIPSCVPGLEENVGVLNGDLEDGLAPWSFDVAEPRTAKYGTVNDGVGGSCSSF LVSLKRSRETEDRPGFQFFSPLYDVEIQQRYLVSFYVKFREANEARIMLSANSQDRNI ATAVAFRDGTEWTRIQTIYVPTMDWIELLFAFDLDGADSNTFLIDRIQLTPAPPLSST AASGSDVVAATFSASPPPASTDFTLSVLDSTPSGFTATATTVTTAASASDAL PFICI_13797 MNGLSVYEKLRQNAKTFIEAYSFTPEAGQPNIDVLTSFMSSNFT LAWGHTYMTQRQPRLSKALDQAGFREHMGAMVPFIESTRIVIHDIIVDEPARKVVVRA SFCLCPKGQNEGEKEAPENELMWILKFDQEGEQLESAVEFLDATATARIGELVAQAKQ KV PFICI_13798 MQAASERVTRPHRRRALACLQCRKKKLKCDHGNPCGNCSRARNK TCTYAPTTTEDSTTPEPRPSRSSVDEIETRHLYNSASRAEESRRPETLFTKTWDSPSS VPGERWAPSAVASLLLPTEGSPNDLAMSQDKSQTIPISTSLDGLSGPLPEVLTWIDKE YATKGESLQVRQNCKPLIKRLRQQRSMAWTPGDYGRYLPSRHLADALIDSYLRTFESV LRVLHIPSFRRDYHLMWDQTSAASPHFIVQVQLCLALGASLYDDTFSLRGQALQWIQE AITWVHSSEKSCLSIAGIQNLCLLELARRNMLEIHGDRSWIRSGVLIRTSMVIGLHRD PGALPGVLPAQAEIRRRLWATVLELTLSSSMDAGCPPLMSLDDFDCALPMNLNDEALD LRSHTELVACDDDMRTDASLQIALARTLPDRLAISKLINSIKAEALYPQILSSSMSFK NTCRLLNDSLRRLVPQPTGFQRLYSEMTMCRYLFTLHIPYIAVSAQNPMFLQSRNICI EPALNFAHSALNSPLLHDAFLESIRSATGADAPCEDFFRLALCGLGPYRTVLYQAVMI IGGELSRTSVDRPETSYSDSTLTRNIRSLELSSLLRVGVEWAKQRIMAGQDNVKDYII LKAVLGCADAKIKGLPISDAIEASGLEASLEAKAMLTQLVNTGDLVSEEPESVPDSGS GSLDDFWTADLSSYDDFFLPFE PFICI_13799 MNNNQIPKPIPGPRGYPLLGNIQDVDPNSTLKSFTQLSDQYGEI FRLRFPGQPDTIIVSSFDLADDLLDDNRFRKAPKNALAEVRNGVHDGLFTAHLEEPNW AIAHRVLMPAFGPKGIRDMFDEMHDIASQLVLKWARQGPHQRILVSDDFTRLAMDTLG LCSMGFRFNNFYSENEHSFVKAMGDFLSECGRRGQRPPLPDFFFRTANDKYAADISIL RETAEAVLRERVADSENERKDLLTAMLRGRDSMTGKKMTDESIIDNLITFLIAGHETT SGTLSYAMYELLQKPEEYRKVREEVDRVIGTGPITVDHMTKLPYIEAVLRETLRLDSP IPVLTRQTHGGDEMLRGKYAIGKDDVCLVLLSKLHVDPNVYDDPKEFRPERMLSENFN KLPKNAWKPFGHGVRACIGRPFAWQEAVLAMALLFQNFDFESDDPNYQLENKQTLTIK PQDFYVKASLRKGITSTSLCRHLQGTKEELEEADQIIQRHRGNGIAVGNNADLQPMTI LYGSNSGTCESMAQRLATDAPAHGYRVTLLDSLDSGTGNLARDQPTVIFTASYEGQPP DNAGKFVQWLESEKAKDELKGVPFAVFGCGNSEWPQTFHRIPKLVNESMSANGAERLV DMGLANAASEDCFVAFENWEDQVLWPVLEARNGGSSSPTARRTTASLLQTQVYKTRHS ILKLDMKDARVLANRTLTAEGESMKKHIEVELPAGTAYRSGDYLTVLPTNPHENVLRA IRRFQLPQDANIVIEGAGKKLPTGVPTPIQSILGGYVELGLTATRKDVKNLATAASAQ SDREKLETLSSDQYEPEISLKRVSVLDLLEQYPSIDISFGEFLSVLPSIRVRQYSISS APAINQSVVSLTYSVVRSPAKSGHGDFIGVATSYLASLQPGDLISAAVRPSHEAFHLP KDPENTPIIMVSAGTGIAPFRAFVQERAAMIAAGQKVAPALLYHGCRSAGKDDLYAQE LADWESQGAVRVKRAFSREPRLSAGCKYVQDRVWADRQSLLNLWRKGAFVFVCGSKEI SRAMDDMALRFKQEIAASKKIPITEEAAKNWWIEQRNVRYAIDVFD PFICI_13800 MPATTSLFRAARPAFRQSAFGAHRAAFQSQRANAGRRWQSTTAE SQQSWFSRMWNSPIGFKTVHFWAPVMKWALVLAGVSDFARPAEKLSLTQNGALTATGL IWTRWCFVITPKNYLLAAVNFFLGMVGIVQCSRIFMYHQSQKDAPLPAAAELKEEAKE KVEAVKDAVKGQ PFICI_13801 MSAKYAFTQSLKEVRFLFCQTGEGSAATRSFLTRAYPTMKKNNP TTPILLREAAGTLPKVYARYGLGKEKSQSLEGLTDKQIEEAVTTLVKNDTTA PFICI_13802 MSPDIDTNHRGIEMPITPPTWGFTSYLDGEPPLEFFTTARPQEP AYFSTENGLKSRRFNIDFSKQRIIFPWSAAQIQQAVTHIMEKCWEACKRMEEPQNYSL LWVFFDPVDLWHMGVQNAWNVLNAVYWKTQQIMPGLIAKTTTVLELWCEKMIKTDFSF RQRIFYWNKERESDPFFLLKQSQCEDLDGIDFWYLPLLSTVLMRAHSKLRSGAWLPRQ TETLAIQTLRVTPTLRDEIYNIERQAFRGIATHAQVKTTSQGISNYGQPLFQDNFEQQ VLAATERDQPQKECQALQAPTCNDPDDVKIYTGAPDSRKAATTTRKLHPFRPTPLGEV YYDMPLSIGSINHSLFYSPRKTAAGELDGPVPGQPDSPKQPNSIDLTPKTLPSVASQQ ERFNLIAEMKKELTNVTTNKMSPSMAQEWQQRVSNAHSASKVSTLRSTTAGSMPRPLA IHTGPSLKDTHLLTNDPHAARVRTDSNQYGRHIRRTTPSSTTTKCVNEDIRQVRGGVW HFQYQPCSCDDCHEKNCTVFVGPCGHRNLGAPENREKLHMLFTKFGTVLSTRPKCYGK AVHIRFRSVHSMLAAMSMNGKIVREICDEPLHVQYAVGSHFFIPRPGLAKPCDLQRAR LVSGNNRQMVHRSHAAMLRETAYNSPRNATLPSSTLIPPANLAYFNPRAREFVSRHPS IELVPRRMRQHVGDLPSSSHPSPWTFRQPSVAGPSHYSISRRPRQVLGAKDNYAVSEN NEISPSLLARIERLPRQYLRPFAHRAQVAKPEPLPPFTFPNRRASKVLDTAQSPTPQE AEAVAEGTATVIRRPDQARPNILQMPWMSTDSSSDSDAGYSADTEDAHATTAGHRRRA GKGGDLHGAVP PFICI_13803 MFRFHKPKDIITVFHKASSPASTRVVTLLKQVSANATETATEDQ ASDHTAQSDPKRPEFDLDITEAAPTSDQLRSILEYVGASKISSVIPGANNEKDALKKF KEGAENFKRPVIVDWNNGRAVASESESEILKMVNQLNKQ PFICI_13804 MATRRCHSFSDLRGFINRGDRQRDAPRRRVVAETGSFGKSTRRS RSRTGSLPPKREDATMQSADRIFSEYLSKQEQTSNSNGSQRKPSYLSQTTSADDNSSA QAPRIVREPTEVILRGYKSSIQQYAAINHYEQLAGRICEDYPREPPIEQRRYKSELRD PAFTRRQPLTPQEKIKVNQVDGGEHWIKVTFESADAASAAIYASPQKILGHLVYAELY RGLPPMEDAAVMDLVGDVGMLHDEQPVSRGHSLRGRLQKGKQSSLSKNWQNGSANGRS SGADVGPNGSPSESRTSTQTIDSATMSTATGTASSATVTGTALNGNASFSDPSRSDNL PEEPQNGDYCRRIPTARRAKLLTAEQALLPQQGLIQRIGSRVPLIGWFSGSMIGNEVP RLENGEFDLNRASLYWRIMYTLDYWFGLFGHEIDHPDKDD PFICI_13805 MTSTPGGYHQIRPTSPTPSQLPPIPGSPTFTYASTNRLSTYTLP VPPPPRPPHAILTKADLEASHVAYGDLLATAKSYRQALASLSVAASAFGASLEACARL KESRADALGPAGNSSLSNSYTNKGSCTADLLMSTSGVHHLIANHQQILSETVYRNFEV PLLHELDKWRGAIEDEDESYKSEIAARSKEIRKLEKEGLKLHKQRRRDVGKFREHLVE LTNRLDGLTTVHGEHARTLLRESQETSVKILDASCSLVRAEVDIFESLARKGWSGGGL DELLEKGKDLFANDDESLGGGVDPAVVAVTNGEGARLFSILPPKSILADTTPGDSSRY RPHHRADSLRVDTDRYQSLAGAVDRDGDRGDTDSIFSDFNQSRGVRSFSPQPIRRHPT GVMLDPDSLLVEGEESEDGGAGDGSIPELSPDEHEEKDDGPEDTTDDSPWKDVVVGLR KTSGGTATEFSTAKEREERWTVNEEDQIENEVGNEES PFICI_13806 MPLKVVVVGGGIGGLAAAGYLRANHNVTVLERGRLGSASDDDYG LSVVANAFGLLQKAGVSFENLDTVIMTHIWARNHKNEEIRTMHFDTRSRFGGAPSILA KRVKIQSELVRFATSADFPGQPANIIQGARVASVDADNGKVILEDGSVIEGDLIVGAD GISSVVRTAIYSDLNTAPAPQTHDLLLFMTKVSIEAVRNDPDLAFLSEPTKQAGLTTC YPPNGPQSKRRMLMYHVSPRELQVLGYTTEKEFRDQFDISKSSIIRNVPTSRVVDEFS ADFPESFVNLFKIGQNIDAWRIRDVPPIDRWSRGKAVLIGDAAHAVTPHAGQGCNITI EDAEALGFILRDIETADALPDVLERFVKLRKERAQYVARRSRELGNIQSEDDKSYGPI GQEAFAKTIYSYRGAEAAANESHSSEIAAK PFICI_13807 MYSREDTIDAILRCYLAVVNQAYLDDSALIIPPVDGWSDINIEG KDDVVLDLLRHLPYLRSDNRSQQLLLHWETIPICYTDGRGEQEPWPLPPSCVFLAHSV DREGINLILDTSKGTITPYCHTGSDFTLPEEEYEALPYSERWRGYRTFPIEEFFDNWT QRYNRLVWMLVPNAIGQPTTGTFYSRAETTSQEEKILKDAIWVVPSYDEDRDCQNESV FDREHRLERTRRRQHTATLFEIYISYGWFGKFDKENCRTHLVECEKRKWAEDLRIMDE NNPDT PFICI_13808 MDSQAPDAILPQKPQPIISVRSKVSHNRTESQIPLTGDLEKQLP PVAPPKRFAKIRYVILNTYRRLFGLAFMGNLIAFIIVMARDRLLIDCVNACAINLLVC GLARQPLVINSLFVSLCAVPRSAPLRLRHLACKIFHLGGVHSGTGVASLVWYLGFISV YTQQYKPSPVSTTVMVLGYVVLAVILLIIAVATPKFRMMYHDWFELTHRFSTWTLLAL FWALLLTLASQEKPSMGGFLVQQPAFWAIIIVTAAIIQPWAMLRKVKVTPEHLSKHAI RLHFSHTSIMFGQGISVSKHPLRDWHSFATITDRFDSPDTKFSCLVSKAGDWTSSIIA EPSSHVWVRGVPVYGFGYVMRVFKRIIVVTTGSGIGPCLSFIDDDNRPEMRVVWQTKS PLQTYSQRTLDLVHKMDPDPLVIDTRASGRVDMLPEVLRLYEEFNAEAVCVISNPMVT KKLVRDLEARGVHAYGPIFDS PFICI_13809 MDLVSFALDAQASYSPDKPLFIDAENPSISLSADQTRCLVMELI AGLQAAGLQKGDPVMVCVPNNCFYIPLVLAIIGAGGVFCGTNPAYQLDELVHLANIAS PRLIIASQDVLTTVIKMCKFKGIANERIYVLDDLFLQSSTPKDSFTEAIDELVSDRDY YASIKLASDLRTHGIASWQTLPDEEAAKNTPAIYFATSGTTGLPKLASLSHYALIAQH QSLYASVPYEVTRLACLPLFHIFGVAWALLSPLRYGESLYIMARFNLKEYVSNIHKYA ITETYMAPPMVHAVNRCGLPLQALMATVRYIGIGGAPIDAAAMRQMRSYLDPTATVTP VWGMTEFGPAVLFRHGEFDDTGSIGRLMDDYEMKLVDDEGIHVTEDGQPGELLIRSRA IMSGYLGIPMCNEDGWFPTGDIAQVQQEKIYIVGRSKELIKVKGWQVAPAEIEAIIIQ HPDVSDCAVVGVISDDGVTEVPRAYVVRRSSEREPGLGQEIYDLVRGRLVSYKKLSGG VMFVESIPRTPSGKVQRFKLLQNTSASASSTSTSIHQPPSQTSSQKPSLYRTVRKSVS KMGKKLSTVASRAGRSLSGPASATWLRASKVLASSRIQDV PFICI_13810 MAKPNLLLCFDAFGTLFRPKRPVVQQYGEIARQCGIGGFNDAQL QSSFRAAFKDEMKQNPNYGRSSGLGATKWWTNVIGKTFRPLVSNDQPLPSELVPRLLH RFSSSDGYAAEPDLTSSLQALKHNPHYGKVMIGVITNSDDRVPDILSSFGVHVSTLRH GGYDGTGALVPRTQLCREDFDIDFHCMSYDVGVEKPDRAIFASAESLAAQIISARDDG LVAQAEAKVQHWHKVYVGDEYAKDVEGALQAGWNPIFLETDEVAANIASLTDYPSQPF DPELSRIFHDQKVVKVQSIQGLANWLSGRS PFICI_13811 MSPSTNGTNGATNGASKPADRFDPNFTSHVIGLMSAETKPRHRE ILTSLITHLHDFCREVELKQDEWIVGVNYVNAIGQAYRKNRNEAWRVCDILGVESLVD EINHKIVTENNLSPTSSTILGPFWSPETPFRENGDSVVLNMPKDGQLTYFHGVIRDAE TGKGIPNAVFDMWQASTNGKYDVFDPENQERHNLRGKFRTDAEGKFWFYCLYPTEYPI DTSGPSADLLKIMGRHPWRPGHIHCMVTHDDFIGVTAQLYSRTDQYLETDTVSAVKDD LLLDFKPIQNEPKGAVLEVEYDIRLLPKKYKPDSTMLMENANQDNFEA PFICI_13812 MKTGSIALLLATLGALATPCTKRAAASDSINDLFVAKGKVYFGT AADEGTLSKSAVSDIVKADFGQVTPENSMKWDATEATQGSFTFDTADYLVDFATTNSK SIRGHTLVWHSQLPSWVSAITDADELTSVLQNHIITLVGRYAGKIRAWDVVNEIFNED GSLRSSVFSDVLGEDFVSIAFNAAHEADPDAKLYINDYNLDTASYAKVTTGMVAHVEK WIAAGVPIHGIGSQTHLSAGGGSGVAGALSALAATGVEEVAITELDIAGAAASDYTAV VDACLNVDSCVGITVWGVSDADSWRSSSTPLLFDSSYNPKDAYTAIVSDLS PFICI_13813 MLPTKLLLAAAPLVWTWASRAPTTKALQARASLPSTFSWSSSDA LIGPKDDSRALAAIKDPSIVYYNDAYHVFASTISESAGYNLVYFTFGDFSEANDAEFH YLDETAIGTGYRAAPQVFYMESQSLWYLVFQNNNAAYSTNSDIADPSGWSEVKTFYSS VPSIVTENMGSGAWLDFWTICDSSNCYLYSSDDNGHLYRSSTSVSSFPSGFGDPEIAL EDESNIYALFEASNVYNVGDEYLLLVEAIGSDGYRYFWSWTSSSLDGTWTALADSESN PFARSTNVVFTGTAWTKSISHGEVIRSNVDQTMTIDPCTVEYLYQGLDPNASGDYNAL PWKLGFITLTDPTC PFICI_13814 MRFSSAISLALGTVATASPVRRDYTEDISITDFYVHKAIANGTT NATVDGVSFLLTGENATDLACSAQTGIPSAVFSCGDSPYSFALWEESESTGEFTLRLY HALGVAVGYYGAGVVPTYCHAGGGPTLTCGQVVTPVNITIDSLPDSA PFICI_13815 MWSRFLSACAVAAPLVSAQSDIPISGSLQKILDGADSNPAYKYP TQLTQGIIPKPVHSHNDYWRPVPFYSALAIGAVSTEADVWLYNETLYVGHEQSALSEE RTLDSLYIQPILSVLRAENPDSAFVSGPTKNGVFDTSSSQTLYFFIDLKTDGATTWPY VIEALAPLRDAGYLTTYNGSAVVSGPVTVIGTGNTPLDLVQGVEPRDYFYDGPLADLA TDNITKEVSPIASTDFAVQFGEVRNQEFNSTQLELLDAQVAAAHAKGIAVRYWDLPGF PVGTRNAVWRTLWNAGVDLLNVDDLEAVANFWEGSG PFICI_13816 MDIIRVPGDTNVTDSGQNNVPNPWHSDTLPVSFFALDRDMEREK PQVMKFCLMCDKVGILNCFTCDAPYCSELCQEKDFKIHESICSQLTGEFHDCQRPDGQ HFRVLFFPQNEDQPRFLWIKHEASDEEVQKTMHRIIVRDCEFQPRQPTFATETRAITI NHSNAIRRCGHGLRLNSMTQMKHYNAENGGPVINKALARLSPAGHASVLFGPAILWGY HYRTDAELHDPKSRLRPMDVGARDIRSLMDYMLGRMTNPCVVEPQRLTMQRRVPLTWA VKVNCEGDVARFKRVLHDGVTELPIFESVKVIKYTMDPWITVGWAKILGLHWEYRQVW SNRELKESGRMELLRNPHTRYFTAETLQPGDHLGPDAEDKFQLGTVVVRRRDGGLIHP YHVQWMVDFLDKIRKERGQRRLLLLVNKFLNNEPIPHYNREDMEWDWRNFRFWCPGGR NVGTPWNL PFICI_13817 MSYDQNRQKKRKTSSDGNSIDTTKPTAMFKPEGGRTWTVSVAIP GSILSTCRRDDQRTAVVNQISRALAVFAVDEVVIFDDTPLDKRVRNVDPSMYTGDIDP CGYIEHLLNYVEVPPFMRKALIPVHPNLKQAGLLESLEMPHHPNPSEYLPYGEGITTS EGPSGGKGTVVDVGGNLRVTIDDDIPANHRVTLKFDPKDPARADAVHPATPRTEAGLY WGYAIRRCKSLASIFEECPYEDGYDLSVGTSERGSPISEAFGPRRRETVKFNHLLLVF GGPRGLEYAAENDPALQEMGIIRGRTKELFDHWVNILPGQGSKTIRTTESLFIGLTAL RPLWDSRW PFICI_13818 MAVSIGSQNEWSRILSSSTIVVADFYADWCGPCKMIAPTFESLA TKFSKPGKITFCKVNTDSQQSIASAHGVRAMPTFLIFKNGSVIETIQGANPPALTAAV EKSVKLAGTSAPGASFKTPGRTLGGTGPATTSSRWGGGGGRSLGGSSRFSSFNVLNAL LTFFGLYIVSLFSASFQNFDPYKAAENSDFNVHKPRSETFGRDQGSGRPGAAAAARPT NGVKTLADLGGS PFICI_13819 MLSARKAQKACPGCQAQLLSLFENSFSSTTSRARRSMPSSIRNR QSQTFAKRTFSTTKRRLQESHPETTPSLTQTPEEIELVVRQAKHQFGNTLPKGYLSDA ELKLYTRYYGAPLRETRPEDVGVPISKSVLKETHKYVLVNDKNTLLRENEHGELEEVT YQVSDLAEPLDASAEETVSVANAVEDLDLPSEAGIDYIKAVAKNQREFDALMTLQRDF EKASLRPREEIEEDEEEIEEEEEEPAEEEEEEGEVDAEFLPEVRERDRVHKFSEVGQW RTNPTTLHLPKAEFVDPITFLLGRTDTKHVREAAEAAFGGPGLPHSVATPQGGKVAGQ LAIPLEAGHGRMTEIEADAYIATNLPGMYASTMGVLVEIRKRMGPHWLQGLLSRNNGE GPRVLDIGTGGAALSAWEQVLQAEWDLLHGSTANSKIMGPPGKKTTIVASDRLRNRVS RFLHNTQFLPRLPDYLHSGDHPEKMDDSELPAPRKVYDVIIASHQLMPLKEEHKRKTF IDNLWEFLSPEGGILIILEKGHPRGFEAVAHARQRLLDEFIECPTSDPQPDTLEPEAR RIREPGMIVAPCTNHKKCPMYQTPGLAHGRKDFCHFSQRFIRPPFLQKILEASHRNHQ DIDFSFVAVQRGVTPNSPRRLSVRQGKDAIQSAFQGYEKATEAPNALSLPRTILPPLK RTGHVTFDMCTPAGTLERWTVPKSFSRQAYRDARKAQWGDLWALGAKTRVARPVRLGK GGVAPDDGGIRAKRAAKAVKAKTTVVSMDLDSPYQSTGDRERSSLLGGRGRTPEVRRT KGGKKVRQRRIRDVLGDDD PFICI_13820 MLLQHQLLLSAAALLAAGESTTIVSLFWDVPVSGSSMAATGYVA AADATATTYVLDCEREYCAFSTRTEYARITAGPGWQEYNRHSVDEYDTDDDGNPAQES VQSSCTFVGGTTEATCEDFSSTSYESTSYEAFYWNVTYLPTLPESRGQQNFDVFPGLQ PMLITSGVELLAGTATATATTTGDSLSNTATATGTGMGTGTDSATSTTGTATSDAGPL NGHQSFLFGIICLTVIAVRM PFICI_13821 MLNSALLVSLFGLSAARHHLEHRATSGCYPQAANTNGDTLTVIT TGETPGGFTAPPRGWNSWGIQDNPRTTPSYPSSLSGYPNETFILQQCSVLAGDAYANA GYTLCSIDGGWDSSTTDDYGRIVQNSTLFDDMASLASTLHDMGLLLGIYSQPNVPCDA TNKTIYGTDILIGSTFDGSEDTSGNCYFNYSNPDTQTWHDTQIALWANWGVDMIKLDY ITPGSQIGDSGEPSNTSAAAIAYHQAIINSGRQIRLDLSANVCRNSPYLGLWEANADS IRVAVDINAAGSSKFLGSMWKVQGTIEQYRQYINQVLEANTAMVVYPDLDNMFIGNGE NVTGITDGQRITIASHWIGASANLILGSDMTSIDDLGLQLITSASSISAAEFCATYPM QPRNPGTGSNQAMQLQAWLSGPDGDGNAYVLLTNLGENEGRGGYDTVGTGNLTVTVSL ADLGLDGSSYAVTDVWNGTVTTVESGGSLSAVLDDGNSQFLQLTPA PFICI_13822 MGLPSVLATVFVSSSQDITNQHDGRYSPDSEVRAKGPTYTTRAK KLLHDNFENICLENVQACILLGNICYDDDLADVESLYFVLAVRMAQLLRLAVTNDADD GVTRETKVRVWWSCFVIDTWASGGLNLSRQFKMKIKRPRVPMEENMFYALRQGDADAD VLQWRPGFWGHMVRMVEIYTQIQDFHKYLADADVWDEDFILLTVQDLEAQLTLFEQSI EPGMRFSLDNLKAYIHQGLGGIFIAFNLGLHHYWTLLFYLYLDHRRPPTTNGRAYASR CKQHATIVCDILRASREHETAEALYNIVGHVTVVSSSVLLHTYLFGEPEELPDAKHRL ESNFESLVQLRKYWASIEFMINRLVIFQNNCLRSLNRNTHRFDRWMVKFLLEHGLALE EKEEDADNLPMDMGNSTVYGSLQCERGRVTHGIIMELQNGDYSS PFICI_13823 MEVIACGIHGFAETIGIDVDEFRVFWKLAFTEEEARQTHYRLRV STDPNFKAEQQTCFDSGRIASNQQRNVLCQPNGGFKSTTSYYWTVQVWDQHENTAVSP VNQFYTSYTRCSRLLPPYSMNQTYMPHTSLIFRTWFEDEANRWKAVWVGNGSDKPFYL RRSFQLDHKPNRVVIFASGLGHFNLTVNGHAASPHVLDPGWVNYHRTVQFVGYDVTDL LRIGENVIGAHVGNGFYAGDQGDRFFWPMYEDNTYVRYGNELCFFAEVHIHSEDGSHE SIISDTRWKIRKSATTLANIYASEDHDRRAYPVGWDSPNFDDGDWDPAKPLTGPRGKL KYQSQPPVVLHDTFEPVSVKVVKPGTLMYDLGQNSSIMVRVEASGTEGAEYRVKYSET IGDDGLVLMPDPLFKEFETHVYSKVTLCGRGESEVWTPDFSFTSARYIQIEGASLGGE DGLPIIRSVTARHISSAAEKLGFVKTDKDDVNALINACYWTFSSNIFSYHTDCPQIEK FGWLEVTSLLFPATQYVRNMEAVYTKILDDIIDTQEPSGLVPTMAPLMRYMCGPMHDT ITWGCAVCLIPELIKRYYGSTGVFAKIYKPCVEYMEYMKLKERDGGLIEHGLGDWGRD IAFGNHQANIETAVYYKCLRNVALMAKELGNTYDEARFTSWADRIYQIYNKALLITDK STYPYAFYTSRDNPGKQDRTMVAQAMALQFGLVPPEYRKDVITAFVADAEDSGHVMRA GEIGLKYLWNTLADPDVDRPDIVLNMARREEHPSYMRLLRRGETTLPEFWQDACRSKC HDMLGTIYEWFYSYALGVEPLTDAYQIWSLRPCFKAEFDFVEGKIESPYGLISVKFDR RKRSDGKATVDVHVPTGTVCELKLPRVESVVSIKRGDAEARIRDGKTIQLLQGSYHLE ISP PFICI_13824 MAKGNAITIASSAFLAVGGFLFGYDSGIISSTIAMPHFLEYFGH PSDVVTGGVVSSFQGGAVLGTIINMVFANMIGRKRTIFAGSVVSLLGSTLQCASNSMA MLIVGRFIGGVAVGMLTSTIPLYASELSMPQWRGALSGLLQWFLSWGFLFAQWIGYGC EFSDSDFSWRFALGFQCIPAIILICGVWFLQESPRWLMEKDRHEEAKGVLNKLRNGQN NSRIELEFIEIRDVIAADREIAQVSTMSILTKPSWRRRLLLGCGVQAFGPLSGINVIN YYGPRIYSMLGINTRTALMITGISGALSIVYCTIGLWLLEKVGRVKPLIVSAGLLGAS LLVNAVQAQYLNPDNYNQLRSMVAMYFVFSFAYTPLGIISWVYPAEIFPVEVRALGNA ITTFTNWVINLVFAQFTPTALSQIGYAYFYVFFVFNIIAMLCYWFFYPETKGRTLEQM DVLFGDQLVPHALEDPEGATAVHKDIEAVTHVEKS PFICI_13825 MFKSIATWALLASAAVYAAPAEEISSRAVLKGLADFERVSTTSQ GTSQIGYYGGLQYNGLGAVTAGTSRRTIPGLYPRSGTNVAAFGNAASGLGNPVITSQF SGSKTANFTIGSLFFGCVDASNQPVGCKLALAGYNSRGVLIAYQAFYFTPSGGATNNL SPAYLDAFVGVSTVRLAAAYYFFANSINSINFINDIANSINDLANSINDLTNSINDLA NSINDLANSINSVNSINDLANSINSVNSINDLANYLNCINSFANYVDKLASSPTSSPT LNSLTSDVAPTLSSIA PFICI_13826 MALKTLSAKAAAALDKELMSTGAFSIDQLMELAGLSVSQVIYRV HPLDKGSRVLVACGPGNNGGDGLVAARHLRHYGYQPTIYYPKRSKNELYQRLAKQLED LEVPFVEDFSSAVKSTDHIVDAIFGFSFSGEVREPFPAVIKALEETNIPVTSVDAPSS WNIEDGPPKSGLGSSFMPDFLVSLTAPKPLVKHFKGRHFVGGRFVSPSIAKKYDFEVP KYEGLDQIVEISPNGQKL PFICI_13827 MTIKIRLARFGRRNAPFYNIVVAQARSARNSKPLEVIGTYDPMP KADPYDDSGRLHKDINLDILRAKYWLGVGAQPTDTMWRIMSMVGILDPKHRNHQPRTA PPLPESITGKTIEASGTSTTV PFICI_13828 MRSIKPRFAPRRLFNSSTASSVPVTPITSLLIANRGEIALRINK TAKRLGIQTTTLYTDPDASSQHAACSPNAIGLGPANAYLDGERIVKLAKQHGIQALHP GYGFLSENSAFAERCEQEGIVFVGPPAKAMADMGDKARSKEIMTKAGVPCVPGYHGGD QGETELLNHAKEIKFPVLLKSVKGGGGKGMRIVMEEGEFLQQLKSARAEARASFGEGG EVMLVEKYIIRPRHVEVQVFADKLGNCVALGERDCSVQRRHQKILEESPAPNLDDVTR LDLWEKARTAALAVGYVGAGTVEFILDKDTGEFYFMEMNTRLQVEHPVSEMVTGTDLV EWQFRVAAGEKLPMTQEEIKEQIKQRGAAIEARIYAENPEKGFLPDSGKLVHLTTPKT DEDIRIDAGFAEGDTVTEAYDGMIAKLIVRGDDRETAIRRMERALREYEVVGLSTNIE FLKQLCNSPAFIEGDVETGFIKKWESELFAPKIAKPEVYTQAALGLFVSSLQSTAGLH GGSLGFGQGATEHTFTFKNLDVLDQKEGEVVKVVITQKGNQLYDAQVVRKDEAPVKFE NLVSSPILGLQKTNLTTFFPDARVETTVVQDPNNDNKVSVFQHGIKTELSLVSPLWYE KALGIKEVTASVVAPMPCKVLKNEVQEGDVVAKGAPLVVIESMKMETVIRSPQDGVIK KLAHRAGDVCKAGTVLVVFEEDGAAQQ PFICI_13829 MSQIPLNYEASAGDSHKQTVTSLPPEVVQCLENARFLHLATCTD NQPHVSLMNYTYLPSSQFSAMPVIIMTTNPSSKKMNNVVENPNVSLLVHDWVSHRPPT QHRRPSGGSPGPEHRSSLASLLLNLNTSAMSSISATINGTASLVPQGSEEEKFYREQH LENNTFDSEGGVPPFHQQAQGNQEDGGRNCFVAGEEVRVILVKIKDIRTSDWKGGVQD WVLASETDSTTVNGIR PFICI_13830 MKLVGALSIVLAAPSVLADFDTWSPPGPDDVRAPCPMLNSLANH GFFPHDGKDITEDVTIAALADALNVDKSLSQFLHDKAVSTNPTPGATTFSLSDLSNHN ILEHDASLSRADYYWGDDHTFNETVFNETRSYWTDETVTVEMAANARLARVHSSIATN PSYSMSDLGNEFSLGETAAYIIALGDRDDATVQKSFVEYLFENERLPLELGWARPGEL IDIGDVQDMLFRVIDATGSDAATVDKLRKRGGYHAGL PFICI_13831 MDSTEEPPFPLPRRLNGRLAACDPCRLRKVACDHTRPICNRCRR RASGSECVYSEPDPRTRPLKPPRRPAPNASPLQPSGSDFAERSPQERPLSWSSQSGSN TPTHDRGYLGATSFGAVFEEARNSLSLLSALDLNQTQVESRRDEGSVFSRELTPPLQE MCLYVLRCLADHLSEDCEQPDDSSSDHHGWEDEAVDRIINSLRLAFAHSRDQGEKGLK LIAEKISHNTERPLRDDVSTTEDWLRQFCGENLRWESLGLLWAYLQRISDILHSITCH RFDWLAAKYSAKTGRTCLDYCVTISRHLAEQNTLLLDVARRKATLESIICGEARVPSY VAFGIVVTMMTFLGLHVQQNDPLYRPTLCSELRRRIFAQVFVSDKLVVSFTGRPPLLS RRFCTTPLPLDIRDEDLASGPDVLDRAVASLDQNGWNTDGGLYSATLIRARTMIAYVR DELVEIALSQNTIVSLDHLQEIRARQYQMFSEFPKSLMYQHEELIDPDVDVRKVYVKV LLHLEHLKNIFFAERLLLLHGHVDSSDIIVTSFAMLKMVLLFWTHRERFSLPSIRREF DWDVLVYGAPAAGILCLELLRPTVPRLHPKNNEVSRSNIIQQLSLLVALLDWVNPSAP NRDLCVDSRTIIQRVLDHHLNFGTDVTSMGHTPMDWGPVSVPDFSFDLINTFDWVRSG MQ PFICI_13832 MEVQHPARHSGPSNEEEGVVVVVDWSGPDDPENPFNWPTRRKWL ATSLALLATFSCIINGSIITTAHLEIDADFHINESLFPHSYWPVTSWALGGALFSLAL LPLMEDFGVRPAFLLTYFIFTCFLIPIGFARNFATLVICRFFSGGCASLLANTAAGVA SNLFDGYRERTIPMSSYIVFYMCGSSLGPVIGAVILQYLPWRWTGHIETIWTAALFPL FLVAMPESRGSVILEQRAKRLRRQGKNAYTREELQRTPVLDIIKRSVQRPLYMLANEP VLVVATLWSAFSFGVIYLFTQSVELVFTSLYGWDAIQAGYVQVSLVVGEVLGWLFCLS TDHWYYSSVRRNTESPNTPIPEARLYSAILGGFVGVSGGMFVYAWTSFSSVTWGAPVV GLVMVGAGSTVVVVGISHYVVDAYTVYAGSAIGAVVLGENTFIGLLPLAAQSMYTTLG FPLASTVLGIISAILAATPIALLFWGPQIRARSRFMQSGKVLGNDSFATAESIPRV PFICI_13833 MANVKSLATWLLAGLSAGIALAAPSASSSFKIDKRYVEVRDDIT YNVFEHAATGTKMSFVNNSGICETTPGVNQYSGYLTVGTNMNMWFWFFEARNNASTAP LATWFNGGPGCSSMIGLFQENGPCQFYNGASTPSLNPYSFNEYANMIYVDQPIGTGFS YGTDTVTSTVTAAPFVWKFLQAFLTQFPQYESRDFGIFTESYGGHYGPEFANYIETQN KAIDSGSLDGEKINLVALGINNGLYDESIQYKADIEFAYNNTYRQLITAAQYKSLNTA YTSKCKPLVDECTGLTGNDAYCEKAYNTCDADIDEVIYSSANFDPYDVRAARNDPNPP ETYATYLARADVKKAIGAATTYQECPNSVNSKFFTTGDYPRSLVSTLSTVVQSGIRVL TWAGDADFICNWFGNLDVANALTYSGSTEFKAKALEAYTVDGTEMGQFKSVDNLSFIR VYGAGHEVPYYQPKLALQAFTQTMKGQAVSST PFICI_13834 MKDQLNPYAKLFSEPKGPGDQRPTALGIVKDNDLLGTWTDKVVL LTGGTSGIGVETARALYATGAHVFITARSMDKAEATVQDITSSINGRGSIGVIEMDMD SLESVRKAADDFLSRTTRLNVLINNAGIMACPRTLTMDGFERQFAVNYLAHFALTILL LPSLMASSSSTFNSRVVNVSSSSHRFSSVDFSDINLTHSYDPYRAYGQSKTALNWLSN YIDRAYGPRGVHALCLNPGGIWTGLQGYATPQQLEEWKKDKETMKQMQSPAQGAATTV WAAAGKVWEGHGGRYLSSCRVATQSENETNAIDDGYAPYNYDTESEERLWKLSLEMTG LSAP PFICI_13835 MQCTRLEKQCVYPSRALGTNDDGAGDVPRGQTVPSGQADVSDSF QSLPSGGYRSGLSEFCTASPDSVPANKFPVLYFLDPEACIRPLPPTSRLSFDPRVSGP ANVTPILEDDKISVCEEYFARIHPWLPILSKKVVRQNIQRRNITPHSSNLALLFHCMR LLIESLYFSDQGLSNPICPTYLLVKENLARAEILFLPDMSLLQSVILIATYETLHAIY PAAYLTIGHAARLGTMMGFHNPKEAPQLFKDSGTWTGREEERRACFVNQEMRSAALAT PNPHMGEILPCTGTVWDEGSIGSNESVFSTSLSANITLGSFANVCQAAHLLGRVIHHR DDRDMDTVSRVTEANQLHLALSAFTLHVTQHAAVTLSPDSSIFTAQSLAFSARLVLYN IYACNERYDSTTHRSADEAELQRLAIQGILEVTESVSRLVRQILDTTETSQSPHFPNP FISHCLFQAAGECEWFVLENPESDSGRWLLDIVRLLSLIGERWQVAGVYVARIQEWPG FTQISESRIRFQ PFICI_13836 MAPPITIPDPSTLGMVAHNNQGYIVIVTVIVCFLIATPATVGRI YTRKFIIGQLWIDDYLTMLAWTLMFALGITECVQVRYGAGAHIWDLPQPDGILLFQAN WAGVLLYNLCLCATKSMFFFQYYRVVKDITKLKIIYSLVGVLVMAWSIGQVILILVNC VPIAGNWDPNIDAKCMTLPETYGIWMTSAGNLATDVIILILPIPVVWNLNLKKKPKLI LRGVFCLGFFTCLISSLRMFALKKTADMTYDAASLLCWSIAEVASGVTCACIPTLRPL ASKYLSSAFGSSGSGNRQSSRGYENYGSSENRKRRRESRGVFVSDNTLVEGDDQNFEL GAGKSVPVHVRQKSDVESPTK PFICI_13837 MSAPAANHIGQQQVSQPAELSSVRRPQRLYLKVTVAMRVVDRIL DNHQYTGIKEFLGNAEYKAFRHEVREIVRKDFDANIDSWPVRVMHNYVPDARNAILQA MEENWQLFAVTEGDEFVPSELIYERFYAGPL PFICI_13838 MSDSANWRVRAPVDPDAQPRRNNYNNRENRDGQRPQRNPNVSQA ERSRPDDLKVHRPQRQQEDSPETAAAITEGRRIYLGNLLYSTTPDDIELFLTNNGFPK FEKVHISIDPFTGRNPGYCFIEFAEKETADSAMEKLEGLPLFDRAVKCRPCQPKGNVR RAAQWPREEGNSSSYNRWGTWDKSGSAGASAGRLSGLNGPNDTMKHYQVSKAQEEGRQ LYVGGLPRMLDQAENEVEIRDIFKDFEVDGVSKRVSPREEGEGRRNFCFVDFSTAEQA QAAKQAIHGMSYRDAPLKVSEATPRVERYPRRDDWSRREERSTNRDIRFE PFICI_13839 MPGQPLSNKSSENHSKDYFSLLGGHQHPSARQSGSRASIGFLIN GSIQPYNNEPRSDPSPVMVSQNDRQTTMHIPSRPSSQLPDRSIARLAGLNGVNQIDSA SQPKSCSTRLTSSGKFPPINTHRATMSFSSLAESNDENMWDRGHRREHSHSTYVTSVL SRRGSGSQDHENAPVGHSHQEGLVHAPSHELPGLYPDRNTGRLRLTEVEEQFRGLQIK SPADPSSNCRRFVIPNPGFPGSNKTSSLRPLAVDFIPMSRPPAMKLAQGSSSASHFQP PAEMNRPTFYNSTNYKGNPRLPGNQSADIPEAKSCALWITNLNLQPELEYKQLLSAIH DCGKVYACYVNPPDPQSGHSSYAAKLVFFDARGARELHSRAARGEFVVNEYVPVVRYN RIRTASQPQGPECRVLHIEGPSDIISQKDLDWLFTGNFQFEVENVRVLNEEHGRRRLE WRFGSYRCQAASAKKLIEERRRSARLDPESPLGNWAQVYVYYGVDPCAPDMRSDKPSL SFD PFICI_13840 MADAALKPEKDFSKEADKQIPEAEKLAKNDLNAAVEKLTVLEKQ TRQASDLASTSRVLIAICTLCKDAGNWSLLNDQILILSKKHSQLKQAVTKMVQTVMTF LDDTPNLEIKLSVIETLRTVTEGKIFVEVERARVTKILSDIKKQQGDLKAAADILCEL QVETFGSMDRREKTEFILAQVALCIENDDWTQAGILSRKISTRFLARKPKKTPEQLEK EKKAREEKLKRGEEVPEEKEDDTTDLKLRYYEQQIILAKHDGKYLDVCKHYRQVLDTE AVEEDPKKLLPTLQRIIYFVILAPHDNEQHDLLQRICRDPRNEKIDDDNKLLKHFTVH ELMRWPEIAKLYGPHLCSTDIFDNKPGSGNDKAHERWQDLRKRVIEHNVRVIAKYYTR IGMKRLTQLLDLTAEETEKYISELVCSKTIYARIDRPAQLVTFAKPRDVDDVLNEWSH NMKDLLGLLERVDHLITKEEMMARIQPGAQGKGSKDKKSKKIKA PFICI_13841 MSAPVQNGSAKGAAKPTDKKELKILMLHGYTQSGPLFRNKTGAL NKLLTKALGPGSLNLQPKLIYPTGPHRLKPSDIPGYQPPEGKSLEDMDEEQTDNWGWF RRDEATGSYRGFDDGMHRVAETIKENGGVDGVIGFSQGGAMAALVAAALEAEARPIPQ ALAAEDSWATKLREANGGQALRFAVVYSGFVARDEDLEWMYEGGGSGAEAGIQTPTLH FIGGLDTVVDEDRSRGLAEKCRQDRTRVIVHPGGHYVPVSKEWTAALVMWLREVLQEQ TKSTTDTEKL PFICI_13842 MSWFGVTPLKKFPTPVLKPLAPFFAAGLVILYGVNSAQNAMMKS MNCSVAPRNQLRLLTRRSPGDEWKNDPRNPNAGKAAH PFICI_13843 MLTRSFARAAVAAPRSLRAFSVSAAQHKVPSMSDITLDQVESFN AKQKVFREKLVKMEQEKEESTFNLSSDSSSAQYEGDAEQGALLQTSQGLGSLSIAPTG RQAEAREKAAQKEPHRPEGMLTNLIYGTKEGRELDAQLEASFSAVLARGKYVHSIVFH EVEPDKVDEYVELVGKWYPRMASIEENKVHLVGSWRTDVGDCDTFVHIWEYQRYQGYH QSLHSISRHPDFPEFDRKLRKLIKSKKTSIMQEFSFWPTTSPRQLGGVFELRSYTLHP GNLLDWETHWRKGLKARREVMEGVGAWFVQIGDLNTVHHLWQFANLEERKRRREQSWG QEGWADTVHKTVPLIQGMKSRILTPMPWSPVA PFICI_13844 MAQSLSLPPASSCLKDSWQHEGEEDDWLLVPIYPRQATRLIPSV QARELTWLLSELQSTLKMLKSSLEETYALLAPIDPGSTLVVSTPRHEAIKGHVTRVGT RLVKGSIQLRLKTIPQQTLMLDPASPIHIPELTTLNTLLTQSVDILSFIVTESSRDKA GGNRGDASDPKFLSSQLRLLAEYLAESQALLKGPQLTDQPTNTIQDTAWTSSSVPPSC FNPPLPANLSFYLTLQDASLVLFLRALEPADAPVAFGTKFALAIGTARRLEHDEADRV FAFCPEGADEQSPITASGSIRGNLHRTRSGGHGGGMGKGRGTETQVFVREKVRVESAD PSLMSLSSKLSALSHTLTLARMNLAVVMGEDIDIGA PFICI_13845 MASSKAHPAQTKQHIKKLPPIPKGQTVQRGALPRRDPSTHAAHL IYVNSRSPFRSVTTRVRKQLNKSLRTASTHTPQSLTNTVAGKGHLGPLSSRIQALHKG PEDGIALDQARQVVVIGTGKAIEKVVNVAAFFQGQGDVEVRLQTGSLGAVDDVVPEEE EENGLGAGVGERERMVSCLRAVIKLSSNAIALHQYFINQKIHTRIMEIVNLSGIGLSQ DDCRKAAPWMAEAFARAPATPALTIFFGAAPYESNVEMHERFWSSHLNRVAKSGSLIG ARENGEWLGFRAFFEPGKSRLPYVTDFRLPENEDWDRFLELTGEADYMARVREWGEKT SHTNDEFGLTPGDYYTTSIIVVNPARQREGIGRALDNYTQKVLPFYLAQGYTLTATPS YSLGTSGDFKVHFLMCHFGV PFICI_13846 MSSIEAVPLEGRHGPLELLRKLPFTEKSSNKPPLLFLHGAKCSA HDYMNFLPYFAAHGYPAYALSIRGHGASWSQSRLRKILFTTLFSWAHDTQSALNLIVA HHHNSPPPVLVGHSLGGGALQFMLSHGLLHIGRDNANGQIPGLILLGSVPLYGGGQEI IGNIKQVEAPSGYPHIWSDRGLVSTPKQVRQLFFTQEAEEEAIHEWIHMCRTELESAM AGLFICFPLGEAGKVLNALAGVGTPTKTRKVLCVAGVEDKLVPPAMVLRNSGLYAAAA THLELDKEACMVVTVNDCAHHLMMDLGWEHCAQVMLKWLEGDQL PFICI_13847 MESVSQYLSSAQSYGRNDLVRAAAIAAGAVLLPLFIVAYNDYRF YVSLGPHGMPDNFWGWKRQLSLAPKARKDTTVPAPYDQAEAARAFGPNSADTFLKGPL QARPGGRPEVCGFVAPQRQMTDRCSEDMKRAMNAYLDELVLENPNLLQRENSLLEGPV PAVQIKKGAPIPECLKKTRGELIHVHPPDGSTHLVLSLPDSARVIEQCWGQRHRLSGG PILQWGYTLIYGPRNEEEFALWKQIVSAAARFTTSTVGKVQIP PFICI_13848 MQISSILSLALLPLLAVADGATSTTTSTTILYKTITIQRAVSTS TAFNSTSSYSATGTGVTKITASATTGSSITATSPIASATSTPDNSAGSLGAAKVAAAG LVGVVVAAFL PFICI_13849 MDPLSALSLTCNILAVVEFTWKLLTGADEIYKSKSGGSAKTVFI EDLTVKIIGLNDVLSKSRVKTPDLEALVTQTRDLASELLRALVSVKVNGQHSKWESFA AALREVWGKGEIRDLTDRLESLQRQITRHILVSTSQQVHDTQEKVCEIAEAIRKIESN LVKYRVKDTKEFKTSKEQLLQTVQRCVSLNDQHVADLRAAILGHGSRPEERSIETGLT SSQLVLAAAKQFTGAANPERIYDQFLQAIRFSRILSRYHNISDAYRQTFDWIFEDSSS SDTLTNIKSTLREWLETDSKIYWLYGKPGSGKSTLMKYLCDNPKTKQHLQVWSGGNKV VIAKFYFWNSGDTLQKSQEGLLRSIIFEMLSQSQDLIPLVCDAVDGFTHFDQDDNSWK SDTLLDMFRVIANSIRVNFCLFIDGLDEFLDKTATHHDLLKTLEMMSSSPHIKICVSS RPWTVFRDEYGDEAHLKLEDATHDDIEHYVTDKFNQHAQFQVLMSRDPEYAQIITQVV DRAQGVFLWVYLVVRTLLEGITYHDSLSTLQHRLEAIPPDLEPFFQVLIDSVSPIYHR HMSRYFAIATSSSQPQSAMMYSFLDDVGENPAFSMNLRCKEMENEDFMDRIDELRRRL DGRSRGLLELGTSTRSIVQDGFFICEVNFLHRTLRDFLLDYHGIHETFKKRLGKENPA LTACYAILALMKTAPFPGVGEMSGRSRKHPMYDYTHNLFFFASASTMISNSEMELLSI IIKADRVFRAEAVKHVWMDKDSWFLGLASAFDFLPYVKWRLEVRNHLPEAKHEPSSYL TEALDVALNFEIQQPLKTAYTQQAQKDRLDAADSVPCHCISLLLQAGAKPNEIPQRSY SRLEGTVWSAFISQLESTSVTDRDHVYKVAELLLSHGADWNASFDGQTVGMKLVGILG QELTAQLQGQFGRRTLRNNNTQAVSDNSIQEVPENTKDSRRRACDICCLM PFICI_13850 MRSAVILLTLATASLGLNIAKERRQDDLASILAALEQTSPEDAA AAKTSSSSTKRQDDLASILAALEQSSPEDAAAAKSASSSSQADKRQDDLTSIIAALQE TSPEDAAAASSGKESSSEKRQDDLASILAALEQTSPDDAAAAKSNSSKSQKRNDIGDI LDALGETSPDDAAAADAGIPE PFICI_13851 MAEENRGEQIAAITYTFLFVSTIACLLRIYCRGWVIKAFAADDW LAVIAQFLFIIFCSYEITGVHYGTGRHVKDIPDGGTPKAMQMWWTCEPLYVLTNMAIK LSIAIFLLRICVTRMHRIIIYVVIGVTEVYSLFFFLLFVLQCKPTSYFWTRASAVPPE GHCLDASVVANAFYGYSAISCWTDWTYSILPVFLVWNLQMNKQVKISVVAILAAGCIA SSATIVRFPYLYSLTDIDDFLYSTSDVAIWSTVETGLGITAACVATLRPLLKTFFGGS SARGNGTSARAAGWHRTGSDHHTKGGDAFDMHDVTNNKFGVTTVIDYGKQNGNGNIDL EGQKNKGDAGSEGSGSIHDNDWNSSESNLADKAANEDLRHGAHSQQGGAGAWNITVKK SIVQTRN PFICI_13852 MQNSGNVARRDIAAESYAAALKYLENEFEGNSKALTWLQNAKST SLDDLLGISRQAEAKYDQAAQGKRSVKAWIRGLSKRILYYGQVLDTLSQHHPEYVSLV WGMVKFVLMGILNHANLVTQFAQALSIIAQVLPRTEINAELYQTDEMKEAIASLYAHI LLFLQQATKWYNVGPAGRALTALFKPFELSYKDTVEQIMLCAQTIDDISSLASRVEIR EIKTFLQGESKRLEERETKLHEMQARFHQAQAELSETVDKVLQIITSENRKIDQVHLN VMDMKPRLVDMHFNHVLGVLKPKRSPEDALQKHRSLIRRSSPWRSQNRDTFEIFQRLG QWISAPKTSLLVLQAQPRAQSRVKEIATQLIGTLQPTSKRVIWYLSSISFADLDAVSA IEVLRTLVYQSMKLEPGLVISQPENFNTAKLHASHTESEWFDLLCSILRRLATCFIIV EAEDVFRDEEEANKLVQAFEMLADRFQSAGMAIKLLLVSYGPVRSRKATEQQSNSGVL VVGKDSPVPPRLRKPGSRSPHQSVGWNGLGRRL PFICI_13853 MSTSDKLVSLRQSGPRTPSLQAGFIGSERRSPLNQNGLATATSQ PAASLKRTISEDLSSENESRRKKLVCLAQRLHVEVGQSPVTTPKSKYAPLSATVQTSQ TPGSKSDLRKFGCKSCKTFLALYESIISRNFRGQHGKAYLFSNVENVDFGMPQEKSMT TGMHTLRDARCLGCGEVMGWKYDKAKEKSERYKEGKIVLEAELLCAV PFICI_13854 MESSLAAMAEARARSKRASSALLLPSGKPFKRRRKCSTDSNQNN VRSLIVHRVHCSQSMPGHDRHDPKLYLDQPRLFAGDTKASALRGQECIQNSLEDYLAV QFDIGLVVLRTYECTVYATVIDDEFTLSHLPDADSETAAALRPYFYRLQDNPVEPAQP YDESIVLSSDTQSAMNELRAALPLLLSGWKANLQPPYLQIFHVRDRIRALAPEVLSEH HQALVLGLLDYVEDSFGAEYREADALFAQGMVTRGHMSKLFGPNEIIITTDGGEPTAY VSEVIPVWDRDEKLLRLRCYHWIFDGVFHQEQKTIIITWPATNEPIPITDLAAYPLRF DTTGQIEQHLRSRGNMFWSCRKRNLVASVAQSAPFQIRVSNPRYMIDTVTYHELHEKA ASQRAENSTTRLSQELMDSETPPEGSFVLLLPPRILGFGMHDKKWRTLLVKDLRPPEW NKRAFRQLVLDPQKKELMEAMVKIHISSTMSTDVIEGKGNGLIILLHGGPGTGKTLTA ESVAELAEKPLYRVTCGDIGTNPESVEQYLESVLYIGTTWKAVVLLDESDVFLEEREK TDLQRNALVSVFLRALEYYEGILILTSNRVGIFDEAFKSRVQLALHYPPLDYKGRWEI WNNFFSLLRRQQENKQSVADGFSNVTLADGESISQEELCDKIDVLAKEELNGRQIRNA ITTARQLARFRGQPLGFAHLAQTIRIANEFEEYVEKTHGHKAGDYAKAAGMRLE PFICI_13855 MATPQDSLGARAAGHETPEGQGLFVVPLAATVCSMIVAIISLTL LSAFFALRFTFITSWKKAPLTSWVILAIYIDSWLFVAISMILRWGPGINNNFDMCSTA IFLCLACYISTKLIYFFLVEKAFVVWGGGRNSRLESKLYIFNSFGMLTIFIIIGILNF VFRITYLDNGKCVIGMQRPVLVPLVSFDLGVNVYLTILFLIPLRRSYSFNMDKTSGNE KLRDLVKRTFIGAVTSTLTCVLNVAIMMALNGEPGWICLLSCNLDLLADTIIVQYVTS RDNSGTRGNFSDRSKTSVAVERSIHIHTTTSSRTGGALDKSKSGSVADIDDDIQVSPF RVVAAGGFQKSTDRLKRECTNERCWEEDIELANVGNLDLGTQTHIVATTTRPFA PFICI_13856 MRLLSLATIVMGIALSLGVLVPLVGAIPLKGAPLQLLRALERRE PPSALPEKATDDQKKFQPIMDFDQDGCYNTPAIDSNNKTAGGLRHDFTGGEAACRDAS DLLNNNVYVRTRCNGGWCGHVYDYYFEKDVAVQHFFDVGGHRHEWEHIVVFVKNDVAE VVAVSQHGDYDTWLASDATFQDGTHPMVVYHKEGGSTHAFRMADRDDWWAENHSSAWY LGPLIDYDTGFPDGVRDVLVNHDFGRATMAIKDGEFKKNLDYARNNLVEGFDSG PFICI_13857 MTAILAPSTLLTALIAVLPTYLAFQWIYNLYLHPLSQVPGPRPW SASRLPFVASLLRGTIVHDIQKLHARYGPVLRIAPNEVTFAHPAAWNDIFQFRPDRPQ FLKDPVWWNRQPGQPLSIINALDVDNHARIRKTIAPGFTARALRSQEPMIQRYVNLLV QRLREGLDTVKAGQVKTVDISVWFMFTTFDVFGNLGFGESFDCLQNSRYHAWIELLFN SVKAASMVAAARFYPAIEYALMKCIPSSLKKKAENHYLQIVDKANRRRNFELQRPDLM HHAIEAEIDKTISEDEINATFMVLTTAGSETTATVLGGTFNYLVNNPSKLAILVNEVR SAFQSEDKITVAKLNDLTYLTAVLNEGLRLCPPIPWMLPRVVPKNGEKVCGVWLPEGT QVSIQVYTMNRDEGMFHRALEFIPERWLPESTTDTSSPFYKDQRQAMQPFSVGPRNCM GQHIAWAEMRLIMSKLLWNFDFQASQGKQLQWEDLRTFLLVEKRPIHVDYKLRAS PFICI_13858 MTFSILLFNYRKPGVTPAEFRAKCEALIPLIKEITGQHFPLAHT RRYLLRTEGKGETERNPTTPATVPRGSQADFDYDAIAELTFADQAAFGKFIALMQEPD NAKRIAEAEDEVMDKTKSGIVVLGETIVTTKD PFICI_13859 MITNTALRINAGNLSQQRQAKPNASENHGQVVLVAASGELIADI TIDGWYETQNDHGPPLKLAVASVPSRQDQQANGNVACPREAHERIREQLGLGCCGSGK FDLGEELLRPQQALYTN PFICI_13860 MNSTNGTGDATETASVGWVSPPNAGRSTWGIIWSCLTIFLLCSW KCTHLNIPTVEESEAGWHHVWCIPVFPEKPLLRKWDRKLFFMGLSAIAPEMVVSLAAK QHFKARQEMQRVNNTNSKNKKYTLAHAMFAEMGGFVVHFYGETPQELGADTSIIDEAG NDDFARRMKAVAKFDKGKMFIKKAQILDLSQVAKLESIGSFNVDEADIKDRSKADPFT KVFALVQASWLVLQSCARKAAGLQITELELMTLAFTVCALFTYILWWHKPFDVERSIV VLLPESCIRVLEKEPEWKKPSWPSQWDHFQRSLSQWDHFQWSQRIVDLDWDTFEAVTT SFGLDSGEEESYHIIGTVAFYVFGAVFSSIHFAAWNWVFPLPVLQTIWQSFCAAAFGS AILPLVFGGLFSKLRTNYDGPDIVVIILVNLFFGTLVINMIARLALIVLTFYCFTSMP ASAYQDLDWAQFLPHFS PFICI_13861 MLSRIPLASVLGLALTGIHTAAAYNITDDTVFYGQSPAVYPSPN GTGADGWADAYQKARAMVSQLTVEEKVNITISIPQYEDKETGCEGRVVGIPRVGFPGL CLADAGHGLRNAEFVSGWPIGLSLGASWNRELVRQKSVGMAAEFKKKGINVIFGPVIG PQGKIAKGGRNWEGFSSDPWHTGQLAYESVQGIHEAGVIASVKHFVANEQETRRSTST FFYEDSTTTQAISSNVDDKTMHELYVWPFVDALHAGAANIMCSYNRLNNSYGCQNSKM MNGVLKTELGFQGFVITDWGAQHAGVATALAGMDSAALPEGGDYGTMLWGQNLTLAVQ NGSVPETRLDDMVTRTLAAWYKLGQDQDFPAPGSGFPADHTLPHVSIDARDPAYDDVL LQGAVEGHVLVKNIGNALPLKKPKMLGIYGYSARSRPYFQPNDVLGPLLTDDHALDGV VIDVNGTIYSGGGSGATSPWLAVSPWDTLLQRARHDRTALYWDFESANPQINANIDAC LVMVNAYATEGTDRDALRDDYTDSLILNVAKNCTNTIVVFHNLGARLVDTFVDHPNVT AIIFAHGPGQESGNALVSLLYGDVNFSGKMPYTVAKNESDYGDLLNPRQGVEPYYIYP QDNFTEGVFIDYKAFDKDNIEPRYEFGFGLSYTTFNYTGLTITKKDSTDLSQYPTGEV LQGGPSDLWDIVATVTATVTNTGGVSGAEVAQLYLGLPGDEDVTPVRQLRGFEKPFLY ANQSVIVSFPLTRRDLSFWDVVAQKWSLVSGDVAVEVGSSSRKLPLTGTLTL PFICI_13862 MDDFEVKLALATDPRQRDLLGALGLVVNSKGETLYQHVAGYQNL ALDAAPLDPDSTLFMASAGKFLTHIAALVLVEREHIGIDESVYRLLPELEKLRVISPS TDEAAKFTLRPAQTIITLRHMLTHSSGIGSGEDSLTELWRQAIPAQEFPEGTPPIVKL FSTPLLSDPGEGWHYGHSIHWLQLLIARACGIPFVQCMQELIFDPLGLNHTTYTSRLR PDVSGKLLQCVERKEDGSLGIPEPEKALQGLVMSVTDMKAVLVDLIGPKSKLLTQKHV NLLFEPAFEHSSAALQTILKDEDTFAKTIGLAVDKRPAINFTCAGGLVTEEPVWATCL PAKTLTWNGWPNLIWTMNRERGIATLFATQLIPVDDEKALLHMTRFLKSAWIKFG PFICI_13863 MTSEANIHNYVAIRNTALHHKWLRDCLWYIGGQYSYFYTETDPG DERDIIDATRVQADEPTKRCILGPALSLDHDQSLPQNLSEAIDALARVYPLNENDTKT REKLETKAFGKGWSAEALELREKRLEFQVILRDGPKDLGNLLAAWAKEERDDIYYNLE ESTPLPSAARRYFSSSIKNTRAQEQNWKEGVSKSGLKGVRYYVWFLIGLPNVVALDII SFNIDSVLAALRGVPLRALTFINILSILDYQFSDERLIRSYLMTNGFGTLPAMAFGAM KAASPDRYGNALNTVTTFLWKATLCSVWQIAMHNPKNIRNFLYSILVKRQKIQGQRKQ DLLTHQRALKQKNAYLFAWLDTWKPSSRCRQQLSLYKRDQEDAVNVLGWIVGEDDTPN TDVADKLPIFLLAIILGAAVVGSTVPIDKFAGLLMVTFAVPFCLRVANDVLKGSQSYQ DLEDLLARTTAPTTPSTIAYLVNVFYWLSTGNPLFPSFKSPAFICGFVIVFVTTLYVR LWIRAFSRFCQGIKRALQKLKPKSRDTGNSEV PFICI_13864 MNFAQSCHLLDFKIPQLSRLHAARMERKLDILIIFDSANNISIS RFNPLFTTHDTCLVLFPNGTSFLFVEYIRRRAELLAAEDKLAPDLRVVVFGAPNRNGC SNLWEAIHQHMLVAVDFSRFQPALQTLLEHSEVADLAGLKIGYDRKYTLGQKIEEAEA AFPGAEFIDFTNEVDKCKSVLDEYSYRNNIIGAELVHVAFRHALQAISFHATATEASW FAHAALETYRSYYYPSVSLAGVGARNDINLNGCDINIVQGYNRPYEESVDEVLRPGEP WTLTILITVNGTRIEFLYTGGNGMPRKQSIAMKLGLAMQVRLSDQYKPNITFDEMYND SNSYASARGFKISRRRIGHGINLPVHAPGSIIMGNRSVIPLGFAITYQPPIIGMDESG GPIHALFGNTCIMMDQGLMPITSSDPEMRGNDKIWTIYGQTMPKPEWKKAANFHKSYE SYRTWRAQL PFICI_13865 MDDDIEASRTILYLSRSALRKLGIAFEPQIVTAAVEHALADVAS NNAVSTKFALRRDDTKLSALGAMDKDDGIFKIISSSEGNRARGLPRSNILLIIARPPS FIPSHIIDGTEISLARTASFPIISVRRLLPDGCDLNIFIFGASALADMCIRYLVALCP NRIRRLAIKGRGSETSAKLAAKYREAPFLVEAVNDLKQLPTSNLVITATSSVKQPLFQ DHQIASAPVLVVMTSEGDEIPDQYCEQAIRNRSAVCDDWAAVRARRRQSIAKYIEKKF GSQLPSEQPENYGIKNLEEMKYLKNEERRKRWIMTSVGSATTDLAVVKKCCWHVLDSS NFSGAPRL PFICI_13866 MLPAMNSLADLSTAPTITNVMVSPDSGKPLIQSIEDIEKHIPDK EARIETLFSGGVDSCYLLLLLLEKGYTNVHALNVNVGGPSHNDGLETLAERAKALGAS FQSLDGRDEFVEVVRSACRYRPRYLGGHPISSSLSRPVIAAKVSQAAKRTGAHIILHT ANQSQNSLPRINNGIKIADFSGIHGSPYAHSVIPRCDKIKALNRAGLKFREERDVSCD DNMLVSEFEGGPLLDDPEGPIELKDELYRWTKDVKADRPTEEVTLEFKRGHLVSIDDK AIDGDAIAFRDALSCLNVKAGKFGIGRYYGLEHIHVDLPTESTVTEFREAPAATLIYA AGDLLDNATLRQRDVSKLNELRMKWALECSNGRWAGDEHRRCQETMDKMLELVSGKVT YCLEPWRYGLISVKAKKHIYVRDRDAWEVKTVGKLQRTSFMKF PFICI_13867 MATKPVNVNHEGYSRRLDTVQRILQRFGLKSAQISTIAYVEHCP FPFNNFIYKVELHTPAVPASFADNQPCTLPPPEEGVSTVIVRMSNPLAEGLNNANRVE NDVAAQHLVREALHAAGLPSLVPAVYAWERCRYEEAPDETGFGWTIGEFKPGSDLDGE FPTLAVDEKKQVMKQIADIFAAIQQAQLPQSITQFGALTIDDEGQIVNGQMPLLSGGP WDAYADVWVAKLRLQLEDADKSPLLQGWSLGGLREQINAWIEDGGVPRLLNGVNNNAR VLVHGDLTMNNFLFDVTSKRITAILDFDWAAVTHPADEFFTGLWDLGGGFDDRTAHMT SNILQGDFEEMPPNLDDKGLEAFGIAKAWNEAVASTGAARPSSIEKMDKILALRELET LLCPFALGNEMMLKRISDEQKALKRQDTEAQIIEWFSRH PFICI_13868 MMLQSLRSGPLTKARNYATATKPVVKKEGSIADAFNSLSGGNAP PLPLRFRQLKQQIAQGREDRMIASWHRLLDELRLECDIIARKGPRAIPEIEFKDLAGD LRSIRGEIKKRGALVVRNVIPEAEARGYKYDLEEYIRLNPSTRNFEGQVFELYWSLPQ LKARSHPNMVAAQSTLMSLWNKSDAKAPISTSQTLMYADRLRIRQPGDAKFALGPHQD GGSVERWQPEGSAGVYERILQGDWENHDPWDASTRVHANINLYDGLGACSMFRMFQGW LSMSTVSPYEGTLLVQPLLKHSTAYTLLRPFFRPVAQYGDIATEEYLKPSNWQFIAGE DMTSELQGATPGQGQEYTEFNHPHLELNRTMVHVPKVRPGDYVVWHCDTIHAVDKTHK GNFDSSVLYIPVCPLTEANARYAATQRQAFLDGTPGPDFPGGLGESQHVQRPDVQDLR RVTDENGLRAAGFEKLPILGGDSPGTISVTQTANSILGF PFICI_13869 MLKFVEDNVGGVGTKRASGHKACSTCKKRHKRCHHNDSVSKVPA VSTRSQGANVSRGDSPQEERASHALSSPQIDLGQHDEATQPQDTTEDTRRGQDPDELA EGAYLRFIGDLNPEASFLRRYPQDSTAASPTIHEIGVWQDRKSQERSRPEHTISASDI DVEAPEGCSIRRSGLVSLQALSPYLRKECISVMPPEYEYETISKVFYSKFDTLFPILH GQNISELDVMDSTAIKQCICLTAAPDPSLRPHLRLPHTEAILSPLEFRSYLAAAVKQS LDMGFIRDKIVLLQVHALMAFSSSEANGSEISSIYCAQAVLFSQTLGLHLSWPEAAKA KRSRCLYWCVRVLDRLNAATNGRPILMHDRDVDKYVNESIEEQVLPFRLLIRISGILD AVIAQYRPHPSGETQVPPEVSFEELVRDTQASGIGDGLLASLEVFFSAVMILRDRPNR QGNAQEQISQSPTQVFHAMNIVSLLTEDLQSTITYWAIVPYGIALATSVAYRLMRNSS ILYKRKRARLLLCSGCDALDELTASFPSALKMAKLAKSALREFDRAGTSTTSTTIRGQ RLREGNPPVNLENRTPGGETPLIGSAVSQGPNNGLNLDSGLFCESGCDFAMPTLDTIW SNALDIPVTSDIFDNFSLGNMDSAEWLQPI PFICI_13870 MTTFQNVTQQVNLNNAVIAIALWNSGYVTGNPGVQTGINATFPA VILIPNPNPYWGAGGVIANRRVGWSGPVGNHVTLSDLGPLPLAGAADSATAEPNTAPT NFTAGKWSDADTATLREGADALRKALDDRDAGFSDEIRSGIHKVLDAVDEELAKR PFICI_13871 MHRRVIAKDYGKPIYEASSRLALLTALEGCVEGHQSLRKAGFLH RDISINNIMINEDASNLFWPSFLIDLDLAVREQREMSSGAKVKTGTLAFMAIGALLGE QHSYMHDLESFFWVLFWMCIHYSGPGQSRTVARFDSWNYMNTVELTSFKKGIIDDEDD F PFICI_13872 MQPHNDNDGEVRSHWNDHFSEYVEKNWKKGTDIDQKSSEYASKD TLTRYWTEITVSRELDRGSPPIPIAAELILEHYLRVWSTLVYIGYPHFITWFRRHDRD DEFFYSSGFTEGLYHGHDPQRQAMLKKFGQHWCKFWPVRFTSHVIHKRNLDSERVLPV TCWRRLSQSESTSRTTIYEVDLDPECCEVSNKKVVFKIYNTKTDPAASLAFTKEADIF ETLGRSCEGVIVQYLGSFIQHEKSVIVLEHANGGNLWDFFKTHRVPRNNQELIYLWTK LFKLVEAINHLHHPGRATVGITHRDLKFENILFFKNGSADDYEFEFKLTDFDTSTSLQ NTQNGFNHQDNDGSRTRSAPEASRILDHTEPKSEMTPLTSDIWQLGTVFSEALICILW DHRSLSAYEKKRREETSSFRNLENSGLEICFHDGEKRLACIQEFHQEALDGRKAFDRI SEPLVALIEDHMLVPHDARLGPKMLSVKFAQLLDRIRRDSVALPQRPQKQLDHAQTEP GAIPGVRDLLTGRGNTSPREIKGSPSSPTIKTFDTVVDQADGVLQEELEITSVLREEP LSLDDEGLTAKPDQNLPRERESHTFKFPVVTANDVELWRMNKKQSADPPQGVVEIIDQ LQGRDQVFVIDDAEPMKAHLSDIIHMAGAMISLAKKADPNGVELIFTSAPDDVKKKSR FSFQSETRHLVDRINARFRNKTLPKNTHMEDRLGTVLERIARNEKQTSVYVLTDGVWQ ESDEPGGGVENPIKNLISSLNDGKRNRTAVTIQFIQFGNDAEGRKRLEWLDDELPNSG ENYLRNL PFICI_13873 MFTYLSSHHQIPTAFIPAVCSFGVMLEPKDVCLAFFEGDDSLAL PPSNQMRIRSVGRSGSGFQMSFLLRVVEKSESRPPWSFIIRPLAVYHSFDVQTGRTVW VTVKGNSSTREQICDVFEDQLHSGSISGSNTPASAFVATLAIHLSILETVDENWQLYI NELDHEAREAVDKASNGQLDFGLRFEKLQDEIRQQMTRTDTNNMSQERRQSSFLQSVT SGFRRSFSKRKLNDTDADLTRPKNNDPWWKGVFSGSNNTTLPDKENEIQEELDKYLRL DHYSFGDNQKLHRYGEAMQEAILTIKLDANVVHAMSEFYQRERLRKSVFKDENHPDLY RLDTFIEGLKSLENSLCIKQQQLESLEKFVKEAKVLVRMTPGLNAKNIVLTCVFLTPQ YDGVSQYRNVQISTIYAQSAQASAKRMEEIAGITEKETASMHIITLVTLVFLPGTFIA VRLAMLAVPSLSRSVEYLTTSSQSFLQSGVFQWKDQESLENAWQFRLPVFEMFIAICL VMMFLSFVVWFFVLRYLRGRAQGQAVTVGEKDISKMA PFICI_13874 MITIELLLEAGRNPVNAIPLVRSAVDHSGPGTDFADEIEGRPDG RNLAVLRFLLDKGADTEAQKWGHNDRGYASGFDWESGLNIALSKSRESLAEELPHRNA RTGSKTLNIASRGDGAGIGSEICTKAACIGGRVLEARIGRGIISRQFNKD PFICI_13875 MADFAQIPSGSTLDVKPFQVHVDEATLQHFRALLELSPIGPTTF ENTNAGRRYGMTRDWLAAAKHAWLHDFDWRRSEARINSFPSFRAPVRDDETGYTTDVH FLALFSARADAVPIVFMHGWPASVYEFLDMLDLLRAKYTSETLPYHIIVPSLPGYGFS GGPPVDSDYGVVQVAKTMHKLMLGLGFGASGYLAQGGDLGSFVARIMALSFPECRGMH VNQMGLPPPGVDIDTRDAAEDHAFQRASEAIDTGLAFAMQQGTRGATMGLALSASPLA LLAWIGEKFLEFSDQDPPLDKILESVMLYWLTDTYPRALYHNRGMGDPKDVPGIARYS VVADKAVLRLPYVAKPCGYSLFPQEVVPVPRSWAEKSVNLVTFNEHQHGGHFASMERP DELLADVEEYVAKVWKS PFICI_13876 MQISFISVILGLAAISSAAIADVEGVRHAPRDSIIMTRQSGSAN GNRPTPSGACCVANTSLKQDTCTATDGTQGRCVPGGNDCGGSLSCVAQSKLTCDAAII ERGKSLCRANAGNGQFIDGAKTISSLSQASVN PFICI_13877 MTLYTVALGLLAAAGTLAAPTAGTAEVLPPHVERRGPHNFFLGP DHPLMLARRNVSGLESRSTNYVQDYTTGGTVDFTPSSGGFSLTFDTTEDFVVGVGWNP GSTSPITYSGSFSVSSGLGTLSVYGWTENPLVEYYVIEDSSGYSQTGTQKGTVTSDGG TYVIWENQRVNEPSIVGTSTFNQYISVRESGRTSGTVTIENHFNAWKNVGLDLGTMNF QVIAVESWNGAGSAQQTVSN PFICI_13878 MDFSECSDDQSLGPSVQHCRDGFDFTIKFELVFFSILPASIFVA VALARIACLFSKQAIIGGAILQSAKLVSACAWAALQLALLALSGTREGRFKSFFVSSS AVSLAAALCMIAASYLEHSRSPRPSIVLNAYLALSVLLDVAQTRTLWLASTGRDERLF TTIFTAALGVKFLLAVLETRQKTKWIRWNEKEHSPEETSGLFELGAFAWLNRLFSTGY RKTLTLDELFPLDKNVASEPLQASLSHHLGQIPQPGQKHGLAKALARSLAIPLLLPIG PRLAMTALQFCQPFLISLLLDYLQRPSDASTKNIGYGLIGATFLVYLGLAFSGAIYWY LQERVMYMARGALAGVVYRKVVAAKLSATQDSSALTLMSADIERIISGGLNLHEFWAN AIQVGLASWLLSRDIGPSAIAPLIVVFCCFVSSSILSKVTVPRQKTWMEKIQKRVGVI ASAVGQIKQIKVSGLAAMMEESIQKLRVDELESASKFRMVITVAAIIANVPLCISPIL MFVFASHTLDVTTIFTAVSYVLLLATPLGMLLQTMPAFLSTFTCLSRIQEFLEKESQI DFRKSPLKLDQKTPTYTQEQTRAGDGTAPLIKVSDGSFGWEIGKPCVSNINIEIPSSK LTIIVGPVASGKSTLCKALIGEVPIAQGSVEIDVSNLKVGYCDQTPLLFNSTIRENIT AFATMNESRYQQVLDATLLQQDLASLPMGDESKIGSNGITLSGGQKQRVALARALFLD ADLLVFDDILSSLDAITSEHVFRNVFSNHGILRQREATVVLCTHATQRLLSADHIIAL RADGTIEEQGSYSELVSNKGYIYGLIASNSKDAHPRDNGGPSLESIQDAPKPTMPRTA KNLPTKFDEEKKRMTGDFSVYRHYFSRINTVSIVLFIAFGAGWGFFSNFTTIWLKFWS EDITSTFPNHSGIFYIALYALFQISTLACLLGVCLVCFRSMIQVSGAKLHKEALSTVM TAPLKFFSTTDTGVVTNLFSQDMNLIDGELPLALINLSNRLFTTLGMAAVVATSSAYL VITFPIMAIFLYGLQKFYLRTSRQLRLLDLEAKSPLYSQFIDTIKGVATIRALGWAKN VIATNDKFLDRSQRPAYLLAMIQRWLQFVLQLLVALLATIVVALATQLRSNSAFAGAS LVTLMTFGNSLSFLIRVYTVVETSIGSVSRLKTFSESVVPENLPGEDVVPPPQWPMKG AIDIRGVSASYGEGTVGEQSRPLALDDIHLSIGSGEKVAICGRTGRQVGKSSIILLLL RLVDPLASCSENITIDGTPLYRVDRSVLRQRIIAVPQEPVFLPNRTSFQTNLDPQGAA TEADCTAVLELVGLDVVIDERGGLTAAMNADDLSQGQKQLFSLARAALRRRAQSRARE AALGNGSVNHDGGILLLDELSSSVDRETERIMHKVIRQEFAAYTVVMISHRLEMVMDL DTVVVLDSGRVVESGKPKELIQQEGSKFKELWKSENR PFICI_13879 MPEDNPTVYYNYTVDPNTNPSTWPTPGDSSGHIDINKVDVIFIN ISGESDFNMLRTGTNKDIHHAVMQVTKHISRGLYRLVSLNASTYSCVVVMQALKSRDE LMWKNGFPWDRDDDVQPDVVLK PFICI_13880 MKRTIPDIAKTLAAAYALQSVKYENNQSTTIDMIKAERHLTARL FNVETYGLHKFCEEDLLNIEIPRAEPSSPDMVELENHIVASRWIAENAIRHPGTPGLN EREVQILQTITCKGTTAEQAHLLSWGKRIALGDYRVTPIQVRSNPLRVFPYPQEVPAC IKRFFAWRDKAHTEGILHPLVLACQAQVYFVHVHPFLDGNGRVSRMISHDYMTRQGYL PAIIPHLDRYDHLRMISNASDGNPREFVTSVIVGQLDALRKFHRRSKS PFICI_13881 MASPKSHHALILGASGLIGWAAVNQLLSNYPHTGMVTKVTALTN RPINLDESGWPADDSYKGRPTLQLVSGIDLKGASSEDELTGAQELSRAFAERVDDVQT VTHVYYFVFTSIEDPIEEVAVNKRMLENVIGALEILNAPLKFVVFPGGTRGYGIYTPG GMFTPPLQEDMVGNLSPEYAKTVVYPVYRQLLAKACESKTWTWCEICPDAIIGFTPNG SQFSLALHWAQYLSLYAYNHGILPGDGEASHTKITVPFPGVDAAYNSKFTPVSAKTLS RFAIYASINPDACGGGRLFNVGDSATPATFGEIWPRLAAWFGMEGGPPVTSSGESSVE VEARLMPGQYIAKYQGLFDQNGLSRAVKAGVGAGSSQLDSVGTWLTFDRQLSLESLRE TGFNEEKDPIEGWLEAFEAFRRAGLIF PFICI_13882 MAFLFKSKKNQQDRAAHGRDGPPSSGSSGSPGAANRVVRDEKAA RSTPTGSLNSLEEGSPIQDLDKYAVRRAPEPAQQPPPQQQREHPQQQQLPPQQPPPQS DLPLRNAPQSNPNASLFPWSQRRLNYTSSIPSPFPRYGAAVNGVSSKEGDIYVMGGLI NSSTVKGDLWMIEAGGNMSCYPLPTTAEGPGPRVGHASLLVGNAFIVYGGDTKIDEAD VLDETLYLLNTSTRQWSRALPAGTRPSGRYGHSLNIIGSKIYIFGGQIEGYFMNDLAT FDLNQLQLPTNRWEILVENNENNVSPKGKIPPARTNHTVITYNDKLYLFGGTNGFQWF NDVWCFDPATIQWTQLDCIGYIPVPREGHAASLVDDVMYIFGGRTEEGADLGDLAAFR ISSRRWYTFQNMGPTPSARSGHSMTTVGKSVVVVGGEPSSATTQVNDLSIVYVLDTTK IRYPNDAQIQSNTQKMQQQRRPSGSEEVVSNQRALPARDGSNGPGQRRPQEAGRPSSP SKGPNGSQMDGPAGGSKLPRMAPPPGPVGPPPSGQPPRPNVNTANGRVRNASIERIER DAAGSGSSSPNLRNQSPILRDVIKEETATATTAATNGRRTPNQGRKPENPPTAMEASK NRSTRQTRGQGSVDSITEPSQKIMANRPASPPPPTRQNSNPLNRRSSGRNSQTVVLLK ELDAARNRNAWYASELELARKSGYTPSAAMSPTLESRAESFDDEDRPLIEALLAMRQE LTNVQNSVDKQAIIAAKQIAEAERQRDAAVQEAIYAKAKMAAHTGSASSTPQMDSDRD ESFRTVETNRKLAAALNLQRDLQNTLERTNSDLAAEKRARQLADETANAAQKRMSDLE MYKQQTSLELQSLRAELHIAQRESRDHATTGAEAAAALDALRVEHSDLRSKFEDSDLA ERDNGADLESLRAAVAASEDMRTHLESKLDEERALKETIESRLTKFKAEYEAQTAELV AVSQRLRDAEELAERHANEAKTHRQAVISGLDKISQNGIKSKQVDADRIAALQNQITA ANNLVKKYQQEADSASDKLRSAEERIAGLEAYQEQSSREGVTIRRQLQAALKDTQTLQ AMNSDLKHQLANQQLETNAMTVQHNTLKDILTERGISPTGLARVRGINSRNDSPAGGD LEQQLIEARAANDELRQNFDLQVQQNEATYRERITQLESDYQSAVHYVKGTEKMLKRM KEELSKYKSDNARLKNENLELEERSLSAEPSGASAPADWDSQRSLLEEKIEVLQEQVK NSSTDLETQLAVVRQELQTAKLERDHATQATGDATQRLVVMEKGLEQMQQENALLEKR AQDAEQKVGMLLDQVENSVDHYRRQSRQAPSIIGSDATATAIATPSAHTAGHQRQESS EAGSMYGGLGDARNSTALDNLADELDQLRSKWEATNENYRLSTAFDFDGANNNNGKKH DESVGVGLGLSESLADWRKRLDSDEHDGQSARRI PFICI_13883 MTTVALQQSSGAYYPAREESNPAGAPSSSTRSTMQQQQRRTSAS GSFATSRVARDGQAIAATMANGNSAAMPSHHHHASPNGVQQPRGSALVNGSDSYYANG TIVGDDAAERRPFTAPGNRHQLTIRTSSFDESDRDQPTRRPKPPLLRSKSEHMMRNYD DSDQTDEELHDWSARHGFEDHYQSEDIISHLANNWYMYYTDKRHETTGHPKSPAYEIE DWRMRDRLKTVSAALAVCLNIGVEPPDQLKTNPGAKLEAWQDPFATKALENIGKSLQA QYENISLRTRYKQYLDPSVEETKKFCISLRRNAKDERVLLHYNGHGVPKPTASGEIWV FNKNYTQYIPISLYDLQHWLQAPTIFVWDCSEAGNIINNYHRFVDKHEDEEEAQAQAD PNYEKTKYRSYLHLAACAVKENLPTNPNLPADLFTACLTTPIEMALWFFVLQNPLKTK LTPERAKKLPGRLQERRTPLGELNWIFTAITDTIAWTSLPRQLFRKFFRQDLMVAALF RNFILAQRIMTVYGCHPQSYPALPDTHQHPLWGSWDLAVDLALAQLPMLEKKESEGLE YEYQSSTFFTEQLTAFEVYLARGDANLQKPPEQLPVVLQVLLSQQHRVRALILLGRFL DLGPWAVQLALSIGIFPYVLKLLQSAAAELKPVMVFIWTRVLAVDISCQPDLVKDSGY NYFAQILKPNEQLPVANSDEHKAMCAFVLAMLCRGYKSGQVVCNQSDIMSSCLVHLRN EDNILLRQWACLCISQLWQDLPDAKWRGIRENAPLKLAALAKDSCCEVRAAVVHALTT FLGIPDLTDEVARIEESIAWTLLDMANDGSPLVRKELLVFLSQFVLRYESKFLVTAYE QLAEEKEYLLYPPQDDGSEQKMGLHYVRPEHRNADGSVKVTSQGLSHNTVFAACWKHV LILTVDPHPEVQNDATIIVDYVHNALLTSSTGIYAQSLMEEITKRMAKVRGHRKQPSS VSRNSMGPTTTTSMHSEPSPGLLKRTASLLFRVPSTIWGAETSPNAPLPSPGVVRGGS QRIKSAADWIAPPEQNDLIAAPSHYHVAEEPVSGGFKERQLDEIPKLPLASTFLDWSV EYFREPQMKPTESEEPGSTEYNERLWRRSRNENILRETQPQKHFAGTHRWTNQLCIMN NGAQPAKLTFHQFEDHLAVADDGNTVNIWDWKKQHRIGHFSNGNPEGSKICDMKFINE DDTALLMTGSSDGVLRVYRNYDNERLPELASAWRALTHMVPSNVNSGMVFDWQQVTGR VLVAGDVRVIRVWAAAHETCIMDIPARSGSCVTSLTSDQMTGNIFVAGFGDGAVRVFD TRLRPQESMVKKWKDDTDRQWIKSVHMQRGGQRELVSASRNGKVKLWDIRLENPLKVF QATRDTVRTASTHEHLPVFSVGTSAHTVKVFNFDGRELSRMEPYTSFLQQNRGSPIST TAFHPHRMILGCAARGDHHINLFTCSEEKPGDYFRTL PFICI_13884 MRLSTLTSAFVPLVLVGATIQRRQAAVTVTETVTAAAASPTQWQ WNLGASNAWPIHESCNATERALLKRGLDEAAILASHARDHILRFGNSSDFYQKYFGSA PSGEVIGWFEKLVHGDRGAYKFRCDNPDGNCALDGWGGHWRGENATEETVICPLSYET RKPLDGMCGYGYTVAQGSLNFYFASDLIHRFFHMPSIGEGVVEHYADDYADCLQLAID NPLEAVRNTHTLQYFALDVYAFDIALPNDGCTGKVEESSSEDTTATTTAVTTARTTTT AAAECHTHSNGDVHCS PFICI_13885 MKLSLYILSLSTVVFAQSLAPSPTESVGCEAHEDHWHCDGPVST TQAESSITTTFATTTTATSTSSEEDHDHESGTGSLAPSPTESVGCEPHGDHWHCDGPA STTAASNATSSSTASTTSSTTATTTPATAGASRVALGGAFLFAGLVFCASM PFICI_13886 MYSSIRLGMVWLLPFLAPTCSGSFVARRQGVPAAPAVTAPPRFD AGVVVRRQAEDITAISDCHAHGTEVWCMAGTTEYQVVVEATATSELPASYTGCHSHGS GLYCMDAAGDDVEVLTETTSDAASTDSTDSTETSDSTEELDCHFHAGVEHCVGAEGEN GSSTTECARQSRDYNIPLRIGLLFVILVTSFIGVAGPIFIKPVLSKKFDIVFIILKQF GTGVIISTAFVHLYTHASLMFTNECLTGIEYESTTSAIVMAGLFLSFVVDFVSHRIAS GAQTKLHEFGSHDRDNIVQVLILEAGIIFHSILIGLTLVVAGDSYFITLFIVILFHQM FEGIALGTRIASVGRLAHLDSCHAPPVSPAGSHDKTTTPIEIPMTATPSPNLQPLSMV QKLLMAAAFSIVTPIGMAIGIGVLQHFNGNDPSTLIALGTLDALSAGILVWVGLVEMW ARDWMLAGEMVHAGLWVTLSGGISLVAGMALMSFLGKWA PFICI_13887 MVTKNVEPTRGREGRKPFMINSVLLSLIDPVRGEPTKSLLDGNP DDDVSRSHQLKEKFLDSFALICSTSSSGSVTASAVCMEQNQSFENTLRVARNHSFSPQ NIDSLRKILQILTAVANRDKLPGQAESEALREIADLDQDRIRSFVTKLEKSGIQTIFK QAISNLFGSERNEEILEYPRFRQWIKTCPFMSASLSSSETARLVSYIMWASQARWTYP KHLKALLAFGSEPLPPWMETLHKLARYWAAVKSMVKLAVKQPRVFANIQIQEIKAPEQ ESFRLSPKEMHLREALQRLVKNDCRTTMDKLAQRWKTNDVEGKLRDKCKVNLTLHAEM QLVGFYERNPDLVPQLRLMGTSKKACFLCHEFLLRHPLGIRVSACHQKVYPTWMPPPS HNVPGTPRNKLFWDFSKHIERVTVKALKTELSARQRPKTRDSTAGGSLTETATIPTEF LVRQHLLFDRDGI PFICI_13888 MDFTSGDHRNLLDIIDSLRAQGISRYVDLPEIIVCGDQSAGKSS VLEAISGMRFPTKDGLCTRFATELVLRRGPEASTKVCITPGQGRYDKERDDLERWQPR TSIDKDGLESVTEEAMEVMGVPVARRFYDDTLRIELTGPNQPHLTMVDLPGLFRAANK EQSDDDVDTVRSMVEKYMARPRSIILAVVSAKNEYVLQEVTSMAKQADPQGLRTMGLI TKPDTLDDGSDSEAYWVRLAQNNEVELRLGWHVLRNRNFEQRGSSSAQRDAKEEEFFA NGIWTGVDPLHCGVGSLRTRLSSVLKDQILSQLPSLIRDVESSIHVCTDRLGRLGPVR RTQEEQLRYLLRVGEDFTSLTKQAIDGTYTDRFFGSRKKLEGYATRLRAVVQNRLLDF RDEMLSNGQSQRIIDPDTEAGDEDERSESPRIPRAQYVEEVAHRLKYSRGRELPGLFN PLIVGDLFIDQSQPWRAIAKDLVADIMEAVHNMTELAIEHVAASDVADEVIKFVHESI EALKVELDNKINELLTMATNHPITYNRQLTENVQKAQQARQKSAIKQLIRNTFGSQHF EDADRKINLNPVKFVDLLAQSLEPDMELFGSSAAVDYMEAYYKASPTYPARFLVLTMT LHTQVALNRFIDDVSVLAIENCLVGKVSELFRSDKILNMGPEDISRLAGETTESSVER KRLVEKRKVLNAGLQELVGLKKMRQISGLAESDPEEVKRKPEKTVLNSPKASVTASVT EGSSARSSRNKATPVGDEDFEVYTVPRISPWKEPEPEPEPTRDHEWDFALSTGYGAR PFICI_13889 MDGTSSQTIAVVAALCIYRHEVEVLNEKLQNGTAKPCFATALLE GISKKEYDLSETEKLMIFSTLLEAGSDTSRTAITQMVAAAAVFPDWAAKARSYLDQVC GSKAERLPTLADRNSLPYITAATKEVLRWRPFIQTGVPHELAQDDEYGGYRFPKGTLF VRNAYALALNENDYPDAMRFKPERFMDQDLNSPLKGHWSFGAGRRVCVGYNVGFNSVW LATACLLYCFDSAEDERQPIDTLSSNWDSVEEQPFAVKISPRSQAHVNLIQRVGADVL HIE PFICI_13890 MVSKFVQPCGDVTTQLNFYSAPTDGQKPFDYVDSPPPGQPQRNY SDDPNEVVIRDIRGRENDFTLDRDAFQILQSLGPFPRIQITEEAIKEIYYPEIEKLLL STVPGSHQVIIFDHTYRKADPAAHRTPVQKAHIDQTAASVEKRIRMYLPDEADQLLQR RYRLINVWRPLNKMPVESFPLAFASTSSLEDHDFVPVRHHHQDGYIGETASIKYNPGQ NWYYLSGMTDSECVLLECFDSESLKADSTIGGRVPHTAFPDPRTRPGAVPRESIEVRT LVFGP PFICI_13891 MASQTYLISCLCGAAAQTLQSKSTGQYNDAQLGLCHCDSCRYST GLLFTSYYSVEQPQTTNLRSYTASDTWTRYFCSICGCHLLRSRSDSIGLVQWEVASGV IAKSDDGLHGERSPLKIKHGHVSDTKDGGASIWIPESMDDTSSLPAPTSYTPKHDESN VSEKGSLKAACACGKVDLRITRPSQAGHNIPKRNYPDLMLPDKSTPISLKSNPSEEKW WIQGSGTKYLAGTCACRSCRLISGFEVQTWAFIPRANLALATTALNDEAQLEPLDFAN MPSGALQSYKSSPGVVREFCGGCGATVFWHEDNGADVIDVSVGLLRSPDGARAESWLS WWKDRVSFSEEVTNDRTGFAGEIAQSLVARLEKNMKLCG PFICI_13892 MQNTPRAPNNTTIGTATPIAIFADVDSPVRSLLLLLEGVSNEDE GSEALAVEALWGIEDVSIEFVRDESVVMGATSEARTIRITLETTSGEAVKAVKPRAAN CMSLSRPGAQSNLTGAGDGEGLWTIQAGRKV PFICI_13893 MAEIIENEELMTRLSSSHENDLPSYEESVVPTPLMRLNSCRLSA TSMALASISQGHDIQYQIASKGGWQLLNSRSSSELIIQHKKPFCVGTMEFDNSSSFPW FPRANVTVDIPGYGTKKVQMKSQNFIDWEFKWSDSVSDPTLQWTVQSCPITLATLALL DKSRGLCLARFIYSSRGMKAEKGLSIGNLDIFAVEAYGLTMEAIICSCSIALKYWQNI GRDYRNPDVA PFICI_13894 MTASLTHENKLGIITGASRGIGAAVARRLAAKGCNLLLTYSSAS SVNVIESLCRELSASHNIHCSHIAADLLSPEEACQEILRAAKELFTAYNPNGHFQVDV LVKNAGVSSNQHLNDGKVGAIGPPEFDRVYTVNVLAPLLLTQTIAPFLPTDRSGRIVS VLIVSSSIGYQGQSVYAGSKAAIEAMTRVWSRELSERATVNVINPGPVWEICPYVDAT PLVSYHGEEHVLAMAGQDADKFDAIVKGPMRGRRPGFTNEVAGTVDMLCSPESGWTTG SVICANGGMKMSIA PFICI_13895 MPKLSQSITIFPHNTLLVWDLDASHGQSHEAEYESIIRSRGWHK SSDMDCSCPDYWGGATRLWNIGLIWNGIDRYREANENLEKAVSFYRMAILDSNGCDGE MDEEMLSLMELMRDLENDAAIMAYWEKPIYFAIANGHEALVRVILDTGVDIERSDYGS LLYRAAKNGHEIIFQLLLDSCDNNSDSIEWQHGGGTPLFLAAENGHVAVVKLLLNSGA NTEVQTYFGTPLCVAAENGHEAVVQLLLDRSANVNAYLNEMKETPLFRAAKNSYTAIV KLLLDNGAECNGQFDTSAPLWLAARNGHEAIVQLLLGRGAKVDGVGIGSSPLSMAAGN GHKAIVQLLLERGAKVNGNLYKPAPLSAAVGRGHEAIAQLLLDRGAKVDGVGIGSSPL SMAAGNGHKAIVQLLLDRGAKVDGDYDRFTPLCAAAFYGHGAIVQLLLHKGANPEKGS PGPLDLAKSHGHMTIVELLEKLQQQSRLAAFRPTNDRSGKLYRAGRLLSLFRTK PFICI_13896 MASEKVPTSTGQVPRHPYSPLQKGHIRLLRLMPHSDQDAAIRCE LFDHRFDDINPRKGTHLYEALSYVWGSGDKPMMIFTESNCLPVGRNLYEALKRLRDCT LPRIIWIDAICINQNDNKEREQQVGCMAEIYARASRVIIWLEETEVERFQGSRQVMTN GDHAFKIIDSAARDDQLTELSHVDREAVLALLRRSWFERIWVLQEVAAARHVVIMSLG MEMDGYAFYLGWSKLKLALGELNLQSRVSSAADLMKDAVLRPKCATSRSDRVSLDIHP LGTLMDLYHDRKATDRLDKVYALLGMSSDAPTYITPKYDARWGDIFRQLIRSSIGEQA SVKTWDQNQLAIIQSKGCVLGEVATTDYFQGNGQRLGIR PFICI_13897 MADGNISLGASRYEKQELGVLPGVPLSNIEPEDGEAQRKDVNES SEGGKQAWLAVAGSFLVYFASFGVVNSFGYFQNFYQQEYLQDSSPSTISFIGTLQITL MYLSGAPAGALFDLYGSKAMALLVCRCRGIIVYVGNIFHEAKCRLAAIPRSPALTVVG QHFKKRRALAMGIVASGSSLGGVCLPVLFSKLVPRIGFPWSIRVGLLILLICYAVAIA ISPSGNPKRKMKSIKDLLDYRGFLDIRYACLAAGAVASNLGTYVPFYYLGKSYTLQSP NTNSRIQPYLLPLINASSLLGRLIGGHVADRVGLLNFLYPMVLFCGFVCMAMWYPATS PGVLVGFACLYGFGSGGLISVMPAATAQIIPAERLGARLGAFGTFTAFAFLTGTPIAG ALIKSESRSGYQPLIIFAVCSSAIGFVKYLATNLTS PFICI_13898 MSGSDHLCADCQRLSLEGLKDTFDLCHDIRPLYRKAEASDSTRC DMCALVWRSLRVHRRRIPLDEDTYVTWPVSMYSERADSSFEHVRVLAARGVPFPMRIR SGDFWHYDLDEEPGFAWGSLTLYRGPGDSCDLGGENFRHQLVGQNAGAPDCLHLVSSW VESCDKSHGTVCFTGKARQPTRLIEVAAEDGSASLRLITSPAYAAERYVALSYCWGQD PTLRLEMDNISALHESINMENLPQTIQDAISLTRYLGIRYLWVDALCIIQGRNKTAKA DWTRESNRMHDVYGGAWLTIVAAAGASSDAGLFVNRPAQDDLFSKGIRIPVESGKLEC AVLGPALKISPFITEPLEKRGWAFQESIMSRRLLRYGTSGMSWKCHSCEYHENVTQPL QPSNIAYFAAGRKEMARQLHERWTRVVESYSATHVTYKSDRLPAIAGLAGIIRDESGI PFQRRYHFGVWEDNLVQQLLWRHEGCLINDKWVYERQTDYRAPSWSWASVDGVVNFLR PDPLAPAGKIETLHFKGSSLFFRGQMAWARSLRLQTRAQSTGLVETHEPWRHLDAYFD TYLDDLLSIPSEHKKTPQDGPQELINVWLLFLNKSQGLILAPSVPNSVLKLGDWKLVT DAVLSRRDAVLGRVTGYLNTRNSESLDGSSKLDYVTSRMPLYPKLEFRRIGVFSGSLS PSVWKTYTRRGWIV PFICI_13899 MEPPQNFPLQRTSADAFRNNSSSTFNGAGISNPNGTFVVGGDMN ILSNAAPQPTDPEKEKQEKRQKLLESLRFDQIDARQDSIENSHAKTCRWFLDTDVYKM WAETGSSHANNFLWIKGKPGAGKSTLMKFLYDQIRAQTHRKKCNDILISFFFNARGHG LEKSTLGLYRSLLWQLLDKRPDLQQVLDELRPGFHWTSESLKSRLDEAIQKLGGTPIF FLIDALDECDQDQIRDMVPFLEALVVEQSSLHVCFASRHYPHITVQTALDIILEERDG HEQDISTYLTSALRIKPNELAEQLRTEIQEKAHGVFIWVVLVVKILNKEYDAGRKYSL RERLQELPSDLHHLFRDILTRDSNNRAGLLLCIQWVLFAKEPLTPRQLHLAILSGTEP EFLPRCHSELILEDDVQKYILDNSKGLAESTRSEVPTVQFIHESVRDFLLKGKGLGEL FNGPEPNIHGESHEALKNCCLTYLGMEPLVELDKYSCSEALQAFPFLEYAHSRVLHHA DKAGQNGIGQEQFLSIFSRRQWVKQHNLLEKAGLRRYTPNASLLYILAESGLSELIRA HSCRQSCFEIEGERYRVPILAAQASSHEIAVQVMLELQAERIPGFDFADFCKRFKLAG RPKSLRLSRSYEFSQGRKLLEELIEYADNQVLLFFLETTGEYSQYTASTPDELFLLAC AHQKFDSAKFLVQHCASRTNAGGVNTPLHHALAHRALEMIVFLAYRGIGLSATDKYGD TPIHIAIENDYFEVVQLLLQNGADPSVAGNNGNTPRHLEIAKLLLEYGADLSAANEDG NTPLHRAISKGDFEMARLFLDRGADFSAPSKYGNTPLHQAVYSGHFEMAKLLLDLGAD ISAAIDNGNTPLHQAAYSGHFEMAKLLLGYGANISATNKQGETPLHRACYNACGHNHT KIAGLLIDHGADPSATTGNGETPLHHLVDSHLFGYMKERLETAKLLVDSGVDVSAPDN NGRTPLHLTLNRGLTDISDFLQSCGSESSAADRRY PFICI_13900 MAAMRSVFVPFAVGSALCLGKGLAYLEMSLVITKKLWYFDFEKA AGKSGELGGGDPQSSSRPRVDEFHLYDSLIADHDGPNLVFSPRDTYWKELVQRD PFICI_13901 MAEEHRKHLFCLREIISSVKGHKRQRHGGHHHRRRKLWRGRHET ESSSSISSDPPEDPITAPEEPPSARTEEPSPGLPESKNSPSGHGDDQASEPEIEEPFP GLAEIPGLLTNCFQKHNKSYLGYASCKKLNGPYIEITLIDCEYRQLITTQSSIPYVAL SYVWGPNTKVVPLVEGQKLAQRVSRSIEDAMVATQYLGMRYLWADQYCINQENLAIKQ RQIDEMHEVYSHAELTIIAAVGGDAEAGLAPLCSPSEAGTSWYNVDTDSSASLSSADT NAKDERLASALDAIAGSVWNTRGWTYQESYVSRRILAFHARGIYYECSAMAPFYLEQT EVSETLRASDRFWTGRSLANGPTQRAFATGPWIEILSWLAYLVGAYSRRNLTKSEDAL NAFGAVLNNFDGLEWCPFADMDEWRDRDERWCIGIVQGLPFHYKPDE PFICI_13902 MNAKLNTSGLCWHHGVEGNSRRQGFPSWSWVGWHGAVSWTLPYG SAEDGEKTVFQLEVAGFRAGVGEERMDLGEARRAKSGPLVLNLASVAIPASAFALKDV EGTDGRHDNDTISMYGCPMPWGADESVTNATETRWTLQRSYLSHANGTRKASRQTLRQ GLQEGTFKLMLVHVYRDSDERLRFQAWILQKTSDHKGESCYERVGGVNHIVLANGPAT EEERDTFQGSLYKLVKGDEFEMFDIC PFICI_13903 MTVDWRAVICNFLGEKNHVEDQVLFRGLEKATENLQELERLRLR AESSLIERPPRFQILHMVNCAKDYRKEVFLDTPTLVKSGPNRAHLRCSEIVDNVEQYL QNNKEVLFVVHRHYECCKDSSRAGSGHLRHQSGHHDIQSLMTKEHITIVSSQLADDLN DLSNTALSGIAHPDFHGDGKTNQYPYIWWFHRRDRIEDAIANMEPGSRGYLDVFQNYL EEHVRPEWDTVDDHLSRSQITLGLLKYLFIPGDIVISRHEGEGPSHLEASVLQDWAEI EMEPLKTQKTQVTLALQVSHWIFVGVFKSLEHKWKETLSLATTGVVEILDLPIYPERF ASASTMGALKNRGDMLWKCRHRQYVSSKSESSRAHDSTNYRYMVDMKTYYEMHPPETA NSRDSSKELDSELMVIENPDLGDSFFLCLPSSIFGFNMHKHEWVTLQVLDLEEVEWNE DAFSHLVLEHGTKELVQAVVTTRLRAEEHMDVIHGKGNGLFILLHGGPGTGKTLTAES VAEVAKRPLYKVSCGDIGTTVEKVEEYFTTVFELCRIWECVVLLDEADVFLEQRSLQQ LERNALVSGKLFLRVLEYYDGILILTTNRIGTFDEAFKSRMHLTLRYEDLKLFQRRQI WTKFISRLSELESSRKMSADQSSTSECDSRSTIDIADITKHLEALAAIHLNGRQIRNA VSTAKQLALFKKEAMGYKHLDRVISEVMKFENYIQKVHGDLTPDEIAEERQIR PFICI_13904 MVHRFQSAENISDWISTMQSLIESLRELFIIIDLSIFGTRHGDV AHWIDEFYDTLRKPSDKKGASAKVMFLINRDPIKGSQYQLRALPMSSSTKVLLRSSPH GDPDPGSQLVITEYDLKLPELLPHHDDIQPQLPEPAQNLVQVKSGRPDKSDTSKYRRD EVGVAIVCALSLEANALEPFWDEILDEKEFEVRSDGIHSITYSVVKIAHHSVVILYMP GMGGFNAAYAFASCQLVFPGIRLAIISGICGGVPFPDKNTEVLLGDVIISDGLVSYDF GRQYPQGFERKREVADSARKMPSNISSFLSRLKTTRCHQHLIQRTACHLDAVRQRQTP GYSAGYPGTSHDVLFESSPSQEPEFENSTSEISDPNGVKQNLKIPRDGEIIGTIKRQV SRKRLETMSKAEENGEPIYPAIHFGVYGSGNKVMKSASDRDRVFHEDKVVAFEMEGVG IWDHCPCIIIKSVCDYADQHKTKEWQKYAATTAAACVKAFLEVWPLEH PFICI_13905 MISSSFRLSLALALTLSVPSVSADMPLLTAADADAYNAGEYGSL PNQTFHSSDLIAPRLLVTKWERNSTKTGSHIFLSPHIDGQDQAPMILSAGDLSLVYVD PSWNGGADTRMQMYKGDPYLTFWSGTVVRGGGYGGGVLVDRSYQKVANLTTQGFTTLA DGHEFQLTHDGGALLVNSHTTTGDCSEVGGGRNCTLKAFAFQEIDIATGEPRFTWRAE DHFSLNESFKAWSGNDSVEWDWFHMNSLEKTMSGDYLISGRYLAMAALINGTTGDKIW QVGGKNSDFRDISTTNGSTGAAAIFEFQHDVRFAGGPRSGSVDNAFDDLTMFDNHVLA DGLEQPTPGCIANCSRGLRIRLDHTERTFTLVHDFYHPASVQTFAQGSYQTFPNDNVL VGWGTVPAFTEFTAAGETVLEVQTAPWSSAATGGSLLYRVYKLDWTATPLTNPNAVVV NDTVYVSWNGATEVASWTLFGGQDNERLSKLVTVPRAGFETAIVLDSLPPILKVEAVS TAGETIGSTEVIAS PFICI_13906 MALDDSSPRIAIWTQVVPTLAVDHAFLMHGVLAVSAAHLARTRP NQRDRYLPIAHEHHDRGLELFQELDRAGIPSANVHSLHEAKITFKLMQVVLSLALTEP TRDADQDLDSFTDWLVSLRFFFRTAHQLYDGLGGRESRITALLRRAEDGPPELSRLDE GLEKSLNLLDAKNRTSENNTSAIDKEHISDAIAKTKLWMRLVSLRPRTALFVATCAIN LGADFFRLVKQRNPEALMVMAHFLVPMCRMPKRWFWDGRFDGIIATIVKMLPAEDWQV HLKWVLGQVDISNP PFICI_13907 MVALCLSPHLHPRTRRRRFLSLIAIFVFGTLYLCYAKFDQMAET AKTIMPLKTPEGTPGGASQAQQQQSPPQSQAQSESHPSFADLASEEQKPMPPMSYGTY ARPPLKDLKALATLPSSKLPTTSPSSPRLIVIGDVHGQLKELKALLAKVEYSKERGDH VIFTGDLINKGPDSSGVVQLAMDIDASGVRGNHEDRILLAYTNANSRNVIGASGSGGK ISDLKGITSGEDDMDEPSLAGQSGSQSAELQVARALTQEQRNWLADLPVILKVGPIGG YGNVVVVHAGLVPDVTLENQDPWAVMHMRTLLYPAEQVRRQRIKKVLEDYASEQAKKH VNVPDEEVDKELQISQQRGDAASDHDVSLPTEGRDGKSWSEAWNESQSKIASESDRTT VVYGHDAKKGLSLQKFSYGLDSGCVKGGQLTAMVFEPQSGKVGHRIVSVDCEGVAGLD ENDNVKRRKKRGSDDDKAER PFICI_13908 MVHRNSAADCLREPLASTLPTKCIQLKKGFGECRRGMIDMRKRF RGNQPATFKNLSTTDSGEGYQLYAGKSAFGGGVKKTDGNEPEPEDWRVVENQQYQNEL LKLQAQAEREREQQRKGK PFICI_13909 MPVPFIGRLNPAEYIALVGSFILVGLEAIIRILTLALPSSLLQL FYGASRRLFNQFTSPQQKKAQERRKSISTSIRNASDFVDLCAAFGYTAEEHVVQTKDG YLLGVHRLAWRKGEEDYKVNAGPNSIKKKVVYMHHGLLMNSEVWVCLTDEQRCLPFVL VDRGYDVWLGNNRGNKYSKKSIKTSPQSLDFWNFSIDEFAFHDIPDTIAYILDSTSQP SLSYIGFSQGTAQSFASLAVHPKLNDQVNVFIALAPAMSPAGLQNGVVDALIKASPQV LFLLFGRRSILASATMWQSILYPPIFVRVIDMGLDFLFGWKATNISMSQKLAAYPHLY SFTSTKSVVHWFQIIRNKSFQMYDDDVHPPLSTASRYTKVAKYPTRNIKTPVVLVYGG SDSLVDIKVMLRELPNRTVATEIPHYEHLDFLWARDVDTQVFQHVFDALDNFTDAEHT KEEFESYRRARHVSLGASASFRHHRYRHSDAIESDSASVNGLVETSVDPSMAHQARES TSSPAVTAPGSTTTETSGTTLTSQTADPSYAQVASEDPTPESPQQPNATSDGAADSPS GRPHHDSKIPSPTVSTNSVRTPQRRRGSTASNLSIESPKDGRGKGGGIKIATAKPVGG VVTGTAGAGDLTPSSGDEAAKSRQGR PFICI_13910 MSTSLDQLKNSGTVVVCDSGDFATIGKYKPQDATTNPSLILAAS KKAEYAKLIDEAIAAAKVSSKGKSVDEQVDDALDRLLVEFGKEILKIIPGKVSTEVDA RFSFDTKASVDKALHIIELYKQQGIPKERILIKIASTWEGIKAAEILQRDHGINTNLT LMFSLVQAIAAAEAGAFLISPFVGRILDWYKASTKKDYSKEEDPGVKSVQAIYNYYKK FGYNTIVMGASFRNIGEITELAGCDYLTISPNLLEELLNSNDAVPKKLDAAGASSLNL EKKTYINDEALFRFDFNEEQMAVEKLREGISKFAADAVTLKDILKAKVQA PFICI_13911 MAAPKQFMAKVKSVLSGDTLILTSPNNPTLERTFSLAYVDAPRL RKEGDDAFAFQSREYLRGVALGKLVKCNVYYTIPSSGREYGIVQLQDGTELPIEAVKA GWLKVREDAGRKEESEDLLAKIDELKEAESEAKAAEKGLHGKGGSIEVQNDLGTPDFF NQWKGKTVDAIVERVLSGDRVLCRIKLSDKKHLQVMTLIAGIRTPSTERTNTSTGLTQ PAEEFGNEARTYVEDRLLQRDVKVEMVGVSPQGQLVGHVLHPRGSIAEFLLADGLARC NDFHSTMLSEKMAPLRAAEKKAQVGKLRLHKNSTVKATEGGSSDMIVSKIVSSDAIYV RSKTGEEKQVSLSSIRAPRAKEPAEAPFRDEAKEFLRKKLIGKHVKVTIDGTKPASEG FEARDVATITEKGKNINLQLVEEGWASVIRHRKDDTDRAENYDELLAAQEAAQKEKKG IWSGKASKAKTYTDASESVQRAKIQVSTLQRQKKVPGIVDFCKAGSRFTVLIPREGVK ITLVLAGIRAPRAPRLPQDKGEPFGQEALDLANRRCNQRDCEVDVYDIDKIGGFIGDL YIGRESFGKLLVEEGLAEVHQYSAEKSGNANELNAAQKRAKEARKGKWHDWDPSQDEE AEEADYAENTTAEPVAQDKQTAQYKDVVVTNIDSNGKLKVQIIGSGTAALETLMSEFK KFHLDSKNNKALANPPKAGDIVAAKFSADGQWYRAKIRSNDRTAKVAEVVYIDYGNSE KIPWSNLRALDQPQFNTQRLKPQAVDAVLSYVQLPNGDYFNEAIDFLADATDGRKLVA SIDFSDPKEGLNYVTLFDATDSSQSFTESVNKDIVAAGLALIPTKLKAWERGAQSSEV LKKLRQVETQAKSERRGMWEYGDITED PFICI_13912 MVKAVAVVRGDSKITGTITFEQTSESTPTTISWDISGHDANAKR GMHIHTFGDNTNGCTSAGPHFNPHNSTHGAPTDEKRHVGDLGNFDFDGQGNSKGSTED KLVKLIGPESVIGRTVVVHAGTDDLGKGDNEESLKTGNAGARPACGVIGISN PFICI_13913 MSFRGDEQRRYGHVPPVQYPVANDGNQDIPNRRLSFNSGDDGAA TSYSAGGNRQPYHPTINTRGLGHEEELFIASSAETARPSYGSTNPAMSGYQHQYAAQT PSSPSTYNPQAFIGRAQSQSLPYSPHPTSNRYGAQSTAAPYSPTTSTPNYTPAPYNPA AYSNTTSPAVPQRQSTYAGAQYSGYNSQSYSPTPAMNPSNYWQTQPSYASSAQTAQTS PPPTAYGAYDQPSPGHPPYDTSQYPSQNIYAASTTSPPSTSYSTTTSAQAPYPTYSNI PVGPNYSANDPHSFLTNRASRSSSQVSPLPSPPIQRHSASPGLARHPTNAPLPSRPAA DESWGDSNGGYGGGLSEHVMQDNIMKDIEAELHGSPGSQRNRPRPFDQRNGGVRDNDL QNLRRLTSTASYHSTATTVNAQDDGAGVERYSSNASTVNRNHSQNPYPYPDDDGDESD PEAAAGLLAMQQADEDDRRHSSGMSFPLFSQPEVSLHPSMPNLPEEPSSDSDYAAMDL GLFSGGYAGNLNYDNNLASPPEDDIYSQDTQTMPTPRSRHASQRSHESNRFPAFEEAG LDYGDTGGLQAPTAHRLSFDEGDERVSLHSRQSGSESPYKEDYPDMFYHPGLSSRPLP AIPANPNSDSSSFLSVQSPNRGGAQQNYSFSTDPRPYSGPDASYGQNAQQLQVERSIS LSGHSTAPQVQIPNRSRTDAEANRMKHMRQQQQLAAQQGLPYEGYDAGTPSSGIDYDV ITLPSGRKKKFIPEKLTIVDTRTCIEPWALSGISQWLREMAEGEPDLKRKTVEDGLVR LFCIKVPTMNVADAEGLSSTVVDVMMSAGILVPEEEWLKFGPGTVTGVLWQLTGSGCY AQRLHEVDDDVSAHELSTRCYSHYCGRTLKKANIDDILADGVKKVDWATYYSLKPDDL EGKHKKEIERQNNLHEVITSEEDFMDQLDVLAVLYRNRLLGSQPRIVAENRIEKFVNA VFGKIDAIQQINKDHLLAQLKYRQKEQGPWIIGFSDLFREWIRKAKVAYIEYASSYPY ATYLVRKEKDRNYMFKQFLEENRAHPRSQKLDWTTFLKAPITRLQRYNLLLGTSMKNM LDDSEEKVNLMKAMEEIKQVALEADAKVDEMTKKVTMIELGQMLVLRPGFHADLNLDH LGRELIIQGDLQRMGSKGVRWVDTHALLFDHYLILSKLVPSKDGRLDKKYDVSKEPIP MPLLFLESSQDEAVSKQKGIGTPLTRAANSTTDVRKGVDPRLNKPGTPYSNGGDRPGL EHAATNSSIGSVGVTRLAPTNSNEAEGKILYPFRIKHLGHEVYTLFASSAQARQEWCD RIIEAKTRHAKALHAQNAEPFRLRVVADSAFGYDSIAGMGKYVSGVHIRGTPLDRAIR DLEKTFGPGRGPPPVSRASVNCATGFSAYGKNMIAVGTDAGVCVADASDQRGWAKTIS IARVTQIAVLEEFSVVLVLSDRNLISYPLDVLAPSSNFPAPPNDNVRRSPQRLAKDVS FFATARMKERMLVFYRKKEGMHSTFKVLEPVFQKSTERKTRFFKTGKGSGSTETFRDF DDFYLPTECYSINLFNMYIAIATARGFELMTLDKKIPMSIPDVKQPAIANIASRIRDQ KPLGMFRLNTQEFLLAYEDCAVYIDKHSDVSRSVIMEYSGKLKKAKGATMYGQYLLLF NEDYVEVRNAENGRLRQIIAGRDVRVLDYGVRGPTGGFYQQGNGFDPLANGGEIGVAS KGTVKICMAHPEVAGQQIVLEMLLNNGHSEKA PFICI_13914 MSSSRTLFLVLFVAILVLATPAAAFGAGEVPPSSDFKGYVWRHG DITEVLKFLPASFLTHYRFTQLQRKQIYFGNWLRDFSQVIDTTCLENIPEPILRAIVS VLAFMEFGFATDEFDVTRERLGCYTHVEHIDNPQGYADNAQDIDKRLRGPVQPEELEF DPDTGMKNYIANSGHGWRTSADYVREQLEKCIALGREGRRKRSSGAKKESLIHLGAAL HTLEDFSAHSNYTELCLRELGEDNVFPFVGDACFVKTPGLRGKKVPPIVTGTFGMLDI FHSLLGEADDMAILQSRGTLGSLEDKLGFGGPAFEQLFELIKKGIEAINKVSPEKIPL QDELNTVATIFKKASASVSPPDSDSEDNAAGVTNPNFLWQTIEPVFYLHDRMSKWLQE GTEEKEDSTSDMEASQLSDYTNQLVFRFLAVMIESSVKELRGAVKAAKDRVDAEAAKA ASAAVYEDGSDASDPSHSDLSKDHFSNVLNQPSGLVATVTTNWTVQQVVRCWDDPKID ANKTIDEILTILHHPAFPSKKTKIQQYMFDTVKTWWEGNPPEEQERLRSKLTKESVRN RGHEEEHLTLRDLVSAGKPRGPASFPGSAPEVRQPPKKVSFPLTWAVNEMGRDLNFFF VTARKSLSDPVGAIGDVVKHTGGVLSRGTRAVASAPRWLATRVGMLWPFGGRKDTAE PFICI_13915 MSTTTTSASASATCGARLYDIPVQDAACALPYGGNHTDIMSACC KSADVISYYGDCGVYCLALDQSVADLTSCLFDQGAADQDVFCRGNTTATATGSGDSVP TSASASVVASATGDSSSGSGTSTGSGSASETSKSAAAPRSQAAVGVTTLGLTIGALLF SATTLGAFAL PFICI_13916 MAIARNLLLLISALSSSSVTSAASSSSGLPFVINTWGGPFTAAT DAAYLALVNGTAATALDAVEVGCTTCEANQCDGSVGYGGSPDESCETTLDALIMDGAT FAAGAVANLRRVRSAVGVARAVMEHTAHTLLAGDQATAFAVQNGFVEEDISTPDSRAA CAEWRAAACQPNYRVAVSPDASVSCGPYTPLQVDNTTSAQTQASHDTISMIAIDASGA MAAATSTNGASHKVPGRVGDGPIVGSGSYVDGEVGGCGATGDGDIMMRFLPCYQAVES LRRGLTPQEAADDAVARMVRKFPTISSGIVVVDNQGRHGGAGSGWTFTYAYRGGVMNE TVVVSVPPIDTADSSLAYRGQIQL PFICI_13917 MKNTLALALAAAGLSQVSATFIGAPSFSCPGNTDNQCTDKQAAG LDFSDLVDGVVGSYGGFTWSGFTCQSGFNKRDELGARTKVSSKCISGSASSSKGSSPS FGCDASSGVDKTSVGEFHVYPEFDCDLEFHYDMPDGSTCKHRSACSSSGSIVKNTQCG GAKNVTIVYPSQPSKPKPTCSFAVPSISFSCGSASSTKTYSTHATTSTSKVETTTTKS HSETVSTYSASKPSSAPGSSTYSVTIPVQTPGSSSYPATVPSSSSSAKTTSSNVAESS STASTYQQETTSSKVETTSSKVETTSKVETTSSAVETTSSAVETTSSAVETTSSKVET TASSNEATYSVTVPTTSATEVTTFTSVTSYLTTSTVLTTVVSTVTSCAETVTNCPAHS TVLTTAIVPVTTTVCPVTETLTLTSSQPVATQPGSAVESSSNAAVTQPSSVVATSSTV AVSSGTSPAVPVETLPCPDVVPSCLNTWMFSVGCKDNSDASCYCPDAAFVENVFSCLY AHGESDEVVSEAVSYFQGICGAYVGQNPGIATGAETITTYLTATATVPASAVYTTIVV DVTTVVPCTTDLGSTISTIASSSSTVVISTAVTVPQVVLTTASAGATDVGVVPGTYSA VVPTTAAATATKTGATAPYPTGTGIGSTLITAQPTGTGAYSTSKTASATTAPIATAGA THIGSGLGFLGFVALAFAL PFICI_13918 MPVAMDKDKKQGDDLLKRPLYVYDLPPEVLTTLTFATSTSEVAA PEAIPSSKADESLPLSSPSSSENLSVGSQACSLCSLTFDNVLDQRGHQKSDLHHYNLK QKLRGRPPVTETEFEKLVGDLDESLSGSDSSESDDDDDKKESTLTALLKKQATLAERN SNGDAGNDDDESTTRRQRGSGKPPLLWFSSPVLPANTFFGIYRAIFQPEEANKETELV EVIRKKQLEPIAAPKPNADGGIAPAAYKGPHIFLCMIGGGHFAAMVVSLAPRQTKHGA TSGPLNREATVLAHKTFHRYTTRRKQGGSQSANDNAKGNAHSAGSSIRRYNEQALVDE VRQLLQDWKGLLDTSELLFIRATGSTNRRTLFGPYEGQVLRANDPRIRGFPFSTKRAT QNELMRSFIELTRLKVREVDPVQEKAQTEAPAKPSTPKPAKPAAPKLTEEEETALLHT SQLQAFVRRSKLPALLSYLKNNDVAADFLFQPVDSQQNYHAPTCLHLSASQNSGPLVL GLLTKAAADPTIKNREGKTAFELAGDRSTRDAFRVARDELGEDKWDWESAKVAPPMKR AEAEKRDERERNEEEKKEAERRKAEEARLKTEGPKIPSKTPRKGALGSSLPKTAQEKR EEEARGLTPEQRMRLDRERRARAAEERLRRMQAGG PFICI_13919 MVCQCVKKRVTYSCLHQESKLEKCWRDNWRHEFPCLEVLVPRCD SPVQSKRKKRFGFCPKCAAHFGLPERPSRYDKKYVERYLDYKKDMRLAEERIKATDIP LSAVFGKAHVEREPGGQQSPMRVRRSSNPTQASNKTRTVNTTGRHVSSEDSPHTRFLV SRAAPKVLSAFSLHDGESEDESDGEAHESDAEVYELEYMSTSQNSTPQNRARTSTNYP SYSMDVTDAARASTLLSLCHDSDSDSDVVTTEFDIELDEFESVVIHRAVPKLEMPSRP RGKGRKFYQSGLRSVQSPSGFATRVKTARSHSLSIPCPPPPVVGRVFTGRCREHQGVP PPLTPRDGGCCSTCERGGVYLEAGIPTVEDELRRNARSAPPILIRCQVPASRFECAVQ TCFCDLVSDDKCLTCREREKQAEILGAAFF PFICI_13920 MDEPDLKQSAAERIRWGDDAENGLPARELRRTNSRGSMSIHSVR SRREVDPSIALPIQYRTVSFNIEESKAKDAVEAAKAKDAATKELSSLEWHTLPTDEVL RRLSSSIAHGLSPEQVSRKFKEFGRNAPSPPKSNTLSKYLGYLFKGFGPVLLIGAVLV FVSWKPLGEPNPAIANLALAIVLVAVFFIQAAFNMWQDFSSSRVMKSIKNMLPEDCLV IRDGKQSSMSAIDLVPGDIVTVKAGNKLPADIRFLQVSGDARFDRSILTGESVPLAAT VDSTDDNYLETKNIGLQGTHCTSGTCTGIVVGTGDRTVFGRIANLTNEPKAKMTTLER EVLYFVIIICSIMITMIAIVLIVWGAWLRTEHPGWISVPMLIVSCVSVAIAFIPEGLP IAVTAGLTITAGIMQKNKVLCKSLKTVETLGSVSVICSDKTGTLTQNLMTVTECTIGT STVTTEDAEEQLGRKVTPDSAPAAASGISQMRALSALCNAAEFDAATNNLPIEQRRVY GDATDQAILRFSEKLGSVSHMRQCWQKTFDLAFNSKNKYMIRAFSLFKNDCLAATLPQ SEAEIFKQGDTLLTIKGAPDVLVGRCSYYITSSGEAQPFDADMRATIEGVKNIYSSQG KRCILLARKTIRRADVRNQAGTTQFEDEMAEQAKTGLTLVGLVAIVDPLRPEIKSVVS TLRGAGIRFFMVTGDFALTALAIAQDAGIVTLPTPAVHGLENLPREEVADDEKSMVSR QSIVLSGPDLMGMSAHQWKILTQYEEVVFARTTPEQKLRIVKEFQNSGVVAMTGDGVN DAPSLKAADVGIAMGSGSDIAIEAADMVLLDSFASVVEAVQYGRVVFDNLKKVICYLL PAGSFAEFWPVMTNVCFGLPQILSSFLMIIICCFTDCAAATALSYEKPEADVLLRKPR DVKKDRLVDWQLILHAYGVLGVLETVASFAMAYWYLERSGIPFGTLWFGFGAVPDGVD SAYYTQKLNEASSVYFINLVVMQWFNLMAIRTRRWSIFQHPPAFNKLTQNLWLFPAII FALLMAIFWLYVPVFQAVLGTTEVPVEHYFLPFAFGMFILLFDEGRKYLVRKYPNGTV AKFAW PFICI_13921 MQSTSIICAAALLVMGASAHGNITSPPARLPGPAMAAACGAQAV ASVEADGTIPLESVSGASATCQLDFCRGATFEDNVDLVQTFTPGQVVAMTAILPIPHE GPMNVSIVDAATNAVIGDPLIEFDSYADESLASLPANNTDFSVTIPQLEAGQCAQAGD CVLQWFWFGTSAQQTYESCVDFVLA PFICI_13922 MHSFLILSIFNAAAQAWNTPCAEVSSSWAAQATAAFTRTRRAQV PAQLAFECLMSVPVDIEGDIKQIEEPKHFLEFQSTLNCLNTGHYINIESAIHNKPLNL LAELDYTAQSIKDRAYHSDFEVQLALHSLFRRAKDSHLRIFPDMLEVFLFVRPETKLV SISEDGLDLPRLYLLSDLHLASASGNFVPSCVETINGKNATEYLQSLWTHGTYHDEDA QYNSFFPNPAAEVVGMDSGGQFHLTQGLYDGPVTTFGFMNGSRVVNENIAMIREFYNF SNINDGSSFFAKFCQGDTNEVEANSPTQTSAESIEPIFLRSDTYMLPEEYPEPVITQS GLSVQGYQLESRRHYDVAVLALPNFKPIAEPRQDTDETVAGFLEAQAVLQDFIMQSKQ KGLKKLIIDLRGNSGGTIDMAFEFFKQLFPSVEPFGASRFRAHDAFYMYSKSVADLIE SDTAMVMNDGLFSEVARSTANFQNLLNIEGMPFANFTTYYGPYREDGDAFTAIRRYNF SNHLGGHTLAPYVNITGYNFALLASPTQPFLPENMVILHDGICQSACAIFSQLMREQG HVQTIAIGGRPRKEPMQGVGGTKGAQLLTFDSIIKHMQTTLRITSVLHGKDGERRLLQ TTPVGSLLNASQIRLRSARFSGDGHANGAVNSLDNMGRVDDKLVPLEFVYEAADCRLF YTAKSYINPTRLWEMAADAKWGDSSCVEGSMSHATAIGSMRGEPFNTSENLKFIGFFR FSITCMIVFTFIVGAFHSLRKSPSVPEDDCKGYHQINSADLDF PFICI_13923 MASLCKILILLTVAQPILAAPTVQTVGGIQAREPSPDNDVDGAN LRSYWPRKAAPDNDVDAADLRSYWPRDAAPDNDVDAANLRSYWPRKAAPDNDVDAADL RSYWPREEVSKD PFICI_13924 MIANFIRGATSRSFLWPKPFYRPVVASDSDDQLPRTTKEFGRLP ESQNQRRRFWLLCLLIIVVLPATVLGATYLVKTNTGKKESFIPTFETHGVKFDLQELF ARPPEKDSNAAWDSLIPEGHGYVLVPEAADRYGLQPGIPTDKGIDRYSVTVFHQLHCL GMIRILFYEMVAVANNTDPHRFYHGTDKDTHDPTPFPDHVNHCFDYIRQSLMCSGDMT LEHSREPPLGEKRTDTDGWGVEHQCKDWNAMVGWTLEHKANHNLTGILG PFICI_13925 MNATDDAFGPRLEGAFDFTLLFEQSIFSILPSAVLICVAAARIG WLRRKDVKVRAGMLLGAKLGTAGIQCCLQLVTLVLWSVPNSLPTRASIPASALSLIAS GAVGALFYIEHRRSTAPSKILSGYLFLTILLDVAQARTLFQRAGLRSLAAIFVTSLSI KLVLLLLEEVPKRSLLAPGLKETTSVEKTSGPLSRTVFWWLNSLFRKGFGDILSLGDL SIIQAKFTSRQLQDCLGAVWDKCNKQRKHCLTLATFRAFSSTCAITVLPRLCLSALRF AQPFLIQRIITFVGEPPTTNSSEIASGLIGATALVYIGMAVTRAYYQHLNFQLTTMIR GGLVALIFQKTLELDSTKASEGDAISLISADIEGIEPGISLIHEVWASIIELGIALYL LERQVGAACFFVIIPAAGASMLTSQLMKAMRPARMSWGKAIKERVSASSNMLAQIKSL KTMGLTSYMSTSIQNLRVAELDVSRKFRLALIRILTTGRLADQMTPVVIIAGAVFWTK RTEDQELSVAEVFSALAIISLVSSPISQLISCLPNAMASIACFDRIQEYLLEEEIGSS CLKPSKSHDNTDFEEREGGASGLPLSASIELTGMIPHQSRSPSSPAAVIVKDGFYTPA DSGSPILQGINLSVSWSSCVMIAGPIGSGKTTLLKIILGEKPLSKGSIQVDRNQIAYC NQTPWLRNISVRDNIVSPNLWDDLWFATVVRACALDKDLSLLPNGDQTLVGSGGVALS GGQKQRVALARAVYSHKSILILDDVLSALDNATGSIVFERLLGKEGILRSRDITVVLA THSVHYLPASDAIIVLAKDGQIAQQGTFGDLKNTDGYVRDLALEQIARAKAMEDEDTT DGAESKPKVEAANENSEALDLKRQTGDISLYTFYFKSVSWFLAVFWLALAILYTGLSK IPDVWIRIWAEQGMNNNSGYYFGSYFALSFSSVLASAFSVSFFMLVIVPKSAQHLHWL FLESVMKAPLWFFTTTDSGVTLNRFAQDMTLLDNRLPVAVYHTIYDVLFVLLSTALIA AGAQYVAAVIPFSVIALYLLAKYYLRTSRQIRYLDLEAKTPLFTLLTETIDGLSTIRA FGWRRSILEESFRLLDGSQKPYYLLFCIQRWLTVVLDLFIAAIAVILVAFAVKFRNTT TQGAIGVAMVNILGLNTELSELVNNWADLETSLGAIARLRSFLQDTPKEDDPGRVAPA DATWPSQGRVDLKGLSAAYRANDNPVLRNVSLSVLPGQKIGICGRTGSGKSSLILTIL RLLEIESGAISIDGVDLSTVSRESVRTRIITLPQDPVKLSGTVRQNLIPGEAASSLAT AGGNEDERLESALTRVDMWDLIRERGGLDADFGDMELSQGQRQLFCLARAILRRNDST IVLLDEPTSSIDRETDERVMKVIREDFKHCTVIAVAHRLETILDSDVIAVMDAGKIQE IGEPKALLGQADSRFKALWDSRNG PFICI_13926 MASRPAPQSRTSRAPLADANDRVVNASMRHGKKSSRSENTYPNP SRPEAAARSAHQSVKPQPHEMATKHAPVPKDENDLDKRGSQASTSSGTSSGKRKTHIG PWQLGRTLGKGSAGRVRLARHNLTREQVAVKIMPKHGHAMTQAGSLAAVDDWDRRQPE FNSEKRMPLSIEREVAILKLIDHPNIMKLYDIWENRSEIYLVLEFVENGDLFDYINRM GSLPEPETIFYFRQIISALDYCHSFNICHRDLKPENILLTKSGQVKIADFGMAAIQQS PTHKLRTACGSPHYAAPELVDRKSNGYSGATVDIWSVGVILYACLCACLPFDDTDMNK LLLKAKKAVYPEPKHLSPGAKDLLRKLLRPNPSQRITISQIWKHDLIVSYNYLDDLNG KRHDDNLNDIRKYGRETSLAPQDIDMQTLRQLQSMWHTYNEKQLAAKLIEDEPNDQKL FYWLLFNYREKRLENYVGDLTSSASDYHLLRPANWTKKFTTLEFPARHGRTPSRFTVI SNVATDDNGIDSVTDGATIRSYDPYKASRILDGPAASHAKITVHRNGSMTRSSTRASN IDRMRTGSMRSNSTYSRRGGRGGHLAVNAAMRASRRSLTSIKSGGSSSIKRPASRTRR GVNFNHQLGSSVAREQLGLKKGPASIAGDDSTYDRDHKSPASPCRQPRMASGQAAGRS GTHTQSLIIPSLAHPHRHGNDEIRQLSHSIAEDCDQAFNSSLLSPDDPADRSSSMEPS TLSPIELRSNEAHRISHKWDARPLPQAPPPTDSVLREIRMAKRRTAQRENLIDESPGH VNRMMEHLDNLLTSDDLEADNDQRIVSAPIYSQYSTQWGRDAIPLPSISEGRRETSSV DTSKHRTVSAPTVKTPIAQSTHNYGREQDALSYLSRQENTIRMVVSPGDGQSPVKVPA PLNIRKKAANSAAAQPPPRKNMNLRQQYAFDEIRDTIPEEPPTPSADSTHSPTRKKSS WFKRGSKDKDDVFDSSEHSRSDHTASVPQTNSTGSAGIELLPAKKRSFSFAFWRNSKA TDNMKLSVAGGHLLALSDGLDLTKSTDVDFDDPPSPEPVRMFSHPARPPNNGAWKGNV AQRNIEPQQNWLARLFRVKPATEHLCFTLSRRQTRQEIAILLKEWRRYGIRDVQVDKD RNIVFGRVGAKNYLAMKEVSFAAEIMTVIEHGKRSPLSIVRFTQERGAASSFHKVVDT MHAVFSARNLLVVDKHKAKMMIKTLNSR PFICI_13927 MASRRRSRSVFEEEEEDAAPSSGAEVPKRQRRDDSDEEELQNGD NEEADEADFTKYEEDEEDNHLSQINLRTPPNEYSPGAVVRVKLVNFVTYEKAVFYPGP NLNMIIGPNGTGKSSLVCALALGLGYPTNVLGRATKFSEYVTHGKNSAVIEIELQKLP NERQNHVIRLEITRENNNRRFFLQGKDTSQKNVQALVARLRIACDNLCQFLPQEKVAE FAGLSPVQLLHETLRAAAPEQMMTWQTQLKEVFKDHKMAKTRVETDADQLKNLQERQQ GLQADVDRLKERENINNKIEDMKNARCCAEYNIARDRYQALKRKKKDAEKRLKALERS AGPALEAVNDKEEYRGRIDRVLEHRKRALRDSESAAEQASRAVEAVEEKVTETQNSIE NERKAFGDKKTEIGKIRANINKQEAEHRNEPPPFDPVEWNRKIREKEHAMREMRTEIG ETTERRDDVKVRGKEVVVDQKSLQRELEKLDSQEGQKASRLKSLNHEVYEAWEWLQGN LDKFGKEVFGPPMLTCSLNDERYSKHVESLLQRNDFLCFTCQDKKDHMALSEFLYKEK GLSVTIRTCLTDFESFKSPVSPAEASALGFDGFAIDFLEGPKPVLAMLCSEKKLHMAG VALNEVSREQFNALQNSERISSWATGDTFFRVVRRREYGPDAKTTSTRQIAPAVYWTD SPVDTSEKADLQRRLGEKAVQRRDLANEYKELDKVVTALLEKSDTLEAEVTQLKSEKS ELQTVHTRWQTIPDQIETNKANLKRALATMQECKARVAQHERKRDQLIIEKAMAVLDH KDKISQIRDAYLDVQDAHLRLIEAASDVRGLKERNGDITRELDDKRQEIANYANDVQL ERENAQRAQEKVSLIVSQGGEGDLERYEVMVQNKTLDEIDDEVNAEKAKLELIHAVNP TVLQQFEERAKKIADLTKEAEKWGRKLDRLDAQSKELMVKFEPQLERIVSKVNEAFGK NFERINCAGEVSLHKDEDFEQWAIEIRVKFRPNEELQLLNQHRQSGGERAVSTVFYIM ALQSMAQAPFRVVDEINQGMDPRNERMVHERMVEIACKEHTSQYFLITPKLLTGLAYD DNMRILCIASGIHVPENGHKLDIDKLIRRQRAAKSGRVSA PFICI_13928 MSTTNSEVKKEDEDVDEEIISQAAASQMASNVKPELELRLDHTP VIKKEYKDIPEGIISHAPASEMACNIKPEVELSPDLKPKTTISTSQYRDTPTRGNKVN KHERRVCYNCKQRGHLARNCRNTSVIHHTVVSQGGVQHHYSHVANTASTTPSRGDVNI YGRNADITKHCGSNTTNVFGGNGDITIHAGGGIVNIFGGNGDINVYGGSSATNVFGGN GDITIHGESGTTTILGGTGNIMMKRGGRIAKISTGLGRITVNGVSIYQKRRIFRVVAL PFICI_13929 MKLYSIAILAAWALSTSAASAREDINSTYTNPILPGFHPDPTCI FVESWNQTFFYVTSTFLAFPGLPIYASQDLQTWTLISSVLNRPSQLPEFTTEVSETGG IWAPTIRYHNGTFYVSTTVVDDTKNISDASRWNNVLFTARNPYDSSSWSDVTRFDFNG YDTSLFWDDDGQFYMLGAGDMNSRPGMFLAPLDVETGEIGLQINVWNGTGSPSLEGPR MLKKDAFYYLVAAEGGTFLDHMAIIARSEAITGPYESFPGNPVLTNANTTEYIQAVGH ADLFPDANGNWWGVALAMRADLVNLAVPMGRETVLYPVSWSAEGWPVATQVRGVMSGW PLQAANLTGEFGFVPPNGPTLLARRQTETLFSFSAVVDFQPQQIDEEAGVTLYISQDD HVELGVVLLPGSSVNNGTGSPLASFFRFRGESETAEIEETLFPFPDGWN PFICI_13930 MLHQQYASIMLGTVVSGGLCWNAILIYTLYNTAPLVRLHSQDSV RPSDIAQHLQHTTPMLKMASVAGLPELDLDNLGLLNVMSEGAEKVALTAIDDIIDLPV WLRGETPDEMGALRNSTACVVILVGSEKDSSDFDAFYFYFYSYNRGPNITQVLAPIKG LIEDSLEGGMHFGDHVGDWEYNMVRFRKGRPTGLHFSQHSDGATYDWDDPSLSKDNGR PLVYSAYGSHANYISAGDHVHDSVLLDYCDAGQLWDPVSSAYFYHLEPVTFELTRMFL FDPPKESNLTSFVYFSGLWGDLQYEDEDTRQSTVPYFGLKRYVSGPSGPITKHLVRRG LFPDQRERKSWLQWGIGIFMSLYPCCLRGWKAWASGTMIIVILIVIVIMVRYAVKQSQ LKGRGYKKIESTEDIPPNDIENSG PFICI_13931 MANGGDPGIEMQNGQLCQGCEKLNLTIEKFIPAQSEGPSQLPGV LGNTFDPSGLDCHSLGYLDEIYQRRLTCPLCRLLFEATHSQGEQFRIGLDGLTEEGKR IACMVDWILDGRLLDDNSNSVSDHGPQTTNPSRRLHIFNPDGTFPDAYIVPLKPALQG TKADSSPSFLGRLIPHTHVDIERLKRWLDLCDSTHHAACKPPSRMIKMQGLAAKVRYI DLQEERIVEPHEMPDEFEYATASYVWGATQMAYMLYRSNYDEFRRHLPVRSESMQRTL RDVMAVVYKLGIRFVWIDALCIIQGDEEDWEKMAPLMEHIYSSGKINICAAAGFDANR GMPGSVHTPRTTQQLVTRCFGLDLIAVKPVESRIRYTQWNSRAWTFQERMLSPRSLVF VEERVFFQCRQATWSEEVDSESSQSAWNLDMVESPLHLLWSLNPVRQFTSAVELYSAR KLTFGKDKLIAFRGLEGPLGQQLKSRFLFGLPLTHFDWALLWDMTGSDSSSYERTSNP YLDGKDMFPSWSWCCRAGGVNWRLSLLSGTLHNLRSWLVEHTWIVWSWGDDDSDVKDA LPPCPGRDQKPSDSEALETSGSRWSGYGERASQSRQLLEMVYQRHGLASAGEKPPISP SRPIPPKCLHFWSLSGFFQLSRKTMSTDTFKPELQEGLHRFGILDSSQNWCGTIVLEK SWFQHVGGICEFVAVSEARDFSMEELDTWNYYVPEEREASEWNLYYAFLIERDSDSGM HRRRGLAKLYQSAFDRGSFAPKTGWREFFLA PFICI_13932 MSKPKRADKQSTVKDGGGVRGLTSLLLLQSIFQSIETVTGRKVH PHEYFDLIAGTSTGGLIAIMLGRLRMPIEEAIEAYKKLSLIIFKKKWWSDFAVLKVLG AEMNRHWFRGQNLQDAICNLLSEKQMDPELDLRESESDDARCKIFVCAVDAYSSNVQL LRSYRSNAPGQFNYECSIWEAARATSAAPLFFEPITLRRGGATFVDGAVRANNPVEEA VNEARSLWPDRQVGCLLSLGTGISVRPGFDPKKNSLHAVLASLAKIATDANTKHIAFK SSHYCNQLIRSRRYFRFSVSQGVSDIEMSDFMKLPIMQSATIQYTAEVSKEIEECARQ LASWDETYVKSSNAPLHMDSGHNPARKFLTLLDMDWERPVSSYVEDFGTGVLVILAIT RILSAYNQAVNDQPAHTKVVTAQLTTLRLMFQTQCFLLLSSVIQDSADSLYQFIYSRD DDPADLLQLLQCSQDQTLALEDNAGRRIWSVLSDYEQHAAAVILPQLGRIEHRDLARF RSFNLDIHALVRSRGHLHGLGPNLPDDGPTIDVELSRISRVNQVSRHLQNACGNLWSC AEHPVHSTSLKLAPSMAGSSSWYQDQKSSVTFDLVLTNSALGQSQESTSFEITCPMAA DQPRSADSNSDLFDQGDEIPRPPVRDVRERGETRGRKVIFEGQLTKAQQEQIMLGPIL EPLCTLRTTDSSDSRTHVGCLASSESTNLSVQLVKPARRMFGMAMPTLSWMQPDNTEV RNSDRVSKAEKMRFAFQLAKIVLAHHSTPWLENAFSRADVSFWSSSATDCRLTNPFLF QQLGSVLGKAKQTVSEQHTDPWIKNPILFGLGVILLELEYEMPIESIISLLSKHAPSS RSGESLAERLLTVKYSAGNQMGTSYGRIVRMCLDCDFGLGLREYSLKDTMLQRRYYTQ IILEFEKLLPQWEKIYSL PFICI_13933 METLDENNSNEERLATKLFHGSIDLLWNILRARSPTISSAPGSA GGISPSPHKNEEWEQIRQLLETLYFWGQGFARRSRLDAILDLSAPLKWQVMSLLIDIS RAIISLFEHHELILEIRIFTQCLIDLTPCLEYPAPDPPIIEEEERLHREVGGEIQSVA LPWCRRIFDMFPAIDTTLGEHLGILNWKRFDRCRSWAADPASKVIVGGIPKYGPSETI DHETAPTTTMEGTTTGHPTSTNTGTNLDTEITAPDNDFDDHFEPHPGKMGRREEFDLE TVLTSSTHMAGTFRVPDMPNEDQDGSRTCSVCKNQLSQSVQTRTDWKRHIYHDLEPYT CTSPDCATSGVDTFSNRQDWAEHEFQHHLAEKVWMCSACSARMSTKEAFSNHLERNHP EYTWVEEHIAVELSENTGLYIKSPLICPMCKVTLEPSLQVYAKHVGRHLEQIALIVVQ TQNMDESLEENDTGTETTSSISYAEDDEMNSPLARGDDAAVNSPLARGDDAAVNSPLK RGDNTAKLDNRASHGQTLTSVYEAAPMVSSTLNYENAAILPEKTKTRRSILRKVAVLG SRSAGKSSLVVQFVDGHYVESYYPTIENTFIKRMWRGDQAYSVEIVDAAGQDEYSILN SKHFIGIHGYMLVYSVRSRASFEMVQVIREKILNHLGTEWVPIVVVGTQSDSQSKLRQ VSTEEGKQLCEKWNCAWTEASARYNENVGEAFEMLLSEIEKSQDPDRSASNRCITM PFICI_13934 MALKSVLTESTLAKYGRAMRDAPREVIVNRHLLLSAALYAMCGV PITWDQGSSSVIPSLPGFQQYFGISSGTNSKEISNFVSIVYIGCGVGAGLSFFINDRI GRLWALRLYMLIWVIGQLIAVFAPNLPALYAARIVAGLGIGPCTVLGTMSIVEIAPPE TRGLLASWFSVAMLMSLFVSIFCVYGVFATMAATRLQYQVVWFSPCIWIVLCITASFF MCDSPRWLFLVGRHDEAIETLEKLRGLPRDHPRIQAEISAIQDSITDETNANGSGFVA ILKETFLVPANLRRVQQSLVSYALAQLSGANSVTSYLVPILTLMGLGGGTTRSLFLSG MYAMAKFFFTLLASFFFIDALGRRRSLFVGVTIQMISDLYIGIFLKYKQQGEVAPGSS QAAIAAIFFHGFGYAVGLLILPYVFGAELWPNRIRSFGSAMSQMFHWLFYYGVNAGMP SLLSQTNNWGAFIFFAAWCFIALVYVYLTVPEIAGLSVEELDAIFKGGWFHAYKTTRK PRVVDGVEDGDLS PFICI_13935 MANSIPTKDQPVIIVGSGIFGLSTALHLARRGYRQVTVFDKQPY DESLYSYFRGADAASADINKIVRSAYGSQTEYQDLSTEAIAGWHQWNTELATGKNLPP GMCQQDAVFIPNGNLSLSTAPTLPDWELACIEGMERSGHYNTQLATTDPGHREVARRK GIDHLLDPFRREARGKANVGVLDTTGGTAVADKACRFSLEKARSLGVKFVFGLDAGRF ESFVREGQKITGIKTADGKVHHADMTIMACGGWTPVLVPQLDGLCEATAGSVALLKIP RSSPMWDKLSPDQFPTFTWNMRAGAGGCVYGFARDNDGWFKIGYRGTKYTNPMSQADG KERSTPVTRWSPAHRDGNVPVGSALTSFPVQAHRVIQGFLDEYLPELAQEGITIDTTR ICWYTDTYDNHFVVDYVPECEGLMVATGGSGHAFKYLPNIGNWVVDVMEQVNLERPAI KAWRWRTSGPEKPVNALMEGSNGLRALGNIAMSHLGRNAKL PFICI_13936 MTSSVLPSRQLSAFGSTATMANEALFVHPDDLRTAFATAMSHMY KEEVPLYGDLVQIVSDVNREVISQNSIEPPTADRLERLMLERHGAIRLGTPEELGTVR RIFALMGMQPVGYYDLSVAGLPMHATCFRPICESSLARNPFRIFTTLLRPELVQSDAA RDLALRLLSERNIFSQQLMQMLKVAEHQDHRLDCQQGEVFIREALRTFCWAPVAAATR TEYEILKNEHPILADIACFQTCHINHLTPRTLDIGRAQRAMQEQHMVVKERIEGPPSR RFPILLRQTSFIALEERIQFRAGDGAAAAADLINGSHKARFGEIEERGTAVTPAGRAL YDKLLGEALDSAQHLSPEGVDAMVVEKFRSYPDTWDELRKGGLVYFKYRCITKSRHHL VNVANIPLGLGEGKDLERLIDAGVVETVPITYEDFLPFSAAGIFQSNLRHGSRTNQEP LQSRPDLDALEAALGRSVVDADELYAAAQQGSLQSCLEMLRSVLSPP PFICI_13937 MAIARKPRVVCLGEPKYVGSDFLADFQREFNYSVLPATNRAETQ SMLPEDIKQNGPIDAFIIRMGTPPYEPFDEDLLKALAPGCKIIASASAGFNEFDVDWM ASQGIYFCNTINAVAEATADMAIFLTLATLRNTTNAEKSARGGSWRAAANLVPGRDPS GLTLGIIGMGAIGKYLAKKAAAFNMKIIYHNRTQLHAEEEAKYGATYCKTLDELLCSS DVISLNCPLNANTTGLIGEAQFAAMKDGAFLINTARGAVVDEKALIAALESGKVARAG LDVFVNEPKPDAYFLQSDKVIIQPHLGGLTDVAFQKAERECFENIRALFAKGEPISPV NTPVVKS PFICI_13938 MTSTEEATASTPAQQSPASLPPLGFISVEVIIHRPPGDPFNEAT WPFPLIRERAKDSKVSQVVSSAGYDDDFIAHFVDAGQRLVQRGAVGIITSCGFLAMAQ PELSERLPVPVLTSALVQVPSIIAMLPREKKVGILTYDDSRLGDMHLEKLGIPPSRVC IQGAPADGHLRHHIRDGAEYVHEQIETELVQVAQKMMTKYPEIAAVCLECTNMPPFAE AIQKAIGKPVYDICTAGSWFYSGLVTRRPSRWNPIPKDTIEGRT PFICI_13939 MSATEVVTASPTTVAEVDGISSSSKPRPTRALHHIPRPESLEAQ RQWQLEQMAGAFRIFAKLGFADGGSGHISLRDPINPNTFWINPYGFHFGLLSVSDMVH VDKNGNRIGGNNARINKAGFIIHSAIHAARPDINAACHMHSPYARAWSTFGKPIDMLN QDSCMFYDDLSVYAAFGGVVFAPEEGRNIAEALGPRNKNIILQNHGILTCGGTIAEAA AFFIALERACQTQLLVESATIPSSVGGAVSQLSKTFVTEEEAEYTKKGTGSPDVMYMQ FVPEYQMILKETKGDFLV PFICI_13940 MDQQLIQPPLDYSNHSAGRVVGVVILGFSATFVVVLRFWARRLT RQAFGLDDYLCAASLFFQHALMVASCVSMVRGGVGRDMRVTASEDPHSVVVLFQSLFV AEIAYTYSSPLIKLSALAFYWRVFPTRTVNIGCKILGSMCIAWCIAITILNFVQCRPL PAFWYIELQALSTTTCLDPILCFLANSISNCVIDFFTLTLPIHEVLKLKTSMRKKINI CGVFLIGGGRQELAKRPVQAEKLIRTPVQFYPSGVATVVEIYIAIIAACAPTLVPVYR KLRFGDPLKSISTAPSKETPASGVTTIGEASFHRNHFSNNNGSFERLADIEDGFTPAD HYGSRSINVSTGHSNKNLNRETGIGIPLEGIMIKRETVLSEGTAGEMHYLLRLLEINP EICELWHHGEAPAAVQLVKTLGDLQALLAVKKIMPRLRFGAPGPANGYANQLRVSHQP WVPNFSRLYETALIIDPNVYASMSAPASDSQPAKRPRCDGQTPKCRNCTRTDRECLVE DPATGLHRPRDYIRSLEARVAYLEGLLQDSRPEVALDHLDAVSPPLPGAQLDEGVVNG ARGVDDDAGQGDILSNEVALLCLGAAGREPQYFGPSSAVHFSRVVSSTIGLSRRSENH GSEWGSVSEAGERAGHAVAIDFPSPSKMAKLSEAYFQNIHPQYPFLHRPTFRLMEKEC LDATIQGDPTRASGASLFFVCATGCLTLGPSAIDEAESYYTMAMRHIAPLLDRDNIQS VQTLLCCAIYSIRSPIGASLWKISGMAIRHCIELGYHRSAERYRSSADILTKEMSKRC FWVAYDIDRVASFILGRPVGIPDKVIDVELPMDIDDESLTSNGLQGEPRLNAAEPPTS MTGALHAINLRRLWSKFNDALYSNATYGQPGGSIESLRQELEEWRATIPEKLVNNQTR AFSVFASNDWFQLAYDYSILLLYRPYIVGVYSDSGRSPRSPVQGLHADAQQRAFEICS DRARDMCLHYRRLYQSQASHIQFTWGSLHILFLGGLTYLYCLWRSPLLRQQTKATTIM NTCMACTTVLTIIAERWGQASSYRDIFEVLSERTMDLVYRGTSDSCEVRSDLPPPPAA QLEGLISGIAVDHLMENPGAPDYQFSLQDWIMSLDYSNAPGDPQWLAQELLQGMRDQT PFICI_13941 MISRVGITDSLTLEKRKEGDRFQVVEPAATSVYQGLPVSKTIQP AVIGGTWFPKAPDAAIASKTVFLYFHGGAFIQGDGRDAQCATIAKMLLTKGRADSVFS VQYRLSGYGGLNPYPAALQDALSSYLFLLNVVHVPAKQIVVAGDSAGGNLVTALLRYL AEHGAATGIPEPKCAVLISPWVAPFDYEMAGNPHLGTDFVPSSYGAWGAHSYGGGRPG AAADPYITPLGNPFATSVPIFSSAGSAEIFYERIVRWVDEMGAIDGNKVQIYHEKDAV HDTFFSAEMLGFENSAWEVAAQIGEFVGRY PFICI_13942 MAIDSFSHDHVPQRAQACPAIHFPRNNDFVGRDAQLAQLKESLL RSQTDKSMVAIHGLGGIGKTQLALEFARSADESCSVFWVPARTETSFSQAYKSIATLL GLAGNQDVLSKATKHTSQRLKNNLQYQAWIDNSLVATTYPKQPKERSILPVLINGKHF DGFPDTNATRNVMTEEFAVSIGAVIDRATAGRATFINAIGEKTSSIGQTSLEVAFPDN PGKSWSCQFQVVRCCPEGLVFGDHFLRTLTETLTKFRHRLKKKATVSGLNIRRLLHME TPRQQLRCSINSQGTLANMDTGSDIDLVSLKFAESCGLDISYFPHNEGFVKLSNGTVK KLLGYSDNRLNLGEDLREKRFFVLDGLVADVVLGDDTLEDLDVFNKHVDSFVDQDDFI EADNFHMIEWRERYDSVERNVETLLRAAEGVPAAEEPSLRRRFAHVLRHGPNNARTSR PVKCDVQLRLRRTLEDLDRLEDHLDDQSHRRLEKVRGDDVLREQQSNELRKRQYQYLR TKILGGLQNFDKIEKG PFICI_13943 MVHEEQDNTNRYYISGFGALADFIDSDEDHSTAVYSRFGRLAAR DLLFYQSELAELQSRQDQYDLEDANEALSSSETRRTIRQHTRDWVTFQNIASANTPTS DTYTSDRWKKRMDLAMNIRKTLVEYQEALIRHSKVLSFSQPTEQTMKALSGYFHTRVA LNEAEPQMTTLPMLTGASSNLYPRDMDERQIRSSDYVTLKLQPHPDLLTNFLGTYVSR CFRTREPPLLPQHRAAISHIPRDEVVRYPLRRIHFVATLISTFFAGILLFLPIFVLYH IASSQPNITIGLIAMFVAIFAVAIVMMTNARRAEVFGACAAYAAVLVVFVSGDFAAAV DNTG PFICI_13944 MSFKSPSDRDGFTVAIICALQTEYNAVSLIFDEFWDDDEDKYGK ASGDPNHYTVGRIGNYDVVLALLPHMGKVNAASAAASMRSSYPALRLALIVGVCGGVP TSRQHGEILLGDVIISSSVVQYDFGRQYPDKFERKDTIQDNLGRSNKDVRGLIALFGT DRGMERLETRTLRYLEQLQANAASKKRIGKDKYSYPGTAQDKLFEPSYRHKHHLQVAC ICHECIQDADPVCDLAMSSSCIALGCDEIHLVRRDRLDERQKQQAASDWVIQEPNIHI GSVASGDTVIKSARHRDRIAQQENVIAFEMEGAGVWDEVPCIVVKGVCDYADCHKNKG WQDFAAATAAATTKAILERYTQTDKDMISKRSEKVSSEENPGIVQNDQPPANMPRSVF NGPINGRNVLAGSSITGGTYNFG PFICI_13945 MATSNSFDPIGGRNVLAGSQFNNTTINFGDQKDSLHDAQAACQA IHFPRNNDFVRRSGISAQLERLLPPRTEYQSAALWGLGGAGKTQLALEYAYGRCANDS SCSVFWVHADTETSFAQDYKWIATKLRLAGGLDGNELLKAVRAAIEGLPHWVMVLDNA DDLSLFGVRRNPRLARGSATDGAGNGASDGGVTDLSAYIPRGNTGTVLWTSRDQQIVG SHVGPGHGINVVRMTDAEAESLFQAMSVLEIHEGDEGHGDLLRLLAELGWLPLAISQA AAYIRRTKISIGEYVERIQQRKKRQKILKRSEHDRHRRPEVSNSVLETWDISMEYIKR ENRMAYDILAVLAYVDNQNIPYAIMARIAEMRDDEKSDNIDEEISSPGFISRGGSESN GRESRNSDESDSGDDNAGDSEDDSEDDSEDDSEDDDDDVIEALARLEEFSFVTLRPVQ TSRKSHGDRVYDMHKLVQEAILYHLHIQNAKSQMEARSAKMAFEITDMLFPEQWHRQN WDWCEQMLGHAQRVGEWARLHDKGEEVAYFLFRISDYLCDRGRWREREMVDMAMSKLC ERILGESHPHTLIARSRLGVSIQRLGRQREAEKIHAEILSLRREILGDKHPNTIESMV SLAATYFKQGSMASLAATYGKQGRYKEAEKMHMEVLLLRREILGDRYPDTIESISSLA TTYHKQMRYKEAEKMETEVLLLRREILGDKHLDTICSMADLAATYFCQMRYADAERIE VEIPKLRQQILGSKHPDTLQAMDNLADTYYAQEKYQEAEEIYVKLVQMQREVLGEQHP DTIKSVSRLDACRRALEALLKTDSDAGSDLGSDIETNGVSVAGLSLE PFICI_13946 MRTSNLRKLWLLVLLTPFAQASEVVVSGANVEYRGVQNDTAGTN SFYGIRYAKAPVSDLRWRAPVPIKSCDQRGSIDARQRGPMCLQSSPAWAASIYANTSG TQDEDCLLLDIVTPISAACDKLPVMVQIHGGGYVGGSSSSFPGASIVNQAKGTLIYVA IQYRLGPLGFLAGDEIAANGSWNVGLLDQRAALDWVQQNIHFFGGDPEKVTITGGSAG GGSVSLQMTMYGGSAPAPFRAAIPEYPWWTPMLDQAQLNKQYETFVQAANCTSSECLR KAPLSIIQLATNKSLETAYNQGDYAYGTFYWGPTVDGHIIQEGPLQAFSQGHFQQVPV LIDRDGNEGFSFSNVSITTTDEVLSDLERLWPNETFLVDALELYPNSTYNASMIEALN VIQALEAALGGNMSFSSAFVQRDALFGNALVNCPTEYMALAASRAGVPTYKMIFDAGL QLHGATGPYLFSDYINPSGEFTYGPITVPGNDTLAVMMRDYFISFAVALDPNKAVSSS AGRPQWSPYNASNNETAVLLIQDAGIKIVKDLDVNARCNYLESSAAYMMEI PFICI_13947 MSTVSPRMPVYFLGIGGPNFLENTTHPAYAQLAQVGHEITTVVK PKAVVVFSAHWQGGPSTIQINTAAETDLIYDFYGFPPHFYERKYPNRGSPEIAEMVAG RLEAAGIQVERVNRGLDHGVWAGFIVAFDPEKNPLNVPIIQVSLFGNEDPNQHYRVGQ ALEGLRDHGTLIVGAGMAVHNLHDFRATRGTGSTRPYTLSFDEALKEAVAAPPEGRQA RMAALLARGDARQAHPTFEHILPMLVAAGAAGADVGHRLWTLPEGSLSWAQYRFGDIT GL PFICI_13948 MASKPVVLILGAGPRIGSSVAKKFASSGYSVAVASRSGSGTKND QGFLSLKADFSKPDAIPGLFAAVKSEFQTAPSVVVYNAASMTAPPKPDSVLSIPAASV TADLNVNTVSAYVAAQEAVLGWETLPKEAKKTFIYTGNALNSMIMPFPMGLNLGVGKS ASAYWIGTADALYVPQGFRFFYADERFENGKPASGEVDGEAHGDFYAQLANHEGKVPW LATFVKGKGYVQF PFICI_13949 MEVHAFYGTQNNVRSFSGCWTCRLRRKKCDEKHPVCGTCTTLEI TCHYSYDKPEWMDGGKRQEQMAERLKREVKEKARWRRGERPVQLPEEPADHDTPKTLP ERRRENAAAARRDGTPGTREDVLLESETCGAGCKSARKDTREHTEVGPSDALLLTFYI EQVLPFLFPFYRPSLLQGGKSWILEMVLTSPVVRQATLCQSSYFFALAQTTGCYVPCN KVLGQTQDAFEVLRRALEVMRGPGDISDHIYGSVRVLASIMQVQRFELAVLSFENCQT HLNAALALFKQLLGTVDGAEPGRSGIDFNTLMTRLGPSSWIANSLNINVPSAEQSALG FSAALLIFDDIVASTSRQEPPKLYDMLDSLLGDEDGLDAPIDLEAVIGCQNLVLLQIA KIASLDSWKQHCKRAGTLDHLELERNADVIENTLRTRLMYLDEQSTTPQEKEENLLDI FAESYCFKAHAHARQNSLVTRVWAHAALIYLSVVRYGWQPNMAVVRNSVDGIIEALTS QITSPALLRSMAWPFCVAGCMATSAQEDQLRVLVNTLQPPSVFGTVHKARDIMESVWK KRDAPDSENIDFAACFKSQGDLVLLV PFICI_13950 MAPVILNVAYPSGSDFNVEYYTSKHIPLAVEGWKAITSGLKDWK LYTPLGDNSPYAAVLEVTFESMEALVSVQTKAPAELQTKLKEDLVNYSTKPPSMWVSE LKASL PFICI_13951 MHASSLLVGLAALYGAAAQYGPAHISRDRKVCEVAASGTNATDD APAILAAFDECGQGGTVLFTENTTYYVNSVMEVSGLQDCHVDIRGTLLWSTDIQYWLN NSLPVGYQNQSTAFKLGGDRVLIDGHGHGTFDGNGGVWYHYAAGISNLKGRPHALTLD GLNNSRVTGLQMLRSQMWTFSIIRSHYSLFDNIFVNNTALDGSSSANTDGGDTFFSSH LTFRNWTVVSKDDSISFKANSTDITVTDSLFINGLGIAIGSIGQYKDQFETIERIKAD NITFKNTLHAAYFKTWTGEQVGYPPNGGGGGLGYATNLSFTNLYGTSFRGAPFSISQC TTFSGTAGNCTSSKFELENIEFANFDGTAPSLKLASLQCSGVAPCHDITIRNVTLASN GETSPLSYLCGNVVDPIGWNCTGDACVGGSATGQC PFICI_13952 MADTPPTPGSPPETPDTPEPEFQELYSDPPKRMPSLRSVSEPDK PLHPMSASSTPLGVLSNARRPPVSTGMSSGRLPEGINAKMRAFHLSRQGSSPLNSQPN SASPQSPVTGGVPIAGPAVGALRLPGLDPRPSRPGAPSFPQSMPQIPSVAGPSGGLAG KRKFGLKLSNMTPPPPPAQDSQSAGSAGPAAPQLGGQSHGAPSQMKQFEEYIDSENGY LTFKGKAIITNKGVDFSDGSVFRISLDEVEKLDELGKGNYGTVYKVRHSKPRVPRFGP GLSGAKPLSVPGTLTDTPDSPVDGKAGGTSGLVMAMKEIRLELDEAKLATILKELVIL HECASPYIIDFYGAFFQESAVYMCIEYMDGGSIDKLYAGGIPENVLRKITYCTVMGLK ELKDKHNIIHRDVKPTNILANSRGQVKICDFGVSGNLVASMARTNIGCQSYMAPERIS GGALMAGGASDGTYSVQSDIWSLGLTLIECAMGQYPYKPNQTSSIFAQLSAIVDEDPP DMPADGYSATAVRFVKSCLNKKASLRPTYQALLNSEWLKDMTKPETITEEDEEAAEND ASAEAISNAADNLDMRKSGTEDPDVAEWVKSVLERKENGQYGDDIEKPALHAAPLDAV SPDIRAEAAA PFICI_13953 MAFSHAGLSIVSMLFLAGSIVMMFFVILAGVANTTPLNHTYFLS ADTSGITGARDVTQWTYFYHCGTGNLDCSKAAPAPAFGWAWSGNPSGAPDALVGSSGG DTTSRYYYLMWRFGWVFWLISLFFAVVAFFSGFLACCGRLGSAVAGFTTAIAFAFFTV AVSLMTAEFVKARNAFRADGRDANIGVWAFGFAWGAWAALFISLVLYCIGTRAGKDRY SAGGSRWGRQKSVRSRRSYDMGSRRVKDDYS PFICI_13954 MLALRCGTCRYRRISCDGAQPACSPCRAVGFNCSGYDYAASPGA NGGDRRGVLTRLHPQSVPDMLEGGIAYDPMPQLYNEAQIIFDGVHYCE PFICI_13955 MSVICLLLSTMQQSAYGDWRAHLEGARRIIQMRGGLKEVISKNA YFKPLLAFFVAIDVIAATTAPSTHKHMYAATTMALQYYKVEEGIFQCNLAISMPCPEE LFQTLILINYLRAITRRTDLTSRRHAGTRMVLEKLQGFSAPQWALKMKYFKGWKTSGD GVQFDDKEALGVGAASPSTTRSSSQDTTPGLSGPSPHPKRETPDTPEPRTPITDLWLN VAIAYRSAILLYAVQTLITDIAEDKDFLHAADGGIQPDDLAAVCLESRRALAECLIPI FADPTSAHDFGKLVYFPMFVCGMQLNVDDHSSQDWVTRGLETVGTACGTLGPISAAEE LRGYWAASAKCNYGERVTWDKWFEGRPDFIFGF PFICI_13956 MVNFRSISAALALASVASTHPGEEHTPEQIKREVAARGQAHQKM TRALNSCSSSSAFRARQERAMARRADAARALRQKRDISHKPVTLGKRDDAALAQWAAV DHSVDAGYTLDTPLDTLFTGNATCALVEETTIGPYFVAGELIRSDVTEGQEGVPLHLD IQFVDVADCSAVPELVVDIWHCNATGVYSGVSSEGQGGLNSTFARGAQITDDDGVTEF DTVFPGHYTGRVTHIHILGTKDAKVLSNETYEGGVATHIGQLFFDPDLVTSVEALAPY TTNSQSFTDILDDSIAAGEATSDYDIFVDYALLGNQPSDGILAWITVGIDSSANHTDQ ATPAAHYYEGGGVDTGLSLGGGPPPGANGTFPGGGNSTATASAGETSTVLSTSTSTAE ATTTSSGSATSSTATSGASRRLAAPFRFF PFICI_13957 MSSLAQNRAAWIDAPGKAIRVGAHDLRKLRPGEILVRNRAVAVN PFDCLQQSTGEFVEQWPCILGHDLAGDVVSVGEGVVAFAPGQRVLAQALQQGPENTAF QEHSIIGEDVTCPIPDTLTYESASVVPLALSTAAAGLYQKGYLELPLPSASPLPGSGE KKTLLVWGGSSSVGTAAIQLAVASGLEVVTTASPKNFALCRRLGAKEVFDYHSPTVIE DLVAAVKRNGQVAGAFTAVSQPSEVIMTVARVLEKLGGGFIATSKPPPEKLPAGVAAK MVFATDYAIVEGKKFFRDFLPSALANGSYVAAPEPHVVGHGLEHIQTGLEVLSKGVSA QKIVVTL PFICI_13958 MDIALRRPSRHELIGIKAQSMPASAPQVSEAANGPENVAGSSGS PTQAQSSDESHNCGPDPPSTDRPRSNSPQIQDDRAQEGESTGQTGNSSSSRKTKRKKS AGIWDSWSPNGIALMSLTITLLLGISAWVYQSRADKSAEEANQLAAEGNRLAAASNRI ALWELCKSEEEIQNTATCIELMKSGIPSSEKRGVSHRVPMREIRYPEDFELFTRHHGL LMHLLAQRLQLNRELHAFNDNGRQQGRSEDSPIIDSGGQFNHLTDSISMSENYILALL SFMSLPESGAGDLSLTTHRERENQVLSSHSLELQYGTESECRRVQSEFYLHVPASMAT GERQHPSQTDLPPFTNNPIGYLEKLIVRYSSNELAMAMCFLWTFSFWNLSLLTYYYFK ALGLYYHISQRWVKFRFEFGLYADQSRKLTGYTYTCHAYHVEIPGTDAQLEV PFICI_13959 METLLQAAHRKWTHSDEAEIEQNWFHFEKALLRNQRWSFGRLNL ATLETFQDMYCHLQFRVRVAEKACGEAADAILELQDQLDERTRELDMHEELGERLGQF RDFVAEQDAANGDGSQGEERDRGRARAQAGSGAIVAGEQIHEIATLRQQLEEAEIQKE GLESALQTTRFQMQELQIAVEATAKQASRDTDTGEDQLHDLQYRLQETEAELTRSMQK FEQLELESQSIESERRQQIVDLESQLRAQESENAAAVREEAAQHHLKVIDLESRVKAR EKEAAAGAQRIADRNKRIADLETQLRAKERQGTAAKERVAELEELLQAASEKYTRAQD NVRQLFDQVQDFRGNLRVMCRVRPRLGAREDELLEMATAMGAGSNHPQVLKMPKRMAR TAADIETFQMERVFDESDRNEDIFDEVGQLVMSAINGRNVCIFAYGQTGSGKTHTMNF PWNEKNAPEDDEDVDFGIIPRSVDMISEFMRENEGIWRLSVSGKYIEIYAEKVYDLLR EGRGGAPSEVAVKYAKVNGADVHEAESTEVELTDQDDGNFEDKVQDLLFHASANRRTR TTVGNAQSSRSHCVLTLRIVAERVDGRSEAVTEGLLNLIDLAGSEKPSTTDKASQAEG IQINKSLSALRKVLGDMANPRVKHVSFRESILTKLLQSSLGNGCKTMMFVMVSPLKKD KEETRNTLEFAMTAQQAKLKTQAKT PFICI_13960 MSQYYGDSQWLGGAGAGAGAGAGQPTWDHQTPPPARSGASSTHP REREESAAFSQQIEEVDRAIDNLVKSGKMFGGNGPRREQAWLTGNADGRGASGQGSQF GDARDRGGSGGHGPGLQNFYASQRHQSSRGSNEAEQMMQAKRRMAAQRERDLRNYHQE QQYNRTVLAEMSYPGKPERALSPGSNNSNSNNNSSNLLSEDDRRDLITRQRNALYGEG PYENGGYVDETGAPRPGVPGLSTGPSSHRGPSPLAYDYSRGGPDARRESAGSRNASPQ SNPSNNKGPFDSAIHHQANRTSNSSPGGSPPRQGAPGQPGAVAPIGTRPSVSGPSNPA FNKRSTTPLPSPLSQGFNASSSIETAGITSSAPSGPSSAAADSYNGWGSRSGVWGKQS SGLGVQASVWG PFICI_13961 MLSSLTTKLALRKVGLKSDSFDFSSPAANKGSDSKSAFDNDDST AAGGWPAWMSTRKLPLTAQAWLSPVPPPVPVADCPKVGDLAPLDRDRQLSMGGGRRVV VLFMRCVGCAFAQKSFLALRAMANRYQSTGLRCIAVSHSSPEATRKWIDLLGGAWNVE VVVDEDRAIYAAWGLGLGTVWSMFNPATQREAWKEKGWLGEKVAGAITRTGSFGKQLN GNGPVGVATQQQAEDDDGFGPSTVMGNKWQQAGLFAVDGRGTVVWAQKALRANDCLDT ESAVKALGL PFICI_13962 MDARPTTADSKHHYPPHLQLWNRSISSPAVPKLATSSLHTAAIT VSVTNCCTTPSPRPDHPPSAFPTHIDLLPILFPQQNSLYHAQLLQYNKLIAPGRGRGG GLQTTPLPPLLSTPNVQVGPRSRSTSKVSNRDSSRAKPGTQNCHGNRGLQNHHTGKGD RAGITSKDKMPPPRKTAVDLTHPLPSRPAVASSTSPTNLTAAGGHSSSVPSTPHQHPR KFTYESREPSPNAIQGHSPRSAYSETNGNVPSLRPLPPRHGGCRFETAVQKIRRRIPY SIGDEKLERSDLSKIQSRLSEDDERKLTTDMRELYDRLKPTDAVEEKRHKLVQKLEKL LNEAWPGCSIRVNLFGSSGNLLCTDDSDVDICIETTWKELDKPICKLAELLASHGMQK VVCVSSAKVPIVKVWDPELELACDMNVNNTLALENTRMIRTYIEIDDRVRPLAMIIKH WTRRRMINDAAFGGTLSSYTWICMIIAFLQLRQPPVVPSLHQRPHLKKGKREADIAPF GDDLERLRGFGEPNKSTVGELLFEFFRFYAHEFDYDNSAVSVRLGKLMSKSERKSWLL AINNRLCVEEPFNTMRNLGNTADDTSFRGIHLELRRAFDLIAAGKLDECCEQYVFPKE EEKPIFQKPSSAPRPALLRSASHTNRPNNGGNSRRGGRQQGQFRGSNNHANNRRASSS TTYDNNANLAYYPSYPYMGNDGGMFMAVAQTLSDLQLQENQLRFLQYTQNQALAQQQQ VLTHAQRMQGNVSQPQTSTARSRTNSFDAPPLTAPIRPDMWYWQQFQGQPYYASPPSF TSYPSSPSTQQAAEQRRGSHRSSAAHEAGTAASGSALRSHSQPASRSAPSAQVVPGLL AQAPVVNGTANIPTRQINGRPMPSFIPDEGTEVDPGLVASHVPQAEDKLQVQRDLSTS PAGKPNGLPAFGDLGSQGSGQGTQGRRRLSSDQFPQSILDRIKRTSRSPSPLGNRAVT TGPGANPLAASAPQSVTRPTQDARPLVVNGSVFKSSAPVASHKAVTGENINPSDALYE NPLNINQQSTGGTGYSYVVADQAAAATNGEPLVVDRPVVVNGSSPASVAPCQAIEGIP MAYHGVPFAASSSTGSGSSPPMHYQPAGFPRTFVPGQPQSPLMALDLATDRMQITDPH LSPITEVTPSPTASRKFDLPASLPRTRPSLSHSSKDARLEHRPKQKAPAEVTVSAKPE SSSSGSKANGHHVRENGHTRGAKSESDNSIVNGWQKISKGRKKGADGKPRGDANSHSE MPPKNESERKGG PFICI_13963 MAKGSCLCQGVEITTSIEPKATVACSCTSCQACSAAPFTINLVY PKDTLEITKGKEHVKIFKERADSGNDVFRHFCDTCGNAIYTQTVDGTFFVKAPVMKGL HNDPVMHIFTRNLPAWAEDVKTGDRKKDGASS PFICI_13964 MRLIHCREMRLVPFIGDNIPPYAILSHTWREGEVTYEDFGTPAA EFMAGWYKIQQTCKTAWSQGIEYAWVDTCCIDKSSSAELSEAINSMFQWYARSAICYA FLEDVFIGGELDPKLLDRSRWMSRGWTLQELIAPHTVVFFNRLWQPLKTREELSLGLS WTTGIPCEILQRNGANIDDLLRLVPVCQKMCWASKRQTTRIEDTAYCLMGLFGINMPL LYGEGSKAFIRLQEEIIRHNNDLTIFAWRAEVSTTFAGAAYTFEEQTHTSHQMTDAEA VRGLLAQSPREFGDAKFIEPKASAYNQEYTITNKGIRITLINILPEDNSSNFLMNLYC HDRRFNAIVRRVLTIFIRHIGGGIFVRVRPEILHDVFPFKYAESLATDLYLATSVTAA TMASLQTVQYDAIRIPSTAGPSFQLQHVVPRLQIESNSGLFITRGFLNAVGYATYCYS GGRRDQERCDLVVFFGLDQYSTPWYCVTSPDVYPSFNHERWAQEENLKRHSLDAQFRG KSECELRIVDAPGISRFINVKGGFNRIDQIWDLSLEIVSTGRRSGSLVMPT PFICI_13965 MRSVPQPPSNLGRDALGAAHFSGGQGDSQHPSSHSVRPTPQSSA SLPVHSTPNTHAIQAFYNTANQSSAPSSMHDLSRPGPGRGPGQPPQLKSVRSTPDIRM RRMDSFPTLMSSLDAGAPPPPPSSGRQKPRVNPLDVHFARDPSAMPMPLTHSPLSRSP LARDEGPMMMMTTPGTNDMQSVPKALNIVKAPPSPAMTPAIPPRSALRKPPSPAPSAS MASSMTTSVDGDGLFVRDLEKPIMPAAADSRPSSRGSNRNIPSTLRELMAVDEFLCES PVSVPTPPPSLPRASNDSGTRSLSEPEGPVVQTVRAKRETMTVSPAKKRSLEKMIEAF DKSLRANGEGIPRSSSEPRAERPAPLKVKTVLNHTATVQGPSSAPVAPRQRSESPFGR TPTPLGRARAGVPAAAVGAQGRPSRPGVRRPTINEYGVAPIRPVIEIPERGSTPSSLR SPPDSPILPSHGPLSSISPPDSPILPTEGPLSANSPPDSPILPSFGPLSAGSPPDSPI LPSRGPLSAGSPPSSPILPSHGPLSAGSSPPDSPILPSRGPLSAGSPPDSPIIPAEGP LSNPASPPDSPIMPLSGPLAYNQPVASKKDDPPPAAGRSGREPILTTPNEPHGTAWRN GVAPLCPVDTDARVAGGIPGPHDAHAVASSSDSTIAVSSSTANAGTVTGVFGPRPVAS TTGSSVTVARRPAGANSIPSHAASEDSVPSSNAGKDTITSNTTSEDSVPGSNAGKDTI SGNTANKDTITSSTAGTISITSSTAGANPVASRDASSTDAVPVCAGTAPVFSICRAVV QPAVDAHQRAAQHAGQEDRARRPDGGDDAAAGTQPQPEGAAAEGQYGLSHHEKLASRQ ESSYAGIHVKNELIFFY PFICI_13966 MKTFIIAAACFLGYSAAQGSIEKDAEWTVSGLSASSLPGPQGSL TTHYSFTVTTSTQSAQCDATSNQTGAPLGSLPETACDPDTFSFSWTPKGDAGSDGATL EIWDSVTEQFATHDIPADEIHQQPEFVLGSHPYVYNGPSDFIVETQLD PFICI_13967 MRNPFKSTSAAPPAAEITQTISEDDRNDKKATKVAQDVDVSAAA EDLNKIAHAHQFDPNLPREKLEALQKALDHGDADEILEADALFTDDSPYAEVRAAVRN TDGGEVANTVRAWVLGMIFVTIGSGINMFLSMRSPAISFPSVVVQLLVYPIGCLWAKV MPTRVFNTFGAQWTLNTGPFTIKEHVVITLMANVSIGYAYSTDALLALQGKPFYNINL GWGFAILFTLSSQLIGISFAGMFRRFLIWPSAMMWPSIFSNTALFYALHDKSKHDKSE ANGWQISRYRYFFYVLCGMFIYYWIPGVLWQGLSVFAFVTWIRPNNVVLNQLFGGFTG LSLIPITFDWTYVNAYLGDPLLAPVHTHINTLIGLFVFVILTSIGMTYTGAVYADYLP MVTSSTFDNTQSSYNVSRILNSDHTFNLEKYTSYSPLFLAPTFALNYGLSFAALTAVL VHTALFHGKEIWYRFKAARNQEPDVHLEMMKKYPEAPDYWYLILFVITTAMGLACCLA YDSQLPWWTYFVSIILALVFVIPTCSVLAISNIALALNVLSPFLAGFMIPGRPIGVMM FKVYSTIVLGQAQTYSSDLKMAHYMKIPPKTTFWCQVIASIWAVFVQIATMNWTLGNI PDCCTTTQPSKFSCPNGKTFFSSSIVWGVIGPHRMFGPGSIYAQFNWFWLIGACLPVI LWFAMRKLRLGFVRHLNAPIMLGAMGWLPPATPLSFSTWALFGILFNHVLKNRYRGWW HNYNFVTAAGLDAGLIISTIVIFFAITLPGVDIPQWWGNVDVFNTLDAGYNAWLKTVP DGETFGPTSW PFICI_13968 MVGMDRQEIIATNKSLRLIKNELEHLLEKGIVDDATFDSIMRTL PSETSLSSAATPAATRQTSVASPAPSHNPISPPVNSMANLAIGSNPGTSPAPPAYHTT GPPSLPARNGPPPPPPSKPIIAHAKALYKYTAADDRDLSFEREDKIAVFEYMNDDWWM GKNQRTGGEGIFPKNYVEIDQAAEKAGFYAPAQPTYAPQQAGGYPAPPQGQNPYNSSV PPMAVAEGSGEQQNAQGGKGSEMGKKFGKKLGNAAIFGAGATLGGNLVNSIF PFICI_13969 MAAAAGIIDPTKVGKYPVVLSDALLGKKSSEVYTGVRYNHRPDP PPDSAKLRQNVTFKGNYDLLYGDKGNIHKYRGNRTSNENQYVLIFDPNREVFVLHKVD STFNMNLIQTPDNKNADSLKKQHPHLPNSSAEASTTKAKGKTGAGSKTKQPKTAPPKP SKPAAPEKRKNGSDDEEDSDNDDVLEIDFGDTAAPNRDFSPAFPPRRFSEFAAQNEEE EDDADGEDEDEEMSEQEHFPLPSPIVQQTTAPEVETVPNNTISLTFDDDSASEEDEEP TPAPAPVQEQQEQDDDDDMEDDLEAALEAELAGADSESDVSEED PFICI_13970 MAPPWRILAGKTACVTGGTTGIGRAITLEYLRQGCNVAVNHLGL SRDESLRKRLHEEAEALQHEGPKDVPAGRLIDIPGDITDPTTGTHLVAEAVKKWGGLD IFVANAGIFKACEFLKIEKDFFDQSMNVNVNAAFYTCQAAARQLVQQGRGGSIIGVSS ISALVGGGLQVHYTPTKAAVLSMMQSMAIALAKNKIRCNALLPGTIHTQLAEDDMRND TKRKYLEHRIPMGRVGKPEDLAGPAVFLASEELSGYMTGSQVLVDGGMFVHLQ PFICI_13971 MYAPKVGDSVQSLDTPSMVVDLDLMEANIKKLTDKLLPTGVSIR PHLKTTKSAILAKKLAAAGCKGGCVAKLSEAEVIAEAGFDDLLITCEIIGAAKVKRLV ELYRKHRQIRIVVDSALGASAINEALATSGIEQPINVLIDLDVGLHRTGVAAGEPALA LARHVRTLKHLKIIGIQGYEGHLQHLHDRADREQQCLESMRTLTSTAEALRQDGFDMG VVTTGGTGTAEFCVTVPGVNEVQPGSFIFMDTDYRNAVGPFYSNSLTILSTVLSRQGP RAVTIDTGLKSLTTDSGLAECKDARYVYGVLGDEHGSLTWENDGTPALEVGDVVEMIP SHIDPTINLHDFYYAHRKGVIEEIWPVDSRGKVQ PFICI_13972 MTRDEVGPSFHEQVRRTFSVGGSKHNQNGGTSETSPLLGNGATN GTQGHGHSETWQFFFDTHHTPGQESSNVAVKVLANTWHITKATLLSNYVNVLLVFVPI GIVAGVAEWDPVTVFIINFLAIIPLASVLSFATEEISAKLGEALGGLLNATFGNAVEL IVSIIALRDGQYEVVKSSMIGSILSNCLLVMGMCFVFGGIANMRDSEGNGQEQTFASI TAQTTCSLLTLAAASMIIPGALSIVLKQTLPDEELRNSTILGLSRGTAVLLLLLYILY LWFQLRTHHNLFGAEAATADADQLPGPVAEPAHEEENEEAHMNPWAAGGVLIVTTILV SVCADYLVDSIDALVDRAGLSRNFIGLILIPIVGNAAEHVTAVVVAIKNKMDLAMGVA IGSSIQISLFVTPFLVILGWMMDRPMNLHFETFETVAFALSVLVVTYTVQDGKSNYLE GAMLMALYIIIAVAFFVTPSGALDENSLGF PFICI_13973 MATTTDTKNYKFNHSMLRVKDPKASVKFYEFLGMSVVKQLQFPE AKFDLYFLGYDSPSAASHGNSVFDREGLIELTHNYGTEDDETYTINNGNKEPHRGFGH VCISVDNIQAACNRLEQAGYKFQKKLTDGRMKHIAFALDPDGYWVEIISLKAGTKGAD DPNEPKDPSQTDVSKYRMNHTMIRVKDAEKSLKFYQETLGMSLFRTVEQEAAGFNLYF LGYPGDKGIPADGFTAEREGLLELTWNYGTEKDESFKYHNGNDQPQGFGHICVTVDNI DAACQRFESLNADWKKRLTDGRMKNVAFLLDPDGYWVEVVQNERFAGKDNF PFICI_13974 MAPGAVVDDRHHGSSSSSSPSKPRILVPEKVSPDGLAMLASDFE VDSRPGGLSPQELIELIPSYHGLIVRSETKVTSEVLTAGRNLRVVARAGVGVDNIDVD AATAQGIIVVNSPSGNIVAAAEHTVALLLATARNIGRADRTFKAGRWERGKLVGVEVG RKTLGIIGLGKVGMKVARMAVGLGMKVLAADPYASADVARGAGVELVSGGLPDLLPVV DFLTIHTPLLASTLDLLGEDEFRKMKKTARVLNVARGGVYNEAALLKALDEGWIAGAG LDVFTKEPPEEGSVAAQLARHEKVVSTPHLGASTVEAQENVSLDVCGQVLLILQGGLP TAAVNAPLILPEEYRKLQPFVRLVEKMGGLYTQHYVTRAGSRARVGGRRFELVYQGEL AGVSNTRPVFAALVKGLVGPFSESGGRDVNIVNATLIAKEKGIVIDEVHTRGAAADGA APYSSLVTLRSYDGTTTGEGRGEQIIEGYVSGRSIFISKLDRFTSSFTPEGTLLILHN YDEPGKIGGVGMALGSKGVNIEWMQVASLVDKKDGRSSGAGGEEDLGLAKVDSAVAGV AAGGNGKRGNEALMILGVGGPVGNDVLESLKQEEGILDVSLIRL PFICI_13975 MTSTAIFGSTGFVGANILSTLLGIDSISAVHTISRRAPTREGAK LNAHIEADTAKWTSALSAIAPPPDVLFSSVGTTRAAAGGIANQWKIDHDLNVDIAKAA REQGIKTFVFISSAGTEGMLANRVPYSQMKQGVEKTIKELEFEQTIIVRPGAILGERE TPKKGGSTLFHTVINVMPGFARDRLGQDANVIARAAVNAALLARDGKAPSKYWVLEQA DVVRLGRDEYKL PFICI_13976 MPSIPFFGSLFSSSSSSKMSYPDQRTDDEWRAVLNKEQFRILRE KGTEPPGSGKFDKTYPETGVFTCAGCNAPLYKATHKFKSGCGWPAYFDSIPGAVTRHT DNTFGMARTEIVCSNCGGHLGHVFKGEGYPTPTDERHCVNSVSLSFSPEDPVEKSS PFICI_13977 MGENKKQTTAQNITEKATAPVTPESAVKEPVYRHQYYLRSRAPI GERTLPFRIERKTPGGRVNLRVVKPGTSKLAQSRASLIIRQTPQVRIKVIGRWAGVAR LAEERKAGHERTEVPLEKPNQDEDDAEECVEEGDGDAEETEE PFICI_13978 MKTALLVIDMQQYFSDMAEKATPNIKTLQKHFSDQAKPIIYTQH GHPEEDFKRPYKNQLVRKWGVDGSIHRGSPDWELIPWVKQSVGDAPVVAKNTYDAFVN TNLAQLLERDQVDRVVVCGVMTDCCCETTARSAFNLGWETWLVSDACYSVDEEQHQRS LHDFAFGYGPLWTTDQVIDALKKEDKL PFICI_13979 MGRLNIKNINPHVVEAKYAVRGELAVKSEEYRAKIRKGDAKDLP FDQVISANIGNPQQLDQKPITFFRQVLSLLENPLLLEHEDVLINSLGYKSDVISRAKW LLSQVGSVGAYSASAGVPAIKESIAKFLEKRDGFAADPAHIYLSAGASSGVNTLLHTI CAGPDTGILVPIPQYPLYTASLSVLDAKCVPYYLDESSNWGTSLEEIKESYEKAKSSG TDVRAIVIINPGNPTGASLSEGDIRSVIDFAVQENLVIMADEVYQTNVFLGAFHSFRE ILLKLQKENSDKYKGVELASLHSISKGMVGECGHRGGYFELIGFDPEVEAEIYKFVSI TLCAPVIGQCLVELMVNPPQKGEPSFELYDKEYSKIFNGLKERAFALYEAFKDMEGVE CGEPQGSMYLFPTIHVPAKAAEAAKKEGRTPDELYCMRLLEATGVCVVPGSGFGQREG SLHFRTTFLAPGTEWIGSIKKFHKEFMDEFR PFICI_13980 MGSEPQYAKWPLLPLSQHVFTLTNPYAARPAIQASTKALQDAIS EHKMAPLYRYLAHPTEGILNPAGEGTAASSQKSTGRKPSTVGMVATGSSKTASLPWDE DVYKKLKAENDKELEDLQKEEDEAVEKAGDTEVSAARGKRAEIYARVGDKDKAIAAYE DLFEKTGILGTKIDLVLAIIRVGLFFGDKSLVKKQIIRAKALVESGGDWDRRNRLKAY EGLHLLTVRSYNLAAPLLLDSLSTFTSYELCTYSNLVVYSVLAGSVSLKRVDFKSKVV DAPEIKAILGADGEDKILALSGAISAGPGADEDTSMSGTTAKSASAAPKGTTVVNLTT LGSGADQTETEAAVDFSSLAQLVNSLYNGHYKLFFQALALVEEQFLTQDRYLHEHRGW FIREMRLRAYQQLLQSYRVVGLESMANDFGVTVDFLDRDLAKFIAGGRIPCTIDRVTG KGVIETNRPDDKNKQYQDVVKQGDQLITKLQKYGQAVRLRGSERA PFICI_13981 MASDAEDNVRPKKRLVPGTKRKHSQSPERSGGRSARREESEDQD ELPQPYDAKKLEPAKKRQRSTSPSAGARKQKRPGRVARLSSRERDEARRRQEEREKAA AIAAEQAARGAINDVVRQHYNAVPERGRDWRKTDSNIKGLRVFNNWVKSTIIQKFSPD EDHTPGAHEQGRDLAGVGGKELLVLDIGCGKGGDLGKWQQAPQPVQLYVGLDPAEISI QQAEDRYHQMSQRGGRGGRGGRGGHRGGRNQRLFEAYFYPKDCYGTSIGDINIIQDVG FESSNPARGFDIVSMMFCMHYAFESEQKARQMLKNVSGALRKGGRLLGCIPNSDIIGE NVTKFNERMSAKKAEEADGEAPPSDDHEEGEAEQTAEWGNSLYRVRFPGKTPEDGIFR PPFGWKYNFFLDEAVEEVPEYVVPWEAFRALAEDYNLELQWHESFKDIWEKEKDDRIL GPLSERMGVRERGGGPMLVTPAELEVANFYVAFCFYKV PFICI_13982 MSVELQDLSDGQTLAQRDDESHEEQHLPPVDGGPGAWKYLFASF VIEAVLWGFTLTFGVFQEYYSKQPEFEGSSNISVIGAISTSMYFLGAPIASPLVRRYQ HWQRHMVVVGSALCVLALLAASFATSVPGLIATQGFLYGLGFAILGFPVLRMLDEWFV RRRGLAYGLLYAGGGLAGAGLPFLLQTLLAKYGYQTTLRAAAVAQFVLVAPILPMVKA RLPTSNNGALRAVDWSFLKNPLFWCFALSNLSQGLGFYIPSLFLPTFASDLGLAGTMG ALILAANNLASVMGQLSFGYLCDRVGNVLVLVFASSFVSSAAAFCLWGFARSLGAVLA FALVYGWFAGAFVVFWQKFGSTLSHDPQPILSLMAFGKGVGNILAAPIAAELVTRPVS SGYGLGKFQALILFLGASMFCSSLGILGSPLRKRR PFICI_13983 MNHQDPNHLPRDPNGVANSNQQSSQMAPQMASDQYMQSFASRRP AAGGLPQFTLPDRTAVEATPRIPILNGLDGLSPPGSQYSSQNSTSSHSLSSQGGVSGP YGQSSSAWSMANQASPSYTYTHTPSAVLTPGASRGGILSQPPSYTQPAVTQPPTPTTM ALPPLHGQWPLPGHFSQQQQQQRSPHQSIGGSQLPSPGAHLSQQLPSPGSHLSFSAAG HSMSTLSHSPFSNYGSRGHPQSGYQYPNAVLSNMTNPGGQMTVCGGVGAYHPGAPYTL GSHHAAMYPHPHQANNQTDRPYKCETCSQSFNRNHDLKRHKRIHLAVKPFPCNFCDKS FSRKDALKRHRLVKNCEGKAQENNSSSPQSEIPQSELKTEENEYDFNSGSQPPQPPHY ASDVA PFICI_13984 MAQTSTILQGVNILGSVGQEHKRILTPDALAFLALLHRSFNNTR KQLLERRKIRQAELDKGVLPDFLPETKHIRDNATWKGAPPAPGLVDRRVEITGPTDRK MVVNALNSDVWTYMADFEDSSAPTWDNMINGQVNMYDAVRRQLQFKQGPKEYKLRTDR VLPTLIVRPRGWHLEEKHVTVDGEPISGSLFDFGLYFYHNAKEAVKQGFGPYFYLPKM ESHLEARLWNDVFNLAQDYIGMSRGTIRGTVLIETILAAFEMDEIIYELRDHSSGLNC GRWDYIFSVIKKFRQNSNFVLPDRSSVTMTVPFMDAYVKLLIQTCHKRGVHAMGGMAA QIPIKDDKAANDKAMEGVRADKLREVRAGHDGTWVAHPALAAIASEIFNKNMPTPNQL FVRREDVTIGQNDLLNMNVPGKITEEGIRKNLNIGLGYMEAWIRGVGCVPINYLMEDA ATAEVSRSQLWQWVRHGVTTAEGKKVDKAYALKLLKEQAEELSSKAPKGNKFGLAAQY FGGQVTGEDYADFLTSLLYNEITAVGTASPASKL PFICI_13985 MLRSVRVAAAGPARNLLGPRAPRMAAGCTCSSSASASSSIAPFS KSSSLSTPSLRIQRNFHATSLRMANTRTESDAFGEIQVPADKYWGAQTERSLENFKIN QPQDRMPPPIVKAFGILKGAAATVNMSYGLDEKIAKAIQQAAKEVADLKLLDHFPLVV WQTGSGTQSNMNANEVISNRAIEILGGTMGTKKPVHPNDHVNRSASSNDTFPTVMHIA AVLEIEDTLIPAIKSLRAALQQKVDEFDAKQIIKIGRTHLQDATPLTLGQEFSGYVAQ LDQGLKRVQSSLPDLKELAQGGTAVGTGINTFQGFAEAIAAEVSKMTGKDFVTAPNKF EALAAHDAIVQAHGSLNTLAGSLTKIAQDIRYLGSGPRCGLGELNLPENEPGSSIMPG KVNPTQCEALTMVCAQVMGNHVATTIGGMNGQFELNVYKPLVIRNLLHSIRILADGMT SFEKNLVAGLQANEEKIANIMKESLMLVTCLNPKIGYDMASKVAKNAHKKGLTLKESA LELNALSEEDFDKLVKPELMIGPSPYKG PFICI_13986 MFNSESSSSNAAGSVDPYALFEALTGKKISRDALSDASSKTEDY DDLRDISMDNRNGMGREIQPDHRPKEEEVMIGAINPYAMVEAMLGHKLDRRNMNVSRI LSDVLQTDYDELFDLKHRSVLFAGLKLNEQERRAEELKQNDVKILSERDLVTPDLSRV QRLGDLEKLGLDKLEQTKIKEARIQNGKLRVVLEGENIARTVSNRAFTRSMNELATRY RDQKEQRQWTPPNSAWRDIDEVVFQHAPMRLVADVNRFRLGYHNNMFEANSDCNHVSR FDDPVQGATSNSWLIAAIFSVFWADPSQIQRASRKPHRRNENDRGNMQDEDMNRGRRL EIKFHDKGGKRNNADTRTIEVDYEVPINKSSNDPIYCRASGENDIWPALYEKAYAKWI TRTNNERPDITQTAHGDPIKAMAQINGREPHYYFTERHQSQDILGVVRQNSVNFKTIC PMAAFTHASGYMYRGSNLVANHAYSVLGWTNHGERQYIILRNPWGVTEPMGLTAYPGL IDRVEPSFWRPACLLDHEGVLAVEAHAFREYFRCIGVAK PFICI_13987 MFMDVPSLPNIHTQYGNTFQSRAWVTLPAVCNIAAENIRAINTS RDFGVEPIRLPAMKYFCGEGFITTDGEVWSRSRKLLKPSFDFNNLKDIDVLEQEVSSM IKNLPRNGSTVDLQPLLYVMFLHSALHFVLGVHPSDQGTSAPLTAGEFVQDFHKALTY SMFRSFLGPFWALVPKDAFYKTCARAHGFLDHYIDQALAKPESLKSRSLIQSLASQTD DRTFI PFICI_13988 MSYYALHRDPDVFGQDVEAFRPERWATISPTQWEYAAFGGGNRR CLGQSKAIIEASYVLARLSQAIEKLGMQTAVE PFICI_13989 MVYPDQVNSIRCQQELFALTYCWWDVHPSKIQANHGRMEAESTE SSSMVVSQKVTWWHPPREFHKFSKLPMEIQFKIWDIDRSPVRHYLYATGGKGLTFYGA FDCETKSFSPAHHTYSQSSEHRGHLDLQMEQILIPGKVDRTRPPEMAAMGYEVIEFEV FMGRVTTYRPSVHGDGIVNEAGPGYAWVTMKEDIFILGSGEESSGKLACLQNRDSNSQ ESSCFESNHLTTKIRRLGIPIRRGCSWPMFDLGPQWCEADIRTLSKMTSLQEVLLIIQ CDICRWRGRDLADEYGLIYNFEKSLSRCHHIHYEQCTCSSDSNDVFPPQPSDCIFAQN QHGRYKAEMTDRLAKAGIPHVKVNVVIDAELTAARLLNQEQYISQYYPSPGSLLVGNG RLWPW PFICI_13990 MSVSPTPGRAETSQNSSQEQHSVADPKVYLDDPRFSQSFTIPPG PNRSEPLTVTYSDFGYRNPDQPEREHVLLFCGPLMCTRFLHAAKDKLAKKYHVRIIHP DRPGIGGTTKADSQYRLETWLEIVPALLRHLDIAHVSIACHSAGTLYALHTLLHLRHL LHPERPYVAFCAPWILPSHSGVQTMKMTTALPAAVMRQFDGLARFVHSTVGPVVGFSG NLFQSVSSSAGSAKHPPVPGADADMVEYEDALMPRIIDRAYAESVQGMSQEIPLLFKK CGAGPRPDADAWGPWGDLDKYVPMLAAGDMDAGSASSSNQQGSVKLEVDAFFSEQDHM IGTSSGPEWFRQCWNSEGRGDKIEFHSHVVDRAEHDNILDLRFGVAERIFQNISDINQ VGENR PFICI_13991 MDPSAMLPPGLPLIHCVEMHTSGEPTRIIYNGFPKLTGTLLEQR AQAQKEYSHLRRRIMLEPRGHDDMYGAILCRDTELTQSGEADMGVLFMHNEGFSTMCG HATIALGRFIVDNQFNTEILPSERSLRYDAETKTTLVRLHAPCGMVEIQVPSLGEGQR ADTTRLVSFTSVKSFATGLNITIEISPEFRWPQLGQRTSVTADFSYGGAFYCLITPEE LGFPAGLAEPNKSQMGFATTMLKAAANSRPELRKYFQHPDATELGFLYSIMLVERIGA SSDDAQNSVGEETGLCFFANQQIDRSPTGGAVAARVALAHAQGHRNTGERWRYQSLLS RHHGGLGSFAGTIIDKGHLPAKDDGKEPISFVRVKVEGSAHYSGYHAFVSEDEDVIGR NGFSLIDMGL PFICI_13992 MQQSPESPASQRRVSNACNYCRKRKIRCSGKQPCGNCHKRKIAC HFDDDRKIAVSVRYLQQLEQQAGDKDSQTGPENGQSARQSRLRPSPTPGSSPSRSSAD VSSNPLVSATSSYVRDGSGRFRFMGPSSSASYSTRVFSLLRHHFPDVCTVDSPVNTEN STYKLTWPIIATDHLPDLGDLPSLDYSLYLVNTVKFHACQLLRLFDEDDFVKNLHEFH QLGLSKARSSRLWFAQYLLLVALSKGFISTLRIPGTPPGSVYFQKAMSIMPDYVSLTR EPLLAIEVLCMVAVYLMSVDMQNAAYGYIHQALSLSIIEDLHRDMPPTVMDQESAQRC RNVWWTVYVLDRQLSSMIGAPCSIQDVEITSRLPSEIDGSQRCVIFSINVQLSKMTAQ VINSVYSVKAEYLKMVQVVLRNMASIAQEIKGVVEANRWGTLSSVSGVANRLILLYHH CVVLAIRPLVLQLLSWYLTRSAAAADTQKQFSGPIKKMLETCIQSASHIICTLTTLHE HHLLGSFFFFDLEHAFSAAFVLTIAAVIIPSMIPDLDHEAAIHTIFEYLIRRGSIPAQ LRKHELDHLKSLLSPLSAENATTPGADRGNASAVIDQTLDAETSMSDAEVGLSATNML SIAEQLDASETVTGIEFLSPTYWPWSTADETSIFPAN PFICI_13993 MERLDRTETNSPKAGGEASLNEVDLVTSQKMDRRMLLKTDAVIL VQLVAIATLEFLDKNSLAYSAILGLRTDTNLVGQQYSWLGSIFYFGYLSALPLSAFLV TRMRVGQLVGISTAGWGVCLMCMAACHNFGGLATVRFFLGVFEASILPCFMNLSAAWY KRSEQPLRTAFWYNTFAGIFGGICAYGIATRDAPLESWKIMFLIYGSITVVIGTLSTF LLPDKPARAWFLNATEKVQAEVRLKENQQVSESTNFSFAQCLEALLSPEYWTLVIFAI AQSITNAGVTNFNPLVISGFGFSAQRTTLLATPQAAVAFIAQSTLSILVLYLPNIRCL IWVFSCLPALAGALMIHLVDHTTQRGTALAGVYLMGFYNVSWVMALALATANTSGKTK KAFVSSSMAVAYAVGNIVGPQFFRSDQSPTYKLGIFAMLVCYIIMACCGIAYWGIVLW LNKSRASAQAKTSTPEGHSVAMNDQGKLDMTDRENVNFVYAY PFICI_13994 MTSARPVIEYYFSFISLWSYVGSRRLHELARQHNAKIIYKPIDI LHIFSISGGLPVKKRSVQRQAYRLLEMERWRRIRDIPIVSHPKFYPADPSIAHRVLLA AIEESGHDNPAVQTFAHRGLQTVWADEGNIADPETIVSVADSSGLDGRRLLESAKSQS RFAEEEQALTREAVQKRSFGAPFYSYRNEPFWGQDRLEMLDDVIRSGRDAITLPENLD R PFICI_13995 MSFTQEPIPTTVSERARAQWGLDAPFRHREVMRQWVEGIFTRGD HTGLVSYGTAVELAEYVDSEWVLTLRKACMDKSTDYWWQERFDAVVVATGHYYLPYIP KIPGMLEYDERFPGRIQHSKHFRSVEDFRDKKVVVVGGSVSAFDALHDIRKVSKLPII SALRNPSGAFGIAPFLHPHIDNRSQIESFEPELGRINFTDGSSADDVDVVLFATGYDF SFPFLPGIKSVNNRIPGLYQHVFKSDNPSLAFVGMVTGGFGIRIFEWQAVAVARFFAG RADLPPQDWMEEWDAYRVATRGDGGAFWTLMPDFEEYFETLGCDVLGIRQSTD PFICI_13996 MASLVIGGHAAATADESLNEKSGIAFTDLGVIGDEARSLDPKVE RRVLRKIDWFLMPAMVIGYGLVYYDKAILGSAALFGMTTDLQLAVINSATGQTDTSRL SWATSIFYFGQLVGSYPMTYTLQHFKTRYVLAPAVLIWAIICASTAGVTTWKGLLVQR FFLGFTESIIPTAFMITVSGYYTQSEQALRQSWWFSGTGWFTIIGSALNYGFAQIKSG SLTPWQYIYLLAGGLTFLFGIWCYFLPNSPTDAWFLKPEERLVAVERLRQGQTGLRNQ SIKVSQIREALLDPKVWLVSLTMASGYTVNGAVSGFGPLIVSTFGYSSLESILFQFPL GSVCAIGIPLAGWICSRYRNVRIPLLLLCCLPVIAGFIIIWKSEWGHRPVAPVVGYSL IGFFGPVVSLAVTLGAGNVAGETKKSFMASAVFVAYCVGNIVGPQLIRSETKSQHYPE LWTGLIICYCITILCASALYVLLYRENKRRDQLSLDENERNRNAFKDLTDKENLHFRY VF PFICI_13997 MASNTETVTLEEYVQVPETSENLEWADLVTLDLSDFEQPGGFFY VKGHGFTKAEVDTQFALAKSVLSLTEGEKTPYRAALEQGDYNGWKPAGTRNLIPGVKD NFEIYNIPKFTPEHSQRPQPDAVKQHWDEIGTFSKRIHNDIVKKLLVIFAIALELKDE EWFVNKHRYEKTSGDHLRYMKYYKRSEEENQKLGGVWLKGHSDMGSLTLLFRQPVAAL QVLTHEGVWKWVKPQSDALTVNIADALQFLTNGYLKSSIHRVVAPPKDQAHIDRLGDL YMVRIEDDTDLVPIKESPVLARRGLVENQILGSDGEPVKAGEWVKQRIIKNLGNSTEA TGDNEVGEIEIVRGVRVKYFE PFICI_13998 MRITEITVFSYTAHYSRGVFSMSNGRSSTGHPSLVIRIRTDKGI EGWAKNAPLGSSYLPSTYTGELAALEELCPQVLGLDPRSPAVITAKLDLAMMSGMAAK SIIDIACWDILGKSVGLPTHVLLGGCLSEAPPAFSVVGVDDIPVAVQKAKNEHANGTL ALQLKIGNDPVADAKRIRAIRLALPESVYCFADANANWNLAEALVYARALGQDITVPF EQPCRTIADCAKVAQLTGLPVILDESIYTVADLVEAHAGGITGVNIKLSRVGGMTKAR IMRDTAAALGMSINVDDSWGCALTTAQNLQIASSTPPKLLGAVDCYAEWTHPLIADVP RVQADGRARPSQLPGNGFGAINADLLGEPLLSFIE PFICI_13999 MLPRSSRLQFPLHTSAQVREVHKARPDVIAVAHAHSMGGKAWAS FGKPIEMLTQDACNLYGKVGVYDEYGGIALAQDEGRAIAKSLGKTNTASILMNHGLIT CGTTVDEAAFLFYCLDEAFRVQLMSEAAAANGLEKKIVSDDLARYTARSMQSPHNFYN EFQPEYNLVVYESKGEVL PFICI_14000 MAAAFRFFGKNGYTEGTSGHISVRDPILPDHFWMNPFAVHFSQL KASDMVLVDGEGYVVKGGNQAMINEAGFMIHYEVVVLT PFICI_14001 MSKEAAEKKDDEENGIQPTLSTQPGTYIQGIVHDDVFGDITQGG PNYRALGWKGTVVLMLKTQIGLGVLTIPQTFSVLGLIPGTICVVLIAAMITWSNYVIG IFKLRYPNVYGIEDIGQMFMGKFGYEFLGIMFAIYWITTASSSFIGWSTALNAISSHA TCTAVYVAVAAILAAYLGSIQTLGRISLLSWIGISSILISGQHYTDHSSSISLPLTET LESVLALVISVGVQDRPASAPQSGPWQSDYVLFANPSPAEAFAAVSTIVFSFAGTPAF FNIAAEMRDPRMYTRAVLICQSIMTIIYVTLGIVVYHYCGSYVSSPALGSAGTTMKKV CYAIALPGLTVSITLFIHFTAKYLLLRFLRGTKHLTQNTPTHWATWLGCTVGSALLAY IIASAVPNLSGLVSLVGAVGGTIMSLQPMGFMWLYMFWGEKKTTKSMLWASWAVFVIV SGSFLTIAGTYGALSDIIADYRALGGTAAWTCADNSGS PFICI_14002 MADLNILGNSQVHDLLIGLSRSDIQSIKNEIGESLIQFSTGPEE AYQPEPGIVVRPDGQKVLFRPFTSVERVGVKVIVEPPSRSASAPANLQTLGGQPSALA PIRGLLAVCDKDGRAVGVINAEEVTGYRTSLSAMIMYMERLKTDNIVVFGAGMQALWH IRLALALRGDEIKRIHVINRSPSRAEATVKKLREENQHRWKSCAKIDFLASTHKKYES ELERLTGEADAIFCTIPSGKPHFPASYIKLDETNTKGPYISAIGSWQPGMIELDPSLM RRAAEASIRYHLPGHPEGAVIVDDRKCCLLHTDELIQSKLHGRQTIAVGEILQMKQRA SGCSMDAWLKEGFVIYKSVGVGVTDLAAGNAILSLAAKHHLGTKVANF PFICI_14003 MSHSPANDAQDFGRFLVKGDAQGEIVSTNVPLSFMMGVNAQSGL IQDEHHPLRGTSIQNKILVVPRGRGSCSGSGVIIEMLVNGSAPAAFIFHAREDILTLG VVLAGTMFSKSIPVVMVEDEQTWASLLMGGMAHISTDGYLDINDDTRVHLRNPPDTSQ VALSPEDDNMLCGQGCSAATKLAMQTIVSFAAIQGAASLISVSQVHIDACCYAGKVSL AIPQRLRELGGEFAVPTTCNSLDVDRRRWRELKADPELSEASYAIGDHYLAMGAQMSF TCAPYLLDTKPKIGEQVGWGESNAVVFANSVLGARTHKYPDYLEVMVALTGRAPYTGC HLLEERAPKIGIHVPPIFTNEVDESVFPLLGYHIGGLVGSSIPIIYGLETSKPITPDL KAFGAGFATTSSAPMFHIRGITPEAANYDDEIREVEWVNVKIKDLQITWHELNTARDP SADLISLGNPHFTLEEFSTLANLVRGKKIRKGIVMIITTGRSIYDQAERAGYIGEIQR FGASLITDTCWCLLTEPIIPLKAQNIMTNSSKYAHYGPGISRRRFHFGSLAGCVEVAC FGFRSAANLRPPWLFDE PFICI_14004 MGLQQQIVRLGRALQQQQSVGPVAPVPSDVGQGQHGNSGHENLA QPALLDQHIEHEHDDTLDTAIGASIDHERTVDQGSSMLTNPLAASPSTFLSASNGRTF YLGTSSNWSFTGRILHMTHEHLFQTPLPANSILFDGTAYDLGWGGTRMPSERSPAPPV MPSLDYAIYLLNAVKFRCGQIFHLFDEDDFKIRLHDFYAEPERRIEKAGLWYIQFLLI LALGKALLYKSSGKRPAGVEFFARAMQLLPDVTSLCQDPVLSTEILCAIALYLQSVDY RNSADIVIGQAMRMAFGYGMHTDMPVHHLGEALVQRCRKVWWTVHILDRQITSLMGNP QSIRDEAIHCSLPVFSGSPHRTSALDIHIKLVRIIAYINNNIYGVNGRLNEKFLMSTK TALGDIAGLADQLKQVQPLQLDEPENGASRMSASLHLLYHQCIVLGIRPIIFCCLKIR YEAEVDLGHLITSQKVQSLLKICVESALQILNILENLQAQGLLVMPVIEDRFWDHRPS WFRRSIALIDEMVTAGNLVASHRKADMQLLNELVACLSPAESRTATCAEATSRDSTDH VPNRLHPSTQGSGVSEFLSQASESACPEISLPQQDVVGMNVFGDEFTAEQILALVDSL ENQDAEWMARAMHEDTI PFICI_14005 MIPTNIFPLIIASLSTAVQGTTVNVQHRAPPVPTPSFFVPGVKW QIEIDTAVDTEGTTPIVPQDAKVWDVNLYDETDKDTTENPPDENGIARLRAVQDGTIF VICYFNAGGVEPADEENPSRLPDSVILGDIPLWLGEHYIDITSDIVLNFSKAIIDRGV AGGCDAFDPDNIDGYQEPTLVQRKSDGHVLNETDYYNYVKAISDYAHSKDKLIGQKNA PELLDLSTFDGTSRGLLKDGITDFAVTESCSGKNGEAPWCELMSVYVEANKPVLQIEY PSEWDGTCTPTALSNTANYCNLEGASGFSTILKLDGDDCGLDGYTQYCGMTQLVITPT ES PFICI_14006 MSQSTSLLRKRGVRIALALSGGVGAVILSTRSARHNRRLTDDPA TNAKSVSASGDGVIKTDVLVVGSGGAALTAALRAKSLGLSALVVEKSDKIGGTSCYSG SGCWIPNSHIHADQRDSVEKARTYMETITKDVGPASSPERKTAFLENGPKMVKWLEDQ GYRWTPTPAYPDYFPYNEGAQVGGRTIEANSFDINLIGPWKEKLNINPVRPPLPLFTY ELSKIVRAKCSVEGMWTAAKIFAVRPYWNRLFGSEPTTLGVSMISQLIYLNVRAGTPI LTQSPMKELTVKDGRVTGAIIEREGKTIAVEASHGVILAAGGFARNKEMREKFQQPGI TTDWTSTCPTDMGEPIAAAMKIGAATALMDSAWWGAALWDPVTKNGIWSLYDRGLPHS IIVDKEGKRFANESQNYNSMGTAILKRHETIDTIPAYLILDSTHRKRYLFAGRYMPGA EIPDEAIKSGFITKGNTLEELATRLGINAAGLTETVGRFNGFVEAGVDQDFSRGSSVY DYFLGDPSYSPNRNLGKIEKGPFYASRIWPGDLGTKGGVLTDDRARALRETRRGGYET IPGLYAVGNSSASVMGRSYAGAGATLGPGLTFAYIAATDCAKKE PFICI_14007 MARLSTSLAILIGVLSSIIYLVDRNLDSFYIFKTDHLHDLAKRG IAAHGNDTRAIVDYITTELHEMYPKHVNMKQEWFFNNHGGAMGSMFIIHASITEYLII YGSAVGTDGHSGRHTADDYFHILTGEEWAYKAGEYTPEIYPAGSVHHLERGTVKQYKI PEHCFALEYARGWIPPMMFFGFGDSLTSTLDFPTMWTTTAVTAREMVGNLLVGKF PFICI_14008 MSNADPFLIAAVGPPPPGIDLAEDHRTGGIVLTVILLLFTILIV VLRFTAKGGNGARLHADDYTILVALVMCVAMACINIMAGQAGAGLHVWAVTKDMLNSV AKITFVCAFFWISAVSITKLSILLLYRRLFAANRTRFRMWIDFLGVVVVCHMMVIIVV NLIACRPLTYVWSRYTPGARGTCINQPAYGLIRSITNACLDIVVLATPIPSIWKLQVS VKRRLVICGMMLLGGIVCIASWIRVYYIYRGTKTIDSTWVGGKIATMSFIETSLGIVS ACLPVMRPLFLKLQRNYKLYILSRKTSQGDSSASVTAPASAPKVSHHGHEDTINLTTI ISGSDSSERQDCDRVVVRSEIRQAEAFV PFICI_14009 MWFRRSPALLMPLPAVVQEETGHTIRFCRLRRRNNRRGRGGAQN NYHIGTIHEGFSGVIILGQPSPGMAGGAATAAAAAAPTRAEEDENIEIEEME PFICI_14010 MTVDILTPTHPHTIDGVHLHVNDGLLASNEVDKLQPSYPSEPME VLRERYNKNGYLLLKGLLPREDVLSARASYFDSLSASGVIEPGSAAVDGIFNSSASAT DYPGIGAGSVKGNARPGETDKSEVFVDLALKAHTDDWYCGSEDGKTRGFCNHPALRDF ISRFSGWGDDTLAVKRTLLRNNTPGNKAIGVHYDQSFMRYGEPTSVTAWVPIGDVSLD GGGLIYLDGGEKLGEEIENDFNAKAKAAGMSDEEMRNAFNVNMISTGFLCEGPGDFGR RYGRKWLVTAYEAGDVVLHTPHMIHASTMNFDKGRKIRLGTDLRFVNSARPWDTRWSN HYKFDDGV PFICI_14011 MKPRSGCESCRERHRKCYFPPGATVCHGCKEANRECRAAPRWTF LAASSSHADSPSTPEDAPLPRRSRPRKLQAVGNSRAQSCSKGRRGAPEAAAQRHVHAS VVDFAEDNHVSTVLQHGSSRGARPISKSRVEGAAIEPASAPAIVDTRLTSREAFLLRL YMLKLAPSVDACDESRHFTLIVPRLALEEPMILNGLLALASKYDALSNGRVSDLETTR YHGRCIELLIKAFDRPPSTYDAVLLVAVVISRLYEEYDNDIDVEYHHLRGARSLIDHE SVARSAVQGGLAEAASWVHLRQAIYVSLTMQRPLEMSLNIFESVSMLRRLDDTACANR IVYIFAKILQCLCTPSSRPQDNINASRYPQPDSMQLLRDELDTWFATKPVIFEPLFRA ETTDTESDVFPPLWLLLPIPVVALQYYYAGKILFCLYDHSGIAAGQPLSSYHAHSDVQ AQDAIAKLLDTLMGLAMSNDHVINAFFIPTHMLTICMSSI PFICI_14012 MAVVDEKVGSSHIEGAGDDALEIGEINLDIDEIENTTTGKFTWL VAFAASIGGMLFGYDTGIISAVLVYIGEDLGHPLSSSEKELITSLCSAGAFVGAIAAG LTADRFGRKGATYFASVLFTVGAIIQASAFSIPQMAVGRLVVGFGVGAAAMIVPLYLA ELAPTKFRGRMIGLNNACITGGQVISYGIGAGLAHAPNGWRWMVALGAVPSIILGCLL PFCPESPRQLLFHGKTEEAHRVIQRIFKNATPEMVDDKVRRIESSVSQSKSTLEGRSR WAAIKRMHSDPANFRALVCACTLMVISQLSGFNVLMYYSATLFALVGFSNPVAVGLVV AGTNFVMTWLNALVVDPYGRRRVLVCTVWGMSAGLAAVAVAFHFIPVDLETLELKESA ITTPAIVVLVFILWFCIFYGLSVGNIAWMSTDFFPMEIRAMGTMWMTCCNWGPNVIVS STFLTMMKSLTPSGAFGFYSGVCFTGWVLIIFFYPEVSGLSLEETSEVFQHGFGVRYA ARLRKMRKEQAANQANLAIEKLN PFICI_14013 MKTFQNLAIVLLAALGVSAVPSASDIETIQDAGFTYKGLSEGTI QARSLEARCGENYPPHSDCVIGQCQCSEGYGCYTCGGGRDQCQPGPGSGECWVN PFICI_14014 MKLAILSQIALLASAGLGREAKDSLCSDSLTVQTATGTFTGIID PEFPNTRQFRAVPFAEPPVGSRRWLPPQKLSSPPTEHHYATRFPPSCPQFVTALPSLF NTNLTSGNLIYNGYQNDTSGLVGEATSEDCLYVAVWTPAADAPKPDGGFPVVFFMTGG GLLMGGIDIPWQMPTSWVERSQSHIVVTINYRLNIFGFPNARGLADGDQNLGILDQRA ALEWVHDNIAAFGGDPSRITQWGRSSGAVSTDIHAYAFREDPIAQAYWLQSGLIVDLE LEKDTTYSNFTYVARHVGCDIPCSEGEDVDGTAELDCMRQVPMALIENFIGQYGDRGE TPTLTFTVFPDDRIVFSNYSALSEAGKFARIPVIISNTANEYSSLVSWPVDNLTAGPD QAEVTALDIELGVCRTYLSTVYRTRVGVPVFRFQYAGIFPNLNYYSWLGAYHASDIPI SFGTYRLLDHVANTTQLEIETSDSMQDHFLAFVRDPFNGPQQTMGWLPMDPSDPDGGD LIRFGADGKAVQHIPGVEVDGVCYGVGEYNPFP PFICI_14015 MADTSDFDPTNVNLTEADPTAVICYLNAGGNDYDGRLGARTSAL FVIFVVSTAATLFPVAAKRIRRFRVPLYVYLFARYFGSGVIIATAFIHLLDPAYDEIG PASCVGMTGGWAEYSWPPAIALTSIMAIFLLDFLADWFVSRRYQHESQHVDVQGAICH DTQQPNERLPKILTPSNGVDSLEADDFSLDEDKIQVAFREQIAAFLILEFGVIFHSVI IGLNLGVVGEEFSTLYPVLVFHQSFEGLGIGARLSAIPFPKTFRWLPWALCIAYGLTT PIAIAIGLGVRETYDSGSYTANVVSGVLDSISAGILIYTGLVEMLARDFIFDPARTKD SKRIIFMLASLYLGTLIMALLGKWA PFICI_14016 MASTTYENSGIKSASGPSSVSAESDDLVVAVIPQKKGNRAYRWL RWNFGSVYRRIFAVAFLTNIVILITLAAIYKTRHGYYLTYDACATATSSNLLVSLIVR NEHFVNTIFVLFGSLPKKAPLCIRRVIAKVYSYGGLHSGCNVSATLWYIAYLVLVTQE YIKTQMTPIQICVLAFSYAIMAFLVAILVCAHPRMRSRWHNSFENTHRFLGWSIIFLF WTVVLLLAKASATQQNISMGSAAIKIPSFWNLIFISLFVIYPWLNLRLREVETEVLSS HAAEFKFKHASVHYGQAVRLSDAPLKETHAFAVIPNTPTTSAPASTCSSSDLEKGAVE KKRAGPEGFSILMSNAGDWTQRMIRDPPKKIWIRGLPQFGVIRVAGLFNPVVIIATGS GIGPCLSLFVQSPDHPVRIIWSARSPETTYGQGVIDIVKRADPRALILDTKTYGRPDL VSLAYRMWAGEDGSPGGDAAPMKCEAAVIISNQKVTEKVVYGLEARGVPAYGAIFDS PFICI_14017 MASHQHPNLPLPDYFSKFAGIYVRQTGQSTLNILADVITEHVQT SVLPISADSVVHDTASGPGIGAVALVARLPRNQLPREMLVSDNNAMMVSAAQESLQAS ALPHVECRELDAQLLQSVPDNHFTHSINNFSIFTFTRPAHAVRETYRTLRPGGLAVIT CWRRFAPMFIVHAAQKKIRPDLPLMPTPGPEFFEEGVLQQVVEEGGFAKDNITVVEKA LLVSDGENVAGLTALMSGPMMSKAREGYTEDEEARWAESIGQSVKEEVDQFGGIRFEA YVLLATK PFICI_14018 MASAPTLQRIRAPLQRAAGASFTAARTYATVPDTPSPATANPAT TPARRPTYFKNTTVASLDDFIASRDSALPATEAYTLRTAEVGPASNRRTITRLPEWLK TPIPAGNQNYKKIKSDLRGLGLHTVCEEARCPNISDCWGGDNKNAATATIMLMGDTCT RGCRFCSVKTNRKPAPLDPHEPEHTAEALARWGLGYVVLTSVDRDDLADGGARHFAET ISKIKQKKPGLLVEALTGDFRGDLDMVKIVADSGLDVYAHNVETVEGLTPYVRDRRAT FRQSLKVLNHVKDVRGDEGIITKTSIMLGLGEQEEEVTDALRELRKARVDVVTFGQYM RPTKRHLKVEKYVTPDEFEMWRQRALDMGFLYVASGPLVRSSYKAGEAFIENVLKKRA GEKVATAAESKLGETVVIEPQL PFICI_14019 MVGVKAAIPSQFVSRMEDLMYDFKWGSERPKEYKQQVHWALNHP LNHDHGRQECVLLDVTNDEEETLRSITGSYQ PFICI_14020 MSTESQNTEAREHFKKHGWVRIPSLLSKGRADEILQKLWMVKEK AEARGEESHLPFLDPNPSNVRIFYLMELDKVFRDLIFHPTALDMAATVLGDGFIISNF TANIARPGSQSMALHSDQSLVFEEPWKKVQAMNVIWCLTDVTKENGATLYIPGSNKIV TRDELPENAPELLVPFEAKAGDIIAMDGRVWHTSGANVTKDEDRALLFGYYTSAHIRQ QVNWTAKLPKDIQDTLSPKEKTLLGLDPVANLGLAGDLKYLSQQYPNHKVKSSA PFICI_14021 MPLNLEDIRSGDIRSVRVQPGINSFTEKEHGYLDGGILGKTSPL RVILDTNRLNLDLRKAKAYNGGLQVTERRSYSGVKAAIPSQLAWLLNNRILQHQQNFP KQSLSAQIRDAMREVLAIYCGDGVLFDFTTDDEEYLRRVLATNASDTSLIFRKNPRAF PKVFKNWEPKDTEFTNSLNGFKIVLVDTQKRPLIQVVVGDYEEHQGPNNKVKKITWYK PSASNLPPASPLKRKTGDVQQKDCTNDATTSQDPFKKPKVVDRLSVEVKRSPAIESAR IETLDTKVYGTSIPFPRDTDTVLILLCANVEEIDARKIRGMPGGFEIGPNQSGFASYF TGLSGIRVAVPSELAKFLRNKAIDAANNAQRGGSPRERVTAAVQEFMSEEFSGQCVLM NLTTEEEAKFLRAYDGDDYRSVAFAVRARPASVDSMSVFQIWDPTATTVERAMNGQVT VIFKDYYGETLMGAWVDE PFICI_14022 MPLDLGDIGPADIRATRAPPGTYSFTTQELSYPPSNRSSSEAPS EEPVAPAPEMPSTPQALPRAAALPGTPQGSGTPQLAAPFGGINLNSPSENPATTARRR RFGALLGESPKKKHTFFEELAQREALPCGIDIPGQAQNPGVKAAIPSHLVRDMKDRIV RYNQIRQDISSTARARLAMREVMSGPVGEGVLFDLTSDDEEYIRRILETQQSNMSLIL RKNSRAFPKAFRDWDPKKTEAGTSSNGLRVILKDKQNRALFKVTIGDFEETHARKIMF GETTAGHVPPPSPMKRKTADVQHKDRADMATSEDSFKRPKIVGKFSIEVKRAPSAEQA ITESLDTKIYDNHKIRGVPGGFEVAVNYGNFGGHPMGLPGMKLAVPSDLAKFLNDKAQ GAANNTRLGGSPYDRAMKAVREFMSEEFKEFSGPCALINMTTEEESRFLRAYDGEDYA SVASAIRGRPSSFLSLSLFKDWDPTATVIERHPDGEVTVLFQDYFNRKLMGVWIRG PFICI_14023 MKSAQALIGGVIGATEAATFLPGIPTVPFQSVANGTFSFGDVKT IVVDAQYASSIDEAGQTLIPPTLFEFASVFAEDLGTVINASIEAVNGSSCSDGSVYIT IADPASYLDAAGRESSEGYSLDVNSSCLTITGASPLGAWWATRTVLQQLVLSEADTPS IPIGSSLDVPGWPTRGVMLDAGRHYYPPDFVTDLCSYMSFFKQNILHLHLSDNLYNNP NYTLQQSLDLYARFRLWSDDSAVAGLNLHANESYTEADFDTIQSKCAARGVTIIPEIE APGHALVITQWKPELGLEGDIDLLNISHPETVPTMKAIWSTFLPWFKSKVVSIGADEY TGPESDYNDFVNTMASFIESTSGKSTRIWGTFPPICNNATWTNVYENVSVQHWEYFED NPYYDYIQNNYSVVNSNDDFYIVNKWAPPGGYLNSINLTKTFHGSPDQSYWRPNVFDQ HNDTNNPVASNPYVLGAIAPIWNDYGANASVYSEAYYAWREGIPAMADKQWGGNLSEE AFPGVFTLLHPTIPGQNLERTIPSISEVIVNYTLAQSTNSTSNLIEDISGNSYTAESD CDWTEDSTGGPALAISDGCSVVTPLDSKGRNYTLSLSLLVDSLTDATNATLLYGRDSA LMLTPNITLFAAGNYFRLNATVPEGEWFDLKLVERGNRTYAAVDDGEEMEFLAVMGIN GIYHHWAEIAIEAPLKTLGGLTSGWTGLFRGLSLTSAA PFICI_14024 MGRSRWVPCLTAILSTALAQVYNPLYTSAQLSAVSSLPIDISGL IDNKAFGTLPGDADFDGKQSSYPAQYQPGANLTYGGVQYEFQGNGTYDNFVAQGQNLS FPPGKYSSINLLAAAESSPTEGQITISYKGEPEVLAGVSVPPWWQWAFPPGGDIVLPF YFTNESTNYNKSHIYQRTLWLDSSKELVGLQVPESDVGNRLHIFAATLTPTTTSANDT GTKLEVVYARSTKKYAEASTTQIYEVAVSNVDDRAWVTANDSVQVTIESDGVTTTKAG IIKRLRPGDRVVVQVEVENKQGVEPGTVGVATARLTSGAVDVKHDFEATFGIAPYEPT YESIFSHESPDWYNNAKFGIFIHWGLYSIPAWGNTGSNETYAEWYWWNLNGGPDTSDR TYEYHLETYGPNFVYDDFISNFSAAGFDAKEWVDLFADAGATYFVHVSKHHDGYALFD LPANVSQRTSVALFPHRDFIQETFAAAETYQPQLHRAVYYSLPEFFHPDYVPYGFGRW PGGNATNPFTNETLPYTGYVPVDDYVRDLVLPEMQTLASLGTEIMWCDIGGPNLTTEF AAEWYNEAITQNRHVVMNNRCGVPGDFDTPEYATISATQQRKWESSAGMDPFSYGYNR ATPPEGYMNASTIITNLVDIVSKNGNYLLDIGPVGNGSILDIEAQHLRQAGSWIKDHG EAIFNTTSYFITPQEGDDVRFTTTTDAFYILVMNQLNSTLTLTSAIPWIEGDVVTVLG GDLSGTAVPIQEVTVQGQPALQLDISKDIQDADQWTWVFKISYTPNVVDAAQAHVVDR ATAEAIIGPLVGAV PFICI_14025 MVNFQASLALMAGIAAGWAQQVVSETITTVSKTPAAFSYNNDTF LLHGEPFTIIGGQMDPQRIPYQYWRDRLSKARAMGLNTIFSYIFWNNLEPQSGNWTSD DPQNDIAEYFRIAQEEGLWVVLRPGPYICGEHEWGGFPAWLNEISGMVVRTNNTPFLE ETKKYIVNLATTSGFADLQVSRGGPILMVQVENEYGSFGENHNYTAALRDILRESFEV PLYTNDGGVDWTLEGGQVPTVLAEIDGGSWALPARDLYITDPTELGPLLDGEYYTWAP DQWGSYNSHNTTEGHDDYDASIVSDIAYHLGNYSASISFYMVHGGTNFGFQNGAMWQN RTTVFTSSYDYGSPIDETGRTRALYFKMREAILPFTANGSVPEPPENLPLSSIPQFTL CQSSSLFAVRGEKTTAASPLTMEALGQSYGFTLYEYKHTANASVEGQLQAGDRPRDRI LVYKNEAILGVIDSQYQHPLNVSVSLEPGDTLQLLVENLGRVDYYSRGNPYANHLQDQ SKGIKGDVSLGEEVLEGWDMYALQLDTLPPLENCGGATSAPASSSSAAAATALGPGEG AAKRAGAAQTAAAATTTTTDSPFFYRGTFVGPAIANDSTMTLDTFITLPNGVKGNLWV NGFHLGRYWLVGPQQSLYLPGAVVRPEEANEVVVLELEPWQMKQQTNETTVAAGMVAY GTSERVWGNQLDPDCLACV PFICI_14026 MNSTSYPGAAAPPAGVIANVDHPQDLLRTVNYVTQGLTIAFVSF FMGLRIYSKMTVLRGNFGWEDYTTFISYVIMIGYCVCGILLSVHEGGQNIWEVHKDQI EPFMKVAYAATIHYAPMALFCKLSLLLLIARVFGSVHKKTILGIRIFMGLLVAYYVTA FVIKIRPCYPISAYWKGDITKCMDQSAVITADSIISVISDLAILLLPTPLTWSLNMPT RKKLRVLGVLCAGGLATAFSILRLGLIIAEGSSGNTTMVFVKVVLSGNAEVGIGLICA CLPACNALIIRRNQRYYSEAYSRNAYHRSKGGDNNTANLTNRIYVQRDFHLEREERGH SGSGSGSGKEIGITAFEMHSDDTQLVTHAQADPGNDAWSNKSV PFICI_14027 MFKRLVILFFNLLFPPLAVFLLTGFDADFAMNCVLFILAVIPSH IHGMYISFVYFSRKNKVRKGRWPGKRRAFIYSEKVQRGGATQAQLDEITAMEKQRAQP KSARKVQREWHRSG PFICI_14028 MSESDDSDYSPLTSESDDSDDYRPPQVPGIELPLEYTLPADKRF SHGLHDFQQRPRLVQREISILRVINEITDKQDWYQGVFDDEVVLSWKADATQDPLIND TAWDWCVAELQDKAHIYEEKQHVLVLDVASRVCKSDAVVPGSIRSLMKAATDPLRLEA GKTKMPEDQTWYNSQVIDLVNPSFLDLSFGNTHVFWWGGKTELDNLFGSSWIDCVEDQ QDYYDRQDPCLGYLWNCWSQWLPSEVQFDDGTATGVRITSYINNLHPRNKTLYKMIEQ VISLAIEHWNDVLVFGQNGRTPPRVKMSYSFDPPYPDWAENYAKSQDRDWKRHDPQRY EQVRKKVREYMNLPDGYNYKNEGGDVEHDPLLNPELDPRQSNPGIEPTTDPGLQDKIE QGYFDYIDDHGINETVMNKWKRIRSLVHPDAGDTYNYNDWKRGRLSNHIDNCTHHEQA THDYYTVDLRKDFREQGLQVVVKLSDIEVSPEKPECKGEDWHLASNLNEHVIGTAIYC YDCENIIEPCISFSTETRPQEGEVGNKELEMWELEQITKLFGIEKDLLWNVGVQELGS VAIPEGRLLSFPNTLWHRREPMRLNDKTRPGHWRCLVLYLVDPYYRICSTRNAPPQQQ QWWAAEAYHKIDFSKFPPEILQIIMDEVGEGWPISSTRDGSRFASRSEYDNSRYYKIL KRGIDPSTFPESTYNARWNCHEYNEV PFICI_14029 MAAIIDEKAPRTDAPTDSFEDTTSGSDRDLDEAYQAYKATEQLE ATESEAKKVLRKIDVRVVPVLFVTYMLQYLDKNSLNFASVYGLQDGTNLHGQDYSWLG SIFYFGYLISQFPSAFLLQKLPIGKFVSITTVLWGIILMTTPACTSFAGIATNRFLLG FTEATVNPAFVWIMSIWYTSAEQPLRLEAYYCTNGIATMFGGLIGYAVGHITTGLPRW MYVFLIFGAISTAWGVVSFLILPDTPATAKFLTEKERAIATGRVSKNRQGVKNHHFKK EQAIQCFKDPKTWILFVMAVGAQVPNAAITSFTSLIVKSFGFDTLGTQYLQIPGGAVQ FLALIIGGFVCTKWPNNSRCITMLVANTICILGAAMLVGLPSSNKWGRLVALWLCYFQ GLGFSMSLTMVSSNIAGSTKKQLTSAVLFTGYCVGNIIGPQTFIDSEAPGYHSAYIAM LVGYVVKLLSIAVLYLYMWRENKRRDAAGWTDEKAAVEAGMHDLTEIDNKGFRYSL PFICI_14030 MSFTSFVDALRAGKKPQFPSNAVSAEYAAQMDAQDELSRFRDEF IIPTKASLKKTALDGTIPGVSKVLNGTSNGTNGHTNGHSASDADAPGIYFCGNSLGCQ PKAVRKYLEAQLETWGSIGVHGHFNSMSNSPLVCWQDMAEDCAKKSADIVGASPSEIV IMNTLTANLHMLMASFYKPTEKKHKIILEWKPFPSDHYAIESQIQWHGLDPAKSMVQI QPDENFYISTEKILATIDEHAEETALLLLPGIQYYSGQLFDIPRITKYAQEKGLVVGW DLAHAAGNVELSLHDWNVDFAAWCTYKYINAGTGSIAGAFVHERHGKVEFDPETGKPV YRHRLTGWYGGDKSVRFNMDNKFLPTVGAGGFQVSNPSAIDLAVLSGALSVFGQTSMK ALRSKALVITAYAEHLLNEIVASDNGAEPALRIITPANPLERGTQLSVLLRAGLIDRV AESFVENGIVCDKRKPDVIRVAPVPLYCTFSDVFKFMETLKKVIASS PFICI_14031 MPALIETCVPATSTQETISRKYDVTQNGFLPSSAPLRRLTDPYY ALWELLAEKLPELLERKLLRYIVDSSQVLSTDRLQSEEEWRRAYVVLVFLAHGYIWGG DRPSEVLPPQITVPLLKLAEHFDLPPCATYAGLVLWNFETLNNDSDFSDPDNLRAINT FTGTESESWFYMISVALEAQSAWVMPLMVDAIEATHSRDYAKMKKGLEQIIIAIKKMD ELLKRMYEKCDPALFYDKIRPFLAGSANMEAAGLPNGVFYDEGDGKGSWRKLMGGSNG QSSLIQFFDVVLGVEHTGGADKASVPKVCPVSGMATEESTSGCPVSAGKEKNMGYHEK VRAYMPEPHRRFLRSLARMGSLQAFASTPQPDAEFEQVKELYQLACKTMGDFRCTHIQ VVTKYIIIQARKQPVGETLNLAKASSASDGSDLKGTGGTSLAAFLKQSRDESYEAGRV PDQPAPGVSSEYAVM PFICI_14032 MTRTPSPRRKGLLTLFSAAQSLEVAEDSKEKGNSRLRQQLDQNQ KNMDQSPREPQYVRVRVANACDSCKIRKVKCDGKLPCSYCTRHKRAQTCHFTPQKRRR LNSVPSPASDQEHQPKRLQQQQQHHSHHNDNDTTTAPSSSAHSSAPPDAAAANPYHPA SSSTQSRVVATITPAPTTVGSAGSALRDSSVEEEAEVPRDARLLYDAQGKLIFIGDCA PLSFFQTVRQLVLSRIDPHAFAHHTGRVPVLQNVPPSHAVSSGRQEPYVDTDTVHSIV NKYLAVTSGLVDLFGSASLVDDISTWSDHKGHDVHAAVNYLVLAIGLQSVDDSLASSY FEHAKVLALATLGSELSVGTVQAFTLITVYMLRACQITGAFLFFGIAVRAVYSIGAHR TEVNSRFGHDIRRHRDRLWKSVRIIDLFLSISMGRPPATSDVDCTVPYRELDSEGHEI FDLLDSSVQVLLIIETIVLEVFSRKKISLQLTEGISRQLRKWSNRWLAELKRIVGTPP TQEDEAKIVGACHVLCSYYYAVMLVSRPFLMYEMCKRLPESPSKFEAAVRDSGSSGRS KLANASIDAGSLMIESVADLLQIGVLDGCMPLIVSWLFAASLVVGVGLLGDFGRILDK DVRMSIAALEHFAKHDAHALQYSLIIKSLHISATEYLERKERYERLQISESSSQLFGL TPRQPREPSKATPRPSQDNNFHSPAANHNTVASSEGADTVRDLSSTFFEDLDPSIFPF IHSSSHTPELPVTNSMGQNQDQVFGALNLFPLLEEGGHIDLAHYM PFICI_14033 MVSVSGLELVPQGTAYGLLIGLGVLFCAVILVAIKIQKAYLSED SGTSEMFMVANRSVGAGLTASAVFSSWMWINETVFSAAMCYRYGLAVPLWWGSGLCFQ IALMAALGVLAKIRVPYAHTSLEIIRMRYGKVGHIVFIVLNLVNNVFGCASMILTGSQ LIYGVSGMHFVAATILIPLGVVLYTAVGGLKATFLTDYLHTLVALVLIIYFTLSILTH DAVGGLYGLYDKVMATASENYISGNYQGSLLTMKSRDAIIWGLILKFGNLALVVMDTA FWQKSFATEVNATVPGYNIAAVAIFGIPWGLGTVIGLTARAIHNTPIFPTYPGEFTSA QVNAGFVMPYTVKALIGDKGIVAFFVLLFMALTSTVSSSMIAVSSILSFDIYKTYWNP KASDKRLVKVSHIAVVIHAIFITAVALALNYGGADMTWIGYFRPVIACPGIIPLALTL LWSGQTRLAAVVSPILGFLTGLGIWLGSAKALYGVVNMTTTEASLPALYGAIGSFFSP ALYSVLISQYGPYKFDWREFLRIELAEEAQIHTSTPESTPSLTDEKNAEDTRSPSTSK VLGVAGADTPDAKLNSNTEAPLSRTAEKHAGSASSTQVSLDDIQHPFDQETLRELHRW QRIAWIAFVFIVLVTFVLWPMPLYRDYIFTKTFFSSWTAVAIFWQFFAFFAVVVYPLY DGRYEIAKGVRGVWRAVGGTWGHR PFICI_14034 MAENAAKSNGDGAPVKKQMILNAFVMNAPGHLAPGQWRHPQNKT SQYKKLSFWVELAQLLDKANFHAMFIADTLGPYDVYKGPANVVPSLASGAQFPVNDPL YLVPAMAAATKNLVFGVTASLTYEKPYALARRLSTVDHLSDGRLAWNIVTSYLDSAAR NHGLKEQIPHDERYAIAHEYMEVLYKLWEGSFRDDAVIEDRERGVYIAEYAVRQIHHK GKYFDVPGPHFCEPSPQRTPFLFQAGVSEAGNNFGGKHGEAIFIGGQTYETVQKTVAS IRDVAKREDRDPNHIKVVLGIQVIVAATDEEAYAKRDEHLQYADREGALALFGGWTGV DLSGYSDDEDFRVIDSPRIQSIVRRWAATVPGTDNLPWTKQRIVEYLSVGGLQAKVIG SPQTVADELEKWIEFSDVDGFNLAHITNPGTFEDIIEFLIPELQRRGVFRTSVEKEGA TAREAYIGTKRLPEDHPGSQFKWRAGEKIPKYQEQGQAETEVDASH PFICI_14035 MDYEPRQQRHNTSCIETGDNTGLYNEKLNSPSCYDDDEDKKLRL PLESQDEGTRQTQYPQTINNMSSPRCVARVDNHTMGNSLPRYLEIKVHQAILERVYDG ITVIGLYNRSPPSIIFDGEKKGKPFNWQRPAAQVIDNHLYIECFPGQDHIEHYAELIS TYLGIRQRLGDVLTPPSKVFYQRSTIPEIRHALHSTNLRDFPKNIDTVVLGHIDRMER LTGPLTWTSSGAFDWAIQSFGQRQVAFLGCRFCYWGDLGGEVVHYLASQRGAREVIYQ GKLGGIKKGVRPNSWLATGSRSYVHGRIVEWDNMLAESVAAAGQAATIVGTHLTLSSV LHETKDWLASLPSDADFVDPEIGMMALAAKRNGIRFGYLHLISDNVAEKYEEDLSNER TDSVLSRRVKLYEVVHDVLRHYLNSPPRTP PFICI_14036 MHTMIQLNGDAVSYIRTIWLFTYSDMKTIIFPQSILGTILASAA CLFQESVVAHDAWDLFLYRYPLALFWTWSFLIPFNIFNQSSEDAIVEDRINKPWRPLP AGRLDRRRARIMMLVHYFIAIGISTMIGGLRQGLLTIALGIWYNALGGADDGFIVRNF INAAGYLSFGSGAMEVALGEPLALNRALLTWMAILAAVIFSTVQLQDIFDVEGDASRG RRTMPLVIGDAATRWCSALMVLISCVMCPAYWSLDWSGFVLPVFLGVTIAVRTLTYRD PGSDKRTFLMWNGWLVLIYTLPLVRYHATGCLVEHGHGL PFICI_14037 MAVPNDLMTSRASTILSTQAFEEFYKNNLSRPSSSQSDEDSLAF DQESTDDFFDSILPGSGSSGPIAPHRQHEQESRVGTGNSGNSHGGKNQPKQFSISNFG LRQDAQSVGDDGEHSFGDMPPMLRSGVDHARSLSENSTSVPGKSSSRTATSIPTRRSS RARGESTGSSANFSVRGSQSSQRQLQISASEIEAARRVLAAAELNGYEFPQGSRKASV QTERSATPPPIGRARRAGDSGSDSDTAHSLRPIAPDTSFFLQNPSSVVTRRHSHNSLA SHTSQNKSAARAHSIIEQPQFGAETVLFPPGTRDISRPRSRKEMTTDNDQGHVDGRLF HTQFSMNSRNTNSIPRRGTVATSSSRRPGSSSTKRALHDDSFFSPTDNLSKQHSIMSS SSSATLPEFFSYEIFKTVLSDPTTAHQLLKFSRTRLCSENIEFLNKVDEYQKALLHLS TILGSIHKGFISSDSTRQLDVPHELIRSIQRDVKALAGRTLPGMEGLFADMQARIEEL VFEDVYPRFIRHQLALSATQALASDRHRYAGLGDCFCLTNPSKADNPIVFASDGFVKV TGYSRPEIIPRNCRFLQGLQTDRTPIERLKQGIADRRESVELILNYKKNGDPFWNLLY VAPLYDANGKLSFYIGGQVNCSTTIHNNADIMRVLSSPTPGDMDDPETSSIQSPHNHK SPASTRKAFLKALGVRVDQAKVSAGPPGMEQDVLNRMEGQNLDVQMKEFYTAYSKYIV VQANTFMIAYYSEGVVEALNPVNNTGLVAGQDVFRFFKQNMISKETDYRSRVRSAIRA GSPISVELRLQTRRSAKFRGDEVFNTHWTPLKNEKAETHWVVIALASMIQ PFICI_14038 MGRTEPSKGLAPPSFRRDARAKKAKATLNKAIPALLSSHPRAKR GTEASELIVEPPARKSASNAPRGGERNGAPLPPNDEQPGLFLEVADTLAVARSLLRDQ ETERDDLSNRVSRVAILNMASPLSPGGGFVNGASSQEESLCMRSTLLPSLKDEFYRLP ELGAVFTPDVLVFRNESGDDLEKRDRWFVDCVSAAMLRMPETEVNEESGRGRYVNSSD RDTILQKMRIVMRVFQAKGARKVVLGAWGCGAYGNPVGEIAAAWSKVLLGGKNKAKAK KETWSGIDEVVFAIKDPGLADGFEAAFGPGLTRRAAEDASEEESENDDAEAFRLKELQ DKISELEQRMAQTANAQVKSGLGGILAGLKSQLGGVNDKDAPTPDRASEASSDEAASD QDSDVSSPQPGDEEEAEDGDHDNRR PFICI_14039 MTLLQHIRIPSTAPRFPTYALAVELQTHLQRRLLEHKAAAAASA SSSSTTTTTSVAPPPSLISFTPPPTYTLGRRQSGPLAPAEEQRLRAPLRVGPHSQYAP EVVSAPRGGLATYHGPGQLVFWPVIDLHSPHHRHFTVRDYACLLEKTTIAALARVTRR SGSELKGFTTDNPGVWVRHSASGLLRDTAAGAAPPTSNSPSAITTAEERKIAALGVHL RRHVTGLGVAVNINMPVRGPEASNPWSRIVACGLEDKGVTNLVAELYGADHGGEEHSY SATGQELQDELRQAWADEFTERLLVKTDSLRDYQDETLAAMITSFENRAQGLESGNGF NSGLEN PFICI_14040 MFQPNPNRIPLFYQSPASYGVNVGNANLPEYTFAPSPMGQGSGG SGSSGGGSSVGGGGANNRSPGLKSGAAAATSSSSSGSTNTTAAASTALTSPIFSSLYD HHNLNHSQQQPQQQQQQQQHQHQHLRFQHHGLPSSPDPPSYHHNHHQKQEQNHQHHPG YATSYDQGSYNVKMEAPSDLAQQEAAARDYQPELKGPYVSDKKSSQAITEEYAKANPV YVAKTVALPLTYTTYRQIQGDGNCGWRAIGFAYFENLVQCGDIDHLQTEFARIMSLNE FIQHTGGFDLYLFEDMVEPTTTLFEEVIKALDQGQDAMPIVLDMWNGPASDGMIYHLR LLAASWLKGNLPQYEAFITGDATTYCDQWIMPVNKEIDQIALDLLFNVFLKPADIVLE IAYLDTSPGTEVNTHRWPDEAKSKDPAELGFMINLLYRPDHYDILYREQVLAPQPAAP PAAPASVQVNRVSSLSHHHDIQSNVSSLGAFSTMNMGALSMIPGFGVGGPPPSSFPPL GSPPISPMESAFSPPAQTSWIPQYTESPAVAQSPLSPTHSVPSQPSPQPQTQDATSLT SLRFSKHMFPVPGGAEASPQPPDPAFQVQTNIFKQSYYNTAHYNNPHFQPEEYKPDQD EDAPTGRMANRKKSSS PFICI_14041 MAAVGQHWHPAALGTQSRPCGTIAGVSLQPLGTTGEQTLQSFSR FRLLTAELRVLVWKFALTNESDERLVIFDRYDMRIIPTPHLISPLLFVNWETRVLALK HYNTEVAVYHMPDTQTMINSGHGGLVMSDAAIQHFGAAENEYGPKGCIRLRLTSDTFM VGEKNTYMDCRHEILSRRTWVGALRDGNSPRIPLGHEMAVSARISRAQLSLVQRVIKL DYAETKMSPSCCHRHRQMFFNYFCGLQVDVLFVHAEACYLFFDAGEAWHTLSKVVGNL FDHLSRYSFKNILTIYGQDMCVEDNIDKTRDTWMQPPVQTIIPAHSESRPLHLSRLHW EQYPASFCPGRFSEKDYIRQQVSKADDSLFGKGAAEDEFRQKIAQTRPDSFFQFPSFV SYMETNNIPAHAATTGARMAAYLQEVMDRTVDQQLLLQSRLDSDSEDDNDLDSEDDDD LDSDDDDDVDMDSGDDDDLARPRESGRGAIQT PFICI_14042 MKRASWLRRRFQLVKGRFENMFKRRDSAVVLDDAVDAVAAVAQH RAETEAKLEGKIEPLVPSSSAARNGPPLSRRRRASAACDSNASARDSLRDSRLSFVGQ QFAISAVTAGCGQGLGWSAPQQQADIAAASAAAHEGGFHQDGGESNGRPVFPRSTKWR SRFRYSMLDPSMGLGEAAASHHHDDDAAFSEQANYIRVIMESGNFDLQQLSLHGASID DPNFQTALHAALESFPPGMAAQIIEAAELYHDPEDELERPVSSLKKSRFSIAVASKTA WQDIRRASNRWSRVSPRRDSDIKMYRYTKPRLSRRVWSRLSFSGKQTGGYEAY PFICI_14043 MEEYTNGHQKAGPTEMSSDEALRKMKTAGSISLTPELFEKLYLQ PQNKVKGDLRRTFANPTPIAIIGFLMCLGPLSCDLMGWRGAGGAGAANSAVFFFFGGV LMIIGGVLEFFLGNTYPCAVFLNYGAFWMSYGGTLLPQFNAYAPYAPADATSPAAGLA TQGFNASFGFFILSLGIVTFFFLICSVRTNIVFVVIFFSLTMCVGFITGAYWQLAQGN AVLAEKLLVAGGACSFVTTAGGWWLLLVQLLAAVDFPINLPVGDLSTVIKGASDRKKL DV PFICI_14044 MSDDDFMQESDDEQYDFEYEDDDEEESGDVDIENKYYNAKQMKG SEPEDAITEFLGIPELESEKGDWGFKGLKQAIKLEFRLGKYDDAVEHYTELLTYVKSA VTRNYSEKSITNMLDFVEKEAGNGQAQKCLEKFYSLTLDCFQSTNNERLWLKTNIKLA KLLLDRKDYLTVTKKLRELHKACQREDGTDDPNKGTYSLEVYALEIQMYSETRNNKQL KALYQKALKVRSAVPHPKIMGIIRECGGKMHMSEENWEDAQSDFFESFRNYDEAGSLQ RIQVLKYLLLTTMLMKSDINPFDSQETKPYRNDPRIAAMTELVDAYQRDDLHQYSKVL QSNQDILGDPFIAENIDEVTRNMRTKGVVKLIAPYTRMKLAWIARQLKIPEIEVQDIL GFLIVDGKIDGKINQQSGTLEIESGGDAERVKAIGNWATSLTSMYNTIFEGDGLKQIE SQGPETIETVKKKMDVMLDPSMGMKRGERTARRAPQF PFICI_14045 MGGGDLNLKKSFHPGLMRNQVRVAEEEAKALAEKKKTDQRLKEI QEERQKEELQRQLEAAGGKKRVDRVEWMYQGPTDGQNGTTEELEGFLLGKRRIDNLLK GNEQQKLEKQAGQASFMALQNANTDRDTAMKIREDPLLAMKRKEQEHYAAMMKDPARQ RQLLAMMGKSEEKSSRREDRHERRHRHRHRSQSRDRDHRHRRHRRSDSRDRDDDRDRE RRHRHRRSDSEDRTPRSRSPRTSRTEEDRYKSKRDYSEERSSIGRRRNDADEDRRDAR DNSGRRRDETRDREDGYDRSRRRDDYRDNRNGNERRPRGQQRNGYQNGRDSNRNGGGY GGKPDDSSAQEERARKLAAMQADATELDKDREERLAALEQRDRLAHEADNKARERSGK YGDQEFVNGLRKQALNL PFICI_14046 MSSYPQEEDHSRHLEYSQGGNYAADFEYSRDNGGPAHAEYPRGD GDPAHFEHPRADGDPAHFEHPKEDSHAAYSLSPQDSRAEYSSNPQEDSRGVHFKNPQH EYYATNGEYNYNAVPFRRAFEVVHYSDGPWTEEAEEAEEAAFSQGFPSRDGKRVYMPW NELPYHTLGIEHRQPPSSDEKDKETEASQPAET PFICI_14047 MEPRDKTYETVSTMEDREELLSNTEVEDSLMGDEEKQQWRNTSS SHKLLGHDLRRKRDAMRSNRWLIGTLLQVIIIALLTLILYRQQQNVRPPSETPQVGGD VKGKGPTLDTMIVKFDADASFVPWNTTELMSDGVLEQWKTMFPSGTGWGTDRTTFSTT TMTHQLHCLFMMTHIYAGLATNNTASLPHDYNSHYLHCIDYLRQGVMCAADLATEPHK PTDSDDNGPGDGSWGGLHVCKDYGQVTEYLEREIKDGNRVVLPVDD PFICI_14048 MPLCGGSKNVQRKLVLLGDGACGKTSLLNVFTRGYFPTVYEPTV FENYVHDIFIDNVHIELSLWDTAGQEEFDRLRSLSYDNTDVVVLCFSVDSKDSLENVE SKWVGEIQENCPGVKLVLVALKCDLRENGEEDEEAPAPAPDGAQREKKPMITYDQGLE VARRIKALRYLECSAMRNRGVNEAFTEAARVALSVKPSGEGDSKCCIM PFICI_14049 MDFFRGCNAGGLVGELSSNLQNGKSLWGTSANPYLPSWIQDNGI SSQRSPWGDLSSFDNPYYAAPDTGMTRYYDWTITRDYIQPDGYNKSVILVNEQFPGPL LEANWGDWIEVTVTNNIEEVDEGTTIHWHGMLQESTPFMDGTPGVSQCPIAPGSTFTY RFRAHLYGSSWYHSHYSAQYSGGLFGPIVIYGPLNAPYDLDVGPISVGDWWHDQYFEV VENIMAPNFTGRSFSDNNLIQGKNNFDCAGIAANDTTNCTSNAGVANFHFQSGKIHRL RFYNSGSQGIQRISIDEHTMSVVANDFVEIEPYNTTVVTLGVGQRVDVLVTANAGASD SSFWLRANLTSCSGANQPNAAAAILYEDADADVMPTSTPWDVPDPGNCANDVLENTVP LYPIPLPEATFTQHLNINTTVNETGHFLWTFGGVSARVDFNNPTLLQVMDGNLTFEED LNVINYGSNSSVRLIINNPLPAPHPIHAHGLNMYILADGDGDFTDQALVRTDNPMRRD VQNVRPFGHIVLQIETSNPGAWPFHCHIAWHASAGFFAQMVFQPDQIPDIELPDSIRE GCDAWNAWTSGAEPNQIDSGL PFICI_14050 MPPPPSTVSHGAHGPSNLDKWLSTVKMGAMMGGTVGAIMGFVFG MRHTRPSPPKTQSLTKGFYTGTVNIFRYGAGPNGIMRTLGQYMAGSGATFGFFMGIGS VIRTDASPMANQAFLRAQRRPYVMAAPQQSFRPRGC PFICI_14051 MTAVASPPTLSQHNRPAWGMNGHRGVQSVDAMNSDELNRMFMPR KSLQRNNSSSSISSNSSNTSTSTVVGPNGQPAQPNGTANGAPLSIAGAGDLGSWVQPA PRKRLQQAKGPWPPAKPETQPDFARMAAGRPQLMGMNGAGSMQPSPILQSQQQMSTQQ GIARPLGDPMPQGGQPVLYLLSLNGTFERKTISVPFSPDTLRIGRQTNAKTVPTPTNG FFDSKVLSRQHAEIWADRQGKIWIRDVKSSNGTFVNGTRLSQENRESEPHELQTGDHL ELGIDIVSEDQKTVVHHKVAAKVEHAGFLNTASNLLDMNFGDLDPSNAMMMQHGGGMP FRGRTGSQASLASNGRVMPNNGMMGAQVNGIPQQRAGGFFFSPISTEQIVKRLQNEMR TARIQSSDLDRTGHFISALLSKDDIKDMEKPDGAELKHQAVNGNAVQFMRADNKARFS DPPAPPPQQPLPEKPDVPSLKRGITERPKTSVSSANVSPIRQDGNVSQILQLTEALNS AKKELETQNTRMRDLEDMLHKERHARELAEELARRLEDAAAANVNGTSHNLEQGVAEP TLILDEAFDPPVENVSSPVADTPTGTVPETLPAGPEVENIEASATQLHKQLESMVLEM KDLRQQLEIYKTRAESAEAERDTDRKTLAELALQIRKDNEIREEAARWRSLSSEKEGA DNATSADKAAMVHVPSMKSGTTAGQSTTEEEKDGFTLSRSDTIRPFPTQGGQLAHNQV LANSVPYASMLGVVLLGMGLMAYINGWQPQPPRLDR PFICI_14052 MLQAVGITVSRTQLLERSEPLRTELGAPGRFRSIDDEGEYRDQS LSLADTPFWCPKPAQILDDIETSVAFDPRAACREPLARSRRGTLSEKASLKVKVPEED QRPPVRRERTRTITRRGTITGTLKEIVVPLYDEELTQRQDTDGLPITATRAGYELAKY LRAREFLVVHVFPSLDRVLPDVRCFRTATLVKLVAGLVYAAIALLRTDNLASFGAAGQ ALGEGKFQALARGDEHSLDAGLEILAGLPRLDLLGARKMVFIVDGLDTAVSDKTRDKV KRFENVLARLCSRERAHLIYTLSDSMVQLGQ PFICI_14053 MTSLLIHFSPSNPSFGYEALRVLGYRNYGGADVAETGEDSLAKG NVRRAEEAFLRASNYYRTAEFYRRSAPFEDEISNNLATAFSAAFVSAARLMQYPFEEI SILYRNTTLPGFIFNAARILRHDQPLSSTEGLTVLSRSPDPNKLVLFGWSIDGYLAAR AVTSEHRFAAVILDDGVFDFGSAFRTSLPSFVNYLTDKNWDKTIGVILRTASRFDNGA RWGILNAKWTLGLISEAEVLKEVSKYNLSGLTDMITSTTLVLDAPDDHFLKGQPQELF GRLKCEKKFVSLRSEQGATTHCQGAFSSLHQVIFDYLYEVGVLSS PFICI_14054 MCTTNSGSLISCCAQEIFGSFVKSLLAGVTSVGRVAVEEGPRGL FLSSSMLKDITEAFARNGLGSNEEEALSCILPSMRNQLQSAPIEVALQIAIEALKRNQ IAKHWQSAEAIMRWAWSICKQPPEEVEDHAKDYARLSAMTLGELYRSALMNNTSMEFG KVGITWLLDESYDQSNTCCEAIRCYGNFLDRIDNLHTVEHLSRIEDNEDSTAALLYIS QRLPSMARVQKGEALFSAVECGWVEVVLALLVSGADPDFQGMDSLPVLFYAADVGSAE IVNILIEWGASQYHFRYEPPIMSAIRGGNYPVVKSLLDTFDPVRAWKRDERTALHAAA ESSHKGIAQLLIDSKKFDFESKDEEGNTPLHLAANHGREATVELLINSHRASIDIKNS RGDTPLHLAIGSGHAAIVKLVFDMYQVDPAGQDFSGDTPLHLAAKKGAESVVKLLIDT YHVDPESRNRTGCTPLHRAACQGQRETIKLLLDTYHVNPESRDGSESTPLHLAALFGN KRTIEYLLNTYHVDPNSKDSDGRTPLHKAIESWTEGNAEILLSAAHVDREIRDNKGIT PLHLAMESRIFDRSLRTVRLLVNTYKVNPDIQDHDGRTPLDYAIAAQDSDKVNLMFDV SSEESQARAFRYAAENGYDEIVKVFNMKSGLFLGANNDATE PFICI_14055 MTVMLFKACYIILGGLPLVRADWWDDFSNNLATDLAPLLSLFGE KVTMQYLSESITFLDYLIFAMAPMGILTALVSAIRVCGSPSLRAFIGRAQEGAGNAEA ELCSSTSRNVCELYNNGGIARVFGRPKILEVIHDPSDPNFSQTAGIYTFMDYLKTNNG KSFWKEQGARTDMESQSEDNDSGPFAPNLSLNVGIKRKSDAVFLGVAILGAVLQIGVL IYAGIITYYLQWESENSGSVEYACPLTIIGTLLVCSGVFYCAFLVGESTTEQVYRRSS NDGSRRQGDTKQSVPSLYWLQPGGQVLGDQTFDAFCYSDREQPLPKYLISWKRRSEAK KPEIWAAVSMTTIGFVMQFIGLRGIHATAAVAQLGAIIVMSAARASLRMQRLKPRDNF LVDCPDEVVGYELDWLALRIGRNDIEDESSEMQQRAAHSPADDRREFWKFCGTSKHIA SDTRPSQELNAAAKLLAYRTRLAELTDSPATSPDQASSSRQFRDEMVKIKYVAKQLTQ ALEDVVSMIYLGAFGVNLADADILWWEVNCSVATRFESSSHQTDSASSEISPLHVGLH HFGEDRLVFQKPLEVEAILGLWLWSLVSDFSTETRDKYDHVRISRAFEVPTRRIISTR EEFQDLELWTGTAFHTHDETFAYKDVEFARPSDIFILKQNVSSTYEPLSSNLPRDLGA EDNPRVARYFGWHNIEASQTQNVDFSYDGLKIEMGNKNQTKPTPPKTDSRKAVAFMWF LIK PFICI_14056 MRFSVISLTALAASVAIAHPGEKQVRTDAKIAAAKREFKAGVRR GLEACSGKLSARGVTDRAVARRAATAKKYGRSVEARDTDTVLNTSHLSTANYTLDTPE STLFASSGTCAVNPEGETGPYWVKGELVRNNLRENQTGVPIVIEAQFVDVETCEPLEG IYWDVWNCNATGVYSGLVAEGNGNTADAANLNATFLRGVAKSDADGVVQFESIFPGHY DGRATHHHMVVHLNATVLPNNTLTGGTYGHVGQLFWDQDIIDTIEATAPYNTNTISIT NNSDDRVFSTETTDTTTDPVFEYVYLGSDLSDGLFGWVTVGVNTSATYDPNYSYVWTS SGGVAQSGGTVTVNKQ PFICI_14057 MEALDALGLAANIAQFVGMAGKAVQKTMELALDEKELMQENEEL QLIVSDFQKNLPMLQDENGVHDVASAELKHLAEAASRICSEIDTKFESIKAKRAKKKR LQSFYATWTEMKLREVFQNLNARLAELRNQISLHINIILVQQQASVQSALVDTIKTSQ DYISRLDRETAEFKTHAIIHHQEDDILHWRWLGDSEQLYSLGRSLKSLKPHYESFQRT QKILKSLYFSQVGERRDTISDPHMSTYDWVFKADTANFGPWLEHPEAPIYWIAGRAGS GKSTLMKHIHKHHKLPAGLQRWAGTRKVLIASHFFWELASQAFPDRWKAALQPVETLS QNQWPREELLGGLTNFLTEARDSCVLIFVDGLDEYSGEPDNLIEVVQTLGRLSNVKVC VSSRPWIEFSDAFSESSWKLYLHDHTRKDIERYKLHTLEAHNRFKLFKTQNPEASLEL VSCITDRAEGVFLWVQLAVRSLLRGIVNADDIVDLQRRLDELMLNNISDFYKSRTAKV LLVLAHARVSLPLVTFYFLDSREGRHFDPQIFLQNWPYVNEEEAAEVERKKRQFIAQF KDLINIYEHPTKAALFNFSVGFIHRTVIEFITQSRIQDWLYQRAGPNFNPVLALFEVS LEQFKIFSHFWKLTFLHPFLRNWFLSAVYYAREIEVTNGYSVSQQLNQLQEVLSDDTD KGHFRRPSKFEAPWWRGSLKDLAISVGLWRYANDMAVSTEFEAALEPDLCIELQSDFV VKEVTDTDRDKRRGMDIKRPSYTSTLHMFTAAEADCLSDLRARRLKPIPRAQPLASHP DDGQVGRLSEEDTHNYVLHSVFSRSNSIEIEMTKPKVSRFKKAPKIAGSVKIIRSDSV DCRELERNGSQ PFICI_14058 MPPRTKPSSAEWEHHKITIRNLYLGQDLKLKEVQAQLDDFGFVA SKSQYEAKLKEWGFSKNIPVNTWKHVGHKIEKRKREGKDSVISINGVEISAKRVKKET SRHFYSVAQRLEIERNNAPSPPDLSVATPASTQEVFFMGGWPMSLPWFTFTRSLDAHL SRIHRNPQTFHSELSWMRKKTEQSHLLSESHLGAPNSDVWQIVVDNIKEYAHLSLTHT LLPLTSDIECPAEIQQDMFLQSTSTDHWGLKVLIYLTSNKLLKTSSVEQVWQMINETG IRELAKFSESLHLNDVSLAAALEHLFQVGMKAEHMDLVSWLLDTGMNPNRRIKAVIER AIDNMHLPLIAAMDSTWSPNVKMLRLLLENGASPTLSCCDQHRSPMQFALENHSHDED SEVLDLFLEVAKALNNDPASPSTLHVHHGDETDVNASLSNPDRDNAFDKMEYLVQLIQ HHAAKTPQTSTPLITPEGLIRAVESKNQRLIRIMHKHGLSMNCCDGNGRFPLQAAVEM SEFDDYNDEIDPSGVDLLLDLGASPNYDPKYHVNSHCSPALQAAVNDPYFQCGKIEAI EILCQRGALVKGRPTCGKYDHHTLMDCAFNQKNWDLWQRTEVMLVLHNAGLSLPGSFL LDALELAYVEREDDWQYVFKDMIKQLLGDSLDLSLRSERGWTALDYAVFMNYRLLREF LVEKSVQHTRKFAFKYCLSPKEFSMEELEKVLARVRASQNTKQSRAWLIHHLIGTLRA TDCSDRGSERVFELLHDYSLIARIPDHDAYVLYNVCRTGDTKFIRRTLELLPNTHNSA ALWFLISDSNLWESFSKRRQKTDRFADFLAGTWIFTWAVRRALDAEGDTKALEWFNQH DRKPVEHANLHASAFSIKVLRTNSRKNLCKDADVTQWFTRGLKASCYLGLLVIETGDV EKLSALLDQGFRPNRRIAWSLTALQFAVLRADRPMTLRLLQEGCDVNARSPWRDIPGN ILRKIPYGVLSAFISQYTSTSRRNAIQLAVESGDISMIKLLLDFGADVNGPPSRVAGA TALQIACIKGYIDIVRLLVSRGADINQSGAEYHGRTALEGAAEHGRLDIACLLLEHDC QVNGSFRRQYIRAVGFARAQGHYVLSKTLEDFGEWKTADEDVLKKTDLRDKEPNSRDL DEDLDEEISEVDPDEFKSDSDSAYPFGTDHESDSEDYESDSGEYDMTSGNEVVAQPLN YACQEVMGGPNRLEQPVEQTSPQPTNWEDLLDYYGDDEREVF PFICI_14059 MSTHKALAIHALEQPPAFLERPTSAPKEDEILLKVSIVGLNPHD ASGKRFGTFIKENLPSPIGVDLVGDIVALGRNITGYKVGDRVFAFGNPMSADSTGTQE YCVVPAWQSALLPKEISADEAATFPLNAMTMVFALFHETGLNLHSPFGLRRQDCDYSA ESILIIGGGAATGQFGVQLARLAKFRNIIVVASKARESQLKDLGATTVIDRALDESEI ENQIRKVAGDDLVFAIDCVGRGPGGQTLGVKALSNHKKGTLAALVHRGDVDDSRIGTK TAGYVRNSVLCHTAKYPDITKEFWAVLPSLIESGTLKPTSFQVVNGLSAKEVDTFLDN YSTGVAQLKPHIHIGSTFEA PFICI_14060 MAQTGFLVPEWYVVEAPSEQDMNVASIIWGFSLACACFTFVKAT RQTWYSWKRTQAINTYVVMIWAEWVACVVISVISWLFLKGVIPISFWFVFFLLCLWVI QIQCIMQIIINRIALLMVRQQKARTLKWSVFALIGLINISVFCIWIPARLQISQTYVD VNNVWDRCEKAIIAVVDMGLNFYFIHLIRAKLIANGLTKYNALFRYNLGMIAISLALD IILIGVMSLPNSAVYIQFHPLIYLVKLHIEMNIADMIARVVRASNPHQTGSYDKSNSN NRYGGTTHKMTTVVTTRTGNGNRKLNANGTHVGFDVDSERDIPTDGIKRTIETQVVRE PHVVDDDNQSESSSTRELQKHFAIV PFICI_14061 MADWASTFKKLAPVVVAALATAPVDAQLYNSIVQTSLGPVQGFK YFNDSNITETYFNLSDSTVTAFLGVPFAADTGYQNRWKPAQPREPWNTTFAATSFGPA CPTLYAEDYSEDCLSLNIWTNANSSSDKLPVLVWNQGSDETSDNTWWYGGGMALENVV MLNAEGLELTGYNTSGNYGVLDELEVLKWVQKNIGNFGGDPDRVTIAGQSFGSAQVYH AVNSPLFSGYFHGAISQSGIRYPYDTLLAGLATQYVPMEKALSFGLNYTASHNVTSVA ELRNLSLSDILIGSADRDTSIWNITALSCGNPLIFKPALDGYVLPMKYIDQLKAGPAN DVPLITGNTKDESGAATTTNFTVDEYTEYYTEKYGNLSSRYFELYPVDDNATLGDMAW NAAARDTSLVSSWAYANGWIQSAESPFYTYYWDHAPPGQTAGAFHQSEIMYALNALYA NGDQYPFTEEDLAIDKKMTAYWTNFIKTGNPNGNGTSGDLAHWYPNDGTSNYVMHLGD GWGNHTLAKPEQVTFIMDYFGQQVPY PFICI_14062 MAAFTKTVLLASVLAASASLVAADSSCDTSALNTTTGTYYIAQE NTTIFDVAAATNRGVCDIGRVNCMADVTIVPNVGQNIVIPPEVCEPDNDSCLLPTTPS NNTCVNGGPRLYYTVNGDTYERIAQRLNITVDSLLNGNASATEVLPVGQFVKVPLCEP SQCILQPYQFTYGVYKDLAEKYGSTVGQIMMLSPTYNYSEYTLNGNVAPTIDLPINCT ALSKNVTVIS PFICI_14063 MATMRGWQYTAIGELESQLQLVTNLPKPSPATLGQDQVLVKSLY ASINPADRLASNLGVYTKYKIGLPAIPGYDFCGLVEAIHPSNTTLKTGDLVFGCLGYP VKHGTLCEYFIAPTTCCARVPDGVKAEEAAGLGSAAVTAYQALAPFIKSGQKVFINGG SGGVGMFAIQFAKMLGAEVTATCSTKNVPLCQELGATTIDYTQVNLLDVLKQGGQVYD HVVDNVGTAPELYQQAHLYTNTDAKYIQIGGGGEISAAQLLKVARNLVLPSFLGGLKR AYRVSIPTVKSEELAFLADWVAQGKVRIVIDQQFTFENTVQAFQKLKTGRARGKIIVS IS PFICI_14064 MDLTQVNTEGSNESRESHILNQKAKKKTTFATEKHVDKEVSPPL LIQAPVPGCRDVAGTVTRTSNRVVAAQPAPTPRTARTPPTFQRQRPQRGRKAGGGPDN NSDSESDGEERKRRRSEDTDGTVSRRNPPKAPAPPTEDSYGRDTRELERLRDENMRIQ QFRVLRQLEEKWEAIDTRLRMHQAGAAERVRDLIRLRQQQRHARAPIKKRVLERNQDL QEYLEEILYGVIKEDEDEAERTELDDLEEIVERIESELVNRISHFEDWKIQKLDSHDR IIRAFDRAEKELWKLPELRDAIANDASRDAASFNRSIKSVVNSFILKDASLPEGTQKT FIDHLVDACWSEIISSIVEAILSVDTTDLLEGSPTGAWLAPFETSRMNHKSTAAYRRW LIGDLTSRGCRQLCLDLHRLKRQETVREYRTCMETVKMSVRQEFCCGAVRPDEVSLIL MKGNHLTGVRTVSPSMLQMLGWFYDVASENYWRCTIFETSDRRKELIKMSMDGEILGL FSMDARSYPMCLEYQRHGKDSNFVVRPLGELELIALDLV PFICI_14065 MAAQFPAHIDLNTLPADTGPTSEEVQRKPWKFVGYRGYADFIAS DDDFYMLRRFNTLHVRVSLSYQDRIAALEEQLTELDAEYSRREAEDVDNGTFRHDQED RQALLDNIGEALYQYSKKKAPKSLPILSMALTLLDRLVLQQSELRKLPPALKKDVKSV KNWHFNHDHAAVAAAEQKYLDHEADLFSMATTKGRSPLRQVIDSSLRLRTLGLWSVEK ANSAVPAYDSGNISYYSDKRIDAFVSGLIVLIGITMLITPIWILQSLNSMQSKLFVIT VFILVFLLVLSLAMVAKPFEALGTTAA PFICI_14066 MKSIIPLSCAIISILFETTTASTNSTLDALVQNSTQNIQAILAG RSSSSSCTSENVLVRKEWATLTSEERLDYIDAVKCLMNAKPITPASAAPGVRSRFDDF GALHVNKTSTIHWTSYFFTWHRYYTWLYESALRDECGYTGTQPYWDWSSTDTISEHPL FDGSDTSISGNGVATNHTSFIYIPTPDIINITVTSGSGGGCITSGPFVNFTVSLGPHG APISDGLQLNERCLTRDFREWYIQQYLSYDNVTNAMIQPDMQSHTDVVESLQGGMHGS GHTVIGGLQDDLWASAQDPYFLFHHAQIDRIWSLWQGLDQDVRTKQVSDTLTIRDSPP SANGTLETIVDMGFLADAMTIGELSSTIDGPFCYIYA PFICI_14067 MYLINTYTLKLEYFIGECPVRYAILSHTWGTEEVTFADLSGNRG ETYKNKAGFIKIRYTCKQARNDGLRYAWIDTCCINKDSSAELSEAINSMYRWYKKADI CYAYLADFYAIGSVRSSDLKNCRWFYRGWTLQELLAPEKVVFYGRNWTRIGTKVKMGL RLEAVTGIPRTILWGQRALDTVSVAARMSWAANRETSRTEDAAYCLLGIFDVNMPLLY GEGEKAFLRLQSEIMSQTQDDSLFAWCADFQSAMNFPYRGLYAKCPKEFATCGDIKTF GIDNKSATTFFGNGRISLNCGLESQDERPLVAIKCYRKDILHPIAIQARRIGANTYLR FSPYSLFQVSMPQFDNHIIIERYAERSQMIAIPDMHQVGSIYLGNMPNQLQLHSTLPN GLMHSTQRRISAIDAMKAKKVAFHIGFSRQYLQDKKVNGSESFCDVLLVFWVAAGDGH ETYYYLFEVVRVIPVQWSFRSANEEYSHVSNFFKGEGKPKALSHLKISMGDLQVYMIP TTRVVEGHEVMYVELALV PFICI_14068 MREETFRSYTQAQGESYATGRPGYSPKLFQTIIDHHVATGGELG LVVDVGCGTGQATQSLAPFFAQSIGLDQSEGMINSARASIKSPTTSLRFEVSAAELLG ADLESPIAENSVDLLTAATAAHWFDMGGFWRRAARVLKPGGTVALWARTRASVNPVLT PNGAAIQTEMDKFIREEIGPYAVVGNSLTRNLYIDLPLPWALGPPATEFDNETFYRKE WNKELDGLGSDDMGTSMTLSTKEFEMATATSSSVTRWREAHPEEAGTEEDVVRRMTRR IEGLLHEAGVKPGEEFIHGEVAIILLMVKKRS PFICI_14069 MARENDNEANEGSIGGVAKQCRARFEECLHLQPLVQYEWAENRL AEFNLWAAGTGAFAGERASLDSRLAHQPDTRDFVINILSLLQGCIEKCRDVALGLPEE AIFKDIPTLGTSDPAGHTGTETPEPPELIPRSFSPWSDMSSTDSWPEETPAGALDLSS SSCATVQYEVEQVLDSLTRIAVVIRKSGANFRNQKADRLFDPKAHQKLRSHLEILVLA RGSKTGRDRWDVDARDLTPIQERLMISNLRRRNRFVYAQRHAQKLGIDAEKAHSNPEP SRDDSPMTVDKITPQTQITVPESPALPLGLDNTPGASSAIMSATTASAVDSQIEERHD DTIREDQHQTLTASAAVLAPSGLSSCPLCDETDTADSERLLDHVLEHVHEFSMRSLPW PTAETGDDENRVWDYFRNNDYFDEGTDGQSSRLTVSDASDQDLAGLASLPSNGSFAPS DVENIYPDSAGDHGFQYTAKSMSFDEAAMTKRQELLEDLRNKILACRRGENASAIVCF SDLKDVYKGRLQDIQAIARLTTEQATEVLTQMLKLFSFFVILPAPLEWFDQFQSLFFA GASHSSFQDKHMPLHKALAANLKLEHFLYRWPEQYLLIPAVIDFGTDKPQIITDKRIR LPYLESPGNYDGGFGGVKCWMLAPEHIDRGETQYKNPVAAAVKTFIGLPNEVGYKQIL KKRLTGVKGLSLHQAILGLANGEIKIFLPWPEHGSLDTFLSGGQNPSEWNAGYYFPAA FPRAEQSIDRLATALLKQCFSVAGAMKFLHDGFPGRLDRYYCVCMDLKPDNILIFQGS AESMVGTWKITDFSISVIKHWTDPVNFVGTVGDLFRKYTVDTEASREQGAYTPPEVLS TTSRDSRRLGRGVDVWAFGAIFAEVLAFTLGHAPEVDRLTAIRRAGVSVVADDYFYSR KSSASLAVPGQQAGELQFELKPGVQDWLFECVDKWSEDRLYVKYWVRCISRALSVDHE RRPTATQLLDDVGITQTSAFTVVGEDLPS PFICI_14070 MATEIAIAEVEEIEFAKKALSRVANIGAELGRRMRSSVAGSLGA RLLVAKASLDCADAEELVRLQRRIPKLLNDLERICGWPEPTDSGCPILHSVLHQGTDR SARRAEDRVQRGISAWAKGPLLVRQTYFQNLDQFSKRAMAIGDEQRQPKRKAKEIPAI DDYPPHINHSLYSVMLAHSVCTCIMDRGYTKSRHPARLRLRDQLVKVDGCIAFDMLLC AKPDTWEYWQDVQLRISLKEVTKKSLRIVGGDSNIYTERKMARFRMIKPGSLCQLLEP RPGARIDCQIQGSELRQLHESSPIMQHVLPGPSVSLKNILNTHRLSNRMKLVLANIVA KSFYQYYDSPWMDSKWSSESIHFLPQSRIDGSTTETDEKVFYASRPYFVVEFEGGKRP FPEYCDSFSVIYRYPRLLSLCTILMEIGRGKTFTWNHDESLECSLNANWNIVKRLADS HRGWGDFDYADYQKAILSCLNPQLSDKGTAENSQAESEIDIRKTIIYNDIVLPLERLL KLGFSESTRKLDPIPIEARQLGVHEPAIQALPTFNPENIANFAQDREADQSARWLDQI LKINSYINDVSRRCTHEGKRRATRVAVLDTGYDDEAVFFQVPARRRRIRQWKDFYGDL GDPIDETGHGSHTAALIMKVAPTADIYIARVTKSRGTLRDCAPQIAKAIWWAVDECEA DVISMSFGFSDEIPLISKTINEVHLQRNNKILFFAAASNSGGNRKEMFPASHDSVISI RETNSNGAFSDTNPPVDRLGPAVFGTLGTQVRSAWLRRVDGELPKSGSSVATAIAAGI AAMMLNFANLGFCSSKFVLPTSANRLWTRRGMLALFARMSEDMGNRCFFISPMRFFSD SNEVASWIAMADALKG PFICI_14071 MAAVRTYILAPNLQFKPSGPIQLCSIIADPFRPTKTLSTLPQEA LPPMETIKEYNHELSSEKGHLMSMGFWAQFLSTVGGDASLEHNVNHVQRYDIDELETR YIRDEPSANNVDLVRRLAEPKVQAAIKAGLFGSQPVYMITGIKIARGLTVRLERSTQL GGGFGPTVPVTESVSVGGQVVGEHRNNTTSAFRAGEEDIVFAYQLHAIRRKGRKKETK VDVFESEAALLHGDDGPYDSDVEGASLSMVNADDLFEDDECDELATKSRELAGIDGSL YMYI PFICI_14072 MNRSHHRSCLSAEPHEENIKEVLLHFLGCLKISERHDFLEDEAH PVWSFEAKHNKGENVTKKREKWRANCLNELRRIEFLREKLKDDGDEDERQLVSNIERS RKEWKRSPEYKEGIQMVEEWRRATPEDSGTFKPSGSPVNVKPESVQDKYIPEKDVNVP IIKFKDGEPDDFKLDKKNKKIWGSFPDQKTTVEHLLDNEAGKNSILRRDEKDTSTVKY FHLPSNNMAWAEEAIARYFNEETPKFYKIRRKIGTLEETISAKILKESHWRGQLHGSQ LSVNSRFMRPFCEAISSSQTEKDHLPKNMVLFMPYLHWETSRNREKFAQKIEQIVDQH LAKATTIELNEKKKRQEARKSLPPAKSTSSDQSTTATQRMQLPADKTQPAGMGRILTL GTLAQRFGYPRIPVKVDGTGRLRITYEDEQGNKKGSPLGQYLIDAARLFEAITNYRDK RLLEKFLMHEAPLHPRRTLDQAYYWTLNTTRSRDKDQVVYRATTAKGAEFHKYDFDKA SWPNHPRLGKHELCRDCSDKITKVSRVVMVDQLWMWILDANTIITCFPKRYGANKHDS SGVHKSIRTRIGDKRHQQVKSVFDLALIIFEECSNTFFDRTRTTDKQPEVLDAFSESI GNIMHKQTLAFGRLWRWTEEARKIYKSRTRLAGSKLHVPLLDITPEGELEKEIKDILE ELGIMIHINKTHRDVLKQFITHVKHILDPHSEFTGKEAGVDASKKRIGLLRKTSANKV PKFSANANEETVQEQKNIFDWFNINADETRTRVDDRIEQLEELQRSAQITASSVKDLL ELKQQQAGVVQAWQSVRQSDEANAQGRAIMVFTIVTIVFLPLSFMSSLFGMNTIEFGG DGNLMHLGDQFRYIAGISAPIIFLTLVFSLNSWTRSALAGLFKYSFLWLSARIGLYQH YLDVNLPSHNFYEDTVNATEELLVKQMKLYSDRRWEKYEQKREASGQPSSTASAPGGS QSGGNSPGRTHETAVLLPDGVARTASRLSARSQPRPAMHDDIEAARRPTENGAI PFICI_14073 MASSDPTYTVFIRLPFPRGNFVDPPPVNWDPSKDEALWNIVSGV SKTDIDWNEIAARFNVNVDFLLQQVAFLTERHTSQVRAQLRKAAAAAKGSSASSPAPG ADPALTGDGLQRTSSAAGRPRAPSALSLRKDSPLPRNDGSTPGTPLRATPRPQAARKT SSNTGSVYATRNLGGSSKANIRSGDAQRRRLSSLPLSTTAATPEVEPDPSSPGPADTS SAASSSDESSPAQSRIIRRPPISYDDDDDEEDDEPAFMPYRAQKEGSAGGQHDLSATL RGNLPRKQPKQHGKIHLSQTSDSSTSSAAPMPRGLAGGKAGLGSPLSPRRTQELAGRS PIGKGKGYSRDSDGTPSMGSSFSDLDDASVTQSALEEALASRIQDGGIGSRMSTISNV FRSRYLPKSNNP PFICI_14074 MESLIRRAAEFPNWTQVPIPNFQLSASGLLALADLSTIAQRTAI AGGSSWLDALLLAPGLHYQQAADALDKETAKYDAVELVQGRMSTYNINNPATVRYLQK VGRQGELVTVDVGMIPQRQYFRRAGRGRRRGGQRATIWRDTGSDLGWFSHVLYLASPV LTVTAIVFLVLLREWYGVAFLLALMLSRILNIWVIKQRAKPPQPLPPDPDVSHMLTQY LVDLGNGRSVRLRGMADDLQAITTSSWLRAKTHAEGYLEAAAKLIVYVVAAFSGNMSQ VGGIIFMGLLLITAGLLGLSNAHAKTFTMHGRLAAPTMERLPYPTDKPPYPRSKSTFS DGTVSFPATTQRTDTGLTALSDWAETGQVGAPLRNSHPFDKDVDYS PFICI_14075 MEVPEPLMDCNFPEMLAGDLGLSEEVFRSKNLTAGAPAASLSGH GGRRHNSLQDRSSGLLSSPDQRHVLVRMRSLQTVESGNHQLDMASGRERRQSFESTST DSSGGMEPPSVAGRGREVSVGTAATSVTSGRFSASDKSNSPIDKNSNGSWTDLQLTND AHHSSSINHNSSNASQVERCQSLSNIRQQSSPRSPLDSPRSPRDIGGELRTISLGNGK PSPSVAEPLPAFKSPMNFGTSLMQPETPSSFNSSTSTSTRPNGFPARRSSLTQNSDFL RVSYQRASPHSPSSVRHSSIINSNLMPTVTPTRRPSSAGNASPYSRDDEARSMLSLNS DDEDDEDDDTFDQSVATPKEVNQVATPTIVSPLADIERWVDQSSIEFGHLPSPTKDFQ GSRLHVAPEVLDTLRISVACFPETILTCSSLSIETIRGNGKKVRFRKLDIADDSQLSL DSPDASAKPSKWKWLTTKRAPEPSPTKLQPSQRYLPPPLDEAIEPQSQKAVWQSVKNI FPKGTDHLCDALYAHILAYNYVTSLCPPSTVVTQTARPGSKQSSAPSISDKASLRSDN NKIPHKAATLLGLENDPSTTAPPRDSSTTARPDTRRGKTGFMQGKRENTKTFGSVANR TSDEHDQSLKDLRYGLAKCIARLVGTLRLTSGEPLTQSKSVRPGDIKDIDPLFIRALC EVVRCNEEG PFICI_14076 MLTERYFAAVNGPPIANNTAIAKDVGIYEHILHPSHSTANTFKK SSAPPNCLAVSNTHVFSAQHEKSTVHVYTRAKGTHEVTVTFQERIKTVTLFGQVLILG TDQGRVILWETCTGRQITTPACHVQAITCMAVTPYHLLTGSDDSNIQVWDLARLLELD TTVEHEPEKTLSNHRAAITALAASQSINRDTSICVSASKDKSCIIWNYHTGEALRTLL FPSPLTALCLDPCARAFYVSSDNGAMFSVELFAEKALIGGQSAEASSTVVQISSPFGQ SPQETGAASCLGLTYDGTVLLSGHSNGQILRWDLSTKAIATEVANLNASVSNLLFVSP IPTSEAVTTVNIIKPFLGSRSYNFTAQLESDLVGETRLGKMLESKGFSHDILEQAISA LQQPLSTTAPAGDEALRKENEELREIINEQRALQKKTMQRYVEAKSATS PFICI_14077 MRFALFASVLAALVVAIEAKPLQRTTNHVVHEERTVPRRHWTKM GRVHWFKTLPVRIGLSQSNLHRAEEFINAVSHPQSANYGKHWTSKQIAETFAPSLESA DAVRQWLEAEGIASDRVKLSKGRHWLEFKATVQEVERLLKTEYHVYQHKQHGNLHVAC DKYHVPNHLMEHIDLITPTIHFDQRVGHERENKQAPVTEKHQEELRRRSLAKRQRPEK GILGSVLNDGFSPKQGAIIENAIATLENCDTMLTIDCIRALYSVPPGSLSQSNNTLGI VEYTPQAFLQEDLDLFFEQFQPRLAGKSPIVQLIDNAVVQTTNKSFNFNGESALDLEF AMGLIFPQQATLFQVGDLNQGGSFGNLLDALDGSFCTFEGGDSKDPNIDGQYAEDIRC GTAQATNVISTSYGYNEGDLGARYEQRQCAEYMKLALQGVSMIFSSGDNGVAGNQAVC IDPTTGAYNDGSTGLFNPSFPGTCPYVTSVGATQILNGSSVRSPESACEEVIFSGGGF SNVFAMPSYQEKAIKSYFADSAPSYTAEQFNNSQTVRGYPDVAANGARYLTAVDGNFT LSFGTSASAPVFASLINMINEKRLAAGKSPVGFVNTVLYENPQVLNDITNGQNPGCGT NGFSAVAGWDPVTGLGTPNYPAMEQLFLSLP PFICI_14078 MNYEEILSEFVYGTSQQRGAWLHRGPTGNEPPYPLPIREPRPYP APIVVPSSGGPPTAGVVDAEEDCGGGEPPTTGVVDAEGDCGGGEPPTAAVVDSLGSES ARESAREGG PFICI_14079 MSSDEYTGSNHESPESKISPSQHVDKDGHELTQIETAATEIRRN ALKVVHTDGTVNYVDNKAVGGDAAEMPEGYFRSAQFIGTVVAQCLANNVAYLGWVLPA NTLTLINADLGNSADINWVATVWTLGTSIGFLLVGRISDIFGRRDMVLGTGVLGLIGC IIGSCAQSVGMLIAANFCTGIAAAGQLSFGIVLGELVPNRMRGPIVTLVFMSSAPFAI FGPIIARLFIENTSSRWRWSYYLGDIFSVLALVLYYFLYHPPTYDQLHVNGKTKMQQL KQLDYVGMFLFVAGCVLFLVGLSWGGVTYPWASAQTLCTLLFGIFTLAAFAFYEAYFC KVQPLMPPRLFKNTGFVAIVGIATVGAMVYYSFTVIWPTLISSIYTTDSIQIGLQSSV VGGGILAGQVLGGLAISFVPKVKVQTIIASVLSFALITSLVSLDKDRWAATIGIGTCA LVAIGFIDNISFPGVTLVLEPQDIGLATGVMGSIRALGGAVAQALYVSVLNNKLNDYI PAYVSPAATEAGLPQTSLAQLFADINTGGTFSEVPGITNTIIAAVGDAVVRAYTDAFK VVFYCTIPFSILLIISSVFVPNMEKFLGSNVARRLQTDRTARKENSEKTARAAEV PFICI_14080 MKCTGRFVVATLLSLLVSGTAAGGAEFLDDVRRDFGLGLFPRQA STNLQRSFGRCFSFTSE PFICI_14081 MYSTRLAHLLLVALSVITFTQAAWLDSAFGMIGSAKVEVIARDL LGLDDTTSSSSSEKTTSTKTTSAAAQTTSSSAAETTTTADDTPTTTSSATTAHTTPTS ATTTSQNNQATTTADDTPTSTSDGGSSPTTTSATTTDGSSSESTTSATPYTSVIILTV TNSNGDVVTSSSSSVITPTASISQSSSDNSSNASMSSSTRATIIGVTVGVGGAIILAV AGVLFWRLRRRRSGDDNEELVNYTTGTSQFNSGPEKSEASGSMTGRSPFQSTLESYHA PTQSNTGTNF PFICI_14082 MIRHDQADDPAALPGLPKTSSSRPSTPPSATAARDSIGPLPVLV SELPESPAFSTSSTFDGSDDEISSSPALPSLQAPQLSERAREHLRRVDSPTSPTGSDD SSGQYVTASWGSPYPPNTRIHLRRQSSSSEASDDSPIHQLELTTPFLRGTTSLLPTSQ VQSPPSILSESAAVLLNRARRPVRGITEDWIRAHTTNDLTSEHRHWLSDGDFSEHSSL SGSNSGDEAAWFEDTDPRTPRPSRSSARHISRQRLAPPRHPRPRSSTETLRQSAHPTP LKKAMDSLSVDTSNVGQDVAAVHTPVSAAGTTDKSEIPQNNEQSSLLVPAHPPATPTK VAKVPISQTPRIRKKVPWKGKNIMVHLPRDDQRGLPGRGPIPLTSAQMQDMLREWTEL GYNTRGFDLQGPGDISNASLDPLENYSMSRNEWPSPDDIVNERSGRKYAVLLPDLNAW KDYVNELQEAKLRALGVSFGDEEPAPLPSVSPNPSNANPQMPNQYPPLPFSPPIPTGS ATSSGPQGFPFPGAFHGTSATQSPTIPSMASPLSFAGLQGKFNPRASISISPNELPFH FSGQPSPHGFSPRDMLLQQQLHRAGSPSIMNLSSLVSPTSPFHHDGTPSPLGLHQRHQ SLQYPMLPHQQLQRQDSARASPRLQDLREEEETQSNPYEDHSPSKTPEPAPFIKHNAS ASLQREIDDAEYHLEEQFREQLEHEDYSPHQGPDKNNYGLVDPAQVPLPGHPGGPSVH FGNFGNDPNEGPVLHHPRPHSRGHSLTQKPFFDSDEARDSAGDSTKGRLLTVKEQRGD ESYEVETNPSNLGTPLSTFGLVNNMHERSISTTSNPWADARSFNGDKPSSRRSSHTSK PSLTKLNANATEFKFNPTSNFVPGQFNFGANSFQPTASTFQPAAFQASTSSHFSAPST SSSKGRINAAAPVFSPGQSEFQFPKSEFSLPAKSDFNFSAAAPKFNPVAPSFQPSVFS GSVTSAAASGQDSDNHHGSIFGSIDLKLSDTGLPATGKRSKAIPIVRPASRHKSPTPV PTAEPVEEFDKDGRPTDTSRRGKKFRDDVDDGDEVPLFAEPTPEPEALMATQAKASAQ DEVAEEDKPEPEDEDNDVIGDTTLDSTVLSESTDTKVPSEIHDTKTTTSPSATSPEQD QINWAPFEFRNELDVQDFNNARPFGDFEVVDRSHEKSLSGGLSAVAQPFMPGGFTFGA PNPVATPFEPSFKAQPPTMAQPDESEDDRGASPTPGPEPRTVEADTTTVHREPTPEPE RTSQTLKSGLAGSRFAAAPPRQGLGASRFASPPPPPVPVHRGLGESRFAKSPSPVSDE DEEEAPVPETANGASELQSFNESIPSPHSPPPITTVPEEVEESSKEHELTFEEIDAVM QHMKETDPTMGVNRSVKVSPAPVWRRPRSPVRTVSLAAIPKFSPLRLPHQEHMGRGDA RSPSPHPYRSLPLEPGPALLEEDEFEDPFVARPRSRASPPYEVDGPVHHLGGGNITED EEWDRAFTADEQDKLEERAHYFNGHVQDLVGDMLTDRLDPLEKSLATIQQALLAMAGR TPSSRHERRSERRSVSAEVQESDADDEDDEVPMTRKSMSPQRNRKMEQIRFAVMEALN QHHASRALQSVMEQPEPTPSIDNTSVLKELQDMREQLNQSLRVDFPAEDLRNVVEAAV QNRMPPTPPPVVQDDEEATKKMAEMQATILELEQRLRDQSGRLESEIDMRRAVEDRSF ELRRSLDQAETKIEVEIMNRSVYDQRVHDLEERLRHQEQKTDNELHGRRDAEDRLSEI QRLLRISSEEEDRLRAVVEEREQKIRAVEQTAGKSAMRLALLEAAQSNADKTQIDLTN RLNATDDELRGARQEARHWRSEAEHARDSARRQTDDLITAVDESKHLRKVIDTLNVQL SETEKIRENWRVKFVSMQDDMAHAVREITEENSRRLKKEQALIARQEVLDARLQAESR TRERLEAELERLEGGERAGMRAVAECKRLEGLLGELRTENHKIQTTALHFQREAREAR ESAASEVHRARTSTQADLDAANKQVNEVRAELEEEINVLRAELSQAGLAAESFKHQHE IVLEEEVAKFRAQIDQVKMDAETAKAHHDMMLEEAQVTSAASLEAMLAKHQSEIEDLQ TRYERELGNRTEDAQRNESNLLERLSLSTSKVEHLQDRVVHLEEKVQIAQEAAKAAAQ AAKAAGASETAVSHASADAKPQAVTQAMQLPEKISPQALRESIMVLQEQLQAREQHIE ELEQKVSKLDPEAEVKITKRDDEITWLRELLAVRHSDLQDIIAALSAEEYDREAVKDA TIRLKANLQMEEQERERALNGGSAINLPELAAAIKGAATPRVAQAVGPLAAAWGNWRK GNQPALNNLSSMLSSPSAGRNQTPSRSKRAPASQNSLLSGLMTPPASGLRNTPPAEQS KPQPTAFGTTGRRYTAEQFANRPRGSSLTARQAEKLPVPTTPPRRMPEQEEPVTPPMA GPSGYDIDASHIEDFDDAGFFDD PFICI_14083 MNFFRPKSGETAPLERYINQVWNGRQSVNPFGERPSTAEPVATL RKGRKNRIIYYIGSFNPPHVGHLALVDHVFSNTKASLSGGDTSADDLNAVALIVIPHG QYWLERKARRAAMEAEAAMYPRGRGRGKNRGHANRGARTATPKNEKEAKELQLPLEQR LELVRDGVPDDLVRSGVWLFPADLGEWWNLHPRLIRACEADGFDVEYIELLGPDYVQR DMPRSSGMHGVVTSNVCRRADFVVDSPAPEGGGIGGDLLTLHGYTDWALVRGGGDVAK PGDEPTTQDQSQAGPPIAIDKNSKVFVCQGGKDLAYRIWFVQCDEPHLDPDISSTSLR RLIKDADVEVLEETVQNIAMSPAKLAKLVREMRK PFICI_14084 MSSKSRMLLRGGVLLIHDANNHVVPTQADLLVEGQLISGIAEHI DVDSDIKVIDCRGKIVSPGFIDTHRHLWQTQYKGMHANETLIEYLPRGNAGSVLWSPE DLFWGQLSGALESIEAGTTTLVDHSHCNVTPEYPQAATQALLSSGLRAVYCYTPPQRL KSREPWKTEGAASDETFADYQALARGGPYGHGRVHIGYANDDLYSPAEVLKPLYAKLR DPAQGKAKIITTHTIGGLMAGDEDAPTAVQILDSHGLLGPDVLLSHANWPHQGDGERY RRSGARVSSTPNTELQMGRVAVALRDDHYANASIGVDCHSWGVAGIPGQMRLLLQAAR GDRGEELTRKGLWTRKVGFSAEQVFNLGTLGGAKACGLEGEIGTLKEGFKADIVIFDG ETPSMLAAAEEDPVAAIVIHSNPGDIDAVIIDGVVRKEGGKLVDVDVVAAPNAAKSQI KPGTRITWKEVARNVLGSRKKLLEREKGIDFKTAEDTTIDGYHMNRKALLEDQ PFICI_14085 MFFSKIASVLALAAVAAADTVAYDTGYDDASRSMTAVSCSDGAN GLITRYGWQTQGAVAGFPYIGAAAAVSGWNSDQCGTCWQLSYNGKTINVLAIDHAAAG FNIALDAMNALTNGQAVALGRVDATATQVAVSNCGL PFICI_14086 MRMRVQDPNASDAVLLPIDVVSETVHAIDTANDELRRVNLEIYN NPEILFQETRACKLLSDWFESRGWTVKRGVYGIETAFEARFSVREGGRTVCFNAEYDA LPGVGHACGHNLIATSAVASAVGVEAVMKAHNLAGTLVVMGTPAEETGGGKWIMAKNG AWKGFDACVMTHGMPNFSTPMCQTKASWKMRAKFHGKTAHAAAAPWTGRNACDAIVQA YNGIALLRQQIEKTESIQGCILEAGKAPNLIPDYAEGVFSVRAPTSPQLEALRKRFEP IFHGAAAATGCTVELDWTALYEDVVTNMTLAEQYRQYMIQHLGVSPKDMIPLNEAKTA YEQNGSSDFGSCSYITPGIQAMFTINAADLPHSAKFKEAAGLDFGHTEALRAGKANAL ICLDVLMNEEFAAKMREEFKETMKKAGRWEGEA PFICI_14087 MTTENVHPGGQPAGSEPRDEEKHVSEKVVEGCDVGVVALTGAVS HIDPDGPEAKRVLRKIDLHLMPLLMITYMIQFLDKSCVSYAALWGMKEDAHLVGSEYS WLTTIFYLGYLFFEFPVGFLFQHFNIARTCGIFITLWGLVLLCMTAADDFAGLATARF FLGALESGVSPCFVLMTTMFYKRSEQPLRTGIWFSMNGVAQILGGLIAYGIGYIDSAL PSWKYPFVIFGSATILWGLVFTFFAPSNPTKASWLTAEEKEIAVLRLLENETGIDNKT IKWYQVKEALLDTRFWVMNLYMLVNCIPNGAITAFGPLILNGFGFTKFQATLLGMPTG ATQVMALWIAGYLAAKVNGCRHFLMIGGILIAILGSSLIYGLSDEQRVGRLFGYYIIV GFSVAFVQGLGIIQANVAGRTKKNVFTSSVFVSYCIGNLIGPQVFLEREAPQYRTGFA VIIGAFAFQRDRAAQNQESSFDTEQSLSDLTDKENPHFRYVL PFICI_14088 MAESIRAARPLLEAASLASNDKVALLEQRLNAVEGRLRGEIDAL KSTVNELRTANVSDSSPIGLSESSISSRYTLGTSSHNVIQDRDNVTIGSVGEQQWQAL HSFYNENCKTVIAFMDDQFYPPKDLVRQHPLLSTVICLIASRAIMPERYQSFLDEADD LVKKTFGGPTPDLLTVKALMLLAVWTGRSRLWGYVASVAAELRLNTAVIRLGDDAFQH SVDIVDHARTWLSLCCFDLVMNLNRPFVINRMRDYLPFTANLLSSPHRRPVDYRIAAY IEGFAIAADAKTQLQNTKLHVSPLPSDVTSLLDLSNQKIDRWFYEINNKMNPLYQTFQ DRQDRNRFLVPYSFLKIYINGFALYGVQPDSDAPDRIRLGYVQEALDSAILVIRTQCD SPALRKGLRYTMDYNGITTYHAINYILKAMTAAHQHLNYSMVFPALHQAAQMFEGAGS VDAANDIRKEQDKLAMLTNTVLSPEVLEHGNAEREANQLFDIPSFWDEANWDDAFPEM NVYTLD PFICI_14089 MRYSLLASLVIGASMTLAHPHARDHRDAYKASLNKRSLDLNQYR TGSNAIYKPASVNKNDASLKVLKRADFVETATELVKSVLPDAEFRVSDSYIGTNGIGH VYFKQTVHGLDVDNAAFNVNIGKDGHIFSYGNNFYTGEVPADSPLSKRGFTDPVSALN GATSILALPVTGEAAAETVEGTEAYKLTGTSGAQEDPEARLMYYVKNDGSLALTWRVE TDILDNWLLTYIDAETNDVVHGVVDYVAEASYLVFPWACNDPDECDRETLTDPWNTTE SPLTWLNDGTSTYHTTRGNNAIAQTNPSGGNTYLNNYRPTNAQDKFEYSWTATATPPT SYRDASVTQLFFTGNEYHDLLYTLGFDEAAGNFQIDNQGKGGSGSDFTILNAQDGSGT NNANFATPPDGKPGRMRMYIWTESTPYRDCAFEAGVIIHEYSHGLSTRLTGGPANSNC LNALESGGMGEGWGDFMATAIRLKPSDTRNTNYPMGAWVYNDPQGIRSVLFSTSLTTN PNTYTTLNSKNEVHDIGETWTTMLYEVMWNLIDKYGKNDNARPDFDANGVPTDGKFLT MKLVIDGMALQPCSPNFVDARDAILDADEALTGGENACEIWTGFAKRGLGQGAKYGAL KNRVASTVIPSGVC PFICI_14090 MSAGQDDNWPRVKGARSGTETLESMASSNDKPIIKKRAVAATFL FRFNDSSSSKPGTAEVALFRRSSKVRTYQHKLAPISGSVDPDDSNPLATTLREIKEET SLELGRDIELMRKGKPYSFADHDIGREWTVNPFAFRLKSVEEGGRGEPGITIDWEHDG WEWHDPLNVNDSEEFGGVPRLVDSLRRVWPEIDLGAAAGVALTDGLKALQNDHESGAR VLAASAVRILRDVIYKLPPPGQSDDSAKVIRMAAWHLCYNGRESMGAAITSSIVTVLD KIEEVLKKVKTNPEGQKDEVLSEIDKFLEQRDSAVDRLRGFFVEHIIANTFDNRNPQD TLSVLTMSYSSTISGSLLAAARSLNVALDLRILESRPLCEGVTLASKLLEEATPEDNV NVTLYSDASAAIAAQGVDAFLLGADRISAEGDVSNKTGTLPTALSTRYVSPNAKIIVL SEVEKIAGPGPINEHGVEENDPAELWRSWNNTVKGASILVQYTGKSHENTSHSTASVK NIYFEWLPASFVNTYITDEGSWSLKDIRAKSSEIGKQTTRFFSGL PFICI_14091 MPRSSITSSTSSQHRLPVNQRRRKVLPENRKRVATACNSCNVRR IKCSGERPCLQCRNASRECRYPISIEKISVPRAELEQLKSKCIQLEACLENAVPDENK RRYLLTQVTQSPADTGADNAASPEARDNLDEEEGGRLLQDADGALRYFGPISSTLFLD SLKRFFAITLPAFIPFSMDTSSMISSGPQGRCGMQDTCAPNVQNVDPFWHPSKTDMTL MLARLQYTFQDGCGDFASGGIYYWNDLDVTFFNKKKTEISNDAQSIRELSLLHAAFAM ATLLDSPTGDRRNSVRRSETFFARARMLLGNPLNTTLRNILDIPILSMMVMYLIEIGR RDTAYMYVSVGLQIAVMYGVHRGWVNDESCKRSFWTLYVLDRCLSVLDGRPPSISDDA IQLLPPLDVSGLPPAMGLRAHIELARIAGYIVCNTYQVSPWDHCTTSNEARVEKTLGL LSNWKNRLPAELQIDGSLCHDRACYQLHMEYNQLLMLTVRPVLFEAVKRAVRQQFGDE PSNPNDECRIDFIRICSQAACQTLKLAKDIRDMCQCPQPSLQMLHHIFDAAVILILDQ VLDIRTQSTSHWAEIAFAIECFDTEDRVNNPCQQNPVQLLRNLQALVESLRNHKSSES SWNGSRTSSVPPIDTQSLANTSYHVGFILNPSNAPTNPMLSSTSDVLISQLASWTAFD GAQDYRSYPLR PFICI_14092 MPLFGKPRITPPTPASGGLLLAKNHTLPQQKPLIWLGEGSLPDI SLVQIVKECWRYNLDSSTGLIEPLASSRQRISLKSMHDTTQLLFSSLDQLFFYGSLTR HPLPISPPHHRLFPNHKRSRPLIILDARSSNANSTISYYDHVTRTIHFRAQRGGIQRT DLLNLLVHEMIHAYIQVFAAQPASSMSAAKEMEIFIVESISPRLTRRLKTKRRQKFPP VIEGGAGVAAAATSSASGRERTGRAWIVGTAEGCQSDEPSMWTSETLRAIEAYWDTI PFICI_14093 MAFLSQTWTLTAKNLKIVLLRHSFATFIRAFLLPIVLGAFLSFA RNLLGTPAVYGIGDARPIRSLQSALDIATDSGRDTVVFVNNGYTGGDIDRVIDQVSST ITNGHVQKLTSVTELRTVCRTSLRGVSSCYGAVVFNSSPNEGNGGLWNYTLRADGALG TKIDVTKSTNDGEVYTLPLQRAVDSTIASLNSTSPFPSTTEEYPFTSLTEEERAQRVR QRYQSALSNWLAVAFLLTIIGVCYHMTGLMATEREIGMSQLIDAMMPTKFRWQAQAAR LLSYHIAFTMIYIPGWVISSLIIARGVFASSNVGIILIYFILCGLSLTSLSILGAAFF KKSQLSGVSSTIVYCVLGILAQSLTSPNNATVAILSLLFAPCNFVYFLTFVARFEKHS YPTNLVQSGPESPWTFPGIVLWVFLIIQIFAYPLIGAFLERKFHGSTTKGRTIVRHGE NGAPQAAVRLEGFTKIYKPSALRRIFSFISRPREPVVAVDNLTLEAGRGQILALLGAN GSGKSTTLDSIGGISEPTGGNITIDGTGGLGIAPQKNVLWDELTVFEHIRIFNRLKSP KAPSSKLEITELVKSVDLGPKIKARSGTLSGGQKRKLQLGMMLTGGSAVCCVDEVSSG IDPLSRRKVWDILLAERNTRTILLTTHFLDEADLLADQIAILSKGTLRAVGSPLELKD RLGAGYRIHVFNARDIKDAPEVDGVTRKVSFDVISYIAKTSAAAAEVIRSLEAAGIHD YKYSGPTIEDVFLQVAEEVNGEAISKIERDDLPTSESTDKQASSDSVLAVDGDLKLQS GKPIGFVRQFSVMFLKRCTVLKTNWFPYLLALAIPIIAAGLTSLFIMGQSPPSCTPTD ANSGGSTSDYDAILEDAFLAAGPASQFSTDTLLRLFTPVYGSSVTGGDATGAAGAAAS LFSQIQLLDTLDDFNNFIIQGRKNITPAGWWLGDTNTDPTLAYRADAGDVLTSIFGQN ALDIMLSNISIATSYSPFDTPWVADTGNSLQLLIYIGLALSAYPAFFGLYPNIERRRQ VRGLQYSNGVRSFPLWTAYTAFDFAIVLVSTAVVTILYATLSSVWYHVGYMFLIFLLY GLAATLMAYVISLFCKTQLSTYAFAAAGSAVGLLVWLICYLVIVTYAPVNRIDQDILL GHYIISAFFPIGSVVRALFIALNLFSTTCDGNAIASNPAAINVYGAPILYLILQSLLL FGVLLWYDSGAGFNLFRRFTQKSIDSKENEAILDEEVANELTRVTSTPQESDGLRVLH LTKTFKKNTAVDNVTFGVKHGEVFALLGPNGAGKSTTISLIRGDIQPSRNGGDVLVEN TSVSKRRAAARSHLGVCPQFDAIDSMTVEEHLKFYAKVRGISDVNRNVHAILHAVGLA AFSKRMAFALSGGNKRKLSLGIALMGNPSVVLLDEPSSGLDAAAKRIMWKTLGHIVPG RSILLTTHSMEEADALANRAGILAKRMLAMGTVDNLRERFGDALHVHLVSKSAPHSTP EEMERVRRWVVEAFPGAQVEEKTYHGQMRFSVPASEVIQGSETPNDLVRPDRSGDLQR ERSAIGQLIILLEQQKEALGIEHYSVTPTTLDQVFLTIVGQHNVKEENYDEKAKRSWW RRK PFICI_14094 MPPQTTADLEARLHAFRSEDSHAQEALQQAQRQLEAAQEAYNSI RETRERYEAVYAAVVDSEAQRSQLEQRIRDAYASLSVSGNPSTSLTPSSLSPAPSGGQ PEGRVLPPHTSTTTQSHSQLEASCTRTHDKTALDSDPSIVQGLRGVTDSVLDWQWRRV KEREESKLYT PFICI_14095 MAGKKRPIASAPRRTTRASTSRNAPADIYREMLENVDVQATAST ETAEPPRKRRRPGERTAPARTPTKPKTTVQQPPTTAELEDDDEDEDVQFEDVGIPAPK MQTIYRDSDEEEDEGEEDDDEEQLQFEDIDFSFPELNLGTETKEEKPQEIQLDLSKRA EASEAAKRAVNRRKPINRSEKERRIDIHKTHLLCLLSHVAQRNRWCNDSEVQRTLRRS MLNKDLRKYLTPGPDLTQFGQTNSLKTGLEKLETIFRTKYEVTERGLRRALWADKEEH LKEYRLPDDVETPMERSDFREAAASLKGSRDVGAQLYCALLRSAGVEARLVCSLQPLS FASGGPTMSKPPKKQRLSLEEQYAKRPKYDTSFDSPGVKAASPAASAIRRRIGHPNAT AFNMPPVTAPIAPVPSSGPPKKIRECPFPVYWVEVLDVGHQKWQPVDPLVTVSFWKPK VLEPPASERDNCMTYVVGFEADGTAKDVTRRYAKAYNAKTRKMRIESVAANGERWWKK ALKAYKRGFETDLDQIENNELNATESREPMPRNVADFKDHPIYALQRHLRRHEVLIPG ANTAGTIGAGSKGPLERIYRRKDVRVARTKEKWYRMGREVLPNEIPVKFLPKRAKRGT GLFGSDEEGEENEEDAAGTPIFTEEQTVLFKPPPIVDGVIPKNRFGNLDVYVPTMIPE GGAYVAHEFGAQAAFTLGIDYAPALTGFQFKGRKGTAVLHGAVIAAEHEEAVRAVIEG LQDQEAEFERERRTREVLRMWKKLMMNLRIRQRIWANVDEDDEREREAAIEQPQEVVS PDVDDEVGELSSGDEVLAGGFVIDEDADYGPGGF PFICI_14096 MKDSYTLPAAADFHVHLRDGAMAEAVTPTIRQGGVDVVYVMPNL VPPVTTVAAALAYKERLQKIDSSITYMMTLYLHESITPDVVREAKKAGIAGIKSYPAG VTTNSSSGVISYEPFFPVFKAMEEEGLVLNLHGEVPSDRKDITVMNAEASFLPTLQDL HRRFPKLRIVLEHCTTADAVKAVRSCGDTVVGTITAHHLSLLVDDWAGNAFCFCKPVA KTPADRRGLLEAAVNSNGRFFLGTDSAPHDVAAKKNGKAAAGVFTQPYAVQYVMNALE DAVERGDIKDEQVTEEFLTGFLGEWGRKFYGIEQSSKKIVVKKGDEVITSSIKGAGVE VVPFRSGEATWSVEWQ PFICI_14097 MAARPQNIGIKAIELYTPSQYIEQTELEKHDNVGTGKYTIGLGQ TKMTFCDDREDIYSFALTAVSQLIKKYNIDVNNIGRLEVGTETILDKSKSVKSVLMQL FGENTNIEGVDTINACYGGTNAMFNTINWVESSGWDGRDAIVVAGDIALYAKGNARPT GGAGCVAMLIGPNAPLVVEPGLRGTYMEHVYDFYKPDLTSEYPYVDGHYSLTCYTRAL DAAYRAYNNREAVLAKAANGHANGNGAATESSKTPLDRFDYMAFHSPTCKVVQKSYAR LLYHDYLADPENAVFAEVPAEVRDMPYDKSLTDKVVEKAFMTLTKKRFAERVQPAIQV STNVGNMYCASVWGGLSSLVSHVDSANLQGKRIGLFSYGSGLAASFLSLRVNGSTEEI AKALDIPNKLAARRALSPETYEAFCELRHKAHLQKDFVPKGDVSTILPGTYYLEKVDD MFKRFYAIKQ PFICI_14098 MTEVSATRLYLGNLPKGVTKTDVEAHFATHGTGEITEIKLMNGF GFIEYKDAMDARDVVPDGSDFMGERLTVQFARGSRNREGFGTHERAPPRPRRTPYRMQ ITGLPNDTSWQDLKDFARQSSLDVVYSETGRDGKGSGSFVEFETEADLRTAVEKLDQR EFKGQRVTCLADIQSGPPPGRDRFRSRSPRGRGGPYNGGPPNDYERRGPPRGYSPRRE DYRGGYRDRSPRGPRDPYYDDRGYGRSPPRRGPPMEDYGAPRGRGGYDDPYRRDYGPP EPYPNGGGRPPYERPPYDGPPRDYPPRDRGFGPRDGGYPRGGEDYRRGGGGGGGGGGG GGYW PFICI_14099 MVLQGRDVAAAGLVCFVAWGYAIAWLPALRWAGYAFVAGCLLTI VSVFALILLTSRGGAAYYNNHVRRNRSARPRAVEFVGPLAWRRETSSLRVRQIYEPAP LYPDSRRISHALDELLRMILRDFVKSWYAHISSNPVFANEVDKVVRIALANIRNRLLD VDLVDVITSRIVPILTAHFRDFNDAERAVRGRKLNKSVTETEELDLAIAAKYKEGKLH AAASLAYSDPKMMQQEHLRKIVAGILPKVLPQSTLNSRAVSSIVREIVGCAVLHPVIT MLSQPDTWNQIMENYGRTMLQDRSTVKKLRAALDTHASPNPKSRSKAALTFPKLSPGD GERRFEKWIRNIRRVNNLSDARRFRSEVASQLRKDSEQEGQDQVYMRRLEMGKRLLDQ RVHQLAAGGDRRPMPNFANNSPPSESSRLEHARLADLLRDTSGLSYFMEYMDRQKMMP LVQFWLVVDGFRNPLEDDGPENEQLPSTLPPWTYSDRLDLAQIEQAYMSKPELKVPES SRKAIRNFLEAGKGATPEQYFRARRAVLRAQSVVLEEMQSKYFQPFKKSDLWYKCLAS EEASKKTVSLLPPPLPPRPASPPLARASTSYQPIKPAPTSVARLNPRLNAQARRGLSA TDLRQAVNGSHSPDRLMGRRSLDEPAGAPLFDDDDLEHDPMGDSIQSLDQDPTNPAPD NRVVQAMEEALNNIMETERPRTAEDLRESLFGDEDGSASLFPGNDNDSARGSVDLQRS GPSSSGKEVGKPSLSSLGLVSAASRIGVFEDNDLFGDEDKFLAEDGDDPSEETGNPED EVHEAAPGDLGLAEAITVLTNAIDRLVAQEAVLDSLMKKAELTNNTAELRILRKSKGS LQRELRRKELQRQQYVVQESDNSLYGRSSISIKAIQVGREDDGKEFALYVIEVQRVAG EKMPAATWIVTRRYSEFHELHQKLRHRYPSVRDLDFPRRRVMMKLQSEFLRKRQQALE QYLQQLLRLPEVCRSRDLRAFLSQSVIKEGEDLISREDKKDMMTRFYDSVTDGMEDIL GNIPVLDQLSVAGQNLIAAATSQLNTHMVPLPLNVGEDALTAAEAEAELNAFENKELE PFIKPICDIFLEIFELNRGNNWLRGRAVVVVLHQLLGGTVERKVRDNIKVLVQEDAIL KYIGLLKDSMWPDGEMKKNKAFRTNAEKAKTRREAGLMLATLIPDLAGSVVGRLNAQA ASRRLFATLNNERLK PFICI_14100 MGLKQEQVNSADMARSGEESEFGSADELLGTLGYTPELSRNRST LQVAFMSFVLAAIPYGLATTMYYPLNGGGPVDIIWGWLGVSLIIICVAVSLGEITSVY PTAGGVYYQTWMLAPPAWRNLAAWICGWLYVVGNVTITLAVNFGTATFLVACINVFET SEGEPVFAGEAYQVFLIFLGITLLCNAVSSLCNKWLPLLDTFAIFWTLAGVIAIMVCV LAIAKNGYRSAEFVFTHFEVSSGWIPGWSFMIGLLQAAYATSSTGMIISMCEEVRAPA TQVPKAMVLTVCLNTIAGFMFMIPLVFVLPDISELVALASGQPVPTIIKSAVGSPGGT FALLIPLIILALICGIGCTTAASRCIWAFARDGAIPGSGLWKVVHPKLDVPVNAMMLS MVVQIILGVIYFGSSAAFNAFSGVGVIALTTAYAVPIAVSLIDRRETIKTGSFYMGKF GLVCNIISLCWSLLAIPLFCMPSYIPVDAATMNYASVVFVAFTAISIIWYVAWGRKHY KGPPT PFICI_14101 MPRAPKPQKRADYRTARQQDNGAIELPKKKFYRQRAHANPFSDH QLEYPASPAEMDWSPYFPAYVADSEDLPDASAHAEENGQLLTAPKRLKQDVEIIDIGC GFGGLLVAMGPAVPKSLMLGLEIRTQVTEYVQERIRALRAQEAESGKYQNIGCFRANT MKFLPNFFRKAQLSKIFICFPDPHFKAKKHKARIVSTTLNSEYAFVLRPGGIIYTITD VEDLHNWMVHHLDAHPAFERVTEEEQEADECVSIMKTATEEGKKVERNKGQKFVALFR RLPDPSW PFICI_14102 MTIREDIVTSAVNFLQDPNVASSSVDSKVSFLQSKNLTQEEIDT ALARVGSPGVVTQAVAASARPQPQQPYYGQYQQPPPYGWQPPPPAPPRRDWRDWFIMA TVVGGVSYGLYSITKRYIYPLVAPPTPEKLEQDKATVDEQFEKAFATLDQLSKDTESL KASEEDRTVKLDKVIWELEAFIRDTKSASKRQEDETERLRDEMKSLKEIVPKNMTANK DFTDGRLREITTEVKSLKALIAQRMTAASPATPSTATSGSGNYLKPSVVNVATPASPA AVPASPAVDAAAPASSASVPASPAPGTPGKETETNGDATAQSSKQDYISSLGGRSSPF GSGMPMAGKPAIPAWQMALANKKSNADAGSGSQQESSSSSGAGSKPLQY PFICI_14103 MAQAERSALKAQIKSQLETLRVVLPRVPLLLRVALLHILHLSDA AKYLDLRSDLTVAFLRHLLTESKPSPMSKLQATTLKDPGVQGRIWVSTVSSGIPPETS IRDAVMAAVDGTKKDLVTADEKCRVPELVSVEAEWMSYRAAATSNAKPPNISEQDKYV EMMKEVKSKVTVLHLHGGAYYVLDPASYRPLCKKLAKLTKGRIYSVRYRLAPQHPFPS AILDALVSYFTLLYPPPGAVHQPVPASDIVISGDSAGGGLSLALLQTILEIRRQGIKI TWHGREREVPLPAGLALMSPWLDAVQSLPSWITNVKWDYLPPPNLLSLNVPADSIWPT SPPRRHIYMDDAYLLHPLANLQLADSWESSPPIYICTGWECLTDEDRYLVSKLTKDGV TVVYEEYEAMPHVFPFLLGQIPGSRKCLDAMAKFMAAAVEEYTNVASKYTLIKAKTCQ ESDLDIKTLSPFTIEELKKLALEAIEEKPEPSKMGLKL PFICI_14104 MERHRAAVRLISSTIRSYFDRSLPYRISHGSTNSTRPAHLKKSA HLVDISALNHVLEVNKARKTALVEPNVPMDAFVAATLPHGLVPPVVMEFPGITAGGGF AGTAGESSSFRHGYFDETVKSAEMVLPDGEVVNITPNDKGKNADLWKGAVASVGTLGV TTMLEVGLVEAKKFVRTTYRRTGSVAEAIKVVKEECAKPENDYVDGILFSKDHGVIIS GVMTDEAPKAGEAIQTFSGPWDPWFYLHVQDVTKALPSSDGATSSEGAAVTEHIPLAE YLFRYDRGGFWVGAAAFDYFKPVPFTRFFRWFLDDFLHTRMLYRALHASGESAKFFVQ DLGLPYETAEEFIDYTTDSLNIWPLWLCPLKTPSGPTFHPHLRGKGAGEMLNIGVWGW GPKDRQEFVKVNRALEAKVNELGGMKWLYAHTYYSESEFWETYGNREHYEELRNKYKA TSLPNVYDKVRVKDAPPEQGWKAWVKSVWPLGGLVGIVRGIQSKDYMLHRNAPWKWNG EGKEKTE PFICI_14105 MKLSSGLQLAACMGICMAEPLTEPQYIFSMPEDPSASIYKIPTS YESAVQGRRILNRTPLGVLSTVFPGSSKSDMSSDDDGVSDQERRPSGLGGLPVGLMDY IADCEEDGNPTILAINIATSFKNVAAGSNISLALQWTPPYPPAKRIQSAPPCSLLDRV LGKCHSEDGDDDDEEEDKEPVPYSAANLPRFSIIGYLEKLPTTASLSKCFVDTHPDAK YWLPGNRIHEAEFVRLVVQQIYWVGGFGDRAYIGWLSPDDWKNITREEWESVRLPGEK KGWKEWSAQEL PFICI_14106 MLPIFETLYTKRIYVRAMLVGGLLLTACLARRTVSVVGAPVKRD EPVKRDEPTSTIRSTTTRTTTVTIAYKPTLVPGDAKYELLGCYGQSGLDGAGGHPFGK ESDFASPSSVNTTELTVYSCLQGCFALSPPKGASADHFKYAGMKNGSECYCGMTIASD AEKLDAKNCTRPCSGDPKLSCGGSENLAIYNYVPDAKKAVASDATPTQSSNSNEPKPN RLPPTSAAPDNQGSGSSSPVGAVAGSVSGILVLAAILFFCLRFQRKKKALQNAHVDAM LSKHQDSTAVKQKQPLGILATNANVHDDIHLAIKEGLVPTTPALEKGAKQQNLSPKPA HMVATGEHPAQDRDSLYTTLMSDTNTGLAVPTEGNSSAVQWRTIDHSANGVPSSPRIA SPPPSAGIHGLGDRAWHRRRLSTPYGPPNAPLPPGPPHLLSRGPGYGRGGAAPQRPPR RGSVATFEVGRDTPLQSPSTMSFTSTSTEGVRPVPPLKLQRPGAGPGLNVQTSQLGRG GRSLTGARDMVGARKDMQPTLPKLPLVQRPETMNFDSPILPPLEAGERFNFDSRVWKD LPSPPMQGHGVPFVASPPDSRSSNEKDNTLKRHVNEDGVSPASASTVGSSILGSPTIM DWAGR PFICI_14107 MYDAAASEAPSFTIASSTTAMNPSSTEHDYRFPRRPAVGSEADR SVSAYNNSGISGSNTATDSSPGDLRLQELNLDLSNNNSGDIAHRDLLDSSVFPNWPAS ATVSKKDNLDQMQHDDPLATQIWRFFSKTKQSLPSQERMENLTWRMMHLKLRRQQQKE EEERAKEMARQKASKEGAAGNTPSGIAQLRQNSDQNIAQSDPMNLDDFIFSENISTPA GLPLDPASPELLKLSEDKAAHATAAAIPIKSRKVSPQQHFVPQSVPVPPHRGHEDEFG YVNRHHRKTSIDDRRTRNLKRPANFSPQVGNLASNDIDVDADVHEYSLDHSHPSGVAN ASHQPFSLDNFQMEHDPIITSAGPFQQNFSFSPSTSPMVTHNSFQNMYTQSGLAQNSM NAHDFYSPPASTYQSTATTPHPIPENDNMFFGSLDMRHQNQQQFHQGQGHNGNHVMQQ FMYQGNGNHMFPQSTNAPDASSSFAPTNTFGHVDPTQVFQEDQARSPTSGMLEQNMFS FGAESDNEEDGGSFPDRNLGMHPAFSPTMEESGMDMNGSNTLGWDASLPGQFSTQAAR YPGGPPRKQVTIGGATTDYVDANGDWDGQSSLSRSQSFRAGDRRQKIPRNASTSGLST GSRGNLFERLAQSNPNSPPTDTAGNASGFSSVTPSRPSSPLGSKQGSTTNLQGAAGNQ ADGNAPTTCTNCFTQTTPLWRRNPEGQPLCNACGLFLKLHGVVRPLSLKTDVIKKRNR GSGANLPVGGTSTRSKKTGGASGPASRKNSTLGISVTNSTPQQATTPPATVRAGSANE GESPASGGAGASGGNTAGSTPTSYAGSTTGAVGGKGVIPIAAAPPKNTPGPGAASVTR NVGASGSSKRQRRSSKSAGTSESAGGMDIDSPANSTGSNEAARSVSSASGFMAGMPNP GNVALTNGFGMTQRPMMPPGMMGMPGVPPNGMMTPGAGAGPQEWEWLTMSL PFICI_14108 MATKSCHNCRRRRLRCDRSLPTCNKCSTAGQECLGYDKIYQWTN AVASRGRLAGKASFDTHNPTTNGHQNTTKALVRGSRNGTPPTCPAINVDENMVAGLVD PLFQHMDKTARFYLNYYSKRICQELIVHDSLKLQGNPFRQLIAMSTSYPFLQDILVAS SAMHYANIMRRVSASKASVDALVDALRARADAIKGLQAVLQRQKFDARHSDEKEALLA TVLFFINFALIDSGKGGWRSHMRAARQLIAAQASNFALMPRQLEPDPERMADADEDSS SALVIPNLYLDTAATQQSLQSLRYTCNSIGIRDYVASDSVAYYIWGTTLDSLSNSATG VRRASIIDADEIRHFIDRTEANSYHSCPAQLLLLILRISRLARRVSTNDNALPTVEEM DTFLEFLAEAQAFEIKVWAHWVCAANAHVRAVDEMEINMRTAIASAYQAAVALYVLLS APGIEEYVGQRQAAMPEVDLYLPPIPTTKDLAATIHYHLSLIPSWYPLFRYTTWPVFM IGVEAVTPESRAWILNRLRVMWEECPWGMMKSAMETLTDIWQLRDGLHATDATDRNWL IQLKNLGHDFLIV PFICI_14109 MASMLVNGEGGVPPESGVYDGSDSPETLTKADIERLGRERPAAF THLSTEIAFVSTVVVSMMMSEYFVSGFNIVLPTIASEIDIPDAQRTWPAEVINLTTAA LLLPFARLCDRHGGRSIFLLGHAWLTVWSLVAGFSQNPTMLIVCRAMQGLGSSAYLPA GISLLGTLYRPGPRKNLVFSSYGAMACVGFYIGIFVGAVAGQLLTWRWFFYIGTIMVF VNTIVAFLAIPRHLGDGDASVCMDWWGLATIVPGVALVVYALTDGSHAPQGWRTPYII VTFVIGALLLCVAVYIEGWVAAQPLLPADLFRPKYMRRLATSMFCSYGCFGLFLFYAS YQLQTVLNTTPLQTAAWFTPLAVGGMFLAVAGGLVLHLLPGRVLLIISGLGYLISVLL FAVMPAQSSDGEPSLSFLYWAYVFPAMLCGTIGVDILYNVTNIFITTAMPARLQATAG ALINSLLYLGMAFWLGIGELAVSTTVEYRGGEDSVSLREQYQIGFWLGVGLAGLALIL NSTINLGEAAAEMTADEKEQARLEQSQQVSS PFICI_14110 MHSLQNLGTVALLATSAAACLHARHDISHLHNVKRQSSLPTPLS PEEETLVGAVSTTTLDEWSYYYTGAHLAGTNLSMAQWTADRWAESGLNSTVISYDVFI NYPINKSLSLTYANGSVFQPLLEEAVLEADETTSYPNRIPTFNGYSASGNVTAEYVYI GRGQQADFDRLEALGVPLEGKIAISRYGGPFRGLKVKNAQEHGMIGVVLFTDTADDGD ITEANGYAAYPDGPARNPTSVQRGSVEFLSIYPGDPTTPDYPSKEGVPRTDGSAVLPY IPSVPISWLDAQPILAALNGAGTDGAQVNRSGWVGALNVSYSTGPAPGVQLNLGNYMN ETITPLWDAIGIINGTNADETIVIGNHRDAWIVGGAADPNSGTAIIVELAKAFGELLK TGWKPKRNIVLGSWDGEEYGLLGSTEFVEEYLPWLNDTAISYLNVDIGASGPHVYFDA SPELHYVTQDTMKKIVWMDGNRTMYDVWYGDLQGNIGVLGSGSDYTAFVHKGIGALDI GASGFDQDPIYHYHSNYDSYHWMTNFGDPGFLAHKSMTQFMSLLAYNLADADVIPFNL TNYAVQMDSYYADLQATINETLTTLDTDPLRAAIDDFASSALQLEQQVGDALSANDAA QITAINHKYRDFQRGFVSQGGLPGREFFKHLIFAPGADTGYAAVTFPGITEALQAGNF STAADFVTRTAAAITTAADIIKA PFICI_14111 MTATSVGTATTETVPTSSAPQTLAPSSRMSTATTTRSNLMEERA PTRRIRRTSEEIPMQTFSGKGRRQPDLVDDDPTTPLTAYNLCHSRPRLSPLKGEPGPR ALVIFEAGLLGAPVDHHGYLADANVAYGYEEYQQQDGPPEMPNKGYVFVTYGDARESD AAIQRPGGVMHMDRMLVCRPGLPKGVAFRQDDLNGGAFRSHRSRRFERFDSMLEEA PFICI_14112 MLGRRLTPQILARCESILEALTELRDMSAEVCQDNLDYEHSPAH YPAGIMERDFGLNPAYRHLLDRIEKEVYKNKVMFFAQCNNCYTTFQQEMPDRKARKAA RLRKTRRNSV PFICI_14113 MPLGIRRWFSSRHRLRSDESIDQTFRAHGQRLTQRDVSSADGQL ASIREEREPDDDSERGSRRPHLFGGITVTTTTIIQRFDAISGSPRGSPARTLVTAASP DATLNDTDSLGSTLSVDEEEDVVQHRPSILELSVGDLIHSDSSLGSDEDEEQSVEYEN AQDPEEAAAYAA PFICI_14114 MEHDDDLERKIDAIYRRHGVSRDQQSEIQSLVARHCQAEVSARM GTMRDRAANELRDSAMRNLRNYQWLATPHDTWTIPGHDWQDRLKYYKRRFKEDIQGTE SLILEGSKQPMANSTVPGSGLSNHSIDDAADDESASPPTTGDNSGHTSATEEHDSTEQ AVTIEESQASENNFDTPSTSEDEEAGLLDPRTALRTFRCQSPPDGLCVPFPSPCPTPG RSNSAMTNSHAHHAPMYQVPPLSWYEESDEECSLEEQSTTNDTESETSDTSQQEAESH PRILFQYRTTCSRCLIGPPHPDVPYYYILGSADCILHGPHRIPSRSLRFRGRPPISRR WSAPRNV PFICI_14115 MAKQFPSDVVGTAVGVLVYSFLALTLNGLVIWLTWAHRERVSYV ALISYILFLSTATCIASQLHFYVDYDDVVTTQWRASVANPKNPEMAISNGAVGVDLGL WYFRQYSFSVASMLVCFWAFALLQSVYGWWAKPHLKVLFDRVNAVGKVVSFILPIVTI CLLQSKTIQKSIGAFMFLANVLLMISLAFGVVLLLGILTRYLQSRRQLVSWNVQYGKS SSNNSSLPGYAVAKQHNSGGIYDRWLLTRFTIAFVVLAIFELTNMLFQFTGMSNSNKD AIRASPDYSVERAKETTILFLPGPAPSYLLFIVFGTTTAFRRHMYATFVPRRWQRRSD ASEPARPWVMSPKRSSRSTIRPRTMTGPSPSSIPHAVAIQLADIEKDGRSPPSNDSDD TLPMLPLMKPTYQRTHVRRATR PFICI_14116 MYGVARVTIAGAFLASLVSASFDGNLNYDSPSKRHVNLGIDVPK VNRRVFKRDSIAYTAEELNFTHGVASGDPWPESVILWTRVAPSLDSDRSNVTVEGTVD LYNHETEKYIQASANPICVEWKVFQASDAQNTTTGDSTNVVSQGKAYTTSDIDYTVKV EAGGLQPFTTYTYQFNICGSNITSPLGRTKTSPAKDADVSELKFAVFSCANYPNGYFN VYGNAARKDEHDYVIHLGDYIYENAVQGERAHSPANLLFTLWDYRERIGQYRTDPDLQ LLTQNFAWIPTWDDHEVANNGYRDGFSALNNTEESFRNDGPSISVDQRKMNAVRAYFE WIPIRQIDMDDNLRVWRSFQMGNLLDLIILDTRNYDRSITSLGWNDDYISLINDDASR SLMGSHQEHWFYNQLTASSERGATWRVVGDQIIFSRIRESFGWNGDNWNGYAANRNRT FKHLYDNNIGNNIFLAGDSHQNWVSDLAWVGSEAYNNGTGSGAIGVEFAGTSVTSSGA SGDILTTRALAQARIDDEWNSELQWQDGYYRGYFLLSVDPEKAVAQFYGSPSVASRNP WSIPLANFTVLANENHLSRPVAGGSVEAGALRGGQVNPTNLTQNTETGEWEVIGFPTM YISS PFICI_14117 MVAVPSKAAPRQSLTVVDNRTGQTYEVPIKHNSVLATDIKKIKA KGGPAEDETDQGLRVYDPAYMNTAVIQSKITYINGQEGVLRYRGYPIQQLVKKSNFLE SAYLLIYGELPTQSRYDDWQNEVLNHTYIHSDIEGMFKSFRYDSHPMSMLTSAFATLG SFAPEANPSLAGQKLYTNAASGNPAALEVLDKQILRIIGKAPTLAAAAYRMRQGRPFN RPAKGLSYTGNFLYLLDHLSGHEYQPHPVLEKALDALFIIHADHEVNCSTATVLQVGS SLVDPYSMVAAGCAALYGPSHGGASESAIRMLIEIGSPDKVPDFMAQVERRERVLVGF GHRVYKNVDPRSTAIRALAEEVFKVTGRNQLLDTALALAEYAQKSEFMRSRNLYPNVD FYSGLIYQAMGFPLDFYPVLFAVPRCVGWLAHWRQMILQPTGVKIWRPRQLYLGEGER DYIETKDREEKPGSAAPVQVNHGGDSKRHVLATYKDETGRVWGKSKL PFICI_14118 MPLRRRPGRFIEATEPRNTPTARHQGIASAPQPTEQGEAWRPGD GGGQQMQIDPQGGRQGEASRTSDTRPAWHSAGKPARQLRSSSNGGDPGDEESLRYLIE FLRRTPPPGNHMSIPDDAASIEGSRWRRALNPFRRKSRRRPGPITIQLPDSAVASTTS GGYRHIAISIPVEHSYASHGRRQSRRRSTVVEPLEQESTHDIEERLGVVETRLASLRP YSSDQGAGTVLQPVAEAREPKPISAREAPPRPPRTSSMKRPSSSRVHRPARDSGNALP LDATPPPKQTRPHATGLGPAARRPSEARSTAEESGARRRPQPIVIVPDVTPTLARQTG RIASSPRPKSNVELVNQPQADDGKDLSSQGSSSQAGTPITPAATSDIGLKLPPRKTSK RSTFGGQRSIPGLLSPSFSQKSSPGAQSSSAYGNNPPSPEANILRPRIGSVVTIDSEP RVMDAQAATAHRAEAVPLVLGDSAEASAEPSSSTDGATSSPLHSAAAVSRSAQTDITT MHHLHKALSRKEKVRQRKHMDLHSNRKGKTSQDVFFSDAPPSSTASHHLRRVSSVSDQ TDTRPIPIRSRIPHTAKADQSRPSTAIPRIPSAGQSEAPTNSPVSILKPSRQASMGSF RSSTTTSSSSSFVHSFDRLSSLRQIQRRIERDVRTTREALDGAGARAEATSAPLNPEQ LQRRHEYLRERRLRDVERRIRRIERNGDLWLRSLPGLLETLGKMEEHWRHASQQQQQP QPLSRPVNEPPSPATTRTRATSHLTSAGTSDYSPESLEPLISSLQEVAKLIPVSPRPR QPLAEPTTQRQRAEDSFGAEPAIPERAPERIQKGQEATRLEAEERARNSGSQTDSNDR GAEAGIVSPAAGQMEHQEQERRAATSPVYHLAPETPETAPEEAKTFSLWW PFICI_14119 MSEQRSAPEDESAAFFSDLKLEAPYPSDTSYPNSLDIPGQPSGG DPWFPSTHNLPHSWSDNNSSYPPYNPAGYGSSPGVSNSPWPGYEHSVEANSNNPTYYP NSPSYAIADQLSPSEQAFYNTNGNASVVGDPTLPLNYHRQPELLYPSAPSVPSAPSAT SDGHPIGQGQPLSDLLEVLPKSRSNAISADPGSRLARILVATSPHINNGPKGKESTDY TTVVAAYGAIGKTTINAIRDHASPRTLHTTCLSVNVLSRLVSRPSTCSISKYADMVQN PEDGPLAQGQRASYFDD PFICI_14120 MPGIIRSASRPPRQFSNPKDPGGHNDDDNQSDSSGSSHQNHRCC SSSSTQQQHDSYYTLDLPYTAYRGAFLGGHYRLHQLRLQTEFLDIFSVVCLCGRVFEA QAFSLSLGADAPERGLPLPKQLMDSRRRRMKRIYRSDNFVDVFEDSGKRFLVSKVDRT EKEWRDVCDQVICRDVDYAADLPARLVGGRRLQKRGRHHGGGGACCEIDRRLGSTSAD VSISLCLVDDKADLSDVYSYRCVPIYNAAR PFICI_14121 MPSALFDKTIPRDLNDISPIASLEALDTETFATLVTEVIPRLSR RGGVGAAESKTPFYTKFLDIVQRRANEPAVVSAIAAIITQPVNSEDDLHRVLGALHLV VCELPEDQILPYRETLVAVSDPDAEGRGDRLFTGWVSPQAKDLLRFVDRRDEAWVSEH KADYMGTRSLRERVRTAREMRPFVHDLLSWLQDGNWPPYKPCEEQLARFPQIAVMPIQ KILKESRGDGGWINNLLRFVQDHVPVGRSWESIYPQVKALIDAPQGDEDEWETAECAQ EWLDVLNRWRSSQEGLRCA PFICI_14122 MAGIMAGLSRMFLECMEFIGFAGGVPEVLGIIEYFTFMEKPAYI LAFWLEPLIATGLLLYGEHQRIAKDDKEVKELTDKAREAGIIGPNDQLPGMPGGPPNM FATFSTNEGRRTQENILAKSFPEERIKASVEACRSCKSKCVPAGNVTFPASNETVVCF TGCLNSRPIPESFLRRRVVQGDGPLVEVATNHTAGADQTQSINIAIPAHIAPRAFVTV TVTATPTSTTTTSVQARDEHPDRLPALQTDNVFIDAVPGHSGGFAGPGGIDIDHGHVE PRQISDLSLTSAIMPITFSTLTYAMPTTVSVAPYAGLPF PFICI_14123 MAPHETGTTMAATDMDMDMDMSGTSSSSSSMMTMMAVFSNSMDT PLYSTGWTPGSTGEYAATCIFLIVLGGILRGLLAAKSLQEARWLDRELDRRYVAVKGK LPAAEQISRDSLAKRMTMTLSENGAEEDVMVVRRQGVPTRPWRLSVDPVRAVIDTVIA GVGYLLMLAVMTMNVGYFLSVLGGVFVGSLLVGRYTIAAEH PFICI_14124 MADITDQHDQSAAGDLDDSHAVGNGNSGAESRGVKRPRGSIAED DDDDDEKGGRERRKIEIKFITDKSRRHITFSKRKAGIMKKAYELSVLTGTQVLLLVVS ETGLVYTFTTPKLQPLVTKAEGKNLIQACLNAPEPSQNGDVDDSNQVESPEEPSNVHL PPQSNRPGMPPAHMPGNYGIPNVPMDAQQAMAYQNYVQRQQGSQYAMPPQQGMPSHAS HQS PFICI_14125 MVNKVLAAFVAVDGLFAIMGAIMMGFSVIVLNTCFNPPTEGNEA ARDLLYQRFPLTAGIANAAFTLFIFLVTLPALSTPARGWLKFAGYLVVIDAVFTMIIG LDLWIITLKTRQEFFDIWVSQNADVQSLLQTEFQCCGYFNSTSPAFVTDVTCPSPAAA ALIKGCASPLTSFTNVFVDNIFTAVFGMVGIDVAMVMAIACLVKDRKERERFRYIDEK NGVKGGF PFICI_14126 MSKPWIFVSPSSRGIGHAVTRHLLQNTSLPIVATCRSRDTAATK ASLLDKLPASTVQNQHEKEDHASRLVVLRLDVTDEPSVRDAAEQAAELFPRDSHHLHL GVAIPGILHPEKSPLQVDYSKAEETFRVNTLGPLLLMKHFGEFLPRKRTELLLQGAVD GQTMEKDQLRLPRHATWLTMSARVGSVSDNRLGGWYSYRASKAAVNSLTKTFDLHLRQ RSGDRALAFAYHPGTVKTGLSREFWAGVPEDKLFSPEFAAERLCAVAASRSSTGEHHR GRVWDWDGKEIVP PFICI_14127 MLVSLTVGKVDAGVTVLLTPDKRLIEFPSILLPPNIASGSIVDI TVARNTKSESAAESRFSALQDQIFNAFGASQPSVPVLRCRNATQTSVVLEWDPIELAT ADLISLSLYRNGQKAGNIPRPLHMHSTKISGLAVDTEYSFYLVLRTSAGTYRSEEVVV NTHKMTDLSGITITTGILPGAMKESLNSAVERIGAKIVDGVRIDTTHFVTTEGRGMAW EKAVEMNIPVVRPEWVEACEKNGRILGVTKFYLDALRPGPPDTDTLASRSSQPEQPPQ SQSSQQEKALPPEPAAENGESKEAGKDKEEEESSDDDEEKDDSDEKGEDKAKAEAEQK MRLEDKEDQKIALRPKDAEKAGQGDENGDDAEDDDNDDDDDKPAPSPGDGASFQDVAL PFICI_14128 MRWFEKPEHPNAGCVLDRYVSWSDGSQPLWWSAAAYGNAAPFIC TTAQRLINRAIRLALEENGYDRYGRAIAVAGPRPQKHDLYGTLRILCTSPKVLCQLKF STDILDIGRSIVTAALPHLAIVQNGKPASVAKLAPKDSLAMKQAFYRISFSSLNQSSN YKQDSKGGNFATKRRAQASSRKSTFR PFICI_14129 MPKNKGKGGKNRRRGKNENDNEKRELIFKEDGQEYAQVTKMLGN GRLETLCFDGTKRLGHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVIMKYTADEARS LKAYGELPESAKINETDTYGPNEDGECNFEFDEDRSDSEEEGAGGKEIEIDDI PFICI_14130 MPSILSDEDKETVKRVIPKQTNKIHAVAVARLYVAYPNKSKWTY TGLQGAIVLADDLVGHTYWLKMVDVSPAGRGVIWDHEIYDTWQYNQDRTFFHTFELEE CLAGLSFVDEKEAKQFKKKMDEREKNASKATKNTPFGGHGQPVKSGGLFGSIFGGHKH ASTPTPPESPQSSIPPPPPSHTRSPSAGMNGFHKPGSEFATLEAYDPNWRDNFGNFLT EQGLTDDFIKDNQDFIVEFLKQQPPDAMPRSTAPPPPPPPPVNGNSGHGRAPPPPPPG IRPTSELTTSGSRRGAPPPPPPSRGTKRGTAKADVHREPTPPQDATPPPPPRPRFNAP PPLADAGKYAHQEPARPVPAAPAPGPPPPPRPSKTPLDSEDTSGQRFGVPPPFAGPRT TAPPAPPSRGPVPPPPPRDVPAPPLPPSLPPKAPTSNAPPLPPPTSRPVPAAPAASAP PPPPRAVPAPPLPPSNAAAPPPPPPPGPPPLPGGAGGMPPPPPPPPPPGGAGGLPPPP PPPPPNRDSGYASGVPAPALPAANSGRSAMLDSIQKAGGVGGLKKVDRTQIRDRSAAL PSGSDTGPHGSGLPPAGVAPGGAPNMADALAAALQKRKQKVGDSDDEGDDDDW PFICI_14131 MTGRKDSYPAAQTGKIDAEFKPHDPTSATEGAKAFAAHQAHPGP AVPQSMPEQEGSKEERQAKAKELNK PFICI_14132 MSAAPQPVKLSLPLEYQQHLFQELRSEDELVVLARGLGLMRLVT NLLHSYDAAGNNLIIIIGAEDRENAWIGEALAEHAAISMAPKARGLTVVNTDFTSVAA RERMYAQGGIYSITSRILVVDLLTSLLNPETITGVIVLHAEKVIATSLEAFILRIFKQ KNKVGFLKAFSDNPDPFTIGFSPLATMMRNLFLRKTSLWPRFHVTVASSLEGKKKAEV IELEVPMTDAMKEIQTAIMECVEVSIHELKKNNTGLDMEDWNLDSALLKNFDVMVRRQ LDPNWHRVSWKTKQIVSDLTVLRSMLQSVLTYDSVAFLQHLDTIHAAHSPQPGSTRQS QSPWMFLDAAHTIFSGAKQRVYSSTAKATEQTPIDSLQPVLEEQPKWAVLAEILEEID RDLYFEPALRDDSNGTILIMCSDASTCRQLRQYLEWMHVKPRADDPTSKKNRDEEGKP SAAYMMRRKLREYLKWKREFAQISNALFSENQEAIKGAADPRLGQAKGRAPANKRRRQ RGGGAAGTVTGRAANGSVIQYFEKPSEVDGLIAGVQPTEEEAAQKPDIIADPLDDMDE YYQLYEMQDLVVIHAFEGDQDEHVLEEIKPRYIIMYEPDASFIRRVEVYRSSHSDRNV RVYFMFYGGSVEEQRYLASVRREKDAFTKLIKERASMTIVDTGAENAVEDQQEAFLRT VNTRIAGGGRLAATAQPPRVVVDVREFRSSLPSLLHGRNMVIVPCMLTVGDYILSPTI CIERKSVSDLISSFKDGRLYNQAETMFLHYKNPMLLIEFDQNKSFTLEPFADLSGNLS AINPANVPSDLQSKLVLLTLAFPRLKIIWSSSPYQTAEIFENLKTHEEEPDPIAAVRA GLDKDVRAEDQTFNLEPQEMLGVVPGVTPKNIMNLTLKCENVREIANMTVEELNPLVG REAGRQIERFFARDLIDTD PFICI_14133 MGAVVSCIQNLFRTIGNVLMAIVNGIASILTAIIHGIASFFNIL ISFLTCGYCGRKRGGTTRTRGHHTTSRI PFICI_14134 MPITKYKAAAVTSEPSWFDLEGGVRKTIDFINEAGQAGCKLVAF PEVWIPGYPYWMWKVTYLQSLPMLKKYRENSMAVDSEEMRRIRRAARDNQIFVSLGFS EIDHATLYLAQVLISPTGEVINHRRKIKPTHVEKLVYGDGAGDTFKSVTETEIGRVGQ LNCWENMNPFLKSLNVSEGEQVHIAAWPVYPGKERQVSPDPATNYADPASDLVTPEYA IETGTWTLAPFQRLSVEGLKKNTPEGVEPETDPSVYNGHARIYRPDGSLHTKPSKDFD GLLFVDIDLNETHLTKVLADFAGHYMRPDLIRLLVDTRRKELITEADPAGGIATYSTR TRLGLDKPLDGEPASIKVPQDKTEDPSKI PFICI_14135 MCTHVMNHWQGCHHKAYLYTETCAAAQRRGGREVTGRFICSRGD ANRTAVQFGDRHIPTWMRGCRHRVEMQPAGGLPDCCEDCTRIHELYASIDADMAADFA DMES PFICI_14136 MDRVVFRLRQLPEDADRLKVVQLLSKSLGVESSAIDVQSLARST DPWVLSKTATLQFNETLTIQEVLKENKKGNITKSGDEWKVRVENLKDSLVLDSHFRGL TPLSDPKVHDADCIAISGLSSHPFGSWQPKGASKTFMWIRDALPKSLPSIRPIIYGYD TTLVNSNSFQSILDLALNLLSLLKANGWNSPTSKPLVFLAHSLGGIVLKQALVSLANH MRRDDLLRKAIRGAIFFGVPNLGMEQSHLMAMVDGQPNEAIVDDLSVNSEYLCQLDEQ FAGITYLQGIHLYWAYETRKSPTVSKNSEGVWERSGPEEILVTQQSAARGLYQLHSRS HLIFPINENHSNMVKFAENDPNYSIVVDKLVQIVAQVKIEPAIERESALSTAQNDTSN TETKASVVERFLDAPKQDYRLEQIEKNFKNTFDWAYDQQKPGLNQWLQKGTGLFWING KPGSGKSTLMKFIVQDSRTDDLLSDWRTSAVQIRAAFFFHYRGNTMQKSFEGLLRSVL TQIIKQCNSLSSYLWQSTNDEPMKSHDWTLSRLQRALFQILEQDQVPLHLCLFLDALD EYDGRLEPICKFLCDLSRIPQTTTKRIQICFSSRPWDIFIREFKDCPGFSIQDYTRDD IRDYCLGSIRNEDLTATVLEDLVPVLVERSKGVFLWVKLVVKNLAQAFRAETNGQDLE KRLKALPSELDEYYAELIDRIPHAHRLMVYVMLEITVRSREPISPKAFVCALSTSRSQ SHAEYMAAYTTLRRQRFTKLKRGYYPDLARQYSSKYCGGLIEVVKTGTSVARKDQEGV QVFHQTVEDFVMSPRFKALILGNLAKVTTENGNSYLAKLYFKNQPPSIPTVIEHMITK YTPLSLSAQYPYSGLKYYARAAEITTGRSMKDFWGSVPASYYGRSETKSLFKFAVEAG LQLLISEKLAEDPEVLKKSTEPLITKLMSSWPRPWVASMTRLLLHSGYRMDQDPKAFS CFVDYFDRVFRFTRIFDGPPDEQDQYLDAARILLEHGQSSDVDIQLGDDKRQRLKGKP LHIAPSPLAELLLEYGANVNALDSIGQTPLDYKFGKGINTGQARIGRTSLDIYKEGSE FIRKRKLIYEGLYKLISLLVSKGGITRKASEREIRRRLEEFAEYGWETKALYRALLPT PAPS PFICI_14137 MGLWKHSLQGKALVFAITAASCQAFLLLGYDQGVMAGIIGADNR FGRDFGHPDADMQGNITALYDIGCVFGSIICYFVGERLGRRTMLMLGGFIMIIGSAIL GSSYTIAQLIAGRIITGVGNGMNSSTAPVYQSECSPARIRGALLTLQGTVTILGVVIA YWTDYGTAAYDTGFQWRFPLAFQAVFAIFLILQIVGLPETPRWLVAHDRHEEARSVIA AIAAVPEDDAQVTRILLDIQTGLEEEHKGGPFKFKELISWGPEQNLRRMLLTISVQLG QQFSGSNMINYYAPTIFQGSMGLSRNLSLILGGCAQITYLVGSAIPVFLMDRYGRRNL LMFCSAGLCFCFVMVAILLSLGTTGPAYGATAFIFLFQLFYGVGWLPVPWFYPSEINT TRVRARMQSIASGWNWMAVFAVVKITPIAFQNIGWRTFIIFAVLNAVFIPMVYFFYPE TKNLELEDEIPLLFNKGGFTGGVFTSRGKTVTPGQHALNRNMDAKKTLHDGEEQIEQV PFICI_14138 MFTKALFLLALGATSFAQTIPAGYSKVYITSAVDAKFVIVPKSA ANGSTVVVQTLTNKPEQQWYIQKGNTSIQLADTALCLDAGTKSNWKDMANIYLQPCSN TEAGQQWVAMTDGRIALAASSPQECVDLQYMRATANNPVGLYSCAGLGNTGAADKGIN WPLVNVTA PFICI_14139 MVTTRSQASRLATDIHKNPQQQEGDNNPSDSESSSIEEQRLPHP KPLLAPELDLLRWITEMREKLDHDSVSIGSCQDQLEYFYASLGFRSNKVIGSLRSHDM DKYQGDESGGFDYWQTLRKLQRRFLPELEEQKYIKSLDAKPGQKIHLLDLPQEIIMCI AHWCHYQPRRTTNPDSHISNNPQELQIPIGGGLDRLSQVSKFVRSLVVPLMFSRVELS CFRIDLAQCLGSLLELEKFLPHVRMFGLVVCELEEPPRSISAAERSDDERSAISLISA LKAMSGLREFALYIEDLEDNLLAEISNLVRDSELRLPLLTGLRISRNCSCDNLGVDDS CVSTFPLFYALASMGPNVERASLDFEEVFKTRQVPGLSGFGDLPKLVEVRFKRGAFPL SNANILTIVEAFPRLQRLDLPEPLETRSPKSLIPILSLLTDLEFLALCIDPENPESIE DFSRLQKKKCIAEDFFLQCHRLQHIYLKSHFSSRIGHTTDAFVRLNHSARSQYSQVLQ PWVEVEKAFTQGHFDRDFPLGRNYEVSPIWNAQGFPRIMQLGWRPRKSHSPTTWNYFK EEQEIVNGHVQSRWAKTEFPPYDSMLDREVWQSEQAWEDFR PFICI_14140 MPLPSQVDLNFFEAIPSSHDSSLTTVTIPPQDFSVFTTDSPTST WPPSSAPSQPASSAQQNFLHPPQQDFVLFDQPERTNANSAVPASANSAVTSSFGSLNS NNRRHSSTQNIGSPALQNQRVAQIIQATGHKTNTSAFSNRFNSPAQNQQQSQQQFFAS LSAPPTTVALNSPQQNRPTRPPVPLFTQSNNISGKMDLQGNSLSALASRDSSSHHPDA LSNFEDYAALEAGASGSSGFSSPAVTYSDMHSAASSTTNIGTVSPQDLQIRETFSAPN SAAFTNLTSPSLYEESPEFNDFDVSPHFGNADFVSDLSDPWFPLFPEATTIKDQQIQV PAILDNSPTLSEDLDVVEPTSQPEPRRKSSNSPSASHGRHSSVSGVNARRRDKPLPPI IVDDPADTTAMKRARNTLAARKSRERKAQRLDDLEAQIKKLEAERDHWKRVALGRSGS S PFICI_14141 MDEDAIRLNILNVNPPTPITVSAATAGPESGCHDVGNDTEATEQ EFSLPPTDGGKDAWLFLAACWSVEALIWGNDALSSLKLSSVLICGISGFGFSFGVFQD YYTSHEPFEGASNVAAIGTSALGTMYLGTPVVIALCRLYPRYARWATQIGLFVASLTI ALSSFCVTVPQLIAVQGVLFGVGGCVAYCPCVLYIEEWFVRRKGMAYGIMWSAAGFGG VVLPLVLEALLARFGFAGAMRIWSGILFTASFPLAFFVKPRLPASAAARSRPFDVSGI LTRAFALHQLGNIVQASGFFLPGIYLPSYARSSFGASSFMSALTVLLVNVAATMGSIM MGTLTDKVHVTTCIAISTAGTVVGVFLIWGLSSSLPVLFLFCIVYGFFAGGWTSIWPG IMRDVARQGEAAGRYVDPTMVFGWLCVGRGIGNVVSGPLSDSLLASSKAWVGQATAGF GSGYGSLIVYTGVASLIGGSSFVWKCIGLIG PFICI_14142 MAENSKSAGDAAAAFNRHFDQQRAAQGSRVNHQQPGPSSFRGRH HNLDAESDFLNNQLGDLSLEQGNTRQPNFFHPTPPPQHLFTPPQQSTYASIDEAALAE ARMNSAFTQPTSNFTSETASSSSTVVPNYSPYGHQHEGPLRYSHYQHSGYMSGPYSLH TFAPAPTAVAARPAYQQVQQAQQRNAAEPTPLAVAAAWEGAFDDAMDEWMQEQVRIEA DEASAATEAEAAEAEVAEAKDAAPALPSPSDLAKTAQLLVSAVENDDSDKFRNSSFMH LMRRIAAQEVVIEDNNFVTASPAEPTLDVERPDKGKGKAVYPEKK PFICI_14143 MAQVISNSGHDDMIHDAVLDYYGRRLATCSSDKTIKIFEIENET QRLTDTLKGHEGAVWCVSWAHPKYGAILASAGYDGKVFIWKEQGTQWQRIFDFALHKA SVNMVSWAPQEAGCLLACASSDGHVSVLEFKDNAFQHQTFPAHGLGVNSVSWAPATTP GSIVSSAPPSQGALSQRRFVTGGCDNVLKIWSYDPATQSYKEEGEPLTGHTDWIRDVA WSPTVLQKSYIASGSQDKTVRIWTSDSASQGQWSSKVLNFDAVVWRVSWSLSGNVLAV SGGDNKVSLWKENLRGDYLDLIGGLAQLVQDTDPTDTDATYWADQLDFILAPDSGLIE KVQREEQPLVQTKEETVEQISEQSDEELEEQLSDPSEDELEEQPLDPAERKAVLRGIL TRWLISLLSSRTT PFICI_14144 MKTNALFRVAGALLHLSLANAADGGACSSVLTPSYSAPVVGSGW TAQLVVTGLTKPRGIIWDSNGHLLVVQQGVGITGISFNDNGTTCLEVAGSGTIIDNPD LNHGIAFSNDGKTLFASSSDDVYAWPYDASSGTVDGEQRTVVQNLGGTGHTTRTLLMT SNNQLLVSKGSGENVDLRAQDMSTGVSQIRAFNMTSLPDSPYDYASTGIRLGWGLRNS VGIAEEPTTGGIFSVENSVDQISRDGTDVHQDNPGEELNFHGFLNGTTEHQGGNYGYP DCFALWDTNIPDVGTMAVGSQFSPSQNATLNDTTCNNDRVTPRLTFQAHMAPLDIIFT PDGSTAYVSFHGSWDRDDPAGYMVSSIAYANGQPVEDSVSIHSLRNVLSNADNSACPD GCFRPVGLALDSQGRLYMTSDSTGEIYVLAQAEMTATGDSTSTGTFITPSSTASGDSS SAGHSIYARGSIEGSKSGGSKLLIYTISLVIMCILFVRTM PFICI_14145 MEQPTAQPVFPVANATVPFWRTELHELDSHRSTEELPAEQDVVI IGAGFSGTTCAYYLTDDNAESPKITILEAREACSGATGRNGGHCRPDLHVGFATRRDN RGFEAANAVACFELANLEAVTALVRKEQIECDFEEVTSANVYLDEKQALSAKENLMEM KELRCPTASLVKYHGPEEAEKVSGVKGAKAVTTFPAATMWPYKFILHLLSILVAKGVN LQTNTPVLKVSDSADADGYWTVTTSRGDIKTKKVVFATNGYTSGLLPEYSQIIRPVRG TCSRITVANPGPPMGKLKSMVQYYGPKTADYQANRADGSFVIGGAWSTCRFKHVQQWR DVVDDSQTVPAAAGYFDDFMERTFVGWDHSESKVDKLWAGIMGYTEDSEPHLGKIPNK EGLFICAGFNGHGMPLVLLSAKGVANMIKDGIDFSETGIPSIYETSTWRLNKITEVPV PFICI_14146 MATFMQLPCELRTMIWDDSMPEDIPEVCILPPQEEMTDLGESDH DSEDDETCADDESFLTVDTAFSVLMHLCHEARDHALSRTRLHFSPAAGIAIPFRRFRP ELDVLYVPPGRPQAVPPCRLFARVRHVALAYWRPLCTAAPPVRPPSAACVFAGFPRVE AISIVVPSSKELPSQRGRLYAPVPARRCRLEPFYTGAAAKTNIIAAFRDGERKDVSTV LGFLNRIHCDIACYHMSRWRELGPRHDKVGGGGFVDLSLAAQYFAAWSPETSSDSQVR GSFLDSLQFPDGFVQHSKCT PFICI_14147 MLRPRPGRQQCKWNGLGSHLRCYVGTPTGHSAARLVSQSPDPDT VTTSSESTSTTASLKDSTATTLPDQQEVQSGLSTVIESLRPDQPPPELTTPDDKAHSD EIDLDDQDDEGEDQDHQDFNRRRSPPPRYPDAASSASASTSFSLPATRSSALPPFSSL YQFASAEDLADDHFQLPSSCSRGPASETAASSATAAPAYAPPSSNFLGQPAPTSSSGL RNAVAETKRALPQDNKAGSSAQKGEDSDEAPPAYEEGYSPLLSFSYLMAAAGGASSII TQVQQGGPPINAIGDVGADETITMDLRGTRFVLSRDELLTLPEFVLLSLFPNGLFPEG HMGGFAEGDAVQVDVSSQAITSAIPTPLLPNPIINSSLTHSSTTRNLLSNINMHFTDL TSQYDPASLQYMLDFFRNVAQTIPTEASPNASPDGGVTPIDSLGGGRDDGSKRAGIIV LREDLDFYVIPPRADIEQKEMIEVKRAAARALLKQDGIFSGLKRSDEPGTTEAHLIEM LTAGGFNHDDTWGHRAGEPNKAVVCSLALARLRSDIRGNDMGGNAVGMAQKLLLFWRK PARRCWWEGVDLNDVEGIDGTLKVWIRRVWTLEMSVIGLR PFICI_14148 MADTNSPPPDPGRASFLRRHILRTPTANSSSSSLHEMPRTRKLR MLVAANGSKDVAYAQAIAVRLLKDSQIETQALVDDVPRRLAQEVIVRENRSLATLAID ADQRTREAIEAARQTAYELVDWADILVLAPIDADHLAKMMGGIADTLLLEVLRAWDVS KKILLVPGMSIQMWENPMTKKQLSKIKKKWDWVKVMSPILWHYEGNSSSKRVVSWDGF NNLVGMIKNQAELLSLGHDVEVAAQQALSTSDPSKVATQLPPEIWTIIFEYVGDWELA QALNVYTTIPTPNEWRTNRLTLTDPADIFNNELEWLILSCRSPSAICRKLSEAPSDIL ISPLAVKLIIKFSLTEVLTYLENNLSKVFWASFHSKLLPNKASGVYGRTDILDWWNTS PSFLKKEYDAEALDNACRMGFVHVLDWWLRSGLPLKYTEQALETASARGHLLVLEWWR DAASQNSSIILKPGRSLLAAAQQGQTAVLRWWESSGIPVAHGDGVPKIASAHGHTGVL DAWRELKGDKLSFDCQVLVAPTRQGHVNVLEWWKQYARGEEAGEGRTHRVEYKTCDIE EALEDAIGDSKSIRIWWARNGLNLGLGVSEWMKTRCL PFICI_14149 MPEITITGWTTRDVRFPTSLDKTGSDAMNAAGDYSSAYCILQTD SEFSGHGMTFTIGRGNDIVCAAINHVADRIKGRTLSSLVEDWGKTWRHLVNDSQLRWI GPEKGVIHLALGAVVNAIWDLWAKVLGKPVWRIVADFTPEEFVRCIDFRYITDAVTPE EALALLRDVEGGKEERIQDALASRAVPAYTTSAGWLGYGEAKMKALLSETLAHGYKYF KIKVGGNLEQDKHRLAIARDVIGYDRGNVLMVDANQVWSVPEAIAYMKELSEFKPWFI EEPTSPDDILGHKAVREALKPYGIGVATGEMCQNRVVFKQLIVSGAIDVCQIDACRMG GVNEVLAVLLMAKKYGVPIVPHSGGVGLPEYTQHLSTIDYVSVSGKRSVLEYVDHLHE HFLHPSVIKDGYYQTPTEPGYSVEMKAESMDRFSYPGEQGVSWWKSDEAKPILEGEKY PFICI_14150 MASRAFFDPMTLLRAAPVISSTAALCYSFDQYNFLTNFLRPIHG DYFNESVPSYFASFFPAGLPQILLFYGISIGTGMANVSSQPNDAWRWYAAGTALAVGH FAFVPKIMWAVSALFEEFEDPKGQGNRKLKRWLDIHAVRSFVVDLPAWVCFSVAALAS LQTV PFICI_14151 MDRYRSPVALEALQTAPKPEGKKLRRKLQKIPNNNNNNKRNSVS YANSITSNAKTSESRGFRWSAFTKRNDSTKGTSQAANGSSPLPIDLSDPKWNDYLRTS RYVAKDVEFVPQPHIQEKQHSTTPVTNIVPEFAHLNVTNELPRNLSETASTDGLYSPD SHSSAASASTSRRKAKTPVTRIGQLEESAAATRDPASMQDATSIEHIAESYRALVETP SDVEERFMTPFQEFQELPSDLGTRAWEVPADGPVKTVISLSQSYKQDTGSPTASDGTL VGFEEDAIYFKPVSLLTDPPSPRNQVAEVITVSPSHGQSVASLLPENPTVQIISDLLT KELSTAFGSNAMRPSGETSSLQVWLMIEAYEKLKEKVDNMGLGAEHTQSVQSMFGMWL QTLHTIHDNLTGDDGRHSESDYGE PFICI_14152 MSRRLLSNAHGSSRHGSSRPGTGRGPSSNQRQRQQQGQEMPTYE PPSFALDARGRQALAELSKNTTEARKYGAELEKSVRLLGESVAEVNDRFTERKQVLAR HLERRRERAADDEDEEEEDDETRALRAAVDLLKKEVPELTKRCDEGVREVIDWMVEME DSRTASANTVQEVEQESIRAADRAAAAQARANWRQQQRERQIESGQDVGDDDEEEPEE EEERAEPFKGPLKRLHDNKAQLHADYEGKSLYQRYGLNNDYIQFKKLWHDAAHRDGKA LPDASKWFSSRHNDGEEDGDEEEEDDDLILAREKKDLRCPLSMVMMQEPYTSAKCNHT FEKKAIQEFLKSHAGRKARCPQTGCNQEVSIRDFSLDQFMLRQIKRAQRQDVDDDDDE DDQVEEHDLSMRVTSHRNIKKEPRNRGTVNAEHDEEEED PFICI_14153 MTTVRICVCGDEGTGKSSLIASLVKDVFVSNKIQSVLPQITIPP SITTPENVTTTIVDTSARPQDRTVLRKEIRKCNVILLVYSDHYSFERVALFWMPYFRS LGVNVPVVVCANKSDLVRDSSTPQVVEEEMLPVMTEFREIDSCIRASAREHSNVNETF FLCQKAVTHPIAPLYDHKEGDLKPACVSALRRIFYLCDKDQDGYLNDDEMHKFQVKCF NRPLAPEDLDNIKLSLSKSIPRYSTENGIDMRGFLQLNKFYAEKGRHETIWVILRKYH YTDSLSLEDSFIHPKFEVPEYASAELSPAGYRFFVDLFLLFDKDNDGGLNDHELNALF APTPGLPQSWIESSFPSSTVRNEAGHVTLQGWLAQWSMTTFQEPKTTIEYLAYLGFEP FGARDTLTSALKVTKARKRRNRPGRVERNVVLCYVLGAASAGKSSLLDSFLNRPFDSL YHPTIKPRRAVNSVELPGGKQCYLILEELGELEPAILENQAKLDACDLICYAYDSSDP DSFSHIVDLRQRFPQLDELPAIYTALKADRDKATQRSELQPDQYTSSFMMSSPLHVSV TWNSISEFFVALAEAATNPSTGFPKNEEPPPDRSSYYIAGGAVLCAGVAAFMIWRRST NAA PFICI_14154 MFVLPPPPRYPTQAAYNAAIAAGHATPMIETNNVLSTPEDSFQA GEGTYVLKEDLHLATPPPHPSEAPIVNPNPLATTPQPATAGTRISLISLETKAPPPTF FRHPSASALSTSIAEHPSEGRYSNDAKLSSDGEGRGTSLSDAPASLNAVSAPAFGEGN TLLAPEKTKDLNKRRKPKNNMTKSNSSFISRVIINETLSKKLQERSIDGVFAFANINR AFQWLDLSSPSKSDYLTKILFTKAHCLSHDVNQVTKATGHLDVIMGFSTGEIIWWEPI SQRYTRLNKNGVINKTPVSQIRWIPGAENLFLAAHMDGSLVVYDKEKEDANFNPEEEQ SPEVEGETRVEFSKRMQVQKSVHSKNQKVNPVAVWKLSHQRINAYSFSPDNRHLAVVQ EDGTLRIIDYLDEKLLSLFPAYYGGFICVCWSPDGKYVLTGGQDDLISIWCPSDGSII ARCQGHGSWVTSVAFDPWRCDERNYRFGSVGEDCKLCLWDFNVGMLHTPKATSVRQRN SISSRVTGLHRADTQGTSVSRLRSNSALTGDGDEEDGAINHTVEPRGRVPILPPVLTK KADKDPLCWLTFTADAILTSSKTGHVRTWTRPSDNTGS PFICI_14155 MPSFIPFTGGHRHSCTLFEIRLENDFIVFRGNEHEAAGQLLKGN VVLCLREPLRVEDVHLRLLGNCRIAWIEAKQTPTGIHNQKVDKTTTILKHSWNPFIGG PQSHGQILAAGNYEWPFELLLPGDTAESVEGLYQTNISYVLKATVSRGKLAKNHHAYK RVRVIRTLDPTALEFNHAMSVENIWPNKIEYSVVIPQKALVFGSTVPLEMRFTPLLKG LDMGEITVKLLETQEFSVSNPGTTSKTHKTEREVCTWSFEVNREESWNDNIDETGQDG WAVNKELPLPKKLTKCLQDCHVHGIKVRHKVKLTVALKNPDGHISELRATLPVTIFIS PNMPLDDDGNLISQESTESNERRADAESSNMAPPGYGMHVLDQLYDDVDPSGIMTPGM MTPGGIYSGLQSGFASPFYSQSRAGSSENLAALANGAVTPAALSSRLQNVSLDPSRRN TSFTSVGSQPHSQPHSGTATPYLQLNDGEQTAESSQPHSTELSRQTSAEEHSGGVSGH STPPEHLEVDMSELSKVPSYTTATRTPLPRTPSFTGSLALPDYLSAMSAPTSPSRTPY HELHDPLATITEGTIAGGDRHDPSTAGTATEPGTRSHSRSNSSNRRSHSSLGFNFLHR SNPGDSHGERHLRLTQQRHGH PFICI_14156 MSADTKEMPLRHGTTMSSMASTDSAVPEFDPSTTAGLLAERLQA WKHSVGYLESYVTAVEKAHAHHSKEYEKVLKTISQPLKEGRHFDQGLGGVAGFFENMR VNTQAMINSNTETEKTLKGTVLPILERLHKEIKAKAKEVEHGAGKSAKEVEKARNTTQ KHIELLGQHSASFESTGGKMNPTEDPYVIQRGVYHRLNKQVIEENNHRNDLIHVQENF QAFEAHVIQVVQQAMEAFNAVAGGQAEKTRALYADMLGAAQRIPPDFEWKGFLHREGA NLVNPNDPPRSVDAINFPNQNHNSTKALIEGSLERKSRNKLSWGTQTGYYVVTPSKFL HEFKDDDNFANDPKPELSIYLPDAVVGTPSGEKFNVKGKDKAKGIGSKLAGTSELAFK AHSPAEAQRWFEAISAAAGATGAAYESTPASPVVANDATSPSTEKTEAAALKEQEAGV TGSGGASSPATPSPLSRSGTIDTKAEPKTAA PFICI_14157 MTKYKPRENKSRNSPGEEPPRNEATNQQYPSNPGPSDQTSYNSP DAMMNPRESSWVQNQPSYYNVAGWSQTTSYGQPMMTPNYGHQSTMNSNSMGQEQWYPN YQVSPTYTAAETTTSTAGWNVSQAPTNTPMMEFPADASDEYEKEDEEEEEARYQDSSE RSPTPGNE PFICI_14158 MSLSGASSDGSSDDSYCGDVETNDNTSPTGSEFQDVDSQPNKGN TFEPLGEYLATQYEDIELYRPGGLHPIHIGDYLDADQNFEVLHKLGFGSSSTVWLCFD HYSRYYRAVKVFTADTSTLRWYDNPQVQALADRTVDEALPHTIVTPLKKFWVKGHNGK HLCLVLPVLGPNLLDGLEGAGLDTPDYLQDLCRSLAGTVNYLHQNGVIHGDIRPQKIM RVLASQWMWTVSRRDLFGRYIPKPESRKLKPLIRSFAHDGPRYLVSRADLGALEREFR TNRIAIADFGRVSQSSDLLEPTQVHCTGYSAPEVRLKGIAAGAASDVWSLAACLHIVR TGRELLADMNSDTAYLGWLTWVFGPGLTLPINNIRGLLARDGTTLVESNGREEEEVHR WLDRRDKPVSRYRRADSKRTFKRAERTAIKSPISKSNGSTDLDLDEEEVDGDSEASSQ SDTSSDGSDNSFVNSRRRSRTRTPPVKPRPEVILPTTYAEWDLLREEHAQRTGFPTLL DKSIGSTETWHEMSESMRSRGLLSSGIMRMRPGSRESTSSSHDERSEKTTSRSRSRSR PPSEAPPELRRSPRIKRPADDEDTSCSCSVKKQRAEEKSAIVVPKIDERDQVSCSETA DGMIEYVYKMQSSEVALFADLLMNMLMPDPKRRFTAKQALKHPWFKKRNGNSAITASV EANTDQV PFICI_14159 MESPLYLPHGEVASAKLDRNHLLSEALETIVRDYPPQDSYGQPL KGLWNGPTSIAYLFLHVAAARPELLVASRPARHWAQAYLGGTRDPEAMVLEPSARCGI SSEVLAWQAVRASLSGDPAHVAAFLSHVPAVVDGPAEAEWHSGRAGMLYLLRMICHWV PESAPLLQEATALVRGKIVAEGPDWEFKGQRYIGAVHGDIGTLTQVALSGPTVDEKKK LQQWLARLLDWQKQDGNWPVNEQVDPRPYVQFCHGAPGFVQSLKSIRPLFPELDDEIS RAITLGNELVWREGLLKKEPNLCHGAFGNCLTLPPTQRDVFLELATPRSVAEARLQDE AHFEKANYGWEFSLLTGYWPGAAWAWLVGEEPEARFIGYNDV PFICI_14160 MESDIRAVLPDVDPVVSEYSAGYLRHASMAWTDEEEATGLSPLA EAAVAITELLVSASGNATGAEQKKIQDLVAKWVEKYEAVNNENGERRGPSAAKRLDQA IQVGAQRNMSSTLAVATGSIDLESANQRKVESKVDRKKLEKAERKIAAKQSKKQFKNV EYEASRLLDQPDSTQSYEEFYMAVNPLQLGGSSSKSKDIKIDSIDVSISGQRILTDTT FTLAYGHRYGLVGNNGVGKSTLLRALSRREVPIPTHISILHVEQELSGDDTPAIQAVL DADVWRKVLLREQSEITEKLAGIEAQRSSMADTSADASKLDRDRETLDNKLGDIQDKL AEMESDKAESRAASILAGLGFSPERQQFATKTFSGGWRMRLALARALFCEPDLLLLDE PSNMLDVPSITFLSNYLQGYPSTVLVVSHDRAFLNEVATDIIHQHSERLDYYRGANFE SFYATKEERKKVYQREYENNVAQRAHLQAFIDKFRYNAGKAAEAQSRIKKLERMPILT PPEQEYSVKFKFPDVEKLSPPIVQMSGVTFGYSEDKILLKDVDLDVQLDSRIGIVGPN GAGKTTILKLLIGKLSATQGLISQHTRLRIGFFAQHHVDALDLTMSAVSFMAKEYPGR AEEEYRRQLGAFGITGTTGLQKMGVLSGGQKSRVAFACLALTNPHILVLDEPSNHLDI EAMDALAEALQQFQGGVLMVSHDVTMLQTVCTSLWVCENGTVWKFPGDVQAYKKRIAS QADASGVVKAH PFICI_14161 MQTVPSLIGTGTAVAAAATGVVFGQLVEGQIPPPPPHTTPIRNN YAIAEAELNISAYSSRPGTRDSAAAVADIFSPPLSAQFENTTNPTRMPRRMSQTQITS PKASNWRYTSAKQGDVNVVPTAEDVRNSSSSNGSWMRRLSIRPISQHESLQSSIGPDS QSMFSHGSAAPILSPNSITAPQLPPNKLVKRSSTANGTNPGLLDRRSARSQMPTLRRP ATSHQRSATLHQLTVEAVQPASKLPSEQPQQTRPRAKTLAVPKLDSGVEISTSEEPRW KSFFHSRITRPTIKSSTKKSDASAKLGAKRLCVEQTGYERAYLITPRAVMTMPVDDEA YDGSLEADTSANAQESPQGSNKTDSPLSGTPSRTPKRSLSIQLGSSSNWMPRNGSIRR RKRGAKTEATVTDQRHTSDPVPVTSNAAPDETGTGVVNLSSTSSKVETDLTAIFQPPH SRKNTPSPLPPLSRLSSFHVDLNRLGSSNGHAKSDDLANASLAPTNNARVNSNASHAR TSTVGSSEYHRGFMSGEDDDTTDTPFDSFRTSISARRKTLDSPVESMFDESPPSTSGI SKQPKRLSIQEILGPSFDGGNKIMEEDEGLPTPVRRAYGETEAAYRLANFHDDEESQY PVGQSSLSWANRDLGRLSLDDDDDLDWTKDDHDGVYNHLSPPSSMNSRRGSPHPRTAL TLNNGNSAQDSYDESPYERPRSNIWDWQEPVSLDKYEGVGLRPKTVHGKQELDMRGGR TASRRGPVPVHIRSQSVPVVPEPIDSSISTKFRTWGKNVSEEWDEDFEFDEALTPGLE TDKKAANRLSVIRVPSTIQANQPTVKAHSGQIRELSLLVNDLKRLCRLGRDMNMMSGS SLELWHDAEGIIALCDDGPGNDPSGASPQTPDSDLSDLDSGLSDLDLDLSDERFVDEG FDGSVLDLAEGLGKVTGQMKTAVVKERPQNRRRSVFSPEDDIFGGWLQTDNNAPSEHP ITPYGEPVRTRPKPVTFDSLVESMHLWKKQPTEIDDEAGTVNGDVDEQVKQKTKKAAN SKDKQGEKKTHYFDTTSLRDLVKRAGELRDSLSDLVRKEDHITSSPIRTPRRDKVRNE DGSPAFTRVFEEPSSTPSRPLPHSRSTNSILTTSSMTSSPSTGMNRRIQMMTAAH PFICI_14162 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDSNGVYNGTSELQL ERMSVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDQVLDVVRREAEACDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICMRTLKLS NPSYGDLNHLVSAVMSGVSTSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAHSFRAVTVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNVQ NKNSSYFVEWIPNNVQTALCSIPPRGLKMSSTFVGNSTAIQELFKRIGEQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAGVDEEEEEYEEEPLPEDE PFICI_14163 MAASSRKKLVVVGLGMVGVAFIEKLLKLDARSREYDIVVIGEEP HLAYNRVGLTSFFDHRKVENLYLNPQDWYASHAPENKLGYHLNTLVTAINPQDKTVAC SNGDVVSYDILVLATGSDAVLPRHTPGHDAKGVFVYRTIDDLERLIAFGDKCKGTVGA VVGGGLLGLEAAKAMMDLQCFSKVKLVERNRWVLSRQLDQDAGTLVVDKVTALGVDIL LSKRVGEIQRDQDNNVTGVVFEDGEQMECSTICFAIGVRPRDSLASSAGIKCADRGGG IIVNDDLSTSVPDIYAIGECASWQNQTFGLIAPGIEMADVLSFNLTQAKLHRPRVFKR PDLSTKLKLLGVDVASFGDFFADRDGPSHLPALTYKDPFQAVYKKYIFTADGKYLLGG MMIGDTSDYIKLVPMVKNQKELEIPPSQLILGAKKEGQDDGDDLDDDTQICSCHNVTK GNVVDVVKKGTCKSLGDVKACTKAGTGCGGCMPLVTTIFNKTMKDMGAEVTNHLCSHF SYSRADLYNIVMVKRLKTFQDVMRDAGNDKESEGCEACKPAVASIFASLWNRHVMDRT THGLQETNDRFMANIQRNGTFSVVPRVSAGEITPDKLIVIGQVAKKYGLYTKITGGQR IDMFGAKKQDLLAIWNELVAAGMESGHAYAKSLRTVKSCVGTTWCRYGIGDSVGMAVR LEERYKSIRAPHKIKGGVSGCVRECAEAQSKDFGLIATDKGFNIFVAGNGGAKPKHAE LLAKDVPPAEVVPILDRYLMFYMRTADKLQRTARWIEQLPGGLKYLQDVVLRDKLGIC ASLEAQMQELVDSFFDEWAEAVKSPEIAAKFRQFANTDEGADGMDVELDRDQARPVMW AKDSATADFKGLRARWSSTSWQPVIEAAHFAGADDTPNGISANVKRGDTQLALWRVRG RYYATQQMCPHKRTFILSDGLVGLDPSAASTAASADTNAPPSPPPSPTSSTAEAVTAT TAAKTTTTTPSAEPCGDAKSPWVSCPYHKRNFDLTSGDCKNDSELSIATFEVQERDDG LVHIKLPPVDELDRELGTKRWMVKKGEAGETQFADLDRKIGFKGLRAKKPGVRPMGDA TMRKPVEVLAQSAHGGCGGPAGIDW PFICI_14164 MASNESESRVISGIPFDPFTPPTIQIKVHKLRFTLVEGLDSNPY IDLKAVFKQMKKSLGCGGMIMNDPEVGESIVLSGDQRSKVERFLVDEEQGLGISHRFV KVVEAEASRGGGTPSPKLRKQKKIKSAMDLPELMRLNNI PFICI_14165 MPDQTLKDKVAIVTGANTGIGAAIAQELAGRGASVVVNYPFESL REAAEAVVVSLPTASTSRSVQADLSTESGPQSLVDAVVAAYGRVDVLVNNAGLAVNKQ FSEQNLKDWDLLVNLNGRGVFLLTQAVLPHLPKPATERGESGGRIVNICSISARAAPP LQTIYAGTKGMVDSFTKVWAKELPPKYGCTVNAVSPGPTMTQGFAAAGDEFMDAMRPV MEQTPVGARMARPEEIAYAVGFLCEPRASWINGLHLVASGGLHID PFICI_14166 MGNSQGKPVDLNGEVNLNHFRLLRVVGRGAFGKVRIVERKDTSL SFALKYIRKDEVVRSESVRNIIRERRMLEHVNHPFICNLRYSFQDIEYMYLVVDLMTG GDLRFHISRKTFTEEAVRFWIAELGCALKYIHEQGIIHRDVKPDNVLLDADGHVHLTD FNVASDVIPGKVLTSKSGTLAYLAPEVYGGKGYTVTADWWSLGVLFYECIYNKRPFEG NSESSLSNVITAANPKFPITSPPVSLPCLYAIQKALDPNPKTRMGSTWNSFIDHEFFR VIDFDALLRKELEPVFVPSADKTNFDATYDLEELLLEEAPLEARARRQKPREKLKDDA TEKEIREEELYKTIERDFQPFDYTIAAYNKITAMQGEAGENSNPADPRAPGPEGMTHG QAMSVNTAIQAQPPNGAGIYRPEPVQRHMGDHPNGPGGRAPPGRPAPLPPYPTSYTSR PSQPSGMRVESPTGGVQVTIDAAGSWSQLARQDATLPTDANAQNDDKSGSSGGGVFGL FGRKKGRGHSPKPKERGVLGKEGARVVIG PFICI_14167 MADLTTTPSCTIPSSTTTASTLSCPTSGPSEWLSLQDSQEQQVA PLAMIDFFFPGFSLYAGAVQRTLGIDLSMYISGLVLLSLLTWIWCWASSRFLKTIETY FMSSVDIRTDDDVYSIVMAWVATQKFATSARRFVANAESSSRAWHLRSYDCDSYDAED STDLLLDQAGGGNGGTPDGSVQGQFGRRALAYSPSFGTHYFWYRGRLLLFKRVAKDST SSYPSSNEEITIFCYGRNPWILKRLLHEARALYNKRDETKTIIFRGMLRGGTGILEPE WQRCLARNSRPFSTVILNTKIKQEVIDDMTDYLNPATKHWYANRGIPYRRGYLLHGPP GTGKSSLSLALAGYFKMPIYIVSLSSVTASEEHLTTLFADLPSRCLVLLEDIDTAGLT HTREEDGSDIAETDDDETDDTDTESAADCSKTRHGPVAGGRPGGSPGGLSLSGLLNIL DGVASQEGRVLIMTTNHVDKLDKALIRPGRVDMMVEFGRADEDMSAAIFRAIFARLEG DRDISHRDASALEEMSLQARRKRDEDMAQEQVKETARVEAMALEFAARIPAHEFSPAE LQGHLLRHKRDPQAAINTADEFVAQTRRDHKDKELKEAEKKRKAEDMKKQKEEKAAKK TDKKNNRDKKCKEAKGKLCADLDSESSDAGAESENKIKKERKSKTKGTQTSNQPASSD SESSSVVEVEKPESSVGGDHTKAGE PFICI_14168 MSSSATGPTDLGGQTPLQQHQHQQPLQHEGYNIADQMEVRAASQ SNGQGEHGDDDDDNDEQRLPCRLPRSLTLRLYTSHFLSTWNSRVFEFGAVLFLANIFP STLLYMSVYALVRSASAILFAQSIGSWIDRGNRLTVVRVSIIGQRLAVAVSCAVLWLM ERKAGSHTYSVMQGQFALVVLLACVEKLCSVMNLVSIERDWVVVMTEGNEVVRQDLNA RMRRIDLLCKLLGPLVISSIAAASTIIAIWATLGMNIVSVLFEYVFIAQVYNRTPALK RTPPALMSEDQRPNTDGHNTHAVQTWLKSMKANLLPLGSLPFYFHQPAFLPSFSLSLL YLTVLSFSGQMITYLISIGYTNLQVGLARTVSTMFELSATWIAPRMMKRVGLVRGGIW SLSWQMIWLAGAVSWLFSDFHGAGTNSIMAGSGLVVGVGLSRVGLWGYDLCAQAIVQN EVDANHRGTFSTVEAAFQNLFEMFSYVTTIVFSDPKQFQWPVVISVVAVYMAGGEVTY FMCHAVAVKSRKTAEMEVTPSIIKYLSTMHQR PFICI_14169 MSGHSLYSGYSGSSSRSNRTSVSYCQPEPGRHHGGVYRETDPHD SRAVRFAAHFLQGPFSGALRKSDRQHSSSSSRHGHHHHGGSGSSSYSSAPSSSSRYSA SSTRSRSPDSMRWQQQQQQQQQQQQQHQQQQYQHPQQQYQQHQQQQPRYPGPQAGYRP AGPGYGPAGQQQPSFARQPPDVWGKGQPQQSGGWPGSGPQTTHVLWDD PFICI_14170 MNGGSDNKMPIERRASMRTSMRLSISPGEDDDYDMQMMGISDGF RPTNMTQPPQQPLPNPPIPLQPTPSTKSVQKPRPSSIAKPPQPRESFSLRHDGGMGSL ADSVVAGSSSNVAQTVARAESPYQGPSGPSFPYQMYTQDVRPQRTNSLATTVTVPATE RSYNGPNNPTHPYQMYPQNTAAASDVPEGRASPVIPVGFTGAVDNYQRRLGPEGEEAG DMIGPDGHTEQLPPYTRYPDEAYQRKIAGIGGMPAMEPSAGASSASQAALAVGSASVP TSAATSVSSPSPRSSAGTTALQAIPGAGGLGLATRNPEFASTDDLSLQAGHSPQSRQS LRSFTSEGDNPQINTAAQTVLSEKKPAKKWQIIARRKVWGVVPCWALTITLIIIVMLA IVLGTVLGIFFNKPKKPPPPSDSSSATMTYDASPLSTVPADLAALPSGEFALPLMLTR SPTTCFNDSTQAQAWNCNQVFAQLSLHIDKLSETPSTSQYSMSLHYNESFTIESDEYS YGMQPPKVNDVTMLLVTDVNEPTRGPAWAFEVAYNKTVIIPEGLFPGSSSSSSSKRGS PPPPPPGGGGGGFPGGDFKRKGLAQSGDKPWICSWDGTILEVFVYPNQNNSQPSLVFP DSGPSFTGGTTTATVTSTASSSTATSISTDDDDSESADYRNQYTSHHRRQASASPSAT TTTSTSATSTISSSGVFDTSVPSPSDFQVPFFPRVVKMEERRLAGSPSVQPYCRQYQI NSNGPATPLTDSNGHPIEVKIVEIEPDEDESSHERKSSRRERLVQRKVIDDYLNNGVK PRDSDSDMSNCGCMWWAT PFICI_14171 MPQDPNLYGQRPAKKQKKEIPLSSSLAFSSQLSSLLSSSSSSAT PTSTATSGRMRPSKAKDDLFSVKAKRKANSARDVGDGTTKLQIKDVHGTEEDKQNLAR TRRKMEEKARLYNAMKRGDYVAKEGETGPLVDFDAKWAAANPEGDDGDRQSSSGADND SDDDGGGGMPNEIIEYQDEYGRTRKGTRADIARQQRMEARRVLGAEELDAMSARPKAP ENVIHGDVIQSHAFNPEDDKWDQMEALAARRDKSPTPPPDTHYDGNWEIRTKGVGFYH FSKDNKERDEAMKNLEQERENTERVRKEREAERERRKKEIEERRKKIGEKRSKRMADQ FLEGLGAELAAADKTGGKEANESTETAS PFICI_14172 MSTSPVSIAIIGAGGVGKCFLSQLQALAARRPNPKLSLVYISTS KKALFKSDYSAIPIDSAVQELSAASTAPLALPKVIEYLAAAPSKVVLVDNTSSQDVAD SYPAFLRSGISIVTPNKKAFSGSYQLWQDIFNAASTSGAKVYHESSVGAGLPVISTLK DLVDTGDEVTKIEGVFSGTMSFLFNSFAPLEGSGGKWSAEVKKAKELGYTEPDPRDDL NGLDVARKLTILARLAGLPVESPTSFPVQSLIPQALESVASGDEFLQRLPEFDSEMES VKTTAEKEGKVVRFVGSIDVATKSVKVGLEKFDRSHPIAALKGSDNIISFYTKRYGSN PLIVQGAGAGGDVTAMGVTSDLLKVLSQI PFICI_14173 MAAPWANVPSHEQYFVLITGANSGVGLAICQRMIDEFLATRSSS SHLILIPTTRSAKKSAEAINTIRAYLAKTVPRSKQLAARGISPRDAANRVHVVSVEVD LCKLPTIYQAAHRLVHGEVRDPTGAIHHGDNVAIPRLDAIILNAGYGGWSGLNWPLFA KQTFTEGPAQAYAFPKFKIALPSNTLPPQDIGRGGQDKSDAATTADSQKQPALAEVFT ANVFGHYILAHQLLPLLSRPEDSGGAAGVSAGRIIWTSSIDNEESLFSISDFQGFHSK GPYESSKRITDLIAVTGDLPRVRKASADYFTSPALRPEQQARKPRFYVTHPGIVPTPL FPLNAFLYFWYYLAMYAARYLGSPWHTCETYVAACSAVWVALTQQEELDSLEAHRVKW GSACDRCGRATVKKTEVPGWGWEGKVEDAEALKNDPAQGILRKLKGRKLGAVDLTEEK LVKFEEDAIACWEELEKLRRAFEEIMGERA PFICI_14174 MGHAAYADDIKPPPQGYALNNMSRSSNGDGGVDKSSSSVKDLDD DLKHTTTTARDLGDGDEDEDRDNRGGWRGGSRRGVAANDNDDDAGTVEEGSITERAGA GSAEYRVYKKRWFGLAILTLMNIIVSWDWLTFSPVSQFAAEYYGVDESVINWLSIGFF FIFVVVSPLTIWMLHMNPKISIMTAAALMLVGNWIRYAGSHSSDGGNFGVVMFGQLLI GASQSFVLSAPTRYSDLWFTPRGRIGATAIMSLANPFGGALGQLIVPFMVTKASDTSS MVLYVSIIATVICIPSFFVPAKPPTPPAHSGETVKESLLTSARKLSKSVEFWLLYIPF SIFVGFFNSISSLLNQMMQPYGFTSDEAGIAGAVLIVVGLVASAITSPILDRTKKFLL AVKIAVPIIAICYLIFIWMPATRDLAGPYVVLAILGAASFSLVPVALEFLIELSHPCS PEVTSTLGWATGQLFGAIFILISNALKAGPDANPPYNMDRALIFQGVVALAVTPLPMC LGLFGRQDNLTLRRLNSDTR PFICI_14175 MGFWDTITDLVEAAAPWATAEAEAPAQEEPKEETKDEESDKPKD EESAEVEEEKEEKEEEGEEEEEEEEEEEEEEEEEQDPKEKLEEECKNSKQCAPAKHHY DECVERVTKAEESGEGAGEDCVEEFFHLAHCATQCAAPKLWSVLK PFICI_14176 MDYGLQMQHGDILRSMTEEDQTIPELEPLPNEEILHQTRGRTSL DVSSPSPSPGVPPFSLKCDRGVAYITSKRLVFLPTQPTDQFKSFSTLILKTENSRVVG ASWGGFGANYWDAEVRPEADGNIPADYTRVTMRLTFNDGGHSDWALKYENIRGRLLHA ASVARETGNAHVLNAVTAEQLPEYSPREGTSSRQSQILAGQAQLERRAEEAAREREDN QPLPNEPPPDYDEAQAQAIAMRFDERQREDAERGY PFICI_14177 MASTGNATAAANAPYVARQQPPEPPQHGHQRSKSNVLKSFIHRR KDSDGTALPPVDLRAPVLPQVLTRPSMDMMYTPDLSKRPHALGEIQNTRVDGPPLRLP YKQPDSRSRSQSPTKTTSAFSLKPPAADDEDKLSREGPQKDQASLHKPKKTKSATNLV GILSRPKTLKNLHTMVSDDSYTRSKKDKENRTPPSSVSTPQEQSRPPIYAQFASGALD KQGPGTTMDYFRQASGGNVDRSSSDIATGCAKKARPQSYHAQYARPSDVKSGYSSIKA TSPSKTQRGLKVLTRGLLGSKSEESPVDKTEPVIDPKDIDKHLEAMLDRRNIPENQRY KMRNLADTIKMEFIRQDWAETNMAPGRPSINESDSGTNDGSVREGTKEKKSRGMTFTL SRNSGKKSETSSPTKKSRGEGTLGRHFRSRSTDSITSERPSSSGSGSGGGILSKIKAQ QGPADFVAYLRKVQKPELVEVGKLHKLRLLLRNETVAWTDEFVRQGGMEEIVGLLHRI MDVEWREEHEDALLHENLLCLKALCTTGLALQYLHSIQAKLFPALLHLIFDPEKKGPS EFTTRGIITSVLFTYIQSAPTEDRVVRAKTVLGHLRDPEPKEDERPVDFVLDMRRERP YRVWNKEAVSVTKEVFWIFLHHLNVVFLPREKEQTAGMQPKDSLAYMAAHFPQERPPV PAAPYVGGVEWDATNYLASHLDLLNAIMACTPTLEERNKLRELLRISGWERCMGGSLR LCKEKFYPGVHDALRTWVAAACEDGWEVRDVRYGPPPEARSPAKNSPNKVKKQADMAP PPKIEIPRLDFALDAMRTDAKKVDDWLS PFICI_14178 MNFLFNFLLLSIMAFLAAAAPLRPQSPPDSHSALTRGEDASFTT AAVAAVIDAAKSTTSTTWNDNDDGEVSQGDEDEDTWEAEEAHVNNDSDPWDHDTFIAE PST PFICI_14179 MGPSSSLNHLSNLKDSSSGPATSTTTTTTSHRPTPTPPAKQRMA LGLRNLVDSAKRFVSSPSTPQNEKTSTASVNDLFTVTRPEIDGDACEHDCDSCHVSYP RGFKIDESDVLYGHVKGWATHVLVATGKSDWVRDVEDEKGSVMQAFGRAAKPTNGRLM LSASNIPTPSHASDYSEPTTVLVLPAFRVVEGVLPADVPRLVDWINQAPTNTSPLSPL NNSLPQTIPAPAPDARDLVTRPSPHAALILLCSQKTRDARCGQSAPLLRKELERHLRP LGLFRDLDDERPGGVGIYFISHVGGHKYSANVMVYRRPDAFGVDAVERAKLVAAGEDL KPTIFPVGARKFKAKKPVAEVEETATSEEEKKQNNGDAAGGEATEEEEGDVGAAQCLW LARVKPEDCENLVKYTILQGKVVKPETQLRGGFDREKGLMSW PFICI_14180 MSEVKSKEAQNMPPDSQVKPEKELYPMSNWKYDAFGWVMSIIID LFFREVSHRGAWYVPKKGPVLFIAAPHHNQFVDGITLQRTLRNEAGRRVSLLIAQKSV HGFVGWGSRQTGSVPVGRAQDSAKPAKGLIYLPDPINNPNIIRGIGTDFEKEAEVGGM IFLPSVKGRSGSSVDIAEIIGPEEIRTKRAFSGQLPMQQLTGRDDIDQDGKFANKDVK GPKDGYKGTKYKLAPHIDQTQVYQAVFDRLKSGGCVGIFPEGGSHDRTELLPLKAGVA IMALGTLAQDPDCGLTIVPVGMNYFHAHKFRSRAVVEFGAPFEVPNDLVHKYSNNERR EAIGRLLDMCTVALNTVTVSSPDYDTLMCIQTARRLYTTGKKLPLTHVVELNRKLSKG FSKFKDDPRIIKLLENLHNYNKELRYLGIKDHQLESAKRSLPKVIWLLISRVVQLLVL SIGVIPGLILFSPVFVTTKYISIQKAKTALAGSTVKIAGRDVMATWKIMVAIFLAPAV YNFYCIIFAYTRGWGYAPDWLRWWAVFIIGWIIFPLITFAALRFGEIGMDIAKSLRPL VLALSPTSSYSIQRLRQRRVELTNEVVTVINDLGPDMYDDFERSRMVKDPVFPGGATS IPDLASMDAPESPTMLSRKNTTASSRALPRNESFSNIGSIAMFATRPPSRSRSRSSSS GGGFGSGGFPVSGFTTLDSKEGFDEATSKIRQAMQERGQMRRRKSGGGGGHFSDAESD KTD PFICI_14181 MPAYFYHLKFELYPTPVPEKRTAHPTKTSGSASSSGVWADTTWL PPQGSDIFGELPAHPLTTTYSDEYRVRHTVGNIDERTKPGVIDCGITTRPVTSEDEEV IGAPSERQHRQRTLSYPPPNADAGIKPEIATKDWRFGRVRIESLDVGTPREGNYDTMP DDGPSSSNTAAVAVGPSISSAGKATKARFVPFKTKNTEVGWGIVHLYRDGEESPELEN ARSTEEARSSQEQTTDVDCTTLCIPAVPSYLTASDFLGFIGDKWRDDISHYRMVMTGR MNRYLVLLKFRDSKRARQFRHAFDGKVFNHIEPETCNVACIKSVTFETPTRPNQSFPD LSHDPFTPSNTSSGFKPLPPPTPDLIELPTCPVCLERMDDTTGLLTIPCQHVFHCSCL QKWRGSGCPVCRHTNPSLAAFNEMDGMSRGHSSSSYDPEDPYLQPFGSHVSNLCSVCD SPDDLWICLICGNVGCGRYKGGHAKEHWKDTAHTFALELDTQYVWDYMEDAWVHRLIR TKGDGKVVELPGHRQDSPQRRSEDDDFVPRAKLESIGVEYTHLLTSQLDSQRVYFESK LSEAADKAAKAAAAAEKAASQASQALAELGKLQEEHRTLKETLPSLEKDIDRERSRVS KSTDLARNLGKSLQEEKKVSEGLMGRIEHISQELEALRAQTKSLELENADLKDQNHDL TMFISGQEKLKEMEAEGQVAQDELEEGTASVPEKKGRRNKGKGRS PFICI_14182 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGQ PFICI_14183 MTCLPTWPFLLFGVIEPLLLVWAYIVGMRDPLAFYADQVPGSPV GAVAASGGSDLLLQPQSLSLVLQLQNVYLLLAAMAILACVTGRDPATARLYLVAVALA DLGHIYASYAAMGPDAFWDYRGWNQMVWGNVGVSAFLHINRLLTVLGVFGPVAAVSPE GVKRAKKA PFICI_14184 MNVGFDQHAGDALLDLTAFDNQRNRYPYSTQTAATNPLIMAPTR PGAWSIPIDMATVPNHTNSTAVSSPSESHHSRSHSQHSQYSHSQHSHSPSPSQHSASP LQQSPTFPSVTHVHSPALLTDWTLLQHQQQHTGPHDISQFISEQPLLPFNAFPTSFQA NALGYLPATTQAPLDPMTVGAFNGMPPLDDAHAMQQNWDGMGMANWQDFGTSLQFPAD GLPRFGSLGSQSPTGTYLEVLSLGSSDNGWSQVDMYQNYDQYQQVQAQAQAQNAAIFN PGQTLHLRTSSDASHSDGSQHLDLSSSYEEIPPFNYSPFSPESDTYSDPSNHRNCNHG EAHHTHETVSPASAVAPVSVKSTASSPSSSRSPGSGSGVVSPSQPTVRRNSGPRKSPI AKSTTSKGVTRRTSNGKKDGTVEKKVGRRKGPLLPEQRKQASEIRKLRACLRCKFLKK TCDTGEPCNGCQPSHARLWQVPCTRIDIKDIGYFMKDWKADYERHLVRGVSVYNVKGF AKDETLMWITHGYGFCLPVMVRQVYVADESCFLVDWVESYQSDQEPIEFDIKTEKLDV GEKGISHEALAEYLDKHIDGAFESFIDDHFEGTPFITEILKTAHRFYVKEQLPVLRKA LKLVLAYNLTMHITLVEQQGSEHMMEGQIDDEDSKYYGKYVAPVLINFQIKCAMAEMW RELQKDILEELSALYSSVYSGDRLKNWPTIFMLACVLLAVWEEMQFDCHYRVPDPAAV EKFCSDMETTPVGVITGLFHAISQKLPALTDWDSQRHGQLLNNNVAVCEAMTEVRQHV LKHESYLRTRNNSKFDRYDFDSLSNKFLSKLVIKAN PFICI_14185 MADEEIVSHMASLSPSSATAPKLPIDPLYTREPPIIDDLITVTS NAQEGTMDSCLPLLQAQDDSIEYNIHGVPHLRRQRIINFLKHTIGQLPAPFVMADASR PWSLYWALNGMALLGADISGYRDGLIATAQHLQNDSGGFGGGFGQQSHLATTYAMVLA LAIVGGEEAYEIIDRRAMWKWLSSLKQPDGGFSMSLGGEVDVRGAYCAAVIISLLNIP LGLSSDSPARTVGIEDLFTGLEGYVRRCQTYEGGISGKPDAEAHGAYAFCALGCLTIL DAPHRIIPRALDAPRLISWLSARQYAPEGGFSGRTNKLVDGCYSHWVGACWPLLQASL ARDHSSALETGLPSGHSFYDREGLIRYIMSCGQDHSSRGGMRDKPGRRSDAYHTCYVL SGLSSVQHIVSADTSRDEQVANITWTVQPHMDDRVFDEEDLVEATDPVYAIPQKSREE IMEYFLSKPGF PFICI_14186 MAGFYMQYLESLCRRRGWQDPTYECYRDSNGYTCLVLVNGREYQ TDLTYESDGLAQENAAMRAFMVCRNFSVNGGMLARNGIVQGLPATDSGKHSRSKKTRH HSSSSGHRSHGSHRSGHHSSSSSTASFD PFICI_14187 MKALILVGGFGTRLRPLTLTLPKPLVEFANKPIIEHQIAALAEV GVTDIVLAVNYRPEIMETRLKEFSKQYNVNIVISVESEPLGTAGPLKLAEEILAKDDS PFFVLNADVTCEYPFKALAEFHQAHGDEGTIVVTKVEEPSKYGVIVHKPNHPSRIDRF VEKPVEFVGNRINAGLYILNTSILNRIEKNRPTSIEQETFPAVVKDGQLHSFDLDGFW MDMGQPKDYLTGTCLYLSSLAKKSPELLTPNSEPYVYGGNVLVDPSAKIGKNCRIGPN VVIGKDVVIGDGVRLQRCTLLPGSKVKDHAWVKSTIVGWNSSVGKWARLENVTVLGDD VTIGDEIYVNGGSVLPHKSIKANVDVPAIIM PFICI_14188 MHATNGATDTNGVNGVNGEHKTNGVNGVNGEHTTNGVNGTKPNP NPDEWFIGSVDQGTTSTRFIIFSSQADIVASHQIEFENHYPESGWHEHDPYDLIKSVE DCMDEAVKQFTSQGWSVSQLRAIGITNQRETTLCWDKHTGEPLGNAVVWPDTRTKDLV RELKMKQGAEMILERTGLPLSTYPSSVKLMWLLRNDQAVKQSYDEKRLAFGTVDTWLI YKLNGGPKNNVHVTDTTNASRTMFMNLRTLKYDDDLLSFFEIDPTKVALPEIVPSSCP KMYGKIDSGVLAGVKICGCLGDQSAALVGQCGFQPGQAKNTYGTGCFLLYNVGDEPVI SKYGLLSTVAYDFGDNGKPVYALEGSIAVGGSGVKFLLHNLGFERDSKRITELAESVG DNGGVIFVTAFSGLFAPYWIDDAKGTLFGITQHTKKGHIARATLEATCFQTRAILDAM EKDSGHRLESLAVDGGMSNSDLTMQTQADLSGIRVERPAMRETTALGAAIAAGIAHRD CWSSLKQIQNIMKGKQGRREFVPEMEKRKVAKMYGKWERAVEMSRGWVVDDSEDEDDD F PFICI_14189 MKLTVGIVTMLAPSLVLGTLRARGIRKKRCQSVSHYGSGGGETG SYGTGSYGGGGGGGGGDSGSGGHGGGGGGGGYGGDEGGKGSGGYGGGEEEGGSSPSYG GDEGGHGGQGGYGGGKEGGSGYGGGGHGGQGGYGGGESGSSGSGGSGGYGGGQEGGYG GGKGGNGGYGGGGDGGYEGGKGGYGGNDGGYEGGSSPDYEGGKGGYGNGGGDGGYEGG KGGDGGYEGGKGGYGGSDGGYEGGKGGYGGGGYDGGSSPDYEGGKGSYGNGGYDGGYD GGKGSYGNGGYDGGSDPAYNGGSGDYGNSGGYGVNGGVDPVITSPPNPEYTGWGYGYG IGNPTGSFEFEVTVTTTETTTTTTSADPEQTSDDVDPTDDDGSGADPTDDDGSDPDPV PTQGGLV PFICI_14190 MNQTSRTDEPYEGDDGLNQSPNALSNDLTTNQDLNGIANSRTRR GSSDEDGSMDDTGQGIIQVAAPVPDRDRALDAHPKLAAAAHGDNSASGQSPATKQSST SGTAVQVPHDDLRQGGADTTSTDVSASAKDGGSPLRRLKHVFLTFGKFVGPGFMVSVA YIDPGNYSTDIAAGASYQFRLLFVVLMSNLFAILLQSLSVKLGTVTGLNLAEANRAYL PRWLNYFLYGMAEIAIIATDIAEVIGTAIALNLLIPQLPLVAGCAISILDVMVLLFFY RPQGSMKGLRSFEVFVMLLVLAVVVCFCIELSHIENTSVGQVMKGYLPNNAVIQGEGL YQACGILGATVMPHSLYLGSGLPQRRLMDYDTRNNLMPANATTSPESLSDSKDYDKVF YVPSMRAIKHCLNYSYAELAISLFTFALFVNSAILIVAGASLYGNTEALDADIFGIHT LLTSSLGTGAGTVFALALLFSGVSAGIVCTISGQMVCEGALKWKMKPWLRRLITRSIS ITPSIIIAGAAGREKLSAALNGSQVALSIVLPFVSAPLIWYTCFNKYMTVQPGMARFY KEGSNDDEFRGSSRHMDSRDEEEGVDGVSGLGELVKMGNDWWVCILSVLIWLVITIMN VANLVLLGVEN PFICI_14191 MAPLAFEPSKLVDLDQKSLYMSAAAIAFNPTFWNIVARREYRTH FLSRIFNNNRQAACYFLAATIFSLGLFRDFLYERALRAQPSYPLLETDEVKYLAYALI ASGNVLVITSTWALGITGTFLGDYFGILMDHMVTGFPFNVTDAPMYWGSTCSFLGTAL LYGKPAGLLLTAWVWVVYKVALAYENPFTSEIYAKRDRERKEGKKAQ PFICI_14192 MSQQALFADTIIAMKKAMKRRAYESEDSDDDVDYRGNRGQKLNK RARFAREGQLAPASGPEVYKEALLQYIEHAGYVRGIISRNPPLVDDEGYEPESDDEDY EQRMQDIVETAAEFNPYANVRLESILAPLTSVTDLPSHPTLARPYTSKTLTDLTLQAR DTMYKENEALWNIKHLHTKLVGDHIWIPTEMMETPNDLHYFQEPHAASTPGSGQPTIK PDTTRLLLGADATANNANDSPSANGAGSSITREDGEQAKETTGDGDTSMIDADETIDE DTTAESNRQNDKVSSGATESNGDPTANGTQHGHDKLAAGKAQPTINGATTAGEQNGGR AQAQPFPKALNGHEVNLPGSAPMSIASLEALDEMFIHPIFLAPKSAQPNRDLGIPEAE AEDLRRLLQAYVQKQEEVCRGTKRLHEGLLRAERLRRTVLQWSKYEAHCGPNRDMSDG EDWYDKEEWGLEEDLKKGQDEEEEDTTTQQPKKTRARK PFICI_14193 MDDYPAGSLDHNLPLLVVSGLSTGPTKPLLTDPDLKEQSVLIRS ELPPVESREAKAILHYIQEADATDLPWNGQDASRKYKFKVKTIGRDFLLPPRRARLPE DLETPLSPPVLHSPFSPLSPGSSLYPDGLIDARWLHKHQSLIPSICLCFYSLTSDPTL ATLHDNQLKTDINALKNAISRSGYKCRLVAVILSDRTPDSMGAFQERLENIRWGTGLD PKTSLFVLPTRRSEAELEGAVDSILTAVFVQASEYYRELGRRSRKKKGRGVAPQPTIP PTSGTSHTLTLQGWNVRYDFKAGVFAEFRQEMDVALRSYEQAYETLLGMDVLETVPSW SPRFNDGRYVADILAIRALRCLLWNGQTTAAVRRWQMHRQRMSDFLDRRGRGTQNYGW QAWEARWAEIMANLIERAQFPELDSSSQALFRSPEKALSAERLQPWELLHHAGYWYKT ASQHALDRRKLAYAIPDDSRKPPDLSEVSKSAAKAYNAFDTYMCPEPYEEYPLDGEGF DHARYILKYLNAAKAEFQKRHQVRLTAEIALDAAKELEYLKEWKQILELLTPMWRDSS FRREGWLGAAEALGWTLRKAAARAGQADLVIAIDWELLSNSFSRQPNWHYDITRSLDN MQIDKRPEVSVNDDHLTSFLKASFVFKHDEGRAGQTCPAQLVVTSTAFSGSAPITLQD IAVAFGGSVRNLRLTHEAGTAQPLVRNNISLTSVVLKEIEAPEDTGDRAESGSSTPTS GMVILHGKDDLTLRPGQSRVFELSLPLREAGEANAAVITATIAPENFKLSYSMRVRED NTVGFWYTNTGKRRVTKINPHVIKVLPRPPKMQLRFVGVHKQYYAGEPIKVQIDLVNE EDVDAVSKLDVHLYGQEVPSFTASAGDETLQSTSQHSEEAKLDGLPIGTIATTESARA IVTIDPIIRPTAYDLTIKAWYNLISDPSTSIVQTVTFQVNVVNPFEASYDLVPRLHKE SWPSIFDHENLQEQAEDDEDHNHQATGLAQKWCLITRFASFATEDLCVRELDLQVVKT HGGVKCTVSKDPTHLISDLTMSPKTMEEAQFDIVAQKLTLDERAPSTADLAFSIKWHR STAENDSAPNTTTFLLDPFYVTVSEPRVLASVSYSEPPAPKDGADASDRSLPIIILDI TIENPSNHFLTFGLAMEPSDEFAFSGSKSTSLNVLPLARRAVTYRLLPLVEGGRWIKP QLVVRDKYFQKVLRIIPTEGMKREGDGVAIWVPRNVDV PFICI_14194 MHISETLLFTALASLLPGIVCSQAPVTVTGLHTGIADDGERPTR FNIEYLQALGGPQWDLYILGLREMQQLGQNESDSFFQIAGIHGRPFTAWNGVGQAPGA QETGYCFHNEVPFITWHRPYLALYEQILGGKIQQIARRYTGRDAALYLSAANSFRIPF WDWATDSNLPPATTWPEITVNTPEGQETIPNPLYSFVWPSVPLNHDPAWFPTTDDPVF WNSTGTQRHLNPSGNLSLVVTSLRDKVYNVFAKTRDFDVMASTANPGPSFEDPHNDVH NAAGTFMYNPLYSAFDPIFWLHHANVDRLFALWQAINYNSTYQSKPTDIGSGTWAQPG STQMTADSPLAPFYRPGYGGGGPQTFHTGRTTASLKTFGYTYPEINDWSTSPDRTRQL VIRQVNSLYGPNLVTPPPHGSGGGPPSSRHRRHSTERDNEANWPRRQRQRQRQYYVQI GVERSELSLPCEVQVLLGNDTVAGSAAIMALPPAGLTHTEIQLNREIKRFAPCVEDKT VVPLLEQRLRVEIRKRDGTLIPTDSVVSLTINVESVDVEFLDLDDELPIYGPSTKYPK LGRPAAGYPLPRRRDYSI PFICI_14195 MAPHVDAPSPAGSYTNWPGSVPSNLRPLGASSTRLSSVTNTESA SPLSSSFDSTSLDENFRMAKTLVPGVYVPTVCFFDPETEDVDTKQVAQHAVRLARAGV AGLATQGSNGEAVHLSREERCLVTSTTRKALDAAGFETLPVIVGCGGQSTREAIQLCV DAAKNGGDYALVLPPSYYAGLFAPSSKTILEYFNAVADASPVPLIIYNYPGAVNGMDL SSDIIVQLSEHPNIVGVKLTCGNTGKLNRVAAATRKLTKNYDAKKPEFLVLAGSADFS IQSLIAGGHGILAGLANIAPKACLKTIELFEAGKYEEAQDMQDTVSQGDWVAIQSGVI GVKSGMQSWMGYGGYARSPLPKPTAEQANAWKEGFRDLVLLEKSL PFICI_14196 MVHGELDSFDGREATIEGVHNALFTGLATCRGVVSSFLSRIETF NPTINAVISLNPEALSRADELDQSLAAGNVTGRLFCIPILLKDNYDAVGMNTTGGNLG LAGNRPTQDAPTVKAFRDEGAIILGKANLHELALEGISVSSLGGQTINPYDHGRTPGG SSGGTGAAIAASFAVFGTGTDTVNSLRSPASANSLVSVRPTRGLITRAGVIPISYTQD AVGAIARNVRDLATALTVMAGIGFDGRDNVTALAPPEAIGKDYAAELTYSANSLQGLR LGMLDGFWNHTASDETTPVNEAMAKMLEFLQAAGAELVNVTDSLYNATAIAARLDVQT SEYRQELDGYLAGPNLDGQAPASFNELYTSGKFLVLPSQYNYVKTAFASSTSNASYAV AQLGIQNLTTALRRTFSDHQLDALIYPEQKNLVVKLGAPSQSGRNGILAALTGSPVVT VPAGFSPPSAAAPIGVPIGMELLGLPFTEGKLLNMAAYITTQFPVRRMPSFANQSVET RDYVEVPVIKPNTSNIPSQYPIGVL PFICI_14197 MTENHNTNCSKPPSKVMAAVLEGTLPLKYVTNSAEDGALNKAAS IELKRFLKRAPPMHNSRAFIEENLAQFSLSNSTLGPEISVKKENKKFGITAVVELGRG HLPMAGEHKSNIPPHPPQAEAHHAVQEPTPALPVALEAMPPESLFGVLPTTAPDDVDD TSGSASPASSSSSGESGTWPDEAYQNSSSGPFNGHMGPANGECIPTFNSADLS PFICI_14198 MSTSDNKPSITIFRGWKDFGKHVWSPFVIKLEARMRFAGIKYAV DVGSPKTGPKGKIPYVECKQNKSLDGGPAADDYEEVQQLADSTLIIKTLVQWGELPDL NAALSSTDGAKDMALRALLEDKLYFYHMWERWILNYYTMRDHALGAIPYPVRIVVGLI IYRGQLSTLHGQGTGRYSGQEIAAFRYEIWESINALLLEARRQQQQQQQAQSASTAST VGAQADQQLPPPPPFWVLGRDQPTEADATLFAFIVSVLISTAAPDSQRVVRAFPVILD YAQRIQDQYFPDYEKWSV PFICI_14199 MEDHIDDSVGGPSLSGAAQFLSSFAGGETISRATSPGVPSAEDA SDTSKRYRPRTFSYFELLPYPVEEEAQRDAALQGILKQLYIAIKAEDFSPGATHWTRQ LQSWLNLKFDMPRELRANLAQLYFHLSLAPGLDGSTADRFSRMVSVLAKRKHLLKPIQ DLTLDWKPIWKEIKSLVLPGEAPAHHSSRRRALKHMWKLCLQASIYFDPRERRAMLDE ILPFFSTSDLQNAYIVVGALNILLPTTPCPPTEPQSQPSDFVPTFFHLWSLNTRSKVF DNAFLDIFSRMARDYLSCQHVPFSEHGIYTREQSDLIFTAILRLTEIPVGQANSPYTN LDYSSGLGLFLEKDKKKYPISYTISRFIVSSLSPMCLDKESSILNSLEGLMEAIDTFF HPSNQGAWTQMLAQLTFYLTDMFVSRWNKEQKGEQDTPADRRLTDELKKRFVLSLREV TFMGLFGKSTKITNMYFGSLQNLTYLEPDLMLPGALQRFYPSLQGLVEVHRTTSSLCG LQMIANIMSKQKGFRCHITALLALALPGIDANDLNKTQYTLNFIQSVAYSIPFVNLTL ENSGIHDTTLAMQWVQGEMERMEHEGQEVKIDYHSELSDEDEANIVRSSTAGFGEFVI ALLGKVFTLLENLPDSSYLRTGSPEDNIINTLPAALTPLFASLSPELFDIALDKLSTF VSTHVVHQARDSMAWICNALCKVNPEKTLKVFLPMLIVNIRNEIDYNGAASDRSNGTE VLPRDRALVWHVSILSMCVVHVGGEVLKYKKQLFEVAQYMQEKCRGLPTLHISNFIHH LLLNLTHTYPIDNALYEPDVVKRGLDVDDWGRGTAPADLTIKWHRATPEEIQFAVEVF QAQAEGAMQRLESLMSDDPPVSRSGKNKEWSDEVSRQLSQIRLVISGIATLFDPKRAS GELNGHVNGDEEMRDAEEEEDPLAEVGEDDETRPQYKYQSGYLLSPADPLYIRIHDVR DNIGHLLSRIHSFLNSHQADDVACFTALYSTYRMWITDVGFERSAHPLERLVRLYKAD VGPFKISALRKAYPRPLLIKRADAYHLQRVKHNASVRNKSELDIRLLLDLAESCISPY ADVRKVAQSAQDASLKVLIGGRPLVIPVILERFRKALAEVDHDRIKGSMYTLFSTSLL KTLIRDWRFAPDLMRLYIQAAGVDKTSIQHLGSSALYHLIEFGKRFELMVLVDEALVD FIRPSEDCSQVIQSRHNFILERRKKVEAKKAELGIELVRMAKNAHWKTASRCAIFSTN LCLRFDSVAPAEFIELAVTGANDPHPGLRTGYITAFSNIFTVIDQRAVYNHSYRDYLE EKEKDSNKVVVDVPRGDAAYTERFLDQFTHPENAEYYVDTDHVGWLVWGKQFNASRAK PTRFDDYDEVEKRVRKQIGDMLDREWLKKCFGYLKQEPRDTSADRFRSQNVILLMHVF DLMNYGQTVLTFEDVKELTMELYGDGSDKHQHRATAEIIGALLAGSADDPIEMRDQAW GFAQPLMLKIFADSLTPENLSYWSTCLHFIIDTKDPRRAHELVESLSSFRLDMTSNAA FKESSKVLLLEILINDCGWHFRREKPILDDFLAHIDHPYKSVRESIGRVIATIYRTRY HESFENVSTLLEKNKAESAIGVRPYQPTEEFSSTIKDVFGRLEKWRHEREPGQQTPSS YTSGSKTVLTWLDSTLSSQECTQLVSFFPEPFMDQLLHMMDVKEDPELMRLAYHVYRH LPNIPFRSGEDDAFINALIRIGKGATSWHQRLRALVNMQVIYFRRLFLSRPAQRELLF DGVGDMLADSQLEVRTVAGATLAGMIRCSPAAIRNPIIETLKKRFTAQLDKNPMPKKK LPGTDTPVNMTQQIVRRHAAVLGLGSLIEAFPYATPPPAWMPEVLALLARRAASDPGI VGKATKSILSEFKKTRQDSWGVDQKYFTSEQLEDLEGVLWKSYFA PFICI_14200 MWGRILLTIDSVGLIAGSLKADYFSETHMFNPNWAPHAKFHNAQ TVGLAVTLGLATLFYTWRKAQSPQLHREYMRIAALLGSIYWITGLLSILPEGTMGVDP EFGGPAFPQKYVFTPFLLFGLVGAWLEY PFICI_14201 MAFSSSSTTSVIEMEPIRLAPSPKTLGLASSPLPTELMRHHEST AAAATSATAPTDDDELPPPSIATSMVQRWNYPRRNVPKVAACFWSFVVMGANDAAYGA LIHYIEGYYNLTYLIVSMIFLSPFVGYTSSAVMNNWIHHKFGQRGIAVIAPISHLIAY LIIALHPPYPVLVVAFMIAGYGNGLADAAWNAWIGAMANANEILGFLHGLYGFGAVIS PLVATSMVTKANLEWYTFYYVMVSLACLELVTSIAAFWKDNAAMYKESITSHSGETQK GSLRDALMKQPSARVTWLCALFLLGYVGVEVALGGWIVVFMIQVRHGEEFASGMTATG FWLGLAIGRLILGFVTPRIGEKLAITIYLPIAMGLELLFWLVPQFVVSAVAVALQGFF LGPLFPAVIVAMSKLLPRHLHVGAIGFAAAFGGSGAAVLPFAVGAIAQAKGVQVLQPI ILALLGVILILWLGLPRFGKKKE PFICI_14202 MFLHSGASLHGHEYSNRHHATAGQAAPRRNSLLRSSFSTPVNRS PPYVDSSSPSPNFRTTTSSSLKKTRQRPVSDYSPNAPETVVRFMEPLEHVAHPPTNNP EAMHEDGASTVVSVSDSETSRAASSTRQIRRRSARLHTSYLLAQAPPSLNKKQRLLHI RPKLLLQLQQVPDGQRPRPVIDVYPTSGIVNTAIAAHLCKRFPRLSRIKSEKSIQDVL LFKSEDYTAPGFDSDSDGDVESIKDRDIIAILSPLAGQDKAEIALPDGTVWVAAPRVI GGVKSYEFTTVDQHGITTTARWVRRPMNGKSQPSTKPSTPPMSPGASSHPLSPSTVSF PSLSDSPPPNYKFTFSIIDPSSRRHPIMATLQPSSLDIQDSYTTVSQSSSKYPPTSPQ LGSPDSSDPDHQATTERTTLPVEEWQKCFIQISALWVALRHGWVPHYKPGDFIRESTG ADSPVATKTHNRSRSYSTGTDVGQTGVSRGCSGRKRHSQPPPPKGESPAMPGFLPRRA TSTGAARMQKIRAEKLSDATETNETTMGWKKGRRVLSCDWNGSFANRHSTALANIQDV EFAQNTPERSGDKIEAVSSAKPSMITPSKRPMSELINHTPHPSFTNENHTATTINGQR PNPLKSSRGAVTEQETKTRKHHKWKSVTNWFTKLRAR PFICI_14203 MASLDLGRPIQTLVLAFAAWKAFLLLVAIGSSVGSAYDTSTTLI QHQATSYNESVLDISTKLTRWDAIYFIQSARRGYVFEQEWAFGLGLPTTISAIVKVFN KLGIEGSDALEPLIGVGISHLAHLLSVLALYRLGLRLYSQQTAVIAALLHIISPAGLF LSAPYNEAPFSFLTFSGFLLFSYGCLDRSRGFLGDAAIVGSGMVLGLATTFRSNGILN GVPFAAYAISELTSVLKSPNAFSLRRLAALGIGGQYIAMGSIGPQVLAYQSFCSGSSA SDPRPWCNAWLPSIYTFVQERYWNVGFLRYWVPGNIPLFLLASPMIYLLSKSGWSVLG ESVMEIKNPKSPAAAFSGASLFVAAMAFSQLLLAGAAVTTYHIQVITRLSSAYPLWYF WLAQQLGQADTSKRAGAIVMYMVMYATIQGVLFASFLPPA PFICI_14204 MASEVLFQQLAPFPADIPVAPLYTFRLVDLGSGDQAVAKDLVAA CQELGFFQLDLRADEIGDAAIGEIDQLFGVGKDLLDLPPETKAKFLHDAPRSFLGFKP RGQAKIETGEPDRFEWFNVGQDGLKGNTAPQPLPPLMHDNLPLLTSFLDHGQSIVNTI SRSLATQLGLPADAISSLQQPDKLSGTVIRFIKAFASPDNQRTNMIHHTDFGTITLLA NLLGGLQILAPQGHPEDKSAWLWVRPQPGCLIVNLGDAMVQWTGGLLRSNVHRISVAP GEQRLFDRYSLALLVRPERDASMRKLTGSAKDSKDGDDDNGDLTAWQWEVKKAMALTR DAAMVQSKGGNPTPLKS PFICI_14205 MLAKPSNHTSVKASTGPKPQLGRPGLLSRVAAIWNIFWLLTKDD LVTFVLPTTTFGIFGALSGPSFVLPTSQPFQVVLRLPMVILFTWSNLLIFELANQRLP SSFAEDALNKPWRPGPSGLVGPTQLRQAMLFCIPAVIAVHYAVLGTGPEAIGLAVLTW LYNDLSGGDEHWVLRNIIIAAAFGLYNMGSLKVAAGLLPGQPGDLTEQGHMWILAVSG VILSTMHVQDLKDQEGDQARNRHSAPLVLGDWICRWTVALPVIFWSLFLPRYWGFSTL GVSCGTFLMGLWVAFRCMAYSDNKADKRTWEIWALWIVSLYALPWLVYTLREDA PFICI_14206 MGYEYLASIIAGIAAHWGVFIRGEWHLKTRTIVFSHGLLVTCLV YIFRSSLACSFGEAAYRALSSFAVYLISLLGSMVVYRLFFHQLRHLPGPRLAAVTKLW HVFHVSDSRNFAFLDRLHAEYGPVVRTGPNEVCVATADAIQKIDGWGNDTTKDVWYDL MQPRTSAVFTRNKHEHREWRKTWSQSLSSKAMESFQPRVAGLAEALVEYISEKHSDPV DLDEIMSWFSFDVIGDVLFGEDFDLIRSRTMHPAILHRDRALAFLGPLGDAIWIACMA FDFVPFVDIVQNWFRLVDFCDTRLKLRMKRGDRMSRPDMASWFIKEYHSLEEQSTPHE RFNLLSGTVVAAVVAGSDTTRASLIASFWFLAKYPEHAAAVRSEIQGVDVRDANALAT RPHLNGVINETLRLVPPAMSGNARLTGAQGLQVGAVYIPPHTKITAPKYTIQRLSSAF RSPDEFIPERWYSRPELIMDKQAFAPFSVGNRMCVGKSMAYTELRFVIAMVLRDYNVD FAPGYDPETMWRDMKDQVTAQPGKVMCVFEPVDS PFICI_14207 MKFSIVALALSVSSVMALPSEAASGHSLLARDRVKLNQYRTLDD CKNDRNILFHAAPPANNCYDLDKQTGAFFYNTGGYLLSQGKFYPQV PFICI_14208 MKFFLITAALAIPALALPEPMPEAVSLEGRDNVKLNHNHDRNIL FHAAPVSGRCYALDDKTGAFFYNTGGFWQSYSYDGGACTGTAHQLQRYTGRCVERGSQ NSVKFA PFICI_14209 MRESFTAYPDKHGLMATISLVIDPSQKHLLCSQCAKFQIRIIAK DYNEEDALGLIASNHFIRQEYADTFPNLPKMADRAAHGCSLCRFIRSSLLEEYHRQGL DSASPPESGRMLLTAQVDEDCYQKSSLSLYRRSRHQTILVEAPGFQADVGRRDIKYQI AIQMFEEPSLPLSDPLSMNNVKSIKQWLLTCQRDHHICKSKLQGYTPTRILKIKNDIL QLTSEKIVGGGYAALSYCWGSIPNEENGFLTTRSNLAPRMLGFSLSELPNTLQDAVRT ARALGIDYIWIDAICIIQDDSKDWTKEALLMSEIYGNALVTIAAATSESSFDGFLNRH SSYRWTSKYAFKELQSFHSSTLSDKSGDIYLRYPPETSIDKFLRTCRWATRGWTLQEA LLSTRILYFTKDVIYCECAVSQKLESPGHKLPKHDVLSMLISESKVISATEKVLKKET YLSYWYGAVETYTRRNLTALSDKLPAMDGLAASFKEMIDDTYIYGLWRTDLHRGLLWH SWSGLPSKCHKNEYRAPSWSWASRDGLVTWDEKTRQPGWESLIQVVDIVPHFMGSDEN ETACAHLELNAPVAMLKDVLLSNCPEDVSGEQIKSFLDEWNVASLQDENERIGTFIID DGWGTEISLHEIRMMLVAKRESPQNFQALLIRPCQDMNVFERVGAFIYMEYHRDPSHS DDEYGTYLCEDLLEDFEPCLEQFKPGRLTLI PFICI_14210 MVERGVLWAEDQDPFGHVMQSQYMHFLGTCFHRVMESYDEFLSQ QEYDDMIHAKSVVPAIRKYDLDIRRQVKYPASLIVAYRQDLIEPTRNHGTTILFSLNQ QAIVAEVKGSFTYMNATTGRPINIHNLGGGWARLYEGFTIQSQQAAALKAKWETEHPK ARSGAISKI PFICI_14211 MEVIHATSLLGRDAIDDGVLNPVGNSLRVRSIIFVCISFAFVLL RLSTRYNYGRMIGADDAFIVGALILSICMTVTYNGEALNGFGLHTSQVSSEHKILAFK WFFAAQILYKAATCLTKLSICCLYLRIFPDKWFRRGVWLTMGITVAYTIVSIFITIFS CRPIEKAWVKSMAGTCLDSRSIWYGTSIMVIMTDLMIIILPINQTRRLQLPLAQKLML VALFSLGLFVVACTVVRMVSVSPQTTATDQIYYQAISNSWTFVETNVGIICACLPVVR IPITHLFRHVGQTTRGTTKNSAHSQSDFALQTIGQTPSRSHVSKDREKNSSVEELIEA QNFKKENRLKMYHASTNSIAPSNAESRYN PFICI_14212 MKIWTLHMAVAVACGQALDTIQYGAFLQTATYSVANQLGYFVAN GLNVVYNQVPNSTAAFQSILSGQYDILTATVDNALNYRFNQAQNVTVLGQLDQGPDLV LASVPNITDISQLKGKPIIVDSPSSGYAYLLQNSLAANGLFLNASDYYFMTVGGTAAR YSALVNGVLPNGTVVYATILTYPFTVEGEALPQGSAPNILARISDTVAPITSSAFTVG EANIEDPSESSLVVRFLAAMYGANQFLQNSANSACSIGAIEAQLGLSQDVATTEYASV VNSLTGEISPGGNFTVSQEGIMNDFAIRQKFGGFASLPANFDVDEALAPGPGKLIDYT LRDAAVALFLQNPYYSNCTLGDN PFICI_14213 MPTELSEYFKSIWKRLGDDGKRYKTKAANIFLLLLSYGAFEDHF LVNGGLDCLSLSLALTPDTAHTLIIGVDNIRLASLLEMSQRAEKMVLSHCAGLVELLD LVEGPFEDCVYSRAQVTSATRECRSIHRTARDFFADTPEGKDLLDSNNIGVDGPDFHL VQAFLARSVAFRKSEHTGFPSMALRSIDIIDFFRLLGSSRFTIQQRYFLIDLCHKVFY RFSGHPGNPFFEGWTVVESFHHIRPFISEAACWGHSDYAAQLMKDQAIGGRIFSPEAC DMVLKESLMGGISYRANTNSLSFASLLTFDSMFGSADKLNIRLPLACFGRHKGYAAEV ASSRNIYSTSQMILGVVLVAYHRGWTMDRHGGENILYLLKSLATPDERLLENILCICS LSYVTPEFAVVKFHFPFMIMPGTKGASGWGGDQQEGVHLVFETSPLWLIQYLNKKNVW RDTRESVIFSHGLKEFVRLHDQSGRRLQKPRPILLFEYTDSMTLMGSEHTMIPMPTEL KRVPPEAGRDLSEILGMLYDDDQEDVSYALWDRAWGVWTDPSTERIGTERVEEILEDH GILCSEEEKRRLLAMCNIQAWREGADSPEETQGRFVVPPKGASKEQEALSHLTMRFVR PFICI_14214 MSVDVLSLACNIMAVIDSGQKFYQTFRDIYENGKPDASAESKAS ELLHLTDRLAASEKKALQSNAVPEDGQLSQVAKQCAEVATKLHDEIEKLAPSESSSRV GKFFRSLKAAAKRNWRHSRIEQLNESLEDCQDIMQTTILVEMYMSGEAERVTQLGHFA RLETMVQNFALAVSKHELQMSQLLDSRSLHQETQAVVHDEVTALREAQLLDADLARLK ASLKFPGLNQRFNGVAKGHEKSFQWLMGNAMETVTHNTEHDEAKDGSAQEPRYIRESR NETFNDFRQWLISEPSSKLYWISGKPGAGKSTLMKSLFEQMQDIKQGSDENATEESRL VIHHFFWLGASNRRSRQNDMEGMYMSLLRQLLDYEVQDGVSLATMLLQSAPHLRQMDS DADWSFEDLKGTTLMALNTLGNRYSIYILLDALDEHLPIPQHDQLLAAIGELEKITNV RLVVTSRRERIFEQHLAYSRQLRLHTLTAPDIHHFALDSLREHVHRAFQESQDDSAIK FLNQTVETIV PFICI_14215 MALAKSITIISSPYHLGVRDAAVGAGPTALLAAGFADAIQQQGI AVRVVELESVEEFDGEIGRLFELLRRTSKTVTQVVQQNSFPILLAGNCSTTVGVQAGY AAARNIVPSCVWFDAHDDFNTPDVLASGYLDSMPVAMLAGLGWKTLLASIPGFKPLDL QRQLVHCGMRDVTELERSRVVEARFPVIWGDTEKQVDFEGELHRLLKDKKLGETMVHL DLDALDTSVGNVNKFSAPGGLLEDDLERCLRMIPSESKPVSLTIASYDPSFDKDRRIP PVAINGVVAFVKSLIAQGFLEGTSETASRAI PFICI_14216 MANIQSESPKLHVRPEDARKFVEDVLQGNGVSQRNAATVAKCLV KADLRGVDTHGINRIPSYIARIKQGLLDATADPIITKVTPVVGQIDGRNGFGFVAAHA GMDLAIEMAEKFGIGMVSIKHSNHFGMAAWICQQAIDANMMSLVFTNSSPAMPVWGGR SKLMGISPIACGAPGLDNPFILDMAPSVAARGKIFKAERRGEKIPFDWAIDAEGQPTD DPTAALQGVMLPIGGPKGSALAIMMDVFSGVLSGSAFAGHVTGPLNPSKPADVGHFLI AIKPDLFMSLDDFRNRIHYLYDRVVGSEKATGVDRIYFPGEIEQLSERERLKTGIPYV KAEIDALNAEAKKVGAGDIVVQI PFICI_14217 MPDSGTHEMKAPASESQSSANPFAAQQAAEPAAEPAAEPPAAEP ATEPAAEQHPERLDLDLPDDVVIEEGSEAYRPGNFHPVYIGDIYNGKYLVLNKLGYGL YSTVWLVRDTSLPQDEGQKYYALKVLSADCYGTDKDIFEREILRHLRDADKTHLGYKY ICHLVDDFEHCGPNGTHVCLVLELMGETLKTFGTLFNKTMIPNPLMRRFTFYLLAALD YAHDSNVIHTEDIKPDNIFVKVRDSSLIESQYLKQVPAPVQDKLADKYYPIASQPLTR FYFTPGQPILDFEVAIGDWGVSSWADNHLTELIQPVLLRAPEVLIKAPWDASTDWWNL GAVILEVFRCVRMFDGRAPPHGHYELKQHLSEMVAYFGPFPRRLLDKGDAELVATCFD ESGHVRGAPALEMPSLESDDYMDDLDQEIRKSFVSFLHALMKIDPQERLSTMDLIRHP WLGIEGL PFICI_14218 MVNMLRYTLVLAPLLVTALPQIAPRDDVPSYCVPPFKTDADQNY VYVDNTGMCEETGDCKNACVTFIRSTACSGTGYDDQQITKIQKGIYEQIAKDGFTEST IVDNWTFTFVGGTTAFPNQAVRAEFNAGTTVFKNDGNTFLPSNIYFTSVDDAGKHYII QGTNVC PFICI_14219 MSACPANRAIKSTSTTLLFQVLSDLHLEVGQDYAVFDFPVTAPH LILAGDIGLLSHYDAYLDFISKQTARYDRVFLVLGNHEFYGLDFAKAHATARRLEKEP CLQGKLSYLQQTRFDFPAANLTILGCTLWSHVPNDAKEVVRQRVKDFRRIEDWTVDNH NRAHASDVAWLKAELAKLDPGQSVLVVTHHAPLVLGTSKPEHLENPWTSAFATDVLSD DETWDVVKYWIYGHTHYSTEFEMHGTRVVSNQRGYVIRDAFGVKKRNRGFNMHKTIKV ALEKSENFERV PFICI_14220 MGYSEIACQICGMSFNIGRLRTPTEPRSAAWNYTGYGNGGFPRR HSVSSSRACSPSAGCKVVVRNPVQAPDLTIKVDEDGEEDGDYMHRSSEEISDPYEYDS DYESEPKTAMDEDDDAMSCSSEASDVYRAFIQSLAPPAAQPEVMLPERDAPEDDTIEY EHIAGGLDCKWSEHTYCDGAFNGHAISAEDMFGCTTLQCLVPKDNDGEWESESDDEEF EKSGRFFLSGLCDTSPSRDMNWPTVFPSRHDCSSPKADNIFYITEEAEEYSMPFHPTC LEVFKRASMLRNGAVDYEGLINWWIIEGGDGYYSFPRDPAVNHDQWFEHVAGNEFLVA NPCIGAKWGSILAASDRTGDPDFGYDTPVFGEVDCASHDLFAQLPRELRFMVLEELES RDIANLRLASRSFHQLPQALFRKLTLRELPWVWEAWCSLGYSKWVYTRAAELRRRDEQ RVERGQPIWQAIDVLEEDARSHGDDGLHQAAIAALTQAADKEENEPGPLPSTASLPAP EKTDWYRLRCELARNMPRLLGLRNRRRIWKDCEEILDRIARYRDAGTMILGETVNARE VAEAAVERTIEANRRWHNYCQAGRPGTYNFDDWA PFICI_14221 MRPLTPRAWSLPLSTKVHIRRATNATAPSFNPFSVQSLAVPHLT ARTIEYSIQQNHVNDVHEQLGRHGILKISLSFPDPESRYLEQLVSSLHEYCGHQLPIT HSASRGWFWDVRPESVAFQTANHRARSETMDEFPWHTDCSYEELPPRYFALQVLQHDR FGGGTLSAMNIERLNQSLSQSSQSSLMRQEFGIKIPKEFIKDPAKQQIVGNLMAIDPE SQSCMMRFRRDLVIPMTKSASDALEELDACLKRANATAQAESQSIVHLTPSRLPTGTI IMMDNRRWLHSRNNINDPKRHLRRLRWDAISFPDSGKTMELRSSGQD PFICI_14222 MDESTPLLENQSHSLSQKRLLVIFPALALIQFTSFLDQTSISTS LPAIASALHTGASISLVGACFLITSTSIQLINGRLSDIFSRKISLIAALSIMGGGNVL SGFSGTPLQLFAARAFTGFGAGAINALVQIAIADITTLEQRGYYFGIVGAATALGNGL GPVIGGALTQVAGWRWAFWFVSPLCFVAICYLVSVWPTSSSTHAKGRVWDKLKLVDWA GALASLFGITLFLIPISQGGTTMSWTSPVTIGMLIAGVTLFGIFLIIEWRFAKLPLLP FRLFSYNRSTNILIFINILIGWIYWGNLFVLPLYLQNVRGFSPSQAGVLLLPMVISHG VTSALTGILISFLGHYKPIIVTGATCWALAAVAKFYIDQDTQIWSLIVVGILDGVGVG CSLQPVLVGLFAASDSDDRAVLTGLRNFLRDIGGATSTTVSGAILSNVLYVQLKSRFS PELIAKLVSSANALENLHLTDEEKALVNQGYMDGLHAVFASFAVLVAIHLGMCLCIKD YGLKRNSPPQMHRQT PFICI_14223 MDFSPRPTASTHDGDITESGDSTVPSTPEGSLTFDPSLNASPNA QPFSVRNICCVGAGYVGGPTAAVIAYQNPHIRVTVVDRDEKRIQRWNSKHLPIYEPGL GHVVRIARDGSREVSFVNAPLMNPSGGLSSNNVHTIPARTPNLFFSTNIAQCISEADM VFVAVNTPTKTKGIGAGSATDMTAFEAVISIVAQHARPGTIIVEKSTVPCKTAQLVKD TISIHRPGVYFEILSNPEFLAAGTAMADLLNPDRILIGSSVTISGQQASKALADVYAT WVPRQRIITTNIWSSELAKLVANSMLAQRISSINSISAICEKTGADITEIAASIGCDP RIGSKFLKAGIGFGGSCFKKDIFSLIYLAESLGLEEVGDYWRQVVTMNEYQLGRFSKR VIKTLNNTLIGKKVTVLGYAFKADTSDTRESPALEIIETLLQEGPKEIAIFDPCCNPA VVRNEIKMLLQGQLKADGGPIVVYDNPYQACAASHAVLITTEFEEFRMDTSKPPSLGR PRAGSVDPRPFDLQGPPSQSQVLALHQYLLESSTCLAGSDPLQLYVHGPSCTDDCPDC CPGQGHDSTGYSLSEHKARERLDWSKIAEHLQKPKWIFDGRGVTNINTLNKLGVNVES VGRQGSMKF PFICI_14224 MASNRAPGNPTGAATPQQDGTPIPVSSDTKPAIEPSAASQDATQ PPAQPKVKTEKELERERKKAEKQALFEKKKAAKAEAAAATAKKSKEKEKVKKPEVEVL PPYVEETPEGEKKRLRPFDDPYYSSYHPAAVESAWYSWWEKEGYFKPQFTPEGNVKPE GKFVIVVPPPNVTGALHMGHALGNSLQDLMIRYNRQKGKTTLWLPGCDHAGIATQSVV EKALYKKNKQTRHELGRTKFIELVQDWKEDYHQKINNAFRKMGSSLDWSREAFTMDEH FSSAVADVFIKFHEEGIIYRANRLVNWDSTLTTALSNVEVDSKELSGRTLLDVPGYER KVEFGIIVHFKYPIENSEETIEVATTRPETMLGDSGIAVHPEDPRYTHLVGKFAVHPF IEGRRLPIVADRYVDREFGTGAVKLTPAHDLNDFKLGTTHNLEFINILTDDGLINENG GPYQGQKRFDVRYSIQEDLKKLGLYVDKKDNPMSVPLSERTKDVVEPLMKPQWWVKMA DLTEPAIKAVETGQIKIRPDSAERNYLQWMANINDWCISRQLWWGHQCPVYRVRFEDE EDGPDTDDRWFAARTEEEASAKAKKAFPDRKFELIRDEDVLDTWFSSGLWPFATLGWP NNTPDMSRLFPTSVLETGWDIIPFWVARMIFLGIKLTGQVPFSEVFCHSLIRDSDGRK MSKSLGNVIDPLDVTRGIELQALHDKLLVGNLAPAEVKKATAYQKTAFPQGIPECGAD ALRFALIAYTTGGGDINFEINVIYLYRRFCNKIWNACKYVLGKLEAVDGFVPAKKAGL TGHESLSELWILRKMNNAAKEVSEALEQRDFMKSANLVYAYWYFQLCDVFIENSKTLI QEGSEAQVHSAIQTLYTALENALVLSHPFLPFITEELWQRLPRRQGDETKSIMLAKYP EWDPQFENPEAEAAYDIVLGCSKGVRSLIAEYAVKDEAKIFIQAYDAASHKTVLEQKS SIRSLSGKGPMDIEILSPEHTRPTGCVAFPVSSAVSVFIYVKDRVNFDEEIVKATKKL EKTRAAAQKQHKLIQDPVYLEKSPVATQNTDKKKLVDLESEVNGLETTIEQFKLLKLE PFICI_14225 MSRRSDYHTAQDTKLLDIGACHKDDRGTIVPRRGAFSFASARRV LFVAIAVTTIALTALSYQKSISGQLLYVQPWTSHPPFTQPLLEENFTLPALEAILLSE PDASHAADWAYYYTSESHFAGEGKAQGLWTQKKWGEFGIPETEIVRYNASISEPVFQR LALIDTSDPLSPSAVKYEAKLMEELPSTDESTIRTPAFHGASASGNVTAQFVYANFGR GSDYDELEQNNIPLEGKIAIVKYGMGYRAEKMTFAAERGLIGILTYSDPQLDGNITEA NGYKTYPDGPARPATCIERGAMGSIRNASAGNPSEPGRYIPSLPVSYGDILPFLKALN GHGPKASEMSSEWHGGGLYYKGVDYHVGPSPSHIVLNLDNQMSFPNKDVYQVFGTIKG EIEDEVIILGNHRDSWGPGAGDSISGAAALMEVARSFGTAYKRGWRPRRTIMFVSWEG AEVGQVGSQPWIAEHLPWLQKTAVAYLNVVVAAGGTDFQVKATPLLRDVIHRATKAVA SPEGSTVFDHWSGDLIAAGGGDAIPFLETACVSTVDFSFGAVYWAYHSNFDTFAWMNS SGDPGWKYHVASAKIWSLIAAYLSETPVLQMGAAEYAVAMHKYVKRIKDSIPGAASFD LGPLEDAIEEYHNASIILDAYASSLKSTESSETMRQVNQKYLNLERQFCYKNAHLIYE FSAFYTDPPEFPRLYWSLDAGDLEKAQGWMDIIQGKIKDAADLVKL PFICI_14226 MATPSIIVSENQTLTSSGKMSISEAEHQPLAKDKFTFLKELTSA EEYHGEKSFYQHLVNVYNYLESQSLPQEVCDAGLFHSVYGTEFYHFSSASITRDVVRG YIGEYAEELAHTFCGLRKDRFLSILNNVPGWDKQKHLDLCRLEHANFWDGRNDRDVKS QMDALSKTIAQLESKED PFICI_14227 MHRISIIIPGYNTGVYLGECVSSIRENSVGVELEVIIVDDGSTD ETTIRLLNQFDSEPDLKVIRHGQNRGVQAARNTGLKSATGDFVVCVDADDVLLPTSEH GGYLSAGAQMLSESPSIAFVHTLSNMFGDFNGLTISSYPLREEMVARKHHVPTHIMYR RSEISNGLHYLETVTKWQDWAFSVSLLARRWARDESLDIGFIKGPGYGYRIHSTTARV SRAQVSEYDATKIVVESYQDYFRSRFPNVNNTIDALTAAVMASKPTALEDLLYVASFD LEQALSMARGREYQVHSAHVDRLGIP PFICI_14228 MAPAIVAPEEEEGPLLLDVDVGDEHAVDVTNETVEEEETVPSTT LLPQTTLPPQTDGAWISESSKVLIQVCMITLLFDFTQYSSYAPLTAVFEEIICNHYYP PGSALRDCKSVPVQSELALVKGYKDAFNQIPSIILGIPLGLLADRIGRKPFIILFLLG FFLSDSWTKIVCLFPQHLPLRLVWFAPVLKAFGGGANFGTSMFYTVVADIMEEKHRGD AFMKLSGMEMVVLVAGVPLISILMRLNSWYPLGLSTICLIAAGAIALTLPETHPQHRT TNFGLPKSVEGEAQDEDAPMAESHLVTLRKLLPRAFTTLRDLLKDTGILLSLAVFLLV AFGAHVWALLLQYVAHKFEWEFSTANLLFSLRGAITLLLSLVIMRMIDKFLQKKLGIK AAQKDLLLCTGSCILIIVGIALVGVAQSPVLMIAGVVISALGSSLLVSLRSAIISLFP QTPMASLNAIAGIAQSVGILISGPVLAQIYSWGLSKGGFWFGTPFLVASGLHVVALAD IFYLRLTRVSAQASST PFICI_14229 MDFTLFFQQTILSILPSVIFICALTLRVLSILRKPLRVSSNGWL LRLKLAACGAFFGTQIILLALWTRSSAVNGFIPIAASTLRLIVSAAIFYILYQEHQRW IDASILTSSYLVFTILLELAEARTLRSRLGRTSVTSMFAASIVLKFVIIVLQNLPEPS SPAFNKWYATKKSSRSLFDWTQSWWPNLLFNKGHRSIMTNDDLGDIDEEFDSGTLLVE INSTWADAHQSGRYALIWAVLRAFKLQCLAVVVPRLFLSAFMFAQPFLINLVIDYVGE PPAQSDPQVARGLIAATFFVYAGIAVTRCYSKHLRFQLITIVRGALVGVIYQKTLSLE TNSITESAPVTLMSTDIDGIISAIQSFYDLWPSVVELGAGLYLLDRKAGHSGFLVLIP GVCCWLISQTMSKTAIPAQRAWNDAIQTRVSVTSSMLGQIKGIKMVGLSDYMTQTIHS FRSFELSMSQKLRTVLAWVTSLSASVNLLSPIFVIVAAVLWAKRSSDFTASEIFTTLS IVMLVAQPISNLVGSYTAFVSGLACCTRIQSFLLLNNKDDERGLVEKLETQLPSSNGN NVCSSMLDVELSPMSRTAGEPALLAVDIRQATFTTNDQQTELLREIDFRVPVGSVSMV VGRVGCGKSSLLRAILGELHTASGAVHMATSSISYCDQTPWLRNVSLRGNIIGPSRFD KGWYAQVVTACALDRDFASFPYGDETLVGSGGIALSGGQRQRVGLARAVYSRHALVLL DDVLSGLDGTTSAAVFNSLLGDGGLLRRRDITVLYATHSIESLAKADFVTVLESGMIK YNQVDIDSIDEETRRMIGSNVKRTCIDTHSNVGSKDKLGELHSHKASARSPKDADLLR QAGDFGLYMFYVGSIGPVFALVLVILAISFSIFKKMPQIWLRIWTQHGIGEDQGYFLG LYLTFVLACFVSIWLLSRFFLIHVISRSSQHLHSLLLNAVMKAPLYFFTSTDSGVTLN RFSQDMTIIDQTLPASSFNALRDTFNIVTEIIIITSGARYVAAIIPFCMFALYFVQAF YLRTSRQVRHLDLEAKSPLYTHFTETLSGLVTIRAMGWKQGFIEENSQRLNKSQVPFY LVFRVQVWLNIVLDFFVCFIATVLVAVAVLTRDSTSKAAIGLALLNIISFNNTLGFLI NSWTGLETSLGAVARLRDFLLNVPEEALEIERQEPPLGWPTRGDIEFDNVTSSYGPDT SPVIRRVSLQIQAGQKVGICGRTGSGKSSMLLTLLRLLDLQSGSLRIDGLDLAVLRRE AIRSRLITLPQDPVILPGTVRENLCPGDQVTSDKELIAALEKTGMWEVISYRGGLVAE LDEMGLSVGQKQLFCLSRAILQKTKILVLDEATSSLDRSTEQELRRVLHAEFSDCTVI EVAHKLEAIASYDVVIIMQDGEIIQKGNPRELLQVDFSQLV PFICI_14230 MDLNRDIDNDPDEILWYELEPVIQGSKSSFAPTRTLGFLFLLTA GIGGLQSVFALIFSNGSAHLKDLGLSKSIQAIVWMAGPVAGMTVQPYCGIRSDQCRSS WGRRRPFIAWGAFASVVSLLGLASTKRLAVLAVRVCAGSGFSAKAISQEAAVNVATSN ITVFFIVALNIALQPLQGGLRALIADMCPHEQQPTANAVAGIVVSASNILSYALGYVD LQRISVLRALGGTSQFDILCVVTSVTLAVSVGLTCLIAEERNAAPGDDGLYTDYTGEK GTRHTVRAQLWYLCTSFSRLPRQVQQVFKVQFFSWLGWFPFLFYVTTYISETYHRIYE TNRTDKNDLPAVQGLNKDVQTRAARTGSLGLMLFALVALVAGTLLSAAHKHANQGKHV NALTRAFTRLTRSMRHMWIMSQILFAICMFATAFTSSVNGVYLLVGLCGISWAITIWA PYTLISAQIVQDVEYSGDRMVSKPLMQHEEDLSEDEDEEDPHDHGNGADDACERRSGV VLGLHNVAIAGPQVVAAAACSAIFWMLEGSSKDGVGWTLRAGGLAALVAAMVAVRLQD AVHHEPSLNMVRLSDATRD PFICI_14231 MSYLKSFMASQKEEQYGRLMHDDEESESSDKIPLPRPRGRGRIS SLSCLIVSNIVLVLVVLGLLARNYHQTSESTISIPYTGVSPAQLLQNQIGVASHVVQT YRFYEENIDDMDFLKGDPYWSALFPKGDGQVLLEDEIVESYKLPPSIRIPAKQNLTAY FMAGYHSLHCIAGIRQVLGQFMAAHITGGDYNITEHKWQHTVHCLADLRQVLFCNFDE TLLAFEEHIHPGYHQQKVCKNMAPIDEWLEYNYIGKF PFICI_14232 MKFSAGILLALASTGLSAPTVSRRADLDGGSCATVGADGKILSR CVGFDAANAATVARRAELDGGSCATVDAEGKILARCVGFDPSNAATITAIAALARRAD LDGGSCATVDAEGKILARCGKILARCVGFDASNAATVTAIAALARRADLDGGSCATVD AEGKILARCVGFDAASAATVARRAELDGGSCATVGADGKVLARCVGFDAANAATVA PFICI_14233 MTMELIIIGFGPVAGYKYSRCIHNAVSDGHLSRYHVIDRESQQQ QVEARLAKLPTKPATCTYIPEHVLQNGPDSGIEWIVRQDMFTKPGVRRKVVVMTEPQS HGAYINYALAKGFDILVTKPIVLPMKNGVMNRQALMPSVHHIAKASADAGVRTAVLCL SRLHEIYEEKVRKPVALMMDRLQHPITSVHIKTASGVWNLPSEFGSREDHPYKYGYGM LMHGAYHYIDNLACLLLMNKKLFPNEEFVIVMQGFTAGPHDQQMRTGDLEKQTTGYRP EFSKLPDGHQYGETDIVASFAMKFRSSGRVLCLGTISLEQTTPGIRSWGPFPDVPYNI NGRMHCTDVDVRLGTVFSISANVSKQPIYARLDDNDLRGLNAAHVVTRANARLARTQG FVRHEHYERPYGNSYSYSAECDVFERWLLDKPTQSDFESHVPTCAILDGLLKLAADDW RGNVEIDFNYPTPDWPVLSEEEDSWYGYMSDDVAFAFESKQRKPIVKNGVNGVNGVNR VNGVHGVHSANGVNGVNGVNGVNGVNGVNGTNGVHRVNGVKV PFICI_14234 MDMPTTIQETRDDSRAIRLRKVFKTVLSGKRSIADSGIAKLFLE AVRNHENPSTCIEEILASKHGLAAIRSSVRVDLSVPFLQEYTLGLVQYLSHPSIRTLS DGQFLWQILLSIVEPPTVWNALNNVFKQFEEHWLEPFAWIALELLSNTTDQAPDVCAD VQLILASGRLQKADSHALRDVAYKLQKLIVTRTQPESSPNGYSPGGRHDNDFADFRKI EIYPTADELLSTEKPYYLRLDEAFQAEPSTIANIHLDNQFRLMREDMLAEIRYDLQVA TEKKKGRRRALNLEGLFLSGIDCGNERRGKLVSLILSCEKGIEDLRKIPQAQRRQFLI DNKNYLKHQSFGALMCGNQILGFAFLQRELDLLCKEEPQICLQFTNRQNLKTALQMLR TPANLHFVVVDTPVFAHEPVLEGLKALRELPLGDALIRPASTDMQTEISVAPQLQQAI SRLQSMETEGCVIKLGAKNVRVDQSQISSFVAAISQRVSRIQGPPGTGKSFVGSWIAK TLFDCSDLRIFVISYTNHALDQFLEELLDVGIPATKMVRLGSKSTDRTAGLLLSQQKD VFQRSKDSWHIMDALKVEKYELDDRLKGTFETYKQFTASFEVLMEYLEFSEDYEKFHR AFQVPRGEQSWKRVGKKGKEIRPDYLFSRWLDGKSPGVFTNNVAADCEEVWDMQTPKR KAIHGKWIQDIMEEQSDEVEQVAHEFNSIQENLDDMYNERTINILRSRRLIGCTTTAA AMYNKVIRAAEPDVVIVEEAGEIREAHILTALAPSVKQLILIGDHKQLRPKVDNYALT VEKGDGYNLNMSMFERMILQGHGYTTLSKQHRMHPEISCLPRALTYPSLLDGPFTSEH PFIRGLQDRVIFVDHDRPETASAVLSDKQDSKTSSSKQNDFEAQMVLRLVRYLAQQGY GTDKMVVLTPYLGQLRLLRDILKQENDPILNDLDSAPLIQAGLLTQAASKVGKRPLRL STIDNYQGEESDITIISLTRSNAQGDIGFMSAPERLNVMITRARNCLIMIGNARTFMD SKKGTKTWNPFFQILKDREHLYDGFPVKCERHPEKKAILSVPASFDTHCPNGGCAEPC GTQLSCRLHICQRRCHLVTDHTKVECTQLLTKTCNRQHEIRIPCHKSKSSCYKCISED RETERRAKRDLDLERRRASQQAVYAKEFQEIQDEIDHERRTMKYAAEEETQKSQLEQQ RQQLAALKETAQRKKAMKQTEQARKLLATENAKKAEVEKTRMTQKKSSDITTECSDSA QGQWEYLKQSEGAKIKSLDQLIEMIGLEKVKLQFLDIKATVDTKIRQNVDFSKQRYGC SFLGNPGTGKTTVARLYAEFLATLGVIPSSIFEETSGSKLANMGVAGCQNLVDTMLEK GGGVVFIDEAYQLTSGNSPGGGAVVDYLLAEVENLMGKIVFLLAGYKKQMETFSAHNA GIPSRFPIDMEFVDYTDDELLKILNLKIHKGWNGAMKCEEGTFGLYCRIVARRIGRGR GHEGFGNARTVENVLDQISRRQATRISKARRNKLQPNDFLLTKEDLIGPAPSEALSHS PSWKKLSEMIGLESVKETVKVLLDSIQINYQRELAEEPLVEYTLNKVFLGNPGTGKTT VAKLYGAILADLGLLSNGEVVVKNPSDFIGAVIGGSEKQTKGILASTIGKVLVIDEAY GLYAGSSGLGARSNSFQTAVIDTIVAEVQSVPGDDRCVLLLGYKDQMEDMFHNVNPGL SRRFPMSTAFNFADFSQGQLEQILNMKLKQSGFQATGEAKKVALEMLDRARNRPNFGN AGEIDIILNDTKARHQKRCSSGQAASSQVFEAIDFDENFNRAENTETNVSKLFEGTVG AEEIISKLQGYQETVRDLKSLEMDPKESIPFNFLFKGPPGTGKTTTAKKMGKVFYDMG FLATAEVVECSASDLVAQYIGQTGPKVRQCLDKALGKVLFVDEAYRLAEGHFAQEAID ELVDSVTKEKYFKKLIIILAGYDSDIDRLMTVNQGFTSRFPETVYFRSLKEDECVALL GNVLSARLKNLKTKNNTFDFSILLTPTPAFRARLEDLFLNLILQSSWASARDVKTLAM EVFKKVINLKSTGTERRFTLTEAIVIDELQSMYQERAKRNLATASRSRNRNNNLPAYQ PRPDISSSVARDTSAGMQSNAKEDGPSPPSDNSKDAQKSTKKQVPRPDGHQATRDAGV SDEVWAQLQKDAQAARERIEETKRLRMELHTTSEANRDKIVKRLLEEERKQAEELAMK EKLKMMGLCPMGYDWIKQATGYRCAGGSHFIPDP PFICI_14235 MYYSSQQYPRRGRANWRGYRRGTLGRFPPQEVEDLPELPLGPSI NEYNAHEFSKDITRYKSIARITNCSVVASWNWTNARAPEMLIPGKSTTLMCMPPRWSP PARVERLPQDSGDYLRDRNAARYPAHPIEPAILAAMKESPQVCGSNAVDIVACSSTLG NLLRFIRGEEKTFRILVEKVGNTVFLVRRERSPTEKILGVRGHGHTFPEAYTTWNQDV KSSTSHQRVLRYTLGGLQCLVRFEADGYIPDTTNSPEKRTSHLEVLDPSQSTAAALTS AFNTVGVSEVQPANTGDISLKIKQAGASIPQQQIFDLKTRSEWKRDQDTTAQELPRLW LSQLHKFILAHHKDGVFNDVKIHNVRDKVLEWERVNSNAISRFLSLVRRIMDTAEEVE QGKFEVCHMKDHLGLLRFRHQLPDAGSALSPTVRDKWLTLNEKDDSETSASDESSIEA ILWDDEGVDYTACSAVCGYCGHCNTVKAY PFICI_14236 MSHLKDKEPDSSQDQSQPTGSNKFEIDDNRENATDSSAADEAVG WKSPAPDGGSKAWLTVLGVWCVAFCSFGWINSIGVFQGYYESVLLKDYSATAVSWIPS LQIFFMFFGGPVVGRIFDLSGPRYILLVGSFLHVFGLMMCSLSHSYYQILLSQGVCSA IGVAAIFQPAISCLPAWFDKKRGAAFGIAATGSSIGGIIFPIMVARLIPQVGFPWTMR ICAFLILGLLIIGNLTVRARFPPNPKTMSRKDLHEPFTDIKFIFICVGVLFLTFGIFI PINYITVEALSKGVASSLAQYLIAILNAGSVIGRLGSGALSDKVGVYNIFIFVCLLSA IFILALWIPASGIGALIAFAAIFGCTSGAYVALATPIIAKISPLPQIGYRTGLLYLFS SIGGLTTNPIAGAILDKWNGSYTGMKIFSGVLLLVGTACICVTRLMATNGKANAIF PFICI_14237 MTSPQNSSNVDTPGPVLQPFSLLHPISQAAYVDNFESLLPTRRR AIQLWQTFILNVDPMFKILHIPTVQPIVYAAINEPHDVKPDLRALLFAIYFSALTSTL RDVASCLNQDHEAELERFKRGIEISLSQADFLDQPTMQALQAMAIFIAAFRRFGSGRS IWTLNGMVIRAAQLMGIHRDGKLFNLSPFDQEMRHRLWWKIISTDSRTLEDQGMWTTG NRYLGDARLPLNIDDRDMSPQTTSMPQEHIGFAESTPLLSICHIHIAGEAVAQLLLCA DLESYSEKLPATQEAIDRTRAELEKKFFSNHNPHIPIQRLFSNLTKMILDKLEWQCQE RLLRHKQNNGREDAKGEARNYDAESDALFIRACELLDASLELVLDEIYTSYTWHTSSY PPYELLTFILWRMYTSSTQSSTDWAWQIVLRSFASIEETSIIPDMGTRWSILCKLKEK VAGLRTHTQNNLQFVGSPDHSPPHAGLEREARQENHDADVSLEGTTSDLEDPLFSMDW TTFQQSLNMDGMSWP PFICI_14238 MADATVLGGSETPQPPPRAGGARRYNVERSCIRCHQKKIRCDKS IPCSTCVKSGNVESCRYPGPERAKRRPRKEASTSLVEQVERLEQIVSNSLKRKRNHDQ DERPSRVRSSSLEGNLSHRHPSHGPSNFTGLLVKDGNMVRYVNDHVLSHILEKVLNLT PFICI_14239 MPTNKNPWAPTSYKPLRRASDSTLRRESDSTLIEEIDEFTEYLA KPLSPTPAPSKGIHWRAPTLMVVCFLSGTSFALIHHFYYSYLTGQQVPPPNIQEWPIR LGSGLAFLTKSTFTAAVGTAYTQHIYTVFRKRSLSVRGIDSAFAAANSIIKLVSWELF SKVKAGFLLGLIAWSIPLAMTIPPATLSVVPKSETRLYDINAPTLNLSGYHLAATSLD PNKNGEVSPATQRLFTATYSGAAVLSMPALVNSTPNAAYNSKFLGPLITCGSLNSSES GIMGKIVHHTSNWYQSLINSSNAGNVKYLLFRPHEHFMDGAGNPNETFDYGTFISGIV STTPSEASDAAGPQVDRFWVYSDHGSWACRTWNATFRGTFTTHMQKQEITVHKSNTTL APLGDYSQNWSDSHSRTAYEMIIHLIFSLLEGAIVHDLRPDPNPKVNAVPTVFKTDIL KTGMIGALNLTTPQVTGTLKMGRQAPGKPIVTEEDQALSQGMSLGDLIEQLSRNITLS FFSDKQFWSLEGQGYNTTVIAPFRHNVYWYNPRNLLIAYGFAFGFTLFSVAIGARAFF INGVSHSTNFSAVLQNTRNPTLDELARGNGMGCKPLDKTLKTTKLRFGILATSMERGK MGVDGPVQIGFGKPDEIIPLDRKKRR PFICI_14240 MDQNLTLSQQRKAGMNKLHKLSEEEVIRLRGVELSLKDELEKAR AQLRESKSKTPVSDSPRTTPSPPTTSPEPIVVQWDELYRISPEPACCIKAAENAPPEL ARTNTNDRVIQDTQPPPPPSWADAFSPGSAVASESLSAMKDVEVNETLLSLEMGVQCI LRLETPCLPHLRNAMVMEPQREGYLEAPYNFGTNHAYNLSTRLINEYTSPSSPGGARI AAHDLDNLLQASTRLQLVDELTPVQVWALVCKLDSICRIDPVLVTAMFDELSNYSYCN SSRNFGSFGAAITKATIKAGFQHFLGWSDDI PFICI_14241 MSSQSQTEAPQPRRRDGSRLGRSLDWTPPWLRWDETANHDLTWT RNLIFGCAAAFSVANLYYSHPILNVLADDFQVSDERASLVPTIMQAGYAGGLLFVIPI GDIVRRRPLILGLIFCTTFIWLGLTLTRSFSVFLGLSFIVGVLTVTPQLMFPLVVQYA PQRHRATMISIVMSGLFFGILIARLLAGIITQYSSWRSVYWMSFGLQIAIYVLLFLYM PDYPVLRPGGSYPQALLTIIKMPFKHPVLTQCGFIAFCTMGMFTSFWTTLTFQLAGDP FNLSTLAIGLFALVGISPVCLNPVVSKLLTSRIHPSGTQIIAILVTLTAVLIGTFVGT FSLAGPVIWAFLGDLGMNTIVVANRMAFANVDPTAQNAVNSVYMVMTFCGQLFGTAVG NNLYADGGWIRSGALSIGLMGAALLLVLLRGPHETGWIGWGGGWDLRNKSVTEKTPSQ PTQDVESSGHPATKEPEQTEKSSTAHPSSTDDDMNNASPEIKA PFICI_14242 MDETQPLLPAEAVPPTTLDAHHDQTSDKNLVTFDPQGDEENPLD WPEAYKWCIVGLMAFMAFTVTFTCISVVPVANRIVADLDDGRTSKSASVLLVTIWELG EAAGPLLIAPLSEMYGRYPVMNACNVGFILTTLLAVLSENSTMFIAARALTGVVVATN VLNPAIVGDIFVTERRGSAMSLISLAPLTGGAVGPLISVAVSETLGWRAVCWMSIILA GIAEIGFLTCFRETYKVPILNRKAARLRQETGNDKLRSVYDEGAHSIAKLREAIMRPL LVLSQSLVLQLAALCGSVTFTFFYIISTTLPDIVEGEFHLDPATSGAAFIFFSAGSIT IALVLNRALDKVFVKLRDANDGVDRPEFRLPFVILGGFLFPLAIAFYGWVPALSLQVP YLYLAITLFGATLQFSFMPLMTYVVDAFGLYSASALTAMIVTRCLMSTFLPLLAKPLI DSFGYGWGFTVLGAMMLSLAPIPIAIFRYGHRWRQWTKYTKDE PFICI_14243 MKFYLVLMALAALGNALPAVEGEVQGLKPSGPAPFPSGEPHHHH PHPKPSGPPHEPHGPKPTGKGGPKPTGKPGPKPTGKGGAKPTSHPEPILGLGNGKFHP GKPSGKPSGKPHHGHHPKRKSPKFICTVLFWHQTDHAENAATGKPPGPKPTGKPF PFICI_14244 MGEDGGGAGTGGGGGGGGGGGGGGAGGGAANGHAGGGGGAHGAE PASVNGGNVHGGAHGATGTNPPPIKVERLPTATVEEEYPISPRANTPNPFSRRNTHLD LDDYFSGPRDLAKHSKWPLFLQMHGSITPKMIVPLLFVAAWSTWITAVTMLYDNIDLS VGSVLLTITGFVVSMGLSFRSSTAYERYAEGRRYWGTLTLTCQTLGRVFWVHVNERPD FQKQDLLGKLTAMNMLVAFSVALKHKLRFEPYSNYQGLGELIDHLETFAKSATEEHPY QAKKPNMFKDFGDRLGVSFAASNPRKTIKKATSPTGNLPLEILCYLSAYADEVVANGQ LPIPMTQTNLYNGIATLNDVLTGTERVLNTPLPIAYTIAFSQITWAYILVLPFQLVGT LEWITIPASIVAAYIILGILFIGREIENPFGSDVNDLPLELYCKQVMDDLETIAAKPK PRMAQYVESSRNKVLFPYSDSSYHAWAQRPESVIRAALRNRPYNNFERSTATANGKPS TSCKSEDSREIV PFICI_14245 MAIETVTIINNSGKIISNGKHLFGLFKEAKASYQEKKAAIKAER AIKRSNTYDVAAVPQYYDEVEYVRPAPGRRVSHDDVESHTSSRRSHRSRHSRRPEERS RSRGRSALTATNLEILSEVSSTAPSRAPAAYQSPYAETIPWEGAMSRPTMQHYPIAAP DYGQMVPAMPHQLVRSHTEPVVPTTKKKEKEIDMNLAYGNIPPDLASRVDLDPAYKAA AKEQHAHTLMERVEGLLIEAHCMHHTANHMIEHLKTRPEAAAAVALTLAELSTLLGKM SPSFLGAIKAGSPAVFALLASPQFLIAAGLTVGVTVVMFGGWKIVKRIKEAKEAEAAM AFEAQQAPPMHYPVGDLPMGRDHVLPYPPTEYSAEFDEALVLEEELSTIETWRRGIAP SGEDDYQSSADLELITPDAMRSQYNLDDAQSVRSARTTRTTKTHKSSKSHKSTRSSKS HHADDVSIPDRQSSRDFKDSQSEAGSERSHRSHRSSASKRSERTEKSSRRGTMLLEDG SKDRENTIDVVIRGKSKESMLKNMFKKKKKDDDEKSNRLESVLSFRTETRV PFICI_14246 MDFATLTQYWPRQLAFLPSNYTAAVLAVFVAMAVSFSISWANAG PSIPRIASTKSWFASRRDFADHGIEIIQKGFDQVESGVFRVTSLDGSDLVILAPRHWL HLCKKKDDEIAPAREEFFRCSLHGAAFDEPYLYTYVNARMQGFDRHFHAMSQALDKAM FDVLGSDMESPTVGFKRVFIQPQVIHIFSQATWRVFLGDSFTSEVADIFQRYVAALIP LMRKLTTQRPMVARLVAAFSDEVRQVHEQLKRMTALFTPMLEQCVDAVEQGTKPDSVN NEWFEDLVRLAPAEKRRDYKFLTNIFIGFAFTFIFSPVPVTTQIIFEFAFRPDYTEFV LQETQDVLGKRHEDWAFSKENLRRLSLLDSFCKETHKHHPTAASNMKKITVKPQNLAN GVTLPAGTVFEVVEIAAHLSNPAFEDPSTWNGRRYFDLRQKTSTSTSATKYDWGAATR DDLNFGYATHMCPGRSAGCSIVKMFMVKLLSHYELCMVEGETQRYEDVYFGQFISPNQ IKPILIRLKKQP PFICI_14247 MGEAIPNSHSTGSREDEDFWWQEISHPFLSLMQSTGYSDDKQER YVQLVKQFIIPSLGPRPSTTKSGTRLPHFDSFCSDDFSPLELSWNVEPETSKIRIGFE PIGPHAGTAKDPFNQDEPKIVMSRLLEQSGGAIDHQLWDFFVKHLHVDAKHAHDIVSK MAPNEHMTTNTISFDLVGQHPVPKVYFYTIPISLLKDTPAGEIITDLIRQLPSNLAPS FQSIRDFVFDYKRQRNNEQILRLELISFDAIAPTDSRLKVYMRTKETCLARVEEVYTL GGTLSGPEIDKGVNLIRSFYQHVLGISNAEDDLPSSKHRTAGIIFNMELMHDRAMPVP KVYIPVRHYGGTDLRIAQSLSNFFRACGLEDLANTYVDAVQRAFPTQDFSNTIGRHSY VGLSYNEKGPYITMYYNTMTFSKGDEKDEEGKLVGPAAWKQRHLLD PFICI_14248 MAVTHRVAVITALACLLVVTGAQTTTTSGRWPTQTFITEPGFHP PLAAINHTDDSALAPGLIVFSQDGTYAPENVALIMDRNGELVWQTDPTIGDIQDLSPF TLFGENVLISWNGTSNNVNGDSFGTATIWNDSYEPIYNITLSDPQFEHLYGQYDSLLN QHEVYITSWGTFLGLVYDPIPANLSAVGGPEDGWIRDGVVVEIDIKTNELFFVWRASD FIPITDSYAPIESARSGFGNSSDSGWDYVHLNSVAELNGNIVVSSRHTFQAIYIDRQT GGVKWIVQGEGKSNFTIDADAVYRWQHDIRIEQTAEGLMMHLHNNQNNYTPPYNVSSG QLMRIDEEVGHVYAVQTYTDPNDLVSASSGGNYQPLVNGNVIICHASQPVIKEYAQDG ALLWSFRFGPAGYATGPGIGSYRAFVSEWRGYPNTDPKAAACRLASGDLAVFMSWNGA TETIAWNIYSGEKSYGLNAAATNVTKSGFETSNTIPVAQYVQVEALGGSKDPGQTRRS AVLNVTDAALC PFICI_14249 MRSLALISLAWVGLVNAASASSDWDAAVGKANDFLSQLTLAEKT AVVTGANPLTGLGCIGSIGPISRLNFSGICFSDGSSGVNRADLTSVFPAGLTTAATWD RDLMYQRAAAIGDEFRAKGMHVVLGPVAGPLGRHPLGGRNWEGFSADPYLTGVAMDHS IRGFQSTGVQAVAKHYIGNEQETQRTNLTLADGTNIDAVSSNMDDRTLHEVYLWPFVD AINAKPAAVMCSYNRFNQTYTCENSKLLNGILRDELGFEGYTVSDWYATHSTEDSINA GLDMEMPGTTVPGQGVSWFGDRVAAAVENGTVSTDRLDEMVRRIMTQYYLLGQDITDY PTLDPSSLSVIASQYQQELGSLVTNPPARDVRGDHATLIRQVGAAGAVLLKNVNGTLP LVSPANIGVFGNDAGDLTDGLVYQDPPATNVGFEYGTLDIGGGSSSVRHTYVVTPLEA IKARARQTNARVQYILNNERLAVGDFHSIYPVPDVCIVFLKTFAAEGFDRVSFEADWN STAVVNQVADKCNNTVVVTHSVGVNTMPWANHTNVRAIIAAHLPGEETGNSIADILWG DVNPSGRLPYTIPVQEADYDIPIANLTSDEVTYPGAWQSNFTDGLLIDYRHFDALDIE PLYEFGFGLSYTTFELTTDLSITPLSSAAPDPLPAGNQSNPLTDFYAPLVNVTAQVAN TGDRDGASVVQLYLSMPSESTPAGTPVRVLRGFEKAQLATGEAKSVVFQLSRRDLSYW STVDQAWRIPAGQFQVQVGFSSRDLPKSAQLSIL PFICI_14250 MFVKTLFSLGLGVAAAAKYAASNTTSATRLDQLPTLSAGADCAC GQLAAQFGNSLLTTNSTNYTVQAQDYYDIRAVLAPKCIFLPNDAAEVASGMAILASCG AQFAIRAVGHMNFPGANDIDGGVVLALNNLKDIQVSPDNKTVQVGPGNQWVDVYSALD AYDLYCIGGRMKTIGVSGLSLIGGFHYLTNKYGFAMDNVVSYDVVLGNGTQVVANATS NPDLFWALKGGANNFGVVTKFVLKTLPIHQVSTTLQVFNESYIREFIDATVDLTKSQD PSIGAGSIITISYNTTTKSMTAVLRGVQEGTESPPSSFQKFSELPSTLTQNVVQKPLE FHDQLDSPFQMFRIQFGHKTIKPDADQIYRIYEAWKAAVEDISDVEGLIPTLVLNTMA SSAMSVAKNNGIGNVWGLDDKEPLIIWQTSTGWDNAASDLRVTNFARGVLDELHAENQ AKGLASEFLYMGDASEFQDPFLGFPAENVQKMKDVRSLYDPLDVFTRQNWGGFKLPRI PFICI_14251 MESVDKDSRETSATHAELSQRNAPNNYYGLTYRTVLVFLAITMI SFVQVVNLIAAGSLSTAIVAELGGSNSQIWLSQAIAITTCVLAFPVSQAADYWGRRWL IFISTLLGSIGCIIVSRAESMNAAIAGEVVTGLSYSCQPLLYAVASEILPRRVRPLAQ GGMNISIALGGVFALLVGGLFGSSFVGGFRVFWYIIAGLYFISAALFIVCYNPPLRST QLSHTGKEKLAKLDWTGFCLIASGLTLFSLSLTWSGNPYSWNDAHIIVPFVISITLII AFLIFEIRFKRDGILHHGLFASRNFAISITGIFIEGMNFFAATNYFSYEITVLYDDDV FFASLKFTITFFVAIVASLFVSIYASKSKKLRWPIVFAYCCFTVFDALMASLTLDGAI FLWIWPIFLGLGLGFCLTCLVTAGQISSSPELIALSSGLMFSMRSVGGTIGLPIYSAL FSTGTAKLSPNIASAVLPLNLPEQSLPGFMSALLNNDQAALQLISGVTPQILEAGENA VKQTYLNSFRNVWLFTVVVSAAGILVTFFTKDSDASFDMHVDAPLEDPGPRFRDL PFICI_14252 MSVPKDLQFPDLKFVKLSPPAVHPLFRYNGFRPERTVLAKGHMR APGRKAFPINVIYDRDVTITLSDGTRIYADVFRPANDSSRVPALIPWSAYGKTGTGPQ QYDTMGPFRSGIPLERTSGYEKFEAPDPAEWCARGYAVINIDARGAGMSEGNIRWWGE QDARDIYDTIDWLSKQSWCNGSVGMVGNSWLATSQLNFAARLKHPALKALAPLEGLTD AYRDLIVRGGMPHNPKFHKRMMTGFAGMNYMEDMPSMYKLRPLFDDYWASKRVHPEKI DLPMYILASYSTGLHSRGSFETFRTAGSAQKWLRVHPYQEWYDLYREDVNEDLQRFFD RYCKFLDNGWERDTPPVRLSLLGFEKSPAKTVVERPEQEYPLARQHYETYHLDVATRT LTTERLDEISRASYEAHSLNDTLDFTLYFAKDTELIGYSKVSIWMSCAEMGDLDVVVQ IRKISAKGELLEHLNYPCPVPVEQVPNTNIVKFLGPQGLLRASHIVSKDEENSTDVEI IYKHDKLKAIEPGSMVKLEITLWPIGMVFAKGEGIMLRVAGHDLSYPEVEDVTKVEPD DENVGRHIVYTGGEFDSTLTIPVVHPKE PFICI_14253 MAVQCDDTFGPVVASSCRGGFDFTLLFEQTVLGILPAAIFALSA ASRLLYLVLHSTDAKTHAGSLRLLKLVGSLPWSSLQVSCPALKICHFKVTATILAALQ VALLILWCKAPPLNRTAASLPSAALNLFAAVELLALTWVEDVKSVRPSSLLNIYLVST VFFDAVQVRTLYLQSPANTALAAVFTFTITVKLVLLFLEGQSKTTSLTPPYQGLPPES TSSIINRSFLWWVNDLFYSGLRSILTLDDLYVLDGKLASAPLHAAIAQAWQRRTKPER RFEFPWAACRALWRPLLLVVFPRLCMIGFTFAQPFLISTALGLLSQPSDQQSTNNGYG IIGATALVYLGLAVSTLNYNQNLYRFVTMFRGATVNLIYQHALSISSNAYDESGALTL MSTDIDRIILCLIDVNECWARTIEVIVGMTLLALQLGWISIVPLVVVVVSFFGATRIS STIGARQKVWIDAVQKRVSITASVLASMKAVKMMGLSRILTTLIQDQRVEETHRMANF RWSIVAQNMVQNLPWFVAPALTFVIYAAQAHGQGEPSINTTQAFTALSIITLLTAPSA KLLSAVVSTAASVGCFDRIQDFLLAAPRHDQRRATTWSNTPISIPKTENFQDGDIEMT TLMQDSRNSGSDPTVAIAATCLSVRPTSSAEVVLTNAHFIIPRKSVTMIIGPVASGKT TLLRTVLGELKPEDGSSISVASRRIAYASQTPWLPNTSIRNAIVGPEEMQAKFDHSWY SKTLFACALDCDIGLLKDGDETCIGDAGAVLSGGQKQRVSLARAVYSRAPIMLLDDVI AALDVNTQVTVMSRLFGESGLLRSFDSTVLFVTHSARFLSYADKVLIVCDGRIEDGGS YGNTVSKEVARVLPLADDSDIQPQSESKTPTANEAAALVAKANQHDDLSRASGDLKLY SYYFRSIGLLSTCTLVGFVIMNVFCNSFVEIWLNWWTDDDGGHIALYMSIYLALAVMA VVGMGGYETHKCARAPQSFFSATDTGSILNRFSQDMTIIEGQLPTGVLITVSNLFECI SCAALVATGSSYMAISVPLLAVAVWALQHVYLRTSRQLRLLDLEARSPLFTHFMESLT GLVTIRAFGWEQAFMEQNYRKLDYSQRPYYLLYCIQIWLNLVLDLIVGAEAVLVVGLA IWLRSSTSVGLLGVSLNNVLCNSSLSSLVSGWTMLETSLGSIARLRDFEATVKAEDDV EQTRGLPINWPELGRIQLRNVTALYHPGVLGIQDVSLEIEPGQKIGICGRTGSGKSSF ISTLVRLLDINSGAVLIDNVNLTTISGDTIRERLFVIPQESLTFPSTLRRNLDPHNTA TDAALTTALLRVGLHDLLAASRGLDTDITASALSAGQQQLLALARLLVKKDMEMKVKD RGVLLLDEATSHVDQAAEEVLQRVVREEFANFTVIAVAHRLDTILESDVIVVMDAGRV VEVGQPRELIEKGQWFARLVKAYTGATISSHE PFICI_14254 MAPLFMHNNLLELQRRDEQVMSKLYLYDPSLAAACIFIVLFACT AVAHLFVLFKRRTWYFVPFLIGIGCEIIGYAGRALSASQTPNWSVFPYALQSLMLLIA PSFLAASIYGMLGRTIAMTRGEAYSPIPPKKLTKVFVTSDVLSFLVQSGGGGILTNAK SPSSIQLGEKVIIVGLFIQLIGFIFFIGVTGTFHKRITQGSRTGPVSVAAPWRRHIFI LYGVSALVFVRSLFRVIEYCQGYDGYLQTTEVFLYVFDAALMFVVTVVLAIDYPNGEE TGFEEVKSEPEGPLELRNLIPGNVHSMQQWEGPSPTAYRGQSARI PFICI_14255 MFWGIGIGFVLLYLCGYATYNLFMHPLSAYPGPLFMRVSRLGYS YKSIMGTLTFDMLELHERYGDVVRIAPNELAFSHPQAWKDIMGHHHGSRVEMEKYDHF YRPVSFGPVDVVSANREEHSRLRRLMSHGFSDRSMQAQQPIINQYIDLFIQRIHEHCF GSEKPVDLAAWFNFTTFDIIGDLAFGEPFGCLKKSDYHPWVKTIFEMARAGTVLQATS HWSSLQKLMLMMVPRSMMDEHRKHAEMAKLKLSKRLARESERSDLVDGLLKEVNGKSI TLQEVQANASVLVIAGSETTATLLSGVTFLLLVNPDALKTLTNEVRDAFQAEGEISHE TVTKLPYLMACLNEALRLYPPVPLGLPRVVPQGGALICGRHVPESSVVSIHQYAIYHR EKYWTDPFGFHPERFLDVKGFENDDRATFQPFHLGSRGCLGRNLAYLESRLVLARLLW NFDLRIAEHSREWLNQQKVFNLWNKGPLDVFLTPVGR PFICI_14256 MKTNLFSLAFALALADAYQVRDYSSWSPAGPNDYRGPCPMMNTL ANHGFLPHDGRNITKANAVAALGNGINFDPDLASLMWEQAVIANPQPNATFFTLDHLN RHNVLEHDASMSRSDAFFGNNHVFNQTIFDTTRVYWTNEVLTAQMLADGKLARQITSR SQNPNYTFTETTEHFSLGEVAAPIIVFGDMAAGTVNRTWVEYFFENERLPSSLGWTKK QEPVTLVNVTGVTDMIDAKTHLTTP PFICI_14257 MHTTATLADLVNNHSAPPAAAGGASSKWHRAKIVLRLLSLALAI AVATESILLLPIPVPITSPLFPLTLAVACISWDATILYTMRLQKSWISEALRMHIAIE TLLWIIGLMATAMQMASVTIRRQNNPGTSTSGKDLALAATLCPLFILHFTLFIRACSE SRRRKRGKQVQQLIRTLLQENERGISLSTLSPSSPYQQPPPVYESDPSYKMSPISELS TPTAVAELPSVIEPVELPGDFDYIGHMRVKD PFICI_14258 MYEYSHHLGLSSRGSLLTTCKEHQSTPSRDESDAAEAPRTRDSE NGQQEISNDSLNMAHLELIVHFSFDIYVPEFDQHIKEPATKLVLQTALKAPFLMHEIL AISACHLSVTRPSDAAIYRSQAVQLQTKAIELFNMSTRDADRDNICARLLFSSILGRH IIADALDSHGLDFSSFLQRYVQGIRIYRGVRAVAAEEDWNVLLGSELGPLMIRGLGIA TYDQPYELEDTLWHLVFHATGLNDEEKASCETALRLIETGFANLRDLTRSETGRRMIF LWSIMLPDLFIELLARQVPEAIAILGRYAILLHYGRSLWQVGDAGPRLLHTIQRLLGP AWDPWLSWPEGLRNPIVLPVEGIHFA PFICI_14259 MAGPEDWNRAQAFPGQESRVIYGHPTMTGVPAARLEGEDAKASA NYKDLSTVSVSSVSQTGDSTNPSQRPVSRESRTWLPMQGANDSTSPSGGIHDAALISP PLESPYSPPPATHISSQMSSFASRRPMQPMEFEDSPAAYMSSGPGFGSAYASPYTPRE AYHQHGRSTTETSQAYLLQTPPVTTEWTRESPTPPPYSAGLPPKQPKRWFVWRPEWIM FVFLLLGLLAAVGHHIFYKALDDRLADNQVAMLRYGTILAFVAKAGFVAATVTAFRQR LWVTVRNKILSVGALDSLFAATSDITALWNREIYHKAKIAMLLAGLVWITPLIVILTS NTLTVRLVNNIVDTKCPSVRTLNFTFEEVENFRKPTKVNGLYGLSVNLWNATTLNDSL PGWYDYYTAPHDLFRATASLGIFSKKVNAVETIAHDICGVGWNCSYTIEFVAPGYKCT EVANGVNSAVQNLGDSTPPFNTSLLLPEGQYSYFVHSTEGDYSTAQLKEVWPGGMPLN STPSSWPEHLGAFRTEPIIWAGYSVMVNQSNPPANNTVAGWNDAFIPKIFACEHYETH YKVNFTYEGKDQSTKVLERTFLYPVMNTTYQRGVDANDGTNDNTTATPESNYVYPYPM SNVTNTRRYRRLASFHSIGLTLREVMNGTVNSASVPVPNANTKALQTKLLDPKNEWFA YPDLQTRVQSLYEDIILSMLSNHMLVSVAWAAKPWEMSGDEPGNQTTLWPCTRTRWEN RYHYVLRDLWIVYSCAFACAIVAVIMGTGAVLANEGRVYDTRFSSIVAATRGPALEKM AWHEDNGSDIRKMKVGYGLIHRARPLDAVAEDEAASTGASTPRETGATTAQQRPRFGF GLEGDVRQTKRVGSFFQRT PFICI_14260 MRYQYKAASGWSVVKVAGNLKQVRTIVFDPLGNMLVSEATKGIS VHTWGSNGCINSSSTLISLTSLNHGLSLTPDGTTLYASSETTVYSWTYNPATRAVSNQ KTVVKGMDTGIHSTRNVLVVPSQPNMVLVQVGSNQNLDTAAANKATGRAIIKIFDMSK APSAGYTYKTDGEVFAYGLRNEIGFTTDPNGVVWGVENSGDDFTRTVNGARTDIHKDN PAEKLNNLGDPLKDRDRWFGYPTCFTVWDPSLFKDTTTLKVGDHFVLSPNSTFNDASC NAQAVAPRLSFQAHSAPIWNAFDADATNMYVTFHGSWDRQPPTGFKVVQVPFTKLASG QYDPVAPATSTTGYTDVLSATSPESCTANGLTQSNCFRLTASTWDPAGRGLFVGSDNS NEGEIYLLHKN PFICI_14261 MAENVVGLTVQKIVEPDSDQGVHVANTPAKQANNTSTAPSFHDD AQAESQTVSASYATHIIDPADYPEPTQEERSTLRKVHDSIPVIAWSLCFVEMAERASY YGVKAAFNNYLQFPLPEGGPGTGAIDPSKPDSHAGALNLGLQKASAIGLMFTFLAYVI PIFGAWIADVKIGRYQAIVWGVIIGGVAHVIMIGGAAPALLQAGKGLAPFLFSYILLA IGAGIFKPNVAPTVIDQYKYQREYTKVLKSGEKVLVDPETTVSHIMLIFYAFVNVGAF FSIAVVYIEKYHSFWLAYLVPGIVYFLLPVLLAFTYKRTIRTPPQGSDLTRFVKITVL GLKSSKGNIFAKNFWANVAPSTLNERGVEVGFSEKDVDDARRTWQAVQIFLYVPVWVL NDGGVGSVLSNQGAAMTSDGAPNDLLGHFNPLVIIIFAPFMAEIVYPFLKRKNIKFGR ISRMTFGFVLAIISSVIGAIVQWRVYETSPCGYYASDCDGVSPLSIWWQVPNVALGAM SEVFVNVTAYELAYARAPENMRSTVVALFLFMTALSSALGEILIPAIADPTLVWAWAA PGIALFVQTIIFWWRHGHMNDDVFMTQKEDFSDSVTGEKTIISSKEE PFICI_14262 MDEYDLVITNAVCVTAADVSPYDVAIKDEKIALLAPSGSLKNAK TKRLIDAEGGYVMPGGIDCHVHLEEPSMFGKGSSADSFETGTRSAVAGGNTTIVAFAP QQKTQDSLLEALGNTHKLAKDNCYCDYGFHLLVSNPGPKALSEFDQLVDSEGITSLKI YMTYTALQLRDNEILSVLLAARQRHILTMIHAENGDVLEWLTDQLEAQGKLAPKYHSN SRPPILESEATNRAIALSSLIANTPILLVHVSDPAAALRIRQAQTAGQPIFAETCPQY LFLTRDDLDKPGFEGAKCVCSPPPRNVEDQLQIWTGLRNGTFAVLSSDHCPFRFDDAV TGKKTCVTHEHPVGKFRYIPNGLPGIETRMPLAFSARKLALTKFVEVTSTNAAKLYGL YPKKGSMIPGVSDADLVIWYPDGKLDGLEVTNSMLHHDVDYTPYEGRKVNNWPRYTII RGKVVWDRDGEGLVGTKGYGQFVKRKQGTLNEIWETVDEQGAFDLENL PFICI_14263 MQQSLLLALGLAAMSAAAVISNENTAYNGPLFTRGDISIAEAPA EGLIQLLSNPKPDHLRSPVRERIAAQKLGKRAGTGNETVNTLFSGIYPTVNLTWGNTD GSPGQQFISFIDTGSSDSWVVSSDLQCVDIETKVPLDQAACGFGPLYDSSKGSFTNIT DESFSISYFPEGETLKGDMGYAPITLGGLTVPKQQVALVNYAAWIGDDASSGLLGLGY PAITSGRNRTSGKYVEYDPLFTTMIKEKVVSDGIFTLVIDRVPQGTSPLAPAGLMALG GLVPDTYYEAPFTSVPIVKDGGEYTWYNVDAKIKYGANGTVTDFATSASFETIVDSGT SPNFIPTAATKALNALFDPPAVYNATLDYYTVDCDATAPYAAYVIDGVEMPMDPQDMI VRSLNGLPGYEDVCFSAFADGGPNTAGASFIIGAVWQRNYVVAYDQTNSLMHFAKRKP Y PFICI_14264 MEQLIRTLSSSQRNGGKMIRIGSGPMSVVKMIEAGQHLWKATQD VDSIPKAITIINEAIPVFQVMAKSICDSTKVAVSFSNIAAQLGTIGNLVVTCQGVKAL QLIASQLKDMNDTLQAQTALLSIEKFPQAVYDIVEEALHNYQDTDDISNWFFVYHPDT LWTPGFHRLVKDKGLHRRFCGHSNQLDAAIVYMLAAREYSERAARNANKTGKSKRKIR LHLLIPAYQPVLIKDPVRFPEALGDFMVHGKIHNSTPLVWINIDQDQEHCLSGVGRWR PPSQKWFEAMFNQRLEPKTRELGKPLP PFICI_14265 MLKSTYKPSAHAPPPLPTGWTEHKAPTGHTYYYNAATKESTYKR PGADVSAATPAPPPPAGSSSLPGQQDPRASYRQYSAVGSLADPTAANAFLAQYGPQPQ RPGGRGDVNNAPGRGGHHRGGGDRPKPEPHDRPKSKVAIPGFEPWILVYTKYGRRFAY HPEKNASYWRIPEKLMKGIIELDIRGAKEKAEQAARAEAGEANGTGAEGTSASASVEE AQAAEDVEMQDEEQEEQEELGSDYEEVEVTDDEGAEDDEMGDDEHPSKRQRTEEAADD LPAEFTEDDIAFQLQAMGADYGLDPGEYDDGTDPSTWPEGAEGFTLSDEDARELFKDM LSDFDINPFSTWDKLLEDGKIIDDPRYTVLPNMKSRKDVWEEWSRAQIQVIKERRQRE EKKDPRIPYLAFLKEKANPKLYWPEFKRKYRKEEPMRDTSLIDKDREKLYREYISRLK MPMDTLKKDLTTLLKSVPPKHLNNKTMLENLPSQILADVRYVSLDAKTRDPLLKAYID TLPPPPEEGEAAEDEAAQKARDDRQKREKALAERERQVAEEKRRQKRQLEHGRAALRE EERELEAAMKISKQGIHSQLLKEREKGILDEGAAKEGSD PFICI_14266 MSLAAAPSKCCTVGSLHEGTPTGTEFKVGKYDAYLATPAPEKAH KDAAILYLPDVISIWQNSKLMADQFAASGYLCLIIDLFNGDPLALNRPDDFDFMGWLT KGSTGNNPHTKEAVDPIVEVAIKYLKEEKGIKKLGAAGYCFGAKYVARHFPEISVGYF AHPSFVDEDELAGFKGPISIAAAETDEIFPAENRHKAEQILAKGGYPYQINLYSQTEH GFSIRCDPSKKTAKFAKEQAFLQAVTWFDTWLL PFICI_14267 MRSSTDDVTIIPKPREDWKPVQRRQASRYPEGVYEQDRYLVHKN DFVTQSQGTDRQSSTTHPKHEQSRFKAVRRASSNSEVLQDAHSGVYKHFLRPPHRPST SDSPASALLRPRSKLSGEHPEIRTTGTTPTSARQGSSAIHDITPIESHFPHRPQTPKA GFLGHWLGMLSNKAPPSRAVTPSEDLEEVTLIIPTEEVIAARRQCPSNVTTASRGSKR SHQTEHTFSSDHPISFEGDPHRPVLGQRGSWFTSRRKSSPSLGKSQKKTLTPAKNDSI MSRRTSREKTAKGKSSDISQAGSGGP PFICI_14268 MPRNRILAHRQSFQEHRYPSDLFIEEHYGPHEDPALSSERIQQS TKKPKTKKRNLIARLALHRRSSKRKTIPEQLIAGAEYNKTDYRERWPTVTTLVTQSDP RYLEDIDEEFEEEGHARRRSVKAKALEAVATPLDWLTDRLGSVSEHLGRQIKVADGRG QGKPVSTSTQRSTAKPGRSTHPYDTGVVTSAEAIPKECPTAPAQTQITEDTGMTKRDD LLRAKESLIIPTKQRLRRLSERSATSERAHQKRLLEHGQAIANSANQRSATQGRHYLQ LSSEAVEGPMLLEERKKW PFICI_14269 MVLVNTATSSLPSSWQGLTDRLSNVGDSAYIFFICDNDASTGKP WCPDVRAAIPVVQKYFEKRPEEILVVSVGQLPQWKSPDSIFRTKWDLKAIPTLVKYTR TQDGVSQQQVVEKDVKDEGLLKALVHS PFICI_14270 MAPRSGNNGNAQGGGVLSAVARFWTHSYAPDYVGFVGLLTAYLL IQFLVEPFHRMFYVNDLRIAFPHAEHERVPVTMGIIYAAFIPLIFVVIINGATRASFH KHHSTILGLAIAVILTSFLTDIVKNMVGRPRPDLIARCKPRSGTPRDTLITIDVCTET DHHVLHDGWRSFPSGHSSFSFAGLGYTALFLAGQLRIFRDKKDLGRALVALTPLVGAA MIAISRCQDYRHDVYDVCTGSLLGMVIGFWSYRRYWPRLTSRECDTPYPAPGTEMDDR DARGWHRVRDEEEGPILGRRLSGAYGMSDLPPHA PFICI_14271 MPVRRRIKQEPQDEPKPSPSPPSRSPSRTPSVDANRRMAPPAAP SNHGTRPFSRSHAPSIDTRAVHAANQGHRSDDMQSETTHDTPQLMELRTRNPPAHNNH QRSRSTPSSQTGDTLMVNQSFADIASKVKDCNDVLAELQQLGIRDFAQLPSLVMVGDQ SAGKSSLMSGLAELDLPRSGGVCTRCPIHIRLSRHDRWSCTVSLQQDYDYQPPQGRIR KNNVSDRDPFPPWKYVGKRVKNFKSIDFEDRHQIEDVLRWAQVAILNHENPASLYVPG QGAYAREHTLQEAAEQTRAQFSPNIVALEMKGPNYPDLSFYDLPGVFSTPAQEEDEYL VEVVKNLAKYYISREEVIILWALPMNNDPETSISLGLIREAKAQGRTVGIMTKADMHR KEDITSWLSVLRGEKHRVGYGYFITSRPALDNNESLERVNQWEEQFFNANDEGWPQEF GPHYDRCGVAVLTEFLSKLLGQAFSSSLPQIAQKIREAKRDIESQLSELPELPRNVEF EVRQSLQQFKEAVKEATLYTDFQPRWSQLNKQFQACILAMKPTCLVRTPGQAPYRESL DGTVDLTSDSENVAAETPSRKRTNPRASDSTIRIATPSKRQRVEVSTPTTVKMEQSFY GMTPQSGQGTPGPDPQSPFAEFYSLSRRGLDIRLIRDVLASRRRAGMPADIVPSDVYD VLINKAITNWQKPIERYIDHTMRLFKSMLNGALSKSMASLAKRLIFDESRSYLDEYIA KMDSLQSAAIMDLFQSETYEMYTTNEDAYNRYREEELATLQRARSIERLRAIGVFSFD YKTRPLTKMTPEAIAEEKEKIAKNITRLGPDEFSHELEVAAVVRGYYILAGMRLVEGV TLSVKSKLFRDVASDNPHQFLNRKLGLHEADSDTFNRLMEEDQVTATKREQLKREKDK LEIAMQKISNLQLGDRNELLADLVGNSFYESGAVHDEVMSDEI PFICI_14272 MVHVPEKGAKGHLEDVHIAQEEAPQFERVSWTRDPNLRKLYLWG SVLMVASATTGYDGMLVNTSQQIDLWTKFFPEADPHNAMGTQYLGLLVNMFSIGSIIS FFVTPYVADHYGRKIAIVIGCLFMVLGGCLTAFCNGYNMYIAGRFLLGFGNSFAQMSS PLLLTEICHPQHRGPVTAVYNCLWNAGALIVSCIGWGTATIANDWSWRSITFIQVVPS LIQLAFIYWIPESPRYLLSKDREEEALNMLAYYHGSGDRNNTTVQFEFREIRETMRLE KEADRATGYADFLRTKGNRWRLAILISLGIISQYSGNALFSNYMNTIYEGAGIVVQNQ KLGLSTGRTIYDLIITIVAAMNVDRFGRRPLFLISAGGMCFAFVCWTICGAVYENSGG TNTGSGYAQLVFIWLFSAFYDMGFSGLLIAYALEILPFSLRAKGMMIMNITVQAVLAL GNQTNQLAWLNLPHHWNFMLFYTLWDFCEFLFVYFVYVETKGPTLEEIARIFDGADAI AHINIHQVEKEIHAEVHEEAFSSPKSAL PFICI_14273 MTGSTSVTTQASNDSNGRLIADDSGRKLNFNDKDQLQSVIDSSG QKRAIEYHADDFLAATRDEQSSAKLFHSQGITNAVDTTDSQEQSTKLSILSDDRRLLA SFTDDGKPSTHYLDQKGSTVLLFDNEDYRALAFSAYGSDLAGVRDDGIESRFGFSQEF KHPESDLVYLRARHYSTDNMSFVSMDFKITENRKAGSWMVVMAFGGGAAALATLIPPL GPVATVAVAAAIGAVGNLLGGAVQRCIAGECDRYSFGQFAVDMISGALRGAASMGVSI VIANTGWSTTARYFFAGGANGFTLTAVAQIGAYFVDHQYHGDYGELILHTVTGILAGA TGSLPLGTAAGRFRIRVTRVYARFRVWLRSDVSGKLCPRTRATSFDEGPGGGPGNEPK GPPPDPPGPGSAMQVY PFICI_14274 MEDLLQNWADYIPSHRVLVISAAILVALVLAYRSFFTIQYPKNL LRLGKKEGISWSAMRKKFHEDSLTVFNDIYENYSKKGKAVLIPVFGPNDEVILPPSAL SWLMRQPDSVVSSLDAQIDGIQLQHTLGHKFAYDPWGGWIIKNDLTSVLENLAAIMSD ELDASFEANFGSDVENWKEIDLFPTCRALGGQLTLRFTLGDSPEGRRLCRDPTFVETC YGVVDGMLDAAGWLASVRKPLKPFLGPWAARSMPPKIKALEQRFEPLFQERLRIIEEH AKNPAMEKPKDLLQMLFDHAAKDRPEELRSLPDMTKRLAVMNFGTMHQTILTLHNLIL DVLDSNREYKTVDVIRDEVNRVMGEGGDFSAKNWTKANMATMTRIDSISRETLRLHTF LGRAVQRLIIAKDGLVTEDGIHLPQGAMVSILAYQCQTDADTFKEPFKYDPFRFSRPR EAAADSSTGKPGLNNLSFVSSSVEFLPWNHGKHACPGRFLVDFEFKMILGYALTHYDL ELPESYDGKRPPNTWFVGFGIPPLDAKIRVKRRKSA PFICI_14275 MKVQNALLYTVAVAPSLVLGDGYYSVPEWHPPSVGDVRGPCPML NTLANHGYLPHSGKNININRTIDALGQALNIDAELATFLHNFAIEANPTPNATIFSLD NLSRHNVLEHDGSLSRADYYWTGDATSFNQTVFDETRSYWTTPIINIEQAAAARVGRL QTSQATNPDFSLSDLGSAFSIGESAAYLFVLGDKVSYTVERSIVEYLFENERLPTSLG WKRAADPISEDDLGEAMDRIVNATNTNTTTATTSRRGIHGRARLPQV PFICI_14276 MNAGTDNAAVLDLSKYPAAQELVDRCSQFFQEVEDLTPGKDLEA RLNKDYGPGNPYYEDFCRLVRQGLEEGWVAETELAGRTYRRGKITLPTARSRYFSITT VYMESEAEFSGQYHAHPYGEINCVVQLDPKAELQGMSGWQGAGWTSPGPGTHHYPRVR GGALVALFFLPAGRISYKATPDMPQPIAI PFICI_14277 MGSGQSTTAGPGPTMYTATATASVAAAQATALTLSPTSSVAGKT FDRFVQIFLENQDFSIAAGDPNLAYLATQGILLDNYYSITHPSQPNYIAAAGASTHGV LLDNPARISADVETIVDLLEAGGVSWSLYQEDMPYSGFEGDWVNQKTGANDYVRKHNP LMSYDSVTEDLNRLAKSKNFTLFNRDLAANTLPQWMFITPNMTNDGHDSDVSTAGNWA RSFLEPLLANDNFNTDKTLIVLTFDECENYLLPNRVFTVLLGGAVAGKEGTTDSTRYN HYSLTKTVEDNWDLGDLGENDVDAVAFF PFICI_14278 MDAQVDKDYGLPLDGKLALVTGASRGIGEGIAFELASRGASVVL AYASPSSEPKINNLKKRIESLPHRPVAYSVRADLGSVEGPGQIISELLQWTSNDLHLD ILVNNAGLERVKSLAEIQIDDYDAVYNLNVRGIILLTQAVLPHLEANARIINLGSVGA RAGFQSLSLYCSSKAALEGLTRCWAAELGGNGTTVNCVNPGPVQSEMLDNIPKEIVDM QKAQTPIQNRLGTIQEVANIVAGLAGKDGAWVTGQVISASGGWAMY PFICI_14279 MSSQLQSEAAFESGIPAFIYRQWFKYRQPVPAGTSLAGQTAIIT GANVGLGLESSRQLLALGLSTLIVAVRSQHKGDEAAKGLKASHPNARIEVWLVDMESY DSVQNFAKRCDKDLDRIDIVILNAGLQSPVFQRVAATGHDQVLQVNYFSTALLAILLL PIMKAKRQRADSPPVLSVVSSDTAYWATLETDRPVTAQLNREDGYTGMNQYKGTKLLE MLFVAKLAEQVDANEVIVNLANPGFTAGTAFGRNSSGNSWASHVMSTVFRVVLARTIE AGASNYVDAAVVKGKGSHGSFCSDWDIRPYPPLMYTDDGQKIKERLWEETMEELNFAG VSSIIQSLRG PFICI_14280 MVSETEARAIYADAIHFDGLNICNFGREIFESWHKGGITGVSCT CGLWEGFRGSIANVVQWKKWFEEHGDLIVQAHSAADIRSAKKAGKTAVLLSWQNTAGI EDQLDYLRVFRDLGVRKMQLTYNTQNYSGAGYTELHDSGLTGFGRQVVDEMAKLGMVL DLSHVGPKTTEDTINYAPDGKPPCFSHILPGGLKDHPRNKTDELIRLLGSKGGFVGLS QFGPHMAKGNDSTIDDYVDALDYVIGLIGEDLVGIGSDASEGHGRPSDFMAWCNKDKG YARQLTPWGSQKVVKPLGPLAERAELACAMARKGWSEEKMRKVLGENWLKYLEKIIGS PFICI_14281 MDRIDDIERDSSSGGKRNHEVSSTHVGDEPGKKLPLRKRIASVI WDSLDKTPEERRFIAKIDFWILSYCTISYWGKYMCQQNVTNAYVSGMKEDLNMSGNDL NLLTTYWNIGYILGQIPSQLILTKVRPSIWLPSLELAWSFVVMGMAGGKNVSTMYALR FVSGLFEASAYPGIMTLLGNWYTPMELGKRASIFQASSSAAQMFSGYLQAALYAGMNG RGGLAAWKWLFIFDGIIGVPIGIYGYWAIPDQPTTSKAWWLKPKDREMAIARMERVGR KPMKRLTWKIIRSIFTSWPLYLFCAIFICHVLGIRIYSYMNLWLKATKLYTTEEVNII PTAGYGLQILFTVMYAWTSDATGLRWPTIIVACLVAMIGTIILSVYPEHNISAMMAGW LLTFCETGAGALIITWINEICSHSAEHRAIIIGMVETAAYTFQAWVPLFVYDTTEAPK FRIGYKMATIFFALEIVFTLVILWLSKTRPQVAEEDIGQGDAGRAQELSNGTTTDA PFICI_14282 MLFQSLAYSALAAVLSATAQATSVTLDRRQGANTPRTNASIPVK SLGTLSLSNVGFAEVIAQGGKNALFVSSFALLGDSVHRINDISTVSSVGLGQLTPTKI AGSITWPNDITIAPAEVFGTEGVLVGGGFLVPTKTNGGIYYSANSGSRSTSWVQLIEQ SGWWYHRVLFADMDGDGVLDMVSCRADQPLLGATKTMLVILKPKDASKPTGEWVETEI GAGCDALFTVADLDGDGFPEVIAPSYFTEKLNIFHSKTGFADPDDVQTVTIDSTIGAA FDAQYVDINGDGVKDLLVSNHQGDGTGGVYAYEIPADVTQAKGYTRHTLASGFPVTQR GLQQAAPGSPRAFFPTPESEEAGIPYIVLAGDAAQRAYVLIPGTTEWTYKTTYLHDCG CTVGQVSAADVDGDGYSEIIIPCYDDGVLAAYTFAT PFICI_14283 MFQNPNMYGNHNYEPHGGAAWMHQQQSSHHSQHQVQQQAAAAAA AQQQHYNRIGAQNNAAAAGLNSAVQLHDGLEPHVSEDNRRTLVYVADLLKESTREAAL LELSKKREQVPELALILWHSFGVMTCLTQEIISVYTLLNPSQLTAAASNRVCNALALL QCVASHNETRTLFLKAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSTEVI NFLLTTEIIPLCLRIMETGSELSKTVAIFIVQKILLDDSGLNYICATYERFYAVGTVL SNMVGQLVEQQTARLLKHVVRCFLRLSDNARAREALRQCLPEPLRDATFSSVLRDDAA TKRCLTQLLYNLSDGALGDSSNNHPGL PFICI_14284 MQPWLYSTSTASLRLAVVFWTSAFIPYCLSAPSEKPCVVLCARN NNPASEDGLPLSTRSFIDNTYLPAQIGGIVGAYAFSLIVVAATLLLLAKKRREHLRAA DEDLEEIKYDFALPNGLPADPPQPLVFTPTTPRSPIRNFSYPANAEHQEPSPYVFPSP VSSTVALGVNPLVDQNVVAADREMAQQQLEEMYKYVMEQDEAKQAGVVLQGPPAPILK SPPTPSSQKSGFLRKGKKPANLELNGAEEKPQSRASSILSALKSPRGKKSSVRAISIS SPIMTPMSGTFPRHEEAEMESIPPRHYAPAPPPPVPTDQYPFVSQRMTRPVEPLTPPD MSPESTMSIDERIGSEFGHMRNTSELTAEGDPYSAVSEKSTTPLVGLPSSPKPSQTRF PSLPSSPKPGATFSRANAPSAIRTGGSLPLRAYEPSLASPSTQTTKQTVFERAPLSPS ALRTPFTGAALPYSPYQPYTPVVPMTPSLVTKADRKRMKALQPKTPTLQMVKNEDEIW PFICI_14285 MSPATGQSIFDSLNKAQRQAVTSNASTVAILAGPGSGKTHTLTS RVVWLVDHVKYEPCDVLVATFTVKAAREMKERIGKALGNGREKKIVLGTFHSIARRYL AAYGQAIGLNPRFGIADDSDSRGIVTRICKRLDVQLEVPKARAYISKKKAGGSFVEVP GKKHKQDKSVESQAYENCYNEYQSQLERSNLLDYDDLLVRGVELLQKHPSCVSNLQAV LIDEYQDTNGIQFQLMKLFAQYHKRITIVGDPDQSIYGWRSAEIRNLHRFLRDFPGTD EVSLEENYRSSSSILDISLKIIQQDKERYQKALVPVHERATRPVLRKLKNQMDEADWI VSEIKRVKIMSGNMISADDVAILLRSASLSRHIESALGKAGIAYRMVGGFKFYERTEI KIILDYLRLIYHPDNNDAVARVINVPKRGIGPETVKSLVEEAERNSMSVWSLLVKHCR GDRAAKTNIRKQTEQRISGDFFKIINGVRARLQDSEEGRSLDLVEAIEQLLRDLQFEK YLEDTCPHDHEARWANVQEFVGLAGEFMRDQNLAEEDMLPEIEGVTQFQTNDILGRFL ANVSLASDKQTNDTDEEGKPLITVSTIHAAKGLEWPIVFVPGVYNGSIPHSRSDDEAE ERRLLYVAMTRAQALLYLSHPLHSSNGENTTLEMSPFIEALSGSHFLPQGPPLDRRVM LQVAKILRRELPSEDAIYKSMPIFTSVEDNLFPVDPNEAQSLARSKNEGGFDQNGYNK RRKTQHTTSSAQVAPDSVEGWNTPYSTTMQSSTSFTLPGFTSATAQRAVEVVESLSLA SKPTPAASKPSTNRRPPGQRSLLGYVVKEHDQTKVPVPQPVSLPPQPRLPNKFQRHNS LPKSRPTTQHPSGISPEFSGHKLGSSKLSSKPSPPKHEERSVAKPYACFSSSPTKPSP EKPNAVVTEDVEMATADEVTRPAASYHTTTVTVPQGMRGFKRPAGLSKDGMAPLDRLR KPFKPLTINRGPK PFICI_14286 MPHSLPSSPKSTPRNGGNGITKFTNCRILKGDRLVSEDLWVNSV TGKIVRSQAAFYDDLNLPDQTVDLGGRIISPGFIECQLNGAFGFNFSTLLEPAEYAKK VRGLNRQLVQTGVTSYVPTITSQTSELYKRALPFLAPNGHVQVAEDGAESLGAHVEGP FLNPTKNGIHNVDVLLRADSFADLEDCYGASNLERDEHGNPPVIRMITAAPEVGGMSD LIGNLTDRGIVFSIGHSEATYEEASKAVASGASMITHLFNAMRPLHHRNPGIFGVLGI AESQPRPYFGIIADGEHLHPTTVKIAYNAHPDGFILVTDAMHLVGLPDGAYSWTNGDC TNHIVKKGPLLLLEGSDKIAGSSITLIECVNNFLSWSGEDVPSALRAVTSTPAAMLGL LGSKGCLEDGADADLVILSEHPANGNGGVTLNVDEVWKFGTKVFNQ PFICI_14287 MAKVDSESDPLWEDMDWAIGQMLLMGWDGTEVTPQIRNLIEKHH LGSILLTAKNLKSAEQTTELVRELQTIAHNAGHPYPLLIALDQENGGVNSLFDEDYIC QFPSAMGIAATGNPKLAYEVAKATATEVSAVGVNVILGPVLDVLTNARHQPLGVRAVG DDPQEASQYGIAALNGYKDAGLATCGKHFPSYGNLNFMGTSLDMPIITQTLEELSLSA LVPFRNAIATGRLDAMFIGGCGIQNPDMNVMHACLAGEVVDDLLRNELGFDGVAISEC LEMESLIQEYGVKGGTINAVTAGNDLILLCRAHDVQLEAISGLKLGIEDGMIEKERVY TSLRRVLRMKRACTSWQAALNPAGLSLLSQIHPIHLELSRQAYDLSITVVRDNDSLLP LTQSLLQEEEMLLLTPLVKPLPASKATKSMMEKNNFKNGGGGLHGQFIHQERGAIMSG EGVFRELGRTLARTRHGKLLHTSYTANGLRPVHENLIHRASAIVIVTADAHRNGYQSG FTKHVAMMCQLLRSTGQKKSLVVIAVSSPYDFAMDKSIGTYLCTFDFTETAMASLVRA LYGEFIPTGSMPGTMRKSKKVIKNRRQWLVENYDRERDAQALDGLLEALARTSTPSLP YSTCRAHTFNLFSPNVEEAHFVVRNSSTQALYGFVATYISKGQGAIGAIFVDPAKRNL FIGTSLHRRAMKALLSKKGLKKVQLGVSFPGVFPGIPIEESRGAKAWFGNVGWDVQFP RRISNLAIKDLSSWSFPEGLPQSLQRNQITFDLITGLENGESVLNHVTAHAQPDVVEL YRHALQSNHDCGIVRAKSTNESLLGTVIVTKPGSSLAAYIPLLNTATEGSFGGIIAPI VPTTSQSALLLQGLVFMGVRQNKGHKSAKSILSWVLDDAYETLATMGFEVQQAFDEIT NSPEHWSDLS PFICI_14288 MPALSSGANPNDALGLNQPAMGSGGPAVGPGLGIDGFDPEMNFD ESILDSVNGGLPNFPFNPSSYDLETFTTTFEDPFNYSRPYDAAPDPEAFHDEESSPPQ LDDKLLSFSPPIFKASPVDDSTGAFSEVNMSAELYGMFFVAEDVFGGENTGPRPLELT CYRRNLWQCSGQIIMPRHCTQVLNEQGRTIPIVEFHASITASESIDNKPTEIISIPWK SGNSALGEGQETKTAGAPPKVALDLGSAQEVDAHRVSIPVSWKRLQFKSATANNGRRK GLQQHYVVQINLLGKMKTGGELMKIAEIRSGPVIVRGRSPRNFDSRKDVQLSGDKKPV YIEKPRTQSEAPATTPILKQEKPDITASLHRYNSSGSSYTNDWNNGNSLSYDNGHQTQ QQQQRQPQNPQKRLALSPNPNRPPVPAWSSESSVNKMSSFAASSKNSSGPSIPINLSL SEDERSPNNRSNSDALQSPQLNRSSISGALASPTEETEELYEYFPLSVDDWTPLVDTI YRPHIVHHIAVPQEIKAQKVRSKAKRYFSAE PFICI_14289 MTRLKQVLLAALSSLLRGRSFLQALFTFWISPTVIKEHSVKTAL PRRDIEDFLKEARQRLLGPFEDGQLLGLSRDLKVQFEERLQSHNESMLPSFNHLLPSG KEQGNYIALDVGGSTLRVALVALRGRLSAGNESEIVRMESFKITPEIKSLVGTGFFDW MAKHISEVIGDDAQSEEPVPMGLAWSFPVEQTSLGGGLLLGMGKGFVATDSLLGQDLG MIIQQACAKQGLNVELAALVNDGSATLLSQAYIHGQTRFGLILGTGVNIAVHLPVAAF HRSKFGERSESWFQEASHVIVNTELGMFGGGILPLTRWDEQLKAAHPRPDFQPLEHLL AGYYLGEIVRFALLEAIETTGLLGGVVPPSLEKPYSLDTETLSRIEADTSADFEIALP YFASTHPSSVPPTATDLAAIRTLASCTSQRSAALLAACVFGLWEMRHETEAEYLGTQT PPPQAIDAKAPTPRKASFVAEARVEVKLPQTTVGFNGSVIERYPGYRDSCQMYIDSLT STSEHVGEIKLVPALESSILGAAVALACATEPQP PFICI_14290 MRLIIRDDPETASYYVANYIIQRIKHFNPTPSHPFVLGLPTGSS PLAVYRILVEKYKAGEISFENVVTFNMDEYIGIPRDHPESYHSFMWKNFFSHVNVKPN NVNILNGNAPNLEAECVEYEAKIKRVGGIDLFLGGIGEDGHIAFNEPGSSLASRTRVK TLAYDTIIANSRFFGNDLDSVPRMALTVGVQTVLEAREVVVIILGSRKALALQRCIEQ GVNHMWTLSSLQLHPHPMIVCDEDATLELQVKTVKYFKSIEQVARQQGFEQILPSTIR TGPTTTIQVPKTPPVVDIHTPPSPESPIISSPTILAPQPTTSRFLRPTSPANEYPLRS VSPELVPDRMADRVGVPTLAARLTPRPEQQQRLASVGA PFICI_14291 MKFRDGMWLPAEGVQTEYAEEVYEIASKENQLSLLCPTRKIFSR GDTLNRSTLTIDIEAAFDGVISVETTHWAGAARRGPDFDLYPDGRPSVEAKISKNDKG TVLSSGPLSATISAEEHSFDIRFHSSDGSKQLTSLLSRSVGLAYSPATSNPMQTGDMR DTKHYIFTQTSLAVGESVHGLGERFGAFNKVGQSVQLWNADGGTSSDQAYKNVSFWLS SKGYGIFIDTPGKVDLEIGSERTNRVQTSIEGQRLKWYIIYGPTPKEVLKRYSILTGR PGKVPSWSFGLWLSTSFTTNYDEATVTSFLEGMKERDTQVDVFHYDCFWMKAFKWTDF VFDSERFPDPKAQISRLKSSGLANKVCVWINPYIAQHGAAFETAAKKGYLLKRKNGDI WQWDLWQGGMGLVDFTNPEAAKWYVECLNGLFDKGVDAIKTDFGERVPTLDVEWHDKT VDPHKMHNYYAFIYNKTVYEALQARFGKNEAVLFARAACAGTQRFPLQWGGDCESTPE AMGESVRGGLSLGLSGFAFWSVDIGGFEGYPPPWIYKRWVAFGLLCSHSRLHGSSSYR VPWTIDGDDGSEQGCSRTLARWTALKTRLMPYLLAQAARAVDAGVPLSLRAVCLEFPD DPTSWFLDRQFMVGDNILAAPIFDESGEVEFYLPKGRWTCFFTNQTREGPGWFREKHG FGTLPLYVRENSVLVLGKTGVRGAVYDYAADVEVVLYHAQEGASADLHDKDGKELGLL TVTGGEDKLSGTEILTGDWSISTNGRKLEQEYSETIQWL PFICI_14292 MLCSLTLSISLFLAQVYAQYSTGNGTSNGTQPLYKDASAAIDDR VEDLLSRMAIEEKTSQLVQGDIRNWLNITDGSFNETGLEWSTQYRGGSYYVGVPIAWE LLSDNIKIGQDYAMENTRLGIPPWVQSEGIHGFLIPNGTIFNSPIAYACSFNPDLVEK MAAAIAQESLALGVNNIFAPLADLARELRFGRVEETFGEDPYLTGEIAYSYVKGLQSG GVAAMVKHFAAFATPEQGINTGPVHGGKRELLTTYLPSFKRAIIDGEALSIMAAYHAY DGIPAVADKYILSDILRDQWGYEYFVMSDAGGTDRLCGAFFMCESSPIDSEAVVNFAL PAGTDVEMGGGSYNYELIPDMVASGKLSADIVDEAVRRTLRAKFKIGLFEKPYAGVPA DEQANYINTPEIIAIARQLDAESIVLLENHESVLPLSKDANIAVIGPMAHGYMNYGDY VPYQASLRGVTPYDGIKAASTGTVTFTQGAERWSSDESGFAEAVAAAEAADVAVVVVG TWSRDQNELWAGLNATTGEHIDVNDLHLVGAMPGLVRAIIDTGKPTVVVFSSGKPITE PWISNDAAALVQQFYPSEQGGNALADVLFGDINPSGKLSVGFPVDIGSAPAYYDYLNS GRAWPNPGEVYPNGTMKFGSNYALSTPIPLYEFGYGLSYSTFEYTNLALSQSTASSSD TITVSLDVTNASDRDGSEVVQLYVKDLIASVVVPNIQLKGFAKVAVAAGETETVELEL KVGDLGLWDLNYNYVVEPGNFTVLVGSSSADLRANATLTVA PFICI_14293 MSKSQLSRAWHARHTHGQNSKPVEPIFLTSILRGSPHYQPYEHQ PLDTASFRILQLLPADRFEDDLECEIQCVRLPESSAQKVAYTALSYVWGQEPVSCAVV VQGKHILIQPNLESALRHLRRRSGTFPLWIDALCIDQSNTRERNHQVQHMRDIYEAAE ETIVYLGDQDGGNTGISAWNYLGRNSPWALDETGQKSFDFPSKLNDLTNFRGGIQDIY SDILPRVWFSRVWEHYLPSWYKEASEGATTNLLDMLVGHTRGLVASDPRDKVIGLLGI CSGFDWQSHNTVDYNLTTRQFYTKFAADFLRAKQDFRIFSYRNSTPFYSWIIRLQQKF NELDTRYVVVGKELAAMEAKNPAQLAQSTLPREKECLLGLATTLRSNYGDLFDQFKFE DAKKQMTTPSWVPNWQNVSPDQFYEARPIIEAEVALEFFSPSQTQPSMSPEAKLLSSY ADLLDANAHREIDPRIPSNKIFKLAGFWKAFGAPMVLEQWHFLQQRNNRLAYHLNSQL SFKNYIDLADNPLKPGSIEYHLVESIPDMMFPNAEQRGKNITIFQDRTIGMYRSASPG EFFGTPSPSYSAKSPSSISGDSELTKKKAIPNLLHRVKGKAREYREHARQKSHAKQDK LAAANMEWTPLIPDGIALFPLEVEFGDLIVYFPGATVPFVVRPLKDSSKEGGANPAAS PAWLKFGHDIKEYVHCELVGECWINDFPRMEQEIDNLDCLFHIY PFICI_14294 MVIKYGVPEKHINLQGGWPTPRLHPSAELGEAAARVFSNPNIQE KLRYGAGQGEINLRSHIASWLTDEYQPEAGAVNPDRILVSNGASNGLATILQKFADPG QGEEGYTQTVWMVEPTYHLAAAIFRDAGFNGVGPGGRRRIRGVPEGVRGVDLEYLRTA IQASEKDHEGHYRHAQKDPNNGYPKIYRHILYMVPTFSNPSGRTMAYEDRVALVQLAR EFDMLLVTDDVYDVLRWCPEDGCACVRAEDGNNNNNNNNNTRRQRHLISPPRLVDIDR ILPGSPSPFGNTVSNGSFSKIVAPGTRVGWMEATPDFVATLTRVGATSSGGNQAHMSS LIIGELFAQGDLQRHLKHVLIPTYRKRYYTMVAAIRHYLYPFGVRIATEESSDAADAA EQPAGGFFLYILFPREDIAPAVDDICSLALEHFNLKIAPGRIFSVADDPVERPDRSRD FVSGARLCWAWHEEDELIEGIERLAETLKMANSKSS PFICI_14295 MFRAAAPGPFDEAIAKATNENLTSEDWGAIIEVCDKVATDPNGP SQAVQATIKRLAHRNANVQLYTLELANALSQNCGKPMHRELASRAFTDALLKLANDRN THQQVKAKILERMKEWSDMFSKDADLGIMYDAYFRLKQTNPTLQPPSAPQKNRLTELD RQKEEEELQMALQLSLQDEERKKKAAESSTAAAGPSTGAGGSAGAAPGQAEPIPSHPT GTTAATVSRVRALYDFVPSEPGELEFKKGDVIAVLESVFKDWWRGSLKGNTGIFPLNY VEKLTDPTPDELHREAQMEAEVFAEIKNVEKLLTLLSTSNTAPREEDNEEISKLYHQT LAIRPKLIKLIEKYSQKKDDFTQLNEKFIKARRDYESLLESSMSHPPGPSYHQYAHRP AVPGQGGYPGGVSGYAPQGPPQHLQQQQDPQRFYTPGPQGPPPQQEQPPFQATSPPPN FQRQTPGPAPFYLQGAEVPAHAGPPPHQQQYNQAGGPPPGHQQQYSQGGPAPGQPPYS QAGPTPAQQQYPPRDQPSRLASAVAAGKQPAPIQTSSPPPAQQSYQAYSHPAQQPGGQ PQSTYGNPQELATSAYDSPVASHQPGPDPYSASVYSTEDPYASSPTAPPASVPVPTGP SHGVPTALAIAGGGGPSAPSAPSQPQYTAYNPLQQQPPQPSYDAPSAPTNAPPPVPTA SAPPIPSPDGITEAFSPAPLHPSGPAYDARNNLPSQYGHAGSFHPTQPQYKAYVPSAP AVDGNEPSAPQDFYRQSAAY PFICI_14296 MHQTPRPGPRSLSPASSPTTNPTRTNNPREAVRSGGHLRSGSEA SAASEAAGTMRPREDAPGAGPSSDSIRKLDQILQNIFAKAGTLILQERMQVSPMLLAG TREKRISKWFQLDTDEIEDFREEFRTWKHCGGIENRPPPLIIETYLDTSRLKSGQSLI ITDENGKRWDVVEAMNSSDLSSDSSVSGSSAGGRSKKSSNTHLVLERWRIELKGSSND PEDFGLLLPTVYKKAIIFIRSVYTTTGILPAWKLARNSKSKMLHPALQVKCRVLSAEN RPFGFDALRSPLYDGRGDVTTDYIFGLLEVPVGRFYATVSYRNNCNFQVVETESLLSS RIGLAISDDLFKPSLPRREPGRRDTAAEIGSLPYHRHERDITENQQRYGSLSTFHGEG PHGTSPISALKAVKSPGSDTTSPSNSRPASVEVPPHSLPIAAPSRPPLRVEAHGRRPS VSFQPFKQGSLSGSPVPRMHDMDTPASPHSLTRGPGLSALTHARNRSSLTAGMAASLR GGPPPSATAAAADSPVVGSPRPGSTGRYSSSFGHRRSKPSFGGASRAGDDDQTSSGKQ SLASSLAQPGSGLLNEAGAGGSSGSFQTDNDNIEEFLKVLESKRTLQSFEPSKKGEAM RRTNAQLSKFQLMRDSNNALTESLNSSMQLHRSSSSSSRQLANVPGMSTSSSPGKPLS PHTPHTPAIPSRLSENSSVDYAAPTQSTLRPRPGRAAEAPTSIPSTLEGTTAIDIPLS PRPYPHVRRSSSAAQPRVVADDDDAHRSLSLGADDREPPSLSALLGRHEAARDADGTS DSPVMQPPTELQVDESSEMLRQASSSAERETRPPGGLYAGLSSSPYRRYGAGSGRGSS NSQSGNSSLTGPSTRYARGYSRRGPAGLGVTSGQPEDTEEELLFDMSELEHALNRKSL EESRGAGGGSAPEREGYDSSRGGSRRW PFICI_14297 MLHSPAILLGGGVLLAGLRGVLSQCPDYADYSTQVHDPVSSGKY QLSYQRPNATCRTFSLSEVESTISDMKTTIKDPDLSRLFENTFPNTLDTTISWHGFAS GNSEEEACNPCKMWRYRQHIVAMWLRDSANQLRSYKPLLQANSSSSSLASLFRGAINL QARYLLTSPHCNAFQAPTESGKPPEDNSAADTDVVFPSYDKNFVFECKYELDSLSAFL QLSYDYYDKTQDSDFFGKFQWADAIRTVLDTAEALLVGTYADDGHVNNSPYTFQRTTT SASETLLNKGVGSPVKGGTGLVRSAFRPSDDSTIFQLFIPANMMFSSYLSKCVTIMEK IDSSTADRMSDLATNVKNGIEAHGKVQHPQFGEIYAFEVDGFGSSNQMDDANVPSLLS APIIDYLDASDTTYQNTRSFALSTWNPYYMHGSVINGTGGPHVGPGKAWPMSIIVTLL SSDDDNEIISGLRQILSSTDNLGLIHESIDTFDQTQWTRQWFSWANGLFGQLLLDLKD RKPEILSTSFQ PFICI_14298 MAPFLKSAPRIRRISLVVGMCLVVVWTLISTWNSASSSSNGGFL TTLLGSRMSSGADVLNYIDPLIGTVNGGHVFPGATLPYGMAKAVADVRGGEAAAGFVS DDSLIHGFSHLHDSGTGGSLSLGNFPLFVHPGCPEDDFQQCQYSRFTRGVERRNGSVE AAPGYFAIELNNSVRAEMTATKHAALYRFSLPSVSEVTDGKHFVPTKPMVLVDLSDLA GSRSGGYLEVDSETGRVTGNGTFLPSFGRGHFAAHFCADFRGATIRKTGTFMDTNAND TVQVLGGANGIPMFSTSVGAWIHFDKLTKGRLMARVGISFLSIEQACQNAEDEIPFFE FGKTVQAAEKAWADKLAVVEVDHFGVENDLLKTFWSGLYRALLSPQDYTGENPLWESS EPYFDSFYCIWDSFRAQHPLLTIIDPQEQTRMIRALLDIYKHEGYLPDCRMSFVPGYT QGGSNADVVLADAFAKNLTDGVDWNLAYEAVIKDAEIEPRDWTSLGRGNIEAWRKYGY IPKDDVDTNGTGPNTRSVSRTVEYAYDDFSIALMARGLGNPTDEKKYLHRSKNWKNLY NPAMEDRYQAKDGTVVESPFQGFLQPRLMNGSFLAQDARLCSPIHEQHVCYFDTRYAT YEGSPWLYTFYVPQDMASLIETLGGREPFIQRLEYFHSSGIIYMGNEQSFLPTFQFHY GGRPGLSSYWVHQYIPSQFNSSVNGIPGNDDCAMGAFTAFAFMGFFPVAGQDVYLLTP PLFREVRLRTPTGRQAIIRNVGFDPTYEAIYIQSARLNGRPYTRNWIKHDFFYNGGVL ELTLGKQESNWGTADEDLPPSLSTNYADF PFICI_14299 MSQYSCPVSGAAGGHCPAGKAGGSVRGSQMGPRGCSFSGFAQPG DVRAAFNIPNGIDTDDWLRMRERKAINEILYSNTPANRELNQTKDIDSLTANEQDLLA VALGAPARQVLLRAEEIGPKTGWRDGFLSAEYGFVPPDMEEAVSALANSPGRVWSDLC ERMPGTISRGRFREAAAAIPVVEGTEDVIPDAALWAALVALGLLCSLYRYEEKHDGHE GVSIHSGPKSGLMGDWGEDVLEEVKGLPRSIGLPYVQICKRMGRPIPHLTFVDQSSYN VTVKDPKSKFPYVGRFDNTDLRWAMFGDQAERAFLKGVADTSASFQHGPDAIAACQEA VMKRDVEGLLREMIRLKEILELMPNAFHSISPNPNSGENYVSGDEWVRWAKFSAPLSK RCPASSGLQFPPYLVMDAFLGRKKYDSFLGAEGLHLRAWSPSNMRAFIAAIEYHYRIP EFVAQSNDPRLHGVLDGIVEAYTGERGFMGVHRYKVFGILEIAAKTGRTETNGNSGQS GVRMRPWEETHKQFSEAMKERLEPYRGKMLGEPHEIRGTFEECRFRSRILSRNFVDND PKRSIARVTLDLRDTGVTFQPGDRLAIMPLNSWEECAKVALALGLEEMLDVPVQLNPK WSRFAEHLGSVSREAAPKLAVRDIIRRGHLAPLTRDLVLKVHSMLKASSTVVLQVLAT NEWPVRGSLGDLLQSAVVDTPSQIWDQAFDLSHNLAWLADLVEVEVPRTYSISSYPEE FLPSTLDLTISRAEYDLCDTFANNIPTVRSGVSSGFLNPSLASSEDAMPAEEDLLIGL SRPVSFQLPLDGAAPCAFFAGGSGIAPFRSFWQKRFADGSIGRNILYLGVQSREKFCY EEELRELVQTGNMEIHTAFSRDSRGLAFDRYSRQLLEKHTPPRYIDALIVEQGDTVCD LVMSKKQGGLGGYIYICGSVSVFDSVMAGIRKAIYDHRSATMESSDAILATAFAERRF MLDVFMTPKPLPCNLPTIPQSELALHTGHRPNTAVWIGVHGKVYDVTDFAPIHPGGVL IIRSNAGVDCSQSFDNLAHTNNPEVASLLTKYFIGNLTPKPDYHASEDLNSLYDMWKE YLHTVVETLVAQQFEMNRFMGSDMGTPIDADNWWFQNSLRGIDLINIKGIRSFYQYQS RLLQGGFSAMFGPQFQELILKLSFALANTSMDGAHAKLPDVLGVVARAKTSEHAVKIS RQVAQIGEFVRDAGGARFHERGIIAYASKSVQLDMALLEDIRQEACYGMDALESLADV DYTEEQTLTTLCTFLMQILERMAKRLEVFYSNLAQHTIVQPAIERNPARTRWHILRQR IRDGSFFILTQSTVMGSAPSYIPEKAQNTVDFDRVMSHIQQTLAESPQAQPAMTRNPP TLNQMHIQRTEAPKNGASALESHHNGTALRNMSSFVNKNMKAIRRLSKLPPMPANFDL MARTPLPALPGGTITPPLSRSSSRSPTRGMTGQHGGGLYIKGMPSNPNYSPPLAQGYG HMGGGGGGAIVPQHAMLPNGRLPPTPPLDAAAAIGSMMGKLNVRSRASSTRAPSPVSL SGGYRPSMEERAKLMHMRQMSQPSMMQPPQHRARSSTSGSLRSFKLSQRIGQGQAEAK IAPTF PFICI_14300 MPDIDPLLEKQEPVPDEVGTDADVVMQSYPSPTVEGQDNGAFYQ TTPRQEHQHQTDLGVHHQEDEHGQLTEPTSPRQAEERNAITSTEELQLAAQLSQGLAH ELPLMDSEDSNLQHVMAEHDLEQHEQGLQHEQSLQHEQGLQHEQALQDEQGLQHDQAL QHDQSLQHDHGLQHEQGLQHEDGLPHDQGLQHEQDLHHQHQHQEDQLTNGHEHLHHGD LQQHDGLQQHHDGLPHPNEHVQEHMHDQMHDHIGEHDPNLAHAQHDQHMQHEHLQQGQ PQQQQDMQHQYIPDQHHQPHLQPAAPMDSMVPQFPMPDNNVPPRKRSKVSRACDECRR KKVKCDAPSETGEEPCSNCRRSNMRCLFSRIPQKRGPSKGYIKELADRIHSIEGKLAS EGANADLSELLNGSRRDSGDLFQSDSSTRKRPYSTISGADFGTPTPPSQYNAESRYRP SYSANGLAPTPIAAKPDGDTPSRPAAVMDGMDLDLNQMEPVREIDETIFAAYLTLVHP YFPVLVANKSRLEAQLVQCAPILRDAFTTALQGTIESFPSFSLDGGARDALTRAYRSL TEWELDASPRSRVSDLVHLQTLILIVISTDNYGPSSLKGEQGSPSKPMTLGRAVGLAN TLRLHVAQVNNNVDGVLDTDSDDNVAIRAWWSLIMLDRWNAISTASTMYIPNDSVVIL PILKDLLGENVYHHVRLCNIIGHFVPVALAPPKTMTFESGAAPLLSSFFNLSMELFRE VLPSTITPSTHPVLHFIYWHCRLLAYLFQTNSKSSDIMWPCKELAGLLIGNPQLLNPL NHHFFCLTSLTLLELTKVEAEREAANALLKELSEIAVAASNWDDMIRDRISEHIRPST SHAAIEATASQSLQHLADLATATEMEPVKPEKDNTLRTSDSYEHMGFDPRELIRTGYL SVLTSRPLR PFICI_14301 MSALPLNAKVAVVSRKVRLRREDAQMPTPPAHRPGPLGCCCNHG GRCTCSHKKETPLDTVPESDSDQEPQQTKAKATARRRRANTTHSEPVLSFDELGHHKP HKHNRASQKCGPYTLSRGHSMHSNSSASSMGTRSVDNLVHKAPSRSRSKDLVAHDLET RKAKSEQTSPLMNGNSAFQQLNGQLPPLDLSNIQYPEYTPAFELFHGLEEPPMFSAGL SAPSVDWAQYDGLDMKAESFAPSSYDQTQSYAAFEFGSTEPTLTSNSGDVSETEDFAP AFSEAQIEGFRMSAASDYMNMQQSQSTLADSDYGQADFGSFKAAAAANKFLPNLGSLD DNNGFPLIEEESYWSMNNFADGITNSPDPVAATFWDTQ PFICI_14302 MTSSRPRDVGHSFASRRGHANQLSISDSSHHITETIGTLYGDDD DYSASDSRPMSFIAAPYGGEQISRHRQESLAAPEEHRLRLVRSHSDNTDEQSFEGTNG SAIKKSNTISTRRPSETSLNSPGSPRSPMSPTLREMTGGESSQFPIGNIENASDIAQE LSNLQALRRMSMDVSNNHDPDLLPFQGMSLMAMPSIAPQGDDDENDPSRLLWVPAGVH PELAPTEFKNFLEKRVQTIKRRSSESTSSLSVDGLDRSDSGLRRKKSMLSRQIDNAGG RGADGYMDGADRLGRRSSTSEGITAAPEGLSLDELVKDPTKAVQKLALESQSGADGPE DMPILPVAPGMGLRRSTRTTYRKGGSFKDRSSKGDRLPFSKRIAARKAEEGGEEADAT DSTIDAPPGYGFSRVQSAPIGATADNFSRPSRSVRRQQTFTRETGEAEATSEQPADSR DTSFQSHSRNNSASVPTIVETPAAEPSEHNTQQQAHVFPERSSSQSNYTQSSGSYDHV PDEPPARSSRRPNYSQQGQPRQQQPPPAQPPQNARHHKTEQSLNEPSGLPGGNNSRTD SLTFIPTFAAAPEEKRSDKKSKKDRSDSDSTSSKGTSWKWFKSEDKDKKKKDEKKDKD EESKRSKAKAFVEKAHDNVRLDVLQNSIDNVKQKGRESLLLDRENVDEKLEEERRKES HRKSEHRKEKDGLFSNLFGGKKKGDRDSGGKKSHSQRPVSPEPAPYRPLVPDKDYHWT RFPILEERAIYRMAHIKLANPRRALQSQVLLSNFMYAYLAKVQAMHPQIQVPQSPQQK RLQEEERRRRELEQQQQQYLEQQQYMEQQQQAQEAMDQYSFEYHRTSNSYGDTPTQQS GDYTDDAEVYDYEHGQDQYDGDHSSGYQQNDRRHNGQDDMW PFICI_14303 MATRLFLALVSWLAAVQATTPQSCWRDTECSGPDDAAFPGKWEQ NIFAPASRTVSPVAFLEGSGLEAIDASTTTLQLDDVTLPSVIADFGFEVGGILTVEYT VRSVHGSNAIGMAFTEAKDFVGPNSDSTTGIFSRPEGAIYANISEKGDFIYVMPDEYL RGGFRYLTLFLQPGSVSIEIRNISLEIAFQPTWANLRAYQGYFHSSDELLNRIWYSGA YTLQTDAVPPSTGRAWPAPETAWQNTGYLGPGDTILTDGAKRDRTVWPGDLGVAVPAS FYSTGDLQSTRYGLQSLYNNQNAQTGELPFSGTPLSATHCMSYHMWTMIGTYNYVFYS DEVDFLTHNWDKYKFAMSYAISQIDSTIGLIKSDSPDADWGRFWANGTLTSLQAIFYR TLVTGAQLADWAGDTTGLGVNWLQQAEEIQSLTNEINWDPSVGAFFDVTERPNIHPQD GNSLAVYFGIVNASSAAASSISDYLAHNWTPIGAECEELPGEISPFISSFEIQAHLLT GNTQRALDLIRSSWGWYLDHPNGTQSTMVEGYLINGTWGYRWNAGYQNDFSYTSHSHG WATGPVTALTERVLGLSITGRAGKSWRLAPQPGDLTHVEGGFTTKLGKFSASWTKQHD GSIALDYDAPHGTTGEVVVQSSVTNVTVRSLESRVKRGMFEIVDDNNGGKTLLIRSGG GRHNIVLR PFICI_14304 MGKNANPTPANWPANLPYLSQPSHAAHLSKHQITALRTRPADLT TEVPLSLPRGPSTLVRITPIADPGHPANGQAGLFASRPLAPGSLILPYYGIVHSAAPP HCAAHEASDYDLWLDREAEVAVDAAAAGNEARFVNDYRGVRARPNAEFRECWDPRVGQ KCMGVFVLPAGKKAVLGKGKGKADGAAGIAKGEEICVSYGKGFWGKRKVEEEDELNYA NEPTQGA PFICI_14305 MDDPIGHIQLTLDAMEACQNALVCSLSCLEFFYNHSTDSASSIN YSESTDRHITNTLDYLHSTGAYLQASLEYIEISHQDDDKSSILEAIKSFSAMLEKIEL IDKKFFDLAMIKERRRATETAKEREAAEGESQNPQHQDLDSAADRPENID PFICI_14306 MSSFIFVKGFVSSLLLAGLASAIPVEENIQPRHGNCNTPHDRAC WRDGFNIETDYESSTPVGTLRHYDWEVTEVENWIGPDGVEKNYVQLVNGQYPGPTLFA DWGDTISVTITNSLPHNGTSFHWHGIRQFHSNLHDGVNGVTECPIPPKASKTYTFKAE QYGTSWYHSHTSAQYANGVWGPIQIEGPASCNYDIDLGVFPISDYYYDNADELVVATS VGAPPKSDNVLFNGTNINPVDPSQGEYAVVNLTPGKTHRLRVINPSAENNFQISLVGH NFTVIATDFVPVKSAPVDNIFLAVGQRYDLIIDADQDIDNYWFNVTMFPLTSLCGTSW NLSPAAIFRYDGAPDELPTIEGDLPEDAHCQDSLEFVPVVPRKADETTLLVDAGNPEH QINVNLTFGTSLTHPTVWKINESAINVNWDRPVLQYVLENDTDYPRTENLIFNNDEDT WTYWVVQNLGLLPHPMHLHGHDFLVVGSAAATNFTSDLSSTLNYDNPTRRDVTMLPGN GYLVLAFKADNPGNWLFHCHIAWHVSGGLSSDFMERRDEQVALISDQDLKAYKDNCDA WNIFSKTAPPKIDSGLRI PFICI_14307 MRFVGLGGATAWLLATTIRLVAAENSTYTNPLLSGWNSDPSCIF VSEGQYSDTWFCTTSSFLTFPNIPIYASKDLQTWRLVSHAYSRIEQFPDIATTFPLQF DGTWASTLRYHEGTFYVITAFVTIYSFNPHILLFNSTDPFSDEAWSDPWQIPNPTYGA DPDIFFDDASDSVYVTVANGTGPWSITQYHIDLPAQEVLGEPAVLWNGNGESSPEGPH IYLKDGYYWLLVANGGTGLNHSIAMLRSETVDGFYDFYEGNPVLTNRGTDEYYQTVGH GDVFQDKNGKWWGVALSTRSGPEYEIYPMGRESVLYPVTWDEGEWPVFQPVRGVMSGW ELPATDLSPPGSGPIAGAAEFLDFAPGSDIPKNFVTWRAQNETADNFLVSPEGHDNTL RLLPSRANLTGDAAFVPGQEGQSFIARKGQSHTLFEFSVDLVEFDPSTAGEEAGVTIF LTQGQHMDISVVAYNVNTTSRCSSNSTSKVAKYLSFSAEAYWASSTMTAAVPEPVTMA LPAGWDAVRFTVKTVNDTHYDLSASSASGSEDAIKLGTGPAALLSGGGGMYTGAILGV FATTNGGASSGSPAYFGNWNYTPIAQEVEKDVFVYY PFICI_14308 MAREQAPPNLPLRPSSNQANVGASSDQSSATTSKPSVFANHNGF GPVTSTAPSTSSTSSHRPAVKASAYGKNLDDKYSHLFIQPKSRPEHHRPTRINGPLGP SAKDKKPPPPPMFSTVPAGSATNPISVLDTPPRYTQPGFSSNWTDPKKTSADLQALIE GSLEDDEDEEEDDDDDDEKQSENDDGKSQSQIKGLNVRLLPHQVRGVEWMKRRELGPV KKGKVPKGGILADDMGLGKTLQSISLILTNRKPKDSDKLQGVESSTLVVAPLALIRQW EAELKDKIADSHKLKVLVHHGPQRTKNFKDLRRYDVVITTYQILVSEHGHTNGSVKAG CFGLHWYRVILDEAHTIKNRNAKSTKACYDLRSVYRWCLSGTPMQNNLDELQSLVKFL RIKPYDDLAEWKHHIEAPMKRGEGDVAIQRLHSILRCFMKRRTKEILKEPGALDAGGS KSDSKDGEEKSATSDFKITERKVVSLQAKFSPAERRFYDRLELRTDKSIQSMMKSKNV NYANALVLLLRLRQVCNHPKLVEGKLEKDREALNTETKPKKNDADVDELADLLGGLDV QARHCDICGWELDKDNRVQGKDMCKSCSEDLEYFNNHDDGDDPESPKKVRKPKAKVKK VVREQVKTELVKRKPRNRKTVVDSDDEGDQSIIKPARKPRNRAAIIDSDDEEDEGSWI VSGDEQGPLRLGKAGGTDDENAEGEGESINTADSESDSEEDGSKLDSFIVRDEEDTKP EDADKTLDDSESEEEFESISVIKSKVEAEKARAALKSESSSEETEDSDSEDGIEDDLD SDSDEDQSLNPWSTGRHRNKEKTPLVLASAKIRELMKILHNEVDDHKFIVFSQFTSML DIVERFFKKDGIRYTRYDGGMRNDAREAALDSLRNDPRTRVLLCSLRCGALGLNLTAA SRVVILEPFWNPFVEEQAIDRVHRLTQKVDVVVYKLTVENTVEERILELQNKKRLLAE QAIEGGMRKDGLKLGLKELMDLFRPVGNNVRIASPEDYYDAQQIGRDLRAYVKRPKQK PTQENSVYGRRW PFICI_14309 MDAFLARVSYHATSYAIRSAVALTSSLVVQQGSRLLKTVDDQPL RAELAALQRRLARKIELISPILESIEFRYTRGNTALEAVVRVGRELREDIDLLAARYQ DAAILEERTARGLKPPSARDGRAELLSILAETKQLMLSIDDLIPSINLWVSAIGGVQT EQSTFSPSRLLQASMLVNVSDSQFVLDPFRPMQIGPDFTLSLYMLFRGHASAENGEAY GIEEGQRKPMWQEVIHKARVRLYRIPTGFEDNEELETDQESRPAMGYAYQLQILEDLD DGRVHTFENGNLEPGSYDGLSLAGVRENIPIGQISKMFYADVGRILNINNEDGASSNP VLLLKRDTSSMTPNPTAANGNLQIQEKSTRLIPSIEAPDSVSDSTLSECSDQDDIDRQ LREESQAAEQLTHEESDFPEGKAVDETFTTSPWTIPSHLDPEWLALEVFDFNDDDTAS TSSLDDEDHEPVVRPRDGTSPIPKTTKPLALHRTSVDSNLVSQLQRMSIANGSGHSSS RSSSSYHRHSNGHEAIGSSVSADLVHGHHHDRLASLSPSTVERSPFGAIRTSLSLLEM LLRLASLQEFEQTTHLSIPDHVLKFYLDESASESGLHGKERWAARAETSRKMGFDPYV DPPGGNGGGTAGI PFICI_14310 MSDLNSWEDDPSAQDENLSRQAQNLNLNNQQQSQGGFRPGVASF QPGAASFTPGAQAYGGYLQYGGQQQQQFYGGQQGFYPQYGGQGFNQYNQAGYSGVYGQ QGQAGFNQGYGQQYPQYGQQQFQQNQPPRQPQQQQASKPTPTIAKRPDPAAAPAAAKP TVEKAGGTKVLSIGADPAKPKVATEGATKVLSIGVPAPPKDEPKKEEEKPAAGAEAGA KASAAKAIEKTGETTKTASGRTSPTPSTGSGRSSPGRASKAAEKRDADAVANEQSADV DEATLKEMYGKEHMNIIFIGHVDAGKSTLGGSILYATGMVDDRTLDKYKREAKELGRE TWYLSWALDLTKEERSKGKTVEVGRGFFETEKRRYSILDAPGHKTYVANMIGGAAQAD VGVLVISARKGEYETGFEKGGQTREHAMLAKTQGVNKLVVVINKMDDPTVNWSAERYK ECTTKLSQFLKGTGFNLKTDVFFMPIAAQQLMGIKDRIPEGVCSFYNGPSLLEYLDNM STMERKLNAPFMMPVAAKYRDMGTMIEGKVEAGVIKKGMSLIMMPNNDKVDISAVYTE TEEEVGIAQSGDQVRLRLRGLEEEDIMPGFVLCSPKRLVHKVAEFEAQIRILDLKSIL SAGFNCVIHVHTAIEEVTFSALLHKLQKGTNRKSKLPPSHAKRGDSIIARLQVIGGAG QICIEKFEDYPQMGRFTLRDQGQTIAIGKIIKLITDGSS PFICI_14311 MADPVARTASPYPDKNVKGPRSNQQLHSAVAHSLLQDRKMISNN KVNKTALHPGGIEPHQEVEHTELEIELHDKAHIDYDRVAIIPNPSVAALYEDALVYEV GSAIASSGALTAYSGAKTGRSPSDKRIVKEASSENDIWWGPVNKPMAPEVWKINRERA IDYLNTRTRIYVVDGYAGWDEKYRIRVRVICARAYHALFMRNMLIRPPREELEHFHPD YTIYNAGTFPANRYTEGMTSGTSVAINFAEKEMVILGTEYAGEMKKGVFTVLFYEMPI KHHVLTLHSSANEGKNGDVTLFFGLSGTGKTTLSADPNRALIGDDEHCWSDRGVFNIE GGCYAKCIGLSAEKEPDIHGAIRYGSVLENVVFDQETREVDYDDATLTENTRCAYPIE YISNAKIPCLSNNHPSNIILLTCDARGVLPPISKLNSAQTMFHFISGYTSKMAGTEDG VTEPQATFSSCFAQPFLALHPMKYAKMLAEKIEQHSANAWLLNTGWVGAGYTHGGKRC PLKYTRAILDAIHSGELANAPYETYEVFNLQVPKTCPGVPDELLNPATAWTAGKESFQ QEVKKLGGLFIENFKKYESEATDDVKAAGPVV PFICI_14312 MASEEPIRRPQQLPSANHEVIVLLEGVHLTFEDIDTAPKSHELI SYHRITAPDQVRERIQCASIVIATQCRINADSLGEAPYLKCVITPTAGTNHIDVDECR RRGIKVARCEGSTSPAVAEHALSMYFATRRKTVIFHNEVRNVDEENKNSWKREGSIAY KMQLANGQAPCSIEQEVVGIIGYGSIGKRLATFCKALGMEVLISERKGALETRKIPSS HGDDGAAIDRVPFDQVIRTATVVVLCCTFTEASRHMIDAAELGAMRPEAVIINVSRGG IMNNAAVVAALRARRISGVAVDVFDQEPASSAEESALLAEDTRDLNIIFSPHVGYLST KTILTMKTMVNGHIKSFVQGDYSKFEA PFICI_14313 MDADWAEVSQTPLPPPGLRAHHTPVTTLAFDTSQELLWAGNEYG RVTSFYGTSILNQYTSFKAHSSQDGKIHQLLFNDKGVIALGSRSVHMAMRRGPPLWNI RHEAMKDLRCMTFTSKGTAEIIVAGEQDKMLVIDVNKGEVVKVIPADAHYKIMRRSRY ICAATTGSAVHILDPLSFRVMKTWAPHAGIVHDMDAQHDFIVTCGLTLRSGYAQPQYM CDLFVNVFDLKNMVSMNPVPFPAGGAYVRMHPRMSTTSIVVSQHGQIHVVDLMNPNST NVRQTGLLVFPSAFDIAPSGEGMALADKECNIHLWGAPSKMHFAEVPTPIEWADPETP TPDVDWDDKTPLSTVGMPYYREVLLSAWPSEAVYEVGAAPPRDEPAFMTTLQRRDWGY YGKNTRGLRRNQIEDTRRLQNTKEFGLINKPRFLSEQARDIAHSPAGTPAPEEVPNDP LSEITNNRALESHKVSVPELYQSIDIQYSKFGIDDFDFGFYNKTAYAGLQNHIAHCYA NAFLQTMFFTPALRNVALHHTASRCFDDTCLLCELGFLFDMLSKAGAAPCHASNLLKV VSYFPQAANLGLLDNHPHHPSRSMMLQQFARFFLPQIVADHYRMEHSDAMNTLLKTDT TTNIRCHVCGVEVSKSAPSYFHELNYSSPSKPGSRHAKSARVTFSQILKKSIEKDQNA KGFCHNCRGYKMLSSRRVTHEMPIVLTLLAHNLNDEARRLWATPGWLPDEIGVIITNN GDFFCYEGEELKSLLDRQQRGQLQHRMKVYSLAALAVDIDTGLKDSHLVTVANVAHSA EIAPAKNQWHLFNDFHVVPVTREEALAFNSSWKLPSILTFQLKDFNNHIDHSWKEKLD ASLLYHDMKPDVSEKTYRTLDEGTEKVTTDTIIAIDTEFVSTSSAEIEITSDGDERTI RPTVHALARTSVLRGNGLHEGVPFIDDYVHIKDPVVDYLTAYSGIVPEDLDPKRTKHT LVPLKIVYKKMWILLNLGCKFLGHGLKQDFRVINIHVPRDQIIDTSDLFFEPAKKRKL SLQFLAWFLLKEDIQLETHDSIEDARTALRLYRKYQEFTDAGVFTSVLMEIYQKGFAM NFKPPSKQGNLAANVPRTDTPPIEGGGPSTPIRKSGAGIGLFGASPGWKESPASSRLR PFICI_14314 MPYDTRRKSLSLSSLGIHVPTSKASKSATANTSTTNTKTAGSMS PKSPRSSSSSEDDGTRPSKKAKRSHDNSEKSSKSASSKATKFTSFETTPPPSPGLHMS IEDDDSESLALTEMKKIDLSAINDEIVEAVILRLQETRNRPHLVKELATILMNQVKIV QQSANPCAIISSRLSGYLKRSCWSASSPCPLAKELETVHPRRTYFYLTVCPHQPLPDV STQLAQRVIATPSLSSSTSTSEDAESADSDRRRELSLSPEVDLSSPEFDDMEEDVPMP GTPMGSVSLSRNRAAPILSKQKDEPPLEKDEREFTQTADGLQKRKLSGSLLSVNPVEH LDMYDGMQNDSLFGEPRGLSLAPSLLPHMAYMTSPAMRPVMATPAKKDGEAEGWSKLD AMLDWDRSPETIELDELDCLLDDF PFICI_14315 MASRSVAQCALRVTQARLGTSHYLLWAAQMRTSSQSVRAASSGS SRRSTVGKSVVSSSKAKPLPFKSPSAKTKAVAQSSAPKTSDSSASTPENHGKDSGSSG STRGHAPPPPPPRKFSIAELVRTRWIALFGAGAAALCLGSFTASFVWLNLQPAPVYCT GHEPQVPTGRPGIQSPLEFDLHLDKSEHRYGITKLRRKLGAEARGHVLEVAVGTGRNL EFYDWGALTARLVSRGERNRDLLEKSGWGRSNMAEVREVDSFTGVDISEDMLDIGLQR LRTVVPHGPEILTAKNPSFAALARPDDEAGGRPCLALLDGKLRILKGDAQDELPRLSR KAAPAVKDGRYDTVVQTFGLCSVRDPTRLLENMARVVRPGSGRIILIEHGRSWWDLVN GLLDRSASGHFERFGCWWNRDIEAIVEDAQKKIPALEVVEMHRPGWFKFGTHFWIELR VREDANTKEPPTAIEKPAQEAKEGSWSKWWDVGSSILTPKSK PFICI_14316 MLILIVGITGNLGQRLAKAALSRGQQVRGLGRDSTKLPPDLHAS LESFIPSHSYYDIPAIERAVAGVDAVVCAYSTNPVLYLDGALLLLRAAERAQVGIFVA PTWTSNWTNIRYGDFAIYDSLIAFSNHAALTSSIRPVYIINGSFAEYLLAEGTGSFEQ EGGVARAYYWGDMNKRKIPWTAMDDAAAWTIEILLNGEGVQEGRGGVFQFQSGYNSLE ELVAVYEEELGVEVQLVCRGNTQDLEQRLASLEKDGSRQRISDHTYLAWSRLGLKGCW DLQNPLRFDNAKQPTTFAEHVRSRPKRV PFICI_14317 MSWFRGNTSRSDKPSAILRPVAPQEAYQAAAHLLGQYYAACVTC RYTTPTRLTAEKASSSLEDVFEQALALTILEHSMLQVGLINEDSKKPSFVRLDFLDFR NHVTWRTVDGASTTQDDLLSVLHEQHDKPFEKLDQQPLWRVVLLRDSANRSINVLFVW NHTMADGMSGKIFHQTLQEKLNLLFSSELPVIPLEDHKLKLPEASKVSPTLADLVNIQ LSAKFMTSELWKDVRPSFLNTEAQYKPKWAPIKRQPNKTRLQLVSSDADGLRAILLKC KQNGTTLTVLLNVLALASFSMRLQPEQAPAFESGTVIDMRRFMTSLPPNHQGLEPHRT MGNYLGYHQHKFTIELLAQVRAECDRAKSSSSNPEAANKLEQSLWRIARELTKGINAK MESGMKDDMMALLKFVPDWRKYFDEAITKPRHISFELSNLGVLDGAPTTTDKQLVEQE SWTIDQAIFTQSASVHGPAYIINPMSVKGGSLIISCTWQDEIIEESVAVGVTNDLQTW LDDLGGDRPLSIEKA PFICI_14318 MTSQATVAVIGAGPTGLSMLKTLREDGFKVTCFERRTKVGGLWA YTDDKTMTSAYRTTVSNLSKYSCGMSDFPMPDKYPHHLSHADFQEYMESYTRHFDLFK DIVFGTLLQSVRRNGDDTKWLLELKENENVRYEEFDKVALTHGYQTKAVMPTLPDVEK FQGTVIHAQQYRCAQDFAGKNVVVLGIGSTAGDIIDELLPVASQVHVSHRRGIVIVPR WNKGTPADLVVSWRKRQIGAFLQRFCPRLARWAAGVGIALLMRRIWGTLDPAWRLAPY PSQLLSVPTSSETIIPALRDGSLTSQWGLNRFIGPRSIEFDNGTVLDDVGAVICATGY EADFDVVPFLEKSRPRNYGGDEIARLWMNMFPPCYADSMALLCYSAFGKNNGFSFCDV QSMAVSNLWRGVYPLPSRKDMEKTIDAHHEWVASCWRLDDKVDVSMVKQWEYQGWLHE AAGTGMENLGWGWKGWKFWFRDPKMSYLMNNGVETAHAFRYFNTGKRKTWPGARDAII HMNELAKIFPLKEKKT PFICI_14319 MTSVEDSQSETLTLKAQCHCGLASFAIDIPTSALPLRSSICSCN SCRRVTAQLFATHVAVPGNPLPDVSKWTSYNSSASLTRVFCPRCGCSVLCHGSTGAWF LCGGVLEGPIQGIQDRQALFANDSKDGGGYIWLPEKNGVGNVIQHHGDQRGSEMVDVE AMRRNFAAEMTTSSTQEPGQDDTLQASCHCGAFQCHITKPDPETPGPMTGRGKWWLAE DSRRYWAGLDACRSCRKVTGYEVNSWAYIPAFNFRNPDGTPLDPATHPALHHYSSSPG VHRDFCATCGASVFFRRESRDPQVWDIGAGLLRGRGARAEDWLQWNTLDFAEFALDPE FVSGIVEKMQSYKAAH PFICI_14320 MAPAFFQDPEEVVCFFVVIFAPIIVAGLTALRFVATRRAHRSPG LEDYMALLGLVTFVLFCLLSLVSTTYGDGRAYDVVAAEDPERYARFQKLVFGALIAFL FNSLFTKLSILALLHRIFGIKQEYAVAIYIVAASQIVWLVAMVILQAVQCRPTAKFWN VTLEGHCIRESVVILISEIPNSASDLVVVFLALCMLRPIQVSSAAKCRLNILFSLGSI SILPERFFSRKSPWSFSSLPDWRRGLSVQSSQTPRNMDYNGGGSATDLDLLEALHEPS NGQFQGEASYKGDHSTKVKTSPRPDV PFICI_14321 MTDPARYWPGGVPSEVRFHPTPIRGSLRNEIKGWQLFLKENAVG RGPGDTDDTFEVRQRRKLMQRWASMSQSDRDAYQNRAPCRAGDSWYPEVLRSRSSSSL FSSGMEETPGTPAENVRLVNLIAPLPLGPRNRALWTKMRIMLYNLDGDNGTIFQWQDD DEKITYCVPNDAEPNLLTSNTFLKWCYIENANFDCMAMTRSGTVILHRRRSTVVLIDQ EALDTGLILLCRLANNGQVLAEGRVWPLRMKDAYEKMTILGWSVDEVLEGDTFKNPYR LSSNMEEPLLDILDAKAPYIYQPDIDLWMEAIERCAPGYLEMEDENDGMVEDYDHDNF RTNEELAHLPWSDEL PFICI_14322 MSQKATPVKFVKGVLKSFMAESGLEPRLLGPHLRIVNAVRGRVD FELDITKDHTNRLKILHGGTIASMVDLGGSLAVASMGLYATGVSTDLNVTYLSSGGKV GEKLSATAVCDKIGKTLAYTSITFTNSKGELAARGSHTKYVTQAWKSQTGEPYTPPEG VNLEDVD PFICI_14323 MAGDSAAKTTLQSDSEGYTRLHITPLDADLLKVVVPAAVLPQAR NISYHNLETLPEKRYGFVELPLADADKLRKKLNGATLRGTKVRIDQARPESIHEPNGG AMADENKVKKPKKEKKSKLKESEKFKKRKWEGEEVEGAVIQDRKIKRGWTVTESDKIQ EKRRDRNKKGKDADGKKEKKLKKREVKSKYTDAPECLFKQTLPEPPSESKKADEEEED EPKRKKRKGARQVVMHEFEKTHKYPTFLKSSTATTESKPLTFEDGKGWVDEEGNVVES QNITRPSFANVKVGFKQIAKPAPVDDDDTSSSGSSSDSDSDSDEVVKPTAPKKETKST PVQQADDTTSSSGSSSDDSDDSSDEEPAPKVPVTKTKAAAPAALKTNAPLADDSDGTS SSGSSSENESDSNESPVKQKPKRLQVDTQTPTSPATAAKNDSARPKSSGSAASLTIQI PPVTPAATKVHPLEALYKRPAGTEAEPDAGFSFFGNNDDIEEDLDEAAAPSSQVPMTP FSKQDFEVRNIRSAAPTPDTAHPSRSYNLWPRLGEDDIAEEDEEDEEGEDAGEDTTMT DATTPGASADKSSSKSEFQNWFWEHRGDLNRSWKKRRKTASKEKRNRENRARAARAI PFICI_14324 MSSGKAVAGPSSPTPLGKESRRQDATYERLDDEVEPTTAGSYDS YTTWSGPTAAQLAKRKRHEQQVEQREINRAMLPELYAGAPKTPADPEAHAAEARAALG IPTLAEYTAQADAKALEQRLASSDTAATLNGNKAVPEKKRGGLISSLSRDFSGTIGSM FSHTEPERRAIGKPFGFRRDDGAGPGLSGFFEEHRDSIEAYSQANAAAANINISAPDS TVEQNNANDTDEHNSSRGLVAPRPPTRRGNRTSLQVTIPNAISPIGEAHIPKKPVRND SEEVIESFGTTTVIYATPPDTGDFANRNRNFGWPGSGGNRRNGGGNSGLVNLLQNLSP ATITILFWILAILAQAAYTIVIVCVSILAYNSESEQQYDEGALRGLVASLIGILVFGP LFAVCYARRISNRTIKKHSNLRIETMVFRGRPDQFLYWLFVALAELSYTSTTAAVTII TVASHDGQDASNGTIIWLMLSVFAFALFGFPFAMMHARRTSTRIVRGHRSQQRHDDSI ELQPVGTRNFSRPTNDPMQLAHELEAAVGRDNENLPTPATLPSIYSQSVLVTRSQNPN VRTPVAVYNDFSHDLDRTIAQNEDVDPSGISIAISDSLVGHCDESSPTPSISSGLTYH SGRSDLQKIDSPLEQVTPTPKSSSTPGSAPQLDSDYGSVLSAEQMSIISIPTAATKVH IPHSSAVADLSSSSDDRSMVSSPLRTPHTKASASPQIVSSSPFDFQGATSSVSAHHAA EFSIPRGGGMKRSISQASLGRRDEALGSHPIPDHWRQIDYDAGKIHSTQRRMSNEYMS GDPGPSSGPFSSATPHQRDTNYGFYAMTPIEERSESGTARSSMRTASYRISR PFICI_14325 MNTLANHGFLPRDGRNITKDNAISALGNGLNFDATLAGIMWDQA IIANPTPNSTFFTLDHLNRHNVLEHDASISRTDAYFGNNHVFNQTVFDTSCKYWTTEI LSAQQLANSKLFRQIESRSTNPEYTFTTHTEPFSLGEMAAPLIVFGDLETALANRTLV TYFFENERLPSELGWSKKVDAITLEEITRMSDIVANATSLFTEAAVTTSEKRRNIHGG ASLRVLRNLI PFICI_14326 MLSHILLLAVWPLLGALAISPNNTGISRSWSEAQQLASEFSARL TLTEKAFLATGWILGPCDGNIAPIPRLNFSGMCLHDGPAGIRVADLATLFPAGVTTGA TWDRDLMYARGLAMGEEFREKGSHVILGPVAGPLGRHPLGGRNWEGFAVDPYLSGIAM EETIRGHQDAGVQACAKHYIGNEQETERSSVITNGIETAAISANIDDRTLHELYLWPF ANSVKAGTASVMCSYNRLNQTYACAHDRTLNEILKGELGFQGYVMSDWFATHSGVDSV NGGLDMTMPGPLSQGEAQTLNLSAIPFHEIPSWFGTNLTTAVNNGSVSEARLDDMVLR IMTPYYLLGQDQDFPSVDPSNAWLYYVSYGLAQLNPAIPVARDVRADHGALVREIAAA GTVLLKNEQSILPIRNVTNIVVFGNDAPDTSDGLYFLGPITDPSRPLGAKYGTLAVGG GSGAGRTSDLVSPLRAIRERGYRDGFRTQYITNNDLLAGNNLTSIFPLPDVCLVFLKT FSTEGWDRLSLENDWNSTLAVENVAALCSNRTVVVTHSSGVNTLPWDDKVAAIVAAHY PGEQAGNSIVDVLWGDVNPSGHLPYTIPKNESDYDFPIVNITGPDALNSDAWQEDFTE GLFIDYRHFDAHNITPLYSFGHGLSYTTFDISSDAQVSWIAQNLSALPTPATTSTPGG NSELWGGVLNITTTISNTGSVAGAAVPQLYVSLPTRQDGGAPSGTPRSVLRGFEKVKL EAGQSIPVTFSLMRRDISFWSVEEQEWRVPQGDLQLDLGFSFGDIRASVTTQI PFICI_14327 MADTAADKGKEGKGWTPEEELDLVLAAMYQSNRFAAVPWDAVAE QLQKKFPTKTKEGCRQKFAKLKNVYITKFEVDPNETGGVAKGKATPKTPRKCNAASGD ANEAGEANGTPSAKKPRSNKKAAAAAAAAAKQAEEENATIEEEGEV PFICI_14328 MAEGDAEKEYLAGVTDNTNAASESTPATSNEAQQVRTASSSSSS RSSASSTDIEEGIEQARQNNPKGTSNPHTGVSVSQAQADFAELQRQISGRSRTSRRQS QGSHAVEDVEKGTSAVADSPSSISTEEIFDLESALRGGLAAEQEAGIKPKHIGVYWDG LTVKGMGGMENFVQTFPDAFVSFFDYWHPLKRLLGMGPKMNEVTILDNFRGVINPGEM ILVLGQPGSGCTTFLKTIANQRTGYTGIEGDVLYGPFTAKEFDHYRGEAVYNQEDDIH HATLTVEQTLGFALDVKTPKKLPAGTTKSKFKEDVITMLLKMFNIEHTRHTVVGNALV RGVSGGERKRVSIAEMMITNACILSWDNSTRGLDASTALDFIKSLRVQTNLYQTATFV SLYQASENIYRQFDKVLVIDSGKQVYFGPTAEARGYFESLGFARKPRQTTPDYLTGCT DEFEREYADGYSPENAPHSPETLQEAFKKSTFTERLNTEMTQYKARLDEETAKHEDFR AAVRESKRSGAKRSVYNVGFHQQVWALMKRQSALKLQDRLALILAWFRSVLIAVVLGT LYLNLQQTSASAFNKGGLLFVALLFNAFEAFSELAGVMTGRSIVNKHKAYTFHTPSAL WIAQIFVDTAFTAARVLVFSIIVYFMSNLNRTAGAFFTFYLLIMVGNIAMTLFFRIIG CLSPDFDYAIKSAVVIITLFIITSGYLIQYQSEQVWLRWIYYVNALGLAFASLMANEF KSSELECTSDSLIPSGPGYGDINHQVCTLAGSVPGTKQISGAAYITQGFSYLPSDLWR NFGIIMALIVFFLIMNVVLGEYMTFGEGGNTFKVFSKPDQERKKLNEALANKRLQRQK SRTAEDESALSIDSKSVLTWENLNYDVPVPGGTRRLLDNVFGYVKPGELTALMGSSGA GKTTLLDVLAGRKNIGVIHGDILVDGAKPGKEFQRSTSYAEQLDMHDPTQTVREALRF SADLRQPMETPQEEKYAYVEEIISLLEMEHIADAIIGFPEAGLTVEQRKRVTIGVELA AKPQLLLFLDEPTSGLDSQSAFNIVRFLKKLASAGQAILCTIHQPNAALFENFDRLLL LQRGGQTVYFGDIGKDAVVLRDYLKRHGAVAGETDNVAEFMLDAVGAGSAPRIGDKDW ADIWRDSPELANVKDTISQLKESRAGQVDVDPALQREFASSLSHQLKVVIHRTNLSFW RTPNYGFTRVFNHFVIALITGLAYLKLDDSRSSLQYKVFVMFQVTVLPALVITQVEVL FHMKRAIFFREQSSKMYSTFTFAVSMILGEMPYSILCAVLFFIPLYYLPGFQTESTRA GYQFFMILITELFSVTLGQLLATMTPSAFISSQFDPFVIITFALFCGVTIPAPQMPEG WRVWLYQLDPFTRLIGGMVTTALYELPVTCAESEYNAFTAPDGQTCGEYMDAFFSAGG IGYIRDNATSACEYCAYKLGNQFYEPLGFNYNNRWRDLGIFLAFVGSNIILILLGSRF LNFNRR PFICI_14329 MSHGTESNRTRDSLPDEVQDKSRHTGNQVTERPSPFGSTADETT RLRESR PFICI_14330 MNNGYLSVRPPHPGTCDWFFETDQFRHWRDHSQTAEGNLELRVQ GGPGTGKSTLMKHILNYCHKEMHDHVILSHFFHDRGKTSENSLLNMMRSLVHQSLHQE IELSEQCIKFVQMGRLVENKEDWQWQLGELEELLLLALQSPLPKPVIVIIDAIDECEV HETRRMIRLLNNLLYLKFDRDITIKLCYTGRSPNDKIPLGWADRSLDVKLPMREGVGI NLDDLNSRDIMLYIEERLTHSIEYAGKELLKKSSGCFLWVVIVVALLNKRHFAGDDDA TTLKILDGIPQDLSEVFNMLLLQNDQEIGDRAFLVQLVLLSRRSLKPEELCAVIGKVP ANNESIERHISNLSHGLVEVRQEGTAIVQFIHHTVQDFMRLKDTWQALDPGSDDNPTR AGHVRLWERCRECMQSFMESPIQKHDMQAPGDRHPFFSYAIENILYHAEMEFSEVKEG RAVPKEPIVRWLQNYNHWFNWLKRWRRIESEVGLLYVLATDGFPNLAEFVLMQGADVN AKGGLYGNALQAAVSRGNEEVVKRLLKYGADVNAQGGSYGSALQAALYRGKEEITKLL FEGGTSVDGQGRRYINSIQAAAAVSEASEDMIDLLLHRGADINAGDGSHGNAVQVAIF EGNLSTLKILLQRGANIHAWGGFHGNALQAAAYTGNEEAITLLLDCGADVNAEGGHYG SALQAAAAQKFHKVVKLLISNGADIYATGGEYGSALCAAAHEGSLVIVESLLDEGADP DIQVDYYGNALQAAAYQGHFEVVQSLLWSDANIDAQGGRYGNALCAALAAANDGIIEY LLRHRARTDLRDSSNRTALHYAVESGSHSLVQLILEKGASPDNLDDAKKSPLDIAVQS GDAHLIHQLISRTRKMPLMSARDWRKCLNLKANSDISFCISYPSSIEEHDSRLLEILT NECFPITYQKSIPWKKESLIGSMDKAYI PFICI_14331 MTQPVVASKRMNIGFKAACLKIAEESWSALQGDAQGAGVEPLSN EGFLCIMVPVAASSERQDVPSQADLRPVYLLSTLDTSPIALPYNGSELFRSLVSKLKC VVEENWAVANRQLSQYRSQVLESSGQDPRLLAQLLYDAQVLERIADNHKSLVQDVAIL ATSLSSLQNDQWRLKIDQSQFTDFTDLTGLQGQIRELEEKSQSIIQLTRFTFLPPQCT YYV PFICI_14332 MAPLFRADQVGSLIRPQNLLEAYGAEDQAALQTTIKEAIATIVK KQLDLSIRPITSGEYERTAFYKGFYEQLQGIESRTIQVPEDFRPNLPVIDGLLRVGVK QTEASVATGKVEWTRPAYLDAWNMIKAELPEQEWKNCKIALPSPTFNHIWLSNGTAYS TNVYANDRDFFADVAAAYRAEIKVLYDAGLRNIQIDDPQLTYFILDSFKDGIRQENVN PDELLDTYVWVLNEIVRDRPSDLFVGIHLCRGNMPGVNTGFLEGSYEKIAEKLLGGLN FDTFYLEFDDARSGSFEPLRFLPRGKGVTLGLISTKTPDMEDIADIQKRVREAASVIA AAQERSVEEVIEDSLAISPQCGFASAHYGRNVGTEERMWEKLVFVRDAARSIWKDAQ PFICI_14333 MSSNTSNQLNQQAKEQQFSMRLDDLIQHMEGFKRQREECRKCYD TLLRDYPDRIKDVNQHYMNFEKTIREGLMATVMKSWGISFETLQNFLLSERGDFPFAA GFWKYLDQVAIFEVVSFQDFKNLLFKARQSRHARNTTTIGDAYVGLPGTRDFLIYDVV EASSKLPLRLLRKLFPRLDSNFQANIRKRIRADGNFALEAELLVTQDAYQGIKEAFEE MMSGLDTGSYCNGESTCNTYKDMAQLSPMGKKRRIE PFICI_14334 MAQSTSDKPLYTLANGQPSDNPGSVRQVRYGDTNGGLVVLADVQ LVEVLAHFARERIPERSVHAKAAGAFGEFEVLDDVSDLTDAKFLNGIGKKTRMLMRIS TVGGEKGSADTVRDVRGWSTKLYTEDGIQDFVFNDLPVFFIRDPIKFPSMNRSHKRHP RTNIPDNTMFWDYHVNNPEGIHALMHLFGQRGIPESLRHINGFSVHTYTLNKSDGSYV YVKWHFKPEGGIKTMDQDTATRLAGSQPDYHVKDLFDAIEKGDYPKWLVYVQVMTPEE AKAAPIDIFDNTYTWPHEKYPLRRIGRLTLDKNPDNYFQDIEQACFSPSNMVPGIGPS ADPVLQARMFSYPDAHRYRVGPNYFQLPPNRPHSHVYAPYVRDGPGTMNGNYGGDPDY VFSELKPLEYSKRVRMPTHETWSGNVTAFATSVTDKDFVQARELWHIICKEPDGKEKF LHNIVPTLKDIPSKLQQQVIGESCI PFICI_14335 MPHVEIKTSNRPDEWKIEQGMAGAVLPVLDMTEPQIKRVPPQQF PSKLTKNDAAVKAVGDPKKLFARERKGWRGYVEWENYPEKKEAAHKILKAETFPPNPE FQLGPIPGTNPVLPGTRWKMWHHALGGELSQIPEDSWETVLKEKHPDMLHLLQFPYNG EPPKRLVTDKAVTPNDLHFVRNHGGIPLIDKQDYSFKIDGLVNSPRTFTLDDIMDESK FPRIEKTVTMQCSGTRRVEQISKYAGQGDEVPQAPWAEGAIGTARYVGISLKKVIKAC GGLKDGGKHLEFYGCDTYVKDDKLMNYLVSVPWSKVKANEVLLAWEMNGQPLPHIHGF PLRVVVLGYIGARSVKWLYRIKAIEEPSRAPVQSQEYLYFPQQVGKHNLKWTDGIQIQ EMPVSSAIMSPWTKQVVIHNGKIKCKGWAYSGGGRWPERVEVSGDGGFDWYTVPLENL SAKGKWTWRTWEIDLPCDVEGWVEIVCRCWDNSLNTQPPNVRTAWNWGLHVTSSCHRI SVYSVNKSKPLTRKRLEEFEKAGIPFGPITVPIPFPSQTWDDYEDFWRKNDPRDVDE PFICI_14336 MAVRMAYFPRSKAEKPNRITKATLPARPVERGPVFDVENSQTPL SSFDEHLHGRVYAGPSETGEAIQPEAPAHFDNKYLYPSLAHNERLRLTLLWYHTRLIE QDEILLSKIDALVNGLQQAIGWEYAIAGMLSESTYTRLATAHLPIARLPRREATCAHT INQAPSSVFMINDMSKDWRFKESPHVEIGGLKSYAGTQLRLLADDGEEVSLGSLCVAS DFPQPPLSQAQRDLIVRFAELISTAIASHTRARRQKDRETMAELISTLEKEVELDYEK NALKLVKQAYPSAQVTLQASDDGTLAIDGRSPLSFSDVYEGLWEDTNFIEQTINTSNH AELRSGQTVRAIVARCGSSNKYLVVASTDIHFVFDDFDAWYVTKAASIIADTLQRQLL QQALEARETFLRGMTHQLRTPIHGILGSVELLTEELAAARFLENGYKDDGKTTTTSPS ACLTTIRNSGKELMTTVNNILKHNTWTDALRQCQPGPYDIRQIENDVLPEILALLPRE QLRGVSIDFRFEVPEDHCIITTDVQMLKDCVQAVILNAIQSVQGNDSGVVSASFRVTT DFSWLLVDIVDNGVGIDFKDHARIFEPYEKVDSHKPGVGLGLTLAKNMAHLLNGNVKL VSSAPKFGSHFHIEFKNPDIACLHKNSKSPALSLDHIPRRFAVLRAGKAANHFVDHVV EYLTRNGFQLGSMADAPLIVTNTRSKQTIAQLFNENPRAAILSMRPNDCVDKLGGHPG IIAISGPLHTQQLDRILQQADRLYRDSSKPEAEHLVESTETISQPKSDEIQEPEVPEI LKLKQLGLEGTVGSNTVDTLPLKALLVDDNLINLRVLQMYCHKRKIPYITAEDGNRAC QKYREAAEAKVPFTLVLMDLQMPHCDGIQATTAIRSYETTHEMKKSSIFMVTGQDSVN DKIASQNAGADEFLVKPVGPRDLDTFIRQYFSNYIPT PFICI_14337 MTNPTMVVVPGAWQKPSVFENLCQKLREAGYPTEQIILPSVGGH DLPLAGLAEDVAAVQSVLNQLTRDGKRAVLLGHSSGGLIASNAAEGFDVDGIIYMTAF VVPKGKALMELMGGKPLHWMDVQGDRVFGKPELLPEVAFNDLDAEAQAKWAKEMTHQS AALYMSPSNYEPWTSGLPCGYIFCTDDNAVPLPLQQQMAALLAPGPAVATLKAGHCPH LSMPDDVVQAVQDVHSKLSRH PFICI_14338 MSNDNRGPELLALIWSFTAISIATVILKLFTRRRFLQGLGWDDF FIFISLILVVTCTAIFTYDVRLGMGRHSAELGMQRTGEVVRINLIANPFGIMAYSLPN ISVAILLERLLAPNKLRTRLLYLLASAQVVIAGISCILLFVQCIPTEHLWNPMVPAKC FPVGTVSRYSYFVGSFTAFTDAVLGIVPIAAFWRLKLPTRSKVGLCVLMGCTLFAAVC SIIKTTKLSTLSDYSDFTYNSTSLIIWAVVEANVIIIAACLPTLRPFFHNAFNKEKDT TKEGSGFIRSIFHGSAGGAGSRRSAATPQRASLPPAPVQPAAQAVPATTQPTRQGSVD SQLEIWRTTDIQQDNTPAHHETHGDAV PFICI_14339 MPLIRYDVGTFFQIPSVAAVLYFAVAGLALYAIGKGIYNKFFHP LAKFPGPFLGGFTEWYLVYVICSVPTFGLELHKKYGPIVRLAPNMLSFSDATMLPQVY HSGADKPTFYGSWMFGQTASMFQSLPHRDHYAKKRLVAGCCSMSSMKMYHEHKITERV DELCHVIRQRASVPGRPLDFSEYLRYFLSDTWSHLVYGRPKGFVAQGGDVQGLLSSLQ GIYGMSASAAVSPWLMPLLRNPFLRKYFWSWTRTFKNMDNLFSNFDRMIDLRRTDEKL KGERLFFDGVDPANNPPNEYQYTREDLKAEVITFTAATLDGVSAFVSPFVDNLITHPE AYARVVAEIQAADAAGLLSHPVVSYEETVNHLPFFMACIKETLRRDAPAQTILPRIVS EPGYELPDGQGGSVYVPGGTQMGASPYIVHRDESVFGADADVWRPERWIQAESGLEPR EHERYVRRMEKYGMWWGYGARECAGKYYAYMEMQKLVVEMLRRFDVESAVPEKRFTHA RWAVGMFWNQMLTFRERQ PFICI_14340 MSSRTAFRLTRAARPLFSVPRTSAPRAIPAATRAFQKSAIRMKS DVVQETEIPVSVYSTDAKGTGQSSSDHFSIPVSRENAKPTPVPTEGENVTPLTQQVYD TLPPTMQKMSIMDKVVIVTGGARGLGNYMARACAEAGAKALVIFDANQELGDESAAEL HQKTGLPVTFFKVDVRDGAAINAAVDSVVSLYGAPDVLINSAGIADSNIKAETYDPAM FRRLIDINLTGSFLMSQAVGRAMMAAGKPGSIVLVASMSGSIVNYPQEQSCYNASKAG VIQFGKSLAAEWAKYNIRVNCISPGYMDTALNRVPALDAQKKIWKSLTPQDRLGNVDD LNGLAVFLASDASSFMTGSNVIIDGGYTLY PFICI_14341 MKAATILSLLPLALAAPASKRAGGPAPILKPRDAGDNLIEGQYI VKLKDGMTISSVDDTLSLFEGEAEHEWKVGNFKGFAAKLDEAALDKLANHPDVEYIEQ DAVVSINAYVTQSSPPWGLGRISHTSLTTSSYVYDDSAGEGVCAYVIDTGILTTHNQF AGRATFLANYAGDGSNTDGNGHGTHVAGTIGGTTYGVAKKVSLYAVKVLNASGSGTNS GVISGMNYVTTDSQSRSCPNGAVANMSLGGSKSTAVNTAAANMVSAGVFLAVAAGNDG ANAASYSPASESTACTVGATTSADALASYSNFGALVDILAPGSNVLSSWIGSNSASNT ISGTSMATPHITGLGAYYLALEGAKTPAALCAYIQSTSTKSKISGVPSSTKNYLAFNS NPSVSILKHRITSR PFICI_14342 MHTTVKGKHKAAQPAVVDPLKVSRIPVQRSKTGCQQCRRRKRKC DERRPSCTGCVERGLPCQWIREPPSRPRIPPRHSPYNRDFAVPHEMRSLMTVFTIPTS SVKERLLAHFQAYSPLWLTIGGDVRRSTLLSLVMPAVERSPLVFDCVMALSAGDLSKY ESTSSDLINLANSFYGQALAGVRSALDREFLSSNSAKSLDYTEDDTLLAVLLLCVHEA VNFTATYRILPHINAAAAICHNRSRSTAANSRLRGLLFEFFCYFFALIAFSHGHALQL HLGPSIFASPFLEANDDQGILLGGRCLMVLSCILKVATLTSARNGNATVAENSHAPAL RAIEMQLSDWSGVPLPDDDRTTLLPDDAIAEIYRLACLIHVKRLLGRDSNGFSDIQRL LSQFISLLNRLPTTSPANGILCWPLVVAGMSSVDATHRRLIVGRLRTVHETWRSKILT GSAALLESIWKEDKYSRHSQESRDIHPTHVKGTVDFHSGHTRASYPIVLL PFICI_14343 MVPKVDEADNLARMQRGELYYAFTPQLVAARRRCGQAVGRFNRA GDLTRREIAQYWKEIIACQKELPPPAPSEDEEDAVLHEYPWIERPINIDYGTNITVGS NVFINFNCTILDTCQVSIGSRTLIGPNVSLFSGTHPLDPDLRNGTNGPELGGTITIGE DCWIAGNVIILPGVTIGNGCTIGAGSVVTRLEIPPGYSGR PFICI_14344 MAGIIPSNAPELERGTHLGVSPSETIELDPSSSIQPSDGKETWK HPRSNVLKTIACFWSFAIAGLNDGAYGALLQYIEAYYGLTYTVVSIIFLVPFIGYTVA AGLNQKIHTSFGQRGVAIGCSSCHLIAFVIMALHPPYPVLVIAFLFAGLGNGIAEGGW NSYIGRLERANELLGLLHGAYAFGAVISPLVATAIVTQAGASWYVFYYILIGLTVLEC IIVISGFWGVTAAQYKKSMTRANDSAASEDSRLLDALIKRPLARITWLCSAFLLGYVG IEVALGGWIIVFMVQVRQGEAFASGMVGTGFWLGIAVGRVVLGFVTPKIGERLAISAS FPSTWSITLVLTGMSVSLQGFFLGPLFPAAIVVLSKLLPPHLHVGVIGFAAAIGGSGG AVLPFAIGAIAQAKGVQVLQPVILALACVITILWFCLPRTNKKIE PFICI_14345 MSSYPISENSWATKSQLLASNITQDHVDALRASPLPDTQVEPEC LEPPSGQGDNFDMMMAANELQHEPRDETLGQLISRPIESIEDISDGFSFATFSSKPAL PKTYTDRHPAAQNPPVHTEPQHRITNAEPLIAPSTMASAPRSQMTHMSPISYEAPRVR RSPIARPTKDLSKNSEASTTKASGSIETSLTSGIPQNSSNNNDPQVRQVPHSSEGSDT TSRPSTSRARVESLRLELEQGSQIRGNAQISSKDGGEIAYDQQHKHNDGHNNSEHEQI DEPDFHHHSLQTATHHHHRDKYSRRGVSQEQVSQRMEQATRDEACRSRDSNASFPITI PHHGQNAEVHADTPRSHKRTSSIATHRTEQSNNGPEVIDLPWQSHASQPYRHRRRVRA GQEHGTANRPLDKSRPNEPSLRPNSQNSNISKTRVPAKHHGRHASPAESSRHRDSTAP THLRKAASRNHRTLVNSWNRYFSTHYEQQDALEKEISDLKADLEECSDVIAQLEEDAL DQAKAYHHQINMQSNTINKLRAEGSDLRTHLAQSKTAFSEKEERYETLVEKCRQYKDC FNKAIAEHQQLYTKTKQNFKNIVAQIKEEFEAEQKDKDKIIREILDQTESIRTSFRKR ANLTDREAKHQFLTMANTIKALKVELAERQRELTQERQNSEMARDELEQARDKNAQAL EDLSAQNTKILETMESHYHNSQESTAVTEGIGERLDSLCQSMNDLQQLMPNPAVLTDD ISSLGQDITTLITTKFGDLGSRISDIDCGQKNHSPKIDRIVNVCRAIDERMQNEDGVA YWQSKCQEADQNLRMLEEHTRRVQGEVDVASRHNQDLSATNDNLIAEVTSLQNRIGSL QESLQAAGKNAAVNDGKIKQLLSVKDAEITELQSKLEHCKIDQEKLQQDLRARDDDKL TLMTTHQDEVDGLQRKCATTENQLEATENARGELAKELQVAKNKIEDLSKNDGAEQVI TFLKEEIRVLSEVVKEQKRIDTKLENTAAYCHAGDVRLAALAKQYDVLTKKYSEASKE NDDLAEMKSQYIELYQKVSRLSISGADTQETALTPAMDTEEMSRMRRVTVKSPVEEPV PAAPSIEEERDRRRSSVPRKSNLRATAHSNLAGLQTAVETKTSSSQENAIEEQAFVDS EPVDLGKRGVFSNRSSFNRPVRGGNPGDSAVTTNNSDKKRRKLSEVLSQQASAQSIAD YPQDERDSLFVPKRNTRSKSGKSVSNHMASDGDLNDEDGANVREYDAPRSSVSYGQSK RLHRNSFLVTYGNQNQDLPVQTASASSQPISATTIAPAGSKRKRSASIGS PFICI_14346 MAPKKASKKAEAKSIPVMIDPNSPYTPESFQDELSKLAQQAKKE TPLNWAMREGAIWFKAAALLALVAVYANVSELAMSPVYGGIPSSIYHSKVVMGGAFVG WAGNLALRRHLRMKTKYFLPLIAAYIPLAQFYLFKLSGTFTATWGPLVTEMLTLFPLM TFSLACVADTLEQADLDWLPKWAGDAAPGFLSYGIYKFCEMFSAEHLPHGLGKSVFQT RMGWEVALAGTYTIMAPSKLLLYAIPALLHAAVLNTHIMTPIATNSVNATLNAGGWSL LERGESLTGYISVLESDRDGYRVMRCDHSLLGGEWHKLARPIVGEPVYAVFAMLEAVR LVEVDDKVPDNEAKALNIGLGIGTTPSALIAHGIDTTIVEIDPLVYDYAVKYFGLPVN HTAQIEDAVSYTARTAEHSPESFDYIIHDVFTGGAEPIPLFTLEFLQSLHTLLKPNGV VAINYAGDFTLPPLSTVIRTIREVFPSCRIFRESPMPSEDKVAADGRDFDNVVVFCRK TTDRITFRPVVERDLLQSRARAHYLMPKNEVPESAFMTGDDVKLVRQNDTETLAKYHD QTALGHWAVMREVIPKNIWENW PFICI_14347 MAPRYSKAFFPAEGASSSSFDQDFHDQIEFESEPEGSESAGSEQ SGSSNQDGSSSQDDASSQDGSNSQDGSNSAASTSDEERSDSDFLDEMIAAFEFNALAL SAFELYCEQFKNTTLSLILPSEQTRCASLLIELIDAMYDELEGKGERDAVSQALRAFR DYETSMVRRARFANPVRVGQAVFGDDGGDVAAAGTSRRSRRVAGQNPLVHMDRVVRSR PDEFILNAVVVAWDAHSRRRRGE PFICI_14348 MAGVAAIEAIGVISGILGIVQFGIDNFGGDEENSGSVVKVAVAL EGADGAPPTNTGGDLPDVRVWNDNGVFVGMTADPGTVDAGNLGSVTISHDNQNTYSLF SANDDAICVAWATVQMSDDRGGTSYAVSGDFGRVCGGTWYASGMYPQSDSEYQPDCFW IDANGDQPNTGFQVRWPSYANVSFDESNTDPEQFCDDIKFGLRTESDPNSINYFTRKT KRQTPTRAGWMASQLVVSDSQTHSAQKLCDSDSSLGPDFAHTGEQKFCDMDSKTLYDF CSAGNNATGCFDLASKQLSTPMTRRGSVLPRQSSPYSTIKDWRKNQDVKI PFICI_14349 MTGTKSAAVLFLAASQQLGFALAQDLSSTIVGCEDVACPKTSGS DDTCTVSDETFLGVGLTRIPDVPDSFAGLTIVKGVNVSTAGPAADSNGNDRQYKSVYY LGAPDSQTIDNLDGCAIVFHDTLNGIKFNGVNSASDQGTCQDVISQSCIDALTQQVST LWANETSGDRCAAVSDALASASLTECVDMVGTGKGLGNFTAQTLSDLSPISGDQNASS NCWPITPKSDSLAKLGEETDSDGYDFASFQDQVYKLTPVLTLLSTSTNDSSSQLSCVK LITTKELSPDGESAASSRTYSILGVGIAIAASMLVL PFICI_14350 MADDDFGADADFLDALASSSSGIISGQGNQQQAASRIQQPRPQK IQQPTPQRLDKAPPTANSGPKIVQPTPQALPQRSSGSSILVSPRQKGNPVLAALRSVP WEYSDIPADYGLGLTTCALFLSLKYHRLHPEYIYTRIRNLQGRYSLRIVLTMVDIPNH EDPLRELSKTSLVNNVTVILCWSAAEAARYLELYKSYEHANFSGIKGQQATSYTEKLV EFVTVPRGINKADAVSLVSAFGSIRAAVNAEPEQVSIIGGWGEKKVQRWCSVVEEPFR IQHHAKRRAIASESSNATDQALPLSRVPLRDMPSLSTAASRGSSTPQPQQVRKENSQA KSGQFQIRDPANDDEDGDEDAMIAAAIEESKKTAALEGASSARQNEDQLSEGIAAALA RLRDKD PFICI_14351 MVASAWRIALLGAVAPALAAPTARAVTYTSQPDRTAAVQEAFDR AWNGYYTYAFPHDSLTPLSLSFEDDFGGWGASAVDALSTATIMGDKKVVNQILDYIPK IDFTKANYTSSISLFETTIRYLGGLVSAYDLLSGPANDLVENQDSVASILDQAVTLAD LLSVSFDTATGIPHNNLDWAPVRPQSDTTNGLATIGTLVLEWTRLSDLTGNRTYAELS QKAESYLLNPQPKDIAEPFPGLLGNAIDIATGKFVNSNGGWGGGTDSFYEYLIKMYLY DTSRFGSYKDRWVAAIDSSIKYIASHPTSRPDLTFLAGWQNSTTLSYSSQHLACFDGG NFILGGLTLGNQDYVDFGIELVDGCHDTYIQTATGIGPEIFAWQDNAVSANASNNQGP PAAQADFYAQAGFWIPDGDAQYILRPEVIESYYYAWRATGDSKYQDWGWDAFLAINST CSVGDVGFAGISDVNVAGGGTKYDEQESFFFAEVMKYAYLIQAPEAVWQVSADQQNTY VFNTEAHPVKIAGSAA PFICI_14352 MALLQHLLHVTNFRSPLMRTLVPSVGAAFAIQAAFAIPSVYYQT ERFYDASGALTFLSVTLLSLYLPHLRTRAAAAVRGTDVKLPSLLSAFVGGGSAGAFNW RQIALSAAVAFWSIRLGSYLFQRVLKEGKDSRFDDIRGSAAKFSAAWTGQAVWVSLCL LPVIAVNAIPPAAFAAVGSAIGPFRPADVLGLALYLGGFAFEITADRQKSQWMHEKRT KQHDEAFLTRGLWSKSQYPNYFGETTLWTGIATAAAGILITSPVQVGLGLSGGIGGQL VALGLSYVSPAFVTLLLTKVSGIPMSEKKYDQRYGDRKDYQEWKKNTPKYIPKLW PFICI_14353 MASNGDVPPAETATINTNIVTLTRFLTEEQHKVPEATGDFTLLC HALQFSFKAIAYYIRRATLVNLTGLAGQSNATGDDQKKLDVIGNDIFIEAMRSSDRCA LVVSEEEEDIIFFKDKTNARYAVACDPIDGSSNLDAGVSVGTIFGIHKLAEGSQGTKE DILKPGTELLAAGFTMYGASAQLVITMKGGSVNGFTLDASFGEFILTHPNMKIPKSRA IYSCNEGNSAYWQENTKAYFNSLKFPQGDGKPYSARYIGSMVADAYRTLLYGGIFAYP ADKKAPKGKLRILYECAPMAMVFENAGGQAIDSNMNRMLTVVPEHIHDKSGIYLGSYD EVEKVKAFHK PFICI_14354 MNLPGQVLAALLVGLSLAQFPDPEPSLTTIPSPIDPNITISYKI PSSGACATAFDTQQQYTGWVQVPLAAYGESSDNSSSTTTTTNLFFWFIGSREPTAALT VWLNGGPGASSMLGLFNENGPCEVVDAGQGPDRYTTVAREWGWDRASNMLFVDQPSQV GFSYDNATRGSLDLLTNQVSVPPASRPDSLPESLFLNGTFSSGNASATANTTETAAMA VYHLLQGFLGVFPEFNPPDGDSLGVNLFAESYGGKYGPVFASKWQEMNAARASGAISK NTTVDIRLKSLGIVNGCIDDLIQAPYYPAMATKNSYGLVAINSVRAQAANASFYVKGG CQELITSCRAAVQTNDPDNTGAVTAVNELCSQAYDFCSNNVMLPYTDASRSVYDIAAL LPDSFPPSRYLAYLNSAEFQQAIGATTNYTEISSTVASAFASTGDYERESLVPKLASL LSDGIRVGLIYGDRDYICNWLGGEAISLALASAAAVSPASPDTESMQYTSFAGAGYAP IIVNDSYIGGVVRQLGNLSFSRIYQAGHSVPAYQPETAFQVFARIILGTSVSNGQPID LSVYNTTGAANATKTFALPASPSGTCWIRNMAGSCSEEQQKQLLDPDGQGKNVAIVNG ALVTKSGTSVPSATTVVATTTVTEPLTGVFTATSTPSSAAMHVQSIEPPVAMGVLGWL CVFSTVFW PFICI_14355 MSSNYDDGGKSATDIITYIGVPLAVLGVLPILYNTVATLAALSK IKRMLRKARLPALTRSDIVNKVIEVELPRYAVTPWDRFSESSEYWELSRQPSQIPGGS WTTFNWKTNTIGLKTQRVEYADQLRQPQVEVAFDELVSYLLDLGAIPDAHGWKLLRTT GLWTPMGCALMKSLDGHKALTIAPLDDSDGHLSLAVEWSSSWTTRDSGSLPPYWVQLP PFQQPKKPVSVNPGDSSSQNDAVGESGEAGESSPAKKVTSKESLDSIQKQSQSNSKTP ISCHISIDGLNAALSQDLEILASDSTPESLYIEHLRIRAGKPDGMWFASAATAYGTTG QTMLWNYKIPDETLSFAVRDTVPCGVLVLLDVVDEADTPQWATRFNTGMEYHEAVTRR HQEQRLAMAEENRMSHEQRQIAISNRMQREMQQRMNDMRDKMRLDAQRRDQRELEALQ SPKWDNKLVAEHNLKWLKARGHIDSDVDLKDAVGMFLHRMVLDGQFASSLCRVLALWK AWADNGGMRVSDLSALRESQDMFARASLLIAMIKDTTTAVDGTVAMDLQECMRMWKTV RLG PFICI_14356 MRFANIFTSLLSVAMPTVTAATPAAPGLTYLYTANVTLGTKFSM GVGPYGERVAIPIIGGTFSGPNLNGTILNLGADWGWTDTHNNQVTFHPDTRYQLRTSD GANIFIQTEGPKQADGTIHLREKFETGSPDYYWLNNIVAIGILTSGDGYVVIDTWQVS PFICI_14357 MDAPRPNGSGGREVGRAERFEEEKRRIVESCFNKKDTDGSLLET YITHIRITEYSSHPSSPPPPEAITPQSEKPRVIIVAVRKSGRVRMHKSKENANGTFSI GKTWDLNDLTGIESFTSTSVDPRKRQWAGDTGFTVVIGKPYFWQCSADKEKKFFIASL IKIYSKYTGGKLPELHGFDPREQDQVLGSRRPPTRNETAPPSSLRPGISPAQSELSVT KTPIQAYQPPPRRPVSPNRNLILPNGMSASPASSSGSRDPSLPPPPSLRRLAGNNPSQ DSLASRKSEDASSIPPRSRGGMNGPGAFARFGDRDRDLAATPPIQPQQQAPPPLQPPP PGPAPQFDLPDRRRPPMDPSRPQNASVDNDLVPAPLMSPGFRKDPQSQTQPPVTQEQS QPQPPPPPRNAERMSPRKPAAPTRTDNSSIATALNESETPLGAASPVMATPSPGTPPF VSAAPSPAPIEKPKTPPPPPPEPEESRPGLGPMIKSKKSKGELAGTLWKAASAVSAFK PRPGGAGERLRELKRADSDGPDGITEVVPAPPRATPKPEPPKAVEPPKSPKRNSNNVP EVKITVPNSSRPNSLQPTVPEQKKVAEVVKKPTEEPVITGNDAKYLTTLGIDTSILAG QTDEFIKLLDHFGWVPGEQMRGRNFEEMKMDVDRQLNKAQAGDWVVRFEEEDERIDAI KRGLDVAIAECDELDNLLTLYSVELGTLSEDIAYIEAQGQGLQVQAANQKLLRKELES LLDTCAIQREDLRPLEVTPLDSMAGLEDVESTLVTLYKAMMKIDPTMDGAESRGDDLS LGDQQSGFNADYGKMRIVQEKKEMYLTSSSQFLIRYREFMERQFDLAAREVKKSLDGA LSKKVDPKHHDVGRDLLWRYNPLMVYARDLDLTGWNTFLQIYQDKNFPIYKSEFKEVL TAWKRNASKPTGEEAELLFTAQAEKQQESTMATARKLTVKRSQTLARSLRSPLGDGSK GAVEKAERVLPYEIFAGIADDLLPLVEMEQNFIIDFFHATTLETLDFPDAVASAKPRD RRYGDLRRHRLMEPDRDLAKRVTRAMEVIFGFIETELQQTVDWVLSQDPLQGVGVLAV LERKHSDMTQSNQDFLNNVLQKLHNNLESRFTRFVDEQIRAIEETKVKIKKRKGVISF IRIFPQFSVAVENMMAVAETTSSARKSIDREYNRIIKTMFESLKVIARENPATLTNAG ADPEDKEALNYHILLIENMNHFLEELDTRGLEVLEDWKEEADKEMNEHMNLYLNAVMR RPLGKLLEYVENIEGQLTSGKSPTTIASQPSNSKATFNKILSNYDGREVKKGVEALRK RVDKHFGDSDENPSVTSSRALVNKVLKECEQFYGDVEVRISTITSTVYGGDVLFEWPR SEVKSAFSSGR PFICI_14358 MAPKGGKDAEKHHIDHTPEYEEFIKKLAAFHEKRGTNFEPEPRL PVSGGHVNVDLLKLYKGVIERGGYDELCSKQKAWGQLAEELGMFADDNKNMGQLSFQL KTDFYRFLGAFWIQDQYGKEPPPKEILEAVSCATKYGPILTRTIESFELATGKRPGSE TPVKEDKPAESTPVSGNRASGRLREAPAQRIPFQPDTGSTRATRHTSSQHTNSQSHHS ANSHSTTTTSHTAPAAASTSHGQHAQHHAPQRYEGSHLHQQPPPVMRGASGSYVPPNS ENASRLSEIIEPRPPINVPLRPVSTPGNNPVEYARRQRQLRYAAAGILEPIPGRPPVP GANNDGPNIYTRCLQSLRSGIPSEQAFALHHLVKISFERGDKFKFESFLGLAEGLIEK GLEIASLFYHIDLELDYTGGDDGSSDAILDGVHGTRDLLSRIEKFQRRATFDHLQPEH SADLFVRITEAILTIRNMVMLQENAIYMAEVYPLKDLLCILLQLPRLEMLVEVKHFAL DIAEQLTPWLVLDAEDPLYQSLLSQLDSSDRGTILTALRAISRISMNLEATNKLQKVP AIVLQNIITWLLLNDEELMDACLDFLYQYTAVVPNVESLLDSVDMEQAIPHLVRLLAH GSKRIRESYILEPERKVGASEHVVPLPQDLQDRLSGTEEPQRCFNWLTSLFEEDPESH ITQIAIWQAYQSSFGGKSRNTLSAADFIRNVSHVFVNARAQIIRGPGEAQRFIIEGIR ARTVPLDYETKEEYARCQWLIGGAPYTLQRCGGFFPGGEKLWQHIMTQHLERSPGEDG KFSDTEVDVSCKWADCRKYVQPTPMKLSQLTRHLKTHVSTPVKRGLSDSSSDVPAKKL KKSYLVPAKTMTITWEKTLTTPDERNPRIDRAAGIPLSAVLVLRNIARNVVKTESQET LLQSEETGGEAGGWNEKLFRPMTPRLFEVMTENPAMSDYIASLLQLIQQDV PFICI_14359 MSARPASRAPRELRRPAQDTRRASLPPRTARQQIPGAGSHNGFD PRTRFLDSTREQRRQIRLLPGNHVGNRPLGPLAGVGFQPSHAPVYTYSPAMTSSSHIH RNGQYYSSQPTQWYGNQLTQDNFSATPDQDAWLNEPVSSGTQPPRFTTPADEFPGQAA ILDPEISRRKISRPVSHSTATAFEISKYNERLADIDDKPFEDHDDKDVVHDTQDGHST EIPEPTKAKRKTTPNTADGEPKKKKLAIYSNEQLYDKPTSTGDCACHGKSKPSISVKD FTVRLRDINSAFAQHFTTLASTNDTTNIIHNLEDAVDHKLQPSDYEIVERITHGIFLG NVLGSKSWEMWKPMFGGEDVEVTFKGCQ PFICI_14360 MAAEHIGMVPGVGSQPCQTCRSVSKKRYSCVQCNNLWFCDECWS AWILHAPGAVGWGGKPHEKANPEVVHRLRQIFEPIRSEADHQQELLDDEETAWFGYGR DTSGHPVFQDYGRFSTVMGESHAREVAMERYPQLVTFIGETGAGKSSLVKMLIDRHDI GSPVGGYYRTPVTSSGYDRLPTTGDVHLYPEPSTYYSDTPLLLADCEGLNGGEMLPKA LRYQTEEQGSLDTFAGTSKARLEEVRNRKMRKSVPRQIVWADTPQTQKREYAVSVLYP RILYSFSDVVVFVLRNPRAFESVVLEKLVRWGAESIDKSLNQPVLPHAVIVFNASEFV DDREWDPETATAMLMTDIKGAIYREPALKQYLQSWLDRGRPITTTEELLQCYYASVTV MRLPARGQYMLMDQQAGRMSDLIKKKCAASHLQKRQVRMLANAERLQVYLQAAFDHFT RDLSTPFDFVKEALKYSPSPRDFGGNILSLAVSIKERATDEAVRTNAQEIFHRLGPMI ATCVMFDAVRQSLMGTAAQLLDDAYAEPCIAALHRFADMHWPCAYRRGNSTRPEDRCC NVKIGHNPKGHQNSRGAIIGHGSYQSSFDATTFGGDWAHVIRENLLKLQTAVFDLGEK LPGRTELQVAGLIHRERINEFYRSLGNASDFISHVACFSCLRELPECALPCGHILCLP CVKTYGKKTSKTTIEITRCPLHVQDVIANPPWVIPIKPARAGVRILCLDGGGVRSIVE LQVLKAIKKVLGPRLPLQLFFDLIIGSGTGGMIALGLSVKNWSVEECIKRFKELSSDA YSPRELTGVPMLENLAVFYHGSIYKTRPFERGLKMMFQEQPLFGGAANGQRETPTKVA VLGTTALEQRSVVFANYNRQDFSDDKLPYKFLRSSVPNDDMKVWESARAAAAQPPLFK PFQKAETKISYTGTAPSYNCPAIIADYESSLIWSDMKDAPADVLLSIGSGKNIKDNTP AQLSPINTNLNTVSRRNTLNTSRPLRTNASVNKLDDYSSNDKIWDRFIRSKSLPKSFI STEEIRQRYIRINPALDMKIPKLDAARKVFELEREAREVLQSNEGLVKESAHRLIASS FFFEKTPGSVKQTALYGYECEGTIHCRFPAESDEIRALGEFLRATLSGEFEPYFLLEE EVPRSEPIRITLSEDAIHDMCYKGIFEVETLFVQVQRELATTRVSLCLQSDPYPSGVT VLPISGFPRQLISEDKADRNGKS PFICI_14361 MAANGDFDTDPPQAWKKDSIEERSFGAGLICLEGHYTQSPVMGP STTAKVMAVISRTLEKTHTFKDVRELLSRNLKIWIWLRRAISAAICDLNEKSLGVRSE PFVPTKFIDITAPQIMALIMKNYAPLKEALQMLNKLMHIARNLLVTTYPEVPQDLSAA VNFDHEVYEAICLCVNVTSKGIDGEMPEDDASRAKCSEIQELYKKVLVTSLQQAHNWI AKNDRNKMAFWFRVLIESPDVDNNHAPEDRHESVMQYLGPRSLSLRTEIANWLERNSD RCETATAICKEYLEAQDESDKNQWFPQSQQLANKANIHQDAAQAISEWHPEVTDSVER DRLYGRVSHELDEWWEMTRLPNSKDQSLKMWPLDFVPERIKQCEANLMQRYATTDAPE DPEDDLDHPEGETNNQADWQNQPAPASTNYAPEYDEYEEDADDDDSYGEGPMTGLLTE VPNVLDPKQIEALHMIVKSCILESAGTGLTPHGESLQHTRCKILLATDCGKNLLREML VFIAVWEKEEQSLIFQISSQIVQAIHESSLIPYAWEALRSPKDIISPAQTVLLRLITY LSRTAFYPPKDYNPRPLTDGTPPPSEELRLAKMLNFLYGVFRSRIVPECVALMQIQAD VRKGNLDPADFPVDTWDLERAKDGLVQYLDFLYTIADIHPLRQHLIEYEAVYELIKLL EGLDEGVEKIPLIDGRRNPQVPAQQPAAYQTNPQPPPPPPPPLTEPPYEFPWSGIKGQ LLSILAALLQPPPGQSSPGNPTVQLQIVQHNGIVALLNCCLYDDNNRFCRERVQLCLK WLMDGSPDASAFLQNLVAANPGPMARPSADAGPETHSVRVDGVGGDVRVQVRSSSAPV GEDAGVAGLVPGATAVPRVNRGDALMSDIMALTRADDPNKIPQNLEGDETEDDDFM PFICI_14362 MSVPTAETLDLPSAIIQEADIIEAHVIMRTKYQTGGRGASPHLQ PVSVSIVQDLLVLDEQRMRHKVNNRDADKDVANTRHPASEA PFICI_14363 MAISGTVQALIAAFFFGILVNTASAGLFLYIKGHGSTIFRDGLR LALILFLASSALWAQVEFLATLIDSTASSTCQAAVSISSLFDQLARVSIEQFLIWAIA KDGRKSAAGLATQVLLLARFVVGMVFVGVTKPQFNSTCVPLSSIEPVAITVIALDAVI LTAIAALALSARSGKTVLLILAALAIWMGTSVTLLLGMSTIDMFFRTTLPAIGLLILT SLVTILSSILPTTQPRRPLTPDSPTPQTMNRNLDISTSSSDEYPPSRYAEVKGTGSMV VTGFPDSELAPKNGNASTLPTLARPVTGVTGIGGVPVRGQLFPPPRSNTVDQVTLQSE VRTRIDLPQRSKSNPPRKMTKGKLVISAPIPIDKPETQDAFNKIPTIDLATAAKNEQE RRDGYARRKSALIANRPAPKPPVMQKEEPSMRSTGIKRQEIEQESFTEIGRTNSNKTA KSGGLSVEGNASSSSAQLSPGNEELRRRSPRQVMPTAIPAKTPNKASTFQPITPGQPF RIPIPRAQPPPEPAPVKVPEPVKTPLQRRPTNGLPSNPRAQTVKRTSEDSETEQGQTV MFINKITYDDPTYVNDIIQGATATKTPRSPLKSSNSVVHRPRPIPRKGDKDRLVFPAE VSAGHRRSKSAGSILNRRSILQSQAGSPTQLPPLPPPPQSLGEVQRPHPNDTKSMTFD EKMSLFYTGPPSASSVASTPMMKRRSSVPEMPPLPPTLPLLNGSPLTQNAPKIDGERR FTQTTATDRTSIRTQSILGVGDYESRDISETNTIVVDGLSTFRSPGVVPTNDAALDMS DNRRQSSPVIPVLRYSSLTASTVGRTHDDGTTDWGSVHSPVAAIDMSATRLNVRSTYI KKDSKVPSILSGFGDEVMTVMLDTSSEHSTANRQSFFLDDESVPDVPQIEQRLSKWHH RVGEECPTFSTRKERVKSRKMPPPTPLLLRTASQKGAVVIHTAEPSPMESPNAAYNKI QAQLQRFDEPNRDSIGSEGRRMALLEDLELEMGQQESRWQTMQNNLDRDSISTLQTDS RPISIYSPAKSSTRPQSISSALAERRASRRARLSRANVSKEDLVTATRASLAYNSGSQ RESIFQMRLAAAELELAENAPELLMSRSSLNFFTISKADLGSPTPPESDTSDYEMEFQ AQLLSARTYEPPATKQISHLWQPNPPKIRSAAGLMWEAPIQGPESEDPVELPGLSVRP AIRKSAEPLKIYSTRLWQKPLSSAKSERSVGLWGQKTPQPSSEPAKPVKARPLTQRPP RRSKRVTLLPDILESPEPLPDKRGTLGIFQFPWGERSANATVQPRPSQVFMAMPGTMT SGGPRISASLEARARQLEADEYESSFFDDYDEEDEGDNFDDEYEDSGDEFDENTLWEI AGLLQTSVPSRESLFPSEFSPSVIDDYMAERTSEDQLEEYDEDFNMVSEEDAVRETIA LFPKPHDEVTKTFLWEFDTKSGASRESFGLTQPADDVWQSYIPTDAEAVRLRSQFSDP AITLESNQLWMPASLKTYGKPLLWTPHSRSSGTGHHLGLPQPDLAIWLEYMPGPEVLT RSNSRVEEPAKIESTSLWTPVATTPTSRTSLLWASPLLELKVPETTSARQGNDVEHQR RSFMWEKPILLPQAHLDGLFNAKTARADFRRTSATPPAAAMIKKPRTNKEPLQTLTTR GLWKNVPKLVARSTGKRQTLWSSTSVRVANTTTLFQLDVTRKTYRTTTVEPAALNMVT RPRKSNAPLPRLETSSFWKATDDKKAKVNWIKLCSSKVTASKPATSSQGLFKMDSTRK QWRTTSASPAALDMTTKSRSVDAPLPQLESTRLWSPTRTASVEADWITISSVRPRSPS VASIASTSSALPSPVTDASSIRTTSTKASTIAPSVSSMFGFRGFFGRKKAEPEIPSTP EDAALPQIPEIPDEAFIVKNLDEVPRKKVVRTPKRSVRRPSIVSEKDWDAALEEAIKA SHIAPKIVRRPWSPKEWSMALNEAISASYPNNRFSRGQVLPAQWDEELREAIARSQRP AHDVSTRHPVFFGSMTTTATDVHPAMYGSVKGTPTLAQTSSKQPLLWSESVPSSSNLA ANMWAPPAGQPTSHTASSLPEVPCASARKQTKTPPSTIPVPSFTGQDMWKRQGPPSKQ RDWLDDTMKKRFTRVELRY PFICI_14364 MFHHMLRHARRKPDVEVGLLALDFEDDSWIRSHHRRNLIERIVY PDDVKKSTYRINISELQRVHLRKLQHRLLQHAVDLRYGATEPADWASDLRQYVQALQD HEYMGKFIWQSGDPFIITGERYIDRCMLDVAMKDLENDKGSPRQSKPTRKWEDSSVYP APIGGTRDVNCETIFWEGFFQRMLLAILGGIFLVGPMWLMVLHNTLYTALITTTVCIL IFGVLMALSLTKPMDVMASTAAYAAVLVVFVGLTVDGGNAA PFICI_14365 MAAVAASVAATTAGLAYIDAKYHIRKDWALIRGKKKAAKLLQKA IHDKRVSPYYFFEHQAQTKPNAECIWWRPGSFTWAETYSRANQFAHFFLAQGVQPGDL VALFMGNSPDFIFAWLGLFAIGAAPAMINHNLTKAALLHCLGISNAPLILADGRPELL ERIEEVKGELAEKGVKVITLGDVRADINATRTERPPDKLREDVKPGSPFGLFYTSGTT GMPKACIVPAAAAFNAGVSRQCGFAIVQGENERYYDCMPLYHGTGGISGMTQILTGTT LCLAPKFSASGFWDDIRASRATWFAYVGETLRYLLAAPPSPRDKDHNVHSIYGNGLRP DVWKAFRDRFGIEKIFEFFNSTEGVLALDNPARGEFHAHSVGHHGLLLRNRYHDTYIP VAIDPDTGEIARDPKSGFATRVPYEAGGEILVAHPSAYTPPFVGYHGNQEATDKKFVQ DVFKKGDVYYRTGDALRRDTDGRWYFMDRLGDTFRWKGENVSTAEVSEVLGRYPGVSE ATVYGVGIPGHDGKAGMAAIYIDPANKSFDYDGLLKHSRTHLPKYAVPIFIRQIKERS ATHNNKQNKVPLKNEGIDPAKVKGDPIFWISDNGKGSSYVPFGQHEWDLLTGGKAKL PFICI_14366 MRRTTLVTLTALAGARGGLAGTTIWATPHDSYSSSIGVLGCKID TDRVAYWPDSVSCSNICVSVSYAGRSVKLLRVDQSQGAYDMSYDAWNYLYTGESALDN PTAGGAVEMEYEDLDAGECADLIHTDDSKLPLSASNSMNFLASCLEAESGDDNWVGDN YVLYNILDSICSWGYDEECTLDWPSANQATCANPLGTPVTLTSEPVYNILYPSGQKVL ASTGQQVSDSAQNGNSGSGFAIPDSRWLTALATLMSLLSLSG PFICI_14367 MSTQDTTEETPLLRTMSKGSSRDQDVGTIARDETDPVGEVVQAY DESRKIGITGAVFLILNKMIGTGIFSTPSGIFAATGSVGVSIILWLVGGILTFCGLSV FLEFGLAIPLSGGEKNYLERVYRHPRYLATCVLASQMILLGFSSGNSLAFGRYILFAS GSTEPDGWAARGIGIACATFAVGLHAIFPKWGLRLVNVLGVFKVIVLLLIVFSGFAAL AGRRLVPDPHNFDDAFKFYKGDGWGGGGAYAYAQALLRIIYSYKGWENANYVIGELRH PRKTLAVAAPIAIGGVTILYILANVAYFAAISKEDLATSEVIVAGIFFRNVFGDSAAA RSLPTFVALSNLGNVLAVSFAHARLNQEFGKEGLLPFSKFWASVKPFNAPAAALFLHW LVTVIILVAPPAGPAYNFIVDLYTYPGAWINAFVAAGLIYLHWSRSENWTSPWHSYLP ITVLYLLANVFLAIVPFIPPDGDWNAEGYPYFVFPVVGVGVLLLGAVYWVCWTKIWPA IGGYRIEAQRIIDEQGVEVIRYRKVDLKHRQQF PFICI_14368 MLKAFQEPPPLDESGSYHAYLEAWQYNNGSEANTDSLTVKPLDE ANVGSWAWRRDSFATPSEPSRPGKLAGGLRVLFTQPKSQFRADIPSVLVKANVLEALS LPAHAFNSFQLRSGVFSLHTYPEGSTIDNCTKLGLVFRTPWRECEIGGYAVSHDFDTA VTTALVLGNCFGLDRYNGSGDAVEPPQRLEELISQMEECRNLWTHPLLLPCLLLITHV RLIRSYITKQISQRITSLEQMIGVTRSDPWDGHTALREVLRNGGTYPEHETLLSYRLL NDRLRSERLMGQLFVDGRLQREQAKILTQLINTISTRIILTKRSPQWDMDCVKFLRRI LETSPRLSNHPRIPAQIFQETLDYVESYSEVCLEVTQTSEARMQLHLNILYTSIAQDD GQTSARLAASAGKDSTSMKIIALITAAYLPATFVATLFSMGMFEWRSSDSDTEGAVAS SSSISPDFWMYWAVTIPLTVVTLLGWAAWWKFEEHRFDVEVKQAVKDKTTLDSRGSDI EKTGVYSKFLPLAHRRTQT PFICI_14369 MASTTHLDLKPTLARLPPPPPADLRSQVQTLLRDPSSKVPILVA LDDDPTGTQTCHDINVLTVWDTPTLVSEFRATSSGGGFFILTNSRALHPGPARALITE ICANLKVASAETGTPFEIVLRGDSTLRGHFPLEAEAAEDVLGVADAWLLCPFFLQGGR YTIDDVHYVAEGEVLVPAAETPFAKDATFGYKSSNLRDYVVEKSKGVIPQEKVTSLSL DTIRNGGPGKVLEQLKSVAKGTVIVVNAAAEADVDVVILALLKAATDGKRFLFRTGAA FVSARLGIAPIPPISAKQLNLSGAVGGLIIAGSYVPKTTAQLDVLRTKSGNNLKSVVI DVRTLLKSEDSARDEMAKAVKTAEEEIQLGQDVLVMTSRELIIGDDETQSLDIGSTVA KALVSFLVDLKTKPRYVIAKGGITSSDMATKGLRMKKAAIVGQAAPGVPLWRCDEPTA KWPGLPYVVFPGNVGSNDTLYEVVDGWRV PFICI_14370 MTETASDMDSSHTGRNYERKIDSMREEIKQMRESLETLIHQGVT MQKPERPPTPGHVNQMRAADSGSSPAMAALPPGAEDNMRMAMTRENSPDQTSNGEQGP GGSVNVEEPMGSLYEVTRLRNIRSNKAKTARSQAGGNREVNDFISRGVISLPEAEDLY RSFHTSLNHYLWVGLEQTHPSFADIRKSSELLTATILTVTALHIPTSAETFDKCYKEF LALTSSSMFSRYHNIDDVRALCIAAFWLSEVSWKLSGHAIRIATELNVHQSFSRALEG DKEHFLRARLWYMLYVCDHHFSIAYGRPPMIAESLQIREHELFLQSPFADALDRRILS QVALMQILTRIYDRFVERKLPLQHSTAGAMLRDDNHNGGDGEGESDFADLRNFNLEID QWRMRWNARQENNRFIGSFPPKGIILYSYFAKLQLNSLAIRGVSLSQGRLSTERKEFA NMAISAAASILTFVLEEEDMRRALVGTPLYVHTMIAFASVFLMKVATKWNRIMGLNVE SNYVSHLLERMILLLKNSVTSERHLLYHIASGLEKMLENLGKISKKQPRQTQEMGIGV SPDSVISFGPMGYGPSPGHQAPAIENSNNDFATDESVAWDPYTTGVQGPRHNFLDDLA IMNDSLIYEAFGSDSANDVYNLLTSQFSY PFICI_14371 MASTGQRPADDSAPSYSEIVASWSPEERAAREKKLIRKIDLRLL PILILMYIMNYIDRNALPQAKVQGLVADIGLVGVEYNIVLSLTFIGYILMQVPSNMIL GLTRPSIYLSVVMILWGIVSGCSGAVHNFAGMAACRFFLGITEAPFFAGVAFLFSGWY TRAELGLRLGIFFCAAMLSGAFGGLFAAGIAAAFQGNAIASWRWLFIIEGAATVVFAC ATAFVIPDWPATTKWLTEEEKALGVVRLIEDAGEEDSEIKTWHAFKMAAKDFRIWLCI ANQMCLQAVASLTNFLPTLVKNFGFSTIHTLLLTAPPYLFTACFCLFNTWYSDKTSQR SPHIVYPTIVAIAGIIITVATTNIGARYFALFLMLPGTYGCFQISNAWMANIAARPQK KRAIGLAMNNSIGNLALVWTPYLYPDSAGPRYTTAWGVNLALCVVTLVSTLLLSYYLK RDNKKMEQLEADGYTLEEGDVKGKSKAAAHHHEHPTAGRPLGGAGTGKLAKYQT PFICI_14372 MTSLSTKLADLEAAGKPIQVGIIGAGKFGSMFIAQSHRTVGMRL AGIADLSSDRAFASLKRTNFPTDKYDTTVNASISEGVKAGKTVVTTDSAALIATPEID VILEVTGNPAAGIRHALLCCEHKKHIVMINVEADVLAGPLLARKAKEAGIIYSMAYGD QPALIAEMVDWARTAGFDVVCAGKGTKHLPQYHYSNPDTVWDYYGFTKEQLESGDFNP QMFNSFLDGTKSALEMAAVANGCDLSPPSEGLQFPPCGKWDLPEVLKPEADGGQLKKK GTVEVVSCMEKDGRWVFNDLRWGVYVIIEAASQYQMDCFKQYGLKTDSTGKYAAQYKP YHLIGLELGVSIATIMCRGEPTGQTKTWAGDVVATAKRDLKAGETLDGEGGFTVYGKL MTAEDSMAISGLPIGLAHGFVLKKDITKDQGLSWDDVEYSDKSQAVAVRKEMEAIFKK EFSLKSSKSNGVNGVH PFICI_14373 MDEKDAPVEAGQLWGNGSATTVATCGWESITVGTTANNSSVELG SLGRNQTIRQVWDDEFSAVGSEPKKGTHVIRSGLCKDIAEQSSIPSEPVSETPNRVQV WSSKLRHGPCNTYGRLFTIMIVGNVLPLLVGVILDFQEVAEIQAILEKSATICIHMAS ANAMVCTLARSPVVINALFVVCGAIPRSSPLWLRRRLCKIFHIGGVHSGTGIAACMWT MIFVIVFAFTKPWVSPRPTFILAMAVVVTALLIAIIVVAIPSIRRRCHDTFEMTHRFA SWLTLAFLWALLLTQAYDEVVLDQMSSIGTYLLTFPTFWFLLVSSMAAMWPWTMLRKV DVTPEYLSPHAIRLHFSHRQARWGRGLSLARHPLRDWHSFATFTDQLDTPESKFSCLV SNAGDWTRSVIEARPTKLWIRAVPVCGLAYAMKVFSRLVVVTTGSGIGPCLSFIGYKD MPPLRVVWQSRCPLQTYGQRTLDLVKRMDPNPLIIDTSQGGRRDMLPEVLNMVRDFEA EAVIVISNPGFTEKIVYDLESKGIPAYGPIFDS PFICI_14374 MKSTTEVTAPTEDLVSFAFNKQNTFDDSKPLYISADDPQQSLSY EQALRYIRQLISGLKAFGLADGDTVLVHLFNNYAYAPILLAIVGAGGVICATNPTLKS ELVNIFDIARPTFVITTSDLLINLQKWVPGISLDQVLIFDNLMSPRCHGSAPNSGPGQ TSLDPSLLSHGERDWKRLHTEEQMRKTPASYFLTSGTTGCPKLATLSHYSMVSHFIQI HQEVPYDVVRLCCLPLFHIFGSAWVMALTIRHGQPVYVMPRFAMDKYLEYVENFQITE SCLAPPIVEQLNNLDPPPKSQLASLRFVTVGGAPITAATMCTFRSMLHDAATLSGCYG ATEMGTISMFRYGEEVDYGSVGRPLPGAKIDLRPIEARQIGLTKGSAGTEAWSEIVVS VPSHMERYHGEFDNSSVNVQWYRTGDLGRMDKDKLYIVGKAKDIMKVNGFQVSPTEIE AVLLRHPDIVDCAVSKVVHNEAEMPRAYVVRRNQTLSEDDVVDFSRRQLISYKALTGG VAFVQRIPRLASGKIQRYKLVDMPLDTEPDRHTEEDEGEEGEIEEEEEEEEEETGAGQ TCARSTRILRNLVRRAGLSGSWVIRRLASERWLSNEHWGQWSAPSIGLLSCAIGIGIW YMRAFGQEDSRRES PFICI_14375 MHINSLLSLGLLALTARAQRMTWNDGSQYANKCGQTTWEPITDG PSQALASDCAEIVNKLNAAPAGGAFFSGVKDFKAILNEGTCTFAVRGKDNGGFYMGRD DMMDLIRDGMARWTRNGKVAGGGRLRCGEMDAQWRIHVNV PFICI_14376 MPRKTVLVIGANGYIGAAVCRAFVRAGYIVFGLVRRQEAAGTLA LNETIPIVGEITDAEGIQAALTSYSKTFDVIVGCIEPPDYETYIKHAIAAIRLIAKVS NAHQVRPLVLWSSGCKDYGTTDVDGAPGLRPHTETSPLNPPGLMVARANCSVKMLEQT DLFDAAVLRPTNVYGHSSSYFGVIFEFAAAIAATGTKVLTLRNINPNSIMHALHVDDC AEAYLALAEHEDRSAVAGQCYNISAAKYETAGQVLKAVAKEYGFSEGSKFESGVDTTP ADESLAPIFGFSQWVGSEKIRGLTGWSDRQALFTENLGVYRRSYDAAVASKHEDLSRV QSRVKSWQGKVLWKA PFICI_14377 MPAADAATEGGHSSGPERPADETPSATAPEPASNSTTSAGSSRP VKRKAPRTEKDEPLDKSSGASAGGTGQKRRRRVFSCQSCQRLKCRCEYDPGSQACHRC VTLRIECSLKGEILDVPTHPKPVDNSTSIEERLGRHEKSLAEIKGMIELVTRQFGLAG TANDLHKSKSSATDADDDSTDPPENDDSPENIADPVDVGIKSAPTVVLREIGEHVTQG YRRLEHVKLDLMQLQLLNEQTANELIRLFLRHHGHLLSVYEPSETPGRDLRELSAFLH SVCCLCAILYREDLCGTPMHRQVYEQVRITLGQALLSSPLNLEEINAILVMSDHADGP EYIDSWLLTGYCVKQAMLSISFSKIVTNIRRGVSTVQDRHAIHLWSTICLHHLHWSAT TGRPSVLHVPYINQCNILLSFYQASMQDGMLVAEILLYSVLHQKLSRRSYLDTNGECE DFKAWKQKWNHLMSLSTASMLRIGYYAAYLILSVRALEERGDAMSPKTFLANPPFGSP ANSTSNASDTKAAKNSTQMLQYHTSRYAHSVLETFVDMPSFLMDTIPTYLCLVIGYSA LILAHYDESQSKVSADVSIGLISRLEDWCMRTPSKSWAIKFATLARQKVESRTGATRP QQDIRKPAPSEQGRRHPPNWTPSDLPVPTFPNGTDNGATPSSLSDQRTYDNEDFVSGT DTFPMASDPLQMGYEISQPVIPSMEDFFGGGFLDFMRQARG PFICI_14378 MLAFAKSTLRLHLTCAFFAIGSFVWGYHVGVLSSVLVHPGFTDA LGSPTAAQKGLVTAIYYLGTWFSYIFASSPLADALGRRYAALAGTAIVAVGTGFEAGA SVPSAYAMFIIGRIISGIGVAILSTSVPLYQSEVSPAVQRGRYVVLNHIGFVVGLAAG FWVGYAVTFWQNDAHQVYVSWRVSIAVVLVPCVIFACGLPFLPETPRWLVDHGHYHRA QWSLHWFREGSYTDEEIKAEFDKIQISVQDQRDSKPIWLSLFTHRDLFDRLWRASLLQ FMSQMCGATAMKYYLPTLLGKLGVPTRITLLIGGIESTSKIAMTVVEMLIIDKVGRRT TLVAGSTAMGIGMLMNAVLGEIYPENQNRAADVACIVFIFVYALGYSMGFGPASWVYG SEIFPTAVRARGLNFSASGGAIGSIVVAQVWPVGIERIGSRIYFFFFAINVICVPIIF LFYPETKGRALEDIDGLFSKVLNRQEHNGNQGQSEIAITQERDDGSSIS PFICI_14379 MTTFIVAFSAVFGTAEAIRHTQSRARRDEHRSRKNNLIVHCPKS SAHSRILEGRRIVLSGEKLYIDTGLAHDVPFGYPFAGYFLAFPETKYSGLVSQICDEP PIMNWVYVDRDTYEVKFGTRPYAEPNYTGPYDCTRQDRRLTFGGWEGFLAVKEGDFWA LYFDLEQDGLKSKLQEEGTPVLEVELLRTEMRVQPPKLSEEPDKGQQADAATAQEDAH DKHPRAQTGSSEENTSAEEHDAVLESPDVD PFICI_14380 MSSPVKNDPLAPTKTNSDASKLGSHTSDTGKLGSFGGDHGHGAA STHGASLPMPGLSGKANGPVGNLLRGPVDDNGKLKNAALMVGVKLDLEAEVHLTARVR GDILVGLY PFICI_14381 MSTPRSASRGVGSSGDLDGAGGSSTPALDNTNSPYGTAPQPTYA AFSSSNLSTAKRQSTVLVHQKSPLLLATPPQVTRALAYSHPFLLPLNKLVGLLSWTTG DPWESFLLLVVFWATVQYGDTVLRWAGPIITVIGIIAGMYGRRYSPLSSSGWADHPSW TSNNSTASKKAKGSTSAPNGSGGGGGGGNAGAHQRNTSEVTSTRHQKTLDEIVETLKV FTTRCNILLEPLLVMTDFLSTQRTATSATTRPALTTLFVRILAITPFWIALTLPPWRI ITTKRVVIVFGTMVLTWHSKVSRVTRTLLWRSAAVRKMARFVTGLEFEGPKKPTPAGQ QEKKVGSLQKSQSHSALTAALRRNLGSYSGKETGVKFTFIIYENQRRWVGLGWTNSLF AYERSAWTDEHNNAVPPKDQFELPEVDDGVAKWRWAKNSMWRVDGVGDVKEESEYDGE AGKNGWIYYDNKWNGGRRGQDGWGKWTRRRKWYRDAELVEIDKDAVEQEQSATDEAAG GAYKPSASPSPPKLPPRTNASAQQAVEASNVYSSSLTNSIRSTNSDPFQDEDADSVSV LSTSSKSNSRWRFSGVGSSAASKPPPPRRRKTGESTTTSEKRKSRRTSEAGSIRDSEG NLEPALELEIHGSRREQESWGIGDDVAMGLE PFICI_14382 MNQKVKADQAQQQLLDKQLQTLTQERAKQRDDVMRKHMQLTAGV FDVDQYTKLDEAKNKQLDEINAVFENKERELKQVYSPAPLVPDTHKEKQVLAPPVPAY NPRSDQHPSQASHVPEAQKVTEAAAIASSVPPSLPLVLTNLPSHQQFLDGQHRLMVTF NVRGLPRHQKRRASSAEPASPDQAKRFRHASEGFAQSRGPSQSKGATITYEQVRRRAT QDGIWDTIVKWPNYSQDFYVLYCEEHGLNFKQSAVAAAAKHMNGALHKSENRDWERAV KTFGHLITDCNELLQKQHNTEVEQAFKRGYVPQNKLLHGSAANGKKVSYPFNNDKVKL NPSSQRVDQESSPMTYPSQRNASKSKAKIIDPPLPKTRCIADIKPFHIYNCQYVEGED DEDGNGPTTIWPVVVLGWDDLTPGAMRVGSLVETGLLDQDAQPPGCYVYSDRKDKILG WKMGTDGKPRHRDHQKKYPVMFFDDQFSYAWVSATDLSKLRLDSKSAPKMKGYKEKAF NQAREFIARREGYDCWQARETARIEGKLVTWYPGMPTPASSPSVWPDEENAYCTQEMQ YSLSEAADETTTNVATSTIGESHHPNDMSASRQLTREVTPLDFPRNMSPDPRFLVQDA KMGDGGGEKQVAGQQEASLPMFELHPLGRKLTAKRTTKRQQTLDSVSTPNSSHDTSPA GTPTPIETPRVPPIKTVAEGRAAVHNPDANPLFELSSYHANDGVQWSRENAETDGIKL VQADLPNKLRSSGEYGIEVEIDAAVWECLETQRIPGNTQITLRKDDRAVRLIFDRKSR DLSTPHGKRQARDFIDWVRSKRLELGLQLQTRSC PFICI_14383 MVAVRTIDLPFLGIRAGYALDDKTFDPAKPTCVLVNSMCMTSAL FRPQFEDETLTKFMNLVAVEPLGHGATTCASQQFTYWDSARMALLVLDHLKIDKFFAL GTSQGGWLVTRLALLAPDRIQGLLLLGTSMDCESADSRTKGCWDPVPFFKPFLQSWTS AEPTPTFSPSQDWLGACTGLGFGSAGSAENTEFWNRTISEVYKGDEGRQKLKMATICL AERDSLLLRLEYITCPVHWLQGSEDAVYSTQIATEQIALFTSSSDAHVSFVEGGAHYL SASNPVEVNQALLALVQKSL PFICI_14384 MAQPYSNQLALEALDNITKDLRAKVTDDTRKHAAQRLRELVAST CRDQPGDTFTAFYNQVNGKITSLVHSNISADRLGGVYAMDALADFDGVDVTLKYTRFT NNLKGILRGQDIVPMQPAAVALGKLCRPGGSLISELVESEVKTSLEWLQSERVEEKRY SAVLVLRELARNAPTLMYSYVSLIFDLIWVGLRDSRQLIRATSGETVSACFRIIRERD QEMKQAWMTKMYSELIVGLRINTVESIHGSLLVLRELLEQGGMFMQDHYQDACEIVFR HKDHKDPIIRKTVVLLIPNLANYAPADFAHSYLHKFMLYLSGMLKKDKERNDAFLAIG NIANSVKSAIAPYLDGVLIYVREGLSIQSRRRGSVDPVFDCISRLAVAVGQTLSKYME ALLDPIFACELTPKLTQALVDMAFYIPPVKATIQERLLDMLSKVLCGEPFKPLGAPTP NTLSSVPIIPKDTKDPHAYEHRKAEIKLALNTLGSFDFSGHVLNEFVRDVAIKYVEDD DPQIREAAALTCCQLYVRDPIVNQTSYHALQVVGDVIEKLLTVGVADPEADIRRVVLA ALDERFDHHLSKAENIRTLFFALNDEDFSIREVAISIIGRLARYNPAYVIPSLRKTLI QMLTELEYTDVARNKEESAKLLSLLVQNAQALIKPYVEPIMAVLLPKARDPSSAVAAT ILKAIGELCTVGGEDMLKHKDELMPIIIDALQDQSSTPKRLAALHTLGQLASNSGYVI DPYLEYPQLLELLQNIIRAEPQRGPLRQETIKLMGILGALDPYRHQQVEERTPDAAKN AESTRMTDISLMMTGLTPSSKEYYPTVAINALLNILKDQSLQQHHASVIEAIMAIFRT LGLECVSFLDRIIPAFLQVIKTSPLNRLESYFNQLATLVSIVRQHIRNFLPEIVGTLR EYWGRASGSLQSTILSLVEAISRSLEGEFKVYLAGLLPMMLSVLDKDASTKRIPSERV LHAFLVFGASAEEYMHLIVPVIVQTFEKPGQPTFLRKSAIETIGKISRQVNLNDYAAK IIHPLARVLSTSGESSLRLAALDTLCALIQQLGKDYLHFMGTVNKVLQMYPIQHQNYD LLISKLQKGEVLPQDLSSQAGFADQPDEPSFAELGGKKLEMNAIHLKSAWDTKGKSTK EDWQEWLRRFSTTLLTESPNHALRACAVLASVYLPLARELFNSAFVSCWSELYEQFQD ELIANIENAIRSENVPPDLLGLLLNLAEFMEHDDKALPIDIRVLGREAARCHAYAKAL HYKELEFLQDQSGPAVEALIVINNQLQQYDAAIGILRKAQLYKDGIQLRETWFEKLER WDEALAFYNKREKEVPPDQAVPIDIVMGKMRCLHALGEWDALANIAGNAWSNSAPEIQ RRIAGLATAAAWGQGKWDSMDKYLQSMKHQSPDRSFFGAILALHRNQFQKAADCIQQT REGLDTELSALVSESYNRAYQVVVRVQMLAELEELIVYKQADHEKKDTIRRTWEARLK GCQRNVEVWSRMLRLRNLVITPQENMNMWIKFANLCRKSNRMGLAEKSLMQLIGIEQP LETVIPYWGDAELGMSRQPGRPHVGHGIPPQVIYAVLKYQWELGQGPKLRNTGYDEKI LACLRQFTEDTALAFEMNRTSIAAELPNMQNGQNLDMHLAMHSRKAPQHALEALQEQT ELLAKCYLRQGEWQVALNKNAWQYSNVQDILAAYSQATKYSPKSYKAWHAWALANFEI VQALTASQNDRTIPRPDAAVIVNHVVPAVQGFFKSIALSSGSSLQDTLRLLTLWFAHG GSNEVNSAVVSGFATVSVDTWLEVIPQLIARINQRNVRVRQSIHNLLADVGRNHPQAL VYPLTVAMKSSQSSRRSQSAAQIMDSMRAHSPKLVEQADIVSHELIRVAVLWHEQWHE GLEEASRLYFGDHNIQGMFATLGPLHDQLEAGPETLREVSFAQTFGRDLAEARDWCRQ YEQSQDVNDLNQAWDLYYQVFRRIGRQLPQMTSLELMYCSPKLQHSNNLDLAVPGTYK SGAPVVRIIKFDSTFSVINSKQRPRKLNVTGSDGTSYAFLLKGHEDIRQDERCMQLFG LCNTLLANDSECYKRHLGIQRYPAIPLSQNSGLLGWVPNSDTLHVLIREYRESRKILL NIEHRIMLQMAPDYDNLTLMQKVEVFGYALDNTTGQDLYRVLWLKSKSSEAWLERRTN YTRSLGVMSIVGYILGLGDRHPSNLMLDRITGKIIHIDFGDCFEVACKRDKYPEQVPF RLTRMLTYAMEVSNIEGSFRITCEHVMRVLRENKESVMAVLEAFIHDPLLTWRLTKTA SPAGPNFTSDNTHGPRARRPSILDAEHPPSEYLAAQQHAPEASVTAGGPPRPRARTNS SAMPNGIDGAMEADPQNARALEVLDRVSQKLHGRDFKPGEELEVNAQVNKLIMEATRL ENLCQHYIGWCSFW PFICI_14385 MGNDGGSIPKRRELVKEAARAPTATEIKETLLESLTHLWNFCPL SSLPLDYSNTVSDGRGRLYNYESILQCLLPSEDGSVPEAQLDAYRESGIKSLKDVVRV KFTVRKDEKGHEFRACPVSLKELGASTKATYVVPCGHAFAEVAMKEISGSEAKDAEEQ HCPECSEAFEESNLIPILPTSEDENARLRQRLESLKAKGLSHSLKKDKSKDKKKRKAE DGTNGDAEDGAKSKKEKKKDRGANGIDSRINNAMTASLTARVLAEQEEKNKRRKIDES LKREVVR PFICI_14386 MKQAQALVLLGTAAVNSGLVAAGSVPRGVGPEFVRFYELDKFTC IGHPSITISKSRVNDNTCDCPDGSDEPGTAACAYLDHLSPSQPLPASLSGSTNTTNAL PGFWCANEGHFGAYVPFMYVNDGVCDYDLCCDGSEEYTNVGGVKCQNRCAEIGKEWRR VDKERKDNLEKANKKRRTMAKESKELRRQVEAKIEKLSAEIKELEHKRDDLKKKYDEV ERSERGKVVKDAGTGKLGQLVVLARGRINELRDALGLVINQRDELKVKVAELEGILAA FKEEYNPNFNDEGVKKAVRAFEDYAAKVVDKADDATEDDVTEALKEDSESNGINWAEY DDVEVTDTDILYNLEAYLPDPVRDFLHGKINSLRIWLIENGMLADSPAGKGESRLVAA AREAYQSVESDIKGKQREADDKKKDLDKDYGRDDIFRYLKDKCISTDSGEYTYELCWL GKTSQKSKKGGGSTNMGNFDRIDFEESDEEERHDGKGLGRGKRVVLRYENGQHCWNGP NRRTDVWLGCAETEELWRVSEQEKCVYKMEVGTPAACEEAVEPGTRGRDEL PFICI_14387 MALDQYTYVFVIGTLFAMLDAYNNGANDVANAWATSVSSRSISY RQAMVLGTIFELLGAILVGARTADTIKNNIIPNTAFRGDAGVQMLAFTCALAAASTWV MWCTRHSAHVSSTYSLISAVAGVGVATVGASQVQWGWYDGKGLGAIFAGLFMAPAISA GFAATIFMLIKVVVHMRKNPVPWSVWASPFFFLIAGTICTLSIVYKGSPNLGLNKKPS WYIAAVVVGVGAGLFVLSAIFFVPYVYAKVINKDHSVKWWMFIYGPALFKRPIVEGAE QAKVPNYAVVQEDEEHSSAHSAKSSAESAEGVMVNDDNEKKLVQSEAKQLTYKELAEA GERKHKAKLLKKRGPMGWAMRTLRDNPMGPGEIYELQNIKILLKRIPAMITCGALYGF HYDIHAAQTGVEGTPEGARMQRVYSYAEKYPNEVEHSFSFIQVITACTASFAHGANDI GNSVGPWAVIYSAWSTGSAAASKASVPVWQLAVLAIMISLGLITYGYNIMKVMGNKLT YHSPSRGSSMEMGAAITVLIFSQFSLPVSTSMCITGATVGVGLCNGTFKAVNWQRVGL LVLSWIMTIPIAGTLGGVLMGLFLNAPHFSS PFICI_14388 MPISKKDRRAREQKAGDKAGTRAAVKANGLPVKAPKPTSICQNC RKEIVNTNKIQLEVHAGTHDPKLWPKEKCWPNDF PFICI_14389 MKHVPCDIILVIDVSYSMSGAAPVVGFDEQGKVTREHPGLSVLD IAKHAALTVLETLDDSDRLGIVKFSDTAEIVQDLQVMNPDNKTAADGCIRALQVENST NLWQGIVEGIKLLKGQEDSGRNPAILLLTDGQPNGHVPLQGYIGGIQSLLPLPAAIHT FGFGNDIKSDLLKSIAEIGGGNYSFIPDAGMVGTVFVNAVAYLQSTFANRCELSLTYG ENLCFQHGLMEETTGSKEVDNLTTLTSRLTLGSDKLKKKRLTIPLGNIHYGQSRMVYF NSDALDWSKMSPEELEMTVELRYSRMSSTQFVVAAQCNGLETILLPDWEVSYHQSRAM SCKYLSSFFPFEGNGERKAIDLLARHIVSSRERFSALSKIIPAKDFDDAYNKSLIQDL EGQIKLAVFNDKYLGTWGLHFFLSLWDAHAKQMRNTFKDPGVQLYGVDSPLFLECQKR LAFAFDTSVRPPEPSLKLEADGKYSDGRVTVSMSWYNRSDNPCFSASSMLTLANGQDI PVSILRKGFVLQTAAGSRKVAVVLETRVREVIMCRVNKTLLITPWHPIIHKGSWTFPA TVATGAVVYSGSVYSILLEPDTDAQAHTLRIGGVWATTLGHGVTSGRDARAHRFLGNY ARVSKALAILGISPDGVAVSSGVIRDKRSKRLTGFKNICPSSAQLEA PFICI_14390 MSEHANIAASVDDDDECRDATIMTTAGPAMNFDWTRDPPPANLT PFQEFVRHVDWEASSIGPMATWPSELKQVLRLMMADTEPHILYWGPKFTIIYNEAYIP LVGAKHPAMQGKDAADVFPEFWPYFDKLLTRQRLTAETLSGEADMFLMERHGFLEETY FDWKLVPVICDDGQVKGAYGCPSDLTLDIITARRVDCRKQIAKQITKTKSLKELWDVT LSGLRIDEKDTPFVLLYSVKEQTGLAAPPSRPHYTCRLEGSIGVDSDHVAAKQYIDAQ YDIDGFAPQILSAFKAEETLVLKTTDSSLEPLLSGIRWKGYGSPSHELVILPLKSDDE IVAFFIFGLNPYRRYNQLYEDFLRSITEVMRPHIARIKLSEEVQRRAEIARKATSDFQ KSEQRFENFAARSTIGLAVAGLDRNIMYANDAWYKFAGLDPTRNDYDDWLATIVEEDL PLVKEWWNKVLVEKKAGQFQYRCKIPFRQGHMYSDYKTAICAVYPDLNESQEIESVMG LVVDISELKWNEEQLSDRSKAFEASESKWRNYAENCPLGIVRTDGEGHVQYGNDAWHA YYGFTRGQVTGAQPWLPFIADNCLKRWDECFRSFSKKPGPRTMELKLKNRVYSVQEGD HSIENGVYILITGFSEFKNDGTVDHIDFWVTGDISAQKMAAKILTDKMDEAIRLKTHQ ERFIDMISHEIRNPLSAVLHCGEEVVGAMKASSKVLKAALADASGPPQNEHTVISQHI ENALDAANTIMYCVQHQKQIVDDVLTLSKLDADLLVVSPVPVQPMDLVRTALKVFQPE LKMTGITLSVIEDRSLRELQVNWVLLDPNRFLQIVINLVTNAIKFTRTSTAKEIVITV GASPSQLSSDSLGVNYVPQRYEPAKAASPTDDVCRDTVSRGEMYLYFKVSDTGKGLTA DEMALLFNRFAQASPRTHIEYGGSGLGLFISRQITEMLGGKIGMSSSGRTGSTFAFYV KTPRTGPPRRPSISNEPIIELTRTLSLTSMTSPLVVPPPSRQEKGAAAIDVALVAPAA KIEAPATCRYVLVVEDNLVNQKVLCKLLRTRGFVVQAANHGQEALAAIRMLPAKEKKD SFDVILCDIEMPIMGGIEFAEEVRSQEVSGKMLGHVPIIGVTANVRNEQVSAAIEAGM DGVTTKPYRIDDLIAHINRVCNAGACAPVQSAVNVRL PFICI_14391 MNRFKTKKKTKDEMPSRPSQDSDSFGFRFRKGKKNQEEQKAEID LATALPPTDDFRTSLLMSGLSARFSMLREQDDPNTKIGKASDDSVLFPKRQSRMADLG GYNGLSDIAEVESIKSPFARIDSYAASDADSINGSIMNRSKPIEGNVLFGGRQKIYKI AAGATSTRTVEGAMSGRALYDDDVALSAFQKWRKEEKELKSLEGEDNGSRRPSTEDQD TRPESPFSNDYNRKRETTSTTSSIPSLARNSTAATSVTSQQPASVKDWQAASGTASAS ATSTPPVERSTTRTRRLYETGLNNDLHEQQSSALSRIDTLTRRRDLGSRTPDPSQTPS PTAIGFMDRFGDRRILAKASAPNLRSMSPASRSSAGTPDLGIRVPTVAEAKPSYVGTP PLSPPISEADELSALPIQPNDRGKATALGVFAKPAQPYDESRFAERQLQLQQGRETPT QRFRAESGASFTGRSRSSSSANRQPYEPKTETFRAQSPLQEEEMRPQTFFSEHDEDAD ESPVIPSQPTLEPLPSRSQRPADSEHPAFRSATLPTPLSMASKPSGEPSPTVELEYAL PSQEKPSPPDSPTLGPAVSAAAGLSGMVRQHLRADSGASSIYGGTTHHLDSNLKTSFP TQPEEYSSPSNHWEQEESSSVWSPPHHGPESVRILDGQSSVRANNAGQFEDQPDPVYW QDGRESDEFASQLADGARRIRERLTTYVESDSRPSSPHAAQESREMPTSSRSNTLGIL QAKSSRGSLADRSRDPAAHSKAMKMLGISDSGPSVLQRKASFERPEVLNATHAGDRHP QPVSTAFGGAAETNTNDNPPEEQNNGIRAFRQARRELQKLRELETQQRHHAQPQTSLP EPPAPRAPPPRNVEQRQRSDSRERRPPPVAYRTRAPSEDSHTTNSRSASQEPSRGDRD RSGSNSSGGERPHSRSHVRPPMRLRSGSSAPNDRNLGPQGITSSMTRSPGLPGTNIRR SPIMPPQGYPGGQTARANQGYFSPNGNLNVQPSHGFESNQPSPISPIPSPLAHSAPAT PGGLASPQRPPLAPTPHVNSDGSEVPGLNEAMKRPVKKRDISEPTFVMSTSRVPTVEL PPEAATNRSRSNSRSRSNSKADSYAAPPLPPINPRRRQDTLKSRTVFGNLTGRSGETS DTANLGRSSTMPMPMMGSEDNRGAYDANNEEYRPERRPLRKMPDDVQPVGPMMRAPNN NNAPAYGPPASRMVVTQNSGSRGMGVPGGMI PFICI_14392 MAFIRRYQETDSEACAHICRATLPLSLSSSPVATKLSPYLWTHQ YTFLSPSTCWVLDDGSGKAVGYCIGCPDIHAFAAAYPSYVTSVLTPTVAAPSNLETRE PWTIMDDSLLGLTAPGTTTGDGHKVNVVAMAQTAYNVQWLLFHGNEHLTDKWRATMHI DLLETWQGKGWGPKLIDAFVKSVRDSSQQYGQGIWIGIAAENEKVVTFYERVGFQRIN KPHDQSGGGDGINMVKNIPSVH PFICI_14393 MMQVAQVTAWTEKPRCATVPKPPAPQDSEIQLRVLAAGAHQVVR SRAAGRHYSAKTLPHLPGVDGVGRDESTGSIYYFMHISDHFGTFAEYVNVPRSSLVAL PSGTDPVSFAASVNPAMSSWMAITQRTSHLAADWTALIVGATSASGRLAVHSAKALGA AKVIGVARDETALRAVEALDDYIVLGDKMTDTDFSAVEPDVILDYVYGDLAHHLLTSL RTKKAVQYIQIGTLSGKADMSLPGPLLRSTNLTIRGAGPGSWSMSALASELQKLVPLA AKWPAPATTQIPLADIENSWDDKSIKGRLVFVP PFICI_14394 MGSGSVVPEGGRGEASEALDQPPNAWERLGQFYNRNLGLFLVFL AEVFASLMTTTTRLLETGFTTKFHALQIIFVRMLATAILGSLYMWIKKVPDFPLGNPQ IRGLLVLRGFAGFIGLFCSYYSLSYLNLSDSTVISFIVPTLTAFMCFVVLKEPFTVQE ALAGVIAFIGVLFIARPPFIFPVSGDGDAANSLGTMADADGPSGIVPAVPATPAERSL AVLLGVIGSFGAATAYSTIRVIGTRAHSLVSVNYFAVVATVGSCLIILIHPDLEFKTP ENATQWALLVAIGIAGFLLQFLITEGLQREKGGRATNLIYTQLVFALILEKIVWGTTP SGLSLIGALLIIGAAIWVSLQKSKKSTGQVRRPSVDEESSLLGNSGDSGSRRV PFICI_14395 MSIVGGTGFMNYDRTAQATGAPLASPAVHDAVAEDGGNPLDKTV SRGSTRPHAQSGAQVDGVSTPDSDATQQGDDSELERRHSIVHSLARRYTTQSNAGAQG GNPFHADESSPLNPASKNFSARAWAKAIVDMDTAGFRSAGICFQNVNVHGFGQATDYQ KDVANVWLDAAGLVRKAFGLSKPRKIDILRDFDGLVRKGEMLVVLGPPGSGCSTFLKT IAGETNGIYVDENSYFNYQGVTAKEMHSHHKGEAIYTAEVDVHFPMLSVGDTLTFAAQ ARAPRELPEGVDKMTYSNHLRDVTMAMFGISHTINTRVGNEYVRGVSGGERKRVTISE AALSRAPLQCWDNSTRGLDSANAVEFCKTLRLQTELFGATACVSIYQAPQSAYDLFDK ALVLYEGRQIFFGRADEAKQYFVNLGFECPARQTAPDFLTSMTSPQERIVREGFQGKA PRTPDEFAAAWKNSAEYRKLQADIEAYKQEHPLNGPDAEAFRANKRQAQAKAQRLKSP YTLSYMQQVKLCLWRGFRRLIGDPSLTLGSLIGNFIMALIIGSVFYNLQPTTGSFFQR GALLFFACLTNAFASALEILTLYAQRPIVEKQSRYAMYHPSAEAVASMLTDMPYKVMN TIVYNLTLYFLTNLRREPGAFFFFLLISFTVVLAMSMIFRTIASASRTLSQAMVPAAI LILALVIFTGFVIPISYMLDWCRWINYLDPLAYAFESLMANEFHGRQFECTDYVPSAA VPGYENVGSLNHVCSAVASVAGSAYVDGDAYINSAFQYYNENRWRNWGITIAFILFFL GTYMVSAELVSEKKSKGEVLVFRRGYKPAQFKERKDDAEEGMARVGPIATNERATAGT GEKATGGALQGSTSVFHWNNVCYDIKIKGEPRRILDNVDGWVKPGTLTALMGVSGAGK TTLLDALASRITMGVITGEMLVDGRLRDTSFQRKTGYVQQQDLHLATTTVREALNFSA LLRQPADVPKAEKLAYVDEVIKLLDMEEYADAVVGVLGEGLNVEQRKRLTIGVELAAK PPLLLFVDEPTSGLDSQTSWAILDLLEKLTKAGQAILCTIHQPSAMLFQRFDRLLFLA KGGRTVYFGDIGENSKGMISYFESHGAPPCPADANPAEWMLDAIGAAPGSHTDIDWFQ TWRDSTNYRDVQAELQRLKDDAPEVPPPTEDKGSYREFAASFWTQLTEVSYRVFQQYW RTPSYIYSKAALCILVALFIGFVFFDAPNSIQGLQNQMFAIFNLLTVFGQLVQQTMPH FVVQRSLYEVRERPSKVYGWKVFMLSQIIVELPWNTLMAVFMFVCWYYPVGLNNNAIA AGQEHERGALMFLLLWMFLLFTSTFTDMIIAAFETAEAGGNIANLLFMLCLIFCGVLA SPSTFPRFWIFMYRVSPFSYLISAMLSTAVANTEVVCASNEYVSFEPPSGSTCIDYLG PYIAAVGGYLQDDNATDTCSYCTIRDTNVFLASVQSYYSDRWRNFGILWAFIIFNVFG ALFLYWLTRVPKKGKKTEQPKKSTKEQVPTLGTSAPAEKTAESSGVSTKAQS PFICI_14396 MSMDINPSKDPVLFSSESRVSVVIGVAVALMLGATTAVILRLYT RKIILNQLGLDDWLSIGALFFTLATGVSQCYMTRNGLGRHIGTLPQPDGFKIYMKNFY VVLIWYNIATMLIKMTFLTQYYRVLPTTSVRKLCIVAMVIVGSWSLSQILLTIFLCVP ISGFWDSSVEASCIPTPAEWYQNAAGNIVTDVAIFCLPFPVLGRLNLPRAQKYVLLGI FSLGFFTCTISVVRIKFLHLEVDVTWENAEASLWSLCEICSGTICSCLPCLRPLASRW FPVFSTHRSHGYTRQSDSYARNLKPPGDLEAGPLRGTAVTSRPSGSDSDLIFGLEDYK LGTITSAHNIERAPGLSSTNPVKHAATTTASAMAVGAASNVETPWTAQAGPDKTWKQP IIAARIQAGSSNTLVANGNGALPSQHGIQVQHDITVQTSNW PFICI_14397 MSAETDALSPSSPPPICRIEDTHVSNFTVSGKAIVRWELDGVQN DQYLFHPDPKNRSITFEVCVENDASQLLAFFRLRVPVRLKQKDTILYIHIPPDHIASL SWSLQCEASSSVQAKLSSSITSLHFNLSQPAHLIVPAQWTVLHPRRALSADIIKALES LATSRRLTIYLEHATLSKSRLQAITNIVQSRSSRPVPSYCHLHRLYEGTGGKRHLPID ENNVSTDSEAAPETQGESPPAYNEIGPGPPMPPTTPDCPWAINSAKGHGKRHRQSGSA DTDGGESESPSNRPSKRGSGEQDSGSAARSTGDGNDLLQLCQRLFVELADVKQVAARQ EKTIQELQDKVAILEEQARAREDKVSRLQAEARTQQDDILVLEQRLDKGDAAVVDLDA TVVQHDDTLAELEQSVAALQEYCDDFAVRRVPEMDELRDELRAEVMGRLRSALESP PFICI_14398 MQTLRQPRSDTDEQQDIGISLACLRCRKRKIKCDRKNPCAKCRQ AGAECDRGPGEKLRPTPKSYVQALESQVASLEILLRAVADADNDQRASMLAEYGSDTT ASALPSLEPTIGVRPLENSDTRDGDLARARAKMGQLRRLVGGGAAQFYGGTSLLQISL SGESQQQPDSTETRSTSQDTSDIESQAAYSSAGGSLGSPSAQMCYYEPHNAVAQELMV AFFKHQYPFNMSIHREYFIREYHEFTGRRYSDVLICAICATGALATDDVAKRSLAEVY LQRGQQLVQASLDHPDITLLQALLLLGQCEIGRGRTSRGWLLCGMAFRLTHEMGLHLD PSNWVVTPAASAATLDNEGEEDIDREIFRRVYWAAFALDKQLSLFFGRPPALHTYESD VRNPVRLPYPPNWKSLLDSCGIHDNSVEDGVTHVEAFIHQAELSKILHRMITGLFENR RSNPDDAMVVAMVQQINVSLNRWLMTLPSRLHWNQWSIGQIPASVIHLHFLFHTAMIT LHRPPRNLWSKPGVSTSDDVEICYESATAILRLIRSYKKYYQLCFLPLDFAYTLSTTA GVVLMRRHFENLAWDHPDIARQLSQLLQAMDEIKGVWPCIVEVKDSILRARDKQGMIT AQPNASQNSYFIPHFFNINDYS PFICI_14399 MSTSPSRVSALKSATKVLSSLQGGSGESLSESERVSALAEATKL VAALENPEDASLKFAYMPGAWMAIRTLVDLKVFHMLSEKEAVSVCELATRTGADELLL TRLLRVLVALGYVSENGVGHYGPTKWTMHFSNRLTEGMIKFIYDHTMPCLAATPTWLK DKGYPNPIDPKDSIWQAGHGNCKELTFEWLALPGNESYWDDANSFFEGDRGSRPAWFT WFPVQEKLLDGADVNDDAILMVDVAGGRGHDLLEFHAQYHAQPGRLILQDQQPVLDSI TDLPKRIETYGLDFWTQQPVPGARVYFMKFIMHDYADPDCIRILRHVAVSMRKGYSRL VINDFILPDKGSSLLPAQWDLMMMVFLAAFERTASQWRTLLSAAGLEIEGMYYPPGDG QGIIIASLV PFICI_14400 MAIVIIVRFCQPAGAQWDPNIKGVCMDANVQAALGDIQAAYNAF MDIVVALFPPFVIKKLNMSTKMKVGLCIIMGGGVFAAMCTIIKTWLISKNLANHDDIT YSWAPITLWYTAEMDVIIIFGNIPTLWPMFRWAANKVKSRSRGMSHGVYQNTSSHPDI MDGVDAFELRDTSGKGSGPATRALEELDNMCTARTRTPDGSDGSASSIIR PFICI_14401 MPVSNTGTEVMALVQQSQVDVPWCDEFEKMIKGEHFQTSKDPRL AEYKVSMMRKLQSFNDASIPSDATLASLASRRMQVAKSMLGKLGTKVNIEPPFFVTWG CNILIGDGVYINRR PFICI_14402 MAGAGPTAPLELYAIFSQASTAHSVSRYITEAVPTSKSPLAIQH ATDCPPTPKPSWHNDRVRSWMNTFSYRFRSLLSLVVRRTMSTSFGASNGALQNGSATN THTITALGRWSILNKQLPPVDAIKTIHVYDFDNTLFKTPLPNPKLWNGQTLGSLASPE VFINGGWWHDSRILAATGDGVEREEQRAWDGWWNEKVVELVKLSMEQKDALAVMLTGR SERGFSDLLKRIVASKGLDFDMIGLKPAVGPGNERFSSTMHFKQIFLESLMETYKHAA EIRVYEDRVKHVSGFRNFFSDYNKRQESYGGQKTRGPITAEVIQVAEISTFLDPVVEV AEIQHLINSHNALLRQQPPRRGGRPQGPLVIKKTVFFTSYMIGKEDTKKLLKLSNFPT GNEIKVHGNNIMICPRPCPHTILEKVGGMGAKMKWKVTGTGCFENSIWAAAVEPVPMS ATYHTDNPSPLVVLAIRKGARPMDAGKIQNWQPVSPDKAYILDTVVGEKVLLRIEPED PNENAYESLFANKTSKRKHTGDDHDGQHRPRDSSGGFANSRGDRSFHGGRGGPQHQGR SGSHRGNANHTSNRGYRTGPRGGHKNSGRGGRGGGHNYRSLDDVGSRNQQSYNGPDLG VSYDDNFPSLPTGPSGFQASHPHASTGPYNSFSSSNTQQGTSGRSAGTHGNGPVDIQN LY PFICI_14403 MTNAKEHSRPSMTIDESEDDAPGQLNGSPHSQTRQGLQKQTSVH SRASHVSHTSHASDDSDPLAPLEFAISQGYGVDDEDYGRAAITQIRTTTSIGSSASRP PDFEIVFVDNDPENPRNWSRAYRAWVTFCISFTTWTVVLYSTSYTATISGLKEEFGVQ STTVVTLGLTTYLLGLAVGSLLVAPASELWGRRPVYIVCMVIFTVLVIPSCVATSQAE IIIVRFFGALFGAAMISNSAGTVVDISTEETRAMVMSLWSIAPLNGPVTGPLIGGFVF EYLGWRWDNWLVLILAGAGTLTMFTTKETYAPIILQKKASRIRKETDDERWWSRYDNK ISTIDLIKVNLGRPFVLFFTEPILWFFNLWISLIYGILYLCFVAYPIVFQQYRGWSAG MSGLAFVGIGIGTMIIILSEPLLRKIINSHPKDPATGKVPPEATARVMIIGAILTPIG QLVFSWTCLPVTIHWAIPIAFGIPFGAGNTISFIYGSNYLAGSYGIYAASALAGNAVT RSIFGGTLPLAGASMYAALTPQWAGTLLGLLEVAMIPIPIVFYKYGARIRAKSPAIKS LKADAEKNELRAQKAQERKERRQERAAEKAAAGRQTRC PFICI_14404 MGSINKQISRFETTAVYTHPDAKVDIVLVHGLNGEPQKTWTAKN GVFWPTDLLPGSLRDAKANILVYGYNADVYSKKHGGNPSDNFIYMHAQTLVTSLTHYR KDEMSSRNPIIWVVHSLGGILLKRALLYSNDLKTSQHEDYRGIYVSTYGIIFLGTPHT GSDMGSWAVTLQAMSDAVVPKAFWHSESILLKTLKKDNETLQNINSHFLDIYQRFKIM MAHENHATDLKGTKMLVVDAQSASPQLPGVGYFSIEATHSGMCKFDSKNAPGYRTVAT SIRDWVGEAPDVISTRWRVEDDEKLARAKHEIEERMKPWLKSQQLQPGPSSSMSDAST HASISGGGQPLLPERSASSRHSSPFEIEEIDSPIDAKPTQGIGDASRLQLPEPDAVDV GPEPPSQDSTDFDTSNEPLFVKPSVFKPNTFFKGRDREMKDLHKRLMDRARRSVGTSS VLVQSMPGGGKTHLARQYVFEHKYDYPGGIFWIRSKSIQELEYGYWDIAKTVKLREIE GLDEDELNDRKKMVKAVQGWLSRNEGWLLVLDGVLFDLPSLETFIPYAKNTSIIYTST EKTAGEEYQFDNPQVIALDSLTPREAQELLLEEMGKRKPWNQDDLSRAMELVQLMERL PLMIHVAAQQMKATREPLSKYLRAYKSRPKAGNLPAYRAVREQLEHRGNFAALNLMSL LAFFSQHIPVEMLACGLKALTRETPVKTSDPQTRKGSLNNTFKVLIAFALIERNENDE TSSTSDKSSRSVDMAQDNLDILRIHGIVQAFFVDVLADERLAHYWLARAIHVFCCAFD ESDRRIQDDSTTGMPEDYLRFSIHGKKLSGFLDRFERKYPELSDARELLETRLDGIQI RIDQLNKRKKTTDQDDQEEQVVSVFERTNSLSEVDSSTPPSSSSLVQIPLYDESNVPV ESPTPYSPADHNPYHWHVTFPYGIAVPEDGDISRTVTPQPAPTEIFESISVPEDYETQ PQATDHRTVRRHSERRYRDTAGAWRAAPQILSDPRVSLSREIVKGVISPPSAPNQRAE GADGAASDRGASSDAEMRLNKITKAAPPPSKGAVAQDGIPLKEGSLTMRPKLIPGRPS YSDAHAKEAVENDNPTATFSNILGTGPPPSSSYTAATLLRLKEAGDKPISTEGLAPVK VSSPLSAGPLTTVSTSPTLSPQSPLSEIPDVVDPSSQHGSRASSGQPTRSARSSPMQL AGPFSPPPIPVEVHQTSSLRSIPGTGAGIEASIYSRPEEFVVYEDEYEPMTHSLPSVR PYPSSRPPSPPYPHGSPLPQPLSVSVHPPPWTASEGRLEYHPRGYWSQPMSRDTSHQS TNSLGSTHSQPLRNRSPLIAPTSMQGAGSAASSPGVQAMQRPQSRRPSVVETEPSPLL GSAGFEMEPTSYQIYHDSVRGRLRTGSIIYPAQVVHVVPGTSQRPGFFRRFSRRRRGT AAMQHRRAGSASGRLTREDLGNSTGLRGSPDLRGTGGAFHTNPGYGTGSGQDMARSAS GSGGFKLDDGTVVGFGSSSTPGERSYSQDNVGLGLY PFICI_14405 MGSDLPSPRSRNGSNRNDSGRDRRTEKSHSHERERRRDRRSRSP RSPDSRRRTSRSRDRHQRRDSDRRTERERGDKYRRRRSPDDHNEARRDRSDRDRNKRH RRRDDENDSESKQVVRRSGPLPSQADSFAIEKGEEPPKEKEKPNYGNSGTLAAASNSI AQADGSTIVLKYHEPPEARKPSPKDQWKLFVFKGKDIIDTIELNARTCWLIGREMAVI DIAAEHPSISKQHAVIQFRYLEKRNEYGDKIGKVKPYLIDLESANGTMLNSKKIPDSR YLELKDKDLVQFGHSTREYVIMLAPKD PFICI_14406 MQFTILAALASFAVGTHAAAIKLPRQGASNPRLAQFRVFSAAGC SDLNEGFYTVDTDQANQCHDFSGESDSTPNGYVSIVLQGTTAAAANCELLLYSDTACS ETETISSLDTCEDASVVQDGASYPTWNSYYYKCTSSNTTETSSTA PFICI_14407 MFATARISILGMLPYLTPACGHPIAAMNDGVMTGPHHDMSNVHD GLTSPFAEKSDAGAAWAFTLWSGMQCTGVQVEEYGTSTTECVLASPPSYLGLANNWVA SDNYVLFFHNTDCSGSPMFTLGNNTDVAGCWAPNAGEAIWSYQVKNSDEM PFICI_14408 MAASSAASTVHGDESSVMEGADFLADTQAKTRNDPSGRVTPQQI GTEANIYPAPNNAAEADIEKGGAVPQLKPVGAPPGFAPSDFPDGGLDAWLTVFGGFFA LFCTFGLVNCVGVFLSYYVQGPLDGYGESAVSWITSTQIFIQTGTTAIWGRLYDSYSP RYLLITGTIIYCFGLMMTSLSTQYYQFFLAQSIVAAAASGAIFNAAMSSVITWFFKKR GAALGIIASGSSLGGVCLPIMMNHLIVRLGFPWTMRIIAFMFLGMCGIASVTIKSRLP PRPKPFNVKDYIKPFKEPAMLLTMIASFLFFWGMFLPFSYITLQAESAGMDASLAQYL LPILNAVSILGRIGPGIVADKIGRYNSMICITLLSGVITLGLWIPGTSTGALIAYGII FGFSSGGFISLAPTCIAQISDIREIGTRTGVAFGVQSLGALTGSPIGGALVRAMDGNY LGLQLFCGIVMTLSVFVFLAARYVQQGFKLVKV PFICI_14409 MGFSLRRKNGESHAQSSETEVHVVSSGTDNHALEQADLHIRRLK DQHRFDPFLQEEKIDAIDAAIESGNAEKETAVEASLIGENSPYAEVRAAVPNTDDPDL PVDTIRAWTIGFITCTVVAAMNLLMGQHYTGLVIQSSVVQLISYPMGKGWAAIMPDVR IFGVALNPGPFNKKEHTIITMMTAAGAAMSYAFDILLAQQEFYGQAWGWGFQILLTIS TQALGFGMAGMLRRFLVWPAAMVWPATLITTTVMDSLHDHRPTDPSKANGWTIGRYRF FLIVAISAFSWHWVPFVIAPFLSYLGNFPTWAAPDNVAVNQVFGGVHGLGIIPLSLDW TIPTGWFYSPLQYPSFALINMGVGGLLFLLGIVGIGFASQDFMKYLPLLSNTNYDHFG VKYNTTRVLSADLTLDVEAYKDYSPLFVGPAFSLAYGMGFATLISTLTHVALFYGKDI WRRARNARYEEPDIHLKLIRRYKEAPEWWFFAVFVISFIFGMVAAVCWDTHLPWWAYI VTIIIGAFFVLPVGIIQAVTNSQTGLNVITEMIVGYMLPGRPVAMMLFKSFGYMFAYN CLQYESDMKVGHYMKVPPRSMFRAQLFAVIWLSIVQVSTFNWMLGTLPGVCTEDQPQG FTCAGARTFFNASVIWGLIGPKRMFGAGAIYSWTNWFWLIGFILPVIQFFVARRYPKS IARYIFFPALFGVSGMIPPATIFQLLCWLTIGLTFNVIVKRKFFGWWGRYTYVLSGAM DIGTACCLVLFAVGIGISEASFPDWWGTVGFTNSLDQQGLTMSKQLPDGEWFGPATWS PFICI_14410 MPHSITPEIPTPPAGAEDTMTDATMQNGDSQASNDHDITMAEPH ALPSEHSVGPEEEKKEVKLEDLFADVESDDEFPSSRPAENKMSSSPELPSSPIDKSAP GSNSDPELMRTFYQRLFPWRQLFQWLNHSPTPNNDFGHREFAFTLYNDAYLRYQSYAT ADLLRKDVLRLLPSRFEIGPVYTTNPRDRKTLRNSSAFKPLSKELCFDIDLTDYDDIR TCCDKANICTKCWRFMVMAIKVIDIALRDDFGFKHIMWVYSGRRGAHAWVCDKKARTL DDQKRRAIAGYLEVLKGGSQGGKKVNLWRPLHPHLSRSIDILAPHFQKDILETQDPWA SDERSTHLLTLLENKSLSDALRKKWDSSPGRSSTSKWADIDTLAKNVLNNEKDSKKLR DAKQDIVLEYTYPRLDIEVSKKLNHLLKSPFVVHPGTGRVCVPIDTKHVEDFNPLEVP TLHGLLAEIDSWTSQGDLAGGVKKEPGKSLQDWEKTSLRPYVEFFRGFVIALMKDERD PMVKREREEDGMEF PFICI_14411 MQFSVFITYGLYIVSGLATSSPVQKRDIIDDLQSQAIAALQNAI DNGLFKESCSIFNAKVRKEWSTLSPEEKKSYTSAVNCLMALPSKLDSSIVPGAKSRYD DFVAQHINQTLTIHGTGSFLSWHRYFVWSYETALRDECGYTGYQPYWNWFSFQDDLTA SPVFDGSATSMSGDGSFVSHNGSVGGAGTIYLPSGNGGGCLKSGPFQNMVANLGPISP TMAGQAKVNSSFAYNPRCLKRDLGTYTASTWLTTTNLLNLTLGNAASNIETFQNELQG RFPQGFLGLHAAGHFSIGGDASDLFSSPVDPVFWLHHAMLDRIWWLWQALHLDQADTI AGTITLFNNPPSRNTTLDDTIYMGESNAPTRPIKDLLDSLGDSPFCYINL PFICI_14412 MFRQRTSSQKPGEDLLANFRQQFPQVSTAGSSTLAATQTTGAPD NTLLVAGAAQLERRQSLNQDAFRDQDPTPRASAEPWRFTPSLLDPNSFSFSAFANQPP GYYTPTPGGTNTIYHPQAGDLHTPTLSLGMGLGTPLSMPTSEGAMHSGAPMMDLGHFH QHQGMPPHHFQHFNPFVQAAPPQASYAPSSFVHQDTGYETMDQDGSPLDSDSRDGRLG SLDAAFHSQSPPMMGFQPTHFGMALGHGMPSSAEKFRYHATLNAPTAMIKQADEIPVT YLNKGQAYSLSVVDTGAVLPLAPGTRYRTFVRVSFEDDQQRQKPGVCWSLWKEGRGTN EAHQRGGKLQAVEYVEAGQPAEGDDKRTRIELDTASFDGFSVIWTPGANGGAECNIAV RFNFLSTDFSHSKGVKGIPVRLCAKTVPFPAGSTSPTSDAGAEICYCKVKLFRDHGAE RKLANDVAHVKKTIDKLKQQIAQAESGMKDFGKRKRGSTSQAKSQDAQRPGKVQKHKR TWSMSSASSAGGGTGGGGGRPSLEDDLHFKLQTLQDMFTSTRPVSILYLRGEDLDDPD LHPVTLAGEPTDLTKVESKDSAAWRSGRSSVAGSSLVSPSPSNMSLQSQASVAPKAQW NDFSASGETSAAPLDQPTKVNKIDEAGNLSGWIEALGVDQSYRPPADRSPKPVACFYI ARRQASADKKELHRAIYLHKRSLAEFATRIAAKWSLDFNKIVRTVHALESGLDVEMDD DVIEALSEGQDMLLDVVEVETKVPQPKREWEMAVDEPEEETSSAHSVLRTTIGYELRL TF PFICI_14413 MIIPHSPNGSSDGVYEEKNKTIDSRKQDTENAKPQSNKVPGNGN FSKATRNRVKGRNQLPKDELVEFDRIKISELKEELKKRGYKVGSMTKVQLWNRIKGRE AEPGLNAKSVKTRQSKPATKKVPGNGNFARSSQKRAADRDTIPAHDLEEFDHTKVADL RKLLQSAGLSASGRKIDLWLRWKDADAPTTEDGSVTDEWDAQMESDEDRPWLKDLDES IHEKVTEARNVLLTKKEQEAKAQEQEDESLTEDDDKAKEPRRGKAVVKTKGKRFNSTQ PQRTQKRSRVQSRDDREGGNASIKPTCFYIDKRFLDMSVAQISQAIPRIMDGAASPQD ANISLRHYLVEHYNHRRGIAQLQADGNVFLGNLNESSIPHNVLRRGFAQESFVHVLDY TPEIFVLWEQEVDAGNNDLWRNRWNHPRLSGFTCSLLNGRSFIDAVKQYPKFGLLIMD GIMDGRLKIQGTKDMYELQEVLNAWVTELEMAL PFICI_14414 MASSAAPETINEDIPQNDSGKLRTFISILRKFIGVPDLAAVRFS LPSQLLEPRPNLEYWNYLDCPNAFAAIGTADDSVDRMLEVLRFWFTKDLKYVKGKPCK PYNSCLGEFFRCNWEADKNVPPINTKTSGNASSASSTKSAKSTKADPRSSSSVSIPQP SENPSGPTWRISYLTEQTSHHPPVSAFYISCPEQGLHARGFDQISAKFTGTTIKVSPG EHNFGIFITLDKRDGETYQLQHPAAHLGGILRGALSVSVGESAFVSCPKTKLKCILQY LEDGWLGRAQNRIEGIIFRYDPDNDDKIRIKDVPDKDILIRLGGSWKDKIVFTVGPKP VDSHPPEDQTVIIDLNPLNVAPKILPPKEKQVENESLALWDGVTQAISAKQFSKATTV KQELEEKQREKARARERNNETWQPVFFSQVTDKGGKPDLSEKGRQVLDRIQNNDWSLE GIV PFICI_14415 MGEVLTNNLPRLLVVCHGLSGHLIPLVRITDGLVKRGWQVSFLG PSSHRRRIEETGADFMPLTGLADLDDKAYYENHPIPGYHALHWVERGKIDLRLQCLEP LVEQWENLKSALVSLNKRSPQGQVIIIAEAFFLGVMPLKYGATLPPGINIPRSICVSI TVPAIQSVDLPPFVHPLPFDQTEAGRERNRRIWERRAKSTKPLTDLLDQKLLEAGTTR TVGKPLLAGDNYTCHEVILQCGVPGFEYARCDWPPRFKFVGLVQGASDEAVKPDPPFP WWNELKHNSSLDRRDPRRKYVVLVAQGTVEVNPRQLIIPTIQAFADRNDILVVAVLGW KDAKLSDFVEVPGNARIADYLSYDAALEHANVWVHNAGFGAVNHGIAHGVPMVVAGEG MDKTENARRVTWSGIGVDLGTAEPSTEQVRQGIETVLQGEAFSRRVQLLQQQSRSLDC ISLVHDELIKLVG PFICI_14416 MGIEGLWDAVRDVTGGETLPLARLNAEWVSQKGRPMRIAVDTPL AIFQYKSATAQVSGYGGKNHPTRTLYFATLHILRTGVQPVFIFDGPNKPRKLGRLMQP QHVPSQSPARLSGRIEEREYDLNHISSLAKEMFDYLSIPWLIAAGEAEAECAALEQAN IVDAVLTRDGDSLVFGSRFVLEKLVADNGTAMIRAFRMDDLEKKDGKPRGPDDIITRK HLLQLALMSGGDYDKGIRGCGAKVALQAARSGNSCYILFNKIGRGEDPSKWRWRWVHD LKQSLEAKGHHAVARSVPDVFPDPKIANYYLRPAVTSKDLLQSWAENVEWEKAINVSK LRAFTESNFDWRFAHLCGKFVRTLADTALVKELWLSHQKGNDGSNLFEAIVQAKTDAK MGDQLRLSFRP PFICI_14417 MSGSKGEVLVTGANGYIGARTVGAFLDAGYSVRGTVRSSSAAES LLEALPDAVSNGRLKIDQVPDITAPGAFDKAVRGVTAIVHLATPVSFFFTNSEYIVGT AVNGVKAILESATKEPRIKHFLLMSSIAAIISEKQQGHVFTETDWNDAAARIVAEQGD QAPSRQIYGASKVLAEREFWKFMQERQPKFTMNAINPVLVGGPPLVLPSTPDKLNESV SFIWQVLSGQGIPENLVGFGAYVDVRDVARLAVFSVEHGNQVNAQRYMAASGWASPQA AADVLRQKYPGWKDSIQKGAPQSNYLPGYKYPPQAPQIDASKAVRATGQGWIRFDDMV VDTAEVFKAYLE PFICI_14418 MSNPEISKMLGPTHDGERFELNNPTAMPNAGGFLWNKKMMVQTT CRGYSVAQFMQPEPAKYSHAPNLEAKTFMQPEQNYYSHHPGRFVYVKDELSGNIFSAP YEPVRKPCDKFSFSVGKSDIKWTIQHLELEVKMTFGIPTNDVVELWTIQVRNLSNHPR QISIYPYFTIGYMSWMNQEAEFRRDLGGVVATSITPYQKPEDYAKIKNLKDKTFLLCE TMPDSWETNQQAFEGEGGLHDPTALRSPLLQKGDARYEAPVAVVQYRLNLEPNDQRQL RFLFGPAFDDAEITSIRRTYLSQEAFAQASTDYAAYIEEGSGCLRIETPDKELDNFVN NWMARQVYYHGDVNRLTTDPQTRNYMQDNMGMVFIKPNVTRNAILTALSQQEPNGSIP DGIILVQGAELKYINQIPHTDHCVWFPIVLDAYLAETADYNLLQTHVVTQNGDNLSVY ERLSRTMDWLLSDHSRDDRGLSYIAQGDWCDPMNMAGWKGKGVSGWLTVATAYAVKLW ADICDQRGDAALAAHYRHGAQKVNEAAATHLWDGQWFARGITDDNVIFGVDSDPEGRI WLNPQAWAILGGAAGPDKITKMLAQIDKHLSTPHGVLMFAPPFTRMREDIGRVTQKYP GQGENGSIYNHASAFYIHSLYSVTESDRAFRALRQMIPGPSEDDYIQRGQLPIFIPNY YRGAHEKYPRTAGRSSQLFNTGTVSWVYRSLVEGLCGLRGDSQGLCINPQLPAWWNGM NITRRFREATFIVDIRRIKDIKAVTVEHEGQVLRENRFSSIVPGKTYHLRVSIPFTNH GDGLTLTG PFICI_14419 MASTRSTTGSSRPRVHLTVDTGPERKRTNTTTGAKRGRKPGSSK SGTTTTKSRAATGAGVKKRGRPAGSTKAAASTTGTHHKRKSHLSDKIEGVADKVIGTV TRKPGKKAAGTKKIRGTDGKNARGRPRKA PFICI_14420 MANPLQFAYRTQKAIGVTNAAPAYEPLAEFVKPEGNLRCCVYSP CGRYLAWATSDRVGIVDATTGHVFTSVTVVNVFEVAFSPRGTYFSTWERPAKDEAGDA TKNLKIWRTVEELTEGAEKQPIGQFVQKSQTGWNLQYTSDEKFCARSVTNEVQFFESH DLRTVWNKLRVEGVTDFAIAPGKSHNVAVFVPERKGQPASVRVYNVPQFTNPISQKTF FKGDKVQLKWNSLGTALIVLAQTEVDKSNKSYYGETNLYLLYANGGFDARITLDKEGP IHDVSWSPNGKEFGVIYGYMPSKTTIFNQRAVAMHSFQIAPRNTMIFSPTGRFVLVAG FGNLAGQIDVYDLEKDYRKICTIESGNPSVCQWSPDSRYIMTATTSPRLRVDNGIKLW HVSGTIMYNEDMTELYTVGWRPVLPENTPTADPLTPLPVAHSSAVAFLGTVKTPSKPV GAYRPPGARGMSTPLHFRREDEGGAAHVMSNGTANIGPNGFGRARRQVPGAETIDSGA RTVPGAAPAADGDDSLSKAAQKNKKKRNNKKKQGGEGHDANGNAGGLAPPSSEQGAAA GNDGRSPERRGNRNNHQHSRSRSRNNAPGGNNPGRNRSNTARNGEGYAVRDGGAPLNP QVQTAQIPSIVESPLSPGSQNPAAKKLRSLQKKVRAIEDLEMRHAGGEKLEDTQIKKI STKASVLKELETLEKQGI PFICI_14421 MKFFTILIISVATIVSATLNNFDGGLQGVRLNLVNASFGPSQGL YYKGMGLAEVYDKVGPLQAVSAHSIPNVLAFGNLDRLSDPYPYITSKYSGSSGTFDLQ SFYYGCILGNLAGSCTITIAGYRNGQRVAGQQLSFRPTSRLSSNMTQIILDNTYQGLD SVRFVTVFTDRASNPGLGGATFLDDLQFTLTAA PFICI_14422 MTAFIVQSCCRNTHPLRAETFMRLPDLSHWSVRLLCLATRRHAS SRNIAVIGGGITGLATTYFAAQRFPSASITLFEASSRLGGAIESTRVTARMPNADHGI QFLCERGPRTLRANADRASVTYEIINSLGLASEVLTVPSSSRVAENRYIMYPRHLVGL PAFNSSVIRRNMASVPAPSTLGLRRLVRYAWLIATEPLFSHLVSGVFRDMMTARRPQG LDDDSIGQFVTRRWGHHFTNNFMSAIIHGLYAGDIDNLSMKALMPRMWRLEEQAEQRR KDLGPLLGFGGVLRAMLAKGKTQKARCQPRGVERQSPVIDDCSTPFGAPFGLNVDLQK SLCDASVFSFRNGIQSLTLALYKSLQQLPNVRIRTNERVVHIESGAHKIRTESEKSTH YDCVVATIALKQLIKLLPDQQDFSNIETPAATVMVVTLCYGKSQINSPYRGFGYLVPR SVPLHWNPEKALGIIFDSDAMPGQDIGPSTGTKITVIFGGHWWVGKSESQLPSERQGI SMAQSVLQRHLHITEDPVLALAKLSIDVIPQYTVGHCERMSDLHSCLLDHFHGRLRVA GNSYRGIGVHDCIFSAKELVENLGTQHLTGLECFHARSTK PFICI_14423 MKSTRCLIVSGCAYSTANLIRAPTPLATLTLTIPTQGTAFTTTV SGCEADNTNVDPFAVVAIPNGTFYPGTQTYYVPPQASAQSSTFVNYQLNATEVIIITP LEGTCAPFLPPETASGSEPASEPTGQPESGNPDACPLEGCSKLVNQGARKIIDALNQV TILSQSLQAAAKQIGLKRDNKLVARYPLGDLLRGLRNVPTLLTSIIPYVQNTPAIPPG CDSDTVVVALIDFVRVHQALLQILIGRSSLLSSGSSFSGLGGKAEVDNLQEEFKEYNQ LQGSEKYPNPFGAIIAGLLRGIESVVDNIAFGLIGLIPTRDACLRQQKLSIDGTLNDA IEAYSS PFICI_14424 MRISIIVNILLFSGGSAAVSRRQRIKRDESSDAASRVYSASSAL ASVLPSAVTLTTASTIQSSTIIEGTEGNTQSNPDASSTLLQVSTLSTAPSLYSSNLPF TTSRSSTTAPRSDNGPESSTTSLSFISSTSTGSSLASTASGLFSQLAESSTTSVSREF TLIDTTSHGLPTSTYSASLVETSSIYSASSALSSFSSQLFSSSLELPQTSSPTTSTYT TSSAASGSSGPATGNTSQSSSASTIATGTKSGISISSSNIDSATSNLVTSVTPFTSKP TTSSTGRSSSVVSSQISLTTTTVSSSKAAAGSSTNKDSAPTTTIVSTGSSSASSLTSS KASESLNSSAAITPTGNVNTNVPTVITSVPSSSTTVAADVFAANLAQAIEYNSVFQTL TYNSACQQNQAACIKGDIGECTAVGVFAITKCPGNTQCFALPMNTTSGVQIGCHDPAV AASILGPTTTAGTSDTLSSTAVSSSAAASESSSTTSSNTSGSNDITVTMVPPATTVTS EIIVTISTAPLTTQTYATSTTLTTKTRSRESQSEQIPSNTSTGNADTTSSTTAVEQSS TPLSHTSPDTTPTGLEIIPIHKSSKTSSIDRATINPNGGAKATAPRSTFVGPVTVTVK ETETITTTTTDYETTTVRS PFICI_14425 MSTADPPRGGGRGRGRGRGGGRPRKEPEVPEHLRGQDTCFCDRC RRNLPRDQFLQPDGQSVFKTCQSCRDIRNAGNRRREEERQQLLAQQQLAQQQQFAQQQ FAQQQFAQQQFAQQQFGQQQFGQPQLGQQYFGQQQFGQPQHGVIRTAREAELGSPEHR RRPFDQGYPEPSQHVRTPFPALLSMKICLVNSYISRDFHMIKRITEADLYLCQMYNQP SYGQQYSQHGQYYQQPYGQQPYGQQPYGQQPYGQQPYGQQPYGQQQQQPYGQQPHGQD PFGPAYPGDMRAHQGPQPLHPNVALQPQNQNNHELDWFSCTICRVPRAMNAVERIRVE GARRVCIYCANDLGVHMYEEMRWCIQGHHSDHRRTFIDLHYVEHPHCFRHGVALPQPE VVQQPALVGNLQDLALANEFHRPTGLAADASLVREFQRVMNENQVSTCSRCNVSWPNL EIGRNDICKHCHDKDDAKPNEVHFYSALNHLKYADVDLPELTRIEEMLIARYQVSTDV FQLDRGNWHVGMLPLEKVEECKSLPGRIQHLPVTVIVPANVHVNAVALPDFRVRQNHI RVWLEYLMENHIVYNGMQIDLEALNALPQDGNVMDSVHCITCETRSDAAVDEQHKVQL STVPDLVVPVRPIDIICRRISEFDRTALIVAGAFPTLMPDGHGDPNEHRQRPISDVDF VRHALRQASGKFARHSRFALYMFNRITRRRVSGMSGFYVANVHPKDSVQIEDIQKAFE GDSVEGRALLNHLCRMAIELTGTRPYWLSRRNELESFIDMLDCPDIFLTLSPAQNFWD DLQKLMPTYDEWRNASPRRQVEIARQNVEQYPHIAAWHFQQRFTNFLKLVLGPKFCIT DHWYRYEWQSKGAPHVHCLLWCKGSPKFDEDQEAWVHHWGLHVKATKVDDHPIANVLS IANLPMTDNSLSAVLSTVQFHRCSMYCLKDKTSTVNRLSNEEYLLQTNMSLAPGTLDV LRESGKRLENLKCRFYYPRQSTLQPKADLSLNDKYPMFVAQRNDSQLAPYNGTLAVGW QANHDITPCTTSHAVKNYIVKFAGRAESRSESRSESRSESMATMAKELLKDANEQRPL HDFASRFLNKLFNDREWTQQEILHQLLGLHVMGASREAIYVDCRLPTDQPAKFSLRNN QVNQQKSILEKYMKRPVALKDVPYREWLSDYKDNVRRLFAQSRVLIYFPRYTQQEAPE DYARVKLMLHHPFKHLDDLKKVNGVAYDTYQEAYEFCITIHHSHPVDFYDAVPLKDRN DIQESWDDLDKKGY PFICI_14426 MGPGLLGGSKDLVRPGSSPGHILGFAHELSVSCTAMDTMHSTRR LETSTP PFICI_14427 MDDTERRQYEARAQELRVALKQFEGDWAKKNDGKKPDRSDIKAN PIIAQKYKKYNQVRDILDGKTQPPKKKSTLTQTPKRTRPATTPSKPKPNVDLLDGMET PSIRRLFSPAAPTSIGPTPQRDGKILGLFDLVDETPSAPRSNNVAAPHAQLQATPSKR KHAELESKSATKLGTTPRSSSKRASFATPLKNRDNNVQDFKSPSNLLFATPAFLKRAP MPPLDENGKYTSPQPIRLPRKPLGRGLSSVVASLRKLEEEKLDEELEAMHEMENEARE ESSKAKELPKILEPDSQNRQLLDGFDDEAELDSEAEPELGRDGLPLRIYKKKGQKRTT KKVNMKPSRAKRPQQPLNEPDSDIEEGAEVVPETQFDSARVIGDQEWPLDLESEPEFL GSDYDGEDKQPKKPKILKEATKMEKENPIKKAAKKVNAMAHANFKRLKLRNNGAKGGP GFGSRFRRRR PFICI_14428 MEPDDDGADVQVRVLQHTLQEVAFGSASNGNDQVDCCVICLDAI TEACEARPCQHGNFDFVCLINWLEQQPKCPLCKSAVTEVRYKFEEPDRGRWRTYKICA TASSDSTAASRGTLLPPRRSWPSRRQQREAHTFTQDEAIIRRRQIYRHGLYSMHVGTN RNTRYRELTPQLFEQDPDLVSRTRMWLRRELKVFEFLHTPTDAQDSDDIMTQRRANNA EFLLEYIIAILKTVDMQGSQGQAEDMLQEFLGREHTRLLLHELKSFLRSPSISLQAWD RTVQYPSVSSIKRRSNSPGTRTRSDLDSWSSEVRGGDFYRPRYSGTDRHVGSARGPRP GPVH PFICI_14429 MKSVYAIQKLPGHFSWPHSKSDRQGEWYKVFAFPDYRFPGPLSV VNAKEKIHSAKYLSQGFLQHNVLRSESQIDEVLRGLFGWFDHAAENQKSMELGDFFSY AAYDITGEITFSKTFGFIEKGEDIGGAIANNEAIELFLTVFGFFRWISYVFCNPLTTW MELLPIGHLGAITKSALEERKKNPDARFDICAHWYRALGKAGDVNLVWDEGRLFAAAM SNLGAGSDTLSCSLQSLIYHVIREPRVWKRLQDEVGEAVKQGYCMDPVVSHDDAQRLP YLQAAIKEALRIFAPVPMGLPRVAPKGGVKIGGVFFPEGTVLSVNPYVLMRDKEIWGP DADEFNPDRWFEPDAALLEKHFCPWGLGWASCPGQHIARIQLSKIGATMMRDYEFKQV QPGKEWEWMAYFICVPKHWPVYISKRRST PFICI_14430 MIADNPRRPMSAKEISRQAADFQFTTALTLEQWLRTAKTLDREA RSYLQDGNFHKAFMLYLRLSDLLMTYLPKHPQAKTPEGKRLMKQARSGLEDIFKNLEM IRPIINREYDEWLANEARRNGPQIQNQADADAQATQTTYEKHAARDPTLSSKAKVLDA GEHLDLAVDLAKKEFNRRDADRRASRLNGLSTEEEQSRRTAGFWNAWTDELAKTQAES EEIFRQKTQSIHGLQDGPDNQHIHEYVTRMGQAERERDELSTYRPLGIVEPGLSYPSI TRSTPVQYQGLSRPDIESLQQPPRPPKAGIPAHFEIAPPQQPIPELPSKEPLWPPMSP QTIPQVHQSAAPVRPPKELDVEPPKKTSNREHLTFKPAAYLENGEPMRCVILPSKMRL DFLRLAAHNTQKGLEMCGVLCGTAVNNALFVRCLVIPEQRCTSDTCETENEGALFDFC DKEDLIQLGWIHTHPTQTCFMSSRDLHTQSGYQVMLPESIAIVCAPTDNPSYGIFRLT NPPGLGHVLDCTLTSTFHPHSVDNLYTSAGTSSGGHVLEHDNLEYQIRDLRPGKDY PFICI_14431 MAEAQAKLQALSEDYQKLQQELQTVVASRQKLQAQKTENLGVQK EFENLKDEENIYKLVGPVLLKQDKVEAESTVKGRIEFIGKEIERTEKQIKDIQDKIEK KKTEIIQTQSTAQAAGPSQVAAQS PFICI_14432 MSNTDFLGRAIDTVRRAIDADNGNQYDKAYQLYYQSLELFMLAL KWEKNPKSKEMIRQKTAEYMDRAEKLKSHLADADAKRKKPGMVGANGSSTGGTAKGKQ DGDGEVNEDNQKLRNALAGAILQDRPNVKWDDVAGLDGAKEALKEAVLLPIKFPHLFQ GKRQPWKGILLYGPPGTGKSYLAKAVATEAKSTFFSISSSDLVSKWMGESERLVKQLF AMARENKPSIVFIDEIDALCGPRGEGESEASRRIKTEMLVQMDGVGKDSTGVLVLGAT NIPWQLDAAIRRRFQRRVHISLPDIAARTTMFKLAIGETPTTLKNEDYRELAKLAEGY SGSDIAIAVQDALMQPVRKIQQATHFKEVEKDGMTKVTPCSPGDKGAKEMTWADVEGE ALLEPLVDFKDFVKAIKGSRPTVSQVDLQRNSEWTKEFGSEGN PFICI_14433 MFKSIASLALLASSVAVSIAGPLPDIDPRDLAEPMPLVAREFEL NQLQAREPEDFALEDRDLEARAGKTINAVATFDTLVAGGNTLTRAIGNYGALQWSGIN VVKVGTNNLFGVNAYSKPNVAVYGAITNVLSNVPTIKTQYSGSKTASFSIDSFYFGCN AGTALTLVAAPTDCRLAIAGYDKSSKVIAYQSFIYRPAVAVTANMIKAQLNAQFKAPL DHVVILTSYSVGILGATLIDNFNYTVTAV PFICI_14434 MLPSRWAHHIVQSFVHGANVAVAHLLHEAALTVRHAPQAGRPYC VTDPNPPIRYRDLYMTIKTLSIHVFLDVPVEPVFMLLLSYLVEWYSLLPYRLPFVGKY MPEMTGDLRYLKPGLFSICTHLVSCNTEASKPLGEGGLGYRGVLTTLDGMTMEVLEWN KEHTLENGSLKRKAYTTSVLAADKIRQLGIDGWSKAP PFICI_14435 MTGKQYADVVRPVIYMLAGFVFLYLLRINQLLKGTPDEIRKLAG SPWTADLLKETGARLCQKPIDYTGQLPARQERRYVVTGGNGLVGGFIVLQLLARGQPP ESIRILDIRKAERSDMLSGPATKVDFVLTNISSRASVKAAFDKPWRPSVASLPLTVFH TAAVIIPSDRSRFLYDFPEAVNVDGTKNVLDLAKEAGADIFSSTSSGSIAIRPVNPWV APWARSPQNFWQMLDERDFYKPLRPHGDFFGNYPASKAAAERIVCEANTDTFQTGCIR PVNGVYGNPTDNTVGDPLARSVLPT PFICI_14436 MDASSTDRPKEVAEGEKAQYTAGNNYSDEDIALAALRRITTSEP GHPTHWPLWKKWLITTIYCMLQTFVTLTSTTYVSAEFLIEEKFNVSNTQIVALGQSMF ILGTAVGPVVLGPLSDIGGRKWVYVVAIFFYALVNIGCALPLNLPMLIIFQFLSGTTG SVALCNVAGTIADLFGSEDGAGQPMALFVASANIGPSIGSPVGEWIADNVNMGLPWIF WINVIIAAVFVVIMCFIPETLPSIVIRNEVKKRNLDEPEEIAVLEEKVNVFKEIRFVT LMALRIMVTEPMVTLLGVYNGFAYGLLFLYLDGVFDVFVVNNGLSYIGADLTYLNFVV GVVVMFMFIPLQTWLFKRDRLKNGGIGRPEARFLTSLVTVWLFPVSLLWFAFTCDGNT SFWSPVVAGGVLGFCDPLLWLSMLNYITDAYTTVAGSAIAAFLIPSFVIAAALAHAGI AMFENMSSKWAMATLGFVSFGLVALIYVVYFFGPRMRARSKIARSP PFICI_14437 MRVVVFGASGVQGAAQVAALSRAGHDPVAVSRNPKPLDIDEKSI ETFALDFDNAHGLDKVLEHGEIIFLNLPSTSFQTSEPVIAAAKKIGEAAAKASNVKLI VFNTSMPVPDESKGIRAQDDRREIRRLLRATGIPVISIQPVVFLENLLEGWARPPLVE RDTIVYCHQESLEVSWICHHDIAQFMMAAMKRPDLDGRNFAVAGPETVCLPQLTEKLS RAWNRSLKYESQTVDDFCEKISQAMKDRSSLDLDRVVSDMRKAYTYYRESDEFKPDME QVLKELPVELTLIEEWARLHPLPSRA PFICI_14438 MSTILVKNHVAKFQHEGSTSSLDTAAPLGAPLEERRFFFQRRTA YNPDAIATQPSVFDDPETALKYRPRDDWENLHRFDPSARWSWAEEYKLVRKIDLHIMV WACIMFMALELDRTNLQQALTDNFLNDLKLKTNGNSYPTDYNLGNTVFKLAFLCAELP SQLVSKWIGPDRWIPAQLILWSIVASCQFWLKGRDSFLACRALIGILQGGFIPDVILY LSYFYKHHELNLRLGFFWTMMSVADILSGFLAYGFLHTRGLHGQSGWRWLFLFEGILT LVVGVLSFGLMPAGPCQTVSWFRGKHGWFSEREETIIVNRIIREDPSKGSMHNRQPIT PRLLWKSLKDFDLWRVMQSHRPLYIIGLLFQIPATPEAQYLTLSLKNMGFDTFQSNLL AIPWTVGHIITMLSLTYAAEVFGELTLTSMLGQIWLLPFLIYLNVADTAKTSRWIIWT VTTLLLSYPNAHAIQVGWNSRNSNTVRSRTVSAACYNMFVQAGGIISSNVYRSDDAPL YRRGNRALIGILAGNIAVYLATKAYYVWRNKSRDSQWNAMSDEDKLHYLETTTDEGNK RLDFRFQH PFICI_14439 MADKEENLDLPRMLCLHGGGTNARIFKAQCRVIERALKQQFRFV YPEAPYECQPGPDVVSVYAEYGPFKRWLRWLPEHTERDNETTVNDIMGNIKAAMDEDD RKGATGPFVAMLGFSQGAKLAASLLFKHQKRIEKLGVDKTGPAWKFAVLMAGRAPLVS FDPEIFTSTMLHEPSQIALGGQPDLMDAASEDHILRLPTIHVHGLYDPNINLHRDLLE NYCDGDTVRVLEWDGGHRVPLKTTDITPLVEYILEIAEETGALS PFICI_14440 MLGAVSAILLLYHIISIRDTIAVQQQSDDHLNPIKKLFRPRPPV CAQIQGLEDIFVVLKTGANEAHEKLPVHFATTLQCVPNYAIYSDLEETVAGHHVYDAL DKINPEIVATHPDFVYYQNLKKGGTGAFSAEEVEEWKHAQNSVFGRDSPGWRLDKWKF LQVAEKALKQMPDAKWFVFMETDTYIMWSQLLLWLGHFWEQYPYYLGVQMQIGDDVFA YGGAGFVLSNTALRMVVKQYKLKRKYYDLLTGDHWAGDCVLGIVAKDAGINLQWSWPN LYGEKPNDMDFGDDFAGDDMHLWCNYASSYHHMTPADMVQLHEFENAWKELNGRTLRH KDIFRQYLFPQMMPLKADWDNLSNEVRDESSSLEECRSLCQADNECMQFTIKGETCST SKIIKLGQKSSAAPMQVNSGWMMDRVSKYMTDMDSSCWDQTWIQP PFICI_14441 MAGSEPEKGDKVSWSWGGGAPGGTVAETKHDGEIAIKSKRGNTI KKNASPDNPAVHVERSGNDVVKRASELTIEQKNSSNGKQQESSEKDHGEKRKAGSQEV DEDEEDGGSDEDRKNDDTKDPHTKNMEGKEVKKGGKQANKKQKKEQENVDEDNDMKAK NGAEKDQDDDDGDEDVYKDDEEEEASEEDEEAKDDDDGEAASEDSAVDTGKSTKSKKS GEQNHDSNDATNHEDTNAKTSLKTRKESAPREQGDLVSTRTRSQDKS PFICI_14442 MVASAVVSLLGASSQFSAFLEGFASPPTTDRPYIRWWWPHGVVD PDEIRAEVVQIAEAGFGGFEIEDVHHSLAEGIDYESTTHGWGSEPWLTAFTAALEEAN KLGLGHDTAFGPSWPMGSQSIGADDDAAAKEIVFGKSFVTASTFAGPVPPPFSNPKGN VTIQTLVAVQAWRLDQRSNSTSNPVYLEYGSMMDLTASVVDGKIEFDPPDNSTWLIYS AFVRGTGQQPEDYPHTNSATYVVDHFGTLGCNSVLDYWDVNILAAEVQSLLAVGPTAL FEDSLEMVSANYWTTNYMKEFEQRRGYDIRTVLPIVTKYKNNAYLFLFPDDAVREGAL HDYADTLSDLYQDYHVKPIKKWLGDRGLLYRAQVYGILGLDSMRLATGLDIPEGESLG FKVLDQYRTLAGATNMAGLNVLSNELGAYSKGAYATSWRKILGTVNPEFAAGVTRNVL HGFSYIRGPNATWPGWAAFTPINGVVGYSESWGPRHPTWLHAGDFTGYLGRTQYFARM GVAKYDCAFFRQNGHAQDSYVGPYFTSDGANLGWTTTYVDYGVLNLPKATVSGQRLAP GAGNYSVLAVDGDALAGYAPTLTVGTASLLEHYAESGLPILLIGNWSSPRPFGLGSIN STSVATFIKKMLKLDNVANVDRNDIASGLAQLGVSPATQYESSHLTHIKREFDAFDMY YFVCNSSSEVVQQTVSVPIRSSLVAPVQLDPWTGNATVLPLYSITQGRLSFPIQLQSL QSTLIAIVPVPYDVLHATNMTVESLARDESGEQLIAHSTAGGTFQAQLQDGTLKTFTM DDPGQALELSNWTLVVDDWQPEDANGDFTSTKKIRHELQLQALQPWTLIPELLDTSGN ATYSATFSTITEKNTTGYYVKFAKFNGSFRMKLNGISVPPLDQMELNFDISKWLKNGT NLIEVELASSLLNRMKATYPAVYSGLSSQSFGLTGVTIQPFSKAYLF PFICI_14443 MHVQATLLYFLAVVGLCAAKCPVDDVSVIAHEGDSVGREEVHDG VNLYITGNVSDTAVLYLTDVYGINLTQNRLLADSFGRAGFLTVAPDLFNGTPSPLDMN EPGFNITEFLAEHGPETTDPLIDVAVNYLKETVGVTKIVATGYCFGGRYAFRYAASGK GVDASFAAHPSLLEDSEILAIEGPVAMAAAENDSMMPPERRHNITALLGNTTQPYNVA LYSGTSHGFGVRANVSDPQQKFGKESAFFQAVRWFENWA PFICI_14444 MAPVDPQRKGRVLVTGGNGFIGHHVVRELLEKTPHQVEATVQSS QMSAHLQAVHRNHPRLTMHVVPDITRADAFVQAAQHCHAIIHLAAPPMTTGSDFENDL VLPALQCVQVRIQAICHAADANESVTRLVYCSSFAAVFDPSPSGSLPSKVYTEDDWNP TTYEQASKAPELMLSYQGSKALAEREVRKLCREQSKWDLVTICPGIVFGAPVDGSVGT VQELAQTNAALWDMFDKKDVPQTTVPIWTAVTSLAEAQISALSSSKAGGERFLVVNGA YENQELCDYLISSDLDEDSKSRVPTGNPGHRSNHWKADGSKAAESLEFSKPTLKDTIV GVRNYLFRLAKSA PFICI_14445 MLRVRRYRIYMVLAAVGLLLLYRMTQDTDWEALSTRPIDYTSDA GSKLATGSKLETEQDGPNGGLGIQRKRPQQKPIDGKEQGESIVKIPELREPEEGKQVD YTLPTSTSKELNAKPTEADDNSTDKKKADSETKTTDTPQVVIPNRKTPHQIWAEEAEK ERLEQELLDAEIKDKQGFVITITTKSTSTSTIHWRKPTEVFPVAPESLLTLPTGKPKS IPRVQYAFKDESEAARQAREGRLSVVKSEMQRAWTGYKKYAWMHDELSPVSLRFRDPF CGWAATLVDALDTLWIMGMKEEFAEAVEAVGKIDFTTSPRTEIPVFETTIRYLGGLLG AYDVSGGKQGGYDILLDKAIELAEILMGVFDTPNRMPVLYYNWKPAFTGKARRAGSSV SVAELGSLLMEFTRLAQVTQDNRYYDAVARITDAFEEWQLRPNGTDIPGIFPEHVDAS GCNKTAAQNAQMKFTAEQEANVQSVLDAPDDYTGQEYTHKSRFANTMNTVPDLALSDK ADRLGTRKTGSRKRTVDIDVDSDEDLYDHPLTVNTGSTTQTKKFTAPLILSAPNLYQQ MAADRVSAEPYTIPEFTDVCYPQGLTAGSWGRNTFGMGGSQDSTYEYFPKQFLLLGGL EPKYETMHLKVVDAVKKWLLYRPMVPDNRDILFSAKVTTNGITSKDPISEFEVTHLTC FLGGMFGMAGKIFEEPVDVEIAKKLTDGCVWAYENTATGIMPEGATVVPCADTGDCRW NETLWHRYLDPMWDMREKQIDDYYKRKATAKAKAEKEKLDELRREAESELDDVSDANA KSSESLDIADLAEIADEMSAKSDAMADDKFLAKKKTKKRDLNTNPDQLETSSNDNTPP AKPSQKLAFEDPMNDILVAGGSGRVGKPMRSSAAQVSLQDEEEDRTKPDPARPLTHEE YVKARLENEKIPPGFVSVSAKHYILRPEAIESVWYMYRITGDTTWQEKGWKMFESIIA ATQTEHGHSAITNVLVPGSDKDDAMESFWFAETLKYFYLLYSTPDVISLDEWVLNTEA HPFKRPLPSA PFICI_14446 MAGERFQVGWYRWVPFLGYHHVLMILIAVAIILLYAALLLAGCS SSSPLIPDIFLLSLYYSDYTPTPDTAQVDYNGYQAISNIVGSAHLQARVGYFGICVNP DGGSWLCSNNATALANEVSVDQDPLNLIWLASQFKDMIVFPYLIIIAIIFAFICFLLL ATFPGWHEEEDSEDSVREIKPFPSRPVSQIALAIIFIASIFVLVSVLWQHTASVAASI IAQDFGNGSVLSGVGTSAMVMGWFSFTLLIIVTIGLLVMILSIRVLTRMAD PFICI_14447 MQLRTASSTLKATLPSLQSARSPALRSCIPRPDVALKVATGRSQ GFATAISPPTPLHSSNFVGASLQGRSGRNYTIERVLQDRENRFVYLAQADHKRYVLKS LFDSDYNYALALQPKLSTSPYLRAVYDTIPGQKMFVNEYLTDHLMNFAWKPMPLATQK RILRDALRGLAAMHAHNIAHLDIKANNIMVDYHETDKGVVVDRVQLSDLEDATHIPPA QALQGLQVGNHWWRSPEAHVKGAIGKPTDIFSFAIVSIFLLLRRVIFWMDLPQSTDLS FAILEKQISHFADWDDFDSFLNYLGRGHPWQQNFTRMADSFGESNPRRPFSMWKSDVL DADFKDLIRQMTNFDPRKRITAQKALEHPWFKDVPDL PFICI_14448 MAIITLITFAPSATVLTIILLVIPTVVLAHLIWRLISSHGLPNT LPWVGMGNHVTPIARIRANLASFFNLKDLLDEGYNQFSKNEKAYVLPYFINGHQVILP RSQLQWLLQQPDSVLSQEHVNRQFLQAEHTFFHANLVKNPVHMEVVNHELAKLLGTFT GEMVDETRACLEDLWGSDAGSWREVKLYESMLSLIARLSTRVFIGQPLCHDQDFLGAC SSFNRNVALSAAALSVFPSFLRPLFAPFVTYYDYLQYQKCCRYIMPIIKERINKIHCA DKLSTFDQEPCNDYIQWAIDHALNRPVVSLEELDPKVISCRFIVLCFAAIQSSVITLT NCIFDIAATSNCVSTCNLMRQEVVNETMKAGWSKSSLARMRHIDSSLRESLRLNGFIE RGIMKMVVAPKGVTLPNGSRIPCGTKVGISGYSVHHDDSIYKSASTYDAFRFVGSRSE SPLALVTTSDKFMGFSHGSHACPGRFFAANQLKIALAHILLHYEIEPISKRPGNQWFF GHIAPPLRDTIRVRRRKM PFICI_14449 MKFLTGNIRQLLGSGHPSLDKVAKYYTQAEGKHVRPMIVLLMSR ASALCPKAPQPAPTETTQGINTSISPLDVLRDVNPSSPLTSFSSDPASTESDILPAQR RLAEITELIHTASLLHDDVIDHSVSRRGSPSANLEFGNKMAVLAGDFLLGRASVALAR LRDAEVVELLATVIANLVEGEFMQLKNTARDERNPKWSEDTMTYYLQKTYLKTASLIS KSCRAAALLGRADATTVEAAYAYGKNLGLAFQLVDDMLDYTRSEKDLGKPAGADLELG LATAPLLFAWKDIPELGSLVGRKFEQEGDAARARELVLQSNGIEQTRALAEDYSRKAI SALDTFPDSDAKDGLIEMAVKTLKRNK PFICI_14450 MSAVGIVSQQISVESEAASQLSIVISMVSKKFRTQLMIRSMEPA QGTLQLEHMWLNLGRGFHFLPICGTQETVAGRWTLLKNWQPGSNSTRFQKLVHLDDIK CDISIEKFLVFSKATETGDMVLLTMTATIVEVMKQLDDKPVDSHEEDSPSEEPSLAHP AVGKPITHGQIINVWKQSRGEGSLGCSLENLLRGATIYVPPPPPKPEPSDEYKQLMAR LRREEEERSYERMIKAPPTRETFAQRFPMAPMTMADSFAEANKPTRQSDLGEENINHG EIQQQITLIINFLVSIAGCAAAFWICAQWWSTTARLFLTLFGTITVAVCEVAVYNAYS WRMIEGDKKQKNTKEVKDIVKTWVIGADEPTKEGYEPVLIQPMVHDTNSDIRQRKVAP F PFICI_14451 MTDHVAAGARGLNRPPLRTYSKRSAPNSTEPPAKKRRVESSNVD IHSIAGRFAQKLEAACQSKHDPQSQVPLPTPAQQPKKGTILSYFKVRSPSSTTSSSCA QVSEVIPLSSTPPSSIPSESNDSSCKKRRRLTTKPPLRNSDTSSPESDDDGSSDTKQQ TQVTKDSKSTVQDVNGNLIDQDPRAKAERPDARERGTKKGSKSKKATVQTTLSLSLTE KQFIECNECNMLYNPYHEKDLKMHKKRHAAVMKANKAKGKDEACSH PFICI_14452 MKSFGVCAALLAPFSGLVHSFPTAENFAKLAQRGMLSDASEITP QDLHEGLLKLKNKRLLFDPLTTPIDVTGDHAFQAPDFDGGDQRGPCPGLNALANHNYI PRDGVVGFLDLIDAGNTIYGMGIDLITVLAIMGTAGVGDPLSLNPGFSIGGETSKVSN ILGNLGGLLGTPRGLEGSHNWIEADSSGTRDDLYVTGNAWTMNMTLFRDIYDNIDGAL TMEDIGDRAAARFDESVGINPYFYYGPYTGMIARNAGYAFVGRVLSNHSTEFPLGGNM TKEVFASFFGVYEEDGELTYKEGWEQIPENWYRTAVDYGLVSLNLDLVSWIMKHPVLA SVGGNLGTTNSFAGLNLEDVTGGLLNATSLLEGNNLFCFALEVVKTFAPNSLSSLFAT LTTPLELINNAILDPLLDLSCPTWDDLSVNGTDFLSYVTDKFPGASKGNFAL PFICI_14453 MKFSAFVLMVSAVMGGQALAASLLETYEAQYPTCSLLCMAEYVP TSGCLTGSDYEQVACLCTNAPLQANITTCVRSGCTTYEGLETKNITYTMCGQPVRDDS ATPLLVGVIGGAIALLVFIMRMCATLPHKGRQLGWDDYTMAITVALAVPPTVFSVLLS DNGLGKDMWTLPLQNIENVLFYYYLGEIFYFASLSFNKISLLLFILRVFPDRQFRQLV FGVCGLCVGYGVSFVIITAFQCNPINHSWLQVDSTHLGHCNNISLQSWMSAVCNIVID LIIIVLPLKNLYGLQIRLKKKIMIMFMFSLGIFVTIVSAIRLRSLIQFASTENPTWDY NEAAWWSTIELHVGIICACLPSLRSLFINLGVRILGSSSDKSRATAYGTNASGHGLSR NGGPEKQVSHSVPKRGDEGDFIPLVDVNDKAAKSHFQTAIGEAESYDSDIHDGKIGYE AKAYR PFICI_14454 MRSFTTPIIWDEPMADPLGPQVEAVGPTANSTYEYVIVGSGAGG APLAARLALAGHSVLLIDAGEDHHMERQVAIPALNGYASEYNPIRWEYFVDHYENETQ ANRDSKMTYLTKEGEYYVGLYPPEGAEKLGIYYPRTGALGGCTEHNALVTIKPTDKDW DDIAALTGDDSWSSDNMLQYFERLEACRYLPNSVVGHGFTGWLQTRLTPIILIAEDFK VASLVVAAATAMGNGLLGAVTATVTGLAEILTLDVNTPSSSRDDDELLYQIPMSATED YMRSGPRDFVVSVANATNDDGSKKYKLDIALNTLVTKVNFDTTGEAPKTTGVDYLYGR HLYRADPYANKTENTGISGHVYASREVILAAGSFNTPQLLKLSGVGPASELESFDIPV VKDLPGVGGNMQDRYEFGVVGQAESQFALLKDCTFLEGDDPCYDNWINNIGDLKGGYT TNGIALGWLHHSSVTEEGDDPDLFLGGIPAYLNGYKPGYSITSTEGLNTWTWLTLKAH SRNNAGTVNITSANPRDTPKIVFRSLAEGIGGDKDLQAIVEGMKYGIKAFESVIPLDG SFTRVWPPLNVSTDEELAQFARDEVWGHHASCTAPIGTDNDPLAVLDSNFKVRGVDGL RVVDASIFPKIPGMYIVTAIYMASEKAADVIIADAASS PFICI_14455 MTVQVFGAQYSTCTQRVLAVLHELGVQYSLEFVDMSKGEHKNPG FVDLHHPFGIIPAIEDDGVKLFESRAICRYLVDKYGQSEQKSLLPSKAAGAVGYGLYE QALSIEYSYFDPSMKSLSYELLFKQ PFICI_14456 MASTTAQIDDTIPIAGSQRTITQFIKIDASNIPFEPERWSVVII GSSMIGMTLGALLGFHGVPSLSFDRHTSTAIHPRAALFLLRSVEIFRQLGLDEHFREN SAKNFDLDAGMLITDKLVGGKTIMALQESDPEQVAKVSPVDRFWLTQNMFEPLIRDRA REFGATQKFQHTVLNYEEVSDGVIVYVQDLATGQIKKYHTQYLVATDGNRSATRAKEE IAWHGPGILGSAISVNFHADLRPYLGVRAKHGVTYITNPKVDAGFRLESEGTRGFMIV TRAGERTSFAPDSVSAADAKQFFRDASGITEDIEIQVDSINYWSVAGFSADKMANPSN RILIAGDAAHVMPPTGGMGGNTGIQDAYNLAWKLAYVTQGKAGHDLLASYGAERIPVN KFYLDQAYSRFQKRVAHTKPDTPELPDVVCELGSLYKSGAIVRGPNDTTTAEQGYEDP FDPRVQAGSRLPHVRILDTRSPARKVSTLDLVKREFLLIAVDADSPWLKVAGSLPLAL DTFIVNGNSLPFQDRENQVRKVMKLTEGAALLVRPDGVIAWRAPVIETGHLKLLQTTL EEILHKSMERR PFICI_14457 MTARNRTRIRALQACQECATTKTKCDNGSPCQRCARRGLRCVRD IDIQDWGTDRETEYSNALATSLERNAETLGNDFRGIAEALGAAMITPSASLTDTQVAG AYSTCESASQDTSMIPPCAAESDPLGAGRDMPAGRRENDNFDLTTQDAEFLAAFPDIF LETESRDHLFMTPEQDFGMSEWIMEGSSSVQATAAAAAPAGAPSPGYSLPTPTEDVSG QNPFRFDFSVRDHLLAFAVDACEPEHLSTVVSAFPTCEGLETLARTFLSWHAEQEDTF IHKATFIVAEVRTELLMAVVAGGAVRSSSQAVQRFGFALHRVLGIQLAKLTGKLEKPA SELQFLQAYALYNHIGLWSSNERTIEMAQGGNGSLLSILRGSGRLRQSSYSHVSISGN TGLTPDGRWRKWITEESYKRLVFYVFVHSNQESIMTFGTTPLSYFELTLPFPITKRVW AALSDQVWQENMTQLSDGNVQLDLSITDCLADLSRLVSLSNAYDQTLSRLLLLYALSP MIQSYRHLHMAPTWNCGADSSGDHFSDNMHYHWLLRPFENLKNIFELYDLDTGSSTTS QILMEMLHLHLHTPLDHLELLTGKTGPAEAQASYKLVCRWVGSRMARRSAWYAGQLLG KIQDLPSATMTDFHCIIVYQALLCLWAYGSISNGGSETGAMGGQQVDVSLAGEEIDIP LDSMENTNTKKWISDPMFSVGKLDADSALCESDQK PFICI_14458 MYNSLLSPWTSSSAVLALLHYSYAVHALDEAFDPLVYVDPLIGT ANYGNVFPGATLPYGIAKAVADTNSDSRQGGFTLDGSPISGFSVLHDSGTGGSPSLGN FPLFPYAECPGNDIDGCSFPKKERVRYGSFDNSSVISRPGYFSITLNNGIEAEMTATH SAALFRFTFPGSSNDNGSYPLILQDLTDLSDSRQDNGTVSVDAEIGRISGSAVFKPSF GLGTYSAYFCTDFTGSSIHDSGIFVNSRATTEAQDLKIKAGINGYPLPGGAFVRFETA DTPILARVGVSFISVAKACSNAETEIPEFDFDSVSTAASNAWKDKLSPITVSTGGGID ESLVTSFYSGMYRTMVNPQNYTGENPLWNHGEPYFDSFYCLWDSFRSQLPFLTILDPA SVSQMIRSLIDTYRVEGWLPDCHMSFCNGYTQGGSNADVVLADAFIKGLNDKIDWATG YDALVKDAEVEPFAWDLHGRGGLDSWKELGYIPVQDLDYKGFGTMTRSISRTLWYVDM RQTCKMNPETVADYIAEEYSYNDYCVAQVANGLNKSSDASKYLASSGNWKNLYNPTTP SLLFTGEDTGFVGFFQPKYLNQTWADQNPLFCSNIDTEPHTFGCSLQNTALETFESSI WEYGFFVPHDQADLMSLYGGPAEFVRRLNYLHDQNITGIGNEPSFLTVYQYHYAGRPA LSALRSHFYIPRYFNTEPGGLPGNDDSGAMGSFLAFSMMGLFPNPGQNVYLITPPYFE SVSIKHPITGKTASITNVNFDPSYEAIYIQSATLDGEPYTKNWLDHSFFTEGKELVLT LGRNESSWGQGVKDLPPSLSDYTGFNGTYSAKPRSLKSRYLRDSAFNFNVNGQM PFICI_14459 MLCPERPGLLFFLVSCFIIVWGFRIFVFDIPDAVASIFHGTPDR LEVEREFVQQAMSVEFPAPIDYEPIRQVCARTQFRPGLVFSCEGQHGGIGMVRNQILK CVRYAIHGGGALVIPSMALRNAKNLADIETSTEVPLDYLLDRDTFVSHLSKGCPGMQL YDHADDFPSYQHRTGEPLHILGDQFEPDHPREGLRHPRQWREFFDNWLEEKSVKVSAN APVHVKFEQSFLEYPVQDDGEAFVNEFGKILSYRNDTRALAAKVLFEMKRRFTLPIDP KTAINPGTYYGAHLRLESDAIWAWPPAQWRFSRMDEQFEQQFQNLERSGLGVVYVASG NQTVVDMFAEAWGKRITANPGSGRRNVTVVTKHDLLRGHDRQRLEGMTFDQQALVDFM VMFKASAFMGVAHSSFPWTVALRRHELSKYTSYANEGSDLLRDEYSVIMGMQADYPEI DPFVTGIWP PFICI_14460 MSADAAPGPLTVLVSTRAVLTLPDDSLILTPATISISTELGKIV AIEQTVVPKTSFPSSVTYVDYGSKVLMPGLVDAHVHLNEPGRTEWEGFNTGTRAAASG GVTTVIDMPLNAIPPTTTVAGFKEKLAASRGQCWIDVGFYGGVIPGNANELLPLVEAG VRGFKGFLIESGVDEFPAISSKDIELAMKTLNGTPTTLMFHAEMIPPIADSVGDKVQA SEPPLAPHGDLKAYQTFLESRPPVFETCAIDEIISLSHLATNLHLHIVHLSAIQAIPI LKKARKNGVNITAETCFHYLGLAAESVEDGDCRYKCCPPIREQNNQDGLWDELVAEDS CIKTIVSDHSPCTPELKLLPPHLQNTEAAHMHHSDSGVDVTADLQLADLDKQATQASA QGDFFAAWGGISSVGLGLPILYTASTLRAKQGGKTPSLVDVVRLCCQSTAKQVGLYHR KGALKVGMDADVCVFDDAEEWVLQSHGMHWKNRCSPWEGHKFQGRVKETWLRGTKVYQ HGGLNHGFVTESPVGEAIVEKRTV PFICI_14461 MAAIAVRCSHPSEKLLEARTRDDDWTGLGSAAERRRRQNRLHQR AWRRRRVQRQASTESVEPTCVRPQDDGVAPALSNRLKLEVESLLRADSRSGNDLPSLK PFSYWELLKAERENCNVSVSNETELSTRRHRLIPPMIPYSTGADYQHTLPQFQFPLAP DHQLIVLIQFNVLRATMTNLAILSLQHRMPTECGAAFHILPLSEAPSTIPPSLQPTMI QLSVPHDLWIDMIPFPAMRDNLIMLSECGDGIDEDDFCEDALGGLYEGYDEIETRGII VWGEPWCPSGWEVSAGFARKWARLLKGCDELLEATQRYRLARGEERLVFEV PFICI_14462 MPGAISGLVDFDPEKDIPSLQGKVVFVTGGTAGLGKSSVLAFAK HNPIHVYFTGRNAEAGAAVVEEIKGIDPNVGATFIKVDMMSLADLKAACSQFSHDRLD VLLCNAGIMNQPPGLSSNGYEKHFATNHLGNAMITKQLLPILLKTAEKLESDVRIVNL TSLGWQLHSKRGIDFDDLRNAKPGFLRSYYHYGESKLANIVYARELARRYSTIMAVSV HPGVVKTDLVNNLSVARKAVVYVSQYLMGVSLMEEWQGCLNQLWAAAGAKRGDLVNGA FYRPVGVLSNDMLDDVAKDTELGENLWSWTEEVLANY PFICI_14463 MAGSSRDNISYEQLRHVDVPVVAPYPIRKSHSYSQDAGQAPHDR PTLGTPSANKPQHIAMPGSRGPRPPSFEDREALASLEARSPGARRPPVRPGRTNSMNT SVTAHTAHTLTPQMAEDSDNDREHQPAYFDQAAGPSANQFLAARGRPMSFYDMKEQNK QAKLGAIGGNNNRASWRSGDMPFNYADIIGDNRHSYVPPNMGRHVNRPNREADNFLPT RLPWTMWMNSEAKNHFVAALGEWVGTTMFLFFAFAGTQVANAQTKTPAEATTTNATAG FDPIVMLYISVSFGFSLMVNVWVFFRISGGLFNPAVTLALWATRAIDATRAVILFLSQ IVGSITASALIVAIFPTPFSVRTTLSDGTSIAQGLFVEAFMTAELVFTILMLAKEKHR STFIAPVGIGLALFIAELVGVYYTGGSLNPARSIGPCIVSGKFDPEHWIYWVGPGMGA IFAIAFYLFIKVLEYEMANPGQDGDEVNDPTKNPNHELREKQREMTTRILSSLGYENP TLANPSDAVRSAEEGAYFTSRTSSVMNDSDQEQRFGANGVALSTVASMSDHIGSPPPT SHVPSVSVHGDR PFICI_14464 MVIVLNSTMGSSLPSQAIPFITKDWGVEGQNEQNLPISTYLVGY VFGPLIWGPMSEHFGRRWLLLGTFICYCASVLACALAPSWSFLLAFRFLAGTFASSPL AITTGIFADIYGEPVERGRAMAFFNATTILGPIFAPIISGWTAPTIGWRWCFWIGLIY AVLSFILLCFLPETFSPRLLSNKATRMRKQDSSLNVIAASERDPLTPTRFITVILTRP IRMLVSESIVMCCSAYLSLVYAIFYMSFRAYPIIFQEFYSLSPGVTGLCFLPIGLGAI CTLPVFYWYDSYLRRLRARHVPWALREEARRLPLACLGGPLFVVSLFWLGWTSRNGVH FVVPMLAGLLFGFGFQFIMMALLNYLSDAYEIYAASAHAVASMCRSLLAVALPFATLP LFDRLGIAGACSFLAGLSALMCAIPFWFIWKGDEIRAGSKYCTALKQKSDTII PFICI_14465 METNMPAREQAHFEAEKEEFEPGTSISASMDVGHPYQEQRVPSI VGHISLDDVDPVNVQLRDLSVQVDTSPSLLEPATYPELFQRLSGQKDTRHNVKPLLSS IDATLPSGSLTAILGGSGSGKTTLLNTIAERVFSGRLAQAGSILFNGQKGVTSINQAY VMQQDILIPSLTVRETLRYSADLRLPRAVSREDRWRIVEEVILELGLKECANTRVGNS QRRGLSGGEKRRTSIGVQLLANPSVLFLDEPTTGLDATSAYQLVKTLKSLAQKGRTII TTIHQPRSEIWGLFDNLILLSRGSPVFSGPRSECEPWFSDLGYQIPPFVNPAEYYIDQ AAIDNRTPELEEESTVRVHALKAAWNEQRPMRFPPALDQGLVSNKPARRAQSQHAGFC RQIRVLTDRNLKVTVRDPMGMAGSIGEAISMSIILGYIFYALPRDQSGIRSREGALYS SVGLQGYLYLMFETYRLTNDIPTFDREHNEGCVTALPFILSRRIAGFFTEDIPVPFLY SVILYFMVGFDREAGKFFTFFSIILLNHYIAIMLAMTAVAAVRHFPGASLIGNLAYTL QSMACGYFIQSNTIPVYVRWLKYATYTYYAFGGLCGNEFENNFYNCPLEGGADNPGCA SYTGAYIMDNLGFPKNWIARPILAMVGFVGLFLITSWVGLAYLRVEMTIARARNSDKD LSLGKEKMNAVPTQEVRAIDVGLSDFGLVVDKRSFLGKKQSTKTILNPVNAIFQAGSL NIVMGPSGSGKTSLLNSMALRLNGSFGTRYLASGKLTFNGAVPSDSVIRSVVSYVSQD DDALLPSLTVRETLRFAAGLRLPSWMSKRQKYDRAEEVLLKMGLKDCANNLVGSDLIK GISGGEKRRVSIAVQILTDPRVLLLDEPTSGLDAFTANNILEVLHGLANEGRTLILTI HQARSDLFKHFGNVLLLARGGSPVFAGAAKDMLGYFGNLGHQCPRHTNPADYALDLIT IDLQEEKREVESREKVRRLVEAWRDCSKTNIHSDTIGSNPGGLDQVDEASEAEPQANS NQQQQSSDVTERANSMNQESAPDADPSSAATPSEKRPAETPQRKPFNRTTLASPAEVG AMARKRTSFATAFPLLMHRAMLNIRRQPPLILARIMQVLGLALVLSLFFAPLHNDYPS IQNRVGFVQEVGAFYFVGMLQNVAIYPAEREVFYREDDDTVYSVEAFLATYTILEVPF EIISCLIFGVLADFAVGLPRTAEMYFICVFCCFAIVNCGESLGIMFNTLFNHTGFAVN VISILLSVSQTMSGIMSIVRTYGAQFMSCFPGL PFICI_14466 MPELFKAFNYLSPLKYATAALAHYSLTGVKFTCNDSQRMPNGDC NISTGEEVLNLYKLNQNGPINIGVLAAVVVIYRLIAWGLLRLVRTRWKSVVEKTRKSH N PFICI_14467 MSLNDFRAAWPASRACVADFMNGVSLNAHDFIRALQIVDCAKRL LTPEELVEALATDTQNCSINEDKKARFGDGRGLLVDHCQLGTIGKTQAEGQGPIYWDK TSQMYCAHVIKGTRGKETAADAWLWDAEAECAKVCLAYLECHPEPYHKRQSSFVVYCA KYWPSHIKAAQNNEVLNKRVMDFLTTPAAVESWLQVYDDEDATLREVLVPRRYDWLPA SRSPLYHAVFHSLPVYTTLLMQSTIEVRDKEEALYAGCLIGNSDAVKALLDNGTDPNA EYGPLESTLAAAAKGGNIDIFRMLTRSGAKIPSDTDDSHPLAVAAIHGNLDIMEEILQ TQDWDAKQSVLGSCLDSLSARLDGDRREEALAVLLKHVERSQKYV PFICI_14468 MSTSQSLDIRSRKPENLGVWTNPKHELHLQSGPVPTLNEGQCLV HVRATGICGSDVHFWKKGHIGDMVVTGENGLGHESSGVVIDVGPGVTKYKPGDRVALE CGIPCMKATCYYCRIGRYNACPDVVFFSTPPHHGTLTRYHVHPEEWLHPLPDELSFEE GSLLEPLSVALAGIDRSELRLGDPIVICGAGPIGLVTLLAAHAAGATPIVITDLDESR LQFAKKLIPRVRTVKVDTKLGSKEVADEVRKTLGTEAKLVFECTGVESSVQTGIYSCR FGGMVFIIGCGKDFQTMPFSYMSAREIDIRFQYRYHDTYPKAIALVSAGLIDLKPLVT HRFTLEEGEKAFAAASDPSAKAVKVQILDD PFICI_14469 MSLNGKVALVTGAARGIGAAIVRTLAAQGAKVAFNYVSESSEKA ALALVEDVKRLGSSAVCVRCDVAAPTAPATILHAALTGFGVDKIDILVNNAGLGGNTA LEDITVEEYERLMGVNVRAVLFMTQAVLPYISRGGRIVNLSSISARGGYATQSVYAAT KAAVEGFTRVWATELGHKYGVTVNAVNPGPVDTDMYRAAGPVHLARMEEQNKKVPAAP RCGTEEDVSDIILFLCEERSRWVTGDVICANGGMLYT PFICI_14470 MIRQLRDARKQVQLLKAAWRRFVPHIDLAQAVHTVETQTTPDST LQEGFDHTTETSGPLEDSIDETIHNTVAPPSNADQEDEKWDTLEWNEIRSLRISSDGI GSLTVNPKGSGYMGPQSGNSLLRYLQSIANFFPNTDGSGDGNRDEFGAEKLLTAHEPP SSQMFMQCCIDWYFKHFHSAYPILHEGYFRAQNMGVIAKSQDGSWPLLFNIVLALGAF AGPQSSNNYDIYFFNRAREHISADILQCGSLQLVQAFALLANYTQKRNMPNSGFTMLG IAYNLALGLGLHREFSEKASSPFTMEVRRRVWWTLYIFDTGARLTFGRPTMELHGTNI KPPKNLHDSDISVDIESLPASRDEPTAASSLIWQSKLAAISSTVNTTLLERQLPDQPR ILVLDDEVSKWKQSLPLYFYSALDPEFTWFEIPRAVLLWRSWHLRIVMTRPFLLDVVR NRRALVLTDANSAVVRCINSAQECIVSINTFCHGRSEMPGALVWYATYWLVTAVFVNV TCLIYDPYNGLSPVWRQQAESSKLILETMGAVEPIAKRAAFILKNIIGLVPSSPDSTI LGYNEPTRMEIADVWGQSWAMPPMFDQELQDIIQMPRDMLNS PFICI_14471 MEKSQDPRIDSPTEKFALDHVDNAAPSSDYNASHQTAGEITNPL AAKTKDELNSDVEAFCTAHNLVDRLETFKKGALVARTPHAPRSIAELTADDHEVLRVE AEHRWRHPKALYFTIFMTSIGAAIQGWDQTGSNGANLSYPQVFDIAETGEACELAGTC ARNAWIVGAINSAPYMAICVIACWLSDPLNDLLGRRGTIFVGAIFSLIAPIGQAVSQS WVQILVCRILLGIGMGLKEVTVPVFSAENAPANIRGALTMTWQLFVAFGIMLGFTANL VVIDVGDIAWRLQLGSAFIPAVPLLIGIFMTPESPRWLMKKGKYEKAYRSLLRLRGSP LLACRDMYYLHAQLEVEEALIKATGSARGGFFTRAMELFTVPRNRRAAQASGIIMASQ QFCGINIMAFYSSTIFSQAGASNKVALLASWGFGMAMFVFAIPALYTIDTWGRRALLL ATFPNMCWTLLAAGMAFYVPAESPAHIGLIALFIYLYVAFYGPGEGPCAFVYSSEVFP LSHREVGMSWAVATNNFWAVVVALTFPPMLQGLKPQGSFGLFSALNIVCLVAIFLFVP ETSKRTLEELDGVFNVSTRRHASYQFREVLPWWFRRYILRKKGEKEPQLYHIDASPSS V PFICI_14472 MAPKVLVVLTSFGQIDADHKTGWYLSVRALKRAPANTKFSPKTQ PELAHPYDVLEPKTEITIVSPKGGEAPLDQASVDAFKQDPSSVNFLKTKEALWKNTGK LSDYLGRSSEFAALFYPGGHGPMFDLATDKDSIALINEFVAAGKPVAAVCHGPIVFTN VKLPNGQHLLAGKEATGFSNEEEDQAGMTKLMPVLLEDKIKEAGGVYKKADQAWGELV ISVDGGKFITGQNPASAKGVGEAIAKAIGV PFICI_14473 MSNMKGDLVVSFLSPSSPPISSIASSPLESVCSTKDDLPEQNLA AKVYLQCQRLWESSEIKIGDEIQTARHRSFPITVSDENGSDEFIFQVPNDTSDIELTA AVYNYIHQELDLKANTPRVHHKNSGFDGPVGHPYLVFSRLPGLSLQETWPNLTHEQRL SVARQVGELYLDLQSATNSHCGLFKVPMDRYGHSMEGSVLLEAFGQQRYHLENTERDS IELEEDGLVNLNNLRKDPPGLSARDMAILTFERRKYYSSASRRQWLEEYTDKAVDMIK AITHKGLLDDTICIWHRNISAENILIERDANGEPKITGLLGWDGAGFAPRFMTCRAPQ WLWTRVGTKVVRFAPGPCDGNIHVSKGYPEMDEEPFEAFEPTKSEAKKIKAAFDEAVG EVFTRAAYDTHMIIARRLMHVAACSVWDLDHTQELAMIFAEWEHRKIRAEKLKEGVRN MLDRGEVRRRGSL PFICI_14474 MAKAHLEVHPNASMLVLDSAKSVGGVWAKERLYPGLKTNNLLGS YEFSDFPMSPGRFDVRPGEHISGDAVHDYLEQFANHFGIASRLRLGHTVESAELLDSG DWLLQVVSVDLKPSRPPIERVIARKMVVATGLTSKPYIPTFSGQDLFNRDLFHAKELS QRSNSLKQAKHVVVIGGNKSAWDACFSVAEAGAQAHMIIRPSGGGPSWVWPVLFSPLK LSIQRLASTRFATWFDPCIWTEKKGLTGWIRRFLHETRLGRIFVSAFWRLLQYYAYKS HRYNDHPETQKLKPWVNPFWMGNSLSIHNYSSSWFDLVRQGRITIHIADVGRLSEGRV HLSDDTTLDADALVCCTGWIQKPSIQFLRNGTNSKIGLNTRETEVMNQVAMARKEIYH RMPALRQPPRIIRTRETPQATLDVSNRCLQTEHRFQLYRFIIPADGDTLRHKNLAFIG SHLALTAVMISQLQALWITAFFSNEINTLKTSNIDFARVMYETILHNEYTRIRHPPTA GGCGERCPDLTFDCLPYMDLLMQDLGLNPFRRYKRDGFWSEICGRYMPSDYKGIVQEW MDTKRPQS PFICI_14475 MPEMSKNTESTVPDPVMVSEVISRPSISKAEIEKQASQSIALIP WDPDSTAHVERLRLQRIACGWKIAKVDEWKDLQQSGKIGMHWIALTPSHLETSSRLQK HIKAFPDESTPLADTCRVVLSRPHTPDPQFDKFIPIGHISLDVVTTFPELRASAADGV YSINSFYISRSLQRGGLGAAAMVACERIARTVFRAKTITLSTISNDEMYHENPRRVAM GLTEIPKPTNQDWYTKRGYTIYGHRLNAYHEIDPTGKRWGIKCVFMSKELEPVD PFICI_14476 MDAMMPMLSDHGDMSWSEGQSSSSPDDNNSNNNLNPLSRSPIWA TPTMTATATTRLETGQLSPVSTELEMPAVTASLPHLIHSATSSSHGSWTSYSEGDDAD QEHDHDLDNEHEHDVQWDNHSDDMLIPKLEPTEDDDFHMEDLREAPLTTPRPASGNPA QGLKTKRPRGRPRKHPLTPHVATNKVAKGRSKTGCITCRKRKKKCDEAKPRCMNCEKN AVVCEGYNEKTIWKSGKEKADEAARRSSQELPVITLQPIFQGVETPEDMVFLNHYISH LSGVLTVEGQFKNAFKDMLLQMAVEHRGLMHSILCLASKHIDYNTPYGAKLLESNPKI TAESLAARSEFHHQAAMTKFYEDINQETNTDLAKQTVNLSVRYGQMLCLLLKSMVEGK SDGEHRLHLQAYKGLIQASPPADSGFLVFITEFFQFHVYADELIRYPDVRAPRLAHED WVPWLPIQPARLIGVADGLFKFLSQITTIRNTIRNNMLNDVDPVVDYASLFRAAEIDA AIREWTPHWPPGDSRERVGLLYKQMMWVYLFRTIYPPSTTTATPPAMPTDQYNRPTSP PLSPTSPVHSARDPHATTDRLRVASHALSNNCRGESPAPIRYPPHHDARITVAVDESL AILDSIKPSDPCQTLLLIPCLIIGCASFAPSQQNRIRNTLKGVRGYTGLRNCDRLMEL LEEMWKLMERGDWAAVWDWQAVAKGMGLDFCVA PFICI_14477 MGRQILTYYLNERPTGNIVHGQTFRSEKTEAPTADQLKDGQVLV EALYLSLDPAMRGWLNDIRSYLPPVAIGEKMRGSAISRVLASKNPKFKEGDIVNASIG WTEMAIVDEKLLDKVELPQNSRITDSLGVLGMTGLTAYFGLEKIGNVKPGDVVVVSGA AGATGSVVGQIAKLKGAHVIGIAGSDDKCNWLKSELGFDEVLNYKSPTFTKDFVKLTP NFINVYWDNVGGEILELALSRAAFRSRFVMCGSISGYNSPGKESKGIRNLFQVTTQRI RMEGFIVFDFLTEYVPARKELYQWLSEGKIKRAEHIIQGGLANVENAWDELFAGRNTG KLLLEVKPNEEKVSAHL PFICI_14478 MGQQASQLERADFDVLHDEHSVSQSPVGDHLRQDTEHDDESSSI NTSSQDFAFSSQVPLSPSRKSRPSRKRSRTSIPKFEKSSQSPESAIVKAEPDINSLDQ DSEAVSPKAAKRKRGKSKKRQSLTEQGNLEEEDIANGAMAKKTASTTEPMSSMDLDGI KSSPGDGQASSLGDVTDQQQAKRDRKERKKAKKAAKQAEQLRASLLAANPNFGAHDDP ESLGQGRQSQMHFDHVADSNVEDGASNEPGRLGSQRSKGSAQTNVDMQTESKNEAVDD SRMEDPNQLPTPDDEGQSNVLPINTNSHVQASSAANAHSSAQPSGRKRKSKDSDKKSR KRQREEASDSASKAPRGESADVAMTGTTSVSPAVDERSSAVPELPGSTSRIGDLAREY YSQRYASKNSTPTKSNMAAVSNKRLRLAGLETFAPDDSPSAARQQRQATSARSSSERP ESPVSKHIQDAADSMDLDADDDYVNESHLGSDDLGADINERLDEDDSAVPNERQALES PAHEPAASNSKSKSKTARQSGSKSSATKTRHAKSSYLDREAHDNVQAFNEMPSPAVIA ASRKGKGRAKNPVPESNATDPSHGQQGSEKQAKITDLMMRTRPSSAGSSSQRPSATPA PTFTRMLSKKVTRLANPKPEAEETGPFTGNDIRNLKEAIDKWRDMHDLTDVEINDMVQ KNPQEAKTTDFWNYVHHSCPNRKRQKVINQVRKTHHNFVARATWTKEQHDELAELYEI HGKNFKLLGQLINRHPADVRDRIRNYVVCGDKRRTDVWDHEEEAKLITILNEAFEKIR ELKAHGRSDLNPQDDEELVDWAQVSENMEHTRSRLQCQVKWKHLRLRMEGGNIDGKAG HSMADIIQTARDDFKKMTNKDLFLICKAIKKSGTTSDSRIGWAKLRNTWWAMEQWNRP ALMLAWHRLSRSMPGWTSNTQVPEIARYQMDKYQESKKVHILPESEMDMDAETQALEH KVGKIIRNTKMYKTPHFAVKSDDEDDEEDVENNEVDVGRPQRLNLDAQDENDLEIQES ENGSQQGDEPSEEYAEAAAREAETKKKSKPKTRRSSQKIRPTIEQSPEAQPSKASHGT STLPNAQGKDSARSRAAGKARAKPSRARKSKVTKTIAQDDAEVSSDTDADDVEDIPAV LPD PFICI_14479 MGSLDRTDHSLQSVSEVAVIGAGISGITSAAHLLRQGIKPTVFE RSSQVGGVWHYDDRVAKEPNYPNIQPPPPTQSRPIISRANDLTAQTRSFEDVSIEHAP PGPCYKGLRNNVPTALMRSMLMNWPEGTPDFVSQDHIDQYIRDLSMYTGAHERVQFDT TVDGVSKNTETGKWVVNTRTLRKTGSDFEFLGRRWEFDAVIVASGHYHEPKIPDIPGL KEWKERHPESISHSKRYRSPEPFKDKTVFVIGAGVSSLDVVRESHAVAKRVYQSSRDG QFDIPASLLPPGAERVGGIEKFVSYEGSAAGDVVLQDGTVLTDVDVIVLGTGYITSYP FLGPLQDPSVPTEEADDNIVVSKDGLTTHNLHKDIFYIPDPTLIFVGVPYYTSTFSLF DFQAEVVSRFLAGKAALPSQEVLRQEYDERKAGLIEGSKTFHSLLRKEVPYMNGILEW VNAEAKKLGHEPMRGVDDRWLERYEFFVAEMKKRRETDPAEDQGKLLIPEGLRLSVAV ASA PFICI_14480 MSSSIGTMFSGYLMTATYRLNNVQGYRGWQWLFIVNTIISLPIA IAGFFFFPDVPEITRAWWLKPEEIELAKRRMELEGRANRGRYSKAKFKKIFSSWHIYM LTLLYVLFNNGSNYGSQPVFALWLKSEGYSVSAVNSYPTLTPAVQIIFTLIYAWTSDT VFRGERWPCIVFSGVVNIITNTSMAVWNIPNGWKWTCLILGSIGGGISGINMAWAHEI CSDDNEERALVVGTMNEMAYVVQAWLPLLIWQQVESPRYQKGFITMVFVAAGLIATAL TIRVLHRKERAKKLRAQETEG PFICI_14481 MTPPQLGSGVVIMDEKPTAEGTASAASDDDSGSGTQDLVPVLQD PKKTWRSYVWDTFDKSPEERRFLFKLDAVILTFASLGYFIKYLDQVNVNSAFVSGMKE DLGLYGNQLNYMITCWTVGYVIGEIPR PFICI_14482 MAPAPIDERIVDVAPARKDTLGLPEPALARLQKAGIDLSEGYPY RPSAPLYLDDVYKIRSQEWKHDEAGARADKSKSALLSAATKVTDLTTHIGTEIEGLQL KDLTDQQKDELALLIAERSVVFFRDQDISPQQQLALGKYYGEVEVHPQVPQVPGHLGV TVIWPALQATERVANFRNPGGASRWHTDLVHELQPAGITHLHNDTVPPIGGDTLWASG YSAYEKLSPDFRKIIDGKYAVYRSAHPYLDRENPEAGPKYIERVHPLVRVHPATGWKA LWVNRAMTDRIVGLDKAESNVILNYLYDVYEKNVDIQVRFKWTPRTSALWDNRITIHN ASWDYGGHYPRHGTRVTTLGEKPFFKPDAPTRRQALGLLDHDELPTDGPVN PFICI_14483 MHTFASTLALTQLFVGLGSAILDGIDARDVYEEMEHLLVDNSGT NFDGFINAVSPCSNYFQDRTGIEGEQSSAQWVRLVFHDFVTADVSAGTGGLDGSIAYE ADRPENPGLFVNDTLKFMLPTITAYVSMADNIALGIVAAVATCSGNSTGIPLRAGRTD ALAAGPSGVPEPTTSLEDTLAQFEAAGFSQEDAIASTACGHSLGRIHYSNFPDIVDES TVTSNNTHGGVGFDSTPASFDATVVNEYLDGTGSLGGLLVTAPSVDDRSDLRLYASDN NATMQNLSEELAFRSTCFDVFERMINTVPSGSTLTDPIVPMAWKAIELATDIDSTGSV SIAGRIRNLYSSGSAPTDVTYTISGSSGDFTATSATASGTGGSLFGSTSYYAFNSTID SPGTTSLSFGDVSYDINDEIFVLPAKSTTSNRSGTVKAAVLTSSVTDDAMQLVLYIPG AISGTAARVIATSTVAMTEYGTAGNYTLYSASISGNVGSGSGTIVKAVMGDLASNTVK VEIFG PFICI_14484 MKSVISAAILAYAAGNVAAHATFQDLWVDGVDQGTTCARIPLSN SPVTDVTSDDIVCNAGTSAVTSNCDVTAGSTVTVEMHQQPNDRSCSNEAIGGAHYGPV LVYMSAVSDATSADGSDGWFKIFEDTWASAGSSGSDDNWGTKDLNNCCGKMDVKIPSD LAPGDYLLRAEAIALHSAGSSGGAQFYMTCYQLTVSGSGAASISDTVLFPGAYDASDP GILINIYQSMSTYGAPGPTVYSGGSTQSAGATCAASATGAAATTLASASATTAVTTAT TSSTVSSQVQAVTSASVASSSSQAAAATSAASTTLTTAASSATSATEAASSAVTSTVS SAKATSTAGSTCKRRRRRANKNRRS PFICI_14485 MSTQYNAIRAPYDELRKGYLSIIERENVREAVAPYIKGAKVLEL ACGSGFYTTYLVEWGASRVLAADISSVMLEEAQRAVDSAGFGPKVTLLEADCGKPEEY AGGPFQVVVGGWILNYAANIEALTQTFRNIAINLEEGGVFIGVTPPPTNDPVAFYEAE NRVRPAPRGSGLLVSHSTGTVEDGVTVHRHADTSAGVVDFDTYHLRRDTYERAARAAG LQGKFEWSTTKVPVDFLENPAGGASREELETYGTVPHYGILVISKD PFICI_14486 MIDFGNFDRVFGPLNPFPYDRTFIQEAEGFRRSFEGALFIDKIL AALGLAKVRGLYPPKSEATLHQLHEKVTASTTIATHHKLSILYYLLLDIDEFLKSQPG HIDGNKADQFANRSGLPTKYQLLIKGLWHFDRQKFDVGLEYLTHPSLTPEFADDIITV LARQATKDGDYTLPLAYWHTVQPVLKTETAVQLLFDALIQSDIGEALRFSRAKPEAQR QGLFKRLVIGVLDSERGDEAADRAGELASLPFDENEDEWFREYLASGEGKRLKIARDT LLMRRIALGEPVSTTGEKGTWGVVMEAFKVGSGGRT PFICI_14487 MSGRPTRRAAATRRAAIIESEDEDEIASATPIKRQEESEDEFTL EPEEAPKPRATRGRRKTATSAATSTPRPGRPRKSVAPSEASEVMDPDVTASTPRPGRP RKSVAPSETSEVMDPDVTATPAIKESESAMKKPAVRRRVRKSVAPSEASEVNDADITA STPRPGRPRRSVAPSEASEVMDPDATATPIIKQYESAVKKPAVRRTRKSVAPRKDKEP SPSAQSQASEDLLATPKPAATPEPKSPGRGTPLADITNKSIADSQPSGDENVTIKPIK AMDAVFEKPMDIVLKSRAQMAVPVPEEDKGPKPRIVITYLILNNFKSYAGRQEVGPFH ASFSSVVGPNGSGKSNVIDSLLFVFGFRASKMRQGKISALIHNSAAYPNLDHCEVAVH FQEVMDQPGGGSEVIPNSQIVISRRAFKNNSSKYYIDGKESNFTIVTTLLRDRGVDLD HKRFLILQGEVESIAQMKPKAANEHDDGLLEYLEDIIGTSKYKAPIEESAQEVETLNE VCMEKSGRVQHVEKEKNSLEDKKNKALAFIRDENELTLKQSALYQLYISECQDNLEIT EDAINQMQEQLNAELEKHQGSEQVIKQLQKDYAKGSKEYEAQEKEHQALAKEVAKFEQ ERVKFDEKRKFLTDKRKKLEKTIANAEKSSTDADETIEQCSEEIKTSTEEIAALEQSI QEEEAELATIRENLKGKTQVFSDQIAAKQKSLEPWKEKINQKQSAIAVAESELAILEE KANAGSVALEEMKNKIASIEKGRASKLAELEECQAEKAELDEEAKTVEAGLEQFAAKE HIIRNKVSNARQKADEARSSLAQTQNRGNVLTALMRMRETGRIDGFHGRLGNLGAIDK KYDVAISTACGALDNFVTDTVEAGQQCIEYLRKTNLGRGNFMCLDKLRVRGMDPIQTP EDAPRLFDLVKAKDDKFRPAFYHALQDTLVAQDLAQANRIAYGAKRWRVVTLAGELID KSGTMSGGGTTVKKGLMSSKLVADISREHVTELEESRDVLEQKYQKFQDQQRELENRL RQLKEQIPQLETKMQKINLEISSAEKNLVDAQRRIKELGKEHQPSAADDNRSAALQKE IAKLNKEVEKLRAETEDIEAEIKELQDKIMEVGGEKLRAQRAKVDSIKEEIASYNEAV SAAEVKRVKAEKSKTKLEKDHAKATKELTSAVRDLEKLEAEVENQSEKSESLQASVDE AAEALSAKKEELAGVKAELDEKTSELNETRAVEIEMKNKLEENQKALAENQKRLRYWD EKLGKLALQNVSDLEGVSPPKTPSPPKETQDSDGTPDSPTGQLEQEAEEASKLEEEEE GDTTVIHQPNELPTYTADELKDMSKEKLKAEIAALEEKTQNVNVDVAVLAEYRRRVEE HAARSSDLSTAVTTRDAAKKRCDELRRLRLEGFMEGFSTISLRLKEMYQMITMGGNAE LELVDSLDPFSEGILFSVMPPKKSWKNISNLSGGEKTLSSLALVFALHHYKPTPLYVM DEIDAALDFRNVSIVANYIKERTKNAQFIVISLRNNMFELAARLVGVYKVNHMTKSVT IENKDYIRRGAAQRPAGGATTTVGTSS PFICI_14488 MLATTSSLDQPPDLNRNGTRYGMTSQDEATILHQWNTMDSVMYK LVKASWSTRDGITRGIEYAFSLRALTQGPEQYIARQFSSMAWGKGGYAIQCLPQVLDL C PFICI_14489 MPVLDPELIGRGLNEITHQLARRDVDHRVLETLAVAATCIFGVG GYIYWAMKQK PFICI_14490 MAQIHGICTSKFQKVRDLFQQFLDSGEEVGASITVNVDGEDVIN LWGGFVNAERTQPWNEDTIVPVASSTKMIPALAVLMLADSGAISVYDKVSKHWPEFAA NGKEDIEIRHCLSHTSGVAGWDEKLTMDDICDVASSAAKLAGQAPWWTPGTASAYHGW NFGHLLSEIVYRVTGMYLKEFIAKNITGPLGADFQIGLKEEDEARLSEFIPTPPSAPP RDLGPLFIKVLGNPSMAPAMGRTKAWRNGEIGASNGYGNARALNTMLSHVTLAGLDSV KADARLLSASTVNLIFEEQSYGKDLAVGKTIRFGIGYALRGDGDTWVDDWLPAGRVAY WGGSGGSLGIMDVDRRVTITYAMNQKSNDMIGNTASRAYIKAIYEALGVEME PFICI_14491 MSTLHSFSRLPFELRAHIWALTVEPRTVEVRIVYGPGKLQRLVS STLVPAILQTCHEARSLGLYKQAFSELTITESSDTMDDISRYVWLNLDIDMISIGTTS FESFVPVSAFIKRLRFERKNSEEYFYHWESKELRDWTSTEEIHVVCEDGLGAWHGATE EHSWPCALENLWFFDPYDGRMMRSLDMEEMLDNELRESWAAEGYEYPSGDSLL PFICI_14492 MMRTVANALVASGLLLAPAAADSCQTPINHPGTAFSNVQPLNTT ILDPYGHSPAVYPSPNTTGIGGWEEAMAKAKAFTAQLTLEEKAWMVTGQPGPCVGNVL PIPRLNFSGLCLQNGPQGIEEGTYSSVFVSGVSAAASWDRKLIYDRAYAIAEEHKAKG THVILGPVAGPLGRSAYNGRSWEGFAADPYLTGVCMEETIIGFQDAGVQANAKHFIGN EQETQRNPTFDPNANATTYIQDSVSSNIDDRTVHEIYMWPFANAVRSGAASFMCSYNR LNGSHSCQNSWALNHLLKTELGFQGYVMSDWGATHSGVASIESGMDMTMPGGFTLYGE MWTEGSYFGKNLTAAVENGTVDMSRLDDMVIRTMTPYYLLGQDQDYPSVDSSVGPLNV DSPPDTWLYDWTFTGTSNRDVRGNHSVLIRQHGAESTVLLKNENGALPLNAPKSIAVF GNDAGPITQGAYNNVNYEYGVLPNAGGSGTCRFSSLSNPLDAITRRAEQDGALVQSWL NNTLLTTTALPDLWIGQLPDVCLVFLKSWAEENKDRDSLDLDWNGSAVVEAVATYCNN TVVVTHSNGINVLPFADHPNVTAILAAHYPGEQAGNAIVDLLYGKVNPSAKLPYVMAY NESDYNAPVTTAVQTNGTYDWQSWFDERLEVGYRHFDANDITPRYEFGFGLSYTTFSL SGLSGNSSASNLTALPPARDTMPGGNPALWETVYTIKADVTNTGGVAGYAVPQLYAGF PDSTPEGTPPSQLRGFDKIWLEAGETKTVTFDLMRRDVSYWDIVAQDWRIPSGAFTFN AGFSSRDFWANSTVVLL PFICI_14493 MLFATGFTTLALLAAEAAAHGAVTSYVIGGTTYPGYQGFSPNSN PVIQRQWPDYNPVTTATDSKLRCNGGTSAALSASVKPGDSVEAIWGQWTHAQGPIIVW MYKCAGDFSSCDGSGSNWFKIDEAGFHGDGKTVFLDTETPSGWDIAKLVGGNKGWTST IPAGLAAGNYLIRHELIALHQAKAPQWYAECAQITVTGSGTAQPSASQKAAIPGYCKQ TDPNISVAINDHTISQTYTVPGPAVFKGTAAKKARHFTA PFICI_14494 MFSKLIYVSLIKALMLRPVIAQSSEQHPSLPTWRCTTSGGCVQQ NTSVVLDKDSKYAQGTAGSRTAADYAAMGVSTSGNAVTLYHYVKSGSTLNPASPRIYL QDADNKYVMMNLLNQELSVDVDFSAVPCGENGAFYLSEMKADGGGSSGGAGAGQGYCD AQCQGYCCSEMDVLEANAKATAMTPHPCSGNNCDKSGCGYNPYASGQHSLWATGGTVD TSKPFTVVTQFAGSSTLTQITRLYIQNGKQVNGGTISSCNDGTGGLAGMGQALGRGMV LAMSIWNDAAQQMAWLDAGSNGPCNVADSTPAYIQSNHPDTHVVFSNIRWGDIGSTTK N PFICI_14495 MQTNVLLGLPLALTGISIIMVSLRLYVRRAGKLGWEDWIMLLAL IFQVIRRTLATLSTMYELRNAPTDQEFDIDFQNDLKYNFLSIPFEATVSILARLSIVI LLIRLFSVHIWYRRLLISLLAVASLLTITVVPVTFFQISPAEALWEVDLPYERRWGPN VSVYWAIITQCWYFSHKS PFICI_14496 MFEQLQIRHVPALFVATTMTFGGIWSFFDARRAMLEFGLPDRIA STPGAASVMLINNARTTAFGLCMYAFYIRGQLAACDTILAILGAYAGLVDSYVVWTEG NPRKAVFRLVSSGLLSAAGFAGLTAGR PFICI_14497 MAPPAEISIPSTSLHTPTDGSKPYTLYNITLRLPLRTFVVQKRY SEFANLHQNLTSLAGAPPPAPLPGKSWFKSTVKSAELTEDRRRGLESYLRAIAESLDR RWRDTPAWRQFLQLPGINSAGNSAASVRAGAGFGIPNKDAANAAAAQDPGTWLDLHKE MKGELQEARRCLARRDGATDNSASLEAGAAAKRAIVKAGGLLVALGDGLRAIQDTKKL GDGEIRRRKDLLSAAKVDREDLDKLANTIAASAKAGAGKGMPSAADKAALLGHAPRHG GRVLGAPLPETERTRELDNQGVVQLQQQMMKEQDEDVESLAKIIRRQKEMALEINREV EEQTSMLDNLNSDADRLQTKADIAKKRIKSIR PFICI_14498 MLRLHTHCGFLAALVWAANCQETYDFIIAGAGTCGSVVANRLSS DPNLSVLVIEPGLDERANPNVTSVLGFLSAFNTPIDWAYNITPQASLGGRGMQYHAGK AWGGTSTINGMTFLRGDRAEIDAWESLGNKGWNWDSLWPHYKGVERFEVPTPAQTDAG ASWNPDFHGLDGELATGYAYELINGSLHETWRQTWEGLGFPWNEDANGGDIRGISIWP STLDRDADTREDASRAFIQPVESRPNLKIIQGTVKRLTWNEDGDVCEATGVEYLTAAG ETITIQAHKEVILSAGALRSPLILESSGIGQPERLQKLGIETKIDLPGVGENMIEQPL GVVAYAGNVPNATGTIVPFGLFINARDTFGEQTAAVAASTKANLSSWAADISAANGGI ISAEALLEVFEIQHDLIFNHNVTIGEILSNFAEQDGYRYVANVWWPLLPFSRGSVHLK SANAIDDPAIDPQYLLADFDVTVMTGVGRVAQSFFFQEPMSNNITTALLPGDALLPRN ATDEQWRNVLVDQVTPNHHALASAAMMSRELGGVVDSELRVYGTRNVRVVDASVVPLQ ISGHLTATLYALADRAAAIIIGEI PFICI_14499 MVREKRSYDITELRAQIYWDLPSDIGPIRQLLEDYSGIQPNEVD GHILAIREKLWRIKPYGCIGRFRFLQLDFALDPRYQHAVSLLKKRFSSTTFLDLGCCV GQVLRQLAHDGVGTNRLFGTDVEPRFMEVGYELFNDRKKLRSMFVAGDIFAEGESPAA DPLRLLDGKMTIVHAASFFHLFGWEEQVRAAKRVVRLMKPRDQNAFIFGRQVGCDDPS TQLEGTRFLHNAESWQRLWDVVGVETGTRWRTEFDIIPDDPSSATKVTDDSSEMEGIN RVRFGVYRV PFICI_14500 MTEHIEKPITLASGLTLPNRLVNAAMAENLADKNSLPNQKFRAP YKVWAKGGWGMVLTGNVEVDKRYLGSPGDIAFNDEIPYEEMLAAWRTWAEACNAEGTP TLVQINHPGRQSPMGAGSRGMFAKNLAPSAVPLNFGSGILPKLISSLMFGTPKEMTQA DIDDVVRRFAATAKLSAEAGFAGAEIHAAHGYLLAQFLSEKSNKRTDAYGGSPVARAK IVVEVIKAMREATPKGFTVGIKLNSADHQSSEELAACLEQLKAITAAGVDFIEISGGS YESPTMNTGPDSEENTKSARTKAREAFFLEFAQAIRKDVPDVPLMVTGGFRTRQGLEA ALRDGGCDLVGLGRPACVKPLLPKEVILNKEVKDEDAVFHVKRIQPPWIATKLGIKII GAAGDSAHYQSQLQKIGQ PFICI_14501 MSALEAELWAWYAVTALVVAARIISRRMLHGSFFKLQIDDFIMI LSFISLTVVTVGVRILTYTPTNLIKDVSKVDLTEENIALRVYGSKIVIVVEQMQIFLI WSVKVCLLIMYSRLTTSLKQHLFVKITAGYTALSFVVMEILFFAAWCRPFWHYWQVPT DNINCSMERDHLITNTVFNISSDLMILALPMPVFLKAQLPPKRKAILVGVFLLGLFTI LSALLSKAYSFGDPFGTDWIVWYIREANTAIIVANLPFTWTLLQRMFKLRSFHAKSTA ETPAITSRFRSAYGNLASMASRPRTQKGMGDLDLSATESQEGINSIPLKIYQQNEVHV TTEELNTKSGQSTPPEGLPPATHVNHVNFNIGANQERLSDDKSSTGEVDMGTVTTVGR GI PFICI_14502 MTPHSSSRIITRKRERLACKECRRRKLKCDRTSPSCSSCTRRGD GESCTYQRLAQTPEDRQEQRAATQARLEHLEQLVHQLASQPSTASGTSLTPPLQADSD TFESEISSGTVVNGESLTYNGATHWSAMLEDINELRLVLPIDDAAALDDHPDVLPEDK GVELLFGGGVCLPLDVVIATYLPPRQEVDRIISAYFRAEAIAAPFIHGPQFRRFYQEF WKDQTSSSPLWLSILFSILHISSHTLRRNIDPVATNQFSVAAAHCLTSGHYFRPKRFA VEALTIFTQAQCLTSKELPPDIGALIGLTVRAATNMGYHREIEGPRISPFEREMRRRT WSFCMQLDVLIAFHLGLPTNIQFPTWNTHPPTILPDSAFDEDTVLLPPSRPESELNHR LFYLAKHRFMVFLERILRHTLSAQPDPSEVDSLDAEVRAVFENLPEYMKPRSVADSVI DSASLMVTRLCVSFIYCKCLCVLHRPYVTQGRPESIVICHDVSLRLVREYVDAYAEFA PGGQAETESWFTSALSWHDFLFGNMALCLVICAMSSNPASPVIDLSLTLETLEKSRRL CADEVPTRHKDTRKVLALITAVIRKFSVVDLATQDSFSMDTIGMIPETSKDLLLDFPM DTTWDNMWDTMGPVTGLAQDPSWQYFSQFLDASVPA PFICI_14503 MNHDDSNHREPYGGAETTEASPLLDEGNERSGADAYRRRVIIVT FAMFFFLEFGAGLFIPAQTAALEQKICDKIYSNIDPLDRDCKAPDVQGELADLQGWRT TLDCVPSLVATIPYGIISNKWGRHRVLSLAFSGITLGLAFQLMVLYFDFFPVKLVLVS PMFMFLGGGPAVLTAMLYTTIADITSVSARAPIFFQLAALFILSEVASGPLAGLVLLK SVWGLIALASVFYVLATATTFLLPDTLHLSKAALDEDIDDANDAAARTSTSDDSILQG SIRQVREGGREIRSFLSGHGLVIIFMFCYVLVAVSKVVQIMLLQYATKRYNWSWSKAS FLLTIRSAVSLVVLILILPALTQLLTTRFKVSVIRRDVWVARLTGLAGVLGALLIALA STPEILISGLTTFAIHGGMTAVLRSLLSNMVEHRYLGTMNSLLGILEMLGLMAGAPAL FSSLRRGFELGGDLIGLPFICAAAMIALSTCVIWLLPVRDGKEHDEVCNENGA PFICI_14504 MAIIWIAFHLLKMIYNISPIHPLYHIPGPKLAAVSYLEELYHDV ILHGRYTHEIRKMHKRYGPIVRINPNEVHCNDPSFVDEIYAVGGRKRDKPIHQVNMTV IRNSGFGTVDHDLHRARRSSVAKFFSRGMIARLEGDIAAMTQKMCDKILAQGAAPFDV AVACSNLTTDVISGYCFGETFGLLDRPGWKPNFRDPTMSTLRYMFMFRFWPFLKGPTK IGVWFVDMLPDETALLVRTMQIDIPAKVKKAIDDWNAGIVYEKPTIVGAIMDSDLSAE EKRPERVADDALAVVGAGTETTAWALAVITYHLKTMPEMLERLNNELQEVVHDPRQLP SWTVLERLPYLSAVIQEGLRLSLGVSGRTARIPTEESLSYRGKWQGKEVHHVLPKGYA IGMTSAITHYDEAVFEDPMKFDPERWLRPERRKVLDSGMLMFSKGSRACLGMNLALCE LHLALVALTLRVFPHIRLYQTTEEDLRYDYDMLIPMPKVGTNGVRAILATE PFICI_14505 MSKWGWSNPPDMATWGDFSENSVFVENTIVNLIWDAPTDTAFSI RLYQAETVDGNATNTYQYILQSVINRTDYSWRVTLDDSIKLSKSNVFMLATYKEGSSP VQATSHYFNISGSSSDATTTSGGSTATTGTISVSSTATTTSDPDATGTSTPSSSSSAS SGLSTGAKIGIGVAIPVAVILGLIAAFLFFYRRRKARQTKAAAGGGGQPSEMPASGYN GYNNDAAIAAGKAKLPVYGGGGVTDGSYAPSNMTENRSELYSSMAETPNTPHYEMYSP AQGHPQTQQHYELYTPAPGDRL PFICI_14506 MGPTIFRSPTARNTFVFCVFLGLIFHFRLWNLYPSDDVVEPVHV PATAASHGDGGFGGSGSDPVHQDHQQQQPSHTAVPPSQPPASSEQQPVPEPFPKKIWY KLGPKGLSNYAKTWTDSCINQNPEYSAKFLTDETADAFVNEHFVGYPDVLEVYNSLTV PILKADMVRYMLLYVEGGVWFDLDASCEGIPIDQWVPAAHAADANLVVGWEFDGGYHF EFEHQFVTWTVMAKKNVAHLLVVIKDIAESLRRMAEANHVDVSDLTMSMIGDVIEATG PKRFHKSVVNNLEKSVAQPINWEDYHEILEPKMAGDVLILPGYSLAASYNSYEPEDQD KVGPSLVVHHYAGTWKNEHGGETKE PFICI_14507 MKLLAVPTLLRCALLSSIVLAAADQPRLRPRARYSYSEAPRFPE ITGSHSQPFDHKKAAPDSYGNLEGDLDVESYAIGDGADLEDDDYSGDGGGYAHGYPSG NNDETPFEDDPEYDDYDAHDFEEDRHGSSHSGHYSQGGRIGHSKDSKYDASRGSDRSY HAAAFDDEDLGYGTHDSYGQDMYDPIISTSSAAASDLSYNLPDGSSKSTVSTTPTTSS NTITDSSTTSTRVIPSATQILCPDKDSQCVDNFLIGCAKIFNPVDRPSVISRQVDVPG VTNPQICHQLCVEDPLCLAWADADEPEFFFGGCSHYVETIVLNSTDPFLGSLQINSFG LRGFCDLAIPESTLTTAVKTPLTTTSQSSTPILTSSSASSNLPTIPTSETSLCPQLDG QCLNSNIIRCDRDLDLDVDPPWSNYVCVTPLPIITSERECHESCNPDFTCIGWKMTQV LADASTGVGGGTGECCHVFGSVVLQDPLPQQQPLATFPEYNSYGLRFRCDDGGQSEEL CPKAENTCLDGFLIKCDRFLEDDLTPATAQFTNDWRDDIFSQLGCHQACAEDLSCTAW GGYSEPLDSGEGGGEMFDCYHVHTPVVLQSPLPGFVSEPDPGSSARYGVRGACQ PFICI_14508 MESLPDDAYHQIFAYLPQQTLVGCRLLNRRIAEVATYYAFQRVR LRAADGVHSFVNVAKSESLRQRVREITIDTWVGPDCTYGYGKTNTVRLPRDFFRALAY VRFFPHLSTLNLRFSEYCGPDTRNGLAVDETWDLRYLVLDIVFRCVARVWPEAHRPLR EENYVSFDRHDRGTLPPLPTEGILLNDDETLEPIHLRTLTVSNLADFDDRRLTDSDHF KQIMTSKSLKSIKLLVTEESNRGTATPVYFADKYDFCDNLPSTWLAPEIAENLTVLSL FFQNYWGWVPKMDFRAVNPGKGRASGLPNLRVLALGHYVFSHEWQIDWIASMVGHDNG LGGLQELYLDECPILWQARTAGPLDQSTKTYVSQDGDIISRSQNGYPLKSMMTNTVLI ADAPLSERVQFAGSLHWSDVLHHWADHMTALRVFKMGQGCWSNENKESKWRTIQTYEH RPSAAVMSGLQSAVNDIRLKHDRDAQVPWSDLAGGLEAKKKQMLSQIQSFGDTTHLDY DCPSPSARHVPGTPYGREFLPRLGVGMSVRDKHILQYIHFDIDLGPTPWINHHRGENM PAIIVRLERFRTYIGERPRDMLAYNHLQGTVSWRAKTNKNSQTAA PFICI_14509 MASLEKAPSTEQQVSVGDVAVGETVDLQNLNALGYKAELRRNRS MFTLLFQSLAIAAIPYGFGSPLISAIYGGGQLSMFLGWIVVLILDEAVAVSLGELASR YPTSAGPYYWSFQLASTKYRKVLSFITGWTWLIGNWTITLSVNFGFASLIAACVSLYH PEFATEVTSWQLLLIFYALCIMTFFIVAYGNKLLPMVDTICAAFTAIAIFITLVCLSA KAEVGRNSPSFTLGGYDPTLSGWGNFSFLIGMLPAAYTFSAIGMISSMAEECGDPTVK LPKAISLCVPVGGIAGLFFIIPICATMPALSDIIANAPVGQALPYIYAVVMGTPGGGL GLTVLVLIITLFCSISITVAASRCTWAFARDEAIPFSSLWAKVDEKHGTPIWALALTT VVQMVLGLINLGSSSAFLAFVSVGVMALAASYGLPIAISMFHRRTEVSGARWKMPAAI GWTANILAIVWIVFEIILFSMPTVLPVTETSMNYAIVVFVGFMALSAIWYVLHAHKVY KGPPESDGLTVGN PFICI_14510 MAPPKIQVKRKRINDKEVNSNKDKAPAKRQKQQQSKNIIDDNAS VIISSHQKTSPVIDTPTAEQEPKVESDDGHGLSAEQSVNISPLTGKNLETLSRMAGTN NQAPIVRDPEMQEVRHMIDNHEERFMSFSRDNEAWKERAATEAKDTRDHVQKQINDFS LRMDNQIEGIKDFFLRTFRSELSTHPLSQDGQQTSSSTAHARPQPLSEDTQHCSNMLS SPRPPLNLHDITRGGETSANLPTHPPAHSAPSHVMGGHGQYQENNGSFIPSQQHFGQT LAPMHMPTPSVVPETSSNMTQGPWAVPRVSDLFALKPSHTAGHGSLYPQMAAPPPIFP SSFHLNTRGQACEPQIPRYYTRQAERNAALGRLPFAGQKQNARTTSMVHGYYDDYEDC DDYDDEDYCDDQTYKDPNSSGILGEVDGADLQKAVDELGLASEAYTTSPKLTKESYQT LLRTFDFANIGLLEAFSKMDDRRQVREYVIFCDISHPSMWIDYVIQVSETAYSMMEHA PQVNYRRLPPLEDRDLSRLRIIRLRYDADLLSPKQSIIFQKWREEHQPPTYVLEIGSL VLRHRYTKKMKDKLHDTDLSLVMDVVHPDRPVWLILRPGWKESCRKTSKTVRDATRAF DGSMDDAKIACVCKDIRSLQLTWKPSSSQSAQQYVGAQLMTRKTQLKVPVRLTPRSVD VAEMQMAIQAARGDEN PFICI_14511 MSFVTVAAASLPSVPLDFEGNRDRILESIHIAKDKGALLRTGPE LEIPGYGCLDHHLEDTFLHSWEVLAEIISDPVCKDMLIDLGMGVRHRNVRYNCRVLCT YKQIFFIRPKQSLANDGLYREMRHFSAWVKPRTTEQYYLEKIISDVTGQKTVPIGDAV LSTVDTAIGCETCEELFVPLNPSTFMGLNGVEIVLNSSASHVELRKLKTRLDLISNST RKLGGLYVYANASGVDGDARILYDASSMILVNGKVMEQSPQFTLKPVDVITATIDIEE VRSYRSSISRNVQGAAQPDFPRIECDLRLSRPAEEVYRSDLQLSKEIELKILDPMEEM YLAQSVFLWQYLCRTNSPGYFLALSGGLDSCTVTVFVYGMARLVLQSIKAGETTTLND LRRLTGEAEFLPKTPQEIVSKLMHTCFMGTQHSSSDTKSRAQKLAQEVGAFHSDINMD ATVGAMEEIGQQALNFTPKFQVEGGSAAENLAKQNIQARNRMVCSYYLAQISTTARKL PRSGSSLLVLSSGNVDELLRGYLTKYDCSSADIAPLGSVSKTDAKAFLRWAATKWDMP ILYDFLDAVPTAELLPLSAGVQEDEVEMGLTYDQLSAFGKLRKIEKLGPWSCYVRLLG EWKHLPAAETADLTKKFFRFYAMNRHKSTVMTPSLHLSGYSPDDHRHDLRPFLYKVTW PYQFSKIDKHVKSLTYCPRIAVEDGALRLPSQSPGLERLKTLKSLRLYPNISDRSDPL KRLIIFASDIFHIPALLPRNQAIGHLYILVLAICAICGLQHSHTREAELASIISACPV PESLFVHFELAKEADIESLFDKDWDPSWKNHDLYICLVQAIATSSIVRPANHSLRLTC LPKLDKLRHLGVDFRGLFGPLFHMSRANEKGLPALTPPNLKNLILICDMGGSETSTAG TERDMYESDLCHVMRGLRAMCRKSGNDSMLRYIEIAHDDSARNRRLLRREQNFWRDHR VQMDITIRPERLDALVDQAPVASQEQASLAARL PFICI_14512 MRYSLLAALVATQSSALSVHPLDTRDDLSTSPPVYPSPWMNPQA IGWEEAYEKAKDFVSQLTLTEKVNLTTGVGWQGEQCVGQVGSIPRLGFRSLCMQDSPL GVRFGDYASAFPSGQTVAASFDRDLFYRRGQAIGAEHKGKGVTVVLGPVAGPIGRTPE GGRNWEGFSPDPWLTGIAISETTKGIQDAGVIACAKHYIANEQEHFRQVGESNDRDYN ITEALSSNIDDKTMHELYLWPFADVVRAGVGSIMCSYNQVNNSYACQNSKMLNGLLKG ELGFQGFVMSDWQAQHTGVASAVAGLDMTMPGDTSFNSGLSFWGTNLTLAVINGTVPE YRIDDMAMRIMAAFFKVGLELEQPPINFDSWTLDTYGPLHYAAGEGYQQINWHVDVQE DHGALIREVAAKSTVLLKNNGALPLNKPKFLAVIGDDAGPGALGPNGCSDRGCNSGTL AMGWGSGTANYPYLITPIDALNIQGADDGTRIEAVLNNSASDTILSLVSQQDATALVF VNADSGEGYIAVDGNEGDRKNLTLWGNGDAIIKNVSSVCNNTIVIIHSVGPTLVTDWY DSPNITAIVWAGLPGQESGRSLVDVLYGKVNPAGRTPFTWGATREDYGADVLYEPNNG NGAPQQEFSEGVFIDYRSFDAKNATPIFEFGFGLSYTTFEYSDLVVEKKYPTEYTPTT GETAEAPEFGNFSTDLNDYLFPVDEFPYIWQYLYPYVNTSSSAEEASQDPDYGQTAEE FLPAGAIDSSAQPLYAAGPAADAESGGNSQLWDELYTITAQITNTGDIEGDEVPQVYL SLGGPDDPAVVLRGFDRITIQPGETIQFNASLARRDVSNWDTASQNWVISEYPKTVYV GPSSRKLTLSASLE PFICI_14513 MASTAPYQHTPLEYEDSIRVLRLFPSCDPEDPIRVELLPCRLAR IEPESSVDSDSDSDALGKKIEVKFESMHYAHTRFKRQTEIEYEALSYTWGDGLDTVPI YLGEHATASLQVTRNCFNALKALRQDDEPRHLWIDAICINQGDDEERSVQVRGMAKIY GSASRTVIYLGEHTSSSRILFQWAFKEVALRAERYGADDDGIKHIFLQKPEEEVFKAV RELIQRPWFHRIWVLQEVFMSRKRFLVCMRDIIPCAVLRTFWSLRYLTSPRPSRIIPY ALLPEAFSETRSDFWQNLCYHFEWSGEFLASDSRDKIFALKALLGPQQDELDQFIDYA KTFEEISIKLATTLFQTLGLNLLSMVRQGHDLNMPSWVPDWSHIGRFCSSGHSSYDEY ISGHLCTDSNRVRFEGCRISQISELGDTFLFRDANDMDQQLVSFARLMRFERTEKGAG KDDFESIRKYFPEKILEALLSLSQKGLARFLLHDRATYDNGYTFRLDGLESRDENSLQ TMHREAIKDVLLEHRIFLCTGTNLVGLAPSNAAKGDILVHIQGAKEPFLLRPVQNGHW RLIAGNCFTMYYEPHALDRWQIETKDIREHDSGMEMEVFDWFTRQGEGEEFIVI PFICI_14514 MRRGTRRGQSIADSLRIVIRDDPVASPESSPDSPDSPGSPDSVA STPSPTSTTFNSTTAKADSPPTTQTVPPPPATTSKSSSLALPPPAPTTTTSIPLPPPA TTNTSPSAPPTTTSRSSASTKTASPFFNHPELSTTGTLVRTTVISSSAPRTTTAADSS PQPILLNPAVSTVDGTGFATATRSPTFYSSNALTSSVDAEREVSMSTSATAEAVTAPT GGLGFEEHHERLHLDAGSVAGIAIGSSVATMLIAAMVFFFWRKWNRQQRRDDAGSESG ASTGSGVRRMLTRRFTHKSDDRIMNELMAGVYSNESGGGDRNSFMDRQMQDGNGYIRE KFGSGGSSKAEVEEDELPIMAQPPKAAAVPGKPQFKRTKRISRWLGRHDEDDDMMNPN SARASLASNATGLRTIDLRSMSGWGGSEYGEYFSRDSMPPLPMGAIPPREDPEVEKRK PLSSEMDDEREEQSQNVQGQDVRVRDSTPVTKFPSAEELKLPPPPGRPMSVAARTEVT GRSSGTWNTWGVMQHRDQPKGWKERLGM PFICI_14515 MECPLTAHTYPGDSATLTVWSRNGADQSGDGIPRRRVPIYSLER VRGAIGRGSGDEEADRHPSAGATRYGIVAAFWLGCGLKSSTRTAQNWNAQSQEVGFIC AVARPLPVHISCIRRATD PFICI_14516 MSGPPPPPPPHGENPKTTSSNLPPGKYDIFIIPPHSAGSGFLYL PSLKPNVNSFAAGFASALLLVVLGHSMAPAFKSWWNGFQGMGSFGIMLLVIGVAMGAW SLGRTQTDGGAGPGGGSGGSGGWGSNAGGNYNWNGAAPEAGGPPPPPPPHGSPPPPQS GPAPGGEKPNNSWQQAPQPEEAPRNEQEAPPPKPEPGPQPKGGPQANPWEKAREETRK REAERKAKEAEQKRKEDIAKRIKELREKEARERAKREQAAKEKVEKEAQEKAQREKEA KDKADKEAKEKEQREKDQKAKELKEKLAKEKELRDTRLREARERDLRERLEREKNLRE KLEKEAKEREATRLKEVAKEQAEEAIRKSTYAYSSAGEKFNPWPNGRPPSQPTPAAPN PNPSSPKRPPAPTAKTFTGTADEETYSYRPYDEPKRHSRRRSGETIFTESSYAPSQST ARTSPPPSVRGTYSTKDPNKIVIKAVYGFMNQFAKTPASQLISGQGPVTDGLILRITT EGLFIDDDVRGVPQREWDVKAWTLKLMEVWCSSRSFGDQPAPQAKTTPNVLHRPARTR VDRGVPKVLTGEEADKFCETMLRTCKDECRLCPHGDDSSSYADPNIGEQSGEWKQRGL HVLRANIRDQEGKRYMFVLGEDEGWKVAMGLQRLRKGTQVRQLGVQAMSPHEARNTLE TLGWT PFICI_14517 MQDFKDDGAYPNDVPLELEVSQSNEVQPSISLEKQPPSPEDDSR ESQMQRNSRLQNELLRFLVMREQQSTRKKPQDGLSYSAEQARRAAKDEVTTPSDDDSH TQQDSDEDLESDTVSEDDLSDTDTTDLPRVPGPKLARFRPLADPLDISFEEVLHKGHY SHVWKVSCRGYTYALKIFKPGAWSDLLEKWNSDDCPLPQGWTRESLKPYTDPFFNECR VYGRLKETNKENLAMDCHGYVMFSSAYLEGQGVDLGFTTTSDPSSARGSEPGIIHEGM PEEPVGHGSELVYALLKDLPPTGAISVRPTESEVKQMSKDLLTLHKLGIFHASISHSS YVAGKLVDLGTAQTVPSPWLDGYYRGMNPVRIGKDPAFSDQVDFDEKVIDYWNDQPKT IAPEPQTEMGGKNSKTRQRRVKDLARVFTPEQKTYRKLRSGKDRQFLVNLRLGEGWFD PSKYKWAGADAENSELENNHTKKRTWSNDTPIVDEQANSTPQNQIQAKKRKFGRGGVK T PFICI_14518 MASPRVILVTAASGHIGENLIPLLAAKSTTKLILPTSNAAALNS QIATFAVPYPENITVVEGSIKNPAWFQELLKTHSVDTVFLCLTGEDELWTTFNCYDAM SRVPSVKKLIYLSAQLDFTSTEGMQQVLRHQSAAHVVVKILAEQKLLHGNLPFAWTVL RPTLFFINDVRCKQGMLEHGVFHEPLGEKGASRVAPWDIALAVQRLVADDTAKWQGKH VAIGSLKLYKGSEIAQIWSRALSREVKMHPADEQGLQQYEDEFLSRVGDARGAAWGRD LRLMYQAFAETGFGMNEDEYREQVDLLGREPASYEDWVKETARSWL PFICI_14519 MSDNPVVVLISGVSRGIGRGFAEAYLSRPNHTVIGSIRDDASPE VAELRAFEPAEGSKLILIHIESTEPEDAAKAIKGIKATGIRYIDIAIANAGGSPPIQS MDSVSASSMVAAFEVNAVGPLLLFQACKSLLKASKRKPKWVSISTGGGSISLIGEIRS YIGPAYGASKAALNWLTAAFHHENDWLISVALHPGLVQTAPGNWLARKFGMERAPYTI EYSVKQMMKIIDEASKEQTSGKFIRAVGGGEMNW PFICI_14520 MAQFEFDSSIKGALPADFQWGYATAAPQIEGAWNKDGKGLSIWD TFGHTPDKVKDGSNADDTARSYDLYKQDVARMKRYGVTAYRFSLSWSRIIPLGGKDDP VNEQGIEFYSKLIDELIANGITPFVTLFHWDTPQALEDRYGGMLDKEKYIPDFNRYAR VCFERLGDRVKQWITYNEPGVYTLAGYAAGVHAPARSSFRDLNEEGDSSTEPFIVAHT ELLSHAYAAKIYKEEFKPQQKGTIMITLHGNWNEPWDESDPKDVEAAQRAQEFEIAWF ADPLYKTGDYPASMRAQLGDRLPRFTPEESRLVLGSSEAYGMNSYTAFYVRHRDAEPD INDHKGNVEVSDTNKHGVERGIESDTPWLRTSPWGWGKLLRWIWDRYQTPIFITENGT TAKGEHDFKPKGPDDVLEDPHRIDFYKSYLSEVAKASQEGVVIKSYFGWTFTDNWEWA AGFTDRFGATWVDFDDPEKPRYAKRSAYFLRDFFGHLIKGGTN PFICI_14521 MTQFNLLNQDGTDIPDDWFLPWSSQADRQASPCGPIWKSAIEHL KNNRETLRNITFEKVARLMTQPLTDAHLSELAPATANNAAKRQPLEDLWRFFIAALIE WPSNYTSKLAGVLEHIQKRQGGPYQGQLMVEGELLLWQDLPYFEHAWRRECQIPLELI ISVCLNKKTLERARIRYIKEQETAAIITSCKIFEEGLFTYQEAFRHMVETLERTPLSL VPWISSFDFVVLSHGYGIVVRIYTL PFICI_14522 MPPLPALRLLRRPASGAFAFAALIFIVAVARFYNGVLPSYISSS RWHYSKISEFYRDPRDGSRYYKFKTPSFFPPSNDSRLSKVDPCAGFPVQLLNSIQIVL KTGTGEPAKTKAHLDTVTSCIPNLLIFSDNEELINGHHVIDILADLPGSFQNIPDFAA YSSQKQAYASGKAVGYSPEDWKLDRFKFLPMVEKAHEMRPDADWYVFIESDVYYFWDT LFRLLSQLDPSKMHYMGSPAPGADGTFFAYGGAGFVLSAGLMERLTEDHVPLSVRYEE HVQTGCCGDAILGQAILNKTGERLQALYPTFAGDELDGLKIDQERWCIPLLSLHRVSP EQMSSLWEWERNRAYDQDPFTHSTIADYISPGIFEAPVRTAWDNYAGDVQPEDSPAHA SAAACAQTCESERSCLQYQYSDGICKFGTFYQKGRQRDGAAITSGWDTKKMVVMGHRA DVKKSSSCSEPTWLRPEVR PFICI_14523 MSATCFYASGGSASTDGQTYIPCNTTAVEQGGHSACCASGDMCF TNGLCKAGEGQWNWNWRVACTDPTFQDPSCPNYCRGIESDDQAHLVFQCSDNDTWCCA TGNVDRFARNYNFTCCENPELTMELGPAVFYGTAMAQVAISTLGVFSSQTTTSLIPKP SASSNVSQVTALTTMNATGYYSTETPVPTQDTTTTPAATSSASRTSPSSKALQIGLGV GISLGLAAIALVAYMFFRLGQRRSQPSGLDHQMMQNDDAKTSMPLPTHLHWLQYGGVP AAVGHEMDGQNPMAEMAHSVPVELEDRAIMESHNTGVHHYRSESEYSSFYFPISLASK PFICI_14524 MASKSDDWKGMVIWKMPEWIEPVGIVSILLVAMFVTRRRSFSIL SGKDSSYRPLISHEDSPSTSDDEDYTFSPKPNVEYPPKRRRIFGLWTVLTPNSSRFAR HFHSRILQKYPFLVEMFYWVITYFFYRMTAKVSAIWYGSTESLWLNAQAHGISLLETE AWVLGSDSTDASRWIEWRVQQWFIAGAEIGDIRRLLLTILNRAYALIHIPGTVGFIAY YYYVAPNHTRFCTVRRTMSLLNMFAFLTFTVYPCMPPRLLPKEFGFIDTVNAEDAASV FMSGKYVNKLAAMPSMHFGYAFCIGCVFIYESGFMRQWCRLPCFSSSSTSNSNKSADA LEDETAEQQQQQQHDIETPYLKQTILESEPDQQRERSPLARILFLVVGVLYPSFILLC IVATANHYILDAVAAALVVLLAYLCNRVLLNFLVLEDWLLWAWRLEKPEPTTGKTRT PFICI_14525 MDSKQHKYTNMPVDDSDDRSSTEVESLMEETKQWHDVDLDMTPR RPSSRASRVCGALNAWRWLIDTTLLVIILGFVARSYFNEPVVKPYDFGGDITGVGPKF SEQITTFVHEEEYAPYNVSEFFKPEILDKWNKLMPLGMGFQWVKDPSKYHDLPHPIQW YEGMTVFTTSMTHQLHCLWAIVQTYSGLKSGHEIPDDHHWHMIHCFSYMRQSILCAAD TALEGKATTFPDDNSGSDGWDAKHVCKDINQVRDYLESVRAYDDQQIY PFICI_14526 MADPRLYLPPVLSDDIIRKLVSELSLPEPTTIKPLITTAAYHTI YLIIFSPADADALYPARSLEEDGSIALVLRVAGKHIPRIKTLNEVAAMTWVRENCKIP IPAVIRFDASENNAIGYEFTLLERVRGVSVDTIYDKLDDGKIAKLVSQLTDYLIEIHR HEWTHAGGISIDENNNIVPGRVLAENFWQGPEIAQYWGGDATIDLLNVHGPFSSYTDY LQGHIQQYVRNIERHESLEWMRDMIPRLEKFLTFAQDHAAELNDAKYILTHKDLHFGN IMCDPETLEITSILDWEFAAVLPLPLWSPGGGFLWSAKDESPASFAERDRLFKDIFSK ICKERSPALLADFDVKNQDPHKSIVKVLNFVRAIVEVCPRGKRLEMARTWRSTVEQAL QDLGI PFICI_14527 MQYRFLSFLWACVLFALAAAQTASVTDGTTAVTAPTDSTVATSD TGATTVVSTADSSAATESASSPSNGGSQTTASTTAAGSSGGSGSTSSSSTSSTNPPDV LLRVPQLSVDKIELDVDNLQAEINLAAQVASLVEINAGIQVGITKVNITIADVDAELE LIIRLGNLVDIVNRTLATLDLNPLLINILNEVGDVVDSVVGAVDGLLGSIVNGDSTIN FLIDNLGNIVQEVAGTAGTLLSTIIGNYQQNMTDTGAQTILDGGLIQKTYLYEPLNSL VNIVTNSLGQVVQAVVIGSGDGSSTSTTTAATTSATSTASA PFICI_14528 MTPLFTKAFAFILLSLFSIVLAQDDGYIGYRLDQRGDPDSAVYE TEETDTSTGGVALPTDPDVYLNASVHVGEIDIEVDNITAKVNLDAKVLNLLHFTAGVD ASIDRVKLTIQNVTAKVYLEARLENVVAMVDDVLSSIDLNPIVATLGNVVENVVGNVT DELGGGSSSTTTSAAATSSTATAAKRSLNALDYNMAHNILYSVNNYAGSTHTNRILGQ NGTIWDVSLDNSGNEKGRKNVGFYSRDMTFTGHNKTIIFNGVTEYELGYRYAPYPGLD VYSHIIMNEAGSVIQTKIVSEAEAGGTSTISDDTNPELLRK PFICI_14529 MGLFQFSDIPTMEDFGAQLNRDLLARIVQIWIIYRVALMLYNIS PWHPLYQFPGPRLARMTFLYEFWFDFVCHGRYTWQIQKMHDKYGPIVRINPEELHCND PEFVDEIYAVGGRIRDKYQHFLNANSGPVSSSSFGSRVHEVHRMRRSAVNKSFSRTQM KILEPEIHELTQYFCDKLLVWVKDEPLDLVMAFSCFTSDTISNYAYGEPLGFLAQDGW EKNYKAALNAFLQTMYMFRFFTPLRHLVVLAPYTMSLMPMHMANLFKELTETLPYHIR RATDGEAKTQGRIFADLLDSNLPDEEKTIYRLSGEGFSLTGAGTETTANTLSVITFHL LTQPKVRARLEQELQGQDSRNLDWQTLEQLPYLYGVMYEGLRLSYGLSTRLPRIARTE NLKYESTDGRFSYVVPMGTPIGMSSAIMHHNEDVFPDSHAFKPERWIDASGQKNLRLE RFMMSFSRGSRQCVGMNLALCEMYLLVAALTLRGLSHLHLYETTIGDIKYDHDLMVPQ PKRGSKGVRVLIR PFICI_14530 MERLRPGDVSPAISLTPDEYRAQHDVSPLSPLTEDEQHTPHRDA SRRWRRVQEAANYNVSPVSSFRVHPSFRSRQVRPVVPATSQVSPVDTREQPPRTLPPW SEDVEFGQGPRLALGQTVLESPTEGRRSSDGSFRGIPFDDVVRAKTIHGPPAKAGQSF TSSRAYWNPIWLRDYLLIGLAVSFATVAVIVFALYLVSSRNHGLGSELGSENLVHVWK YIPSAVIFLLFAFWSRVDFTARLLQPWANMKNGPASAERSVFLDLITPIWPAAFIKSF KTGSLVPILTISGSAILNVVVIFSTGLLQVERIASTDSDVNLVKSATIDATSWDPGGL DGTTGSLYNNIMAGNLAWPDFVLSNATFEPFAPQGNPLSSSSSKYSATVGGFFPTLAC EEARMAEEYQGTRSNHFANFTYVSDACSVVIQLPLVADAESNGWSNFSASRNYMGTSQ IVACPNDTKRLLSVVTVVDANMSLLNASALFCEPSYSFQNVQVTLSSPQWLPSVDWGT LTQGNGQIDGLDSFELLDYVVKSTSRTDLAALTEPANTTVARNNFLRLASTDALSSDA VYLDPFLETNVFANRLNTMYSGMASLVVSQNMLNNRQQTIIGTVTRTEDRVQVSLAAT AVVVTLLLVCLGLSIAVLFRRPQEVVPRDPRSIGGIALLLQCNGELSHYFRSNLAHLR HSLFHERFFTLTPKTFSFTFAIVREGMNPAARPARTMSIEDGSMAWWQPVALRAWCKV LAILLSLALIGSLEGVQQVSDRSNGIATTRAPAAAQYGMTIIPAIIMFGVGSLYSLIN FGTNLMAPYHALSKGATSDRSLFNHDLGRLPLLQLFSSMRRRQLATACTSLSAMIGPW LIIVVSGLYSASPLEDGVASASQDSRIVQNRGPKIALQVLLGVMAVCVALSWLFMRTD GLLPHNPTSIAGTAALLAGGELWKGQEEDRRRALLVPEGAEWIGDGELMKQGVWENLV FGLGWWPDGRYGIDAGGRIDQEKRT PFICI_14531 MAWDHLSITKPHLVYIILGGFTSLFMLCSSIIKERMYIGEATVA TICGLIFGPHAANLIDPQTWGNVDEVTLEFSRIVLVVQCFAVGVELPKFYMEKHWKSV VFLLLPVMTFGWLITSLFIWWMVPTLNWLESLTVAACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFIYLSLYLIQEHLDAKQTTYHWLVYTVLYECIFGAI YGFLIGYFARHGIKYAEKHDLIDRESFLVFYFTLALFCAGSGSILGVDDLLVGFAAGV GFSNDGWFSSKTEESHVSNVIDLLINLAYFVFLGTIIPWDQFNNADLGLTAWRLVVIA IFVILFRRIPIMLALKPFIPDIKTWREAVFAGHFGPIGVGAIFVAILARAELETETTI PLAELPPEDHEHYHLIALVWPIVTFMVVASIVVHGSSVAVFTLGKRINTLSITMSYTA APEDGPSWMNRLPRISSQSRSQARTTDSDYDDLKMPEYPPGTLPPTGLPGQFLRRQRE EDNPSRTHSRTGSRASSRRRSRKKWDAGIGPGGPVSESAIFPQRRSASGISEPMSPTS PSGNLEPGTQDTSGMLTDDETAHSQMREKGSQSSQDFDKDPEKADDGESGEDAGRQSE RGRQQAPEVEIYDEGDHIVVEDEEGQVLAVEPGKTQHHEAGEVLHSIEGLKENAKQET SKPGWTYESLKKRLVDFKDEEVQKRKAKSSSERKHAPARAYQFGNTIIVEDEDGEVVK KYELPSQKEEGQGGNDLMAASLKYMGMGGLVKQNPKPKEQGGESAAGPSEDRPKPPGR QSTLTSWAAFNKSGAGEAKKKNNANTEGQDLNEEEDDHKIRFTIGGEGRRMNKEDFLN EVRKMDARTKRQVLENSDAPAAIRTLATKQQSGAPNITISAPNSGPSSGVATPSSATK TNKSSDVKIAGANTPRESSNSPARAEPSRGRSTTTSKPATEKSPVGRSEGAISDTPET AVERRRRLAALSGMRSEEESRGEQETPAERRRREAALGLGSPGAEDSDSEDDDTPRVP PARRGIRFADAPPRGQK PFICI_14532 MVQLTEVVDEHFQEGQVGPEDDDEDYSDTDSEISTESDYDPLNE TFGERLYALRDIIPPQTRASISNGVTNTTDAVKSVLSFGGKTLWVIATSAMLLGVPWA LAFAEEQQVMDMEREMKMREMGSDILTTGEAGAEGSATAQQVGAALDRAEAKPAL PFICI_14533 MKLTTIAAALVATASASYSTGEKRETSFWYANLDHTGPYRGYAP ELGVNASTYSVYKAVSPGASAVEIQAAINDDDNGGSRHSQWLASQPRVVYIPPGTYEI NQTIYFNTDTILMGDATDPPVFKAAADGFTADQTLVSGQDPGTGEQGELSFAVALKNI VLDTTDIDGGTAFTALWWGVAQGAQLQNVKITMASSINGVGHSGIRLGRGSTLGLSDV RIERGQNGIWHNGHQQASYKGIYFYENAVGMLIDGGYTISLLAPTFETVGTAVSCTGG YPWISIVDAKSINSGVTFNTTSYPSFLIENLIKDTDSDVAVVVEGTVLAAPTNGHVDQ FSYANTVDRDPIYGGVSSSSARPAALAPGGYYPVIPAPNFAAYPVSDFINIRDPSQNG GHIVLGDNSGDDAAALNAVLAYAASEHKIAYFPFGKYRVDDTLVIPTGSRIVGEAWST ITGHGDAFSDAANPKPVVQVGRAGDVGIAQIQDMRFTVSDVLPGAIVLQFQAAGAAPG DVGLWNSLITVGGTRGSLDEAGECADAADQCRAAFLGIHLAPSSSAYIENVWNWVADH ATEGEDSNGSGSHIAAKGGVLVQATRGSWLYALGSEHWWLYQLNLFGASNVVVSLLQS ETNYDQGSNAEQVVPAPWTPDATNWGDPDFSWCDDASSNGTCPMGFANYIQGGENIYT YASASWAFFSGPGYQACDGDCQDVVHWITETPDNLQAFGLCSKDTYSGLRLANGTDII TENGFTGSWGGDVGRYTP PFICI_14534 MAMSKTLRMLAGALAMAALSKACDEDKIKAFAADLQTTLSEDAR ITYPGSEEFTNATFRWSTYGKPTFAVAVDVATEEDVNVANNHSLQFLATSGRHGAIKT LAGLSCGVDINLRQLNKVDIQADGQTAIIQGGISTKGVTDALWDVGKWTVTGICESTS LMGPGLGGGHGWNQGRYGLGADQFVEANLVLGNGSTITVSDESHDDLFWALRGAGHNF GIVTSIKYRIYDVPENNTWLVGQYGYTQDKLEALFGLFNEFTAGGEQPVELRYWATFV HNLSVDPVNAAILLWLVYEGTEEQAAPYISQLEAIGPVTTTYSSTNYPGLGALTGNNI PDNLTSDLHISRFPIGTKSFNLTAHRKAYDIFNNVTITYPALNGSAFLNEGYSVKAVQ AIPNESTAYPERFNNLLISANLRNVPDPFLDQVSTDAGLEIRRVLLEGTGSSEMNTYV NYVVGDESLEDWYGHEEWRIERLRSLKAMYDPDHKFSFYAPIE PFICI_14535 MAAPTTAPRVPVMDRAQAEKIIVDWRTRADAWREMDDVEALKNF EVIRVAGTKRHSANSLSLLVSEHSYARNTATSSHRMESARERWSRGFAVNVNSGRGMV EQFLEHYVAMIDHDFFSGLLTRPVCGADNVFMATDPLVRLELINKAGTLQLSPSGRII VPSGARFDPQRKVLTIHSITYNIPEADLKRDWTIAEFMTTDFTRHIEKRALDDLLVSM VQAMLHMYLGIGSCYKKEDSEERKRELRDPHGFGHGLAFWDSFAFITKHLSEWKIGSA DFTQSANHSQRQATYWREHWSRHDDLEKLGVDNVSAMQPNGLWRRLSKLSLSILWLLL VLSFGFLAWTIYIDLKMDELRLRAPPRLLYNFLPLQHSLDDIIRMPIPSVAIFLFLWS QFCIIFRHERQSLALSIIQHILLGLGVLVMYIISHFGPPGFDKLTHAQFIAFWVIALI THGRIMLRKPSVEGFDDWYDQFEDLFLGRW PFICI_14536 MDTLLETANRRHSRMALMAARSRSMPPSALRQAWSPERKLPASL ESARNEVLSEKGEPSPKNRHSDTSGSYHIASRRTSRLGKSTRSKKKSPLVILLQTDGN SSRYSLVRGNELVKVGRQRADLEKPLPPSPSNMELSVPVNNAGRLDAATSQDMYRQSE FDLEAQKEKIERNRFWILAVFTVITGLGTIILVLIEVSDFFSGD PFICI_14537 MNRSITTPGEAPLLRLSTELYQMIAEYLKDPEDLAALVNCCKTC MLVFGKDFVHIRSAKRWALEQAGIYYPAKSTLQMAAACCFDKDVFMWILDLYIKHYPQ CIERDRLWYEHWSPEFVDGLLEIAIRYGNTVAVQAFLDRGMNIPRSADVNEILYEFRF PLSLALWRTENPSYMNIVRSLLDAGHYVTQDPLATANTQDRLADQTS PFICI_14538 MPPNPEDYSTIDHWIAPARNFRTSARLRLQHYLFQGTIGPLLEK GVESQISNSQKLRVADLACGNGVWLTELHSHLERAGITAQLEGFDVNPVLFPNPTILP KSVSFKKLDILASPLPEDLIGVFDIVHIRAFVSIIKNSEVGPVLSNALSLLKPGGFLQ WEECRADRMLVQAPSEGISTEACDTIVKTIRTLGEAVGHKNDWVDVLGRHLEEHGFED VREHSTISRKQDLMGFTEDYLMMWAELAIYFPPQAKEPQAPLTRETWTDLFEKAVRET EQGVVVHQGKLVVAVGRKPL PFICI_14539 MNQPFIQLNGGDGGRGGPPHHQGSGPRPMQQPQMQHGFPPQGQA PRQMHQPAMQHGYPPPPPPREAIPVDLRSSRPVIEVTDIREQLMTESDMRRKLTHYKA VRFEKLAGQDLYDDDDGERAQSGWDRAIKTQVQGISRKDMLDLIYRLDMTTQDVTQKK ASLSPALLRQLDFELDKLSREDDDPHNYVWTLAQIDQQLQEVDPYIAAQHDVATLRVH KTSRTSKHTSKSKKKSSNSSAPRKQQKVYWERVSLTAYFKRSPRQQANIRFLYQMTKR AQATTQFGGPQQPIVMNSQGIRPAADGPPNGQMPMRAPPHQFPNGAHGGPQQQPPVPP QHRHPGMRAGTQAPARPVPGTRGPMAGVVAVSDSDSDSSSDSDDESSDSEQSRSTMRT DPPSPMFVNKAARKRAQDPRRNPSQPRNFSRYYGVESQNRSRKRQGKTYIADAQRRRS PPMAHQPPPPPPPPPPTSILHQREASDDIERIKHAYETGRADERYIRQSPTRPPVITT YASDIERLRNSAYNAGMEDAKREDRFVEEIEIGARPSRVARVYQAPRPNVRTVDPRVH ARDGLREQRLGGFPDDNLPRVDRLSMDDHGWEYHESLPRRPEVSRMGRPYGHDTLYES DGDDEDFISAPRGVEIHVGLERPSLRANYRTVDHLGRPLVSNAMDLGQDSHTNPFLPT LSPERGRSYERRR PFICI_14540 MATVNRPTDIKQKEADVNRKLQIYGIINAFQNGKVPSNEQIDVA LNSFLASRALSKPSDKLSAEGRALVNDFREVVQQAKNLLLSKNEGNLLQDFIYQTTQF NPNSVSTPNAPITKDAAKQHGDKALEGLRTLGTLLITNGQFRKLLNDGVLLLRDMAGD AASNAAQKVRPSEEKLSQIDHPAQDNTWHEAPDFSKENVKAQINSAYKGNPKKDAQDV AAAGLDAAQPSASGANTTAGTDAARGTAQQHLDRNVDPETQQQLKETKENGKETAAQY RARAKQYLGNKVPEERRDQIIWRLKKMVLECQQHPDYQQAISTLLDLAEEYGGHGRHL ASGSTGTVQQTRSSLAQAEADLKTLIERFANGTSSDDFFASLNAIYDDADKDPELKNW FKSFDAYVRKCLQQEGFIMQNASTEEWNRLYDHGNYLLRNKYRGHTDRIVDEVKFLAG QFDEDPANRNFAASLQKLFNDLGNDANGKPTFKPHLVKDLTDIILPAAFENIAYIPIP RIEYTDPQIDAVIENLVLESDNFMPNVLEIASDNYFRWGRKKITNKNKNTIDVKVAGI QMDLRDVSYHVKRKQGFPSITDTGVANIFMGGDGFSFRMKLSTADKSDKQHFFKLESV NVDVKNLKIKLVKSNHKALFGLVKPAMLKVLRPVIQKVAEKQLKEQFNQFDQLMYQVR QEADRALDEAREDPSQAPNIYQRYVTALQKQLLQGKKKAEDAVADKKVNMAVTQEDSI FPNIKLPGGISSKATEYKELARKGDKWESPVFSIGSASKSTDLPKAPQVVRKPHDTAN PNAAPANGYGHVNGGALNSGYPANGSYNGNALNSGLNGTSKPLNANGINSAGANTVTI PFICI_14541 MNTRQLSTLPSRPFLALRLRLLNIQPSSPFRLPPQRRRTHVHAF STSASSEAPGVMLSKANRKYEASKPVAAAQPDLKKELFPSSSPAYRDGNISDFAKQRS SQSSITGGRLSQNAFASATRGSSKAHGLPLNPRSDNPGSRSVHTNSVTSFVKPTSGLQ SLLARSDSFKDEPVSSTAPSAAASSIDSIYIGDDDFSDDENLELSFQRPEALPPPSLP RQRPPNTPPVQSYVPSPATSTTQFPWTSSPLEHWHPPPNPQRHVQKRESPEDIQSVPV AAPVAKKRKLPPNFTKKEVEKKVEKKVDLFAGASNSRQYKNTTVKKEIGTKQESNDED RFVSSKSTATPATKVKPTPAWETTPGALQAKKNQLRNQSKIKSESQTDLSMEEIRQAT ADHHATLHKVSHSAMSLSAEQQQVHELVVDKGQSVFFTGPAGTGKSILMRAIVASLKR KWARDPERVSITASTGLAACHIGGQTLHSFSGIGLGKEDVPTLVKKIRRNAKAKNRWL KTRVLIMDEVSMVDGDLFDKLDQIARQIRNNGRPWGGIQLVITGDFFQLPPVPDRNKR DTKFAFDAATWSTSIDHTIGLTQVFRQKDHEFAEMLNEMRLGRVSEQTVQTFRSLSRP LVYDDGITATELFPTRGEVESSNSMRLRALPGEIRTFAAQDSGDPNIRDKLLENMMAP KTIDLKKGAQVMLIKNLDDTLVNGTLGKVIGFSSEAFFTANPSKFDLSVADLVDESMK KIKSFAVRDSSLKDLTEYPVVEFHAADGSFRVMHVTPEEWKVELPNGEVQAKRTALPM ILAWALSIHKAQGQTLERVKVDLGKIFEKGQAYVALSRATSKDGLEVQRFDKSKVMAH PKVIQFYNKLYSPDSIVQKKKKPATISDFAHKGKSQSSIKARKQAIELDDEEEAMAYY A PFICI_14542 MCYVPLAFVILFIPKLYSFWLGGRYLDPASPKTYQPSILAADDL DHKVKSRILRAEAAFANGLETFGLYAAAVASVNAAPVHPALANGLAGAYLGLRAAYNL VYVVLQDDPRWALVRSALWFAGVGVVLAMFGVAGAAVY PFICI_14543 MSLALDLDRARALYQEIELFAAHVRKNTLGTIPIPQVGGKLSSL RGDLKNEIDLLQKRCAEDATGTQPSKGRSGSNLLFFETLWDAAKRSSNLFDIRHRICT QKLDKPLLAPGQRIIPSLGTHMGSKYRHSLVDLITDNGRTWVKISTITNKRLLYDLAK EAVFCDDSDEDGPTGYTIEDDLDIPILKTAKELARAATNHRIRTKPPAVHLVLPRIRL GENVEVDKIIDLCRQIPGLEVFCRDDVKPPPELSESTMALMYPNPRASFSGLLNIDTS VIVALTSEFSHTNVTVEDWFDRQRLAHCEQEEKENFLERQVYPIIGGYELVCVQEAIE TYKHIVDTIGTPGERARAKIVLGEDSSKTPAQLLEELQKWSVHPVPTSLRLPVRVVNI ESDLQGLPPQAKVVLENQLNPGRSVFTYGWAKKLTTVTCNGVATKQLAHGLEKFSERD GTTLDDEIWPSMWAFSSSRPLLGVPKSAGWRKKHIGNCEANGCTCGVEKFHPDTNVAA G PFICI_14544 MPMSPWPLFRQPLHMKPIKLPALAGSAEIFRRATGNTYVAGLWR ETLLVDLCWYRKDFRSEKNSSSQNAPSWSWASVDGGVEYDHDLYRKPPKVLNTITKFG TLLNVAYEAITVDNLSRHTWVVELEASLVPPNRIVPVFDKYEDEALSSTWVIANSVPA LYQMDVWTCMALNPYSSFLWHLEATLATGSC PFICI_14545 MLLRAVALAIHLAGLAYAECGKSIFSDDGKIQYLDGCADSSYAA NVTVQPGVTRLDISNITAVANLDCRDNTELTSISASELQSVYGNVTFSNVSSLSYIDL PKLYTAGNVVLENVKSINIPELYAVDSMRIANTSVQYLTLILKDIQTGTVEISDNDQL AQLNFPSLTSVIGGLVLDNNAILHDLSSSFPDLATINGNVEIVGNITGLTLPALAEVR GTLIVNGSEALQSDCNTLDAAMSDGAQLSGQYECVASDAWADSDATGSGDATETGSSM TSGTATSAAAASGGSSGGLSFAASVGILFGVLAVGIAGLIVALILLRRKKQEKKKQER ETSRSLQDEKPILDTPDPWAKAEMSGESAFSELSAEPAAPPELHGDETFKGDKSPIYE MDGSIYEMEGSVYEIPGIFEMPAEEVARGPI PFICI_14546 MSQRRAKERDAGAVEEEVRQYTFGGHTLEEFDENYPNRPKNHSR TLRFAELFQNLFNPLNENKKKPPGPAARRKQRGGRGPSQLSPHEQRRAIIERFMSRWR AEVGNDFYPAMRLILPNQDRDRGVYGLKESAIGKLLVRTLKIDKYSTDGQSLLQWKRP GQKASQTAGDFAGRCLEVIAKRPMRSSPGDMRVAEVNEMLDKLSAASGEAEQLPIIED FYQKMSPEELMWLIRIILKEMKVGATERTFFDIWHPDAEALFNVSSSLRRVCWELYDR DVRLNDDDTGVTLMQIFQPQLAQYQYFGSWKKMVDQLTRDKENNTIREDAEFWIEEKL DGERMQMHMMEDKTVPGGFRFGWWSRKAKDYAYLYGTGLRDRDSALTQHLKNAFVPGV RSIILDGEMVTWDMQLDKIMAFGTLKSAANAGKRNPYDEVGARCLYRVFDIVYLNGQE LTRYTLQDRRNALEKAVPGVHRRLELHDHLVSTSAADIEPHLRQVVENRSEGLVIKNP LSVYSLNQRNDDWIKVKPEYIKEYGEAVDVVIIGAYYGTGHRGGAHSSFLCGLRVTDD DIERGADPEKCFSFIRVGGGFALQDYREIANRTQGKWTDWNSKRPPSKYIELAGGERQ WWKPDQWIRPKDSVVIAVKAASSVPSDQYAKQITLRFPRFQKLRDDRDWDTALDWRQF EDLKTQIAVKQEEKEMNFERRRRNTKRIKKEMVIMGQEATPVEFGGPKTKLFQGLEFC VLSESVQPKKSKTQIEVLIKENGGRISQRAIPNADMILIGDKKVLKVASLIKAGPVDI ISPKWVLDCVAQSDASAGFLLPYEPRHLFHVSDEMKEQAQENLDDYGDSYARDIDVAE LKALLQDMPKNEIIDEPFHSGAFVEQLEAHGHDIGNIKGHMFKRVVAHFATADNVLDI SVLKYKNWVRFGGGIIVDDLEDRSVTHVVVVAKDDDPRAERDLSAEIRSVISKRTQIP RLVTRKWLEDCWTEGTMLDEERFSPQ PFICI_14547 MSAPSLPSNVHVSSHPCLRAKLSLLRSKQTHAREVKGLITEIAT IVGVEAFASVLTTVDGPSDETPIGFGYTTTTIEPAHFSLVPILRSGLGMVEAIQTLLP SPVPVHHLGLYREPSTLEPVEYYNNLPQHRGSAVADLAIILDPVIATGGTCAAAIQTL REWGVKRIVVLSVIGAADGVTRAASEWPEGVDIFVAGVDAELTPDGMLKPGVGDVGDR LFLTIGK PFICI_14548 MDGQSELRRHASTDDKTDHGPSPISSDHQRQPSSSGAGYDVPDE FVNVAEVSSTGPVQNWDERRIYRHESLEESRSRDQEYMRTQAAQRRSSTRSARETVPQ IDEEKQDTKPQVSKLATQIYTISYLILFSIFGTLARLGLQAITFYPGAPVAFSSVWPN FAGSLVMGFLSEDRMLFRHEWGTATYDQQLAKAQNEDGGDVDLVAAKKAHLATKKTIP MYVGLATGFCGSFTSFSSFIRDVFLSLSNDLPSPDLGNTTISRNGGYSFEALLAVILT TVSLSLTGLFVGAHLAIALEKFTPTIPFETTRKVGDRIAVFLAFGCWAGAIILSAIPP DRFSHGLGAAETWRGRATFALVFAPLGCLARFYASMYLNGKIASFPLGTFAVNIGGTA ILAMAWDIAHVPDGGVIGCQVLQGIEDGFCGCLTTVSTWVSELAALRRKHSYFYGTTS VVVALACMVAIMGGLRWSQGFAGLVCLH PFICI_14549 MDFIGLRMTVVLKQPSGCTLDGIVCSIMPGQLLSLEHVHIYNTG EYLPRVDLDPDNILDIRERHTTPPAPSVFASSAPAPVPAAATAPAPAPAPATPAANVT FQDPAILSMGRPPQGRKDANIGEKRDPLPKNAAAHSAALGQGASPIDPLTRDVGGLTI GASDPATLDTTEDEFGGVATPTAPVHPDSVKASKRPRQRQRKSAKNTRRGDDETPLSA GKPTVQGDGWRQTPILESTKSFQPFASLKKKGRIAASAENGWMSEDVTDVQEAGEFDF TESLAKFDKKTIFEEMRQQDQIDDADRLVSHNRLPKPKPGTAGGKNLHYTENVLDMPS SAGATKLKETPDDFWKSEADDATINGADRLSGREGSGRNSRLRGDSRLSTSRRSQSRK ASTTTQITAGVPGRINSATRIGDQHPPTPTNKRHPHAAPVGVQGFYSVTTNRRIETVT HLQMLNIENISHNELGFSEDLMSENAGRSISEVALGALSDPAIQLRIAAASPPISPTI VILAGNNKSGARAIASGRHLRNHGVNVLVCVVGIEREKDLVDEIRRQIRLFRGFGGAV CTKAQLFENLNKSAKSLNASSQASVTLIIDALLGLSISFEELRKSDQATTYELMEWAN RNEAFVLAVDIPSGIDPTNGKVNIIDGAKLYVQPRYVIALGAPKQGLLKAVEHGDEQA DDITVDEWKIFLADIGLSTAIWRKAATKLRRGIDFDDKWVLELRYQRSQADDELD PFICI_14550 MVHTLRFGDLEVPSPGFGAMGLSFGLGSNLSLEEAEPVLLKAIE LGCTFWDTAVVYQAGVNEKLLGDFIRKHNVRDKIFLASKCGFDVFGDGSVTNSRAHIE TYIDGTIERLGFTPDLYYLHRIDPKTPLEESIPALDGIRKAGKTKYIGLSECSAATLR KANSIAKIDAIQAEYSAFETLHETDGLIDTAKELGVAYVAYSPLGHGWLVDDFPFKSP DDFAPDDFRRKGKYTLDYLFMFFLPKFQGENFFKNRNIVDEIKKLATRKGCTLTQIAL AWVAAQGMIAIPGTTKAKRLEENWASRDVELTEEEKQEMRKIIDAAKPHGNRYAPAQQ AMVGH PFICI_14551 MEIDRSLYVTTTLTRATNGTVAVPRMFGKIALEEAIGTNLWAAY GTLPAIEQVSGYAGVPFASSVSADIESRLTDIPARLQSMDDSGIGYVIISLTSPGIEG VFNATNATKLATEVNDEMYADYVEAYPDRFGFFAAVPMQDPMAAAAELERAVTQLGAK GALINGYSMIGTPDNYTVQYLDDAACDVFWAKAAELNVPIYLHPRPPPPSQQLLMDGY PMMAHAAYGFGTETAGHALRLMLSGLFDRYPSLQVILGHCAEALPFLVHRVDTRLAIG IEGADGPYNKTMKYYLRNNFYATLAGVRRLATVRDTIEEMGEDRVMWSVDYPYESNED AANWFDYVEGLGVRTKEKLAWENAEKIFNITASLNSYQDDQEFL PFICI_14552 MDSGISTPPVIPEYTPNQDTVAKRAHYSPPWADVSIIGVAGSSG SGKTTLSHAIVRELNLPWVVIMSMDSFYKPLDEEAHARAFKNEYDFDSPEAIDFDELV NVLRDLKAGRRAEIPVYSFEKHQRLDKTVPIYSPHVLILEGIFALYDPRVRELLDMGV YCEADADTCLSRRIIRDVRDRGRDVEGCIKQWFGFVKPNFEKFVQPQRIVADIIVPRG IENRVAMSMVTQYIERKLLEKSTHHRAALKSLETGYDREPLSERVVVLKQTTQIQGMA TILQDIDTSSEDFIFYFDRLSTLLIEQALNNSHFKELTVETPQGNKYRGLTAQGEVSA VIVLRGGATFESGLRRVIPDCRTGRLLIQSNIRTGEPELHYLKLPSDISQHDCVLLLD AQMSSGGSALMSVQVLVDHGVSPDRIVFVTYSAGRVGIHRLTKVFPEITVVVGNLLPD IEERWIEKKYFRC PFICI_14553 MTSSTSTATPMKHAFTRQVEEVKAPKSDINALILDYLTLAGYPN AAKKFSTEANLPPQQSHDSIEARQQIQTAIHRGDIAQAISDLNDLDPEILDADTALHF ALLRLQLVELIRQCNATPGRDINPALEFATTQLGPRAPTNPQFLEDLEKTMALVVFSH DSLDPSLAALLQPDLRRQVADMVNKAILERETNRRETAIRHLVQMRAWSEETVRKDTK KDLPARIDLGLNGDDQNGFHENGQDTVMT PFICI_14554 MASLRTQSAAKLLRTTTVSRSTVTSFTQRRFKADDASKALTESG PVATATKTPAERAPNSPDWSAHIDKATSTYTPVPKRVLDGSEDSEVLPAAVLSGAPVE LQARTVRIYQPAKPATQSGNWGSHHWRMDWDVLSKGHRWENPLMGWQSSGDFMQGTHL NFKSKEDAITFAEKQGYEYFVQEPNKRSFTPKAYANNFLYSPGKLKLVRAK PFICI_14555 MSFVTRRALSTLIPPKVASPSAIGAAPDAVRMKRVVNFYEKLPR GSAPKVEPKGLFGRYQAKHFGGDNASGKPIIHAIGLILVIGYPITYYFHLRHHKNNAH PFICI_14556 MMAAEATSEKPVDVSVTDASLSQTTATTELSAQNVEAPSPPIVN GGGPDEPEKIKAVNDVVEDLAHSAEVSVSGGSDTEASTSKAKDPSHVRTSSTVKKPQS FKSVSVNKIFGAKVAANAISRSENSSPSLAPATPVSAVGSSASKLKLVAKSGSSLGGA SKTLTTNGKAPSAPDGSTVWNRNKAAPVPEPKKYSDEELKKYGIHMADRLRPEDDRGQ SNWADEDEDEEWAPEAITWTDGTRITLENQPTPSTAPAVPVPTATIANVPVPAAVAPI PAKDKPKSPAPGVTSDSARASPSVKPSLIASGKSLVLKGAPEKPTLVAKAPAPPTPAK SPWATLPPVDKASPVMMELPNPHQPPPPMRGYPPRDSPFGQHGNMPAKEIAADDFSRG PWRDGQPGGNRELFNSQSGRYEPVQDRRGSRHDPNYRQHPALLQRPAQQQDFQGPAEP SAAFQTSRSSAYDAAPYGRRRTSSNVSGGSGSVMHRLGRPHDMAPPEQIVPAPGQPSP ARSQHAQPWQPRPSPGQIDATLHNIHAPPVDIPTDVPATTAPSEDEIELQKRLMRERR ELAIKRRLEEEAKEEAARKERIALKLAAMGPAPERKSTKKEETKEVAYKRDGPPAGIF SKEELAAAEAERAVPQSAVHSENQEATTANSDAKSGNSPETAHMEGPHAEPQRPGPPT GPQSNTSWPESVQKPADRVASWSSGSQNGPRNLWAAPGNDRSLGNGTFDADLGQQLAD SEPAQPASITSRPTPIGPPRTAQQSQQRLAPIGPPSTNRPGSQPIHTGSATRRNPWAT ADIAADDRAIRAEKQKQLDEYRKNLEVQGVSVSEAQHSVRDTWRGVDINQDGKRITGQ GVTAQHSERIDRHAGPSWNAAAPNPGPEPIRHENHQFNQPAYHQDYRQQTGLPEGPYG RAAPLGPAGHGPSGPVQSRAGSRFFPHGGSRDIRQEESIMPTRPKSPTPPPPTMDGHP AYDGDAERPHVSLPPTRPVVKLPPAAQRPPPPIKQAPTPIAPPKPATFAAAAAAAATP VPRPSGNPLSGRNVHYGGSIQSAGEIKTQENWQQRINSLMRTPHAKPISVDSSSKYAF EAHTNTSATVSLPALSPSGSSISDSSDVTTKEMAEECFDEQEMGSLPLVNLPNDAPSA LWSNRAAEPNWLPLNMKYRVDATASESVRFPYEQVNHKSVYRIMINGMDEAKTIPAPF SPRNRSDSRRQGRGGRGTRGGPRGGRESIGDYQSSSSTLDRPERSERSERSERSERPS SRGGRGNFRARSEHWTKSSSTLGQPTQS PFICI_14557 MSPHKNSWTVLCETYPDLQLNPEELPQEEVLSSLASLPARDTLE INPGRGRTDFNLRRRNYNLKAVLAQISGEKQSDDDICTWCESGKGQWLGCVQVPGGTG SCANCLYGTNRCSLREDAAEDFEPETTTVAPKSAPTARVSKTSAATASTFTITPRKLV STANDSTDPTIGLNGVPNLLHLKRMPPNKQEEVLQLCRDRIEQLQDYIDIAEGRF PFICI_14558 MAATPSDTGMVMSDAPSRTSRRNSRPRAPLSESLAAPSDDEGEG FADDQIPGRARRNGPQDVPRVEDRIGITVQEHFENFIEEFVEDPTSSAAPTSSAVTTD KYYVAQIHAMRVYQLSTFYVNYDHISAYQNGGLANAINTQYYRFLPFLTNALHNMIAK YEPQYFREHRQPTVSSGQTTSGASNAGSGTASQSEATQGSKTANQQTDKLFAIAFYNL PLVSRVRSLRAKNIGQLLSISGTVTRTSEVRPELSVATFVCEACRSVVPNVEQTFRYT EPTQCPNLQCNNRIAWQLDIRHSTFVDWQKVRIQENSSEIPTGSMPRTMDVILRGEMV DRAKAGEKCIFTGALIVVPDVSQLGLPGVRPTAIRDDRNAPRGNDVGGSGVSGLKALG VRDLTYRLAFLATMVNSDTSTPGSNAQRPVQDIIGSLTQANAESAETVEEAQQAVLSS MTPAEIDELREMVHSDHIYSRLVQSLAPMVYGHEIVKKGILLQLMSGVHKTTPEGMQL RGDINICIVGDPSTSKSQFLKYVCSFAPRAVYTSGKASSAAGLTAAVIKDEETGEFTI EAGALMLADNGICAIDEFDKMDIADQVAIHEAMEQQTISIAKAGIQATLNARTSVLAA ANPVGGRYNRKTTLRANINMSAPIMSRFDLFFVILDECNDQIDRHLAEHIVGIHQLRD SAIEPEFSTECLQRYIRFARTFRPEFTDEAKEQLVKRYKELRSDDAQGGVGKNSYRIT VRQLESLIRLSEAIAKANCVEDITADFVDEAFMLLRQSIISVEHDDVEMDDDDDDVTP EDAAELIAAADAASSAQHQDAEGDETMDNADGSSSQTPADGQKKKTAITYEKYIAMVN QFVSRVNDDEATGAAQGVEAEQLLEWYLEQKEEELETEEDHDRELTLAKMVLKKMVKD NILMAVRGSGLASDEGDDEEAADAKVVYVLHPNCAVEEY PFICI_14559 MGIASGIGHFFESIIEVIQGIFTAIFNVFALALHTVADAGKGVV HFIEGTLGFAIHNFFILGTVAAAVLGYLYYQQRQNGSTRVSKTLKSN PFICI_14560 MSQAARSLSFPKRHRVFILSDISNEPDDAESLVRYLLYSNQFET EGLGAVTSNWMKTKVCPQDMHKIIDAYAGAVDNLNAHVSADAQYASADSLRALIRSGA SVYGMAAVGDNVPLSDAGKLLLERILIADERPLWVLCWGGTNVLAQVLYKIRKDFSAE EAEGLYARLRVYAISDQDDTGAWIRNNFPSVFYIVSLHGWNQYGLAAWTGISGDKFYG FDKGGPDFSKMTKEWVKENIQIGPLGSAYPDYMFIPEGDTPTFLYLIQNGLGVPERPD YGSWGGRYALHDISGSGLCGKSHHDAADEVVGLDGRTYRSNQATIWRWRDTFQNDFAA RMQWTLSGDFKSANHHPVISVNGSQSLEPLLVECEAGESFTLDASQTYDPDEGDKLTF KWWHYREPTATQWWTSAEVDELKVTNLNDEGSRVQVELPPPEKCAVELMSRQAVEKGQ LMHLILEVTDNGKIPLTSYRRILAQCTNKDLKGSGKPIDAAGDTGISFA PFICI_14561 MLLKGVLLAALPAALAAPAPGVVKAPTSDAPPSGVIIEGISYAG SGCNAGSVAGAISSDLSTVTLLYDSFVAQAGSGIQPAEGRKNCQLNLQLKLPQGWQFS VFKADYRGYAYLQKGDRGVIKSTYYFSGDSSQVSAQQTLTGAYDDNYLKTDEFGLEST VWSPCGEEGLLNVNSEVRVTPLNTNNTALLTVSTTTTATHHPDYNKMISSSAVDSTDF KFTQIHYLQWQECEPGHHK PFICI_14562 MAPHLVKKQKQQQQQQQFQQQQTTDNVRRPNIWRFISVRRFGQR SRRDSADEDEDHVRQAAATNHLYYGDGSDRSDPVLIGGDVADDEESVCSQYTDARSNW DHTLTNREDAISFAFSELLQSGDDNDDAVQNHWRAKGTSPSSNSNNKHPSGLRGDNAS SNKDRRPDSSRTINNSSDAVINFQGLENQFDAYFARETPPMHFQDLQRMAVDPFATTG PVHHQLEQRDVSLHDNFPVTPRKGKTVQSPPDWSSAENPWALLQDADLEPDDRETIMA ENARLRAELEELRAMSSKDSSPESHRPSTASPVRSPALRPTSRLRRSKNKKRSDVTLF ETTTLRDLVLKRLFTPHVIVDGIIHDEEQPLTLDTPLSASQVQEFTRIMNDVIVSGSH LKQPIALCAVCHLPKFKGIKNPHQSSYVSEVLSQLPMVSASAFEDFDPDLGTSSCCRR FVCKTCLSAAIISGISTQWWFDLQNRGSNWLKCPVPCCGRNLPLNSSDEIRAAMENLG LHNTAPYIERYKRGTKLRSHLQKLDPLPGRDELRRSKALHDRLVRHHRMQPLLENVLD NADDENLDVVNLPIDTADGQGTLMIPIFKGLLRHRIPRTCLVCDDTHYELNTGNQENW NKIIKGLGGDWTWRVRSFPIAEILPGCQHDHDICRACLSMHITTQIESRGFSAVDNIT CPTPDCQHRFTYAEIRCLATAEAFTAYDRYTVLKSISSQPNFRWCLREGCISGSLYDD PATVPSSVQDEADANCIECSECNFTMCYTCQSPWHADLTCAQYASQREHSFTETQTWL AEHTKPCPGEGCGVQVQKGDGCFHMTCSRCHYEFCWECLADWRGIFVPGEEGGFLRAD GHREGCFFRDQSAPLPTQVVGADLAAALRRLEQDDDAPAAAQ PFICI_14563 MSEIKAGAEFHNPPPPGSTRNAVRTDAAGLVPILDAQPEIHIYT KSHPLFADLSRVRTEMTEMTPLAIARPTNEAEIAAVVRHCKSNGIAVAIRSGGNDMGE RSRSNGGVVIDVRSLDHMVIAANRQSVRLGGGVNLGSLQKFLDSHELDAPCGWGYEVG YVAWACGGGYGLECGTRGLGVDQILGGRIVTSLGEVLEAVPGDEGCNDPDADDIWWAL RGAGAGVIGVVSELTIKTYPRPRAIAGYVWFPLSEAETVFGNMEKLYQEEFPDNFAGE VFVINPINNDGGTINHFFWWQLKDDGSDLEQAKAYHKRITQCGTVIHDTVRDKDGDYN ETTPHDFLFSISIPSRGSGMRSSTMSANFPSFSAKLGTILARHPLPTEKSCIVLHNCH GRGAQRDPTAAFGNRDQHILIGITAQFDEAEKEPEEQARRWPDIVYNELQEAGLLTGW KYINFNSPEKGDGKMYLGGEGVKRMRRIKEKWDPTGLFQRCTPDLGTDDLMNGFA PFICI_14564 MAIYHIVLFKFKSLVPLDEVKAVSLFAFLFPLAKAQSHLTVEAV GNSAYVISDSKQACNRMLALKTNCKHPETKQEYVKTSIGGINNSPENIANGFTHAFIS QFDNEDDRAYYLSEDPAHLGFVKSIGEIVDLVQVIDFTPGVF PFICI_14565 MSQPTGPMIINLPQPPSNPDTPNEMPGTPTSTTTSLSALSTTAI KDGHRGHAHAFPGFGTQRGHSHNPSTNSLEAERADRISRLAGLERVSTLRAGQQQQGQ SGISPQTTPTSTGFPSGSISQQQIGALPSGPSYFDSNGQPVAATKMSTVGTASATGSV GARTTTDTGDATEVDEDVMSMDTNYRTMDVDSSSGADVMDDDLGARSVGGYEDRMSDD GTASLVGFGEGANSTVSGPIYQRRPLPGTTGATGAWGLERSSSGLSEAAMLRSQQLRD AGDTPVSAIAQAERRDARMMNGIAVDGGGHTGTTGDDTYVDTTATGPVPATQLGQGMP GSVSREAERIVHESLDAGEGHVGVASPALSSTKGGERLGQFYFEEKK PFICI_14566 MENSQPVSSSLYVYTPDKGAPIFFAAAFAISALGHIFQCFHHQS WRLMGLYAISAIILTAGYAFRSYGAFFYSITSHGLALYTLSQLLIHISPPLHALANYY VLSRVFGYIPHLAPFSSRKILAVCGGITAFIELLCILGVALAADRTSPPDQQSAGKGL IIAAVALQLTVIVFFYILSGYFRRQITMDKITSPAAITPMVVLYISTLLLLIRGAYRL VDAIADTYTHRDDFGFVQESSPMMRYEWIFYVFEATLILANSAIWNIWHPGRYLPKDH QTHLAPDGKTEVQGVEQPDHRSMATKVGHILTFGAFFGRKDGPLDGEGEAIALNEMAR PFICI_14567 MDIPAAALRPQGMGLLENDIRLIIFLVVDFKSIPNLALTSKAWT TTYAAHRTYIHRYCLRGVIGEENMSIAFARYAASQLTWTPSPIRGFSKSCSLEELPVK LQEFGNKYLVPGALMPSDIDHKMARGMIHFHTHVRNWSSNFGYLSRESWTDRLWDFES LALNNQEISRFENSVYILEILRLLFPVYLEDDREEDREIFTALCHFFAPWQLHIIKEI ENNMIELCPDWYLSEFTDNNVVSDVSAFEAIFSSLGVEGISENRVHGVLKCQSLVEDM LRAENRQIFALTPRHEYQPPLGTLSWLFEKDTLHQIQRADIEELLSKYPEDDTGPADC WLYQQTLSVALCAKLPSPLYMISPRICDRKTLEHRFGGSFPSRSELIDNLDGAQVVDR NY PFICI_14568 MSLETPDPPAHATQVRFAAAPLELDDRHDDSNEPIPSDAVLRES QLADAAVPDGGFGWVIASACAVMTWWFVGITYCWGIIQSALVAQGLSTPATLSYVGSL AVTFVSILAPASAGVIARAGARNTAILGVFLLGLGNILSGFATSSVGGLFATNGIVMG FGVALSFMVCSTTPAQYFYRKRGLANGIVFAGGGLGGAVISFIMDELIIKLGIPWTFR VIGLMTLATGLPAAWLIRERVPVRSQSRIEWRLFRDPQFVILFAAGAVGTFPLFVPPF FLPLYVNSLGLSSSTAAGLLAGFNFASAIGRIGCGALCDALGALNTLFASLFICALSM LIIWPASHSLAPLAAFVVINGLSNGGFFATMPTVVGNIFGSARLSVAMGMIVTGWAGG YLMGAPIAGFLLNAYGGENRGLNPYRPAMYYAGAMALASALLVLIARMRFSVKLIKKL PFICI_14569 MPKPEKSDKSHRRDRPKEQKPEVPNFLGQLQMFIQDTQTMEETY GPRPKTKQRDSHRDAHRESRHRESHRREPHHREPHHREPHHREPHHREPHHRESHREP RRHSTGLSSESRKDHPGLGFLSAMPNSQLVREYGLSEPRQSRSTTQDASNHRYHNSKS SGERESVGHSHGHGSSQPPINKKVYGW PFICI_14570 MPRLTEHVARELYYVIDQPPAFMSKELRELHERILAVGDPAAVT RAERNQISHRLPPEEEDRLCREKVGLSLPELKDKVMTSGADTLTEDECAMILFRRAPY FEMDLLPDEKRLVQQVLELLANDYDQEVWRRTYFRDRAFDQVRKERKAKIKQEKKAAM EAKIQSMRPLWVLDMFAAKLPRWGFVVFRTAYGVGMDDKWKLFTAIHSITAMKQFHCW YRAQELKRNLEPLFVSESQLDGADIDTLRRRFKTMREQNEIPEGLATDCFLVVDKEVL NHPVITSKTQYQPKTPGAADAWDTTLPLTAVDPDYDESASVSDDGESSGFKGTITIPL PKVFDWLYYCFHAKSEDWETRYKNTIGGPAEAMVSIIESPFPTDQY PFICI_14571 MSTVSASKPITMTDRGPTGPSSYLQQEPQPPGMIGPTPSFPGPP SPSDFRPMRPSGGGGFPTTALPFPQPPQQQQPSLPPTQPVSMAHTAEGLKYIRTAAES SLRDYVSLQRRRRYDDPVAEDRLRIQRGVALADLRTLRSGVSALVKASESHRWGRWVL GGFFATLIPAVRRLFRRPSDDQESSNDTEYAFRKSKGLISRTWTLVRNNGALASMGLL VLSVLYVFQAEVSLRVARTVSKRLKRLIQRIEIGDEVLDEKDLNLFTGWRWRVLSWKS PFICI_14572 MFYTGKSTACHTCRQRRLKCDEVRPHCLKCRRAGRECLGYRDES AFIIRDMTTATIQKFEHKSSNKSSISGPSGAGGAGSEDSESASSGTSHGSGGNDHHNN NTFTQYHPAPISPVSVKASSPSPPRDSYGAERDRRKIRKQKSFADNPRWKQKQAMVVK PVMIGLPLEDRAFCYFANRYAFAPAQYLDPGYLPVLKVVSQKKNMGPCLTTSLSAVSL AAFSTKLNARKAILKARSAYATALQLTNEAIQNPGSCRDDELLASVVLLALFEVMLTA MNNLLTYTIMLTARQVFCSDTISGWSSHIFGAAAMLGARGKVSFRDKLVRALFRVISN ESIKLKMLGVQTPHTGTEIWLRWLSDPRYMTYITPDGEPSNPDSDDIVDLSKNTEGLS LEDVASLKGSDAVGQVESGPAGTLPVPQTTPNAPVGYTGPQSEDETYHITQALNEAKG MIIAYSMNSPPVPNLDEQEGPASSVGENGQALSKSKPVNEIDRTYRNLAQISLYVAAC TARLVTYNVMARLAASLSHAAADEYAEAVTMGRKEISEILRMTPHFFRLIEETSVSGD DQAVIPPRGSESPSSSDNSDSSSGSGDGYGMTKKARKRLPTLARSYIGLMILWPIATA AATDLIEPEQFQYIMGMMKYIIDVCGIRMGTGIRQFCLEKRELAGAPFAG PFICI_14573 MLNLLILLVLLGVASASPRLVRRVVVARNHPPTQSSTGFRLIAN VTDPSQDFDPPVHGWVLDAIHIGAGLNDAVLFADGDDVGRVYYVNGTADEIAGGQADT LTDGGTPLFPYGVYVADRNTTDADGLHDVSVNAGSGQVGVQLEVSSSSSTSSSLLYPV LRGPGAAAGTYVACNQYVSYYGENYTTIRWVYDEVDSSATAVVTNSSSNSSNNSSSVS SSNATLAAAPAVAGVRTIPNGCVALTLLPECNVLDELPNGSLASHEFAANSTCYANVS AIDWTLYGP PFICI_14574 MSHSPERAGEDGGSHHGFSAKIHDLKDKLKNSHLHDAKVALIHK KHQIGKFGNLFNPQHRHDEEHEKACDEKRTKIGEEHRFKSYFPERDGNMIKWYVDGRD YFWAVSVALEQAQETIYIADWWLSPELFLRRPPYYNQEWRLDEVLKRRAEAGVKIYVA VYREVEAAISCNSEHTKHALQSLCPKGSPGYGNIKIMRHPDHNVLENAADMTFYWAHH EKFLVIDYKMAFIGGLDLCFGRWDAHQHPLSDVHPEGVANEVWPGQDFNNNRVMDFKN VQDWKQNELSKADYGRMPWHDVAMGVIGPCVYDIAEHFVLRWNFIKRDKYKRDDRFDW LELRGRTGEDEDLVGVQRPKHPVGDYVLHPLTPMETKNLDNRGTIHAQVVRSSADWSS GILRDHSIQNAYSEIIRNAEHYVYIENQFFITATGDQQSPIHNTIGRSIVDACVRAGK EGRKFRVIIMIPSIPGFAGDLRDAAATGTRAIMDYQYKSICRGEHSIFEQIRKEGVDP TNHIFFFNLRTYDRLNKTPAIKKREEETGISYQDVQRAQAEEIMGSGIHGSKDVEGGV DSHMGKSSDHGKGADRQLNDEQSEQAIDAKRKFEAEMDGLEKTTTSFSVAHHAMADTG PLSEESWEGDAKEEVENWIQEELYIHAKLLIVDDRIVVCGSSNLNDRSQEGHHDSELS IVMEDTDRIQSVMDGKPFEAGRHAATLRRYLWREHLGLLPPQDFDAKDDVNAQPPGDD SPNDPWDKEDSYKFVEDPLSEDMWKMWTESATTNTEIFRHLFHADPDDHVKTFDDYNT FLPPKGVKAGHIFDRFLPPEDIRSKLDKIQGHLVWFPLDFLKDANMAETGLQVNQFTE SVYT PFICI_14575 MRPSAKLSIACTFLLAQAVVIAQSSGTSKRGIAYIKDGHSADYN LLLSAKSPLDWYYTWLPTTAPENIFWGDKADTIEFVPTIHNNTKLDADLDALERVPAS SQYLFTFNEPDGTFETGGSDLSPQDAARDYIAKIAPLRSRFKISHPATTGSARGFQWL QDFNAACWAIDPENGCPTDFVVVHWYGDFQGMASWIGQLAGWYNGSNVGLQGDLKVWV TELGVPGAPMDANYAVMSQTLPYLDSLGFVERYAWFGIFRPDGANSWTGTGLSLYDND GGLSSLGALYVGGESNGFVVGEQGNEYNGTVGNGGSNETDTGGDNSTDTGSGNGNGND NDTDSGSNTGNATGSSQGDNGSSAQSIASATWLLWASVIAMGCWML PFICI_14576 MSSNGAQEDKTKELLSESNDKKTEANKLFTSANYDNAISKYEEA ASVCPHYLDYELAVLQSNIAACHLKLEQWKEAVSSSSKALDGLEKIEKADAAAAADPQ VKDEDEDVEEEIVSTGAQKSAPAQGQESDAEVAARKRKEDILRIRAKALMRRARAKSE LGGWANLSGAEEDYKALSAMDNLSPADRKIVASQLRSLPPRSKAAQEKEMAEMWGKLK DLGNGILKPFGLSTDNFNMVKDEKTGGYSMNFNQK PFICI_14577 MAPRTDFPPVRACLFDMDGLLLDTEDIYTLCINVLLEKYGRPNL PWSIKAQLQGRPGPQANEIFHKWAQLPISHDDYMSQYSALQQQHFPAARPLPGVEKLL SDLGRTRWWDLQESDKTVTAGSAKPQRVHIALATSSNSGNFKVKTAHLTELFSVFETS RRVLGDDPRVQPGRGKPLPDIYLLALKTINDSLPEGEKPITPEECLVFEDSVPGVEAG RRAGMRVVWCPHPMLKQEYAGRDEEILAGRMGAAGEAVDVHQLGEIGDGWADYYLDLQ NFPYEKYGIAVPPAETELEPAMQENNDEELIAESAKN PFICI_14578 MTCKEPPFISIADEWSVRFEDESRLIASEYQGGLGRYAKLEPHA ASPSTAVATDAPRHKSIAAAVSDAHPIDDHRTPANMPTPQSLFYADRGQIPPDQYSDG GHSRKNSDASFMAPPGSSHSNGYANIVTEGFAQIKEERGGQLRTTYRCGSDASTAASI AGMTATEAQPQYHDANIINIDPGPLPDTGMMTPPGEKNGEREYLNTPEELHFMQVFVS EVGIWMDSLDKEKHFSRLIPYHALKCPMLLNALLACGVKHLTLTHQYTDDKALFYYDT ATTQLLRSLQNPERNTAECAATAVVLNVYEIMSEKPAQRMSHIAGARALIRECGWNAK STGLGAACFWLNVGMELLSCLSFNWQTAWDPEQWGLDMDLKSEKEQGKEEIWVHRIFY VVAKIANFRASIPKFQEPSPHDEQVRRQARFAEWQRLKSLCDEWNNACPRPMHPFAYL YPAQGGKSHFPNVWLIKRAAIVGRLYYHTAQCLLAQINPLLAKECEESRALQQHHAHQ VCGIVAHTKDRGVSSVAIRSLAIVAEVLDKLEEQREVIGILERIESETGWRLAALLKN LKRVWGWEKMAGTGLAAQFLAQSPSTQASKAQQQRQPPPPIQTTTPPNGQMMPGMEKA QQTLAAPRPIRNPNPLSNGDFSLPDHPYKNWYEPPTRSSTFSSTHGFL PFICI_14579 MASQSESEPATPKSVLRGHQAQVHATAFVRDNQRLASGDAEGYV VLWDLTIMRPRAVWQAHTNAILGIASWGNDKIITHGRDHRLIVWKISENDESRLSTTL PLDPLPDERPQPWVLHILEVNTMNFCSFGHCPASPDGGIDEILIAVPNTLASESVDIW KLPAQERLYTVHAPEKSEKSGMVMALDIFHLDSSLVLVTAYENGFVTVSQQDVDDSWN LVYRAQSHSQPVLSLGVAPSKDYFLTSSADALLVRHPIPKPSEDRPPILGNIPSTNER KPPSAPGSGMSLLSAALAGEPRPPRLQKKPPREVQTQPLKILNTKHSGQQSLQIRSDG RIFATAGWDSKVRVYSAKTMKELAVLKWHQVGCYTVTFVDMEVSATQERIEVPKNESD GESTAIMPSSKDLTVKERRIKQAKEAHWLAAGSKDGRISLWDIY PFICI_14580 MAQDDDPTRDSAPDLQILGDQITLQPRSYVEPQQQDGEKEEALM QHMARFRSEPLQFLREVSLYVSGQGWRAYDRVVGQPIFYSGFSEQMKNAVVSAPLLQS RIAQLAEMRLQVEEKEGWLRQDDPNYAIKKAQRRSAIEHGLQEVTEKMTNDMICKFES KTFIRGAYYLCTQLLTRAYHQGIHVNSEEVLRLRKVAEIAASKKQSIIFLPSHRSHVD YVSMQLICYRLGLALPVVVAGDNLNFPVVGSFLQHAGAMWIRRAFGNDVLYQTAVQAY IDTLLQGGYNFECFIEGGRSRTGKLLSPKFGILSFVLDSILSGRVEDAVICPVSTQYD KVIETEGYVTELLGVPKKKENLADFLTDGSSVLSLRLGRVDVRFHEPWSLRGYIDDQL SKISNVPANLTAKTPESAAVRQKLLRTMGYKVLSDINDVSVVMPTALIGTVLLTLRGR GVGKAELLRRVEWLIDRVRAKGGRVAHFGNAPLADVIERGLEVLGKDLVGVLNGLVEP TYYAVDRFQLSFYRNMTIHLFISEALVSASMYTRVKQGGGPAHQEIPYQELRDQVLFL SSLFRGEFIFPSEGLVANLERTLVGLENDRVINLHRGEDNKILSVGIADEERVAGREN YDFYCFLIWPFIEASWLAAVSLISLTPPPGTNEDDVWIGVAKAQNSAQLLGKTLYHQG DLSYFEAVNKETIKNTYQRFEEEGIMYAVKSKDTKIPPRLRLASDWMPRRDAESGEIL AEGRLWDFVGRIASSRREGKNRRDGATVSTRVIRLADMLGRKLFAEAADPAAKTGTRL SAQEALQVQKEVKAERRRRKLEGRAHL PFICI_14581 MASTEVSVTQDLLARAHSPDNAQRIFSEKIQYRPLFLRPNSPPP SENARQARRKARENKKKRAKALKPQPLSSRERRKRGLYNVPKEGRKFAIFEPLNRLWN GYMREILGNELHSGGQGASAKLAAADYHGARVEVSRSRNPSRVGIEGIVIKDTRFAFE IITKRNQVKLVPKEGTTFRMRVPSSDETPNDTDDSTMKQFEFEVLGDQFQFRPADRAN KKFRAHYLKLL PFICI_14582 MWSKSLVLLAGALPLSAAINNCPLDGPVFPKPTKLSSMPVIKEA VANLTNYFAQWNSNYTATANFSYSVQIFSAHEQDPLFTYSHTSPKLAKINHPGVATVD ENTVFRLGSLTKVFTVYNFLLNAGDEIWNAPITKYIPELAAMVANTSSSSDAVYNIAW NDVTIGSLATQMSGIPRDYALLGEVSQQDSTKDHVVQLGFPPLPEADLPPCGDTPLCD RAQFFAGMAKFAPSVGTFQTPTYSDIGFQLLGYALEEITGKDFQSLLQDTVLTPLNLN GTYYKVPKAALGVIPGNANLTKWNFQLGDEAPAGNMYSTAADLSKLGRAILNYKQLSP AVTKRWLKPFSFSSDPRASVGAPWGVRRIPLGPEYRETTGYNKAGGIGSYMAAFILIP DYDIGFSIMIAGDIPGNTNWNIADIMGNVMVPAVTAAAKSQAATKFNGVYTAPANVTN GGKLNRPLNSTITIATDGKPGLSVDRWISNGTDFRNNVVALQLTYQPTIPSIRLYPGG LYTDEADGGKAIKFKAIFEDLAVGQQVDKMFSTDCGSWVGVESVLYASTSADDLIFHQ NAAGAVTGIEIPSLRITLPKVS PFICI_14583 MSTPTSNESRFQAQNKTTQERISNTTVGLVTHADFRKRRAEVLE AQEREASGTPVDRSLTTTPDPATAEGAAAAAAAVKAKKQAKKRKVTKLSFDDGEEDEE NGTTRKDAEDGGHSSKETVKAKFKANSNVSVVPRAVTKAALRRDAAEREALRKEFLER QEIVKTTEIAIPFVFYDGSNIPGGTVRVKKGDFVWVFLDKSRKVGADLGVGEKANARR EWARVGVDDLMIVRGNIIIPHHYDFLYFIMNKTVGPNNKRLFDYTSEAPAQTKSDDTP FDPLSTAASKAAKAAEEMSKLEGASDDPTYTKVVDRRWYERNKHIYPASMWQEFDPEK DYNQQVRRDLGGNTFFYS PFICI_14584 MSMLMSTQTSPGSSTAQLLARCRLRRRQDLIGADLFLVMAVACA TPPDTSLWKSWIQLPQPLTVSLLDDAPIEFVKAELKRCVDSCDASDASQGDFLPTRLL DVSGSIPRLVTTRAASRIQDTDARYLALSYCWGNGNQLKLTQDSIDWMTRGIPLELLA PVQIDTIALAKALSIPYVWIDALCILQDDHDDWCRESSSMHKIYATSYLTVCALSTTS CQQGYLSRRSPMITVPCHSKTDDTGYFHLQPFMWTHDRNQTYSGITPGSTWSTRAWTY QEQGMATRLLYVTSAGFTFSCSSWTISEHSNLASNPRKDVILAELLASKDPETLYKTW AERVIANYSPRELTQNQDRLPALSGLAQIFGQSLPGDEYAAGLWRNDLHRGLGWILRI PPHESLSGLLDDLSDNDSYIGPSWSWISRPKSLVVYDEELVFYKYKSSEWIDHQEFEL LSMSITKKGLDNYGQISQGTLSVRAYVYKLSRKGNAARDANDPRNLIYTFGDQQNHAC LIRPDWRLKDEKQNLCNLSFVLIGSGSSEDEPRTSLHGLVVHAVGASGTYFRVGTFWP TLVYNFAEPSLQFFRRESILQDIKLI PFICI_14585 MEKNQGKSEDVQGEQPPAADLGHYSVFTNSMRLYLTYLLGFVII LSTLTATIYFPLIPLLSREFSVSVQAINLTVTLYAVCQGVTPPIFASLADCFGRRRLL LCLVALYTCASLGLALNRDSYSTLLALRALQSVGGSATPAIAYGIVADVAVVSERGRM LGPMLSLCNGISAVGPVIGGAVAQSTGAYKWVFLSLCIVGIVCLVLVGFTLPETARAV VGDGCGKPPILSKVWGTEDVLMKYGTTCDRNHQDHIQRDMIPRAQWKPTMLLESLRII FHPDAAAVLWMIATSYCVYYTFQVAIPVIYEDVYGYNNLQIGLAFLSGLAGMTVGGIV AGKLLDRNFTVVARKNGFPIDREKAQTLDDFPLEAARYRSIVPFVVLEVALVAGYGWA VQQEVHPAALLVMQFFICASSTLLSHTSSALLVDMFPSKSSTSYASGQLMRCGLSAAS AAVLAPLTEAVGRGWYFTLFSVFTAVSALACVWLTMIKGKSWRQKRCSSR PFICI_14586 MDIDDDDDFYAPDEPETTQAPAPQANATVQPAAQHDEDLEEGEE EDEGAEMDEDDSDIDIITERKDGTKAPPPTQSKYSDIRNIPQRTTSSDAAVKPAVKKD EASPASGAELPAVSTSKIDVNAIPIHKGTGKPITQVNIDEDLPENDKPWRKPGTDISD YFNYGFDEFTWALYAAKQDGIRGEYSSDAIAQNNKKMMEEMQMMMMGGMPGGAAGAMP GMPGMDGMPPEMQQMMQQMMQSGMDPSQMDPSAMFAGMQGAGGASGQGGQGQNFAGAQ GFGNQQGQGYGYDQGMGRGNFGNRGRRGRGNW PFICI_14587 MDKQAAQSAVVADMRRMLAEAKETLLAARAKKEAMKMAAIQNAN IHVASGSASSAAPSAGGLFGTASQPQTTQPSTGSGLFGGGSSAAPSTGGLFGNASAQP AQAAAGTTAQSAGSGLFGSLNTNTNTQNTQQPTGGGLFGNSTANTAQPQQQTGGLFGS STANNNQPQQQTGGLFGASTQTAQPAQNTSAFGSSILGAGNQQNSNAFSTLGAQSTNQ QTVPGVRIDLSNVRGTTRFNDLQEDLQKKIVWLDDQIQSYMNQKHELDAFMPGHGDML SNIPNDVKFVQMKYDGVESALRSDAEAIESVQLLHQKDAENGRLCFRALDNLKLPQQY HTSGLWSSRAQAGASTNTESDGQDIVNFFSKTTSEMDEQLQRYENNLTEIELHMHGIQ GSLIEQLQRMMATKNGGPSPSDEKLAELGAVLRDFEQSILEVAQQVGGAREGMTRLQL GDFLPSRHHPRSGVY PFICI_14588 MSYEPLSSKDPDDEHKSYGSTDSSPAAAAARSPTALLIENVVLE DFERPQASNPFLDPETAAYWRNVYEGCEYECRHAFDPTLTWSRKEEQDLVRKLDWKVC LWACVMFFGLQVDRNNLSQAVSDNMLDDLGLSTDDYNYGNSVFLVSFLVAELPSQLIS KALGPDRWIPMQMVLWSFVAISQCLITGRSTFLLTRSLLGFLEGGFIPDLVLWLSYFY TSRELPIRLSYFWTTLSVTGILTSLLASVLLRLRGVAGWEGWRWLFLVEGGITLAVGV ASFFRMPASAVQTKTWFRPNGWFTPREEAIVVNRVLRDDPSKGDMHNREALTPRKLWE ALKDFDLWPLYVIGLVAYIPQSPPKTYITLILRSLGFSVLETNLLTIPAEFLHICNLL IITRLSEWLNERALVAILQPIWTLPCIFALRFWDGTFENAWGSYALVMVLLSYPYCHA ILVGWTSKNSNNVGTRTVSAALYNMSVQTGSVFSAYVYRDDDKPLYRRGHSTLLVINV VSILVFLLTKLYYVCRNRQKEAQWNALSEEEKVEYRRVTKIQGSRRLDFRFAH PFICI_14589 MAASVDGREAQETTPLLNNGSENGNSTGPKTTAPADDGKSAATA DRWQHIATPEMRLLMAAFLITTGLCFTQVPILYAFRKMACEDYYEHAAPYIGTGDQCS RPEIDASTARQIMILGMSTILCGILNLNVTGASIKNRGPRFALLVNTFFPVLRVTMQA VAVGIGGRTGIILMQSSQLFGIVGGPAGYLLTLNTSIAELVDPNKRTASFGRLQGAAM FGTALGFLLGGIVGEATIIRRPFEITAVLLSFSFVYCAVFVPYIDPKTMGGADSKKGT NAKNASVFRVLAPQTLRLPDGRTTRYYGLPLLAAGTFVAVLAVGYAPPLTQMYSITKL NFTPTMNSAFMFMSFTVRGVYLMFIFPEIIKWGRRWFATSEEAVQSGPVLAETLPTEP RDLGPIEALPEAETLEPANPPKPVDEDAGAAFDLFFLRWSMLGDAIVTGCIGFSTMPW HLFLAGFLLPFFSGSDAASKGVLTDLVPAAQRLDALQAITFVGYLASLSTAGVFGSMY SAFAEIDQAHLTFFCNAAVALLAILILTFVRIPPQGSTIETLEDEAEPDVSTQEQ PFICI_14590 MVFTVGVLSLQGGFSEHLVSLKRAAVHLQRNVECIEVRTPQELS TCEALIIPGGESTTLSLVAQQSGLLEPLRDFVKQKRKPTWGTCAGLIFLSEEATSTKR GGQELVGGLDVRVHRNHFGRQKESFVTNLELAFLQDSSVTDKPPPFPAVFIRAPVVDK VLTDKVEQTPTAPVEVLAVLPGRHASNKAALTGDEASVQPQGDGDIVALRQANVFGTS FHPELTDDIRVHVWWLQQVFMASTPN PFICI_14591 MTNDITSSAAAVASGSNGNAGPTDFKVKAGLAQMLKGGVIMDVT NAEQARIAEEAGACAVMALERVPADIRAEGGVVRMSDPGKIKEIQEAVTIPVMAKARI GHFVECQILESLGVDYIDESEVLTPADDQYHVEKHGFTAPFVCGCRNLPEALRRIAEG AAMIRTKGEAGTGDVVEAVKHMRQVSKDIARAKAALQEGGDLAIRMLAREFECDPQLL KQTAELGRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDAAKRAKAIVRA TTHYKDAKVLAECSEGLGEAMVGISVSSLRAQDKLAGRGW PFICI_14592 MNVPGNNASVLVKLAFDHDDAKCWFDLKPDSDGGKGSNSDSFLY ASNEDERATIGLSSTSTSSTSTATSSSSTTTTSSNGADGTGVAATRTNIPATTTSSSV GATSTGSSDTSTNGLSVGAQAGIGVGAGLAGVAIGALAIFMLLRRRKQGAIANANPST DPSMGQSHYDTSILSQQPHYAAYSDQASSGYQSRSHTTSPDNTLLDGAASVGTSATNY TPIPQELDAPHTYLQELPSSRHM PFICI_14593 MSASEGQGPLAASQVSSLPNLLTVIITTSVTPSIPNTDLLSAVV DGFREHCPHLLRCRVIVVFDNYDQIVSFARLKKGHVTPNQARDWHLYKANTKKLILEQ FRGAAADVELGTEQGEAEFGSPKEANSVAFTTTYTRDRSVTFIEPVRRLGFGLAVRSA LRMVETPYVWLQQHDWNVIADVPVASMVEIMQQHDSSTDLPVKYICLPAIRMLTYATS ADVKHFPVLKDLTEKLKRDFVPPQQPEVKIPLTPMFFWHDKPHIASTQHYLARVFPSR LAMMRGDFIEDKIGQIARTQMKEGLWAKWATWLYYPDEGQSLCLRHLQGRIWRGTDAQ TKQIASYIESNMKNMLEREAFGANVTDVMLDDHDDSISRLF PFICI_14594 MERQNSISMFWRRIGSMLSLTEAKNLPVEVTRSGSVCTLITVIA ENSRATSPNHETSFLDNDEDDDARGCLKVSRKGALGDVDSSILDLSSPALSSATAKGP SSALIGRCPRQHSIRYSTIWYRLDYVPDFLVCSRCYQDHVEKSQFPDLFLRFQSETGV PHRCRFWVPRVSTSIWPDTKDRGVLEELVAYARLRVTIPDTVEAISDLSRVGIQWYRC PTDGFLSCRACYQDQLVGTNFEHRFLPYRTRESPDELLRCSLCHPAVQRALSYYAKDN DWRRCQQVIRHRNQGSPCKGRLSDLNSGKWYSNVPAGRIWICEHCYLDRIHFTVFRLH FHLMRKNVRPLMTGDDTVRCALSTGPVLMALEASLARNDYGVFQHAVKEISDSKTCDS KGIDGGIWYGLKSGGTDFLICQACHAGIMTTCDLDGYFHAVNRASQSKHTCSFNPSDP RFLHFVSKMGEALDIGDFDIFVKLTRQLSALPPCPGREPIPSSSAWLSHGNCVVCPEC YDTTVSGTRWEKLFILGDSADTTLKICSLASPRMRHHWWEACRRQSLNEFSACAGTRL RLYTETVSMIKNIKNRKLSLVEVATGLGAHGIATQSHAQTNAREAEIPSLVVDSNRRE QIAEGQRMVDSMYHAIADANREDEWRLIDELEARWEEVE PFICI_14595 MDIRAHAERCMKDTEVILRDAQEWMGNFITTDHQKNEVITVSSI LFRVPVQVPHGHLGHSPSSHPSFAACYRDPSIPAALTIARCTHASGTGLSGQLSIAAL IQRLEDEQHQKDQLKRDLAAEHKSRNNFQMEAERYESVVQRLEQKINEGSFIAVLIDG DGAKFADAFIRNPVDGAPRAAQVLKQAVRTYIENEVPELNGDDIPILIRVYANLNGLA QSLRLSRIIERDEDMKIFAEHLTNSRTEVDFVNVGRGKENADSKLRKMLSHYHNNLQC KKIFVACCHDNGYLHDLREYSDKNGLNKKIILVETTPAEPQFQTLGFPITRFDGVFRS RPLDNETKHLPPPLRTNSIQDTSPAAGLPVRQQSLPQAFPPPPPQPLPQSLPQPLPQP LPQALPKSPEQPTPQPQQQPARFVPTPASSESQPSPAPVKAEIEAVPRAPSIVNSGNG GTSISYATAGGHGGDFQNITIKSSKSKKQAKTILYNSEGSRIDPPTKHPANTPAQSTY QTKLEKVAPNAFCNDHYLVGICRRSGCERVHNIDLTPQEVSIHRYKARTSVCPRGPEC DDYDCYLSHHCLKDPRCVRGSACKFYNTEYGNLHLDSNEKLQPATRWTQGSDFPEHLQ PFICI_14596 MAIGAVLYDNNFSYRKNAIAWVREAELWLSVPRSKSRLTVSGLQ IMILLCIARETTGLGADLIWITVGSLLRAAISMGFHRDPKRLPRTSLFQEEIHRRLWN TILEVRQTTCPKVPPVYRSDAIPTAIALRYMLPVRLHVAKFLNDLNPRRHQYDEVIQL EVSLRDAYKQLCKSIQGLQNSSLSPFDSQFLDFIVRRYFLSLHAPWFGKSLQNAAFAH SRWMVVETATKIWHMVSMDGDLALFTKCAAGFFRSVPIQAFLSVAIEILTQLEEDESL GPKTLRPDLLAILHGSRDWSLARVETGSTNIKGYMLLCGLIAHIDARRQSLPSEEVHK AFARNVEGALHTCMSVLQGISQKERPQDEPIEVNDGWEMTGLESVVADVESFFTFAPG WLVTDTPPDLTIWQ PFICI_14597 MRVVLLWLASCIATVAATVDPVSLALATYPECAITCLAKLVPVS ACELTDVDCLCHDAPLNSKLTVCVTANCSTVDALATKNGSATLCQEPVRDNSLNQGLV GIIGCSLTFIIFLIRIASNMSYGGGGLGWDSFLITVTMICTIVASALAPVAASHGVGR DIWTLSVADIEAALFYYLIAELFYFAAVGLVKASILVFMLRIFPQERFRIAVFIVVGL VVAYTLAFLLATTFQCQPVSYFWLQLDDNVEGRCNNINAQAWISAALNMVLDLIVLGL PLKSLWGLQLSVARKLTVMAMFSLGIL PFICI_14598 MKSFAFAALVSACGYLGTTSASVAVNITVPRDMPSNSYPPNGPQ LTPGPPPNETYEYIVVGSGAGGSPVAARLALAGHSVLLIDAGADHGRMREVEVPALSI WASERSDLSWAFFTHHYEGEEQAVRDRKMTYRTESGDFYSGTNPPEGAERLGNYYPRV GGLGGCTEHNAMLSILPANNDWDYIKNLTGDSNWDAQNMRNYYKKLENNQYILPNDPT AHGYGGWLSTQLTPIILVAQDLKIISLVVAAASAIGVNTDDLVGKVSDLVDGLLGAIL PGSPSLLGLVDGLGKLLLNDINNDSPTRDSDTALAQIPLAMHSPDYRRSSPRDWVYDI ATATNSDGSRKYKLDIALNTLVSKVTFDNATSSGKPKANGVEYLYGESLYRADPRSDL EGDGGVAGSVSATREVIVSGGTFNTPQILKLSGIGPADELARFDIPVVKDLPGVGTNL QDRYELGVSGEAPSNFFILSGCTFLEGDEDPCYDKWVDGVGALKGSYTTGGIALGLFT RSSVAEADHDLWVGGIPGLFQGYFPGYSKTVIGEKNHWTWLVLKAHSRNNAGTVNLTS TNPRDTPKITFNSFYEGAEGAEKDIQAVLEGMRFGIQAFDNLIPLDGDFERIWPPPEV SSDDDLRQWIQDESWGHHASCSAPIGADDDPMAVLDGQFRVRGVDGLRVVDASVFPKI IGTFPVLGFYMMAEKAADDILADAT PFICI_14599 MAIIGHKEELGDPRVSNIAREDSKKWYQKPNLRSLYLILVPCGL GVEWTSGFDSSMMNSLQAVPSWVEYFGNPTSDRLGLLNAMYSLGGLMAVPFVPSTSQL LGRRWTIALGSLIMFLGAGLQAGARNQDMFLASRWVLGFGIPFAIVNASSLIGELSYE RERPVMTSLFNASWFVGAIVAAGTTYGTFQMDSTWSWRLPSLLQLVPSMCQVCFLPFC PESPRWLVSRDRADEAFAVLSKYHSEGDNGEEFVRLEFAQIQSTLALEKETTKAFIWA NVFRDAPMRRRFLLAVTIGFFAQWSGNGLLSFYMKKILNLVGIKDNLTVQKIILSKSC WDLIVGVPIAITVPRIRRRVAFLTCTIGTAVVYTVWTIASARYAIHNTASAGIAVLVF IFVYSPFYNIGWNALAYTYLVEIFPYSQRSQGIAVEQLAVRIAVFFNTYVNPIALDAI GWRYYIVYCVWILFEIATVYFLFPETKGRSLEELAFMFEGKEVQAKQNQGVDKQLELA PAIGLDSIDHRESGPGESGTRSRV PFICI_14600 MLTTNTLLSFQDKLATSCLPEALRDAIHVTRELGYQYIWIDALC IIQDDDDFKQVELQKMNRIYQNAFLTIQPTLSDSVEKGFLDGRIITPHVKLRFTNGTQ SYVYARRLIPSSRDNDGPTTKRAWIFQETALSTRLVVYNYQQAIVACQTQTRLESAGK EPNSFLGPGLHRLIRPDRYIPVESRDATESRKAAFSGWYKAVGVQYSGRLYTVGKDRL YALSAFAEEAHQLIGGEYLAGLWSADLLRGLAWGPRSWRVLNKATQYRAPSWSWAALD GMVLWSTERSHIEPISKETRVVEAWVKPEGINPYGPCLDGNLVLEALVGAASVSMKPW YEGKHIMHGQFIMTTMNGTRDVCYAHFDTTEQPESFTCVFLTGQRGLLLATHGSSFRR IGTFLLGDAFIKTSGTQGFQTWRDSCEYQMISIK PFICI_14601 MAEDIKDPRVNIIIHKDFENYDAELLDKLKGARGCVWALGISQT QVGPEEYVKITKDFTLAAAKAFGTLGTAEEPFHFVFVSGNGATTEPGRLTPIFGRVKG QTEVALAELRKANPALHALSTRPAFVDGHAHTAIHPYLPTRPFTLRLIDPILGPPIRW GLKSFHSPTEPLGRVLTELALGKHQSQFVPAKDLQMIDQFPILENSVLRRLAGI PFICI_14602 MSASTPEKTGDPVLDDQSPSDTEIAGSKISEKALLRKIDAHLLP AVGILYLLSFLDRSNVGNARIEGLLDDTNISADGYLNGLTLYFVGYVLFEIPCNIILK KTTPRIWLPTLTIAWGVVSTLLGIVHNYSGFLAARFFLGVAESGLFPGVVYYFSMWYK RRERQYRVSLFFSAASLAGAFGGILAYGIGRMEGIVWQNGWRWIFILEGIFTVVVAFA AYWFVHNYPKTSTFLSEDERQFIQQRLASDSDATHDEHFSWAAVREAFKDPNCWLYGL GFHTMSLPLYTLSLFLPTIIKSLGYTAATAQLLTVPPYAFAFLTTITVAILSEKLGQR AMFIGGSSLFGAIGYCILLGNTNPSARPGVSYLGVFFAAGGIYPAVALVLSWPAINVS GQTKRAIANAMQISIGNCGAVIGTQLYRSTDGPRYIVGHSVALAYLVANAAVVAILYF RLKRENKKRDAIAPEIKDVGAVADWKGDSDPRWRFVY PFICI_14603 MKFTSANSMLLASFLISGVVSTPHPQQPASTPGGPGQGQPTTTQ PAPGQPTSPGNPEQPVPRDVCDGNTASTRSEWCDYSIDTDYTSTSPDTGVTREYWFEL TDVTVSPDGVSRTAMAVNGSIPGPTIIADWGDTVVVHVTNSLTTSLNGTSLHFHGIRQ NYTNQNDGVSSITQCPTAVGSSVTYTWKAEQYGSSWYHSHFSLQAWQGVFGGIIINGP ATADYDEDLGNLFLNDWDHQTVDELYDYAQTVGPPTLDNGLINGTNVYDDGGSRFTTS WVSGTTYRLRLVNGAVDTHWKFMVDNHTMTVIASDFVPIQPYTADYINIGMGQRYDVL ITADQADVADQFWMRAIPQSACSDNDNADNILGIVTYVDDTTTEPTTTGYDYGSDTCD DETDNLVPYISKTVGDVELQVAEDASIALDGTLFKWTLNSTSLLTDWANPTLMQVLDG TDEFETDDAVIHLETANEWFYLVIETSLAVTHPIHLHGHDFFILAQGTGTYSTDVTLN LDNPPRRDVAMLPASGYLVMAWETDNPGVWLLHCHIGWHTSEGFALQFVERFDEIADM MDSTYVSDQCDAWSTFQDENSIEQIDSGI PFICI_14604 MHFSILTLGMLPLAIHAAPAPQGTEPPSPGPGVPNMGFELYAYG QEFGGLSVFNWNGLAFLGNASEAGDSEAAQVQFMRSPSSTTSMTGNPVPVPGQASPSW FNVTYYIPSDTTTTHQTGFLEADDITTDDLVTGFVFYGNTAAWEDSTGELQTKWYALP YEDTNLWTLNWDPSTESSTEKVQVTLRTVTPSVPPHNPPSPNGGQPGSASS PFICI_14605 MTITKLGDVSSSSSDASSCLDPATTTFSPFMKLPPEIRHQIYLA AIPSPGVNFFNIHSFPNDHHGANRSTSPPNLHLDLRRLSIDDDDDDVAKYDPSVWQIR HALRQTCREARIICAIPEGKVVCLTLSIPKRGLFTHAGDGLQRSMTPLLLPDRHFEPA VYRQVQIHADDVVALSVENCSFNIVFEESTMFHVGRDDEEDEINLGWAYDPQFKDGRP LGIPSTRFCLNLARDEWATMRALEEIAGALLEASTGAGQDVPGNDANRGLVMLDNEAE HMDDVTRKYRKKQLKQYWDRWEDLYISIPWDYEDLPMNLQLMKISPEKTSLRTRYLRS AILRSPKRPL PFICI_14606 MDILKRTFTAYRISIVVEPPAPEVLSQRLRDHLAGDTVRGIEIR GAETIGGSDAGALMAVDWRVLSDPDRRQEDHSDADDNNHQYYYDDQGPTHRVELVYER NTFLAYLFIARGLLLINAPVPVRGALVRFLMQTLDTRATVLVPDSGALMRILETWLGD EDGSGAAGFTQKDVAITLRFADKASAGGLRTLDIAIPAQDLAAFTGTSDGDKFSDRLA SYVDKHLALNMRHSDVRIAKISCGGFVLGEGKVKLMDEAKVAAVLDGIK PFICI_14607 MASSTVANSTTIPTAPAATASASHQYRAPGSAHSHRGSLSSTAA QPLATSSNSNLNAANNDPKMSQQSQPSSQQSFAMSQPSGSQGFRPFSDPQSRPNPHNA SQIYSASYSSVEVYEMEVNGIAVMRRRRDSWLNATQILKVAGVDKGKRTKILEKEIQT GEHEKVQGGYGKYQGTWIRFERGVQVCRQYGVEDILRPLLTYDMGQDGGVAGSGDLNT PTKEQALAAARKRMYSGMGNEVRTNGSSGTFFSNISTMASTAVAAIGKARFDSPGPRG RNGPTRPPSFSRQSSMQNPDELPTNSQQSFTSEYGNQVDSAYSTQNTQIFEEPPRKKQ RVVTPNESFGYTSQSMEIYANNFPGSPTEPNESFIYSQAGVNVAGAEDGVPLAPLPYE MSPEAEQRRNLVMGLFMDSTTKDFANNGALQTLSPQELDSPIDTSSHTALHWAATLSR IPLLRALITAGANPFRVNGLGETALMRACSVTNNSDQSSFPELLDALGSTIEVRDKKG RTVLHHIAVASAVKGRSQSSKYYLESLLEWVVRQGSAPSSQSTNSSGPKINLGRFMSE IVNAQDNSGDTALNLASRISNRSIISQLMEVGADPSIPNNSGLAPVDFGIKGNGGEAG SNGEVSDGNNTVDESQKTRESSDDIILSITTMLTDTATSFHSEMKKKQADLDSLHASL RTTSQQLGDAKKDLDELQEKAQNQNLTRQKIYNLNRGREEEQNRLQQIEQSHGASEPG ASAWEAELATSTPINVETLPSTAVLRARLQALRSRTEVSRKTVGSLKGRSKDIENKYR RVVALCSGVPESDVEAVIDSLLRAVESEKDGLEIGRVRRFLGGVDGVA PFICI_14608 MPLQIPHPNTALHLYRHLLREATYLPPLARPWTYSQIQSRFRDR QHPETPKKRYIQSAHRSLRYLRSANAGHADRMLRICFLATGRLGKRRRLLSSTYLSTP PPEDSAALEDTTDQNSSASSDALPRLELAAWGTAPNEAHIPKKKGFKKVLPATCSPSW MENWDLVKVKALAQSQYLQQEATVDWVAKNKIRRTIDPKMTVVTENCWGLPLKPRQMT NRLQKHWKSVLSSVMAPLPQEEWDLLKAVVEGTAPKSMHSVPKRRPVAVSLLADAQNA TSSTGKNQGIWDWEKHATTPIRKLERHNSRRKMALSGSMPEHPQFQDHPVDVRTLTPS FLRSAIYSKVWQLSPAMEKNAKGKWTVTWGSEPMPKPSTAKASHARFFQGVDTNGKVV G PFICI_14609 MAPAACTVRHARREDVSTILDLIRELADYEHELDAVEATEETLL ATIAFAPAGVESTSTAPQTESTSPTRPARCLLLFNEANAPAGMALYFYNYSTWRAKGG IYLEDLYVKSSERGKGYGKRLLVELAKEVKAMNGGRLEWSVLKWNEPSIKFYESIGAT AMNEWVGMRVDREGLTKLAGLLD PFICI_14610 MEKTRKERLASGTLKDDELEDDERASNYIVSQDLERLHYFFTNG SIHSEGTHGTEKWILDSVMAIFGLRAEPVDTDGRDSADETSSTRYFGDRGKPTGQGEA DEAVGRVISAHGEPQSPIAKASISLADKLVVSQICGEASLDTEGITYSQASSRTLSLR DTVSVDSSDDGQPCVSTPVSGIESDQLGPAAVAAIETVDWHEKLDQAADIDQTTHLVK VTEQSVEEPGQFNEPSTLNKESTAELEKIDKSGKLSQDFEESFEQSGPSITSPEESEK QSEHLEQSGTLTEVSIGAPDRSKKPATVQEEVTQCQETIEESGVVAEDSLEQLEHLDE AAAVPEELANRIEKLDQTGESIEVLVERSEQVDQPDKVAEGSPKGFGQLNQTDRVTES RQRIKSTTSDEGHQSGAKNKDFDNDPEQLFAVTVPYPTDNLRFPTSDIHFQASEACKE PREDPEPLFAATVPYSTDNLLFTIPEEIENEFGTFDESNKKTAVMTGSR PFICI_14611 MSICGDEACEYDCDRHDVALCDTFSSLVPKPQCARVIRLDNECD APEWDYLRCKREDKFDSIELPLCAPEFADLPRQQLLKHSWTRMYNWFNVSDGCCLELF AAVPFGGIDGTATVYNGLRGRPNKHIRILEPTGCGGSVYPWSSTAYIVAWKHELHPRT GKMRARWQDVTMDHYRLVVDWFLSSWLNSSACMGTHLREDNSTFALKINSDGEKDWTY SDSEFRRMDDAATVYRHPLFVLEDIQWASPLAYMLGLPWVCRIAPSHLEISRMSDMLP DALQLLKNDKAILLSAQYIDPVPTPGRKRRHLPQIYSFQNLRFNPYPGTVMIIHANGG LVAPEHVDAFNEFVGRHLRASDKDPSKYQIKNFRRSMFMEF PFICI_14612 MPKKRGASGTRPTSSSDDDAIIRAAAYERDDLTQVVIQFEQSSH SAVQSLLFQPISSMSGGAQFGNLTSLPIEVISTICMMLDAKSAFFFSHVSRRARETTA TIPAFRRVAEHGSETLRAVLKTGYGPHLSVGDIDSALTSKECIVCKHFGCFFFIPEAS RCCYECLRCEPDLGVMKLSDVPRILEESSTEVLKKSIPVLRTLPGTYRDVYHRTATKP RRRRVDIVSENKAIAYAAEKTVSDAEVLRKVYAYWRPSFDMTDAYRFMAATRLPYFDI EAGTADNGLCCKGCQESQQTAPAVATWTRDFKVRQRMFLRPEFLHHFASCPAAQERHR LMAETVS PFICI_14613 MFNISSFVQRMHDNLSSIKDTVSGLSTESHNTQLDELEQQRDSL LAGLRTIFDQELEEAKAKRQVELDEIKERRRIEDEEREARRKEEDETLQNRNDAEDIA RQEKLDGDVKLVDEDTDKKMDEIEEAARALIDGAKLKISALEDQRKEINQLIDEHLQI TLPEMPTRKRGRVEQPTEAAVAETQVEAVVESPEPAAEPAVETEEEPAAEEPTTEATE NATEAVNEPSEESAAEPVDEPATTDAKSIEEVAPEEPAAETPEPAVEEPAVEEPAVEE PAVEEPAVEEPVVETPTVESVPAEPIEELATENATEPAAEPAESAPEVATEAATESVA EPVVSEPVEEPATESTVNPTAVESVDLAVETAVEPTTAEIPAEPAAEPAAIDSAEQSA ANAVADADTVPEPVEESATEAKVEPTIAEPAEEPAAETAMEPSAEPATAEPVDETVIA AEPVTELTTAESVEEPAPEATASDPTEENVPGSVLEPVEQAVIESASTEPVEEATIEA VDEPIAEPVAEVSTTEPVAAEDAAIASSEETVTEPVTESVTEPTAEVTEEPSHQSTEP VVEPATESVNEVAAETIGEAPLESTSKATVQTSEPAAESGQDSATESAIEDKQPVDEI ALETAEAAEPSVEATATEVPEATVETETVPVDTPVKAPAAEEPVAEEPVAEEPVAESV EETVTKAVEVPESQESVNASEATQDPTGEPTEAPVATQPVEESVAEASETAKDIEIIE TEQVSEVVDSTQLANEPTVSAENVMEASVADAAQPADEKVEIVAEAPAIPAANEVVSE ASEEVTAPIETAIETTQSIEPEDTTTEAASEEVQQEKPDTITDVQPAEDIASVPAELD LTTKNATTHPEVDESSSNDEIVEPIAAQEDSAVEPTEGVEEVPKEMVEPVQDTTEELA TAPVEAPVEDLAQKSTEIVENPVVEESTQEPVESVQESVEQVIEKPTEIVPEDTSKTP APETTEEVPQQTVEVIQDVVHEPVEVPAQETTEANQEEATELSVLEAPTSDASISVPV DDTPAEGVTVEQHEHISHDQPTIPESMSAVKIAEEPKESTYTEDVKEIQLSSVPTAPA DNVETDTAESTEQSQPSTADTTLDSDDLVQDSTDNSELLEKDAGEEKADVNKTRDTSA KSILDTQEGAKGALESARNEDAKSNIEVVPAINVARIPTDSADGSPFEAKDMPTSESK DMPNFESANKYSNEADTSASGRLEDEQVGTSPRNPVQPGISTLTDTQSDYAGRSEPSR EPVTDSPPDAERQSAQAIGDTVEHQEKADSSSAERSNPVELTDKYIPPVVTQTASSSG NTKLGHRPLPPIPADEHQREVSASPLPVENVTVQGSDDLFDDETASSHFSDEEDSEII DSFPVAHGSNSYMRESSPAQYDGASWGELTDRSTDEPFKAATTFQEEQTPTQSKFDFP RSFGIRAVGGSGHEEDALSVGRARTPSLAPSVLGNNREVSPLVLQPGTPNRGGLAASR HAALTPTRQYEPEVEPEAEADPSQFAPRDVTHVFWHARSPSSLSTRPSSPAPSSLSVD KREPAIQDSWQGSVSGRSRASTQMTDPYGDDEFDPFKYDSAKPAPSDFSSSRRSVLIH PPVMESHRSVSGSHAPAGSHMFQKLRNMFEAPGGNSGEGASSASTIPSQSESITVPSI NRKRSSSQLHEARPSIDSSQKSARKTFSRERDDKSSERKPDGLNTHYDNEDYEDEYDD VGPINGSQASGDFRLAWLFVSGWRQRLSTGYDLVEMHKREWDLEDADVEAETDGQSSK RSSWFSF PFICI_14614 MIWTTSIAASLLVTGAYAGVGRMAGMEPRNVVEPRMEDDITPML EKRQSSINLTPNQSSMNMSQWNAETSAACTTALSQLSVASNPSGTAVCYNIPSLDTNT GTFMADLRLFQVSSATGDFSSIPQQNIQVELQYKGASVSSVNQTSSSVAARGLEARAV NPTPLQTYLFVGQIDQAQLAQPMTMGVLEALIMPTVSLKGVNAAGNTVTTNVSSNEAA FVNGIFSNEVIMSDLALAQLAVNDVVAGLKNGTVAFILPGVNILIFPVGLVVTGFWTL AGFAVYGYGTFQRISYRESYRSRKARVGKSSTMRF PFICI_14615 MAEIPKLQVAIIGGGIAGLAAAIALKDHPAVDVQIYERAAELRE IGASIALGPNGMRTLDRLGVDNALDDSVAFRNKSRHPMIYRHWKTNEDISVDSHKGHV EDRHLTSRFYRAHLHQALAEHVEPSQLHLSKAFSSADFDESSQKLTITFTDGTVTQAD LLLGADGIHSKVRTQYVPTSRTTWTGWTTLRSVFPISHLSHIPAADIPDEAVHIWGPD RTLFLSRLGRDLFTVVGSAQSDPNAPDAPYRDATWNSDGDVAVLREYYRDWSPAVQAI INAVPHTRVYPNSTAHALDTWVLGAGRVTLAGDAAHAHGGAFAAGGSLALDDAWAFAA SLFEAVPPDAARKPSARDVAAALALYERTRKPHTDRVMHVVAQMNAKKIEQVKRQDAT TDEELRRKMKERADTSWIHEHDVTEAFGAALERGLTGQEQQARL PFICI_14616 MADEANAGASLDHNLQEDYSGEASDDEAFHTPTSTPPNELSEDA IVAENPDLEQIWSWNTPVPPTLSTCMHELISEQAKRDPSRAAVNSWDGDFTYGDVEEY SNRLALYLASIGVGVGTIVPVLFEKSKWTCVAVLAVMKAGGAFALMDPSQPEGRLRAI VDLTGAAHIVTSLAQSSLGSSVAPTAAHVALAAEWFDSHEIPSDSQLPEVPASANLYI QFTSGSTGIPKGCVITHVNYTSGAIPRADLVGYREHSRVLDFASYAFDVCIDCMLCTL ANGGCLCIPTEADRVNDLSGAIRKMNVNMAHMTPSVARVLDSDIIPSLEVLGLGGEAI SNGDAAKWGKEAQVVNAYGPSECTVGCTINNQVGRDGKQQVTIGKGVGGAIWIVDPAD HNKLTPLGEVGELIVEGAIVGPGYLGNAEKTSEVFIEDPAFLVAGYKGFPGRHGRCYK TGDLVKYDTDGSIIFIGRGDQQVKLRGQRIELAEIEHHMRDKLPAETRVAAEVIKPGG GTSDPMLVAFISEKAGLVPSFEDLLGSFSPDITDALTGMNKALSEYLPIYMVPAAYIP LQTMPLLVSAKTDRKRLRELGASMSRRDVAAFANALVQRREPSTEMEQKLATIWGDVL GPDADLSATDNFFAVGGDSLRAMRLVAAARAHGVSLSVAAIFANPVLEDMAQVAQPAT ADEFVTVAPFSLLSETWSQEAAKAETAELCNLDPERVEDVYPCTPLQEGLMALSAKVT EAYVAQRVVEMSSVDIAQRLADAFKTSSTDCPILRTRIVQIPGQGLMQVVVKDDFACP LIQEQSLTDFLVADRSDPVDLGKPLVRYAIVAGEDTGKVHFVLTMHHALYDGWSMPLV VERVNKAYHGETITRPASFKNFIKYLGDMDRKDSETYWRERLDGADGEQFPALPYPGY QQQADSLLEHYVSIETRVGGTTVATAILGAWALLIAAYTASNDVVFGETLTGRNAPIP GVEEIEGPMIATIPVRVAVNPDATVSEYLQEVHHQSVLSIPHEHFGLQHIRRLSPDAR EACELRTGFVLHPSTEGEEVASIEDQPANGFVPAGDDEAAQEALKFNTYALMLVCSLD PKGFLIMASFDSNTVKSPQMQRMLTQLGRLTQQFCEYPGKRLADLEVLDTAEQAEITQ LSTQAAANSVLSSLVPNDSEITGAWIVDPADAARLSPIGATGELLIHCSGDPNLESID TPRWLNGAVAGKVYRTGKLAKYNPEGSIVLVEKPVKEKKQKVERASKVSVSSAKQRKL RSAWSRIIGIPEDEISLDDSFFLLGGDSIGAMKLVAETRVEGFEITVKDIFKHRTLYD MSNVLKESLAVTKETKEEVIKPFSLLEMTDNRLQEIKKSLAQPDWIVEDVYPTRPLQQ VAVYGTVKLPRYSTRYELFHLDGDVDRERLLRSCQELVERNEILRTVFVESEGQCLGV VLKSVTAQVDEFDIEGKIEKFVHNLCKTDIQTKMPLGSVFVKFMLVRGDTGKSCLIIR ISHAQYDEICLVPLLRQLGALYDGLPFRPSAPFSNFVYHTVNKSIPQSIDYWRDLLKG SELSVLKCDLPLTKRLPGDITRTVSIAARPKDITVATLPTAAWALCLARRLGRRDVVF GEVVSGRNTDLANVDAVMGPTWQYVPVRVKFEEGWTGLDLLEHVQYQHISSAQHEGMG LAEIVPQCTDWPATVDWFDSVVHQDVYHVEEMTFGKASCRMETVYPHYEPLKEWKCQA FVKGDELMFEIVTFDDWLSVAEELLGELEEIMDLLVRRPKEVIPW PFICI_14617 MADSDARGADRRTPRFSWNPAYEATFFRSLCESIQLGFKENHSF KAGAWERAAIALRDKHAAYPEKSHLINKADNARKRFRMWRGLREDPEFLYNPATRMVN ASEEAWQSHFEKEPLSKALRGRPFDHEEYMEILFPDVVGSGGAPKRVMKKRKGPDGTP LAVDNSINHGQNVMDLTLDSSLYSQTPTHNATQQPQPTPQASSNPQPVTHSTTAGVSS QTSAGSNTALTPPDETPNTAHARRRIAGEGERRRGRPSRFSSNIYSNSPAAAASINLG PPPPASSSRAQPLHSSFSSSALVEDGILLLAEALKNRNPPKWPEQAMEILFRDFSDED PDLQLKIAEKAFADEVKAMMFVKATPELRRHWVGRLREVHSRTLGLGRVGEEAS PFICI_14618 MQSLMKVLFLMALVALVAASPAPKIQKRGVYKVERVSNPAYTGK NGPRALLKTLRKYRMPIPAGLMESVEGHEMISKRKEKKKGAKNGAGAGAAAADNGTAS AAAANGTGTGLVTATPEENDVEYLSPVDIGGQTLNLDFDSGSSDLWVFNNQLSGAATT GHTVFDATKSNTFALMNGASFEISYGDGSGAAGNVGTDTVNVGGATVTAQAVELATAV SKSFVQDTSNNGLLGLAFSKLNTVQPQQQKTFFDNVMPSLAEPVFTADLRANATGAYE FGRVDTSKFTGQMTWVPINDTQGFWQFASESFAVNGGATQQGTAGAQAIADTGTTLVL ADPVAVDAYYSQVQGAVNDAQAGGFVFPCDTQMPDLALDIGGTPATIKGADINFAQVD AQNCFGGVQASPANLFIYGDIFFKSNFVAFNGGNNSLGFATHV PFICI_14619 MKFSSVATSFGFATMAIAMPFTNNTAAAMPYTNQTVSVLSVRED KPDPALAKTKLEQLPGAAWAVNCLNDCNKNIGCIGTCVENYGSQGKNNKPDAAAVEKA TKGLATVIGLLDCTKNCNHGLICILGCNVKPAPASYTDIPSWPEKIDQAAKTMECAEK CEGAKKKICMLQCI PFICI_14620 MLRVARAKDADRWIYPIGNTPAVSLTEALPRNSPANILVLGCGD IRNILFTTHVDSRKLDITCCDHQTSIIARNMLILSLIIDDENENNSEAIWSIQYHMYL SPLETDLLREHLSSSSWEKSKYGRRLQFCDEPTLKFVRREWSWYGSCAREDDSDVFVR DYENMKDFGGVQWDPVSADILSSESNVSKTMLQLHQHYRRYGSLELDASRRKQRNKLN RTLCFLGSNRVLHPDTNPLHGFHLALAYLPLKDDNEFGRQLGSLSGPERIVASARLEF KLWSHSLRQNLMAVCIRFFVGDAIAFAHTLNYTRNGGTTTANWQRTPYRSERLALNGF DRLDNAALSFDAIDTCNILDHVDPLSLLVAVSPLLRCKASSVLYTQIPVQPGISNKYV MDYLFSGRLQAISAFLGLRPVEMWLNTSSRSYSNKYCFQELEDRRGNSYKETKAMYYR MPWRLAVTGPISQEKLPCIQFDEAELAELLFHIFSRLFLVDHEQVPEPVARLRSTGFR YNYASFAAFLRVLGNRMVCDWGRVMHGLLRKIVEGSGIKGTYAYQELFCWIHIFGAAR MTCDFRPKAMFQADLTKVFTAHQIKVPTSPNGQLGNIGKKGSEGSESIIEEPFGSKFR KWTQDLAVVCITLRIIPKRAAMVQSENGRLPFASCLLVSTAWAIPFQETFIASGNLET KGKPGTSSYHIFVTNTYPYWRNDSPLIVSFYVPVQSLLFDPSGTSVIFATHTSTTYQA KPSIEPQTYHPHFQVGITETESVFVTCFAPNQSGYPMVSGFNPAELARTIMNPYAAIT LYGHIDTDKGDITGLTALCKLLSHRAPRYQKDYDTQVEVVSPYEAIVCLEPKDSQKLS IRIPISLAVCIRRSHGKQCLFDSPTGAVVLTVTVAQSTSLAWKEFSSMKPFRRDDMVL SIGLMSSLELGKCFNVDAMKDAVTTVWLNENLYHVNHDGKTTARRPLLGLPSTEEDVR LGFDQSLSSVFLAHANGEKRIAFFGRPDDIQFALLISRLQFDAASCRVILDCAVLQVN AKRSRDLLANMNNWADRNGSIVSRHEPSELVLRRWKANLEVYSEHCRTWYHKINCEYA SRPSRNAITVSSQIGDTAFLCSCGKGVFPRDYAIPWNLWSSRETLLKLAIRAAIPLTF QSPFGDALEVTPQS PFICI_14621 MASNEKTSLSKTGPIASDEGPSAIDMYDELEQAPRILKLSSRGK RRFPVERLIIQVEDAPDSFVPGFLHLPSDFVSPAPHDHHRTAAILLSGAGGGVAGPSC IYLSIAAKLAALSTGIPALRLDYRYPGRNKYCVEDVKAAMSCLQETYGLDRFVLIGWS FGSAPVFTIGGSDDRITGCAAMASQSAETDGIRRLAPTPVLLLHGTADTTLSPSCSAA LYDMYGEMGNRHIHLFENDDHALSHNASTAEGMLLKFVVGCAGLDISNAELNRITSQV LIEEGERTELMKKGGDLRPPESLS PFICI_14622 MEQDRAAQSVEAGPTAAKDVAIEMVGEKSHAIDPAVAAHAVRKI DWFLIPAMTIGYGLVYYDKAILGSAALFGMTTDLKLSVPSPSNPSVTDTSRLSWATSL FYFGMLAGLYPMSYALQRFETGRTLGAVVCTWALVCLLTAAVTTWQGLYAQRFFLGFI ESVIPTGFMTIISGYYTQQEQSLRQSWWFSSTGWWTIIGGALNYGFAQITGGGLQRWQ YIYLLAGSLTFLFGLFCFAIPSSPVTAWFLSEDEKFAAVERLRLGQTGIRCSQFKGVQ LKEALLDVKIWLIFIMMASAYTVNGAVSGFGPLIVSTFGWNTLQSIVFQFPLGGLCLI TILLTGWLGSRFQNIRIIMLIVCCLPVIAGCALIWKSEWTYHAAAPVVGYSITGTFGA VVSLIITIGMSNVAGHTKKSFTSATIFVAYCVGNIIGPQLIKSQTKAAHYPELWLGLI ICYCITIVSSIALYFVLRMENRKKEAGHEDNEIEKAKLAFQDLTDKANPYFRYQL PFICI_14623 MYAKALSLLSIAGLSAASPLAARQSTIVDGTPFGLMALRSASEV HFAQFDAALSSIFLNLPAQNASCDNGAADSATFYLNEGGLYLYAESATPQQAFVDRSG MGQGKFGYTTGAQPVVRNGERVNFTLDATGDLTFNGAGFLACPNSIDGAWSVWVDAGV SEPAGNSGCLGISVRAVEVTEPNGCSYTQ PFICI_14624 MILKYRPRIHKILRIRKQRLVTPDFTSPLPAKIVMSQSQDSTAT IIEEAAVVPKGPALCSLDKIGDQYLLTHDGVERTFRGLIVATKLDEVRERNIRKWLSQ QQEVLDSQPLSVNQWLEAVRSRFSQELEYLVLMNLIVGISYMRERGRHSTEPEDVVSQ NFIWPHIYAALANTKSLFKPSRSSAGFMFVPLCSLIKDGAIDELWRLHVWLPDGHRAD PAISVHGHHAFGQSWILAGEGTNHRWAVDAVDDDHAAEATHAEYRVSWDDGKGSSPGY KTHQVSSTIVNTYKYFRATRLGSEETERRGMTYSVSQDEWHSSTVAPEIVFATLFVFD AHRGANKDAAILGPRDGESFTTSKDPEGNTADGLVRTVESLRRWEDCVAAFSKERLGE AAEDEADESKECTATPSSFDQAMRLCKEEPDFPNRDYYVKKTLEARDSVSKIRRGAGA QT PFICI_14625 MLRESSRLRAGKFKTTALQLLAVFFCISLLLYCGIDTNPPPHQS SSPSLQKALVIASSIATSQDTAWLSQVPRDWAIYHYVTDEIGSFSPSSSTALSVPADK GNEAMAYLTYIIDHYDELPDVIFFRHSHHKSWHQAFDSVFEVSSLRAEYVLERGYVSP RCMGGCENVMPVADEGVSLADIHLVTRDAQLRSLLSEFLDPAESIPSKIAAPCCAQFA VSRVAVQSRSREWWQALRSWLISTSLSSYSSGRLLEWTWHIWFGEEASL PFICI_14626 MRSARTLVRNGQPKQLAGRTSYVCRQCRAIQISSAPTTESPRLG GDAFGSPLSTYKDVADARFEVIGTPYSLLSVDLSASQKLYTRRGTLVAVSGKAQNAQS TLSLLSPFSRALTGVPFLYQRVTSTTPLQALIATKSPTTTFSVLHLDGTTDWVVGQRS ALLAWTGHTLTVTPRIRYRLPLAHWGCSELTGRGLVALSAPGHVHQLTLAEGEEIVLH PSNVVAYTITRNPPLPFRFKSSGIRFQIPAVSAWFGNIKFVQSMRTTEWYKFLSRVLH SLRTMTRRTIWGDRLFLQFQGPATILMSSRAARISDVLTKDEVNEIAGAPAGVVGEAV DLATKPKPSPVAPVKADEVPVKDSIASAGQDGKAPFSDAKNVEDFEKVKSS PFICI_14627 MNFELPSDLKEYISKLDTFINTTILPLQHKDDNNRFFDHRREPS RTQWDNGGLPTHDWEVLLTQARKLADEAGFYRFPIPKKYGGLEHPSANLWMCALRYHM ASHPVYGGGVSLANDLQNEHSVIGNFPDFLMLYHWGNEKQKDELIPARLAGEFRMTFG LTEIHHGSDATHMDTRAKATKLANGATGYSITGNKKWQTGAHSATHFLVFARTSGENG SPKGITAFIVPRETPGVIIKSYEYTLNMPTDHATILFDDVQVPASAVLGPLDNGLAIA QTFTHENRIRQAASSCGAAQFCIDRSVAYARERIVFGKPLSANQAIQWPLVELSTQAE MLRLLILRTANEMDDIQRRYKGNAAMPPWIAIEKQLGHKISMCNYYANRLATQAADNA IQVHGGNGYSRHQPFEHIWRHFRRYRITEGSEEVQMRKVAGYLFGYKSTGLNDKKDNS KL PFICI_14628 MAGRVRQPIDVAALEKYVQQNVPEIKTPLEVKQFGFGQSNPTYQ LTSTSTGERYVMRKKPPGKLLSKTAHQVEREYRIIAALGPTDVPVPKAYCLCEDASVI GTAFYIMEFLDGRIFEDHAMPGVSADERTELWKDAVRTLAKFHRLDFKKLGLGNYGKN SGFYDRQLATWIRTCSAQEKAVDADTKEPVGKIPHFDGLVDFFSNQKLQPQDRATLVH GDYKIDNMVFHKTEPRVIGILDWEMSTVGHPLSDVVNLTYPYFSTEVSPLSNKAFLSG ATPGLPAADAVLAWYSEVAGWDPRPEMDWAIAFGAFRSAAILQGIAGRVAQRQATNEQ AKQYADAFKPSGERAWELVEKQKAKIRSEPKL PFICI_14629 MPEHDGLMRVFWPTDIAKTDLPGVIVGWRNSGLDVVVVAVLDHV DPRNVENALKTGILLRSAPHDPTRISKLCGHDSMHVLGVTNAHAAAEGAFVLEPSWVL AKTGHSLRVPKVTCHVASSVQVILFERPLPNRMQYISLNPMALALADKEDHLLLSLSD ASEAEDEKHERQYKEKRRKLVEKLAQHSVIKHAPSMKDKALPRIVNQINWAFELEQLL QKNVPLIGTRPKRALSVSERVVEHATTMRDYVILWMWDMIILYLFPVIRRLFILMLVG HRAAAEILLQVLEWRLRPSSPALKDISATAQQIEIRLQQFCYWPMQYVKLRLRKNDWE SVTTSHPDYIRFYNSLWLVANDVIIGIAIGSYIIDNAGWVADQIGILLGQYTVEALHS SIEWLMGWPAGLKLNKELALFLGDLFLWVIEYWSSCIATLQPLLPHIIWFIGFSSFAG ASMPIAMFSDLVSILTVHIYSFYLASARIYHWQLTILLSLFQLFRGKKYNVLRNRVDS CDYDLDQLLVGTILFTLLFFLLPTVVVFYLNFAVARMAIISLKAVFDTMLSCLNHFPL FALMLRIKDPRRLPGGIRFELRDTQDRLKLESNTPTSPLQPPTSVIYVKPIPLSFTAM FHQYFQMGSRIRKHYLSPRVLFCLITGRFVPPIHRKVLYSLQYSMLPARRAGIIEMWD ALNSLPTKKRPVNIYPYGTSFLNVPNLANGRRTNGRRGGWQ PFICI_14630 MHPFSVVTLAIFVAGYGTARWDLVTRLYELALFAWDKGVITRAA QGFAFLTLVFLVVFLPVHHLARLESQLVRRPLCCASTLRDIALGINASDRPF PFICI_14631 MDSSQDFKSTQEKVQGALVKATRTSNSIAAEDLNFQRRSNPAAG EALDEASERLLALSTALLKSATKGTNNAAPRLEDADDVDVHWSRIVDVIDTLLEKADT CLDEYTGLVKRKLAPTEEAGSVPKKTKTYTALDSSLRRANILKPQNAFEIKPDNDDSS PWKPLLTAKPHATVPLADSIGTFNNDQDTVQYKHPYETEILNLKYPNAIYQVAEPIKY QPVETTAATFVNTFSGVLDMLAELKQAKEIAIDTEHHDFRTYNGLLSLMQISTRDKDW IVDTLQPWRHKLEVLNEVFADPSIIKVLHGAYMDIIWLQRDCGLYIVGLFDTFHAADT LGYPARSLAYLLKRFVDFDADKKYQLADWRIRPIPEEMFYYARSDTHYLLYIYDLIRN ELNNHSKPENPEENYMENVLERSKEVSLRRYEKPVYDSESGQGPVGWFNMVMKQSSGT FSREQFAVLRAVHRWRDELARAEDESPMFIMSNSAIFDISRRLPPDPKALLSLVPHAS HPLKQRISELFKLVQQAKADGAHGPSLTEYFNQKAREASGQGNGAETQQLLPSLKDNG GATKILDTDDLVSEISQLWGEVPMSSRWEPASDGGKAQDTVFALPWAAFMENVSVAAT EPSAPKASAASAPTTANTTTRSGLDEDAQFTLKQGQKRKAAEPVVQDDSSEEEGEATP QTITKPSSTTGNDEITFDDEISVDGTSEEEAKERARRKAAKKARKERKREKVRERAEK EATEQAEEDEEPFDYSQAKSVLNSKRSGTDARGGKGKVFNPYASLTAEGPKPARRMHG EKAGKSTTIRKL PFICI_14632 MTSTIPTSEVVPMDFQVSTWRRFITKFEADEFTGWIDESVSWKT DCYIGDWSQLLKTRIRGPEAKSFLEYISTNHWPTFTPGQAKHSIMCEDGGHVVGEGLI LMLADDDFVFTSVPGVSWLTYQFTHGRKSFSATIEDVTDQWYLFQVQGPRSVELLDEI TGSTVRDIKFMSAKRLSIQGRQFWCLRQGVSGEVGFELWGPAAEGREVYDAIRQLGQR KYGLRQLGCRAKPINHVEAGFVTPALEFLSATEGATAQLEGYREFLRGSKYAFVEKVF SRFAGNYGSQPTDHYRTPFDLGWDRLVKFDHDFIGKSALQKVADAPPNQLVTLVWDKS DVADVFSSMFTPTPFQYMDMPRAPGGVVEGCTVSIDDEIVGCAMSRCYSFWFKSMLSF AIVRRDCAMVGTKVQVLWGGPNSRQKLIRAEIAKCPFKEDKRRQPLL PFICI_14633 MKEELGIFLRLGRGGSIVNISSDAGSVASAGCAAYVASKHGING LTKTAALEYAKSGIRVNAVAPGNIDTPMMHQFGDTAKIVRETQPTGRCGQPEEVAELV CFLSSERCTSMTGSIVAVDGGITTTGYSGILARLLLALLFNKNLSQRENSTDFYAKVL AHTFFQCISDFDTSDSCFIT PFICI_14634 MAREFDGKVALVTGASSGIGSAVARMLASRGADLVLMDISDGNA QAQSLAGEFDVRTLAISVNVVDTMELEEAFSKAMNWAKQLDICVNAAGIFPPGKTIDS TTASM PFICI_14635 MSAYLGNPALIYEGPDWLPEPRAPLILIHDGGGTTFSYHCLDPT NRPLWGVENARLHEGGWWEGGIPEMARHYIDLIGKALPNGGEIILGGWSLGGILSLEM AHQIATDKDLRPKFTVLGIVMVDSLLPPPRGTPATDTTQKPQELGRVTDRTPDEIRAL DLKAKVDVNMTHARRMVARWEPPRWKKAAAGPVLRPPPTILLRANEAVDGPTPSFADF ARGDRMLGWGWYNEENGRFLRGVVDIQGHHFSVFKDEYIEDITAKICEAANKLEDEYY PFICI_14636 MPQRVPTAEKLIDLFSLKGKVVVVTGASGPKGMGIEAARGCAEM GANVAITYSSRKEGAEKNVEELTKEYGVKAKAYKCNVGEYEECEKLVKDVLADFGQID GFIANAGATADGGVLESPKESWDKVIQTDLNGTAYCAKAVGAHFKERGTGSFVITASM SGHIANFPQEQTSYNVAKAGCIHMAKSLANEWRDFARVNSISPGYIDTGLSDFIDKQT QDLWMSMVPMNRNGLAKELKGAYVYLVSDASTYTTGADLVIDGGYTCR PFICI_14637 MSGYGMMDYGEKQTERSRWTPLNRILLSGEMTQERQKELTMSER FDRWMINEGYRRFFVFVFMALHAMVFAFGFVNYAVKDNLQGARDTFGPTYMIARSAAL VLHIDVALVLLPVCRTFISIARQTPLNGIIQFDKNITFHITTAWSIFFFSWVHTIAHW NNFAQIAAKNNLGIYGWLLANFTSGPGWTGYIMLIALTGMVITSYEKPRRANYERFWY THHMFIVFFLFWSFHGAFCMIQPDTAPYCISVGTTAIGVFWQYWMYGGFIYLAERVAR EIRGRHKTYVSKVIQHPSNVCEIQIKKENTKTRAGQYIFFCCPEVSLWQYHPFTLTSA PEEDYISIHMRVAGDFTTAVATTLGCDFGKKGDKSKVVGVDAQDGDVDPALKRVLPRV YVDGPFGSASEDVFKYEVAVLCGAGIGVTPFASILKSIWYRMNYPQKKTRLGKVYFFW ICRDFGSFEWFRSLLLAIEAQDVDGRIEIHTYLTAKIKADDATNIMINDANADKDTIT GLRSPTNFGRPNWDMIFRGIRKLHAPAEAGVFFCGPKGLGSALHIFCNKYSEPGFSFV WGKENF PFICI_14638 MALKRINKELTDLGRDPPSSCSAGPVGEDLFHWQATIMGPSDSP YSGGVFFLAIHFPTDYPFKPPKVNFTTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRPRYEATAREWTRKYAI PFICI_14639 MAGNFFATAEGLRLEDGHFLRARLQRIDGEWVDTEINLNEHIGN ADGHFEWNSSGFANSAEDIYLSVEGTGPVAVLRARLRREDGEWENRDLNLSERLANND GQFHVSILLCPTIFEPRSLTLNSSVE PFICI_14640 MASILVFSAVFAAALICVHLLTNWLKLSKAPGPRIAGTTDLWRA YLQYNGKLRQKLIDLHSECGPIVRYGVQSISINDPEVINVVYGSRAGFITADSYKVLV GIQNGKEVPSLVSTADEGRHGALRRSVANAFTPTAVLDYEPWIDATIVELLKVIENKS MFDLSSMVLHYTMDAAGRFSFGEPLGCLEVEDDVGGSIQLIRDRFNHWGWWSSIPGLE RLVYRNPLAMRQKRAPSSMAAAAVQKLKARSGQDKYDTEHVDLLHRFLEASKDHPEAL DTSGVVGMLMSTISGAGDTTATTVTAAIYNLLKNPTALESLRAELSQAQISDIPSFSE VNKLPYLNAVIRESMRVFPTPTWPMERLVPAGGATIAGMFFPEGTSVGCLPSAVHQNT RIYGDDANVYRPERWLTSDRDVLRQMEASHMGFSRGRRVCLGQNIAVMQMKKVLPALV MKFEIFQLELANPEASLEADFSPAVACLKPLFVNAKLR PFICI_14641 MSDEEDRSRGVLGVAITFLALTWIVVPLRIYVRTVVTKAFGTDD VLLLITQALFTTYLSAQLGGWYWGTGRHRDNLTPEANTNALRFWFICEIFYVLTATFI KLAVGLFLIRLSVIKMHIWFLRILMVGSVVFGFAYLMVVLFQCRPISTFWLEAPGTPG KCLDNNPVAITTYIASVVNCLADWAFGILPMFIVWSLNMNKRSRIIAMGILGFASIAS TATIVRCFYIKDMLNGQDFLWATTNFAIWSTVEPGVGIIATSIATLRPILRGFLALFG ISTTSRSRATPWTPSRGYIKTDDQSISNLRPDIGASTSTAAGGPRRQAQNNYAEDEHS TSDLIELSGIVRTVEVSLSRDNDDVTPQPILVGSKAYQ PFICI_14642 MPLRQLFDPSSATTSGKAKVDLIAVHGLNPRSKKDADHAFDTWR TPAGETGRLWLRDDLPCVLPDTRICLYEYNATAVYGKDRDTFVGKANELLEAIRIERD EDEDRPIIFLGHSMGGLLIKQALINAHNNPKYTSIKSATSGLVFFATPHNGGDWKLVS LGGVAAKIATRSGFQKGDDVIEVLKKGSMFSDIMEEQWRHQLLMYDIVSFWGSEDDIV PRESARFGLPGDRENVVKLNADHGSVCRFGLGQTDLDNLKLVRGNIRDVYRNALKTRA SPPGESGEIHRRHHYIPLTQNRKFTGRTQVLERLQEKLFIEPDCQKLVVQGLGGVGKT QVALQYAFWVKEHQPDYSVLWVPAYSRTGFDQAYMEIARRLGIQATGKDQDARELVRR RLESEDSGKWFLVVDNVDDVDILYGQAGSNSNPNSDAASEDGIHQYLPESQNCVLLFT TRSPDVAVEIAGPDVIDLEEMSSEEAVGLLTTLLRRQELLRDKTIVAKLLQELAYLPL AIAQAAAYLNRNRQSSISSYLKLLHGTEEDIIGLLSREFRDGSLFQASQRAVATTWMV SFDLISVSDDAAIKLLSFISCIEPKSIPLSLLPQNKSAEERQHAIGTLCGYAFLVARE DEEMFDMHRLVHMATRIWNQRHDRIKEVTLGALHQLNAVFPPIDTANWERWRVYLPHA VRALGESKGHCVQERYDLLNRVSPYFFEERRFKEVLPALDEAASWRRNAMPDTNPDRL TTEHWLANAYLENRRINEAIVLLEHVVALREHMLDERDRSRLLSQYQLARAYLASRRI KEAIVIFEHVVAVREKTLDERDHKRLDSQHELARAYLEDRRIKEAIVIFEHVVAVRDQ MLDERSQHRQGSQHMLARAYLDDKRAAEAVVILEHVVAVQRQTLDETDLFRLASEHVL ARAYLNNRRIKEAIVMFEYVVEGFKQALEEKDIYRLKSQRELARAYLDDGRIKEAVVM LEYVVAAFEQTLDRRDFDRLVTEHVLARAYLADGEVQKAVALLEHVVAMKAETLAPND DSRLLSVALLADARKAL PFICI_14643 MPSAMSSRPVSIAEAKKMVEDISEDHGYLAEDELQALDEKTRKR IIEVMLKKDRMSGKSVITLAKNLYSSTTRFVFELLQNTDDNSYSMATGNGIEPYASFQ IFPDKIVLECNEDGFTREDLIAICEVGQSSKVGAQGYIGEKGIGFKSVFMAAHKVHIQ SGNFSFSFVHRRGDSGMGMISPIWEDPDTNLSSRVTRITLFLHDTGSALEIQERHQQI VQQFQDIQDTHLLFLRKIRRIKIIFSHHGNPTTTTFEVANLSHGHRITLRKSVADNPA EETYYHVTKNTADGIPESENRVQSELTTTPATSSAEIILAFPLDRNSIPIIQTQNVFA FLPIRNMGFKFLIHSDFVTQANRQDIVTTSARNLGLVSYIADTFANAMEELCHDTSLE FEWIKYLPQENDFPWDKYWKSVVADMKKKVSVKRLMRPQSGGPLKRIDQLCNLTDDAL DELGEPLLEDIDPEVYLSSGYDLSTISSYLRGWGLRNFHMTQFLDRVEADLARDTSRF KSPQSSPSWHTRTAQVLNRPFKSFAEKHRKRIFDFRLIPVQGGKWIPAGQTGSPLVFP TANGENIPSSLDLNIIDGNATLNAERRKLFLNLGAKKLSVQAVRQLILDQYPSVINRS RLFGTNTTEQVGISLPHLSFLYLTQGGAVDKPSELRFIRVLTQEGLLIAPQAQDVYIK DNHKFGLAKLLENLPKFSVAFLDPYYLENAPQPASGREASVPWTFWLRTSLGVLDKPK LIANGSLTDMFRHIIEHNSGKLLGILGHYWNDIKNEVQQCQEIRDELSRVVLTMKGCT AQLKNSFLPMPELKARAIEYLGEAGTDEFPFLDLSDTLEPDDLSKWTFLNGTFGVRTR DDVEFYLAILSVLATRYPKPDMEISKKVYMLYETIYRKSRDSPDSDIRKAQKQVIRAY FDVDPADGNSYKGLLLVPGRTFQGGRWLQPNECRWDAPLTAWTLEPLAHLFGEVLTET EIDSAALKKFLQNILGIKDFTLTDILDELLYHAEMSQEHKDILYEDDGCYTTRAATDV YNHLEKLISSSDTSCKQDLLGIFGNEAFIFAADSWHKIEDCLWSSATDIEGKVILNDI YPDLAGLFVKELGVTTLTLQMAYDKLKKLGASDKPPISEVKSTWAAFHSLLSQTDKKP DPTPLLKRRLFPVKHASGHVELCCGTSPFAIIDRRPHGLLFAGKASLLDHSFEEVYQL RSTLKWAGLDTRYTSVAAKEVSAIIGGSETTLSDPHRDIGPRAHVVETDGIATELHLQ QGTSTVIVKTSQSHLHIDASEDVLRVYIPRDRKQQQLCYSQTLPQRLYDWFMEQDGRS LPTMESTTCVSIIMQTLNVSCDNTEAILEGSGIAELSFPDDYEPVQKAAAQATEPSSQ PSHRPPTPSGGRNAPLVFDNLEGSGSGSDVSVQSSPKSTPSSLAVPCLQPSGTQHVAD VSGQISPSPSNGTFMSLLPRFSGVFRSSGVGTSSSTLVHTGQLVSVQGPSSSEEREMS NYIALLSKVIEIAGRSYFPNARSSSTISPPPDANGVYPRRDANTKIEFLSSSKLERDR MIGAAGELYVFELLSKMKSVLPGFGRLNWLSTIRKYVRQHPSYSDLIPWNGRETADIV YWDVSGTLTSELITLGYLDKKIWTSRRPSYYIEVKTTTGPHETPFYMSKSQFSRMQSK SNMDAEIYVIFRVSNLGSEQMGLVIYVDPEYMRQTAKLNFTVETYSVSPGFGGSSGAS QGWSQASNSGTVPSFKFNSAPGTLNTLFSPTIQKESGSLGVVSYQHIGFQIPYQKFSV EELRLQSYQEASTTRGNIVSARATTPSGRH PFICI_14644 MNAMPKQFSFSLISPTNSPNDWKQDVDAEKDSALERVLIHLQQD DLRLRQLFDTKVLPYMTMTALMLGIKSVAIVQADLFGLTESMGFSTTQSSCLHTAPHL PQILLQLPVAWILLDSIFPLDKFLGACLVLIGCRQIILPDLSFTGAVLTGLLQGVFEV VFVPALVWMTWVYWTREEMPTRLSWWYSMIGFGNLTMSVITYFLVERDATIRPDVVIL CCSCFVFCVYMFITCIFCGESPLTLKFLSDREEKVAWAVQRLTAPRNISWSDAAFEEA KKDVRTWLWFGLTFISSLLIAGSASISQRFLISLATDSSRALSNLPFGAIQFIAAFCG GLATTKLRFGTVLIALSCISSTGLLILHRALQQPVSSTNFVLAGHYLAASVHASVPIV YSWSGVNTAGATQKKATLAFMSMGYALGHVCGPFMLMPSSNPQSSSAMIWAMLSLHVL FIILVFILMNHFNKMNRRHGGSVKAEQIIGNYDPRLYMTIDFDLQQQKLSNLA PFICI_14645 MRDLFEKGTFNVDIARNDASHAVMKLADVGRFRELVLDETSLLR WADVAYGLQSMAIDYEPTGSVSVIDIFHAEPLRVAALSRDEWVHKQLSRWEDLCRSEP RFHEVGSGHYTMIGPEYVTSFAQRLRAALEARGI PFICI_14646 MAEIPPEKAPQPGLNIFGCASVNPFKLTIAAEELGIPYNYVNLD MGAGEPKAEWYASINPNGRMPAVVHVKDDGTTVTVFESAACLLYMASEFDKEHKLSYP IGTPDYWTQLSWLSWQVAGYGPMMGQAAYFNRYATEPVKFASWRYTAECRRLNDVLDK RLSVSPFVAGNQLTIADLAVFIFAHSNKWCGIDINHYPHVKAWHDRIAQRPAIQKGLL VPVPYPFSDEAVSNPDNQEPYHMMRKYGGQMIKGATAQWQADVVGVPSDHSNYE PFICI_14647 MGRIMGVCSLILLVFQIQFLCVSAVWKYSNPERYPNVPQSGPKP GPSYMVDNTKNYYNVAPDKGIQNGPVWAYSGSHDEFVSNLDSGSIMRGGFENKTSPQS TNFDPVAIRKRQSGSYWLEELGPLGSQPRAGSGYAFYRNVLDFGADNTGDSDTVEAIN AAVEDGNRCGEECGNTFSQGAIVYFPAGTYKICSPIIQLYYTQFIGDANDPPTILGCD DFKGIALIDTDPYIPGGNGANWYINQNQFFRQIRNFIFDLTEMPESTDDDDQPLVPTG IHWQVSQATSLQHLVFNMPEAKDDQNSTAVGIFTENGSGGFVSDLTFNGGNIGWRAGS QQYTAQNLKFNNCLTAIQMVWDWGWVWQRVEINGGAIGFNISGAGGITGQGIGSISVV DTSISNVPVGILTNNRATSPHIVLDNVEIDGVDRVVQIDDGDTLLTASGTIEMWTTGK VYRGDQGSDFTGTVEVPAKPSGLLSDGKLFVRTRPQYESLGASDFSVATNDGGCDNDG TGDQTECLNSFLREAVASTKIAYFPAGIYQVAGTVLIPTGSRVVGSSWSQIQGAGYYF SDMTDPKVVVQVGKRGDIGTMEITDMLFTVAGNTAGAIVLEWNVDAVSQGAAAMWDSH IRVGGAKGTDLDIEQCPKRDFNNLCIAASLMMHVTKQASGYFENVWVWAADHDNDMSV YDSPDKLSNQISVYCARGLLIESQNPSWFYGGGSEHSVMYNYLVYGAKDVYLGHIQTE TPYYQPEPIAPKPFNVAASFPGDPDFTSCKGDAACASAWGMVVSESNSITVHGAGLYS FFQDYYQDCLETNDCQQRVLRVTGSTDVVFLNLFTVAISEMAVGIDKTVVSKDSNQRG FTTEISVWLPLDGADNINTVFVGTEIWTASTVTCSVESCLLIFPTSSLANPATISPSP FTTSFQYGDTSTVTRNGAVTVTFITTTTTTVLNIAPLTVEGIPYSNYNFSSGQSTLDI TPSVDVPPFTISLPDGSGSTTTRVVPLPPWPLIDDGPTGPSTIPVPSGDLPSGSGTFY TGVTSTVTVSGATVTTITFPGALTPTTVACPPDDVIVFATPSTSIYVECFTATTFGIS FNCPTTKVVSFLGPTTGLVSVDCSVVTGFPPTSTTEPPPSDSDTTTTPLPVWTTWPPG IIAPISTTVEKPEPTNGGTKQPCKLWFFFVCIKRDDLEIGGWFWSFPPGIYPPGPPPG IRWPSGFTLEGPLPPWPPITIGPGGELTYSEEPSECTTTQTASICSIGTTFSVTSGDT VTSTTATVTTKTCETISGCSVSQSTTQGTTTAIETCTVEAAGGTSRRRKVANGDHTLH KRACEPVPYVIYPENPRDKTKISEIRAALGTQIEGDNGREVKSDSFAGGYTAFFWVKG LSPEVKTAVESIVGRDNVVDYQEWNKNNKPPGNPRMMIVESNDQITPRGLDTLDLEAR ARNQSNDKYSPITSEDRSKINPQPWKRAATSATVVERWNGALVSLPKGQRWKAADAQP PGRGGVLQGQYVFFYDDSASPEMTVYVVGEPGGVDTRHEEWSDQATPPRPLNAFAYGN PIGEPTRLHGTQVAAFINGKTLGVCRKCKVAFTGYAPASEMTDDAQRTFDPDPRDWYL EDLLLAWEDMNSNGRSPATSVINMSWGSDSRFWYQPFINRLYDILKWMDRAGVTLVAA SGNFGREGRKAVDTYPQLFANPTNAVDPLWKDRNDSDDVGYLPNFIVVGATNPYGIEA AFSQYADFLTTYAGGQNLKLPDISGYTTWHGTSFAAPQVAAVAGYYKGLPSDWQGQLN AADSSGPKAVKNMIRAFEREIIPPRLVSVGSGEKRALIWNGMDGDINCLLGGDSTSCP ELPTDINDYTPPADCGQAAVFAELLPRIVKGNETMFAKRQSGGSCTLPADGGGGQITL TTGPAVGPTCANPDGCGGHICSGFWCAPAPTGYPPGYQDPKDPSSSEYTAPTTTIKPT TSSSSTTSSSTTSAAPAPTTSVSMCLGLFTNTNTGRLVNIWLFYAPGDAGNNRQALAG GPGEKTPLCDISRDNTSFSWCDQQGTFRDSGPQFSDEDSCGFQIELFGERFTPDRLDP NDNDNNPCNERDGTGSIRGWALWDDLPRCKVCLSDEC PFICI_14648 MAASYLIAGAAASCDETEGLELFCYTDADSVPQNVNVADIQFVA SYLRSYGQQTRDGRQFIMLAADTPNCEEYTLYQRGSVLALGKHLDPTVNSSVLFTDIA NTIDGGTGTTKTKAIIDCAEAGGSFGVVYNATNAQYNTTTYLSKGYTPAGILVKIVSS A PFICI_14649 MKVTSFLSSAIFLASLTMATPVPEESAALEERQTASGCYYFAAP RCCVPTVCQCVNGAIYQVNQDNVNAGRHGCDPPWGYISANNAQFPGYCC PFICI_14650 MADVTFKPYWRPLGEGSGPNSWVFFVLAKLAGHHCPVAVVSSIG GCEEESLHGVPLVTCCRRIVTILSDPANHTAIRGELALASDYYARNDLGEHRREPVEL PQLNRRQPEGPDRVRPWDRTMVAQFPFIYASLLQGVALDPLSGRNAPHRPEPLATVYR DTDIEWGMVVFDITDLSAVRYGIVGFAVAMARFIPSIEEERKQLFSGLGFHFLEGPLK VIDEVRPRAAMSASEYMKKFKYPIPDYSNSHHTEDIRRLATIQLVESSALELVWPPDQ DNEIPLTLTNPPVNTHISRDLQDQTIRRLIQETYRTSDLEISHLDEVRKLPDFHERLR HNMLEYSGSIGSTRSSGRLIRLAFAQNGHLGLERLKNLSADSISAALDERPDVNDSIR SLSFCINNIRDSTPAQIADALSRTKGLREICLLQSPTHDSKLLSVQLFAELASRTELL SRTNVIFAGAYSAALRKQFWLPTRSSLVPMGIFPVQQIFVRHERSNGRGFVFNYNHVH MEDGLLKPERFAAGFLLWLSTLQSKGEWMFTEAAPCFTFSSAPSSLASDPESSAQVSS ILCEDLSLPFSTPNHSISSPKARNLVPGGGWTVLVSQEENRVKGVWNQYRIRFAFIRA LRRSILVDDPPSSPLGPDELEVCGLKEFLSATGVEIDPKVVDLRLQNTAHKILAMATA DGYPWPADVDPLSVLSHTEAATVLSEFLDNARMQKQELRRAMEEDPKSEPQVSPIRHV NRIPTNVEVYNVVDWSWYLELME PFICI_14651 MAYPENVGIKAMEIYVPAQCLDQTLFEKHQGVSAGKYTIGLGLK YMNYCTDREDVCSLALTAVSSLLRKYDIDPKSIGRLEVGTESLIDKAKSVKSVLTTLF EPHGNTSLEGIDTIHACYGGTSALFNAVNWVESRCWDGRDAIVVASDIALYDQPASRP TGGAGCVAMLVGPNAPLSLDPNLRGVYMTNTYDFYKPNLKVELYSLRPLLGLMISRDF CFPQRIQM PFICI_14652 MSYPVTPASRPKRIIICCDGTWQSATSGKKNVPSNVTRLARELD RVGVDAEGNEWQQLVWYDSGVGTTSLLGRKSEGATGSGLEINVIEAYNFIVLNWVPGD KVYCFGFSRGAFTARAIAGLISDIGICEPRMLQHFSELWGLYKANKEERFWGSKAYWE FMDGKLEEPLPETPGYKNSNYRWKDKPKGNWASIDSREIEIVGVYDTVGALGMPSLRG IEVGSLIGFDPEEHKFFNVRLNRNIKRAYHALALDERREAFTPTLFYLSDDYPFKGIE IEKQHAAFEAAFDKWHAASTDRKMSVEKKRQIKQEYDRARQTIVALEADAIKAQKEVH HKPPPELSQVWFPGVHINVGGGSSDTLENKGDMEELADVVFCWMLDQIRPHLNINSAV YEQYRIERSQNLDELNKQAREEKERLKKERDEQKKESYMQTGYRWVSTSAQSVVDTAA DNYNSVFRRVEKEKPKNQTFFNFGWGTGSVIDSYTWTYVANGYLARTPNGYHQNKKLT TRGRTHESVHPVVGYRMYSSRKKYRDAVIAAANAKDDDEKKELEEECKKLKSLIYNPI GMKSGDEPMARRCKNEATQQWEYKFHGCEKPLPEWNMRPNEMSQVLSYEGMTSIPEDD ALWYAVNAASYERRALHGDAEADAYLTKLDEINGYKSYWSVQDVTNPDLEGWFDHGKI PDANVPAVSKRWGFGWLSS PFICI_14653 MPGTISFLRQSFPGKAQFTDENVPDLTDKVVIVTGSNTGLGKEI ARIVYAKNARVYIMARSEKKSLEAIADIKEAVPNSAGELIYLPLDLSDLNKVNESARE FIRRERQLHILFNNAGVGYPEQGSKSQQGYELQMGVNCIGTFAFTKLLTPLLVATAKT APTNSVRVVWVSSSAAEAISSKDFVEKVPKVDEMSGFEQYCMSKLGNYYHATEFAARH RADGVVSLPLNPGNLDSEFWRTQGAIMTYILRKTLLYPPVFGAYTNLFAAFSPDITLE KSGTFVAPWGQFWDVSPEMLAGAKSTSEGGTNIASDFWNWTEAQVRPYF PFICI_14654 MDDLLSPAPYGQACGGCARAKSKCFFRVGGSECQRCHRLGKECE PARKRKAATPPPHHQQPQQKQRLQEPALSSRLEEKLDSLVSLLRSQATDKHGQAHAHT PKSLTESTSSHHFAAHPTPPNNSCAETTTGDREFSGSFTSEAGPDIVFDAGNSVMRPV RPSESGTPIQSPIHRDVSKHNVSDQRAEELLSVFRQSFIPTFPFVYIPAHKSARELCQ EKPFLWFVIMCLTNPNVSEQFAMADTVWDIISQRVITQQLANLDLLLGIICFGAWAHY FKKDKPFMTMLAQIAISLATELSIHQDSFSHSRQTRSAKMLVRDAIQRKPRTLEERRT ILAVFHLTSAAWCTYRKVEALRWTPYMASCLRMLCEAAETNWDLVLATQVKCQAITNQ LMCAAGDQPAANGGDPKSSSAMFVTYLLRQLDEIRQSLPPEISLYSKNPPYNTHLSRK SQAKEAHTGSAQFYLYSTELKIRESILTRLASHQATEPSGFRRLQDLDSLLTCAERWL AVWFEMPLVDWLGVTVDTFAQFTHCLIILFKLTTLSEPGWDIEEVRRRADVLEALDRS CATVERVPTAVGMVDAEPESPHRGLFFKTTYLLRSIKALILAEMTPDGVPSAALQSPE SGVVIDDYNEGGGSLMHYGTEYMFMRDELVLSLSDEPWLSDMWSSSWDRISEGAFNQP LFA PFICI_14655 MVPPTTFGEVAGRNVFAGNHVSGGSLNVTIHEPSHLPRRREPFS TVPFLPDPDFIERTDVATWLHDTLIPAGSRAALVGLGGVGKSQLAIQYAHRIQQQTPG TYVFWVHAGTRARFEEAYRSIADSLELPRRHDPGVDVLRLVYDWLFDVDNGQWLIIVD NADDIDVFYPENHNQTATAASTQRPLASLLPQSSNGRILVTSRSRDVAQRLVGSSWSI RLMQPMDKGQALQLLRKKLRDKYEDEPAASLVRTLDYIPLAITQAAAYILRRWPRTSF STYLEQFRSSEKKRESLLHKDYGDLRRDAEATNSVVLTWQITFEQIQKERRSAANLLS FMSFFHAQGIPEWILHNYSMRRHEYEGSALEDGGDGNDSTDSDELLDDDLETLRAYSL VGFTAQQNAYEMHALVQLCTRVWLSSVNSMERWRHVFLRVMSKEHPDGEPENWMTCQQ LEPHIAQIIETEPLTTEGKENWAQLLNNAAWYRWQMGRYGAAEAMLRKAVEIREKILG GDRSDALEAPSKHHLDMLISVDLLAIVLEKQGKYEEAEQLHQQALNGREKALGKDHPD TLRSASNLALVFEEQGKHEEAEQMNRAVLDSREKAIGKEHPDTLISASNLAWVLIDQG KHEEADQLHRRVFDSREKVLGRHHPDTLDSVQSIAWMLGDQGKHEEAEQLNRRTVDSR EKLLGKDHPDTLSSVQELAWVLKKQGKYEEAEQVGRRALDGREKVLGKDHPDTLSSVK ELSWVLRKQGKYEEAEQMDLWPLIAAERR PFICI_14656 MGIKTNITLYTEGTPNGLKPTILLAELGLEYKLVPINIIAQENK EPWFVKINPNGRIPALTDVDENGKEIRIFESGAILEYLVINYDKDHKVSYPHNSPEYW ETVSWLTWQMAGVGPMQGQANHFARSAGEKVPYALNRYVHETRRLYRVLDTHLAANSS GYIIGDRVTIADIAIWPWVGAYKYSGLSSIDEFPHVKKWLYTLLVRPGFEEGRNAPGP HKYLDMNSMSEEELNAIAASLGTWILDAMKRDAEA PFICI_14657 MAVPRILITGATGYIGGTILHRLITNALPTLGEVSITVLVRGED RAKRLEEKYGSHIKCVEFDDWNQGEFLQVPSSLLVASEIASQHDIVINAGSGFHPPSA EALVRGLAKRKERRGPAWMLHTSGCSNIADMPMTGTARPDAEYHDADAEAVYEFEKLL EANEGPYPQRTSELLVLDTGIETGVNVLSVQAPCIFGPGEGLFQQAGLVIPILMAYVL SRGYGFALHQGTAVIDIVHVADLADWYIFCILQVLRNGGSNLPSGKKGIVFPTNGRKT MYSIAMDCAKAAFDRGVLPNDAVHGPKEPEVRTVDLAEAATTTAGNLQVAEAGWGGHR RTTGTVAKALGWNPVHGLESWNEDLEHELSCALEGKRGVTIDNCIAEK PFICI_14658 MNSSYELLDQAKPRNEVAAVDDVVAEDEQDHSSRSDSARVSDAT KIQYSTTIHRSNKIFLLVLIYATLAVFAWVVTCVLAYRPIHGNSYSMNAGDSHYSETT DSENHFHAFYLDNEEWYRAARVIQSIVAVLTIPLTSAVCGRAAVAFIQQPSHSGDMTM RQTMVLADKGWADVGLISKVLRGQWKRYGSSFLAVAILLNILGAIISPLQQILLTTET IKIPTQWTSILGLMDMSDHGEDMRGNSLDDYTVALTRSRLSSTTLSDVQARLWSGSMD CSGYEYSTLGTCQAGGSQNLLSSLHLMQDPFFAELPSGYSTGLLSQFLPRVNSTASRE LIDIDDFPPECSSDNPDVFYVTYSNSSEDRSQHNRTASYNVEVCMPGNMSEPAWSGAQ HRQNCSEELYLKISLWNVTNFQSGVYSSKITLNTTVGYFELPNYANGGVAGPLLEKTV SEYIGPSWVRQNTIQKDTTNLKPGDESTDSGTAVNATAGLISNYKKGPLLIMAMALFG LGSFLDVVHDQEAFLEAHRQDELENENECIATVPFLQLTKTLEDDEKDISSLFFKHLD PCLQFSTDTTNKELDAVELAAEYLWMFVFNRGNGSDYSSYYVPAGDVNERRIVNAFEA AAFITNEVWMVDNFHLPYGKLVVNYDMGEDTQKPSISLGGIVVISILLCLDLLSLAAL ALYSARVPRWTEQLDSLAMMRLGAVMADELPLQVASKSDRISILDEMPGCIGDATGGE GTVGELGIGAKTPLHARRRYTCYEGDHESEDAAQKHQRH PFICI_14659 MPPKQPNIIFIMADDHASKSISCYGAGINHTPNLDRIAKEGMLF NHCYVTNSICTPSRAAILCGTHNHVNGVMTLDSKLNKHLPNVAKHLRTGGYQTAMVGK WHLGEGKAHEPTGFDYWSVVPGQGEYWDPEFIEATGTERIPGYCTDIITDKCMDWMKV RDTQKPFFLMCHHKAPHRSWECDEKHKDLYKDPVKLPDTFTDDYKNRAKAAKVAKMRI AEDLTYNDLGIAQPEGPSGRIGKKMVDMWWWNDRKIPSPEDVSSIRLVDKHDGTIFTF ESKEKFAEWKFQRYMQRYLRTIQSIDDNVGRMLDFLDAEGLTDDTIVIYTSDQGFFLG EHGWFDKRFMYEESFQMPFLIRYPQEIKAGSTCNDIICNVDFAPTFLSFAGLSAPNYM QGVDFRPLLRGETPSDWQGVAYHRYWMHNDIIHNAYAHYGCRDQRYKLIYWYNEDFGL EGARPGGEEDKEWELFDCQEDPLELFNVYHDAKYADVVKMMTKKLEDKMREIGDTPVH PFICI_14660 MDTEQKTTSRLENGPTIQKLDFEHEEQAAQGTAAESRMSIWQAL QFYRIAVMWSAIVSMATVMESYMLILVNSFYAYPQFLQRFGERLADGSYTISTTWQIS LTMSSLVGMIGGVFLNGLLIDRFGYRLTMMCCHILLIGFVFIMFFATSIQVLLIGCLF IAIPCGVFAAATPGYAAEICPMALRGYLTSFVNLNWVIGHLIAAGVLMSKLNDPTEWS WRLPLGVQWIWPPFLAVACWFAPESPWWLVRKGNTEEATRVLERIIAAPDETISKSNI VAMISHTIATERSIGIGSTYLDCFRGTNRRRTEIAMVSWGCQILPGFAIQNYITYFFT LAGLSPSNSLKLALGNYSIAFLGTVLSWFVQRRFGRRDIYLTGLLVMVFPMALVGFLD LAPSSSTIRWVQSALLLVWFFCYGITIGPIPYAIAAEVGAVELRSKTISLGRNTYYFL SILNTIVAPYMLNASAGNLKGKAAFPAAGFTLILAVWAFFRLPETKGLTPETLDRLFV AKVPARRFLAESGQYSNAAE PFICI_14661 MAGGKSKYIEALQLLGQIEAELKLVIAGNHDLSLDPDWWQANLD DDDDPFEPDQMKKLMQSQAENGVQYLEEGTHIFKLKNGTEFSVYASPYTPEFNGYAFG YPHEEDRFNNRAAANPIPENVDIIMSHGPPRFPHDENCEPYTLDMNESSKHLGCLHLF RAIQRVRPLLHCFGHIHEGYGAQFASWEQGNALALHQVESELENGLRRLIFTEVMSRG TLLINAALKVHGSQQNNHPWILTLPLRHQTGMNI PFICI_14662 MPAHPRLSKTDQLHTWWHETGVVNTTSRVREDQVRMSRRYRVQV RPFQQDKAEFFDSFVYEAIPRSGNGKIATPLDHPDPKPFIHKDGDGITAFEEEAFINM AWSQFLYKCKVQVRISSSDACIRLGTDVTIRPGIHNLQTETEKDTGALLITIPWQEGG FRFSVEFKDDLIQYRSNGKTYVYEEKDKDHSLVGEEPKNALLIFASPFLPEAMTPRMT EANTTRMTPGPIRPGDWGSREILHFPPGVYWLDSAQEGESPRLGQMHIRLAPETNWVH LDAGAYVKGAIEYFTQADDFYATGHGVLSGEHYVYQANPFKSYHSHKDDEYSLRMWWH LHLVDNQTWHCAGPTITAPPFNTMDFKPENRCGSEIRDYKQVGAYFFQTDGPQLYSRS KVEDVFYHANDDAIKTYHSNATVSRATIWKGPNDPVIQMGWDGRNVQNVKIEDLWIIH TRYIKQDKAVPAAIVGASEFYSDQKTLPELDKNIHLTVKNVRCEGPAPALLGITPLQN YDLLAIENVTFTDPLQDCAGTSFVKPVVSSHRDIKMNIQIKDWTIQGKPVTMENFRVA SDKESGDEKQIGRLDIDVQHWKKWNIGKSG PFICI_14663 MYPYNYSQNASEYHTSTLYNEHSQSTPTSPDQLYDSISLPVGAG MIRLLYLDPFPSPTTTPEEMESRPLQGTLVKIKLIDSPRFAALSYCWGEMKLRGNETI QCGTASIDITPNCAKALRAIRRHQFATSSPPLPIWVDALCINQAETPTAKAEKADQLP LMGEIYQWADPVYIWLGSGDSSIHAGFATLQQFFPACRFRRSTQSLPWILEMRRSERW RKRARYIWDNAFLQGAVCYRLMLALKRRKIARYIWDNSLHQGSMLYRLVMGFMGLTSS ELSWPPPQDSGEIQKLLECEWFTRGWTFQEAVLAINPTILYDDMTLSWIDFLSALEIG FPKLFVDSPAHSLGTAWMNIRRPLHWNGLQRRPRPSYHDHDRALPRSDLTFRHYLETC GHECSRDITSPPENHDDTSFCHTDNLLSWLHLVHFSGEMLAWAILFMPLYLLILQTNP AVITQFIPWWLFGLFSLTYAVFAMFVFQGFNTLPQRTSRGIQNLCFMPLIAGSNAKEE RMALIGNATVATGMSRNSAALIEPFERSSDVLLQALMRAVSERHTSNSHDGVYAYFGV LKANGFDIPDVKYSQSPAEVHRDFLKSLLDSDQSLIGLIVEAGTRRGEGFAAPSWVCH WGGGKDQPRCPITPKYIYNTAESKATPNSRPYADVSKDGCKLTVHGFLSDHIAYCSPR LVDFLESDVVEGVDVRSAAGKAILAFSDWFMHATRDLAVNYKYQPLPGTIFEILHGRF EDGTHNRPIVHQNRGFDAWYGIVTRSIGNMPAEEMKLPKRRADLLQLIVAGLVKDQGA KKYLVELVNRLASKRRLIFTKNQDLAIGYGEVHKGDIISLVAGVAIPLIVRETGEDRY TLVGPAIVPTWMGGEEWKRLSGRNVDVSTKRIVLV PFICI_14664 MSPFTQFVTLPIADGAYDRAIAVLEPVAAMIRRDVPGCLELVIY KSQDSATNKINITLYERFVDSEAHQKVFTSAVFADMMKPLVEEKLLRGEPVPTTVSRV GGFMPNE PFICI_14665 MESQLFVWSASASSNRKRKRQPILSCARCRLFRVKCDRDRPCGS CKLAGRESACKYQETLDHETAASSSVARKDTNHTNYVDGDGAKTPQIFAYVHGTARLS TYTTGWAQLFEEAKDYAFGIAPDFKPIYRKSQAHRDIFSMAAGDLSLSSSANVMLDKS ELSALLPDRQVAKQLLETYLKTFETLFPMWEPRVDIAGEFDLLKQDNEHHAYSSYQQM FMMLALGCCSSQLSLPGVDQAESCQVFLDAAAIASKHIIWDVPDYPSLRAHCMGIIAN LALHDTVSHLPTSPALVGLVVRAAMSMAMHRDSRHFEGMPESEAMMRNRLWTTIVWLD LFTSAVTGIPPLIRTDSHDVNPIGTYGETSPSNDGSSEFLTLLTKVLPTAELIITKSN SVNPEIDYAKVKDCDNLLKRSLDNSRNLPDLLHASMLDIFIRRTLLALHLPYSRHGRY LQKYPDSHWAVLECSLALLNCHQRFAAEEDMQWFINIFRDDMQLALIYVVLGIRRKDF SPPLDAIPQLENDPEEIAWHAIRQSVEIFNDQAHKSWSHYRVYLTSLWMVTALEALKS STSLSMLAMMSEATENAINDITARFHESDIGE PFICI_14666 MTQHMFNSIATSDSPILIVGGGLGGLALAQALRKRQIPYRIFDR DSAPDSRRQGWNIALHWIIADFERHFPNDLVPVESVSHMYEHGYLSEGAIFDGLHGNE VQRFGAKTGKDFIRAERPKLRAWLATNIDVEWDKMFSRFEEVDKTVKVYFTDGTMAEG CMLIGADGANSPVRSQLLGPEKSKLEVLPMDVYTGELTLTKEEYQGQWARFARSLYIV QSPEAYLFVGLRNISSDGNFAQYYWMVFRHHTEAEIKCGHSTKDWSKDKLLQAARDTV EKLNPQFRELIHKTNPEGMVQPPLYMEKFLPSPEGFGGKRVTLLGDAAHKMPPFKGEG GNHAMKDGMQLVDLLDEGCPDIYGLIQQYELTIIGRGRDAVESTSFAAMNWVQGTAHS AWQDKLGR PFICI_14667 MRNVAVAGGTGRLGLTIVKALKQSEHNVIVLSRRSSPNPRVAPT IHVDYSNVESLQKVLHDHNIDTVISTMSLFTEAEGVAQLNLIAAAENCQNTQRFVPSE WSIPLRKDHVDSLPSLQARLQAVEALKRSGLEFTLFYPGWFMDFYGLPHIESEMGPFP FAVDIENKAAVIPGSGNVPVVFTYTPDIAKMVVASLDLEKWPEHSYIIGDKMTFNELL ALVEEVKGSVCYTLFSVTFHDAFSNQFDTSQTGGKFSVSYNTIAEMKSGKIVELPAQK ECYNDENGKENFQKLVVAYAVYVEAGDFDFPAAATLNATLPDVRTMSVKEFLYNAWGS K PFICI_14668 MDPLSTIGVAAASVQFAGVAVQSLIKTIDLIRKIHDIPKDTKQL LDYIDRELALVNELLRPDTSTYAYISTNQYSQLSTPAIEARKALEEIQKTLQPLVTVL GDPHHRDGAGKRIVRLWKSINTVQTVKRVEEKMKTVERLKSSLMSHLQMAGLETQSVL RNRTTMIRDMTQSNSARVNETIQSLQHLHDTHDQNNYKLHSSMATITQEINATSREVV ETRMLLSQEATSTTEAISGVRKHLAEVSKDHKALKIAVDRIETTFSDYKLAVHAHVRA ENEAKAELRTQQVMDRTAFLEDLRNELQDQLRAVIPYLHAPGNAVPELETSTYEVNIP AGTASINCTAPMTTHTNRLQDSEHSENTVRSLRCKCNQGKITEVRNYGPLGFKIENHT PQNCPFHGKVSYWCYSIVAALSPWLQGALEFTIGASSRNRVWSITPSLRFRAIVRRVD SPFFTLFDEFVARCPTFSHLEAIGDSRPLLWRPGEGSGHLTWNHEFTRECMSDLIHGI KRTISLGQASASDVDENNNTLLTEIIYLVLLLGREVDHLTFELNELLEIAQGANVDPW AETGVEGRGYSTKPVKIRAMKPDFRPPTHCLNEAFMMRGDPLPTFIRNIIKYEGVTES LSTFQYPPGPFGSNIGMRRDWLRSIRAYPEIVEAWDSGLSMAILMRSLPGLQKFFNDE KLGYSHSHGRLTPIELAIGWPDGLRFFVGQGCQVSEAFGVSCELGDAESASILLSSPG VFCPFLPNLLWASAKKSKVIFQMAATELQHRLGELKRVASNHLTILETKEMGLDGPWM PTYGAHDIYSILARKIRIPPKLDVCQGCSPHCAHNTELWTPRESIKLHKILYDVGFVD LDAQCAHGFTPLAEFCIKSSSTRNKTLHGWTRSWNHGVAWFIERGASTTFDFRGNGQP IWPHLQFYISWMVGLIGDIDQRVSVACNEQLLGDQCACYCSSSGCIAPFAIWRCQSKC TAVETPLGPDCRCDRRLRFRMQRLSRWIKETRFSRAQEQSCYRRICRLELFERLGMVH TCCVAHSDYREAERAEIWAEDESASEQLESLMSIYDTAKMLYRGVSLSVFWAAWWGVV DKILPLFKAEELCSEPHLLLGLDSSNRERARAFVAQSRDERWGILMKDAGYEGWDFKE VIKDHFTKFLMQAKGYSQSRASWRRHRLVGRPRPPKKKRQEHGRSHNVLTPALDPDED DE PFICI_14669 MVRIPSLVAAAALASSALGIVVSEVQQYAWTDLAISSRPDGRYM HRTTSGEPFLWIADTNWEMFHRMNHTDIDLYFADRSAKGFNVIQAVLLSKYNVTTAPN FFGDLAIDNSDPMQPNEGYFSFVDWVVARAAEYDILVCLVPVWGRYVSAGWYGTIGPR LFDKDNAYWLGQYIGKRYPGLTKMLGGDTNGFWANNVPQARQAWRDDPYGDPTKLLDP IEDTREIWAAMMKGFKEEEAKAGYEAFVTFQPTNPWISDPPTPLPYGHNYINGSLGTL SMDAVQSGHESPDPDGIDANFTVLTPWDSNKNYENIIQMREQFSGPVMDVENHYELAH DSFDPSKPLWNASHVRHGFYPALLSGACGITYGSLPVQQTYTRLELVASPEHYWEPQL GLEANTSWQEGIHLPGAKQTGYVGKLFALLSDEEFDGLEPAREYISSSADAEEDVMQY TGTRYVAGMVTKGQYWVYTGFGDTFQIDLGALASRWQSLDTLYTAHWFDPRTGKLQYA NSEKPRLAIGQRTFVPPTYGGVDHDWVLVITAEHLCTWFSLAIRSIRGT PFICI_14670 MGSPKNRLILGTMTIGPNVEKGARITSLDDYKKCLNYLQRKGYK EIDTAQAYSGGEQEGFTRDAGFKELGFSIASKVMPSKPRDHGPENLGKSWDSSLERLG VESADIFYLHAPDRSLSYEETMEGVDKMYKAGKFRRLGLSNYASWEVAEIVGICERRG FVRPTVYQGMYNAITRGAEAELIPCLRKFSITLIIYNPLAAGLFSGKYTTMEQPAEGR FATTSSLGQLYLDRYFKQSTFDALSVVEPIAKKHNVPLIEVALRWCLHHSQLKPASQG GDDGLIIGISSYEQLEQNVEACEKGPLPDEVVEALDKAWVKARGDAPTYWR PFICI_14671 MLQYQLHSVALAAFALFQVTLSASLESRACDCWKTKEDGTLFTN YKTIDFRSLAKYAGPVPAVINDWNGNAQAGPTSAYFKSSTWTNFFGLQNWGDTTTPIK KINSLNNAYIQNNTDGTTYLTFRTYRTKKFQSIVEADSVADDYTAVSMRFRSRVHGNS GACAGGFTYKSYEDGVQHESDTEFLTNGPTNVIHYTTHPEEEDHDFDGTFTIEAPDSS RWTNFQEHRVDWTQPKTTWYLNGKQNGQLPHEDAQEPSYLIFNMWSEGNPEWEGTMAV GGSAYFDLQYIQVAYNTSTPRTRACTSTCTLS PFICI_14672 MPSNLVAMLEQWDLASYWRPALLLAALPLLLGWWFLFRSSKPQG IDMSKYTHFPQPEPADKSRGHWPWVEKIAAEGDPRRSFHYMIDEQAKKMGYPPVLLTD WRPLAPLVILFILNHDVAEQVTKASKQFNTSTPKHPLIQDLAPLVGAKSLVTLDGDEW KDLRKRILPGFQPQHLLTYAKVIADKSRMFVEHLEKRAASGEEFRVDELTTNLSFDVI GIVTFNLDLDAQIPGKQSERDNAKHWLRRYFTETERTIRRLDKQLDSILKTSIKEEHA KIMAGDTTSSRSVAALSLNGIEQLTPQILQQTSDACRGFLFAGHDTTSILMQWMFYSL HRRPSSLKALRDELDEVFGADPSPYSIISQLSNPGGGKLLTKMKYAEAIVKETLRLYP PGTTLRMSPKGNNTTLTLPDGQILNVDGLVLSPVAYAIQRDPAIFGKTRDDFMPERWL GPEASKIPDGAWRPYERGPRRCTGSELANMQAKVVLACVARRFDFVKVGAGELELDEK EQPILDDKGYCKTKSDVFTIDEVTAKAVDGMTMKVKISKVAKTYA PFICI_14673 MGNVLSVFSHDSGFTKVEKAQLEKKLADREETIAVLEEDLSKKE KEHTSLSKDADSLRQKVSDLDAQFTASRNENQAHITRLQAELKEVSDKSASEVRAAEE TATARAQELDAAKTGLTILEEKLKTLEQDKSTLESELAAAKTELAGIKEQHASAEAAL KGELEETKKQLSEVKEQQSSSESALQEELSSLKSKVAEADNKQAELTQSKSELEATIA KLQETISQLEESESQLKSSKASLEESFNQHKESSASTDAEWQEKHGALQTTHDNLAQE IEAAKKELAETTEKHSSLQSSSASEIESLKTELAELKEKYAALEKESESHKSSSGAEI SAAQKDLAEAQQKLEALQKEHDALTGESSEQVATAKKEVAEWQEKHGALQSSHDGLSQ EIEAVKQKLASSEESHSKLKEDHAAELETAKSGASTFESQAQAAAEERDALKKSHEEQ STKLSALEKQIEEAQAKVSQAEEDLKAAQSDKDGLNAKVAESQSAAEKATKDHSDLKT QLEEIQGSLASAESGKSDAESKNSTLTGEIAELKEKLSTVEAGVESAKKEHSDLSSKL EKAEAEAAAAQSSQKEAAEKASELEKKLAALESTAEQAEKEKSEASAKLAQAEEKASE LEKKLSTIISNAVNDKKEAAAKTSSLEEQIKSLEEELKAIKEKAAAAPVAAEEAPKEA EA PFICI_14674 MGIMYVTQTDVITLSNTIAAAVLCFLLNRLWTWYRLRHVPGPLQ NAFSSLFLLRTQLGGNVHADLNALLRKHGGSGSGSHNLVRIGPNAVLTNDAKLVQRME ATRSPYVKSPWYGTFRFMKGTDHSFSLNDEKKHTELRTKIGPGYSSTHLAEQSIDRQL QRFIGLIERKFLSNEETHAYRPVDFALISHLYSMDVVGDWTFGRPFGFLEDGIDIYGY IRWNEDFFPFVTMASTFPFLSKVLQTWPFSELLPKPTDIVGLGRFMKVAQEAVDERLM DGPGDRKDLLCIFLQYGLTKDEAVNEALVQVVAGTDSVAVAIRMALLYILSNQRVYTK LMAELDAAAATATRPIIRDAEARRLPYFQAVIRESLRIFPTVTPLMFKSVPRGGDLVA GYQLPGGTEVGVDQFGILRSREYWGEDADLFIPERWLDVDKERAEEMAEYLEASFGYG KYKCLGRSIAFVEINKTLFEILQRYKFTVVDPTSPLKMFAASFWVMKEFWMLIAPRES TSTTSAETMS PFICI_14675 MALSSESSYMMSVLWGLTAVVLVFLVLRAYTRIVCVASYGIDDH FYALTCVLAVAFSSIMQVAAEHGYGQTNLDPDTRANSSFWRTLGQTFSLVATGTSKAS VGLFLLRLTVVRWQRITIYILIVFMMTAGILAAIFTWAACRPFAYTWDDRIEGGTCIN TVPLSMILAMGTIGVDIFFAVLPWIFIWKLNAPRREKVIIAGSLSLGIFAAVAGAKRI MEVKGVRDVPVGVIVWSQTETAVTLICVGIPVCRPLLAQVMKKYGSTRPSKRSNTPSN DNAPQPMGLHTFGGSPMVPGARGMQRRRDIVSSLFSRLAPSTGVFSRAAPSTTVRAAG DNHPMGDGQSEQAIISSHEMTDSKDDEERKDSGAETEEGPAGEQQHKLARECTRSWIM GESINCNEVTSQMSPDAVHKDDIIMTTRSYEVKRGQSPPV PFICI_14676 MPGVVGNTGAIQVPGPVSQASAKKLDAFFDARAVHFVVDYDQSE GTYLVDVDGNRYLDVYSQIASIPIGYNHPATIAAAQSPEMISALVNRPAIGNFPSKQW GDILQNGLLKVAPKGLDKIFTAQSGSEANELAYKASFMLYRRRERGEGVEWSEEEIKS CLNNSKPGSPELAVLSFANSFHGRGFGSLSTTRSKAVHKLDIPAFNWPQAAFPALKYP LEAHQEENAAEEKRCLEGVEQLITSWHCPVAAVIVEPIQSEGGDNHASPAFFQGLRDI TKKHGVMMIVDEVQTGFGATGKFWGHEHWNLTSPPDMVTFSKKAQTAGYFFGDRALIP DKAYRQFNTWIGDAARVIMSKAIIEEILAKDLVAQTARVGDALYAEMEKLAQKYPEQV KNLRGKGQGTYIAFDSTDAAGLVKGMKQLGVLTGTCGAQTVRLRPMLIFEEQHIAPLI SAFDTVLGSL PFICI_14677 MTSSPKKHVVFDVVGTCMSYDAIFDAIDARLGERLRAEGIKPKL LGYTWFEAAEREYTYMSLSGRYRRFYDVFSALFYRMLWMAGIEEPRAFATDDDLAYIL GRFMELEARPGVAECFAILRDAGYTVWAFTAGDTNRVGGYFVRNGIDMPAENLLSCDS LEIGKPSPESYQVLLKQFGAEEAWFAAAHMWDVSAAKSTGFKGAYCTVWEKEPCTALF GEMDVMADTFPDMARKIVEASK PFICI_14678 MASSQSSPSKNRGPVPNPVRSFWTSTPGELDDFRSTPNLPESSD VVIIGAGFAGVATAYHILQDNPEPPSIVILEARKVCGGASGRNGGHVKPDTYFNVPKY TQMYGAEAAAELAAFEASHVYAVKDLVERENLDCDFHLTRAVDVYLDPAHARDTEAAY RKLVRDGVVDMRDVAFTPRKDAERVSGVKGAQCCFSFTAAHLWPLKMVQQLLRGLIEK KGVNVQAHTPVQSVHKAKDASGNETPSWTVQTPRGAITTRKVVYATNGYTAQVLPEYS GRIVPVRGVCSRIVGPSGTKTPHLVNTYGIRFDARNNDYLIPRADGSIVVGGARQRFW HVRDSWFDNVRDDELVGDAVSYFDGYMQRHFRGWEDSGAKTDQVWTGIMGYSSDFMPH LGEVPGKAGQYIIAGFSGHGMPEILLSSKGVAAMIRDDVPFEKSGLPRIFKTSRERIT NKQNPLEESLKPLWEGEAKARL PFICI_14679 MSNTMRGTPSRGQQRGAIPFTNSPAGGSNIPRPVLDSHGAGHSQ PVPNDAASSISVSASRQKQSKRDEAMEAHSNRQAIRRKLENDLNKKKHLPNRARTSRK APPGTVLALKPSPALQIKPSTTVAEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAF RVVGDGQKAVNVTIAEIMTKNPLCARTDTSATDALELMVRKGFRHLPVMDENQDIVGI LDITKCFYDAMEKLERAYSSSTKLYAALEGVQSELGSSQPQQIIQYVEALRSKMSGPT LESVLNGMPPTTVSVRTSVKEAAALMKENHTTAVLVQDQGSITGIFTSKDVVLRVIAP GLDPANCSVVRVMTPHPDFAPMDMSIQAALRKMHDGHYLNLPVMNDQGEIVGMVDVLK LTYATLEQINTMSTGDNEGPAWNKFWLSFDNETESMVSGEGSHHHTVHTRSVMSPDHT RDRLNESVAPGDSASHIGVDSPAHSLAGGLEAPPAEIPFAFKFKAPSGRVHRLQVIAA QGIEALVSNVIAKLGSEVDAIGGAPVVEDGKVGASGFALSYLDDEGDSVSITTDQDLL EAILLARQTHHEKVDLFVHDPSQPPINVAAPQPIPELPTPPASSAVASDVRRRRAPVE DEEDESEDEEYASTVRRRKTRNGGNAHVEKEVIAGVPNELLLPGAIVTLAIVIVGVFA ITRATSR PFICI_14680 MGVSFRSLLLASGPLALVAAECTEISQRRAWHTFSNDEKLEYLN AELCIMNTPAKLGLPGCNTLFDELQANHQIQAATVHGVGAFLPYHRLLMHTHEYYLKT ECNYTGAQPYWDEPKEAGNFTQSVIFDPVYGFGGDGREEDGCITDGPFANYTNSLGPG YAIHDHCIDLDACYEHDDFLTAWPCIEGRPHGGGHGGVGREMSNPISSPGDPIFFLHH TWLDKIWWDWQAINLTARLTDISGANRQDPNVGFPETPGSDWQNGTCSGFPCTTIPNV TDTQPGDRGNTTTLTHVLSTLGLLPNITIADVMDIQGGYLCYEYVEAE PFICI_14681 MMRRALLAAAMVATIPSVLALPKLTRRDECTSTKTQRKPWSMLT DDEKSAYIDAELCLMSAPSQSGVEGAESRWDDLQHNHIIQTQVVHDVGQFLPWHRYHV TVHAELLQDECGYTGSIPYWDETVDAELSDLSTADVFQADAFGGDGDGDNNCITTGPF ANLTLHLRRLGVDPSDYCLSRSLNLAQLQQASQSNLDKCMAIDNYTSAWECWHSSPHN AGHGGTGGVMVDVVLNFSQSNVLIMSLFSTWLDAQWWRWQSADLDNRLTDMGGRNVPE DSYIQQGNLPEPTAAWTDYFGDDGNVTTLNHVLYASEIRPNVTVGDVMDVGGSTICSE YVYSDE PFICI_14682 MLSQIVRSLHWAGLSSQRFMPNAQSSSRETTLPSLMFTEQGSFQ ISIFQDLHFGENAWSFGPEQDFYSVQVLNEVLNQESLDLVVLNGDLITGENTFLENST HYVDEIVAPFIERNLTWASTYGNHDYDYNITGATILDREMLFQNSRTRQMVDGINSGI SNYYLPVYDPSCATSDCAPELLLWFFDSRGGWYYQTKDESGNKVGQPDWVDESVVDWF LNTNTDLVSHHGKVIPSLAFVHIPTNASLALQERGINECKQPGINDDVPLAQQAQGWC VDGSNDADCSYGGQDVPFMEALVSTKGLLAVFSGHDHGDTWCYKWNGLLPGMTVSGNG LNLCFSQHSGYGGYGSWQRGARQVRVTKQGLENSELDTWIRLETGYVTANVTLNATYG QDNYNPC PFICI_14683 METIAAAEKLSLKSTIALRDSDAKLPVLGFGVYQIDPPDTTAAC LQALEAGYRHIDTAQLYQNEAEVGEALRTCGLPREDIFVTTKIRYPRLGKGKTYLRAL QSIEKIDARGDGEEEQGYVDLFLIHTPYGISAKDRKEMWLALEKLHDEGRARAIGVSN FAPEHLEEMRSYASVWPPAVNQILLHPWTQQRKTVDYCNEHGIVLEAHTPLARGARWN DPVVAEIAEKLDKSPAQVLIRYCLQKGWVPLPRSEKEERVKENADVFDFEISETDMQA LDALDGQKEPN PFICI_14684 MHRSGLISFLAFIATAATGVWAAPAAEPEPILNVREAERDPRTV HLESSATGFKRSEPTLVVGEVEIDPRTVHLESSATGFKRSEATLGVREEKIDPRVVHT ESSATGFKRSEPTLVVREEE PFICI_14685 MAAVRVAASRLASSMATKAARPAMRVQATAAAKRSITSNAFQTV KRQQASQIVNATTRQAFQKRAYSSEIAQAMVEVSKNLGMGSAAIGLTGAGIGIGLVFS ALLTSVARNPALRGQLFSYAILGFAFVEAIGLFDLMVALMAKFT PFICI_14686 MDSPQQSASIGDMSRSIPQPPFDQQEQLVVFHKFGKLKAKIRRR IWDAALREEAKTRFLLYRSDIGVCPHDELRSSLMEVNAESRKRARLFYNLQLPVRRSY SCTEPSTEPGMLPLVASLRESEWARGRNGNRDDMNMPIGCVYLRPSSDIIFYNTFVDF DLIPQLNSFKRSVELTRRDRRKFRHLLSIRIPENDPLIIYDDFHEIKDSFPRLDYVIR LGGPYSWLDHPGTRVVRTILTQGKGVFEALKSESHRIRVFKGRGNPQEADTSDRYAAF ETLNGTFQNFDF PFICI_14687 MAPQKRKQPHDEPAAESSSAAPRRTTRHTSGAAPSAGAEPEKQR RSGRIRANTLENPQNTITRYFLPKSQVGDAPKSDSAEQTQPPSEQPPAPVTAPTPAPA SKPTRRARGQTAEPEPEIEAESSIAVRTKPSVEKKRSKPATSTAQAAVPPAVAPASPN VAKAEPKIQANTSRPRTYVSQPKSSPQSRPQAAHANNFTDPATALPLTPRRRASSTTI PKPPPTPRADRNIDKVTLGDISFKTWYPSYYSKEVLGDTSGNIGAREQGNGAKIGGGK KEKEAVLDRLYVCPCCFKYSKELVSWTEHVNYCQSLAHVPGTKVYTHPKQSTHSKRHA KSDGLATDKGEWSVWEVDGEHDALFCQNLSLFAKLFLDNKSVFFDVTGFTYFLLTYTP PPEPSGDTPSPKICGFFSKEKMSWDNNNLACILVFPPWQRKGLGALLMGISYEISKRE GIIGGPEKPISELGRKGYKRYWAGEIARWLLGLELAKGRTNEELLVDLEDCSRETWIA QEDCLAILREMNLVEETGMGPPKPRPPRQETEGEEEAKEQEASDVPRVKLDKAAIRQW VEDHKIDLERVCDPDGFVEGYAIKEPEAEDEE PFICI_14688 MKSVPLFAVLLLTCVNDALGYAITIRNDDYDNGVDPICIGTGPE SCQGVYKCDNANLDSLECAFYVYNSNCQSLHPDEPWATVQSGVSFTYDGLDHSIDIQN ATGSLDALQMGIVWKYMDGLYGDGYGNVRGDCSTKDKKCAFMRSAFTCK PFICI_14689 MWLINTESLKLESIVDHEKVEYAILSHTWAEDEVLWSDFNDLPR ARLKKGFEKIEKTCELARGRGLRYAWVDTCCIDKTSSAELSEAINSMFRWYQDAAVCF VYLSDLGGDSADSLQGVLDHLPKCRWLTRGWTLQELIAPRNLYYFYDNEWKNSWPKED LAPKISEITRIPLDILLHQKSLDTVSIASRMSWAATRETTRAEDMSYSLLGIFDINMP MLYGEGKKAFFRLQEEICRRSKDLTLFAWQTSPGTSTSKYHGLFAEHPRDFAGAHDLQ ISDARMPYTSELRLTNKGICLRETELALVRSTGHFLSLGCSRAGSPHDTFIHLTKTMD GFVRTHAGELVASLPDSAWWLSPRDVYLPASLTSDDVRRIEHQDNHMIKFTVGSKVTI AKQMPYNFWDPLNKAFLLSSDVGSTSGGAGIYQGLLELSMSWKGQFHKRHLIFELRAD PSKPGTLPGRPRMVLKHKVVDGFHRPLQYWLDRDGFRQPKMSVHLRQEISRELLSNTF TKEGEGLSSWAITSQKLSEPPPKLYVEVRNTTVDTGQTWEFELACR PFICI_14690 MSGSSDSEVDVLIVGAGPAGLMLALWLSRLGVKTRIVDKRTDKV FSGQADGFQIRTLEILDSFGVGERVWKEANHMIEVSFWNPDENGTIKRNNTAPDNMPG MSRFTECVLHQGRMEAFFMDAIAASYPHPTGVPPLKVERMVLPTSLSIDESKADDDDA YPVTVTLRHLTEEEATPTQKLSNLSDGLFRSNLAGDDVEAMLQKSEARGGAREETIKA KYVVGCDGAHSWTRKALGPDYEMQGEMTDYIWGVLDIVPITDFPDVRNRCMIHSASNG SLMIIPRENKLVRLYIQLSEVSTGQGRFDRSKITPELIFNAARKIISPYKLDYHYCDW WTAYQIGQRVGNHFSKHDRVFLAGDAVHTHSPKAGQGMNVSMGDAYNLGWKLGLVCKN VMQRSILSTYELERKQIAKELIAFDHKFSRLFSGRPAKDILDETGVSMEEFSKAFEMS HMFTSGVGVDYSPSALVSKPEEQRLIPEEDDQEIKSDQQAVPSNAQSTLDLATHCKPG TRFPSFQVVAQSGARPWQLHHKMPSDGRFRVFVFGGDVSQASQRDLVNTFAAELSAGV ITKAPKASLSPGADPHAGTLRFKMDQPPSVIDVLLVHNAKRMEIETLRDLHEVYHPFD SKLGWDYDKVFVDGESYHDGHGHAYEGYGVDPQKGAVVVVRPDGYVGLVTSLDEAGRK EVEKWFGGVLRMA PFICI_14691 MASKRRKLDTAEQPAAQSAFARLRNLRSQGKAPETETPAAKPQV QSQEGQITSVSAEAAVEVSSTTTPKRSKRGRATQKTVEKTVVVSTPVSKPQAEGSSSG LATPYAIAADSEEIAEDIPVIPQAPILQFSSFNPTKSNFRKKAGGKLQLKLADGERLV ILGSYGVTVTSGNITICGATLRATEKTHWVHASHCQALPVIRCPDGASIELGPCPGAQ SLRQLGRVSPLFRGLWDESSTSEGGKPESSTFTILYTSADGPKRALLSDLKSPPEWNR EIDSLVKASRSKPASAIITGPKSAGKSTFGRLLANQLLTDERSGKKQHSGIAILDLDP GQPEHGPPGQISLVRITEPVLSPTFCRTLPESTPGSGRSIVRSHTLAAVSPSSDPDLY LSVVADLVTHYRNRFASLPLVVNTPGWVQGTGLDLLVSLIETAKPTHVVYMAPGPLDV IETLQASFRSGKVAILPSQISQYTSRTAAHLRTMQTLSYFHSEAKASVQTWNPEPLSA LMPWCVRYSGPSPGIIGIMCYDFQAPVDILADAINGTILAIVEVESSMAFQSNKSKGA ENPSSLDDMDLDQTSPRFAKKTGDQLVTHTPEGIPIMQSGVTLDPRYSHTIGLALLRG IDTENHVLQLLSPVPDERINQIVEKGGKIALVSGRFDPPGWAYTEDLYYQSSTVNDVD VDEQIDIIGEDEAIDSDHEPSSTDLESASMPTPWIEVLQGSQKRGNGSRVWRVRRDLG RTNSAK PFICI_14692 MAPSKSLGSLSAMRDAIQKKTAAKASSLAGAASSLKSAAPVDDS SDSNSSGSSDSDSDSGSDSDSGIEEAKKKLDAKNAAKKAAATKTQPPAATATNLKKTS TKKPAADTSSSDDSTSDSDSGSDSDKDTKPTKKAEKPSSAKKSTTVKKAASDSSTSSS GSDSDSDSDSDSDAGAKVQSIASAVATKAAHANTALPIRNSPKNEDADEDSSSDSESE SESDAKAVTRVNGTSDNTELAKPEWLTKSDFMLRKASTDNPGKEVSEFLSKSSLEGKQ VWYFTAPASLPITVIKDMEIDLAKAQSGGAILNHKGDSYGLDLEPYTTSSQIQLLIPS KGGEKYNTLNRGIDSTVHLRRIAEFGGSSKVSSTATDQYVRKPKPVRQQPENLKARFT PIGVPTKKPTPFQATKSKQVSDSSSSSDSDSDVEMADASALPPSVLSKKTEAAGTNGK SKRKQPSDSSSSGSDSSSSESDEEPSPPKKKSKATDKAAKRAKVSPVKELVKPTTNSP APAVQAENIDVPSSLGKKSKTKSTPIPPPTVGRTLADTHATPSKTPASTKSKKDKKSK ADDTPKALTQTPIPPPSYGIGN PFICI_14693 MSAPYGQACAGCVKAKCKCVSRGAGNCERCHRRGMECRQTDGIR KRSSRKQPDINHRTSQLEERLNDLVEILRLQQVPGPRPQVPAQETDSQTTVSPSSGNH AASGAYLTPPTAIASLGSQAAIEASPNPAKAFEDDLTPFEAEGILQRFRTGYLTMFPC VYIRSDTTAQQLQQYRPFLWLNIRTVCEKSAPKMHAMGDHIRELLGRKVLVELERDVD VLLGLMVYLGWATHQTRGKGFQARYANLANSLIQDLRLDQPFNPNAGGNCWFPQSKMP TTSPEHTHEQRRAVIGTFVLSSSISSFLKIDVTRWNSHMEESLEKLAADPECPGDELL VAMARAKVIVNDVGRLTWRRTGNDLSTSPSVYVKPLKDRVLAIRRSLKPELVENRALQ SHLYNAEVLIGEMAIFHSATTITPSYNCPFPSALPLPATQSSSQAAGTSSSSSSSSPQ PPPGLRPIDMPRLETLHECLHSIKQCITTIQSFEPAAWPTFPFAVMGHMSHSLQMLYR LSALDSEPDWDAAAVRRDFDVIAVIHRVADTMSRVADAAGLTGDPELGPYGDMFSKGV GTLRATAAIWGSALPPLEDPAATSSSSVSSAAAAAAGPFDAASDTAGLDTMAMILDFQ NDPWLTELYNSWEGI PFICI_14694 MRNLNALFQAKPSLHLGWPKKLDLSALRRQRRAAKDRSFDPVLE RVSSLQTSFSVIEGIQNFKERKWSLFDVQYFILATICLFSLWIIDVHAPFIKSAAVLA YTLLLLMPATSQFFLPSWPIWTYLLYFFSSRFIPTEVRPHIWVKVLPALENVLYGANL SNILSAHTHPILDVFAWVPYGIGHFANPAICSALIFLFAAPKTLPVFSKAFGWLSIIG VTMALVFPCTPPWYENLYGLAPAHYGMPGSPAGLARIDALFGVDMYTTSFSTAPVPFG AFPSLHAGDATLEALFMSYCFPRFRGFFIFYVGWLWWATMYLSHHYAVDLVGGSLIAS IIFFIGRTRYLPHQQGDKSNRWEYDFVEIGEMKKSADEELGYGLGLLERRGTGDSDEW SVGSSTLYSPTVASGSASPTSESRRHSRESSIAGSSPRASHSRQTSQAGF PFICI_14695 MKKAKKAWSRLKRSEHSSKSTGNALQVQGDAGSQPLIADAAQQG APHLESDTSPPSLHEASDHASRIWEQAYKALRIDDSGLLGKFEDLMAYQIATADTADS NKKVPATHDTVSQEDMLKIIASGLDKTEKLAKRLQNAKEITGPILKFKETIGGVISLT GDPIATSACTSVFLGLSLIVEPLEQNEKNRSGMIYILSRLEWYSAMIPLLLDISRSPK RDDNLERLSRSLESGVTSLYKAMISYSIRAVLSYHRQQGYNFLRGALGLDDWQGALKT VKDAEELVERDSEKFNDFAKLSHLKTSAETRERQLNEVLAGLQAVPTAIERQTAKQQE WRMEDEDMKCLRALRGLTVASRDEVRTIESRKEPLLAEACQWVLDTEEYKTFINNDEV DARLLWVRGGPGAGKTMLTMGIMRDITESMERPDADDSVLSFFFAQSAEDKHNKSTAI VGGLVWHLADQKKHLVSHLRAQWDSSGESLFTDSNAFAALSKILQNMVEDVSLPRVVL IVDALDECEQGLDELIGLIANTVQVCPRVKIMVTSRPLSQNNENTLRKCRATHVLELD NISMSSPVNSYIDHKVGELQMEPEQHASIKKRLKQNAGATFLWVALVFQRLKQASPHN YSRILDSTPNSLSALYDEILGRIDAHEFDAQNCIDILKVAAVALDSLTIAELGAQAQL NWNVVPEIVRLCGPLLLIREDKLYFVHLSAKEHVFTHFGGENTGRGHEHMAERCMMVM QQHLKKNVCNLAKPSAEPPWSPWSLSLELDPLLPLRYSCIHWVDHFYLSENEVRGLSQ MVYNFLKEKFLNWIEALSLLQQYVVGSASVEKLILAIKAQTVAASEIMSFLKDAHRFL LFHRQTIEHYPLQIYISALIFSPMKSVIRETYASDIPDWIVKPPSMPDEWDPHVQTME LEDLAPPDDHFHGGIGKVATFPSGGLIACLYSHASSGYQQLDFEEQYKDVTVVRLNGE RLYTCHHHHDVQNIAFLPNGKLVSSTRKNVFVWNRQGQCESSYNLMETRIEDRNKLQK SLEKDSVIHEYFNELCTFLEYVSGSGNDRSEDQFSQEMIVNNEGEIMSSRIVGNILRV WSKLDVMEDPASFDLPRLTHSNAWYEHRLTYNSRVVLWQGYRLFQGVEEDLSRDGGDY DLHVVDLSDKSIISLHRHTAPVYNVSCSRNGRMASASLDGTLNIWDSSGHLLHTLECG SYVTGMSLLENDNFVTLHRESKRDQVYFWSPDGQRQHGCTIKSLWRGEELFPISQSEN EKRPLLGVRCSKEIEVVDANLMQSTEPSIRYCIPIFQTQKVVLFLQNGSIKLVDVNTH STTLFRHTTNYVGLGHLHSQVSPDGKLLCCVEKDLDNHILRVFELLSGQELYTFTTKL WFNSALGEWSAQDSDDCQSMSSRSQITFSGNNQFMAWRTYSGIMRVDLDTGGVFEVKT GDIELVNGSHIALSPDGRYAAIGKHEYYEGCVCFYDMQDGELVQSIPLTFEWDYLSWS ANGHHLIICGLPSHGDPTFIILDTKSLQFNDIRREMEEGRSSFEKAEKVPQEIRDHIE EHHNTAFGFYLSQAIPGTSQRPKFRIVGWRSGWIQRNGEDIIHVPERYYVWPLVRIGG GIDRVDMAGLTREGQLMWFTFSNNESEFQQWRSRLFR PFICI_14696 MAAPATQTNGGSPGRPNPQIQPCRYKVGKTLGAGSYSVVKECVH IDTGRYYAAKVINKRLMAGREHMVRNEIAVLKKVSMGHQNILTLVDYFETMNNLYLVT DLALGGELFDRICRKGSYYESDAADLIRSTLSAVAYLHDHGIVHRDLKPENLLFRTPE DNADLLIADFGLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKSGHGKPVDIWAMGVITY FLLCGYTPFDRDSDFEEMQAILNADYSFQPADYWRGVSEAAKDFIRRCLTIDPNKRMT AHEALSHTFVAGYSTTTDGDKGQNLLPTVKKNFNARRTLHAAIDTVRAINKLREGQLA AAMMDGAKSKEPTPGAPALASQQTKTDSGISMTENRGGQDSGYGGSSNKADSDGDVAM SGVTSVPASLRPGQVVNKVVETSKGLWQAGTTAKR PFICI_14697 MASRAESASHSESTTIPSAQSSSESLWAEALDLLHAEDGERLRL LAAEKITVLDEVLEAAKEKRQQCQDGQWKYKKSDGTVVVLRDVFDKMVKWLTKLQTIG DLVVQFDSTHLTIPWAAIKFFLAISSSDSQNLSAVFDGLEMITNLISRYKIFEELYLR EVSKATELLKQAIIKLYASVLTFLAQAKRYYSQNTASKLWRAISLQPLSTLRSVDDKL GNLLVEGKAHQTELRKALDDLRLTQIHGHLSTLLQDAESKSATFVFLQLQLTTPGLKK RQFLNWISPIPYSKHHDNIRRDRIQESGLWIFEQRQYTDWKQSATSSLLWLRGMPGSG KSRLMSLLVDTTRENDINPQAEPFAYFYCDRNGTEPERGNPLAILRSILRQLCCHSSK LKIHDVLARKYHEYEAEDPQLRELPLAETKGLIIEFLKDSPATIMIDALDECAMDLRY ELLGAINEIMAPHSKRVKILVSSRDNVEDISSRLEKASNFTLEVTPNAADIETFVRLE LDRSIKELRLLNGQVSPELQDTLIQRLTHGAQGMFLWAALQMQNLCSPRIKFEADVLY ELQKMPKRLQDVYTDIYEQIQTIAVPSRRIATTALQWLLCAKGRLSSEDFITAVSVSE TDTSIRLSAAQLLDICGNLVVLDTDLNVFRFAHLSVREFLEGKPEYAPKLTHATAADI CLNTLLTREWLSSEVTWQKATLYRYVTLYWASHIEHCRKDRDKGKIGDALQLSARDDR VTPWFAKWLLQIESASITLGWDDPLKEKIEQSLCHPETCFFTACAFGFLKLVEHITRI NPSVTRRKNARGATGLHLASQFGYPEIVRVLLERGAETDTRDQQMETALIHASSTGHE DIVSLLLEKGADRSVQGKRFGNALQAACLHGHEAVVDLLIDDVDIEAEGGQFGTALQA ASLRGHENVVQILLRGGAQVNALGGDYNLTEEISQGEPNIPSRVDRALEFLFHKQALL EEKKRPPLSHALFRELTIGRLLDERIDINITKTGFGSALQAAARGGHEKVVHVLLVAG ADVDVEGGTYGSATQAAAVSGNEEVIRHLINSGADINVQNGLYNTALQAACRFGNETV VRMLLDYKADVNAQGGVYGSALQAACRAGSIRIIELLLHHGADTNSFGGTYCTPLQAA SAKGSLRVVQILLNEGAKVNVRGGQYGSALQAASRGGHLKVVEVLMANGGRPEASLQI SAAAGHDKIVEFLLDQGVDPNARQFEAFGSALEIAVAAGREDLAHLLCERGAQADDGQ QYFGTALQIATSFGNKKLVRLLLEHGANPGEDGGGFGFGLEIAKLLQGTSDKADTSSH WWDGPLGLASAAGDEEVVQLLLEKFTKSTDRFSFEQALRKAAKNGHLSIVELLLDYQD EIHLAEEVPLEDACAGGHTDIVRFLMDRYADAELHLDESLLEAAEANNMEIARMLLLR GADVGRDHHFAGTVLHMACCNGSVELAQLFLDFGAEVDWRGDAEVTPLMLACARGHDA VVQLLLDHGADPDLLEKQFHAPYRIYKERDFGKPLLAAVHGGHETIVHLLLDHPRYDK NGTTDQDKLIDTCVKEFGTALQVACLKGYTTIIDRLLNQGARTNVCGGQYGSALQAAC WKGNEEIVQILLGHGSDINIRGGMYGAPLQAAAAGGHTNLVRLMLGAGAKLKLPAHGK FGSALQAAASLGDLEIVRCLLDHGADVGGKDEHGQTSLHAAAHGGHEAVVKLLLDRGA NVNDKDLRGFGALRWVSSHGYENLVGLFFSKGAQRDLRDDWFGWTAMHCAAHNGHLSV LKRLLEDDFEVATKDNNGKTPLFLAAENGKHLAVRLLSNHGAAVDETDSFGRTALHMA ARGGHKSTIRVLLQNGAEMEARDRDNNQTPLHFAADYNQYEAAYLLWINGADLNARTA EGDTPLLIAARNNATSVLSLLVIKGADIHVQDMAGRSALHIAAIRRNKEAVQILLDNG ATVYDRDEAGCTALYLAVQDVRGLPERLPIEGVMLVLLGGRSDVNDRNKRGRTPLHAA VMAGEKKSVQILLDRGADVSAQDEDGNTALHLAFSLDHTHLNELLVARGAVPDILNKH GEPAAAIARQDLSWYSD PFICI_14698 MFRPAIRLPRAQSFPWAGRSLMFVRPVRHQAFDAAFDQDDLAEA RKWYSSFKENELPKGQTSYSRSSGPGGQHVNKTETKATTVWAVHELTRILPKLMHPAL RTSRYYTSRNDSLTIQAQTQRSRTANTDENVQKLVEEIQRIYRESVPGVTTAKKIKKY EAL PFICI_14699 MTDNPELVATADLTPQSPKPINLSSPASVPALQDQADTLSTMAL SPSEDLVTVDVTSIASQSINGIGAEQTHTESDANTSSENVDLTTVDTTSHEVSAQESG EQEDDEDQYAKDFDSPVPDAIETSQDGQAVSTNGVVANQDTFSSEISANMPSIEQAAP STSAPVAEPNGINTQASTATSALTGPGIPTSLEEPTGPRIADGDGIDIQALVDTITAR NTSAVPNDQPEATPSVVNPDVASSEVPQSLPPKPPVSQQPPALDVLPQELHKFQPMSN GTTQNMVSAHGLSYTHPPNGAPVPLGYVAPAVFPPGVPGQQAGPYDAGGTLSHNQSQR YDEFLKEERKYVSEAKWDRFPEGSRLFIGNLSSERATKKEVFDIFSPYGRLAQISLKQ AYGFVQYHTVAEGQAATDALQGIEISGRKIHLEFSRAQKKDGDGDRRGPRGARTGSVQ QNDRDRTRFDGRRNDGYRARSPSPSRGPHSRQGSYGRAERGQWDAPSDYARRDRSRSP MGYGGGHYRRRSPSPYRRGLPKSEADLDIQRRYGADVPDVQLLLLQEVNRDFVAWVQG AFAERGLKVDVMFLDPRFPRDLVIQRQIVEGVHGVTELNYHSQSMAKIPLQMFDRSTG RHNARFDQYQDLDPKIAAEIVVRAKAQSHSQSQMQAPASYGNNGYYPPAAYPAPQPMA QQMPPHMASQYPGMPGQVHPSPYPGSVDNATIQRVLSAFHGNSGAHVPSQQQMAPGPG VDVNAVLHALGANGSVPPPQQQQALTYPPPPPQGHPGQGHPSQGNPTDQAYVQDIMAQ LSRYRQ PFICI_14700 MALSYLLLFETGLILGVGAIIYKIIYNLFFSPLKQFPGPLLNAA SSLPYDIACARGSAVRVLTKLHDQYGPIVRTRPNELSYLEGYTWRDAYGFRPGHAEWF KGDSFSYPNGSPGIIGAPREDHRRIRRSLAHVFSSQGLRDQSPRILEYADQLLAALAK HAQSGPVDVVQWFSWTTTDVIGDLAFGEAFGALKDEKQHEWMSLSFKLLRPAVLIGIL ERWHIIALAAILLPSDVLGGVQDNAKYISEKVKKRLAYGKDRGDFFDRLLKNGLVVDS EKGFRHTADGQEGFTVEELESSAQDLVFAGSETTATLLSGTVYFLLQNPQVLDKVAAE VRTAFSKEEDITVASTEPKFLPYMDAVLNESIRIYDPVPTFGPRVAPKGGDTMNGIFL PEGTRVFVPKYVSNRSPLNFARPLEFIPERWYPKGQGRPEEFDNDNPTGAWQPFSYGP RNCVGMNLANAEMHLILAKLLWRFDLCLPNMTEKEKHEWDHWVERQDVWFLWVKPPLF VDLKARQ PFICI_14701 MASPSFRPRYPAREVVIARESAGESPMRSPKRRLPKRRLPKRRL PKRKRVSTQDHDPRWDDDARRDRDHQRDHDKLRKPWHRFKAKFLSSIQWGFERFGMSR SLKGIVIVPSLAENMSGSTIFEVESSRDAKL PFICI_14702 MASDPAVKTGFWTNYEPDGKYSESYLWTVSEIWGTIFKVLLGVA FGIAWPWAKVILQRTWLWFTLSWPWLKRKWKDLWYGSGSHDEEEPLLERPPEQQDQSP KRRGLMRWIPVEKADLVLAVITALVAFLYAVAGGAVGEIPADTLGRTYTPKSACGPWD LKRDVDRRVKDFDKLVLAQKEARAAAYGRDCYGSHSVYTPGRCDFFESPQIEYTVEKV DCPFKCLEDRCICATGSYETALRLSTGSFSADKLGLNGRDLPFIRRTSTFVPLDINNG FVVETGKLEYRYDLGPVYTSEGYRNYTFDTWGIPFNWDIAAYSVSVYESTPFPEYDAW QPIKELARPENTYMTAIFISPCRIAYNGRCEDPIFLATDDLGDIGLPNKFYREDTRAR VLIAIDEMEVCRQKDTDCYPPSESNPEFGIEYEFVRTALRRSSTFQSIENRLGEALVA SESISDFESPQLDKEQWIIESQALFNTSLARLQFNMLDMATGNHPTGAAAGGFESAYE NSTPAWAKRQMGRMCDNYKFHMSGPYTNISIPYAVALLLVPIVLFVLSRNTKAPLDDN DPPRIYGNYMVFDRIIWWICRGAKWARSIFSKGPSESQESRSQESQSQEAEPHDQSSS QA PFICI_14703 MSIFRDGVAIIGAGIAGPALALALHEKNIPCRLYEKRSAADADV LSSGVVISPNGCKVLDELHILERISSRSYRAETTVIKNDENETVRKVEVAPERLYGYK YHRLYRLILLQEMKTMMEQKNIPVIYGAKFESIVSDTEEGVEFIVNGRVERAAILIGA DGIHSSVREHVTDQIPQYTGLVCVYGHIPTSSVEWPDDDFKTGCTIQGKGDAIFMVPE TADGSDLMVGIQLKHELRDRAQWDSIASDNTQLTALIRQSHGLWNGVGKTLIDQLCKQ DKLLCWPYFLMPKLPSWSSATGRVTIIADAAHAMPASSGQGVNQALEDVYSLARVLAR ADGSSKDLPRDLGIWHAWRQQKLDAVIQMMNMTNQLRTAQGDKACPATTPQALVQWIN GLHLEELDALLNAP PFICI_14704 MRFAILSLALAALVTAAPVAQGPAPAPAPGPGPAPAPAPVPSPP APFPSPAPFPSPAPFPPPIPTPFPPVPTPGPPPPPERRQAPGAPAPAPGPGPAPAPAP APHPSPVPSPAPFPPSPSPPPPPPPTTTPFPPPPPARRQAPGAPAPAPAPAPAPAPAP APGPGPGPEPIPSPAPFPPFPTTPLPPPPAPTPAPPAPVPSPPAPAPPPAAKYFFA PFICI_14705 MMLSSPCRQLSYGAPSRVYRRCASSSSAAAESKKENSTVGSEAV TTPLDATSTATATLDPDVALSSTLNPPASTRPPPLNVPTRDPEASLFSYLFSVGKTYY AFYRAGLKAINTNRKLLNEVSNSLDAPASLKDSSDTKVRPTRAAILLRERTRHDLSRL PVFGLVLLVFGEFTPLVVLAFPKLTPYTCRIPKQIEKLRSNAQERRDASIRNIRHATE PSALNKLAPGHIVRCLDLANSLWDKAGIDPPFASAKAEKAIGRIVTDDAMIRDGGGVN ALEPDEVVLACEDRAFDVRSADVETLRNKLSKWIEASTKAEGADSKAVVRNMLIGLDN ETK PFICI_14706 MASIERVHTDKAPKPLPQFSQAVKYNGMVYCSGNIGFDPASFKL VEGGVKEETRRALQNLSAVLEEAGSSLKNVVKVNIFLTTMDNFATMNEAYDEFFTQDV KPVSVQE PFICI_14707 MGDTIPTSLAEQISEKTSGFIQSSSHRDLLDIVDSLRSNGVSHY VDLPQIIVCGSQSSGKSSTLEAISGIPFPTAEGLCTRFATELVLRRGDKPSIKVHIQP AANRTEAERINLAAFAETATNEGEFHQIIESAKGVMGLSEDGAKRFSSDVLRIESTSP MAPNLTLVDLPGLFGASDNYQSEGDAEMVQNMVTSYMKQRRSIILAVVTADNPFANQP VTKFARDIDSAGSRTLGLITKPDRIDKGSDTERYYLELAKNQNVKLTLGWHVLRNKGH TTASDTIEERDERETEFFQNSVWNDLDPSQLGVEQLRGRLRTVLWNQIQKGLPDVKSD VQSGIKDCKQKLEQLGDARSSRREKHTYLHEISRRLSVLVRAAIDGVYTGSFFEVYPN QEDTFDRRLRAQIQAILGRYSEKMSKDGHALEIVDDCQDPLRSSKFMHREEYLDIVKT SMYECRGRELPGTFNPMVVADLFSRQCKPWEHITHTLVEQVHEAATKTFDKMVSEICD GNTRDRLMKGLIQPTLSQLRKEVRDKVDELMKPHLAIHPITYNDDITKGVQEIQTKRH DRYFDTLSMKVCGETDATATEKVSGTYLKALLVGLKSGTRPNVEEDTASLAADVAAAY YEVFNSTIALEKFVDDISVNAIEVCLVQELPEVFSPAVVWDLTDEEIEQLGSEADWTI TERAELTKKLSVLEQGLRDLDAFTARSGSHKTLARRSRRD PFICI_14708 MECDKATVDEIRPFGTARSTVAEKPLSEEELKKYDDFFKASLYL CLGMIYLMENPLLREPLKKEHIKARQLGHFGSAPGQIFTYMHFNRLIKKYDLDAIFVS GPGHGAPAVLSQAYLEGTYSEVYPAKSEDPEGMQKFFKQFSFPGGIGSHATPETPGSL HEGGELGYSISHAFGSVFDNPELITVTMVGDGEAETGPLATSWHSNKFLNPITDGAVL PVLHLNGYKINNPTLLARIPHQEIAQLFKGYGWEPYFVEGDDIESMHQAMAGTLEHCV KEIKKFQKQARDSGKPFRPRWPMIILRTPKGWTGPRKLNDHYLAGFWRAHQVPITDVK TNPADLKVLEDWMRSYEPDRLFGQDGRISKELQEAICPAGNRRMSANPVANGGLLKKP LKMPDFKKYEIKVDQGGAVKAGSMKNCANFLRDIIAANPTNFRLWGPDETESNKLAGV YEAGKKVWLGEYFEEDSDGGNLSPFGRVMEMLSEHTCEGWLEGYVLSGRHGLLNSYEP FIHIIDSMVNQHCKWIEKCLEVEWRAQIASLNILLTAVVWRQDHNGFTHQDPGFLDVV CNKSPEVVRIYLPPDGNCLLSTMDHCLKSSNYVNVIVADKQDHLQYLTMEEAVEHCTK GIGIWPQFSTDTGEEPDLVMASCGDIAANESLAAIDLLLQNFPELKIRCVNVVDLFKL IRHDDHPHGLKDPEWSALFTDDKPVIFNFHSYPWLVHRLIYRRAGSHNVHVRGYREKG NIDTPLELAIRNGTDRFSLAIDAIDRMTHLHNRGAAAREKLKNAQLAARNHSYEIGMD PEYLSDWKWPQQKGLLERNKERLEKLNLTS PFICI_14709 MGSLNNILSKLTEDYGTTGITIFTIAVTVMVMAIWMTAQWYRLS HIPGPFFASLTNIWAFRASMSGHYHVIVRDLQEKYGEIARIAPNDVLIADPDTLWKIN SARSVWGRGDWYGSIRFNPQGDTVFTETDTAAHDKRKAKLISGFSGKGLVNIEGNIDI QLGILVDVLKRKVSGGNGANILDLGRLLQLFQVDLIALAGTGQSWGNLITDTDHYGYL NIGDDLLKFTQSSAMIPSVRHVLFSPLFLRLFGQDTRTGWLGALKTAVKRHTSDINDE KPRDTMLAEWLKHDLSPLQAELDLSIQLLAGIETSIYTIRGTLLYLMTAPRVYNKLKR EIAEGIQNGRISTPIKGDEAKNLPYLQAVISEGLRISTPGTAGFPKRVPPGGEVICGK MLPAGTDVHVNFAALMTSREVFGDDADVFRPERFLEDDEETNTRRRKVVDLNFGFGRW LCLGKVLALLEMNKIFVEVS PFICI_14710 MDNSLMIGIGGDAPMMLGLLWGFTSVAFIFVVLRLYTRIKVVQA YGLDDHFFNASFVSLLIYDVMLTIASYYGFGQDMTGIIAAKGTVDGMENITRAILYSA IGQTVLVFGTALSKTSLALFLLRLVTTRRDQVAIWVPNFFLATAIVASLFVFWFSCVP VAYLWDRRLDGTCPIDPGHISTYAGCWSVIVDFFYAAFPWWLLWNLNMPRREKMIIGI SMSLGVLAGACGIKRAIELKNLGSPNYLKDVVGIIIWHAAEFCTTLVCVGIPVCRPLY RDWFGTWMSGRRSGEDLSASGNSGWMKHKNAGGGGGGVFAMHTIGGSELKAQNNTNTS DRYERRDSRATDEQLVLRSDIHQSKQDAFAVGAAERDSGSELSILGPEHNQNASIKVT KEFQVESKTP PFICI_14711 MNGNDKNATAIVGSLPQELKNTPTLLVLLVLVPIITGLLSYIVD YFSSPLRKYPGPFLGRWTNLYRMYHAWRGSMHLVSKDLHDKYGPVVRMAPGYLDVDYS SLIKTCFDVQGVWEKTEWHGVSGAVVDGKLFYNIFSETRAAEHARIKKPIAKYFSPSG VAPLEPHVDAVLASLCRILSDRFAGDGKFGDAFDFGKWVLYFAWDVVAKTTWSQRVGY LEKGYDFDGSLNVSEKAMDYLVTIGMYPKLDKYLDKNPYVRIGPPAFGSITNLGLGHL MARMKGEDKHDPAKPDFLDRYLEAQQNNPEVVDVYRILSYMLVNLAAGSDTTAVSLRS IFYLSLKHPHVYRRLEAEILDANFSKLPASYAESRKLPYLEAVTRECLRYLPGNCFAQ ERYVPAGGLTLPDGSHVPQGTAIGFNAYVLHRNKQVWGADAEEFVPERWLPAAGESGE AFEARLQAMNNADLSFSAGSRKCIGMNLGKMEVSKSVATLIKLFAFELADPSKEWTIH NSIFPRQSGVLLKLKARDGTSEEVAAMDVDY PFICI_14712 MDEDSPKKGLNSSSIHSSITRVEESDQWWGHPPCVCCHSDRGCR QHNPAQEPTYYNTLLPPKLQGSRSLCRLLDTIWSIIQGTALPKEALETPDTYTKTEPL FFSSLPFDPLSVNTLTPIHPSMPYHLAFYHLDCIRRQLDVNVPIAFIPELSCSGIAHF YNNGPEYAWSSEVYFENGSFLQKQEISYVVEKGFNIKDIEFTECPHITFTVGRPSFVE QDGWQVAKSTIRSTVNGQPYCRSWTSIDGRSSKMSRCLVCHSDHEQILEVIGRQLHVR NTCYRDLGFGTDRCQPKWTALLTGMGILQQRNYLGHDQVFGRVWRTAKRLGRPNLHVV IHVSNHGEYDVSAFKDIDHEHSGCC PFICI_14713 MAKHPKSEEPNETGFALVNETDKPIYEFFSEHPEKAHRFANVMR ALSSRSDLALRHTVTGFDWAAFGSGTIVDVGGSEGDMCIAIANAFPDLSFVVQDLAPV VDHGKKRLPVNLAQRVKFMAHDFLTKQPICNAEVYVLRLILHNWSDKYCVRILRNLIP ALKPGARIVVIDNVAPAPGSISNWAETRLRNTDLIQKVMQNSHERDLGGWERVFKAAD PTFEFLEVRQPVGSTLWIIVAQWR PFICI_14714 MTKGTGQRLYRACTRCRTRKAKCELQELDGRKQPPCVKCLREGA SCVLAGSRRGGDFSSLRRPRRSETAATQTRLPQAENLDEGRTPVEGNLQNPSDALLIL ANAANEDGTEATSPESVFSRGRSSGFPASLQQNANATYAPLERGMLTLELLHHLLDRY SHHYHSFLPIAPRSVLQLNSLPTTIEEDSFLLTAVLVVASQDRPDLVYFHTSIWQYMR QLILDLVFGAKHTQSVGCVEGLLILGEWQGTSILESQKDSNGEGASWSIIGLAVRLAY ALRLEESSFKGTRTPDDQMLRSRLAWTFTYLSDRQISIRMGQAFWCRGPALSARFTAA DFPSLQPKFSYEEDLSSLLEAQVELTTLFGNAHDILFASKSRTAELMVRGDYTKYIDD TMKAVAAWKRCWSAISASRHLKACLMLMYQYLKLYVNAFAFQAVLYRASLRKNESGRL TFPASAMASPDARHIYQALEAAEDLLKTVIDDIDPEKGLRFMPTRFYLYEIHSAVFIF KARVAGAISNDDHDRLVEMMRRFVSSLDRASTGERHIASRYSKLLQRLWFEETASAAH GSTDPHTSHQDVLSTHTQLNPPLGNGADTLTTTDNLGLQYFDFMDSTDGLFSMPLIPT MEQPMFDG PFICI_14715 MPGVVEESLRQQPLPVNGGKASFKGDKYGKYHPADPRKLDLESN FGPMDPNTIGYLQPTSADTPIDIMRERFDRDGYLHVKGLLPVEPVLKCRAAYFSHMSP SGLLQPGSDPISGTYSGANPRKYLPPGNLRRLFGLKDDPESDKYVELMISAHEADFYI NFCKIPELREFVSKFNGWSNPTMLQRTMLRAFVPNSELTPVHFDQMYLRAGPPTSLTA WVPIGNISLEGGGLMYLEKSTDIGKKTEDGFAANASNLTDEERVSAFNKNMHDGGFLS RDTVAYGKEASRKWLMTEYEVGDVIFHNPYMVHASCKNKDPNGAIRLATDLRFVDSEK PYDTRWMKVYRPLDGL PFICI_14716 MALVNGVLVAMPPPDGYIVDFDHPQRNSDVAAYWIFGVGNALSL LSILQRLYVRVFIMKKLQMDDAFLLVAYIFSVVLQCLIIRDFARGIMGTHIWEMPITK FYQFLKDLYQLPILYNPIQCGAKLSLLLVYQRLAPLKWYKLTVWTTAAIIVISSTVLL FITIFPCQPVQAAWDLTITDYQCVNRQAVYKAQAIMGAITDAMVLLVPIPVVVSLHIP RRQKFGLVCFFGIGAITVFTSIMRLIQLIKSFDTTDQTWGGGVVLLWIFAEANLSIIC ASLPTVKIFIRHVAPRLLGTEYAKRSYPAGNSTPNAMPTIGGTGEQKQGLSVDKYGWY EDGSAHPLDTIVDVEGGKHGQRRQESPDEQGTDGWDDLGDGGSERGIVQTRTTTVSYS K PFICI_14717 MAVAVPKSLDPMVTLLQNEGLGEQIVAPTDAEYTARLDSYWSNS AKLKPACILRPKSTADVAAAIKALASREQSFAVRSGGHTNWAGSNNIEGGVTLDLGSL SAITVSPDQATADIGPGARWREVYAELHKSKLAVAGGREGNVGVAGLLLGGGNTFFTG RHGFACDNVVAYEVVLADGSVVTADAKSNEDLFRALKGGSNNFGVVTKFTMKTVKSDQ VWGGMTFYPKQTIPQAIDAVVSFAGKVNDDPDSNLVCIFTYMSEFKDVVVATLFNQIQ GIEAAPAYDEWRAIPEIMNTVKKTTISEMAFEYNIPANYHAIWFTACFKNDKRIVTKA SELHDQLVEEIKKVAPDGDFVTQCLWQPLPKLFSDQSVKAGGNIMGVERQTGDGLLFL ATAMMKTPEQEAAVHPKVKTWVNEVKSYAASIDGNLEWTYLNYADPSQDVLKTYGVEN LKKMKAVAAKYDPNQVFQKLCPGGFKISKVDI PFICI_14718 MLYNRARSIAGGALFASFIAVANAATHELLVGTFGTKSLYTVEF DDEALTLNLVANTSVPAAGSWLALSHDKANLYATAYSTSGNSSSPAFVSYSLANATSI THDATIPSGGNCTATAIFVVADPNPPYSVYGAFFGSNAGCGTVLSVDETGKLDAAIQN YTYFSSSAVHGTAFSPDSKFLYSADDSGNTLWTHSIDQATGEVTLVANLTAPSSSAHP RHATVHPNGQYVYVVLEGSSQIAQYSIDDATAGIPSFTNITYPLLESGEDASNYWADE VALSFSNNYLWATNRGRSTNATGYISVFSLDADGAILSQNFLVPTSSSGGAANSVAPS PFTDRFAALTDSSVGFLEIWELSDDGGSAQVVAHLDLNDGGCCANAVWYS PFICI_14719 MAQSSFSRLFLCCLYLFTFAGAGLVRLENNGLPEPRDQLPDLVT WDKYSLSIRGERLFIFSGEFHPWRLPSPGLWLDVFQKIKAMGFNAVSFYTYWGLVEGT PGAVRFDGVFALEPFFAAAAEAGIYLIARPGPYINAETALGGYPGWTARIRAPLRGDD AEFVDATEAYAAEVGRLIAEAQITRGGPVVLLQPENEYDTWPGVNNSDFPAQLNRNYM EHVKQQFKDAGVVVPQMVNDHLNQGNWAPGSGLGETDLYGIDAYPMRYDCAHPDVWPK IRWPEGWQTSHQQYSPNTPFFVAEFQGGSGTGWGSVNQDYCNALVNQESVRVLWKNNY SFAIKLFNIYMTYGGTNWGNLGFRGGDSSYDYGAAIKENRHVWREKYSESKLEANFFK VSPAYLTSVAGNASNGSYVSTDQIATTPVFGTDAPTNFYVIRHADWTSLNTTNYKLIV PTSIGNISIPQLGGELTLSRRDSKIHVTDYDVGGVTLIYSTAEIFTWAQNQGGKRILI LYGGEGELHEIALDFSNLDRVPICTTDTTDGKHRQTRNTTFIYQWTVSKERQLLHFGD ELEVHLLWRNEAYNYWAVELPAASPISNYSSPSKSSVIVNGGYLIRSAEISEGLLSLT GDINATTDIELVFDPTSTVETLSFNGVQIGIEESDDGRRTSHIEYVPPDLALPDFASL DWYYIDSLPEIQTSYDDSEWVTCDYTLTNNPQELVTPTSLYASDYGFHSGSLIYRGHF TAIGNESSLYVNITGGSGFGYSVWLNNALLSSWDGSGVAQGNYTFESTISLAGHSLSA GSQNVLTLLIDHMGQDEEAPGTDGIKLPMGLINYSLSGHAQSDITWKLTGNLGGEDYQ DLARGPRNEGAMYAERQGYHQPDPPVDDWAVASPFDDGVQGAGVGFYTTTFTLDVPDG YDVPLSFVFANTTTAANYRVQLFVNGWQFGKYVANLGPQTVYPVPEGILNHNGLNTVA ITLWSVDGSGAKVDGLSLEAEMPLWSGYRKPSLVDSPAWTQRDGAY PFICI_14720 MSASPSNPDTDGAPGSLELLSIWNQSSPRVYISVILCFPLDNGF VEDAVDRIAASLQRLGRERPLFASRLQAANTTQPGHAHLIQSPEFSIPFEVLLNPRDI PGDYEQMRQKGFPPGLFIKPRFNIPGVIGTATDPLPVCRVQALVIPGGLLLVVYLHHS LCDGDSLRIFLECLAAETRGDAIDRPSEQAFEGPRSRRLTNGNLSSLVSRCPEYTILP NFNGPTQPRFSDFGTPLDSIPKIGKIFVFKKSRIAELQTILQAHLRCRKTPSKYTCLA ALSFAHIIKARLRAEKFLPLTEEKGSAKLWNSVNWRSRAFQHLTEDYFGNAALPAVTK ITKKRLLRACDDVSTLASLVPLIRASINAVDEAYVKRRLAMVSALPDPRLIGVNYDPR MSHCLAFNTWRHFGADAVWDIPGVPVEKPDAIRRAHGSWNLGTALLLPARANSDTQEL FVSLSQAAMDLLCKDEGWLRWVDRIIG PFICI_14721 MTRYVTTFSILAFTAKVVLARDTCLSTASWSNERVDLFAVAPDA HIAHKFWTGHDWQPFNGLERLPAEVQGCPSVLSWGEGRLDIFYVNETGNNVLHKYFGG GSWGPSWEDAEDIGGHVVALSSASWGANRFDVVGKTNNGSYTHKAWTGESWFPSKTAW EDLRGNFFSDPATVSWGPGRLDIIGLDANSGSLFHKYYINGWSEWEDLGGGPFVGNPV ATSWGEDRLDFWAINSDGELFHTYWDGHSYSDWESLGGEFTDTPKVVHWKPERIDIVG RGLSSDIYHGKSFDGSQWLPSVTGWFDLAGPFDSEPALVSKRDTNFLYVFGVHDHDVR LQIWSGSDWQPGHSETWSLGQLSSAHSEIRIYNDRDQSVLGGIES PFICI_14722 MMSMRAIARSAPRGIARISSTALRQPTIARSSLVKSTPWAPLRS TQFTSAFSTTLYRRAPAGEVDEELSAKLDSELSYENELKDSEPTPASVKDFLENSPFE LIDTAGKQDVILKRKFGNETITVSFSISDLTNYEPDLYNEDDAMTDEQFENPESQRAA EEEAEDPTGEDTDAAPPCRLNIVVEKDGKGALNIEALAQDGQIMVENFYYYDNAKFAH SENAEVTHQAQDAFPGPPFGTLDEDLQILLERYLEERGVTQALAVFVPDYMDLKEQKE YQAWLKNVKAFIDA PFICI_14723 MGVSEIQANVAIGLLPLLKSIQRPTELKQHAGETFAVDAYGWLH RGSISCAIELAQGKPTQKYVSFCMRRVRMMQHFGVTPYLVFDGGYLPSKAGEEAHRKQ RREDSRQAGMELLKAGKPSLAHKELQKAVNISPEMARNLIEELKKLGLPYVVAPYEAD AQMVYLERQGLVSGIVSEDSDLLVFGAKRLFTKLDDHGQCVEINRRDFAACRDLHLVD WTDAQFRHMAIFGGCDYSSGIKDFGLKTAYRMLRKYKTPEKVIKRIQLEGKLRVPSDY LKEFQQAELTFLYQRVYCPNAQEQVFLTPLPEGVNAEDMPFIGAAVEPATSQAIARGD VDPITKEPIILPLSPASRKRRASSSVEESQNAAIPPKPIDSYFKKDRRIPLGNMDPNC FSVDTQRVATMTENGQRPIVFPLPRPYVEDTNGRPNNAARPYTSQNPSSGLIRTLRRR TEPIESLLVDMGANLSPAPRRQTLGPTHRAATVPPRPGLSSRPPKKARLCDDGNVDAQ PSKEVSKFFPSGQNQSTAPSKSEGYLMSDDSIEDVFRDLPDMDEWASPKKGKQILVFE ERLHNKEHDIPKDDESEITKKTSPSEATASETPLQPTLRKYAFNGSSSSSTTNMRRSS QSQPTPSYSVQSSAARSTPGSLTWSASTAATSHATPSTPVMTPLQRLGARALGGKKPP PTPTFAVPKPVKRRSGGRRSLDDLPVNPSFVPLPPVDVAEVEALHQTEGSEDFIVPES ENEDEGQENVGKRTTRSTRLDLSRFLFA PFICI_14724 MGTSLEKTRKRIAKKKGPIEAIHQYSRDSKRLGRAQHRDEKLGK VAAARKRNDQPWLDRAAYFQEAVKQNQGKPLQLETVRDLIAGFVHQYDDELNDLKKAR RPGRPASTKEDLLKVKINVLEKEYQSGFLTPETTTEEDALRLERWEGSWAYLTGMKWV RITSDGNTQPSSFPPRGS PFICI_14725 MDSDEDLASTLGSEEDFNLQDDSDDAEDFDAFDEDPDDEPDNGS VKESKKRVAYDISFKVHTPDDIMAQQRDMMDEVNMILEMQPEDAAILLRYFQWNKERL IEDYMDNGAKVLEAAGLRSTSENLPKLETLPDFMCEICCDDDDGLQSFALKCGHRFCV DCYRQYLTQKIREEGEAARIQCPSQGCSRIIDARSLDILVTPDLAGRYQELLNRTYVE DKDILKWCPAPDCENAVECAVKKKDLDKVVPTVACDCGHRFCFGCILNDHQPAPCELV KQWLKKCADDSETANWISANTKECPRCNSTIEKNGGCNHMTCRKCKHEFCWMCMGLWS EHGTSWYNCSRFDEKSGTDARDAQAQSRKSLERYLHYYNRYANHEQSARLDKDIYVKT EKKMVQLQTASGMSWIEVQYLNSASQALQTCRQTLKWTYAFAFYLARNNLTEIFEDNQ KDLEMAVENLSEMFEKPVADLADPKLKVVIMDKTAYCNKRRVILLEDTAENLANGRWT FNLDLKPTGARK PFICI_14726 MMEKSESTRANSPNGEVRVVNVRASSGSDTEVHDNLAKQEEKMS QNGTTTPPAAEVVYPHGMQLFLIMASVMLNLFLAALDQTIVATAIPSITNEFHGLEKV SWYGSAYFMTFAGTLASWGKAYRYFPVKWGYLLALFIFELGSLICAVAPNANALVAGR AIAGVGCSGLGSGAFLAVALAAEPRRRAMLMGILVSNYGIAAVCGPLIGGAFTANVSW RWCFYINLPIGAVSALTTLFSFRLPIEPVKAPLREKLSQLDFGGTIILMGAVISFILA LQYGGQTMPWRSSTVIGLLVGFVVISIAFFVWEIWRGERAMIVLRLLRRRVVWVSGTF QFFFAATYFISLYYLPVFFQSIEGVSPSESGVRNLPLVLTLGVASIASGLVMSKFGHT MPVMVVGGSLATIACGLFYLLDGNSPSGYWIGFQIIGGAAWGSTWQCGVATVQSASDM ADLPLVTSLVLLFQSLGGTLGLSAAQCAFDNKLIETLAQTVPGIEASVVLATGVTDIR ASFAPDEVTGILLAYLAGLRVVWAMCISFGGCAVLLCFLGPWKRLHQGGAPQKSAESG DEKTSDV PFICI_14727 MEFEALPTPMDSTSSWDPPPVQGNSSRRRKIRKGTHSCWQCKRR KAKCIFSTAADDVCVGCRRRGLSCTRQDLPEELSNSKTNRPDLDERLDKVESLLSELN SKLRKDAPLAKDDDGSAGESIPDTTVALTSNGNPADFNVGAESSDPFLRGESHQAITA SLLAAWPSPKDTESLLRNSHGTTLYCHHLNAGHHCRLTEEDWVTKPAKLPWVPGPEMH PVVLARRMLCCVLFLQSPCAAEKYMFSVPIRTIMHRMLTAVVGLVNGNDELHGSLESV ECFMLESMYHMHYGNLRKSWLVNRRAMLLAQMIGLHHRPMPPIQSLDPQLASDPEAMW SRIVSQDRYLCLLLGLPPGSSNRCTNGPMTVPRSYCCTSRKIEASLHNIGAKILERNE IRRLDYSQDLAIDAELLQLAYNAPEGYWSAPQMDGISAGSFADALAVNTLTRQAMYYH LLNQLHWPYLLNTLHCEGHGRSTKHEAYSATTCVHASREILHRFILYRNFNSASQCFR PVDFLAITAVLTLLLAHSDIYYSSLLPEGQGKRTDYLAHQRLGDRLLLDQAMQQLETV SQYNRDATSIGRLEELRSLIRLKMEATRENNFIRIAAKPQERGSEQPQSSSTRTNEGE LQFPAPYFGTIRISQGGTIFKTFSQATATVVGSSYDFDSSPEAAVVPASSSEVNDTSS GSGWNWDFGSESLNISAAAGSDKWAFQGVDAAFFDTLMMGRMPGEERGADDFST PFICI_14728 MSFRAFSSLPRALGRRAAPLRAATRVSQFHSSRPLLVSQGDSLP NRDFLVENSPGNKVNLADEFANIKRGLVIGVPAAFSPACSNQHIPGYISHPKVAEIVG EGGKVVVVSVNDPFVMKAWQDQLDPAGDSGIRFLADPTGEFTKALELDFDSVAIFGNR RAKRYALVLEDGKVKSIHVEPDNTGTNVSLAENVLA PFICI_14729 MLRLPYITVLMALILVSEAHFTFVRISVNGEWHEPLRFIRNKTA PFTEPWTPNTNRNERIYNEPTYATDLAESTRCGRDNMAYAAATEVLSLRAGDTIEFAH TRFDPVAWVDAMWYDCPNERGSCAPNRADQIMDINHPGPVMAHLSRVPDGMDVREYDG DGDWLKIYTLGLEWMVNQTDPIRWRAYNNGGLPERFIFKIPAQTPAGQYLLRMDEVNT GLEEHNAVFNSTSPAQLYPSCAQIQVESDFTDPLPQGIKIPEALQHTSPGMSSTLSMY RFQSLDADYVYPGGPLWDGVNVVQDKPVALNASETL PFICI_14730 MPENSQVRRWYHWYSPSDGPEERKLIAKLDLLIIPYAFILYWVK YIDQSNINNAYVSGMSDELNFEGNELVQFQTIFVVGNVVGLLPFIYLFPRVPMHILVP TLDLGWGIFTLLQYRAQSYGEIMAYRFLVSLFEASYFPGVHFVLGSWYRGDEIGRRGG IFYVGLTLGTLTAGLLQSAATTYLDGVHGLAGWRWLFIINAIITLPLALIGYFIWPGT PAKPNRLVFKEPELELARSRLSKTGTKVQSTPFSLALLKRIFTNWRFYVIVLWDIFFF NSGANTAAFLLWIKSLHRFDTATLNQLGTISPALGIFFVLFINFSADLWIGRAAAITL ASAVNFTGLVILAVWNVPESAKWFAFSVGYSSVAVSSVLYGWANVILKDNIEERSLTL ILMTAIATSTNAWIPLFVYPTVEAPRYPKGYVYSACMVVCLVIMTQIVRILFKDGDKN KDEEYSNVEQVQVEVDARLETAKRVDEGVVAL PFICI_14731 MASFFSENNVSYYTIPAALGVALYPRFYSGIVGPGKKYFDRTNP RNFVGRLDKVEGLDKQLRLRLQRAESCSANAFETLPLFAAAVTAANAAGVSPVALNYL SLGYVASRVVYTWVYIYLLDNPKLFAWRTNVWTVGTVILMTLFIKAGLKSQP PFICI_14732 MITLTKISKTKPLGAIHIHCRVKPGVNKNREGVSAVTDDAVELN VAAPPRDGEANKAVIKVMSEALNVAKSDLSITAGHKSRDKTIEINPSVLGVKPGQSED QWSSIVRDRLVKHIGD PFICI_14733 MAVSATRPERITPSLTVQSPLGSEKRGPGLVIVSPAGGSGSGSA GQQQLLEADAQQTYARQGYIVAHLKLSPGYNELRIRDELREATEALDFHDNCSDKSRY GIIVHDTLAYPSLVEAINCNGEIKSAVFIGEPSKPCSKSFLSISPEEYSTDATSAQDK ALKYLRGQ PFICI_14734 MGRFDRQAQSQPKHGRPSVSSASKAPAPPPTSRPSWTGSGYSKK AAPRKPASSSAMSAPAPTLQRNILPVELQQLILDIFRVAFPASQDFAALKALLTQIND ALLQKSFETAFRTEQFREGYAIRWSPSRALAYSNILAQICDDHGDSPWVKRLLGNGTA GPVKVLCFGGGAAEVMALAGVLRCRREDAAGKPDAVPSGDSGASPEEKLLQAASSSPL SAPLVDLHLVDAADWSEVISKLCAGLTTAPQLSKYASAAARTMNASFLSPRALATHFT QTDILGLTAEDLQAMMGSEVTLITLLFTLNDLYNTSIRRATSFLRKLSTLVPKGCLLL VVDAHEAMASAKGAEGEAEKLYAMSWLLDKALLPAQVTVEDEPISESPWEKLVDDTNR LCRLPDKGLGYPAGLENLKLQVHLFRHL PFICI_14735 MSPSNTTFTKAWTAVKRHAKEHHQSVNAAYASYYGTTGAPLPVS NTNTPTAAKSPAASTTSAAESPRSSVDKAWGKVKKHVKEHHRSVTAAHAAYYGTSPAQ TPTSSVESSPRVSVDRH PFICI_14736 MSDTLQPDEDQHVPLLRRGDTAWLKAEKGVIDVTISERLINNGK YYYRVVDKSGNLWKGGKLVTTQDLILNNSSTSPASSSVPTLSADLVDEYYATHFGLEL FQCINALPADTDERMMVIESLPQLLEAFARKLESEDSNQIHRDIATHVRKHQNRITTT LGDCILDPAERHKLAQGASHNAARTWLESNQTHDDMTLESVGNDEDQNDEPDHSRDEY LPKALSDYYRVVQNSSAYEWLRGRLRREILYPITGISHIARIHEVVLENIAFLKVSWQ TSLAKCNVQYVVDWDPLDFVTSQDYGEPPNEVIIRALTLTGSLTNAEALTSGDYIRRT WPDTGEHFLHLLQLLLKSGKGVSHSVRIDDITTIQACIRKTECIFEASGQPDTVVEIG EQVSWISCALRSSDVEGTVCCFPKIRNAIETARTETSVSLMVGISASIQSTKIRPSYD GYCWQSLFCHPLLVLGFPIASRPTGMPGLEMPLITMMTLMQTPRIVPFKQKIFIKGVS TMLVGVQEVNGVVMWHAIANGNGEYIYYHDERVSQYGPTLDDSLLSLDMTKRRHIVGW WSEVENLAGTSRAKLSIRGSGLGPPKSGIAFERVTVGGGKYITASANIALCNRDKPAR SRKEGAYNDQVRQALINHVVLYDTKEHRAWLLDGATALLHLARASLVADEKNGFRLLH KNDDIQEEKGDVKTSAIGILTNPENMRMTLYDQPDEVDTKTEAKHVKDTTDPEKTEVT LTHKATHQRFSDRVSLLYSVLEEIFNHQSDMSSDGVGLKISMSPLERLEGFEFRDIAN GAQTIHPKAVNLGWKGKSWVDLLRNLHAVTLFGRDFGDILEPRTSRSRESAQVPLCTR WDRVPSGHNYLAVSNIRLQEILENYGDQSISPLLVSRDLYWHCPDKYCEQCTIASPGN CRCDRVQVILPKTFLNARHFQSPKEMLRGACTVKNSIPLAEYSKNISYA PFICI_14737 MAIKGSPRGRRTQYAAFGVALIIFYLLYSPWTSRAHLESVRLTK FMNSVDETHHIDFAPSSFNWSNVKHKYAVDDEALAQVPFSKFARLPRVQFKFPAETLE QRQQREYKRQQVRNTFVRDWEAYRKFAWMKDALLPVSGGYRDQFSGWAATLVDSLDTL WIMGLKTEFEEAVAAVAKIDFGQSTSDNVNTFETNIRYMGGLLSAYDLSKRPVLLAKA IELGDLLYGAFNTENRMPVDFISLEQAKTGEGLMVEPSVVSAGPGTLSLEMTRLSQVT GDPKYYNAVSGVMNLFFRNQNKTKIPGLWPKMVSMSGKDVSSGNVFTLGGCADSLYEY LPKMHGLLQGAEPIYSTMSRGFMNAANEHLFFRPMVPSQEDLLISGNADYLGDGEVQL DPQSEHLTCFIGGTFALAGRLLNQPGDIELGAKLTRGCMYAYKSMPSGVGPERWNMVA CASRDGCEWSEARWGQEKKRRLELNEHLPKGFTSVSDPRYILRPEAIESVFVLYRITG RQEFQDAAWDMFLAVRNATRTQYASGSVPDVTKPVDTSNNEDYMESFWLAETLKYFYL AFSPPDLISLDDYVLNTEAHPFLRPR PFICI_14738 MPYPDEFEGFMINELGKYKDFKKQTFKPKKFGDNDVDIKIECCG VCGSDVHTITGGWGDAPTPICVGHEVVGKAIKVGSAVKTVKVGDRVGVGAQVWSCLKC PQCKSDNENYCPHMVDTYGAPYPKEVDPDETISQGGYASHIRAHEYFVFPIPDAIPSH LAAPMMCAGLTVWSPLVRAGVGPGKQVAIVGLGGLGHFAVMWAAALGAETTVISHSPH KKDDALKLGAKHFVSSGEKDWAKPLAFTFDFVLNTADMTHTFNIDDYLSILKVNCRFH QVGLPDEGIKELKPQQFMANGSSIGASHIGSRPEILAMLKLAADKKLFPMVETLPLSE KNCAEAVDRVKNNKVHYRFTLTDYDKVFGA PFICI_14739 MDNLHPTLETPTIPLHQYAYSPSTDSPISWPASIESFNAGYNSS ESLSTPMTEHSSTFGSTAFEESSPATTTAMYTTMRSSEPPTWTPEYWMAQNSIPVQGL PTDTFPYHIPLQVTPNEENTSTVPILSGLATKPTEAWAGYTEETYARHGANLGPYPTE RMPTLATSEKGTYYVPQLQNLSPDDIETMSRRWAMTGLPYATTV PFICI_14740 MAVNRMRAAFAVPRKGETFELRAGLVSQYAWERKESIQKTIMAM TLGKDVSALFPDVLKNIATADLDQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLIRALAIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPTMCI ENGFLETLQEMIGDSNPMVVANSVQALSEISETAPETAALIITPPTLKKLLMALNECT EWGRVTILSTLAAYPPTDVKESEHICERVAPQFQHVNPSVVLAAVKVVFIHMKLINAD LVRQYLKKMAPPLVTLIASAPEVQYVALRNIDLLLQAKPDILSNQLRVFFCKYNDPAY LKLQKLEIMVRIANEKNFEQLLGELKEYALEVDMDFVRRAVKAIGQVAIKIEGASEKC VNALLDLIATKVNYVVQEVVVVIKDILRKYPGYEGVIPTLCQYIDELDEPNARGSLIW IVGEYAEKISNADEILASFVDVFSEEFTQTQLQILTSVVKLFLKKPQSSQGLVQKVLQ AATTDNDNPDIRDRAYVYWRLLSGDLDVAKNIILSQKPAISTTMTSLPPALLEQLLAE LSTLASVYHKPPESFVGKGRYGADAIQRAAILEQRENLAENPSVASAVAAAQSNGSAP QNNIENLLDIDFDGGAPASLEQNSATATPDRVQSPAMGQQPSGGMADMMGLFDAPAPQ APAMNAGSNDLMNGFAGLDISGSSAPPPPAQQLGHDGNAPKKDSDDLLGLF PFICI_14741 MPAPSDKTLEKELRIETKALLDNEEEVTVNKVRTLVEEKLDLPE QFFKESPEWKERSKKIIHKAINGEESDDEPKKESESPNVKKTAVKRQSSEEPEQKPKR VKKEAAPKKPAKKVAPKKGKKPVSSESELSELEDSEEEEKPKERVTKPQKKVKKAASE SELSDLDESEVEAKPKKAAARGRPKKKAALLDDDDDEDDDVKSESGAAATKRKRASKD AKIESEDEDEDSEKKKVPSNEPEDEAERKDAAKVKGQDFDNEEKPQVEAAEEERGSKP TVADDDSSDLSSVVDDGPPPKKKAKKEESKPAVDDDSSDLSSVIDDDPVPKKRKTKEP KGGKGPAKSKAAKSGAKEPTGDEAEIKKLQGQLVKCGIRKIWGIELKEHGDDSKAKIR HLRKMLADVGITGRFSEAKAKEIKEKRELLADLDAVQEMNDLWGTNGRAGRASRSKAR ASMKEESAEESEAEDAKPRVTKRMADLAFLGSESESE PFICI_14742 MAEVASAIPGSGNLGIARDGAEHVYFHSGSEATYRKVSEKASGF TTIPTIDLTDLDGTAAQRKAIADDIYKACHECGFFYVKNHGIPQEIVVETFQLLKNFF ALDLDSKMDAHVQKNPAIRGYEPMLETKLDPRTQGDIKEAFTMGDCVIEPEQDYVGRT GKQRPAHITQPQNIWPEKAPWWRDGLYKYYHHVFPLAMKLVQIFALAFGMEETAFDKH FQFPITGMRPLYYPPTPVTEDAASVGLGAHADFSWLTLVLQGENVPALEVLNPDGIWV DAPPIPGTLVCNVGQYLERQTNGLFPATVHRVRNKTGQERYSLPFFLTMDPDVELDVL DCCVEQGEVPSYERINVGDLYIRRVLPARKKHPTSIKYRDVPQDQWSYRMLLS PFICI_14743 MSLSVSYYARNLFASSYGKDRPKFFIWYPEGTSSAEKKLLFKID FFILTYGCLAYFTKWLDQANLSNAYVSGMKEDLAMYGTEYNLAVTCFSIGQILGAIPA NLLLTWIPPRILLPGMELIWAVLTIGTYAVRDVNQLYPLRFFIGFLEGSCFVGVQYVL GSWYKKTELGKRTAIFACAAYVGTMISGYLQSAALATLEGRDGIAGWRWVFIIDGIIT VVVAIYGFIFFPDTPYDTKAFYLSAEEKARCLERIVEDGRQETVNSFSWDMFVRAARS WQLYVLTILFMFWNTTVGKVANTVMQLYLKADTEHTWSIYQVNNIPTAINGWNIVLIL CLNAYVDATGYRMGVVAFNLVLLIFGTICLVVWEIPLGLKIVSYLFAGTDGPLSPIYY SWANILTAGDRQVRGLTLAVMNAAGTALSTVIQQFLYPTTEAPQFSNGFRASLGFICG MCIWVVVVRVLEMRELARQSPQTVYVVNAETESDPESQPTAVKGSAS PFICI_14744 MDPKRNEAPAYNFNSGPGPPEPPGAVPTTLEDYENKFSLSDTTA LDQTWHPNTRHPLAGETDSSPHRTIQGSTSDEHDNYYHARLQHEASTRPILNNDPGDT FVMGDDPAVDDVNQQRATVTSHQTIAFDIVQALRLIAARPHPHTSLQATQPVHPFVVA DTWQHDMPIIFVSNSFEAMTGYHNTEILGRNCRFLQSSDGFVQPRAPRQHIDSIDAYH FKLSLATGGEFRQTIVNFKKGGQPFINLITIIPIPWPGAESRFLFGYQIDATHNALAP KNLELNIGGRNSLFYRRTQQLCASHHRELEDATPSPSSQYQSDSSRQSEPSQASESRI IDPDDVDLNTEVLIRLFDNNSNAALDKPGYKALCKIVLDMAAGIIQVLSLTGTIVWIS TSCVQLGYSPTELIGLPIQDKWHSADIPALFRHLKAAAVGQVIELISRFRRSDGEYAW LHNVGSILQMAGGRRVAVMAGLQQEVPNLNLALLDAQRGVGDQDVWVKMSRSGLILNV FPNRMTALGISQELTGTTFSQLLADDTSRERFLYLVLKSTSSRMTHQTTLELASTTGP DLLAEATIFASDTSAERRPHVLILHCRITKSTTKKGKNPEVVIAAHASSSKNEDLFEK LRSSNFGVWQVEMNSLAQENDDLRLELGRLQALSKQRKLLKRTSKVPFDGCANCHARE TPEWRRGPSGQKDLCNRCGLRWAKSRHKEGTNT PFICI_14745 MSATTTIKNYPAPESCAATQLRIMSGDGPVTRTVLRTPPRDADP SELPVIDISDIYSPLLPERRQVAEKLRSACTNTGFFYIANHGIPTKVLNTAHAASLDF FRQPRTVKDQASSEQSQIFNGYQGPRSQRINPFESVDVRESFSWKYDPKYDETVTDPA AIPAEVARCLISEDFLWDATANLPEFKTAILEYWRSCLRLARALVRSFALSLHLPEDF FDEKFSHPDATFCVNYYPPLKQVQLSTDEDAEVSIGSHTDFQLFTMLWQDKIGGLQVL NKQGQWINAKPIEGTFVVNIADYMQRITNDLYVSTVHRVRNLSGKERISMPFFFGFNL NESCDVLDSCVPEGEEKKYQEISCRDWVERRAKGMNQGDLKKPIL PFICI_14746 MSEQPKKAWRDVSPETAVIINRKKNWYCRYADTCQFEKFDQVAL PDCTYEYQSDGELLTQSGFTYRWGSTKEFADFFQKAFQTLQTIHMVGPGDFEQISDDE VRATFTVIYHSALAKGVGESYGVTGTGGGHYYEVWKRKDNDWFMADLRMNRIYEG PFICI_14747 MATETIELRTIDRGVLINVDHGYEPQNKEPEPQVTPAEFSLPPV DTGREAWLFLTACWFVEAFTFGFGFSFGVFQDYYSSHSPFAGSGNIAVIGTTTSGILY LGTPFVVAICRLYPRWARWSTLAGLFATSLSMAMSSFCTSVPQLIGTQGVIFGIGGCV AYCPSTLYIDEWFARRKGMAYGIVWSAAGVGGVVLPLIFEILLDRYGFRTAMRIWSAV MFAGSAPLAWFIKPRLPYSATINNKRSFNMRFVISRRFMFHQLVNVIQATGYFLPGIF LPIYARMHFGTSSFLSTLTVMLMNIAVTMGLVIMGLLSDRLRPTTCMLISAVGAAVSV LLVWGFSATLPALYVFCVLYGLFAGGWAAIWPGIMKEVSQRGEADGYGLTDPVMVHGH LCVGRGVGNVVSGPLSDVLVRGMPWKGKSIAGYGSGFGVLILYIGFTGLVSGMNYLWQ ALNLL PFICI_14748 MDAAQKSKLRRAQHASKSKTSQKSSRPRGRPPRNTFCDDDVEAI HYLQGNIQSLDDKIRDLNQLVLSELAIRRKLNQAEDAASSSRAMITPAPSPETESDST EDEPEKDFSKLDPIVITDSSLRTADVPIKAALVEKFVDSYGPPKESDSESKFWIHEGR PWVLWRVGAEQCASSELLQSAYLAVSAAYAGLIYQDKRLIRAGAQSYAYVLRSLQKAL NYPEAGKSDAVLMTVGLCMNYEGRLSRDAKAGYTVHVAGVLRLFEYRGPELHRSGLAH YFFVDARLYCTWCAIRARRPTFLAKKEWKTVPWTLGTTSKDMMQYLFDDMLEIPNMLW YVDQCRGLKEKSTKVELYDKACSIAAKVKAGLERWKSTWLDPVIWRKPHEKNLRQMDP TLPVFRYPNPENPDEILEPPDMVYPNGALLAAVMNYHAALLIVNDAIRELEGRTEDAA SVASAHEICRSMNYFLVNLPASMLGRVAQACVVAYDLYPEGGIERDYMAKAYDALVGG TWRRFEDFLDEFSILRRHG PFICI_14749 MAYQYAPGNRGRDFGNPSSDEEHNYPRAETGSGLPVSANTLPKP ISAGTIHGPAGSLLKGPVTADGKQKDPLILVGIKLDLEADIHVNVRVRGDVMVGLY PFICI_14750 MATFLVAGIGAFGAAEAIRQTQSRARRDEHRGRKNNLQIHCTKS SDYGRTLEGRNIVLSGDKLYVDTGTDPNLPFGHPFAGYYLPFPDAPYEGLVSTICDDP PIMNWIYVDRNTYEVKFGTRPFAEVNYSGPFDCTRQDRRLIFAGWEGFLAVREGIFWS LYFDVERDKLKSRNLPEGTTILEVQLRRIEMLVMPPQVEKSTEGESKEEDTKNTSQE PFICI_14751 MGLINKIEHKISEKMHGGHQQPQHGEHGGPGGPGGPGPHGGQGG PGPHGGPHGGGNGPHGGQGGPGGPHGGQGGQGGPGGPGGPGGPGGHGGPHGGGHGGPH GGPGF PFICI_14752 MPMYEIEHIAPLQSEQKDALALAITEIHSNKFTTPRLFVNVKIT DAAKQETYVAGRPRASNRITAHVRTSAARTQQDFDQLCNDVHVAWSSIVHPAHPTKPP QDQELRAVFILGDITAAWEAGFTVPPAGQDAQWAKENMAAFQDKANNGDEDFADLVEE LKTRDEFK PFICI_14753 MAESTITEASLRGAITERLNAVHVEVTDMSGGCGQSFTSLIVSP QFAGLNSLKRHRAVNAALKQEIAAIHAWSAKCQTPEEWEKDRAKNGTEAKPPMDGTIG GQVQGTNA PFICI_14754 MATNAPKPSFTSAVIEAINKLYPKALADSAWDNTGLLLDQAQDA RLTAQTENVVLLTNDLSAAVVDEALRVKASVIVSYHPVIFRGLKSLTNAVPMESSLLR LIAAGVAVYCPHTAVDAAHGGLNDWLCDIVVNGQSKVESTVLQPISRPLPDGHEGAGY GRSVSLDKPLPLPALLKNLSAGLGGQRYISIALPTGSTISENEIIPKIAVCAGSGSSV LKGCDAKLLVTGEMSHHDALYHTQSGQIVVTVFHSNSERQYLTQRLKPKLEQQLKESG QAEYTVLVSEKDRDPFETIDISQL PFICI_14755 MSTIASPREPPRRLPSQTLITPTSSTRPSLDVPRSATTSPNPNS TPTSTAANPAKRNRAALREYYNLRNKTVTPSVEVTDELGENHEASEVPPSEVDKEGFD AEAFVREKLEGSGMQEILKLYTRTLSEIRALDAEKKALVYDNYSKLISATETIRKMRA NMDPLNPMASTLDPAIAQIYSQASAIRNTLRDAVPESTQAQIEAEEQMKRRLRTKQLA LEVLGTPDKLRNLVNDGRMEDARQAWKMPRKLLQKWIEQGVGGKDVEACIEDGDAALR GEASRFNWRASKTDGD PFICI_14756 MDVASQVRSTLQGQGLPAPTAAWIRSVLPNRNPLPPLPALAATA KARLMAADITTAGILDASAAALPSNITNQETKELRLPRDVLVQVLDIDNLTKSKWEQV EELEAIARGEQSRGREIIRLPTAGEDEDEGGSVSSAATQVIPAGPNVRAGGLSGAGGA TPKNSTHRLVLQDCKGQKVYGLELKRIDRIGVGTLNIGEKILLKKDTIVARGTLLLEP ASCTILGGKVDAWQKAWVDGRLARLRETIGADARN PFICI_14757 MASNAEEEPSYIDYETFLDPQFRPASFANTLVLATNNANDATLD LSTPLSKVLFDAQEIDSHIDSLTTRSAIPLLSHTKDQTESSTKIISEIDHQVKSLNDS YKQLEKEVIQKHAEADEVRQVASRLWETLKLGRAVGRCLQLGRQLEVQHAEISSSASA PAGKREDHRALVRCAHTILSLREVLDHKAPGEEGHGLDKINAIRSLQDSIIAPIERSI RTTSEQIIREFAIGSASGTATFAQSEETKARTLSAMTALYLISPTSGVKADKWTPLLL LQALETYLRNALQSSITSVARSLATLPTLDRTLAEVSARCQNIVALEIILDSTKAPPH PLIPRPKSHVEPTNLLQPLLAYLETGSLPSYFWRSMASSLSTRVVEIVNRGGVSARTL KSNRSAVGDAIRECVIKGSQPPSTVTASKGKSAKSDAERSGWDREVAVMVGSVVGNLG R PFICI_14758 MSQTAILKLPVTRCHSCTESDYCASCRESNITKLRRRWVSFERS DDFDKDVFKRLFVLRYGREAVGTALWHKYFTGFSHSMKWQKESLGNYIDAICQGKLEQ MSLSSTYIPNDALSQLSTDELLGRCNELTRQLQKIHAELAARQYAEALVDSDEESVYS DVEFEECSISPKQITPLPATQSLTPPTSQPPSSIPEDQQLRDTILMHWREDENPQVAK FVRLSLHKPRFLVVLLELARYYTWNQTARMVNYVIIERVRHFKNSSDKDRYPKLDDWQ RVLRICKNLGFPALDPEKLLENDLLVTNFRITDKGLVKEGNSFHPNADQLGMCIERGP SCQPSRVGPHIFTREYMMRCSKQNNP PFICI_14759 MSTPTAPLAPLTTIFTPPCSISWLLTTTKVPSQFPPFPTNGPSS CDPPSWVANLKDKGFQYYSPAICPSGFEVGPSCEITKTRTAEGFPPVVNGETAVYCVP SGHTCTTDTTDFRGGVWGFTREAATPASGSVIVTAGPALQIRFREEDLSILETHPLTP GLVLAGATTDSTAVTAAQTTSTSTSASTSTSIASFSTITIRPSAVSREETSSLDTTED GTSSNSVFSSAGSSGAGSVSFVTTTEATTTTAQASGSESSGSLNPGSVAAITLSSILI VIVLVISSVIFMRRSRRKRHDNAALLQPYRYKPWSSGAGGLSNNPRASTSSLDSIVLP LQSAAELPGTAPVIPELALSTRLGAKENPAELGAEELAAELSGNARAPSTTWSWMSRA SKRGSARSQKTRSSIAPSSFTRWTRSAASMQTASSGRYSGDWESFARGKWPNGLTVPA VPMDRPLPDVPKTPRSAKLHIASYLKPDAGRDRWSRESAGTFGAPGKSPVSTIFTNKP PFICI_14760 MGGTASKPDYTRNFEVLGAGFSRTGTLSLQIALEKLLQGPVVHG ATGLLTRPESFIKNWVLITRAKADGDRELSLKLLRENMAGFVGGTDVPIVCVVPELVD LYPDMKVVLVTRDPDTWWRSFGNILDMSTAWYIPVLASISPTLRWWIPFYVEWKRNAS DLIREAGGQPGVFGPQLLVAHEEMVKRTVPPERLLIMKLSDGWEPLCKFLGKPIPDEP FPRVNDISAAEKVASGVVVRLLGMWLAAFSVAGGVIYLTLKAYR PFICI_14761 MSQDPHYQQTHDAGGGPAGPSSQNPAAPLLLPSQIIEARQSALG SSIANWNPEPDAQFISRAPGPRERERGWTNYDWFISYDEDGRTYQAYMPGEYNLPNDG EEQDRQDFQHALYEIILDGRLALAPIESPHYALEIGTGTGIWAIDFAEQHPTCHVVGT DLSLIQAAPRTSNCQFFLENSETQDWLFPFRLDYVHLRSMGPCFTDIRTVFHKAYNHM SAGGWIEVQDGIWEIFSTDGSHRGSAMERWLDLIKVGALSQGRDMSKVRRAKEYLLEA GFTNICDIQIPAPTSPWAKDKRLKRIGYYNGTAMLSALAPYRKMLGFAGLEPSEIEDL VSNVSKELHDIRKHWYMGW PFICI_14762 MQFSYLAASLFAAVAAAQSNSTNSTDLASLVAQLPTCALDCLES GATAANCTITDFSCICGDGKAQFINSAGLCVVTSSCSSEEKSNVTSLAGSICADVNNG ASDSELASASAVATSILATATSEGLAAPATQMAGMGVLGMAAFAAYAL PFICI_14763 MAMYTQQPQLVLAAHGAVVNAKGEGYPKTCTCSCGCSSAAEGDS GKCKYCKEEKCKKKDKK PFICI_14764 MEGDRSYCGGFGCIFQSLVRPLRLDDGGAMLDAFEQQSHDEQPR MIPYSEVQRHTSPDDCWTIIKGQVYDLTKFIEAHPGGEHAILEHAGKDATETFQTLHP PDAILTLPPEMLVGPVDPTTLPEPEEKGMTEGERMREAARAEMPAAHNLFLLQDFEVW AERVLTGTAWAYYRSASDEEQTFHENRNAFQRYFFRPRILRDTSVGSTESSFLGLSTT MPVFISPAAMAKLGHPLGEVNLTKAAGESGIVQVLYLNKDRSASEKLIQKVEKLGAKA IMFTVDVCWQSKRTMDVRSKSTPGPAPSAPSAGPKGVSQAISGYQDTNLTWKDIPFIR SNTNLPIIVKGVQSLEDVEMCVSNGVEGVVISNHGGRQADYAPAPIDILYEIRCHRPD LFAKIDIMIDGGIRSGADVVKALALGAKAVGIGRPVLYANGTHGQEGCQRVLDILQEE ITNTMRNIGVTRIEELKPEMVGPAGPWVGSNRPPYASLPQLKN PFICI_14765 MESDSNRIVSSTAMTPSTSHRRHSGPESESAKKRKRNSRACDRC HQNACRCSPGVEGIPCSRCTDQGVECTYDRPAKRRGPPPRRAGGANNGRDIREHPETT PRSQRQNSTATTVGNEQTPGLSSHLVGPSHDAAANRDIDDSSFLSPAVHGGQHEHTSN VNDASRPRTTIFDDVIQPDILEKLVEIFYHTAYPVRPYFHWPSYRAQIRSRQYRSDWG LYTFTMSICTMAAGRLCDGVLMPNGPHPLRQQAATLFKKCYRATIDAIPSDITTVPDQ YQAMKAKAILAAACLQSGDWKTATVHLGDYASLSAMTGFCQESNWPSRLTEIQKQERR RVFWGVYQQEQYLSSNFNFASRQREVKAMVKYPVEVFDDDDITETGVQLWSEHVSFVK GFNFCTDLYRILERMEGTVRARQQATSSEPGGKIEAFFSQIYPSKKLAADSLHLVSQL YDELPQELKQPKVLAGDLRADRYGFIASNVLLTTQTLRMLLVGTGTPNIHLRCAIASE LLDQLSTIPIAFFHASSTVSLHHLAHIGHMLGGDVHDTLPIWTYLQVRNILIVLADFL EKIESDRMITTGLAEKLRAQIDRIDHSMKQASQSEHETGLLSMGKSLLPDWQNLSGPA SGLVAAEQSQLTPDVMKQYRSPPAHSATNYGTGTPMQSLFRDATQSAHHSTSTVNATH SQQPPPDRDSSFLMNALAVPVNPRDPFSGGISHNSTAFAIPNQLDFSATQPPMEETLH SYSSPSGKSFDGMYSQFDPAYFKTKTPTISGVAGSTGEISGSCSNTSSTLSFYGYTEN CN PFICI_14766 MKRSPSPVESECYSDVSSFSIKLPDLADADSTENPLVDQLSVKK KLKRPWHIFHLGHLVHVGAVVASCGLIWLNSSARYWFDSTYSISITVSTKSTKLATAD ILHIFQLAAKVYEMVSIISISAIVLSIYLSKLAVKGLPLGLITGGYRVGNLGYLTHSG LWSTLWTKAGLLAAFTIICTLWSIAIGPSSAILLVPSPAWWPVQQPADGQQMMLYGPP SDWTPKLTYDASIDNNPLLGCEGGNQYVLPYNFCPGYGLWQISNSVANSNQTSMSTNV VVSSSTTGNSRWLKTSQIKKSLNRTTVATTLSDVTIEAITQAGKYSLGIGLFGSSGAN LRISNAERDEIYQPLVQAKCSVFDWNSSSQTINSTDPNNIPLWPTAGLACFDDGLCSS WLDLPASARELDSSDWDFDPDVGKLVDFKWVDMPQAPLSAIFRVPKNVTRQSPTAKEW ERSNTTLWNIGACSLVTRWAHSSAKAVLGQSYIIESNVTDAGVLSINETTLFQAGASG MPILVQPSWANLLSFGFGNDTKQSRYTDRAQTVELNAMETFLVQLLSITESDGWMQLL ATNGEFLTSSVESMLSLLLADGISRSTMSATQDRVYIAAHVEEEESLVAVINLDEANN LISAHRFSDTVSASTIATGGRQDRLRPLLSALSYNSGASGFTKVSLSVGNATCPTVMA QDGTSDQQAAQCNAWSFGEYLRGLEADTSTPWSFVSEQYGYGSGRSSSTVTFALVMVC LYSAVVFLHATQVLISTIVDVYARKDIPGRNSLLKEMSNWSDIQDLIQAFALTLSAPE FAKPLLPLVDCGAGRSLIKCPAEEIRRDTSPSLRTPSFGASSQPPLVEPPLAKASLPP MSTNDCYCYTPLPSGRHTRLILLHSSKTDNDPITCNLVEADMDDPPVYEALSYTWNNE VPSEPLNIILDPRSGSVQTLLVTANCARALEILRKRLGRKSIGKVGLWVDAICIDQSR NEEKSTQVATMADIYRGAKNVLVWLGINHAPRNSSSMLLLNLLRPFSDYHMRPRFNTW RDGWILIVLKVMDPFVSKMVEQGSKHLSPIFKAPYWSRGWTLQEFAQSSPKLLCLNSE SPSFTGIRVASDKFVTRAMRLHFFLFKDPGWKLHDAFQEEDSVISLGYCITKETQDPR DRVFALRALFPKSLGGMTVDYDRSVEDIFTEATSRFILTSTNLEIMYLACPYKKSCDL PSWAVDWAPPTEIHQESNNPITLLEDPHFWYLTSAHFGKPGNFNRQITSFSNDGLVLT LQGKSFGRGQSYEYDSKVPHGSCLSPACVQE PFICI_14767 MSVPSPPVALQKSTAMEAAATTETKGVSSPVVDPQVNNAVPPVH TTANTEALDGELLVEPTEYIEQTRFITANMAYSNNEVEKMLEKERKAGRIDLRSRQAM MHITLTQARISDLEQELEKLKNHVFDTPKEKMRKERMKYPIHHREVRRSTPTDFEITK TTYDVPIERRPALEVSISESAYPQTSGSLPLQSQADGNSKNNHEVASIKGAQTPERLR IRSTPLLIHLRSVTGEAVELNDSIDTYNDHRQVFPGRTFLRPFKLFIKHEKSIRQSLI DLQSQVDERKDNPMSEGVVNNSSSQKEDRDFEFTDEDLLEDLKLLIEFLDHDLQPTFQ LRRLIDNGTLSNIEFGDLWHLFSFGATVVCQTDDTRAYRIVGFTGGRDPLTKIMKSES DRVPALDGFVLDCLGLAFDGSEFVPSLHKFTIAKFLGSRPISSLPVYPLKFHKDYQNL ATSLCDRGKRFERITAPPFSQHFMTGHTLDEVSHEIDAPVIVDIAAAFNSNKEWKPPT RIQATHMTKADLRETYLNPYCGHAYAREGCCGSDIIFKDHSIDERDSAIYFQNNGSLL GPRKREELTEEDWMLLPDRVHAFVLRNRQWATVKVADLSDMRYQHNFDKLMLPEKHKT SILALVNTHENSRSAGGASIGAGLDLVKGKGTGLILLLHGEPGVGKTSTAECVADSTK RPLFPITCGDIGETAMEVEKNLSYNFTLAHKWGCVLLLDEADVFLVKRSKTDLRRNAV TSVFLRSLEYYGGILFLTTNRVGGIDPAFKSRIHLSLYYPRLRKDETVKLYKTFIQRA KDEQARVGISTFKIDKKQITEFARQHYREMDGEKLGTWNGRQIRNAFQAAIALVEHES HQATPGAQKPILGAAQFRIVAESSKEFDKYLISTLGAGESDIAIREGWRADRFPNVVL QSYSGDAPKPTRSHRSKGRSSRAKIESDTEDTSSESDASDDDSSSDDGDV PFICI_14768 MTTELSDRSEYLNSRPRHPPSEAIRFVETRMVVAGQPDAVYCES LDTYVSVPEAKKQDQISAELWKQADSEMEKLFELLEKVQVKLSKKGMQQSPQSIRSCR WEDVLSQVQDTAAQWSTTPNRTSQAMLCISKVGRNSAVFESWLGLLPDGDYGASLCGV FKLAIGAAGRYVKIEEAVFSALADIPEIIQGAQNYVRIYAGRQDQLLERKTFDLFLAV LRALTHIMQFFADGGLKKTMQSTLKQSAYKAELIESINEIRRQASRIKEEADQCLAWA VRDSNLAIKDVKQTGNEVLKALQRLLEESIPARHEYTASQLEAMNSRLGVLEQRSSLM IESGPTDRINQTTNAASFARNANSRPSVEDMTKFAHSILDAIRYDPNVIERDLERSVG IGERLEEGEKARAAAMIRHSEYKAMMVETSASTSLLVNGRKDLAAAESISSLTYVAGS LVRASRDAGSIYLMAYFCDFHRPSFEPSTEASSFGIVASFAGQLLSQMLHRDVQMDLS FFETRDWHRHANMKLDTLWKLLRQLISQLPEESVLICVIDEITRYETSALYQDTEKVV RRLTRLVGNSESIVFKLLLTCHDRALGVSQYFKGCTIDLDAEAEPDDSSAWWMRSAQR QGA PFICI_14769 MLPRHQLNAYRLYKHLTKRITDWLVPTAKAHGYPGDLGYAKEDK KGSIPTNRIRGWTYSISTQDYIRLAEWIAEAQIPVPSWIDLALGDLIESRKEFSREYA RHQAEKDVLADQKHAHFVQVLEIIRQILRPLVQTPSESAVESAATGNTPCERPEQVTN NATIHKTESSGTQDDVVRTAMAGIAVLSTFRDTIIRIWLDYQKDKIGLAAAAISTNTA IEAARQEMDKFVPEMETNGGIFRIMSQIFDKQASAIACGEKHLGPSGVDFLHCPYCGD GLYELGRESFYFVTRELFSMVERFKEKASFMGTEDEYHQYFARSDRPTKTAYEKHKKD RAIMAVVLDDLFHVTRLQNYPVKDEMIRGMIALSETGQIPFYLIFAIQIHLDIHDFLG EGVIWAFQTLQVHATNIQGDLKAQLQLHQEGGMNHEIPSKEVRAQMDMIDMVLTDPVY EFKTQTLRDRGMEIPQTIERNRILEMSPVLSGLILFRIRLEYRRASLVGHSFGAIASA IHLHNALQSEKLFTAEWQDMDVARKILGDSVVFDGDAPIDPSHYLRKFEAQFGRKAQN LAGSNSVESRKCMREIKLENQLPVSSKFVDRYVNLTEQSLLTIDSAEIILGFGNHGIA QYTPTERGTGARTRGQVFARGKKLREPLIYQIAVSLTNEWDEIAYPWMRLYCSSWDFL KWVHERCHVDLRDRFGPGFTAHMEKESGFIYMNRYIFAALVDGNQEVRRHVADGTLDF YSRSQDHLFMQQDGCFGPPNRYI PFICI_14770 MQKNNFNPSDPLYDADIKTILGYQSSGFLLRAMLAVGALEASKW DNRSSALEKHYLQDSQSGIQSYSAAIVELRNHMEFHESPSQIQVLWTTLFVGLFELMH DSTGAGWIKHMVHGTSKAVAATGPEFFQSKPGRSFFAQARVFEACRAIIFNDATFLTG PQWLDFSTQTRDESVPASVSPPDSLNDLLIIVVLCSKLSVRVGHFVREYNQLNPVDAT SIAADFAIEGGRLRERLRSWREANSIVSSISLPLDTHGFHNEQISVPSGEALLANAFF SATAIYLSGIFDYNVTLWKGSMVSPPTLNEQDIQAHSCRGKIY PFICI_14771 MKYLHFFFLLQSAVANAVTLPTPNGPFSVGLFTTALSDTSRMDP YAPADAPRLRKLMVSVFMPMDNATSQCVPELVPYMTPLVAADYDILAMSAGLPNGTFS ELNMKLCKSLPLAGCARGRRDIRRAAQISRPLLLFSPGFGQSRLVYNAMAQSLASEGY IVASIDHPYDANVVEYPDGSYVTAANISSDDIAALNALLDAPLRRVIGHSGNVINFEK LVMYGHSLGGATAATLMLTDSRIRGGINLDGRFFDKVLATGVSAPFINVGRPNHRLED TTWDSFYNISTGPIIELEVSGSLHAAFTDFPAVIGTMNLTNVDVIETVQAFVGTIPWE RAGDIITRVVTDSAEFVFDHRNSTVLSGTDSSFPEVELVRGHGY PFICI_14772 MAHTTSTNPKAASSRPIITLEEHFLSRAASAQPNENNAAFKAIP GLFEQFTELGPKRLEDMESGQVTMQVISHGPGDLSPAQCRECNDQLAEAVRAHPDRFA GFAELPMDEPDEAAKELRRTCSGENDVAKFVGALIDSHTEKGLYYDGPEFDVLWDEAV RLDVPIYIHPTWPSDQLFDAYKSPNLPEHVSKSILAFGFGWHSDVAVHVLRLYATGVF DRFPKLKIIIGHMGEMIPYMLQRIERVSSRWGNKRSFREVWDNNLWLTTSGNWALDPL ACILRNTKHDRIMYSVDYPFAKSGDGLKWLEELEQSGMVSEEELEGIRWKNAATLLKL SVV PFICI_14773 MASQTSTYSSSSIRSISYKRLSSRIPEIRLLEIAPTGHLERPIS CRLVNVPLSEKPVFVGLSVLLGDSTIAEIILMNHSRVHIPATLAEAIRHVRTVFNDGS GPSSRHSISSSISSTPKLSRNLDVASVSSKESYSSRQPLRRLCDLVNSGKTNPPKPQP LRIWTDSLCINEDDSREAGDRAKLMQVAYRRARTVVGWLGLKHDSSEILVDTLKTIDR AMPDGFGSPKDKADHPENYSPHSSWMAGMMQPWLVADEVNQLDDCPIHEAMRNFMLRP YFQNSWIVRELAGATFPTFLLEDRIVSWAQVLRMNRATEELVNHGTDLIPDKYREQLN LFSLDTIFIFLDAFDVYCRGS PFICI_14774 MSNTTPTTAAAASRTNLGPLTTTFTYPSSCNVAIQGCATCDYGW QAQTCSDNAFNAQGVQDNPDCWPARATSVSTGVALNGWGFYSPGIQCPVGYATSCVAT EGVAGGFNFQFELLPSETAIGCCPTGFQCSQNFNRDTGQTCISVGSTGSFAAVQCSSG QSEGFTYLDLPATVTETVSSSESALILETFTLSAPLFQLNFQSSDLPSTVTGPITITT DATTSSASTFPQTASSASGGLSTGAKAGIGVGAGLAVIALFGALLFYFSRRRKRPAEL AGPLPTETPKPELAGQAYTVPTELHANPTAQELPGNSLPVYK PFICI_14775 MHLMTASLVALAAKLAAGAVADVLPRDSILNQIAWVDQLYTFNN HAKGNLCGDTHDLENHSGDKDAALSVDCLAMLNKINDVKDKAYYSLDSNWPQRADIKT GFAPLITVETCTFSIRPTSFNAVSYAYFVGAQDMSDILHTLINQYQQADHFKANGYMP CTDKYIKMQGDYELEFKIWNPKAQ PFICI_14776 MSQNISSSGCFNTDSSFSVGKTSNETLSGRSYLLSIPDNYSTDT PAPLILSFHGGGQSPETQLDLDQLTNSDVNDQYIVIYPEAIDAQWQVSPNSTSDDIGF VTDILDDIESTLCIDISQIYATGKSQGGGMAGLLACDETLSNRFAAFAPVSGAFYITD ANDNCKPASVKIPCSAGRTGIPMLEFHGGDDPVIPYDGDDSRRDSCLPAIPHWVQEWA ERNDLDSTNTSTDLTKSATLYQFGNDSNLGLVSHVYDGKSIGHVWPTKKLFANDDDSA TASFDATPMILEFFGNYTLDIQTKSTNTTGGNGTDGTDGSDDDSDSSAVGRKATAIWC LVGFTLLQSLII PFICI_14777 MAPSLQTIPPELFQGIATLLLTPDQASLCRTCKTINHSLTPILW REIELHHRGTHEGVDIEDDVDIFTLSKRHPGRNFQPEPDAAYYAEYPYDKLVREPSER KYAQAEFNSDPDKWEKRLEKRWSFLQVIKSPASRLSQMRGRRNFQFGREELFVCVRGF SSRDRWDDLAQHVRSLCMSIGVDGEVAEVIGSFPNLTSLELIGLPLNNGHSPLAPDIY LPKLQNLKLRGYLSGAFVRNVCNNAKHIKHLDIGILATPTDDKAYRQILLNEVYDLMP VTEEQADNIQRNGAEAVALANDSDNNKGTDTEYSDDENDHESRPWALHAPIWLPRTLP ARLTSLTHLHLVKPYTGQASVGDVGTIGFRGISGQYEQLLCLEWAALLKGVAATLKEV ILEHRIPQKVGDTVRDGDSVPNHKGSYRSDEMAPHLLNPDWGDVIFCRKVLPLFLEQS GSFASLRRLVFRGIQVKGIRRRLDSIEIPGENGVPDNDELLQKAYRGCDIEIFEESYP IHVYAGDVHDDWSPHRHESTQDQGDGLLYDGSFYNDYKKRFGPQWKIVD PFICI_14778 MIALQRHILGTCLAIGLLLTVLFPWYYGDFVTWSGHDESLTLAP VLHDNHISNVEIVVASTKKENTKWLSTYLPEWKTNIYVVDDSKASLTVPVNKGHEAMV YLTYIIDHYDHLPSTVLFIHASRFAWHNDDPDYDAIPTLRNLQLPYLQESGYVNLRCV WVIGCPAEIRPFEDEANGDDAQLSTKSIYKQAFEELFPDLPVPEVVAVGCCSQFGVTR QTIQHRPRSDYLRYRQWLLETPLDDSLSGRVFEFAWHNGACDGRWNFPAFSTLPEGWP RIGWEGEERNFNGPLE PFICI_14779 MGVFDARSSTPYDLVALAASSTASTSTTSTASASTKSSTSSGTT LTTTSSLSIVSTPASAPASASSIATFPTTEANSDTNASSLPPSLPGGAIAGIVVGIVA VITSAAVLVYFCLRRRQDTIFIQQSQLEPPPPQYEQEPSHTVVPTPDPTKFEVSIIGE LLGEQPNYRHELDAGNL PFICI_14780 MLFYDDSFWWLMSCTLASIWTLKLLYQKLSAWIHERSMMQRHGC QDPPTYPHKDPFLGLDLFLLYKKAFQERRFGQLNWDLFQKYGKTYVCNGMGIRYVKTM DPEITKFVHATHFDHFGVERIRSGAEYLWGDGITVVEGDKWASRRKLIKPAFDVVHIA NLENRNLGKHVERLIELIPRDGSTVDLMPLFRRLSLDTASEFIFGESMDALRSPDSHK EFLDAYFYAQRGTAIRLMLGSKLRFLHRDPKWWNDCDTVNKFLDEHVDNALARQARGD KEEAEKSHIRLVDEMAKVTQDRLTLRFQMQNVFTPAHDGAAITLSNAFFHLSRNPEAW AELRGEILPTKDLDITYDLLKTYRYLKNVIRETHRVTPISTLISRECLREVVLRKGGG KDGLSPLYVRKGDVVEMNFRSILRDREFWGEDADEFRPERWDNLKPTWEYTPFGGGPR VCPGFRLAFAEVAYTMVTILREFVCLESRDDRPWTEESRATFQNLHGAKVALIPA PFICI_14781 MEEQPSSSIGSGPLGPLRRASTTLINANPQLGMWQATGTALAHV PDITELRGAETGGNILFNSQGRSARTAVRRSDGELVPLGSVSKPRSETFPRDANAGAG GDEPAFTLPRRQTLLEMQSSEPKAGWGVTIRNGLKAYWSFLKTPIGVMITIYFLNIVA WGAMLFFLLLKAAPAMNYPTADDDNSPRKKWLEIDSQILNALFCVTGFGLAPWRFRDF YRYMRVVFVRDRTAMSKLAAQNSGWFRTPDWYNHHEDAEDGASGSDHLARTPTFTGSK APPTAMWKLGLVISMMVWNTLFQVVLSYFMWAYNRFDRPTWATGTFIGLGCGVSAVAG FVSWWEGRKVKKIEGPDVIVVKDVEA PFICI_14782 MKRLASGASWLCIIVPFCQCLVDYQLSAAVNPGTFATPTANVRP RFRYWLPDASVDVDTVVADIAASGSLGAGGIELLPFFEYGGQIGSQPAGADWSTYNFG TVPYRNLFAAALSAHEKHGLVMDFALGPNQGQGVPANQNNTGLQWDLVPFTAEVPDNG SFVGLIPGWGTGELVSFATARVTSNKTITFEATGLLGIENVTYDQYVLSSGSLLDQTA QVSANGTVQISLQGKEDGQHYRIFSFYQKLSGNKNLQFNNTSNGSIFDEGSYVVDHFD AKGADTIIQFWEEHILVDGIREQLQNSGHYAWEDSLEILSNVSWSRSLPSRFQELFGY DIKPFLPLLAFRDNNIGLQPTEPGSFECILDTDDQGVGYINDFRATLAAGYQEYISTL TDWTHRLGVSLSLQPAYGLPMDMQTVIPDVDAPECESLSFLDSIDLYRKFAGPANLAG RQVISNELGAVKSSAFRYHLPELLFSANRGFAAGVNQYVIHGQAFSGNYYQTTWPGHV AFDYHFSEPWSPREPVWEHGFEETLNYMARVQHVQQSGVPKIDVAIYNKESATSLQTV YELPELIERGWNYNYLSPENLELPQAVVENGVLAPEGPAWKALVVQSGSNLTLSAIAT LQSFAESGLPILFVGAPPKLYPTASKASNVTVFEEHLAVLEATEGVYTINEDQLADQL STLGLCPKVSTNTNGTWYTTWRETTEGGYALIYADLIASSGNVTVADTRTPYYLNPWT GTQSPVLVYEQDLTSTTIPLELAGNQTAIILFTDSNNTCSVPAYHVTSAPAGVIGADF TLSGGLGVHVTTTVNDGEAVLSNNAVCSIDGSGVPAAFELTEWELIAEHWEAPSNISN VEQPMTKFNTTHSLSVLTSWLDIPALVNTSGIGYYTSTFMWPTDTTNTTASTLGAYIS FDNVLHSLRVQVNGNQIPPLDITHARADISAYLQPGNNTVTAVVPTTWWNYLKTILDT LESSGLLPLPLVLEAVAGQPLPGASDEGLMPGVHITPFKRVVC PFICI_14783 MHISMLLSLIFTIATFLPCVNGQQKTVFAGNYVYRGVVNETAKI ISFYGIPYAHPPIGDLRWRPPVVKDTGSELTEEFLFYDASNRGPQCIQSFPPWSTESA FDSLTTEAEDCLRLDILAPKNPASEKLPVMVQIHGGGYVSGNTSSSPGASLVYQANGK LIYVAIQYRLGPLGFLAGDEVAASGSWNVGLLDQRAALDWVRKNIEFFGGDPEKITVI GESAGGASAGFQMMLKKRSDLPWPDMKNTIAGSSLTWMETGGFNGFDFRDPTGFPFRA AIMESPWWSPMMNKDQLNKQYQLFLREADCSTLDCLRKAPISAIKLATESSYNISYND GDFAYGTYYWGPAMDGKVIPEHPMQAFKENHVLNIPIMINHARDEGFEASNVSMTTEA EVATDLEILWRNKSFVTDALKNYPTTMFNKSVVEALDFVSALRKATGSNISFSDEFAR LESLLGEAFVNCPTRFIASAVSRRGLDTFKMIFDAGSQIHGATHPFLFSDTVNASGEI THGPITLPGNEKLAALLRTYFITFTIYADPNEGPADDERRVRPIWRRYLETKTDNKKI LVLRNSTDPASTTRIDDPDDNNRCAFFESSSAYLEAI PFICI_14784 MVLLRQFQQVALFALLYFNATLAFSNLPRVEQSTNELLQDIVTW DQYSIMVRGERVLFLSAEFHPFRLPSPGLWLDVFQKIKAIGFSGVSFYVNWALLEGTP GEFRADGVFALEGFFDAATQAGIYLLARPGPYINSEVSGGGYPGWTSRLKGPIRTNAT DWLDATQNYITNIGAIISKAQITNGGPIILFQPENEYTICAAALAGADLGSIGDLGEL STCLNHYYMADVEAMWREAGIVLPFLINDAFPIGNFAPGSGVGAGDIYGFDGYPLGWG GACFDPSNWDRENAIFPTLATNFTIHEQMSPSTPFSIVEFQGGTAEPWQVFIMGGAGI DSCAALLNNEFERVFYKVVYAFRTTILNLYMMFGGTNWGNLGHPLGYTSYDVGAAINE KRQVTREKYSELKLQANFLQVSPAYLTSAPSEGTFGIFTDTSDLVTTELAATEEDGAF YIVRHSDWTTHSTVNYTLRITSSGRNLTIPQMGGSLSLPGRDSKIHVVDYDVGGIKLD YSSAEILTWKKSTSKTVLIMYGGMGETHEFALSSSSGVPTSVEGDGIRSAHLSGSTVV QWDVQSSRRVVHFGRGLEVHLLWRNSAYRYWILDLPKPDPVGKFVSASRVNDTDASVI VKAGYLLRNATVSENSLHLCGDVNQTTTIEVIAAPLSCGATLFFNGHQVNDTRYVQGR LTGTVKYYEPEIILPDFGALEWKHIDSLPETTDSYDDCSWPLLNKTTTNNTRSLTTPT SLYASDYGFHSGSLIYRGHFEATGNESSLFLSISGGNAFGHSAWLNSTYLGSWAGDPN EAIHNQTFVLNSALQPIGNYVITVLIDHMGLTEVTFIGAEGIKEPRGILDYSLSGHAS QSDITWKMTGNIGGEDYLDLSRGPRNEGALFAERQGYHLPGAPILDMQTRSPIADGEV NAGVGFYATTFEMNVPAGYDAPMSFVFTNASQELNGTQPEAYRVQLFVNGWQFGEYVN NIGPQVSFPVPEGILDYNGENYVALTLWSLESIGAKLAGFSLVVDQAVQSGYTKPFLV EGQSYEQRSAY PFICI_14785 MDRLRLWMDNVRSFLGSSYFDGHHKKKVHIVQLLLVVLMIILSG TRVAVKPKGIPTTRADTIGIVMGIKTFVVITYQLVTTHVQRYKKWASLKAYSVLNFME ILFWFVVIIVTFMGISTFCQGASCGLSWIIVLLAVALICIETGLECVASTRAQYTRRR YPAVPDSATTQSISSPAAVHRNVTGASGPVPSFGQYVRVALEIVIIYLMVMTAHRNLW QGLSDEVRDSRLGVYSATLTALEGPSSDASALLLSSAPRDSGYQDLYPSAAHASILWQ SFLQNVNPLSKVIHAPTMQSYIIEAGRGPATLDRPTVALLFAIYTAAVMSMSDVECQN NFGKPQKTLLSSYLFATQQALQVAELMRTPSFMLLQAFTLYIIAARHLCNPQNTWLLS GTAVRMGQLLGLHQNPPRGDLSIFEIQMHRRLWWQILLIDGRGAQLAGSQSTQQFSNA ADFWLPGNFNDADLGPDMLEEPAVHNGPTEVIFCLLRYELGRFLSTAAPILYSREMSL EEKDRLINEYEDRIESKYLRYCDMASPLHLVASGGARSALCKMRLMAHHPSQYACGTS IPESEHDMLFFNSLKMVEYDVLGKSIRSLDSFGWHTDVFFQLDAFVFMLIELRRQKLG PDVEKAWRLIDDVFRHHPTLSGEGSSELSLEVSKLVLKAWKTREASLVETGIVPVQKP PIITQLRSRLRADSEYDFILDKTSEAAKQQFTNVDMDASMMVDWDFWDNFLQGDGVLQ SQDFMNST PFICI_14786 MDGQPGSAETAYGRSELNRFEKIDIETDCENEIKQSDQYPPLRT VGLIMVALYLAMFLVALDRIIISTAIPTITDEFNSIQDIGWYGGAYVLSGCVTQLLFG RLYMFYDNKVVFLSAVMLFEIGSAVCGAAPNSVAFILGRVIAGCGSAGVFSGTIVIMI PMAPLEKRPMYQGFLGAIFGVSSVVGPLIGGAFTKNPHLTWRWCFYVNLPIGALSILI IALFLHLPPPQQASLSLKQKLLRLDPIGNFLFAPSIICLLLALQWGGTDYPWNDQRVI ALLVLFGVLFITWLWSQYWQKQRATVPINVLHQRSIIAGVLYSACIGGVMLSMGYYLP IWFQAIDGVDALQSGIRNLPFILGLVVSSILAGGFVARVGHYTPCIISCSIFMSIGAG LMTRLRVDSPNAEWIGYQTLAGFGMGMGMQQSGLAAQVVLDETDVPVGASLMFFGQQL GGAVFVCAAENVFIQQLVKNLTTLMALERAQALAHVGATDLRSHVPADLLHAVLLQYN KAITTTFYVGTAIAAFSIVPALLFEWKSVKDRGKSLHSGELVGTDVKNEAQGKILERA H PFICI_14787 MTSPTRTWLITGCSSGFGELFVRQLRASGDNVIATGRNAAARLA HLRDTGASILDLDVSAPQDVIDAKMAEAWDMYPGGIDVVINNAGYILSGAIEELTQKD MEDAFKTNFHGPLNITRALLPKIRAKGQGTLLYMSSQAGWHADPGAAGYCATKFALEG AVECLAQELAIFAPGVKVLIVEPGYFRTRAFANIDHVPPRNGDYADFNAAVRGVEAGI VGKEPGDAEKAVSIIIDLVRGTGVAAGKEIPLRIPLGSDGWSRIKAKCENTIKICDEW EAVAKSTDFGA PFICI_14788 MPSANRNYQLIAAEKKAQQWEKIPDQWRIPMENFEGMTYLMDVP LHCGVLSDTEIEITSDYDATALLEKLKARVWSVEQVTVAFCKRAALAQQLTNCLTEIF FDEAIERAKDLDRQFISNLDSKALPPLFGLPISLKDSFDVAGYDTSTGLGCYVDQPAE SNSALAALLIDLGAVLYCKTNLPQAIMTGDSHNNVFGRTLNPRNTLLTAGGSTGGEGA LIALRGSILGVGTDIAGSIRIPSLCNGIYGFRPSVGLIPHGGVRDLTPPGTDGVRSTA GPMATSARDCSLFLKAILQADTWKYDSTAISLPWQGLPSMRKLRIGVVQNDGIYTPTP PVRRGLQKAVNLLNSTDGVETISIDLPSVLEIYRDLVAYMMLLGNNYYQEQFARTGEP VIPSLKATGLLSVPGTTLEGFFNLNDRRSKAAKAYLKLFCDNQIDAIIMPPSQHTALP LDCWKTATYTSLWNYLDFPAVVIPVDEVADIDHADDLTRAEFGAEDKEMYSLYTGPDQ YKRAPVAVQVVGYRHRDEALMRVVEVLDLINHKQSLVKG PFICI_14789 MHPKVRTACDRCYVLKERCQRATPSAECGRCERLGLACSTVRPV RPVGRRAHKKYGTDKRPQRTQPKTDQILTGLSDHDPEEKALLSFLLVQPGSLDHFVVC PSFQAEQQHSLAVQLPTLPLLKDAFLACAATLKHLQSGNADDLDAEASIRYISKAVNA LRSLPASCLQDAAVYHQVGSLLAFSIHSSIGAGVAEISRYCLSAASSIYNEVESGAHT DPWQSFLILQETMDCILYRQKPTIRINTSASSVVDRRLGLCLPLLPHYQDLCVISNSI LYAADVNELARLQKQLDDIRRVVEPWQPPNMDQLLERFESAEIVHLLAQAKLYRLGAL LLGHRLRYPFGQQDAQGQIWSREIFMELEMAKRVTKRTMRFVTLPFVIAAVEAQGELL RLQALQLVHDCVDQYAPSLQKVTKAFLSRIWHERDMNLTTCWFDSTYKPCPILNSING S PFICI_14790 MDALQKKSIITARGFTYTYYVSDPAQASKDAPTLFLIHGFPDDA QLWDGIVSRLPQYRVIAPDTLGYAGTSKPTDPAAYEFGAMAKDLAEILDAEGVDKAVT AGHDWGSAVAQRFYVHQPERVSALVLLNVAYSGPATEPFDLEATNAFLEKTFGRPLLA YQELLLSKEGPEIMRKHVGRLYDGMHGAPRDFFGELLGVRGNFKKWLLNENNDWDVEV RDYAKDPELKRLYVERLQRDGFEAPICYYRGNKEHIQHAVEKDMPRERFVVKVPMLYF ICSQDAVCRPEAMIPAKLGGLVPDLEEVTIDCSHWSPLEAPGPISSALDDFVKRKVIS PFICI_14791 MFPRSSLRRTGAYALGLAATTSLVAAGPCDIYSSGGTPCVAAHS TTRALYSAFSGALYQVKRGSDGATTTISPVSAGGVANAAAQDTFCASTTCLITIIYDQ SGRGNHLTQAPPGGFSGPEANGYDNLASAIGAPVTLNGAKAYGVFISPGTGYRNNAVS GTATGDASEGMYAVLDGTHYNGGCCFDYGNAETSSTDTGNGHMEAIYYGDNTVWGTGS GSGPWFMADLENGLFSGASSGQNTADPSLSYRFLTAALKGGQNVWALRGGNSASGSLS TYYNGARPSASGYNPMSKEGAIILGIGGDNSVGAQGTFYEGVMTTGYPSDATENSVQA NIVAAKYATTSLTSGPALTVGSSISLRATTSGYTDRYLAHTGATVNTQVVTSASSTTL KQQASWKVRTGLGNSGCFSFESTDTSGSYLRHYNFELQVAASDGTKQFNEDATFCPHT GLNGQGNSILAWGYPTRFIRHYNNVGYAASNGGVHTFDSATSFNDDVSWVVGTSFA PFICI_14792 MDSNQDHKLQLMWRYLIQLCGVPGSEDGGAASWPRLTDMTTDEF RHELWNFILAEHPDALVLRFLRARKYNVEAAMAMLLSAVRWRRERQLDKTVILIGESV GLKDGPSEDDKGFIAQYRSGKSYVRGTDKENRPIYVIKVGLHDPNMQSAEAMETYILH NVESIRFLAKPPQDKFCLLFDMTGLGLRNMDFHVVKFLLLIFEAKYPETLGLVLIHNA PFVFWGLWNIIKGWLDPVIASKINFTRKTGDLLKFISEENLQSNYGGRDEWQYKYIEP EEGENAKLSDGEQRGEIEKERDELINRFEQETIQWAALPIDQPSMKRNETAVLLRENY WKLDPYIRSTTYYKRAGVVDTQGNVDFHAAK PFICI_14793 MACISRYLGLLVAAIVGLAALQCTEARPWAGHHPLISRADPHPC AQAAPAQTQLDLNSTVLSVQSRPWGMVYLDETIAFAAINFSVGVLDTSEFTPKLKYML PLPPAFLIGNDDVDEDGYGLREMALTHDKENLYVATGYGAVILDVPRALLGRNDSYVG VLSSNGVAGRSAIEVSITADDKFAFVSQEFGSNTTHDLGGIEVWGITRQANRTVTSVH KGFIGLGFRTIGQQFSADHNRLFVTSEINGTARSLNETGGIISVLDVATLRNVPNQSL VKNVAGGCHPVRSVMSVDGKQLWVTTRESNQVLAFDAVKLADNTTMDPLLATLGTGTS PLGITAIKDYIFVADSNRFLYSGTTTGVSVFNIRDALQKGTINFPQIPTGAFPRSLAA SPSGNTLLVSEFNASSIRAVDIRALSAV PFICI_14794 MAAQETAVPATPNDLPSTQDTAGLFRSFVYKLAHVCDNTSGGTT MTAFTILRTVSGGVRYFFASNQRLPGELDATQEYVVRLLRLVNDSPPRSKITGLAEDE VLKAVLLFNQKRLTGYFRRLGFQARDCSDNASALESNNEELVTSSIRNFIESSQLETA LPAEGSEFVNRIVELLRCVSILSKSPAGALIQEQARQGRMLGHKSQECWSEFQHTLSR IMAYIESVNFLRQAQKIWPVLFNRYEVVALPSSKPIPRPSRRKSETASAIVGRMTRKQ EKMDLFRDYVASLQNFDLDERLRKEWRKDSFRPIVHAEIVLLDWLERNGGVMSQRFFN DWKYIGGSKPTCKLCHYYFELHDARVGHRSSHGNLYPSWRFPDVFEVQGEEGAKARQV MMRRVLDRIREDAFALVEQKVPASYKHHDSNTFSTMFTFQDRLTAEGKSIDGTRMDEI ERLMKQTIIGNK PFICI_14795 MPKYSVFVIFANRPALDESDDGEKPHGDPLYERILRDFFNWMQG EIKAERLQGADFLDESSEETSIKVDFQTPDEVKEGKLDDKLKESSIDDAADDGAPPLV ASKSSVRRGSQHALSRDILGYFTIEFPTVDDVIAWAQSCPLSFEGCSLEIRRLHDTKK AIEDIPPDIRERTGDQMLATRERNLQEGKLKKNDDGTLWAKVELEGPAKDMLDEAEER KAQKEQE PFICI_14796 MISLVRLALAISAVAGALAAPTAELDLPDFEFGADALAPRQDYN QNYKTGGNVNFSPTSNGYSVSFSGAGDFVVGKGWSKGTTRNITFDGSTSHSSGTVLVS VYGWTKNPLVEYYIQEYTSDGKGSAQGTQVGTVESDGSVYDIWKHTQVNQPSIVGTTT FTQYISNRRTARPGSGTITTKNHFDAWAKAGLNLGTHDYQVLATEGWGSAGGSSKYTI ST PFICI_14797 MPAIMDDPSSPTIYRVSGPAPYPEPGKPGFPASIKPRQVTLRDR QTIATVLPFASSSQVPPSLLKYLSDQFAKEIEGGDTYPMVEPMSLEQYSKYWFQNFAA IMLLGTIDSPDQVVEGKDWSKECLGSFYIKPNYPGRSSHICNAGFIVTEAARNRGVGR QMGESYLDWAPKLGYSYSVFNLVYETNVASCKIWDGLGFKRIGRVKGCGNLKSYPDRL VDAIIYGRDLGEAAGDGADGPVSEERFDKIKFYLKYGKYPNGSDRAEKSRLRSAATHY KLLENDVLMLKDKEVISDPARQMDIAKQVHEDGSHAGINKTTATIAERYHWSRIKETV SDVIRLCSECKELGKMPLPNATAARKITTPNPVSFQPAEVFKPPSDAEPRPPDLESTE RILDLRNPTRPPPTMNDLNVRPYDHQSDLASLLNPEPISPDHLLLSEPLPQISHEHRE LLDATSNLSVIPYDPVNIFAQIDPQIIAQSGHHDANDHFDAFSELGTQQPPDQYLHSP YDVHMPTSHPPPDDHDTYLKLQALLNDEPDTMSHDFEPRSAVDGDNVLSSGMPHHEQD NDVVDQGLDMLIDHPDDHDQGDLDTTLGTEGSDEARRQGGGQNFGAEKRDRRSTMLED ARSPKRRNWDHVVFDAS PFICI_14798 MPGRKRADGTGPIRRRARTGCKSCRERKIKCDETKPVCRNCSSR GLTCHSGLQLKWHEEFATRGVAFGRQGVWTKDSGSRGSASNPLRTTSNEGKWLSVPEI KSHHFVHADLTDFEPGSSEIVSPHMLVEPDQNATTLSALSSCIIVPPIPRQLAPLSTS IDFDSGLFEYYLRKLCPLTTTSRTASSPFAQLIPALLAHAGQDDVLQSLLAFSARHRS LADPRWNQKAMSLKGGVLASLQKRLSVSDTASLRHMFPQVLITMMFLCLYEIIGKCDH RWVIHLEASQDIIRIGRARGLTQPHDNTYGLAAFAERFFAFQDSISVIACGKAPLFGA DYWDNMANKRHVDSWMGCSPELAGILCDITEMGRAKTAGKMHAIELFEHADAMEARIH SLESITQVPNDDELIWSAELKRLSALIYLHCVLYDATPSTPVVSQLVRQILEQVLRML RAGRARALAFPVFVTAVELDPTDEIVLRHAESGESIHGRRLILETLEAMSTDSLSNVT RIRAVVKKVWKLRDMNMGDKSGASRTEPPSPGQGNDWATFVGPNSLYISLA PFICI_14799 MKTKGKEDNNRLQFILLISSLFCGVFVMALDATIIGTAVPSITT EFQSLDDIAWYGSGYLLTITAFQPTFGKVYQFVNVKAVFMTCVMIFEGIQKSTNEDRT PTSAVFIVGRAIAGAGAAGLFQGALAIITKSVRLEQRPLCISIVTSTFAVSVCIGPVI GGAFTDHVTWRWCFWINVPIGAVVLVLIFFLFHVPEGSRDKTFDSMTFTQKLMKMDPL GSLFIISAVVCILLALQWGGQTMPWNSATVIGLLVAFPLFLGLFAFMQWKQGVDATLP LWLLKQRSMVATAIFSFFSAMPSYLYGYYIPIYFQAVKESTATQSGVQFLALAIPQIF AVVLSGALVTMLGYYLPFIIVGTCIGIVGSGLFLYLDLGTSTALWAVFLVVCGVGVGL AINLPYTIVQAILTEDNVPTGNAAFQFMFQLGAALSLSIGQTVFINQLKTYGQALTPT IPGEVLVRAGAYNLRALAGSEQIYNQLRQVYMNALHDTYILPITASGLALLVSFAIEH KNIKKISKEREQSRADPETIKLSAV PFICI_14800 MHTTFVNPTEPERSRRRNSFHPDTLHMSRIWGYYCNCYGTPPDF STVPREFSPSFDFNLSTDYHRSHNGRLTSSRIGVAPHCDVYLFQDRDTVKEVWKKSAS MCAGKVHVFACKYMFGMKQKTLSLYAADNSGPFPKPYPGSHVLPENRMHRILSNGIDK ALTGSGFDPKLQRFKKILVSQVQQQLSSTDEGSIKIDDFHKFMHHTVGLAIITTIFGP NLTRLNPTFMDDLFEFEHWFPWLAKGVPKFIIPKAYAVRRRLHSHFKRWYAYAREHYT ESSIGPDGDEDPFWGSEWMRQRQEALHKIGDEDSLAAGDLGVAWASLSNIVAASALMV VHVSQDATLTDRVRADVTSRVTQGPLIDANLKDLSKSPLLSSIYAETLRLYVQTLTIV SSPLENVSLGKYWLPKGALGLVNSDMAHRDNEFWNTKDGLHPLDSFWAERFIVDPADP SSGPSRLVPRESQYEQQEDGKPYFSLKGLEGSWIPYGVESSTLKFSPRNLTLVRATMV LVSLCQNSQL PFICI_14801 MLRPILLAAIALLATYLYNKLRYKRLTQHAKLPQLPPSLLLGHL KTLDKLIKRGPADRHPDVMFSEMHESLGSPPLMFVDLRPVNRPMVLVSSYEVAEQISK ASQDFPTSIPKTDLSYMSSLTGPTSILHAHGDEWKALRKRYNPAFAPQHLMTLLPCIV DRIPKFIQHLDTLVKTGNEFAMVSLVTNLTFDIIGAVVMDVDLEAQPEDPSQQGELVR LYVELYSTFWDDKANFPWWMIPKTTMKRNRLEKRVNVLLDDMLRRKFAEHKTQGSDQS RSILSLSLQDATDLTPELLNETRDQIKTFLLAGHDTSSITLSWVFYWLSRNPHALNAV REELDNLLGPDSDPETIYAKLLSPDGPDLIRRMSYISAVLKETLRLHPPAATARYVKQ GTGFTVRAPDGNDYCLDDMIIYNCEGLIQRDPTVYGESYYYFKPERWLVDAANSTIPA GAWRPFERGPRNCIGQDFAMIELRIIIAIVARRYDFVKVGLGELSFDGKGQPILNENG ILVAKSEVYSTRQVNSKPVDGMKMKVKMASKSH PFICI_14802 MPVSFIIFKRAGAASWTSIRRYLSSRRRGGGSSYDIDNGGAGSN ELKAGPESSTIDNGNPGRLPKLPRIHLGNWTGFRTLLSRRENNAGATTELRTYGELNS VDEDYHAQLKRAWGSPPPPSHPRTSDSVSVPGAAV PFICI_14803 MKLLLKTPSRAMPLYEADDSGMAIKPNKRSTVAHVDRINFHQAR AAQKFLSTQHLTFLNEKYLTTFERNLQQLGSHDTDTWVEYPDLYAFLQTNITRTSVEV LMGSKVLEMNPTLIEDFWEFDMAVPWLFRGWPRWFLPRAYSARDRVLDAIKKWHAHAH AQSDCTKLMDDDPEWEPYFGSKLLRARQEYALKMKLMDADARASEDLGLLMA PFICI_14804 MDEINSCNGPSGLDPNRLVAQPLLQSCYAETLRLRVTNGMVRQN DSEPFVMGNGYRVPKGEPMVIFTHHPSLNEESWNSTRSSSTDVPLTQFHAERFLVPDD TVEENTSNGNVPGADVEGGKYKFSLDGLAGLWLPYGGGQRMCPGRHFAKAEMLSTLSL LLSQFDLELMDTDKFQPKPDSNWFPTGALPPDCKVPFRMRRRAKATYDIDG PFICI_14805 MRFSRLVKSLGAWALLSRGGAIALDFQDETCQNELYLKLAPLAT DPWAKQFCSDKYHKTDTSLVTTFITATSVSDAVTTTTSTTSTTTTATTTTTSTSTTTT TSTATSTTVTTTISTFTVTTIITGTSAGVDPDPETTSAAVDPETTSADVEPDPETTST IVVPDETPSAIVDPLTTDLPRKRYARCHKAKPTSRIKPGGGTSGDKPDPYQPHFKPAS DIPFKGDLGIPYSDSQNSGSGAAPHIGPNKPFHDNSPKYQHNKWTPDGNLEGNAAPYS YGTPTQHSPSDPTSNGYSSDPKAELYQSLLYAPAATASKFCSCYQATETSTSTTTSTV ATTVITSGTVTDIVTSTISTTSTSVTTTTTTLTVPTTTETTSTTTASTTVTTTISLCA ILEAESYKDPS PFICI_14806 MDQGTEGIAPARPVGTCGEEQQEKAPVTGRVSKPKKGIPTHVCE ICTKKSERESDPESSRAGAGGDPGRAPVPSIESDPGLCAPETESPWTSNPSSLQTPAS LNNSGLHGPWSIVYDPDFPNPAFESQFTLNFLTPYEKQPEGGHLSYSKSAFTIPTISP YGTFVSKATVTTDDHVATPAHSLDTTEFAPDSGYGSVQAKLDRLKLSRDASTSARVDS IENTTEERTVHSKASNIHGNQLEYFISELAEELAAALPRSLPKELMVTLRNALPSLLE GFAIRLGHNESGPAKMRFACFVHKYREQITEAVSRVLAFEEEEKDDNEVPDLPKINEM STIDKVSLWQKQQDLPHDNAQDKTSWNEEYDATDDFEEYPRLHAYRSILVHSTAFEWL QSAMLREIQLEIVGKNNAQARIKNQILTALGRQEKISRKEPPKTHRLVFRLLWFSGFF TEQQYDLPAHEAFSRVLVLTGEHNQAWAGTCLEYVRTLWPKCGERIIELFAHLLRREV GTLSSCTLDDDTQLGAKIDATGRRLEILAVGNAFAIAEVGEVLAWLQAAFWATPEDDS IINVVPTCFISALEQNTSETSTSMAGNDCRLLANMSYMSWTKTPDFGNCCWMKLFRNP VLVSGYPTPRHAEARGGIELDLGTMAELIGTRQLSKCTGKPLFKTALAALVPLYRVND FIYWHMIISSDGEYMSYCDERLKPFLDNAPSGLSTADIERCRHVVGWSTNVKNVTGGP SAEYDIGWSSLKPPSPGCVLEKISIVGGSYITAGVSCVLGKKDKAVHIRSRDDYTMRL KWIAKKYVVLYDVGDRRAWLVDGTSALLHLVRASLKHDASDPFKSLLLYDPQAFQEAQ EHQSGKTASIHVLTNPHNLGLPLYSKPVTSREETILGTSRAAQHVTTQTQTYYCFRDR VQGISDVLEQIMAHQADESTQDGIGFRLKTSARRQLEGFDFMDVATDEDPFWPRMTTL RATGRGWVDFTRAIHAITLFGTGFGELVQPIQSEGTKSCEECQWSVAVPKGQDVLAVC VSELQEILQKRGSTKTSPWRLVDDIYWHTPDKTFEACRCVKGSPSKHERMQVLLPSSM PKFWTRGLSSPINLNLGRVSQGAVLFGHSRRFPLRWKDHGQPEEGTPDIEIEEIESSV RDSGLGSSISSGSADNTQDRACSPSPLSFSSPNEAGKRDCGQALLSNPDEGIDKSMAK RRKVENIQGKESGTTTPEIGSENAKAMAGSSRMSNFFDSMSRWKSSARET PFICI_14807 MRLSLFSGIALSALCATAFPTSVINSDLSNEDLARINDLAAKIS ADVKAKRVAGIDAIKPGFDADAQRIDTTGDHAWIAPGPGDIRGSCPGLNTLANHGYMA RNGVGTVLDIINGSVELFGMGVDLATFLTVYSAVMAGDLATVSIGGKPPSSALLGVGG LLGQPQGLSNSHNRFESDVSPTRADLYITGDPVSLNMSQFETLYNMPQGPNGYDLTVM HPFRGLRFQNSVNTNPYFFNGPFTGLAVQTATYVFTYRFFANHSAEYPEGFLDSETLK SFESVVGEPGSFQWIPGHERVPDNWYRRAIGDEFGLAAFTLDAMDALRHLPNLLVLGG NTGKPNTFTGVNVTSLTSGVFNAETLLEGNNAMCLAFQTVSMASPDILRGLVGNVAKA VQTLAGPLNAVISALGCPQLQKYDSSLFNQYPGSKGAFS PFICI_14808 MSWVCLLVQVILMQLSINLGFGRHILDMDYKNLNSITYLGASAL TASIVAINASKISFAVTLIPLTSGFYRGFIWFSITTLILFAIPVTVLPWVQCRPLAKT FVDFYPGTCIDKTISLKYGIFQAAWAAFMDFSLALLPWKILWGVQMRTVEKLGVCCAM SLGFLAGATAIARSIYIIQLTTQDISYNAVQSVIWSATESAVTIIAASIPILRKFLKE KISSFGSYVGGYGSSGKSRRTAQSAGNSEARRGSVPLSRISIKPDMPKMDTQRRMSQE GTDDESSRSILHDGSLPGQAYTTREFPEDKAFA PFICI_14809 MLFCSICGGPLRPPTQVDGTQWSDEVKWQTKVVLLSDPVKEFEK LEEHYRAGKRKNIEQAHFDIDQDIRQDAATATWSNTCTVTGSGEEYPPNWLAGFDEFG QAYPIPYSIAVHEACVEVALGVMRKSQNNVRVRSLRTLWRVLRTRYDARDNEYMGTVE WAGPQYIVMENGYYMPLGFADDFSVWDGDDAHWVVADPLNIPSLTSQIIANTGTLQPS SVNPGAKAFSESFLALPQELQDRIVWFMGSFEGLSPRCTGLLPQETWQHILLDGRYLP FLWDLDVPAIENFCSSLAARSTEINWELLVRKLSKGVWTNWRHHETLEADLELFCYSN MNVPNGLRNRRRIWQLVEEMYVGDVLPVRRSWVNSKQLPTMPLYWDEYGDAAYPVVRV TGILEEA PFICI_14810 MAPANKIQDIFNVNGLVAVITGGGSGLGLYAARALDANGAKAVY IVGRREWTLQEAVKTAVNGNIIPIVGDVTNKESLLKVAEQVRKEQGYVNLLFANAGVS GPRDSAALKKDGGGKLSVQEVQQRLFEPPMEDFTRVLHVNDTAVYYTTIAFLDLLETG NKKRNVPQDSQVIVTGSVAGFSRFLASSFSYSVSKAAAVNLVKMLSTFFASEGLHIRA NLIAPGLYPSEMTTGQTDTMEKFPGVPGHDGAHEGAYVMESKRSPAERTGSEEDFAGA VLFLASRAGAYINGETLLTDGGRLSQLPGTY PFICI_14811 MTGTRSKTKSESEVHILDSFMDDKDCYALTLLVKDFRFHIMVSP DDLASSSDGDHVAKEYLSLIRAVKVRADESENNDDNPDSAYRPGTIPKEDNDDKSNKR EQRSANQDSDSGVDVRDDATSNDDKTQTGNEEPVQVNEDVEVKLHEWMLKPVSNIFDA LPSISDDGDLQSLIDWYSGPTYFYTLHGKDGELDCQQLEASEELEERIKNLIPKISIP KYIKRLDIPWYNASDIIVLAESDDPAPYRPCQVQAGGDKYYLKTVDRDQPQPTRREIK LMKEIESKRLHEKMRVPLVTGLVGWEDSKSEIMGFLMTNIEDPTPLTRMLDSDVVEEK REKWARETERMVKLLHDNDIVWGDAKADNFMVDRHGDLWIIDFGGSYTDGWVDPELME TEEGDDMGVEKIVNALEDPEANTYDPTEEVEQMAGNKRAADDSTSDADSNTAGDKRRK LSNTERD PFICI_14812 MVKKRKNNGRNKKGRGHTKPIRCSNCSRCTPKDKAIKRFTIRNM VESAAIRDISDASVFQEYTVPKMYLKLQYCVSCAIHGKIVRVRSRVGRRNRAPPPRVR YNKDGKKVTPTQTAKAA PFICI_14813 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGAKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDGDGKIERLRRECPAETCGAGVFMAAMQDRQYCGRCHLTYVFDKP PFICI_14814 MGGGPENGYRTVAYFVNWAIYGRKHRPQDLPVDKLTHILYAFAN VRPDSGEVYLTDTWADTDIHWENDSWNDSGNNLYGCLKQLNLLKRKNRQLKILLSVGG WTYSSNFKQPASTPQGRATFANSCVELIKNLGFDGIDIDWEYPQSPAEAADFVALLRA VREAMDAYSRTLPQTYHFELTVACPAGAQNYEKLDLPGMDRLLDFWNLMAYDYAGSWD QKAGHQANLYPCRSNPGCTPFSTVAAVDYYTSRGVPANKIVLGMPIYGRAFQGTDGPG CPYNGVGEGTWENGVFDYKKLPLAGAEERYDPESGASYCYNPATRTMVTYDTAAMAQM KTQYLQGRGLGGAMWWESSADKEGPESLIGNVVHVMGGQGALDQTPNCITQPATKYDN LRDGFPNDRY PFICI_14815 MEEPRNVYWVPVRRKHNLDIEADSSSHQHHHHTTTTGAGAAAAF ATLATSSTAHTFTRRQVVGSGDLGASTSTTADVMSTVITAVIIFIIISTSLLGLYIWR HGRQRKPWTSSWQTANDGMGQQQMQQQGRGEEGEGEEQWISGDGIAARYAHHSYRDAP LLAWNEKSQVYERTSDVASSLGVNHVLTDDDGDYFFPPNASITHSRGAV PFICI_14816 MWLAWLFWSFLLIASALPRDGPAITADDRPTELRDLQIVEVERR IPEPIIVTITLTTTISLVPPGYSTAPMTTHTPIPWTVPGGSLPQITITRSRTPLTSTA DATSITPTSSTSLSTSSEIGTTSTSSIGVTSSAAPTTAPSTSSTTLAITTSSSDLKTT SAAITPSSSSPPIPSDPSPSAVEGTSATTSSSAPGTSTALAPAAGLQGHSLAPGQIAA VVVGAIAFTIFVSVVAYLVRFAVIRRRQSSAEKRQRLDGEDAATAQQRYYQGGGAALA AAAAAANRSRSLHEGEFRIVIRTPPPAAAEEEEQRMRQLWPSPPGGTQRFTFFSGRST TTATDSATDRGQWSQGTENGSSGRSHGNRNS PFICI_14817 MSQSPAENDRRRQPKSKTGCRTCKKRKVKCDESRPACRKCVKHG VKCDFIALSESDKLELPSPLHQPYTYHHESGGFTINDLELLHHYDTSTCLTLTTEPLT RNFWRVNIPQIGFTTPYILKGVLAVAALHLARYRPERKDFYTTLAFHHHNAALAQASP LITKVDTDNCVNLFLFSTITYYFAFGKPRSPTDFFLADNDAVPDWLYLFRGVRALMES TGKLMRASSIAFIFEEGIKMHRAWEALEYENEGFQELQRNIQASVGTHEPAKLRVLLD AVETLRKSYAVVHDGSQSDENKSRGVFVWVYKISDFYVDLVSAGDNEALCVLAYFCVL LRRLDFMWWIEGWGLHLIERIYARLNDKYRLWIRWPIEEIGWVPSY PFICI_14818 MSDVIEYVFYSYKPSMAGAVIFVILFAIAALYHIWLLVKNRVWY FIPFVIGCLFEAVGYIGRAMSSTEYPNFTKNPYIIQSVLLLLGPTLYAASIYMILGRL IVLLEADNYSIIRPKWLTKFFVLGDVLSFFAQGGGGGLLTTAKSQADVRRGENIILGG LGIQVLFFGFFIITTAVFHRRIRNEPTPKSLRVVAPWRKLLYALYITSMLIMVRSIYR VAEYAEGQGGELQSKEFWLYIFDALPMVVVAFAFIWMHPSKVISRQLAMDPEYAMEDG IGRTAQRTDYK PFICI_14819 MNTSMVKSKFLSNPEDIGVVAVGFSGGQCKPGVDAAPKALIEAG LLSQLRDELNYKLHGDDEVHLYTDLMPKEDPPFRNMKNPKAVSNVTEKLCDQVYAQAR EGRMVLTLGGDHSIAIGTIAGTAKAIRERLNREVAVIWVDAHADINTPESSDSGNVHG MPVAFVTGLAKEDKREYFGWLKEEHMLNLNKLVYIGLRDVDPGEKRILRENGIKAFSM FDVDKYGIGRVVEMALAHIGNDTPIHLSFDVDALDPMWAPSTGTPVRGGLTLREGDFI CESVHQTGSLVAIDLVEVNPSLAIDHVGASETVRAGCSLVRCALGESLL PFICI_14820 MASTITSGTGSIVFERRVATRIHKYHWPAVQLNVWMLVMLAAAS TIIGVFATFIYQQEILGLSVPWYFPYFITVGALTIIYIAILLWLISQRRLLPSIVIIG AFMFFVLWMVGLIVVSIELWGPTGSVNANCNLLVFGQDPGSSNQERLAWLQQRSICQS WQALWAFALIGCLFLLWIMIMAVQVFYDS PFICI_14821 MDALKNAISGNKTSNTNAQASGQQSDYLDKITDFGVKKSGHEAQ FGRDKQEKATDALRSGYEKMTGNKVDPKWSN PFICI_14822 MMRSPAFPPRRPSHLVRYIFPAAVLICLLYYLSSAGTDVSTSHL SSISDSTKLQEQQSPYLPDKNNDPSPSHEADTGKAAGGAVEAEKPIEYDPIHHDAPSE GVPSKPSIHPIDSLITVADVTMKEMLDKESHTLEAAAAEYRKRRGRHPPPGFREWYNF ATERNAVMVEDFWDQIYHDLNPFWGMDPNAIRKEAWDYEMTINVRNGNASAKSDWFWT QIWLNMTKTIEKYLPDMDIALNAMDEPRLVVPFEDVAGYMEKEKKTRSLWPPKQVISE FDTLPSVKQGFKKPSTIKKHWESTEPYWLIARRGCHPDSLARTVKPQKTFDKTPEFQP SYAAPHQYQGFVSNATLSKEFCHQPDLQGLEGIFIKPLSTSATKVLFPMFGGSKLATN NEILLPAPMYWNEEERFVGGGYHGSDWETKAGDLIWRGVATGGRNTAKNWKGFQRHRF AAMNNATKLSMAATATAPPVNFALPTGTDQVAAQREGDLDNWVWDFANVGLTDLACDD KIDKSLQQKGGRCYYTDSEFDLVLGQSMNFQFDFKYLPDIDGNSFSGRYLGFLRSTSL PIKSTLWREWHDSRLVAWKHFVPMDNRFLDYYAIMEYFIGYKDKAPSHDAQAKKIALE GKQWAEKVLRKEDMQIYTFRLLLEYARLSDERREKMGWVEDLL PFICI_14823 MEPDAELYREFLQPLLDKEGTSLIPKSGIIWKELNSVLSPQYLP HQKPLKRDDAGSNAPNDTLLVNVNFTYHPRKKFQAFDSIATLLLHQFMDSIKTSSLFH KYGQVRMLVWVRREDKTNLLPRLMQKRKRTASDAELLCHSINEVVGWNSPDHFAFTRD TLIDRASAVATLQRMQDAGLKVPRGRETDTYLQAQKDLKNLDKLPVPGTVPPVWDRAY MKDLEELKALNPRSGTRDFDSLKSKMWRNNSTLKKAEQYHDLLQRGKELSAAKTRGDM SEEEIRAQEQVLSTEYERLPITSKSEFTTHRDNLHLWQQAEPVLLWDRRSYEPLLGAV DEIFPNIEASLLDISPRPVHHRIRDIGFGSNRTGESFELMEKALWAEPRADLKRALDT VWPGASDWIVPRCPSLTDPKQGGVNVDAKGLELAVRSLSAKQWEEVLEQWCQWPLRPD FVDLVARSLDYTEDDDAMHTR PFICI_14824 MLSHSYVPGARLARGEHLVFVRIDSYQFLSPVKSRGIPFRVAKP LLEIGLQHGRIWVSYRSTVVFIMLEPSQRIRRAVHANDSLLVQRILKSHPQLIHNPDI TPGGLSDSNLHLAAKLGHLDICKILVANGHEDPVPALNEHHQTALMLAAGAGHTEVVH FLCENDPSCIQRRDIRGRDAVMEASLGGHDTVLQLLLTFAPGGATAAVQSSDRDGNTA LHFASSNGNLLVLRTLLAAGADAEKRNIWSWKAVAYSATVQAEVYLKNLVGDIEKRRR AKSDGDESRKGAAVRIVEHDASPSG PFICI_14825 MATNIGRGWSPGSGFDDGNLPLGTSFAGYGGFPSMPISGYPVGA YDGFAMAGMTNGFAQTIPGPPGGVGPVYTMPANGYQHPVPVFPNQPEGPRYPTAHPVV RGDAPALNMQNSTGGMGCEPGYNYYFPAEHTKVHILQCTVPPWRMANGTNIPFTAYHV PVNTTVAEMMKGWGACNPQRKKNRITEVVQGGEGRFYKGTTFSGDEKAELKLTAKEIG WDMTRSGRAGEKPVVWLWVTKD PFICI_14826 MTSLYDVSVPIIRESLQTASSILRKGVKHAQANNISDADLLGAR IYTDMVPLPNQILILHRVTERFIEKVAGRPTSTDLTGVLSPYEGNVQHLFDLLDEAIR DLDAVARESVDGKEDFEFTFDLATQIRKATGANYVHRYVVPYSMSFQPCVAH PFICI_14827 MSATQTQTQIEVKPPPPASSAQGKREGDISDSFASLSGTAFVPL PQKFLDLKRRLIQGHEDEVVASWGRLLEQLRIENEIVAREGPNAVPSVDFKDLDSNLA VLRSELKKRGVAVIRGVVPEHEARQYKTELEEYVKKNPHTKAFPQNDPQVFELYWSAP QLKARSHPNLLKVHTALMGLWHLSDPNSAISLEQPLAYADRLRIRQPGDAKFALGPHL DGGSVERWEEDGYGLGKVYDKVFAGKWEEYDPWDASTRVPAVIDNYNGLGACSMFRMF QGWLSMSYTGPHEGTLQVNPLLRLSTAYLLLRPFFRPIKSSADVAPADFLSQQNWEFC GDEMTSDLQGAVLGAGQELNDELHPHLELNRCMVHMPHVKPGDFAVWHCDTIHAVDRV HMGKNDSSVLYIPVCPTTETNAKYLKRQREAFITGTPGPDFPGGIGESQHIDKCGPEY LRSLADTEGLRAAGFARLSPKDQEPNGSRKAIEVANQILGF PFICI_14828 MVKFVGNDESGLPLKRKQVAQACDSCRKRKKRCVHEYDLSPEDS SPTYNSESPTKPVRRVSHNAGETPDLSPTELRSQGSIPPQPESRAATRFVGDLNPRHL FIEATSPHSARDLSVRGGVGVWESRNTAQDARSAAAPRSAPSLIGPSQTMQNLLAQQV QVKYQTCVPAVQDWFALRNIYLGKQDPLYPVINIALADGQDAGSILVRQVISLAAAAN SQAMPHLRLLPNGVLLSRPEFTAALSVAIYTTVESGLITDRVLLIRVLLLFSMYMQPT CPEEADMPTAIFAKAAHQFMTLGLHLDMGAAEADHEDLRSLFLCTWALDRLNSAIYGR SCTVHERDIGWDFEDSIKRQNPPFRLLLSVVNQLEDVIDLYRPQKDKDFIDMPILEQL IIDSEATQVSETLLATVEVFYHAVAILSCRVNQELTKSALPSRANNSRRSLSADRITE LVRDSLGDRDRLSYLPIIPYAVSLSLSVMYRKMRYSQIPMFRERGLRAFEANTKLLKQ LGETFWTAKTTGEMAERVLQEMGRATAFKNQESGKNSVREGSDPHPLPAIQTQINGLP RGLPLTTLPPPSSMATMMPEAPIPEIDIWEHINPQFNIGAIEAAFQNTLDMQAVTYYD WGQNPSWPLWNERHGVE PFICI_14829 MPNPLIWVVATVLIPAALVRSPQFYRGVERIHRKVEDYKHGRDP NDPLRPGEATREPEHEGRKGFFKYFTEEMRNQLRGTPTEDLPPHNNNKSSKREK PFICI_14830 MLFFNIISPVAIVAFTSALTLPRSTHVSTPRFLTTQDIYVEPRE APICFNGQGSVATGVNEGISTLRSRAAHVANGFSLIPLAQNGGQCVRLTCSYNVGIWA CNDADVGALVTYENIARYAENIRDACGPASNGIWVHGQQFASEINGLRWNVIVGRDDG GC PFICI_14831 MSGKLAVLFAATGGAHALAARQAGCSFTAHTEGNVTYPLSQHAS GQSRAGSTMTPSVFTLNATGLTDAQGRGCWWTPPTTVLQCDVGQVPETGFEIGCDGLI SFNGQTTFYECDTADGDQVNIYKLPGQAAANCGEITLVAEACQDCSGDSSSASQSYTA SGTSGKTSVVNSATGYSTASQPPAGSSVKTSAVGSSTPVTSYPAGTESGSSPTGSAET PGTQITSTITSTSMITITSCGPEVTDCPDVTVTEYAATETQYDCGDMDCSLVSTPTIQ TTPVQSTPVVETTPAVETTPVSSKYSASSEQSVPGYSASGSSAKTPSTTPVAETQSVP QYPTSSSESSEIASTPVVPVGSSQSTVSVPVYSTGKASSSVQSSHVTVPSQSTVPVAE SSSTSQHGTTTSPSTVPVAESSTPQQGTTIDVDVTTTVPCTTSSGAISTPETSAVYST TPVVPVESSQSIGSTTYLQSTLSKTSTVTVPKYTTGVSSIESSETVPVYSTSEVSSVK SSQTTVPVAESSTSQQGTTIDVDQTTTVPCTTTSGAVTTPQTSAVYSTTPTEGSGSTS TIQSVYVPSPSTVNIPESCPGTVTNAGEFNLPHLIIVTDSSSPDTANGTGYFGDITPT RSTIFNFDIETKDAGKTCNLVFLFPTRDTLETSDFTFSGSGALDFSKLTTYATQETSY NNAPSVESDLGQFTVSPGNAYNISSFECPSGSTIAFEMADVSGGDTELWFFQDYNPCP LGLFITTS PFICI_14832 MASQGGGANYLHEQPGLEVNHHAGLEVDPRYAPRPESEGLQTVS YQQEKAYTGAGALPEYHTITTDAHTAALSNYDGQPPEVVPAKEGAGAGAGPKRKLTWI IVGAIIAVVVIVGAVVGGVVGSRAAKSSSSSHDDSTTSGNSSSSSTTNATSLENIRPL SRIAATGWRDGGVARIRIFYQGPDMKLRTSWYANNETSWSDPTILTELEYEPGTNTTL AASCSVETTSAVQYKMIYLDGNSTIRGHGFTEGRNDVQGERMIINDYPITVPSTSRLA SYWPFVMAQNDGNNFQWIRYWGANGGPDDADAWWTNSTLNVIGSEGTGMVVVPSSAWY LDDGGFIYRRSDGKLKTYEVEKGSNNVTGLAWGDDELSYDIPSDTAIGSFVIARPDNS NNYTNTYILYQDDDGIIQVMWQDDESGWKGPSTYDAFNGADMGTDITCVTAAAWDGSG IEVTSNENLSRCYFQVSNQLREVSYDGTDWTDKGYLPIT PFICI_14833 MFDRPISRIFHSLLAYANLALSGNWHPEDPHRRIRSMSLSLNRS RTAAMEPIRLDSRRAILRNSLALRMNHCYAINGNVLQFLERSDGAHVVGNVNVAVSAR NVVAYAKFIADSLAKVHVAIPGETTDIGIGCLVELGEYPWNDGNAKSVPHNVGLGQVF QRQALVKTLTVLEPVADVVAYCSLRKVAVSILIEDAPCHFENWILLPAFCSLCLGNRI SFALFHQHHQAYPSSTTTTDIPRMRIAKMSANHQDGPSLSLNNAWRAKVEEIDEALLK LSTNTDHAAYIHAAHGAGKSTSLLVHAAGVIKTANPHARIIYILNSTIECSVSTQYIR ENPSDVLNPFEDVELDPTCYAPKFLAVFTYGKFLEKLIQGNALDDRPIVFVADIPLRR TSEAEAFFSVLLEQMKRRAFTSYLFLSAYRS PFICI_14834 MLHHPIPSFSTAAQPAPPFPGHHLHTITHHIHIPQASKHDAYIN NFINNISEPPRTDRDEANMADQGNQEAAREPSSPSENGRAEREVTEDREAGNNEQSAI DGDEDNGQGGSLGQGTGETLARDASGPSEVTDRALEIARRVRGLNPVNVVLFRVPRED FLARQQGAAQPTQQGAQGRA PFICI_14835 MADIPTDTPTAAELSTASKLDMSLEDIIKQNKKPAKKRKFRERA EKEKEQKGKEREEEEEEEADEELGLAHRSKISKLDMSLDDIIAQNSSTQQAEEDSGLA GSPPEVARQPLVPIVPWKSLRRIRGPALLRSIDPTMTNYESTSGRVTVAKVDSQEAFS EFRDRYLARIHDALENGDVEVEFRFWKVPNAPNVEPRNE PFICI_14836 MAIEYIPGKKNKVADALGNQVDELGTSGKVSELLEDLFQDSCNT CLDDKRGDDDDPDDSHGQRPSPP PFICI_14837 MPNTTLKASRKSGLRKRSRSSDSETEQRVQLQEARRTGRVQPAQ GQGSDLGSEEMDYEVHDGSDGDDDFELSAANETEDEEEDEEDDDDGEAVKSNAGKSRA KGGSAAKRGFKAGRLGHGQGNASDPSDDDESSDSDGDSDPSNDSSEDSDIDLIDSGSE IEAKARVNESRKKLDKKTHEQRITEGFNALEDYKELQKIAMDQPAKLKRSFQKTKAWL ERAQSKGELMQLIPTIETFKTKGWTDQLEQDFLEAYGQSDELRHVKTLTIPGSNTSFL AMWRKISRYGATKQIRRQNRKGKQVGVAFADPIWTGRFCDHLVVLALGGPWMGNMKLL SCMIAYVKACQIDDRRSLSWKHGTTCLFMKMMQTWLAEGNRGRSIPQLHKEVRKEILA HGDSLPAYSSLLRKIEKHFFVPHAESNGNNDTRVSYYHVETTDLGELIKVIRSVRDAG HPHWPSLNEAAKIASTARAKQDPPKNVEEFRKLYKESRLADLRAEWLRDHPVTNLSPD VASEADTDAGDPATSPDPFDDD PFICI_14838 MPPTPNRRVRATPLKRKPEAQIIVPHPQGPEAQVEQRTLRSRTL LTEAEKACWHCIFRVIENYDPKVGTAPLSPTSCFCYLYFLLGLYQ PFICI_14839 MDDYAHFRSTQLAHMINCIRTEMAGADFDQALGPEFLLAIAQLE GLLAHGSPDLPVTNLHHHPEVRQVSGLSVTLLELLVRQERLARRPILNPGYYVAERIG FPQWEQIPDESVRSNCEDPSMYELNLFGQVVRVPVKYLLSERYRSVDTADEARDYRRI YQVILDILAAKLALPPQMVENLKTHCLASHNMRKAMSKSVAYRRWQESGGVPTFYDVV GMYVLGLGRWHKRFRRVMEDNGLLWMAWAPPVHPLLAVRPGQSGIRDAPVKWNGDVQP VQPYRQGCEQPWIEVELMNYDNFGHWVRRGNPVNRLLDNLQDAIMDVQELQQQPAQVQ ANAMDMNQPQAQLGQMHQVGGNGLGLNGTMELLRRGLGHLSRTVHELDDAYGQYKVAV LKAALGAMVAMALARSGLPQAVIAHLVREIPIIWEHCITIGRTAVAAGQDRAVAVMDA GRDRAIAAVDDVFRRAGYVPVRQVAQPTRGMLSRMFY PFICI_14840 MAPGYPLVAEETPVSDLSAWRRDRIINQLQELVGVPGEVVLAYF KGAPGHVADMFVEAGEESTLSADYFDWAVDRFVWGGFGLKFGNVPEFPYPEPEKYGEP YPKVYLIHWVKNNTKKILDQEKEQNAIVRPGGVDDFLDVRMQIWQKAFPESPVEKPFW LSMPRASFCNHVAQNTKDLEEVMAKMAKILSAKEFVLIARVGAEGTLTLTFAKGVDTD DSGVRLRFMQAWSDLCMWHRDVLQEKGVKIHDFFDRLYFNCFMGNFADLPALNVSASQ QAARAIRLANVGEANNELHGPEFIETINRFQASGARSLALMESKHVMERLSGTVPEQF NHVMCFINDTRFSCLSKQELATFVWGPIIQYARESIGYHLEHVFVAPDKTGMTPQQQL EVAYAFLGRLVKGEDVFLEHDLAILLDNHTRLELCEKAFMDKGPYVRLGQRTLKECVP DLKETTTAWVKSLREQLGSQGENPIPEMESLAFK PFICI_14841 MPNTRSQSKAAPRKRARSNDKGVQPKARKAQKTRAVQDDDEESF LGSDDGFESSDDSDDSDDSDDSNDEMDVDADDADDADDSDEEPVLPEIDSGSDFEDKR RVRQEIKLSDIQYDQELVNGFKALSREELKKIAMDQPSRLARTARKTKKLMKENYPVL DLQELRMWTSLDTEYSGRGWTKEMEDNLQKRAKKEAQIKYIKKLKPNLKSISAFMAMW KKICRVLKVFPTDIISPYENLEYGAGTEVYLKDKKGKQIGKPFPDPIWSKRFCDELVV LAIGGPWMGNTRLLTCVIKYAKACQVDDRRQMSWQHFTTCRFMDSLQSKLENTNGTKS IPKLHKEVRREMVAQGLCLPAYSSLLRQVEKKFYKAEQDAIAVGPYRVKTGDLRDLVK VVRSVTSGAHQLWPSLRDASKVISTSRGSTVEYPDEFEHVMDMYMKSLVSYWRSKEVN ELSRPTPSDNVSDGGLAGGPRSAPGRESSLDRQFSDHPGGDSVDDDVDMATGSHEGGF EFSGDDFADDSPEPENDPASKDGGQEDANLDDRPLIDEPAPPRPAAGKSSSSLEPADS GHVADREGIVDENVPFCPRPTRLRNFRLSSGPMDMNFKLGSRKSRFYPGPPGGLEMHL DFLESRKDFLRGMDEEEAKDELSLDGKSALVDLAFR PFICI_14842 MAENQTPGRALSPDEAWRANIDEIDSALHKLPTRPYAAYIQAAH GAGKSTSLLLYAMSAIIKANPNARIVYVIDSGFEMPIIINYLERHAMEDYGGACVGDF DPEMVLTICTYEQYIDNSVRRQWVPDEHCPIVVLSDVPLRPSVHAEVFFTLLMEQVTK DSGNGMSCMFLAAQFSRRTIDLLRNVFQDDLAIVQVPDINPEVKMILMGVDDVKKQIA ARQRDQPESSVVILSVPRPSEFAMGKQHELNPTKDSPQDIVDGNYDAIATTCAAPSFP MPNVGVVVSSGTHELKLWDTRSSQIVTMTKLISMTDFDRQKSWLIKAGNTDQTEFYCL SSESHIKKSRALDDPWSPAFNEDIMWTMLTLCSLNSKVFDMKIRTIPDCFAVHEVMRR LVVMKCIQDSGSGYYPVTSKGRFALELRSKYAHHDLDIHTAMLLARTRNKNLPTNVRR VMIRLAFIIMCGVGSAYSCLRENVPTHRILEGIQQHCEGVGLDQAGKGPVWIILGLYL KAMETGEAVREEPYMFCGTEFSLRLDFVRDVHAHVHEFEALVNIDRIDTAEEVHMTML SASEVVKVEEQLVLCYASRVMCIATKTDAVYDAASNQPLEYDDDELLDIGKLTRNPVN RDLGAIFAIYLDLVQVSKVPSGRRVLNAKNLIYIPQHVFQIFGQTHGTEWPKAIATSY PLH PFICI_14843 MPLPYKSFGMQPPVEKSKLDQSLDEISGRNEKFMKDRGIVRKRR QQDLEDDDDDVEEIVRDDGPAASREIAKRPRIEAVNHSDVVRITRGGQPRAMFLKHDP MAMDWETHGPLAMEASASTGENVVKQVAGAIERNKAMHNGVFQPMMTYTRVITKYDRR QREKLLEQGGPSAEEVLRERRARLLQAEEQGSQRAPEPVAQRPAHGNGHGQTGRQNSG SGQRSGGRSNSRPANMGAKRQLPAVPQSQSRWAKPVVSKEDLDADMDDWRQAAGLDTP TDSRRPDDGSLDYEQ PFICI_14844 MDPRSPGANSRESLANFDGLRDIRNLYKDEGLQAAALGMQFPIP YYDHRPQHLGMNGLDVMVVLIRHLYRHMVAEDREKVAEDEEENPLLRLAWCMFDKDRE TAKRQDDVREDIMRWLRKEHRVHPSLAFEDLAESRIMVETMFSKPPFLLYHPIVPCKA RADGHEWKPTRFHPKDNAASSLVTWDGHGDLGEFISNEMFGIQNDDRGNNYYYTFDQP TFIRVQYTNVNNTKTYKDLAEICVENKLVHEDGSLIPTWDKGMDDPRDNWVRINYRLI AAVRARDPNTPKDRDFIRRWHISGKPLLDPEESSFSSQQWKIGSGNGTYVLYYCMLPE THRRPLAAGELPEQTIRDRSLKANFDRMSSAVRNASKEAESQ PFICI_14845 MSDQSTNKAVVPQKDPAVKNLDESPDQRVGRFNSRQEARKRRFS ERAEEAHGEPGSANGSLELAKVPRMENKSFSQISKLIHRTGEHARNVFDLNLAGNMGF MASMNRKPLTKEEIRARSNAKRLGTDEIFAAVGNGNAALPAIEAAPQPVAGEQAARQN SGNDQNGIQAGRQAGNSFGHSFGRGRGLVRGRGLVRGRGLVRGRGLVRGRGLVRGRGR VRGNGGDGEFERGFTSGFARGYDQGFARGLAFGSSNNQSGNGSASVNVSHESHGDSRS HQDEPEAEKEDAEKKKQADREAQMGGFIKIFD PFICI_14846 MRLYHTDLLFTNTESNKTDKLKSGPQYPFAHKLARWHLSVHLNR TFLSGLLIFQRQQRQRQQQEQQTQTGSQVEVQAETQAETQPELQAENKKAPP PFICI_14847 MDELEKQRRELEAIAAKLEEGRLLLDAAVKSVQDGQARSTDLPK YQEEARKLCQEKANHTQALSSRLEAWKKELQYIKEGTQALQEVAENDGNWFRIRWEAY QIQEEIYHKALLVTTSRTTLPAELPEYIKRLVPMNVREEMTTPLKKMIERRDKTIERL EAEARDADNQHERAIERLEAEAEDVDNQHERAIERLKSQHEKEVEDLESRHEKEVEDL NTQLRHAESRTVAAKADRESTISLYNAEQTIIQEKGQIIKSLKENINTLKEEIASQKA TIEDRDLIIKTKDKYINTLKEKIATQKATIKDGVVTLTTKDTSINTLKEEIASQKATI QDRDVTITTKDKYINSLKEKIATQKATIKDGDVTITTKDKFINTLKTTIDSKKDVIRA KNAEIQEKVELIDSLEKEVQSSQGAVNAHKKTIGFLRGRISSSKRVTKLKGRTIESLR KTIKSRKLLLDARKKKISLVAARLSSVKASRAATMTSLGDLQGRYDARQAELAILQGY NTNLLRNIARLSERRENLEEVWVSANGLLQAMENLGQIRTETIKSLRGQVESNQALMA NKDRAIASLETEKTTANNSIDALNHTSAEKTETITSLTANVASRDQDKKRLEEDMKAL ESSHANAVEQLKSEQAQAMQKLSGKAEQDLLEKARQVEGLKTELSQKEAELSGKQSEL SGKQTELFRKETELSRKQAELSGKQTELSGTQRELSGTQAELFRKEAELQSSKQSLDK ADETVNSLRQKVTDLTANARKGADLARVNSGRIQDLEAQKVILTEGLAVSFATEFKSS ADVASWVPFVNSLAKHTCGNAQPLAGEKPWVILPPWTSDDPVGEKPAPSSVTSLCGQL FSGLLKTEFNNCHIHLLGMLTKSLAEEASPPVNLVILVLEKCLAESEQRHVTQHTFDH FYLIPLWQVATVLSDRWPDHPTQSLCDKVRDLVSSCYCAPVYDAIKNGCDAMASQLVG LEEPSRQSMFLPTLGMGFMGFGGQLREGILVVCPRDRSLRLVTSSQCVWEGDVYRMRA PQGEQDILLEPKTSAEYFWIFANTDQKYV PFICI_14848 MSPVVRDEKSAFAHAKISSRPYISRRVSRAGQPVRSKEQRALYR AHLAKDAAAAHQYQLLPDDLPMKGVSPLHRLNMVIHRQCLLGSFNKRSIDIIASEDNV SLYLTLEDIEAAEAAQKTRTSAESYQGTPATVGSHEKGNRKAAKAKGNPGTKYVYPAP RFKGIEDVKPKDKDDKKDGDDGKGNRPNDDDKETRSNDPDEVDGDENDSDISIGDLNT MFA PFICI_14849 MSMDTALALVQSANGLMSKVELLKGRMGLLSGMVDAALKNQPQP DGQYLLAGVERLTRVIDDDVGKFRGIVDEYESELGSFRQYFASEKVNLEASMAELHDK GERLVKERDQLEAQKQQFAVDKDQVEREQQQILDHKAQVDSDMETIRTSQATIEEERQ QNTVARDEIKRQEEEFSHKKDQAELERQQHATAMSKEKEEIQAERAKVVHDQQTLAAE RRELTTREEAVSNERAALACWQDSINRERLRDAEFKGELDTMQTLVAAERDEVAAAQE AAAVERRKIQESLAVVARGEEKIASDNANIARQQAEIDEQNRLVSEAKVRQDERDSRF KTTLEGVNQIILDNANREKAKHEDEIQSLRDRIATLDQEKLTESMARVRLEERLQPIQ ATVDELRQQLGLAKLSEQGAIARNNLSSDKLLEFTKQFLEHERNRMSQAGASRSQESA PGASQSQLSAPGASRGQESASSASRSQVSQAAGASRSQESAPEAVPQKVQTPQPMAKR DREMSPPQAQAVKKPMSEADKDKRQWLRMVQEVCDFLNTYTAIKSADSRPIPVGAAML LLCISAASSEQTRFFMTDLGLHARTNEWVCLMGMMERGRLWRLAWIEGDNKSCPQHKH EECFQVRRSGDHELEYRLVGKPPSPDGPSAMDLFSRLMDEEEEEDQSEGQDSESDE PFICI_14850 MPVLPTLSRRMVEEIDHGATYGSLFKTFKEMVEGLLLRVDRGPL REALMLPQKHEKEFLAVFHAISRPVLRSLIMGRLASDLWHSESENWKHVYGEKGAGTY ALAMCIDGRQGNWLTKRELIQVIGHLKDYAKACVLFQRLVDDQNSYNNQPLEDEEVDF MTKAMEIDNTYVSQETEWHLDVGIDDACSVTTHTTVADGTRIADYRAPRFASSGRDQQ VNINDLCSMLTRRCRQVHDPDIQQKSVPLQIGCSDKLDQRIKDHNPDITNLSKSSKAW GLLVSTIRYMGHRPKLVAVPIVRVWTSEQVGFSEILVHVLAGSFLRERGLNVHPPGKP AKPDTDKRQENINRDGKIHVWLDKPWFQQNLRFTLGAAEPGVIPALDAKVDELLSREL LDEYERVESEVEASREAYERDKTAAKKSLEEWEKLRDEMDRFDNMYGDMLHED PFICI_14851 MSTFNGIVHEFPDIAIDYFRTVPGRPPPLAYFLSHVHSDHLVGL ESIKSPFVYCSAATRAILLELEKRANRVACANGLREAPVRTYKHLKTVVRPIPLETPV SIELRPGNDIQVTLFDANHCPGAVMFLLEGHGKAVLYTGDIRSEPWWVNSIARNPSLV EYTSGLKTLDCIYLDTSMLDDLGLQTKAQGLQHLLSQVGRYPQDTVFCMQAWTYGYEE VWIALSKALQSKIHVDEYKMRVYQSLVKESGDPDFPLLSHHSKEAPYLVGFSSNKKQF EGCLTRDENVRIHSCEKDTPCAIMASKPIVWIRPIVAHLPNGEDISEVGLGGGGDDLE QQVNVAQMAADNIDSLLKMQVVISHLLEFHLT PFICI_14852 MALVNDKHSLDESISKDVENKFESILWSMIRLADERRRQDDTGH PQSATSLPTRITFPYARHSAYPELRHLIETFRPKDVWPNTVDLSKWAERDTSMRRLFG TSCSGTEFRHDLLVHDAIQELRQELSQEHGFSQGNSDTQTTSTQGFSSPFKSNEPHGE SQYTRDLGARQSADVDAMPDADEEIPLTRKRSLDALYSDGDTTQNDSQSQVQGNEPRR RAFQSMIQNLNNDTWQPIHLISTTDHHSELEAELGQP PFICI_14853 MVDATTPATPPAVHQAGGGQPSGEQHRIKNINASLLKHLEQVFN RHAGTRKTWTKDQVIAFLHHVQADRVNDPSGDIAAKEELDLNGFLQYMTSPAADALAP PLDEDFSWPLSSYFISSSHNTYLTGNQLYSDSSTDAYKNVLLRGCRCIEIDVWDGDDS DSETSDSESSDEEYTEKKAIKKASRKEQLAKKIPGSITSRLENTSLGRKLEKYTSSDK QLDTASTDSVSIQGEAKGSDTSLKPTVSGPQAVAVEPRVLHGYTLTKEVSFRSVCEAI RDNAFTVSSLPLIVSLEVHCSAQQQEAMVNIMNQVWSDFLLAPPPADAKILPAPSDLH HKILVKVKYAPPGHTGGTTAPDESRLPPEAQATSAAAPKKKKKPSKIIDALSQFGIYT RGVSFKSLSQPEAQMPSHIFSLSENGVLEVHEKQAPDLFKHNQNYMMRTYPSGMRIGS SNLDPPEFWRKGIQIVALNWQKWDEGMMINEGMFAGTGGYVLKPEGYRGKKPLATSDE KPAPITSGEIITAPSQSQISGKTCDLHITVLAAQNLPLPEDDHNPAKLRPYLKVELHT EPHHTLQLHQSGKAKEGEYKAKTKTMKGIDPDYKGEVLEFKQVPGVTPELAFVRFLFK DDEIGRDDLAAWACIRLDRLRSGYRFIKLMNQHGVASEGVVLVKIEKKFS PFICI_14854 MKPYTILGCIATMTLAYPGMDRVVREIKARDYGIEKRSPEMIGD LIDGASSATGSTIKSILQGEYAIARRSTYTAPGPIDSDECKKDTCCIWSYIASDMQNA FQDGSSCSDLARGAIRQGFHDAATWDKGSSYGGADGSLLLSDELSRSENAGLESIGDQ TKSWFQKYRDHNITMADLIQAAAIVGVVSCPGGPRIRLFVGRQDNSQAGPTGMLPSAF ADAQSLIDLFAAKTFSADDLVALVGAHTVAKQSTVDASRSGASEDSTPGTWDNKIYSE TLDSGNKSILTFPSDKNLATYSQTSSQWNTFACTNGQSLWANASILFGIFQALLTLL PFICI_14855 MPDTPPYSPTASNAPGDGYRRKRIRLSLACNQCRKRKVRCDTTL PKCRNCILRNEHCETTDPRNPGKGPSLRTLAVKDGQDQSLHLETGRLEPNNTVVRTSP GAGTPPESSGNSDLSPRSQGMGVASRGKGPSWLERAYQENTASHENRQIPVSTPDLVV NTDETAHRVKYLGGSSVQCLCRFVDIHLAHKGLEPASSRFKHGMSQSEEIALALFPSL PDLPPPEELNSYLDCFFDRVWPLFPIVDRNVLQSDLDHLWDPRMAQLGPLSSRVSPSQ VPSLVIIYAIISIGADEMNTRTSETSTRYLAAAYSLYAHLVATPYIASVQALTLMALA LRGQVKDGQAWHLIGQAVRIAHSIGLHKPAIRRNHDGSGNGVTGDENTHPSLFGRIWW SLYSLEKLTQLESGRPSIIDDRDEDQAPSTCLPMSGSQSDYFTAWVSLARIMGQISEF IYSKRPASSLDLFTKLATLDTALVEWDRSLSDNLKLGQNVGAIREEVNSQQHLASFLS LQFYYAHITLLRPAVIFPHSSYRTELSRHVAVLPSYSRIVNGAAICASAARATVTQML NLADQGVRSVILGATPLYLAAVVLALSILRQPARRLARADLELLNLATEQVEDYFSRW IPNKEFIRGCSLLREQVSVAFHQFSAEPRLGATQKARDSSTVPMDRPDDADAAISNTV QAQPDDWPEELFEGLQFDELWDIMGSDFLMGNGQISI PFICI_14856 MGSLGEETSSIVIAIALRAPNRKITILERSRMLRETGALISLQP NASKIVSSWGIDTFLASCKPMVDKAFRLFDISGKLVNEVKLNTSMFGADRIVYHRQDL HSALLSAATSTQLSGHAVEVRTGTKVVSCDPKAGIVTIETGENLQADVIIGADGIHSV IRTAVLGEEQGAIPTGISAYRMLLPADLLKGIDIPLDILDPADPVTTMVVGHDRRVIM GPGRGAEMFGIVALVPDEKGREKSTTDSWVAEGSTEALVEVFADFPGWMLDIFKRAPD VALWQLRDIDPLPRWVRGRAILIGDAAHAMLPTQGQGASQSIEDAEALQAFLADLPAR PTGDQVERVLNTVFEARFDRASLIQRYSRQQARPGTDAKSNTVKLDPAEFMKYNCDYQ GAKDWLSKSAAK PFICI_14857 MDHLRSNVPDYYTPQQYTPIPLPSYPPPTFGAPTPYAGHHLHQS QTQHHPPIQYNPTRHGGQPPLVAQTPVPIPTPAPAPVSQQSNQAGSHSLDTSGHDETP LVGETSAVGGPKGFGRTSAVYQVDGNGKKRRVNAEDFRAQVEERTRNGESCEQIADAL IAQGAQVTSKSVGRWRILWGFRKRAVRKQSKPPKPKEERISSKQIWQSRSKSDITRMT QQGLSSEEIAQIMTRRGMALKKGSSTIARLQTIWQLRGTEESRLKNRRYLSRRKARRL QLEEFQSYAKELGLENPDEWVKNKMDEPAIQQMRRDAAYELMGDDAPKPKEPKSKLPT RRRTRKSGNPPAGGQDAFAAHPDVANGNDADSSDNDDDEITADISLAPRTLRSGRVSG NNTFVMSGNESESSDQDAEYQPMDGVTTLEDVDNEIMDNGQHGYAPLFEQDDDDDEED EASEEEPTAPAPVEASMNFTNATMVPVLPDDAERESMDRLINSADGYIAAAQLLKDLL QAKSLGQPAPRSLTGLPPSLSDIEAARHRLKEAAQATVGLL PFICI_14858 MFAIKTIGLTAMLLGLCQAAPAVQARQDIQLLFLCNDPNQVDCL DFQTTPDQCSNIPGGYNDNVSSLNTFSDSSICTFYKYYNSCYPFYEYHSNLYSSNPDC DESAGSIALGGAQDSLPTGFDNTLSSLKCHICFLPEGCS PFICI_14859 MMHSWNSFLFTLLSSLLLCTCTAASDYHEQLVLKPLPQNSLLAS FNFKSNTSVAAFEAHNFQFFPRSLGQILQHAGTRELHLRFSLGRWDAETWGARPWDGT KEGGTGVELWAWLEAETTEEADHKWLTLTNALSGLFCASLNFIDSTRTIRPVMSFRPE GNHPNATLANMHLLHGVLPREVVCTENLTPFLKLLPCKGKAGISTLLDGHKLFDASWQ SMAIDVRPICPAGQECVLEIEQTIDMVLDIERSKRPRGNPIPRPPPGHELKCDTSKEY HARDTCFPTETLSPQDWTISQVFGKSMKGTCPLANPDVPPVCVQAPHERHVFTSSGVT ELKVKDTERCYFVPPEDDFELVLPHMQHDDDANLPATEEYVKPERPFLYAERSFTGHG QQRGGVQTIFTNPSPDAEVEFVYLESLPWFMRIYLHTLQARISHKTGVDKGKALVDEN SVIQEIYYRPFLDRERGTQLELRMRIPPASTVFMTYDFEKTILRYTEYPPDANRGFDV AAAVITILPPTGKTSNLRTTSLLLNLPTPDFSMPYNVIIFTSTAIALAFGGLYNILVR RFVGGDEGPEVSVVKLRRKIQEAVGRLKLKLAKPATKTLDVAMAHEKAGEKVD PFICI_14860 MAAEQRKLLEQLMGNPISSRASQLSLTDPKVCRSYLVGTCPHDL FTNTKQDLGPCPKVHSEGLKTEYENLPPQQKQRHNFEWDYMRDLQKYIDECNRRIDAA QRRLEKTPDEIRQTNVLLKSIADLSATINVGLSEIEVLGDLGEVSRAYDEFYKVRLAA AQKAERERELKALSDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFYGKMHLGYAQ MRRTFDAFPKELRGGRPPRGPGMDDGPRGDDGDWKGPRGPRRGGYGGGGGGGRGRGYR GGW PFICI_14861 MDDQFNGRTDDDLFADDFEPVEQTYVEPAPAPTTAPEAEPVPSA PATTVPKPEQPSTRSKPGSLSQSRHNVHKNRSPSKPATATSSTKAAEPTQASSATSNT SPTNGTPQPVATASAAPTNAPKGPSAATTGPNTAGPNRHLSGANPRTKLSAAQLDQKM ADMRIANAERTRKFQEATLDEKSHDLALKKGEEEARKRRAEEAERKKRNDEDRKKLED ERQRNRERKLKSQGLWDQQKMLTDEPEKRNFRSAQGGVRGERRGGLGGSRFADQDESR DQSSQSARDANSGEGRGRGRGRGRGAARGDRGARSAFNQGTERANNGNVPNKSVDSPP KPEDFPSLPPQPKVDTADKGPAIKSTDLPFLPPSLNSPLIGQWDDEMAALDAQREEQT QRDAHPA PFICI_14862 MSTAEVVARRSAALPALTPVVSGSNGSMSKPSSTTSSSTTANST APSTATVTLEKLQAKFASSRQKRVRSPGLNKDEREDRTPKQRQRSFTKTMEPPVLSFY GEKPVPLPSRFGHLKRRLVAGHESALEASWARLLTALREEINHIEARGTDLIPSIDFG DIKSSATVRSFSDKVKRYGIGVVRGVVAKPDAEAWVEETKKYLETKHEFKPPPAQDPT CFDFFWSPCQVRSRAHPNVLSVQRFAMSIWETTDDDRLATRFPICYADRIRIDTNTTS DLNVGSGPNVEESLPPASSTLIAQVDNGSLERWEVDGYGHSGCYDAIWRGDWEEYNPW DPTGRINATTDLYNGAGACSMFRMFQGILALTTVEPGMVRLLPSPKLATAYFLLRPFF KPKSPAPENHGDTEAWEAYMDASNWQLEKEQTTIIHGAVPGHAQRVTDTWHPHLRLNA SLVAPPTLNPGDYIIWHPDQPYAFEKPPPSLSMLVYTPAAPLTQTNALFLARQRKAFL RGHPGPDFDSTGSGLGSEAPHTGRLDEKDIADVGGQEGLQAMGLAPWILDKSMVEESK MGTPAESKSGTPTEEIKPALLNGYLNDNKSEAKISATEADVARIANMVLFPERFDFWM PSRVATPSVEGGKGKEAELKKT PFICI_14863 MAPVIRDADIEHWLLLMDRFDNRPLSPSNGDSATELLESHRPLP TTYLLALSQDLAEDGGLDQSKPAVGDTPGEDFYRVGNVGHCESTSMGFADSVMTPFTA QVSL PFICI_14864 MLCRYTIAGVLVLAGLFGSSESTPTSTPAATSNQAAASQYDYII VGGGLTGLVAATRLSEDPNVSVLVIEYGAEDRTNVTKIPYYATTLNTASLRSLYSAPE PQAGNQTFLVTVSQVAGGGSQVNGMAWNYGSAGDYDGWEALGNPGWGWESISSHVKKD VQFTVPKPDIEALYNYSYDASAYATEAYAQSSFPEFQYPDMYRYIAAFDELGDVPEIQ EQANGNNAGGRYFVPAAIDPTTMTRASSLYAYYDKVSSRSNLKLLLKHQVREIVLSNS TEDDLIATGVNALDRETNQTVSFTASVEVVLAAGGVYTPQLLQWSGIGPKSVLESSGI ATKLDFPAVGSNFQDHPVAYYSWDLNNPTFPRATELTANATFWDEAVDLYFNNLTGPL TKAQANYISFPALSAIADDSDTLVANLLEQTEGAYLPDIYASYPELVAGYEAQKRLLA AQLANGSVSAVEIPVGGNGGMLNALQKPLSRGTIHLNASDVYGEPVVSYNFLSNPFDR AILFRSLEYTRRLQNTSAVASLEPVEVWPGANTTTEDAALQAMIDVGWLRPSFAHPSC TCPMLPRELGGVVNSDLQVYGVQRLSIIDASILPVLPAAHLQATMFAVAEKASDIIKA RS PFICI_14865 MAAPSGFFQNRRVYLLTGVAYMGALLFGFDTGVMGSVLALDSFK KDFGLPQGGSGFANEQNAYVSSNVVSLLTAGAFFGAIIAAIVNDIIGRRSTLFAFATV FMIGAAIQTGASHDLAYIYAGRVIAGLGIGGLSGVMSVYVSENAPPKIRGRIAGLFQE LLVIGSTFAYWLDYGVDKHIPSSTKQWRVPVAVQLIPGGLMMLGLCFLKESPRWLAKK GRHEKAHAALAHVRCKSMDDPEILEEMAEIRAAIEEENNAMEGLSWKEVFAPANRKRF ILAFFIMMWQQFSGTNSIGYYAPQIFQTIGISSSDSSLFATGVYGTVKVVATAIFLFI GIDKWGRRNSLLGGAAWMTSMMLIIGILLVKFPPDPTASGVSSPSIAMAVMIYLYVIG YSASWGPTPWVFVSEIFPTRLRAYGVGLAAATQWLFNFIVTKITPLAINSVGWRTFIM FAVFCLAMGIFVFLFVPETKQCTLEEIDVIFGGVDAETRRRDVEEALGAERKEADLAQ DERVESTQA PFICI_14866 MKFLCFLTLSICAALGAASSAPPLGFERRALLRDFSNFKREENC TTCAIYDAAPVTSAPKPNVWTSVSAEDNVAVWQLLHDPASGLNLTDVSIAGVNDNYLF YIDTVPLNKTDVIAYLDQSGPKPAQYARAIIFEGGREEPISQEYMVGPLPVSNETTIQ KLDYIYNGGMGGSVPFNGRTFDSMRILASDPVLIEVMSNITDITMALLGGVWYGDYNE SSTLISTTTSPTSFNGTSAFRIVVFKFPGPANYLRMLDFYLIIDVTGTDTTHYKLKGI VTNTRFFPTVDDLRAAFDAGELTEEFHVPEDYDWALVNYDQAMGKRDLEERFAPQSIE IGGKRYKVDAEQKYVEYMGWSFYVAHTLSLGVMFYDIRFKGERILYELSMQEAAAQYG GFTPKSSTTLYHDTYYQLGGELYPLVEGFDCPFGSTFWNISAHDGNTTIISPDAICIF ESDAGFPLSRHRAAGGTTLFGFENFGVVKASQLTLRSIATVGNYDYLFDYVFHVDGSL EVIVRASGYLQSSFYYPDQGNWGPRIQEAVQGSLHDHVLTWKADFDIVDSKNTLEATE LRAALTEQPWWSELGAFEQMELNRYNLETEQQFNWPDNGQIMYCVNHANATNKWGTKR GYRIVPGRNNVHLSTLNSPFSRNNSQFSKTHLAVTRQHDNEPFANSVQNLNMPWKPQQ DFSKFFDGESIEGEDLVVWFNLGMHHYTRSEDIPVTLYNEAVSSIMFAPQNFFDRAQD GDIQNRRWITPAENSTTELDYDTFGIELPQCKVELKEGRVKPLEII PFICI_14867 MKLSILLPLGGFVLASATPLSPRNATLTNGTCTSLNQRKAWHTL EDSEKSDYITAVKCLMNSPPVTGITGAKNRWDELHYCHIQQSNFIHGVGAFLPWHRLF IHLQEKLLQDECGYTGAVPYWHEQRDLELYGTIDKASIWGSDDLSFGTNGTGPDGCVM DGPFANTTLHIDQVWGVDYYDDYCLARSWNQTAWLTANQSYVDICFAKDNYNDANFCY VDNPHSCGHLATGGTMEDQNASPGDPVFFLHHANLDRLWWLWQKANLTSRLTDMSGSI IPPNYIMQQNRWLYPSAAFINYDGDPGNETTLNHVLWMADIIPNVTVSQVMDLNGDMI CAEYIEPDE PFICI_14868 MAGKTQVVRHALIGFGARNAELLKHAVIAFLAQRDTFKEIGLQL EFDVYSDRNAPAASEKAYIWDEAWAPTQDGAIRTAAPVSAPLRGVEKIQPDVLKVLQD AVNVDLAIRQEIRSNRAALEGQYQTINPAAHALLQESSLPLGDVDVTQPFGIRSTMGV ALRRGVWDVVNFVKDNIPEIRIYFHWDAQVTFINLSDSQKPRLWAQKDGDVGGNLEDG DEGEAFDFVHNAQGLLKVQYFDPEPLQIEHRVFTAHPNFQLMVQQLAANGLLSNAGLL QVGSKIGIVGLGSSAYDYASILLRLTDIVEITNNGWRINETNARRWPGLLTFISPNNI VRPPLHFRTRGDSSDMRWPPFETRSLISTEELHALTLQINFDWTSVALPLLLANVALL TNKDVDSVAGHYLKFRPSEVDAWVRMAEYHEQNQQYEAGNVTEMALLRLGQQLLTNGH GLEAYPEDADRVLSAKAPLTRRRGAAARKAAAEEVSRLRHAATSLDYRFIEFWNLMQS WNKAAPVPLQDMMAQLFALGVAHHQQASTDDFSASTTHADRVAVRDRTYDVIFAAPTN GVRSEWQLDQVEFPYTNDGSNIKRAYQEVPARPHLRTLRNQDGSGMSTSERQPKLNKG RTWIDSDNEPIHVFDNGPASLGERCCYPMDGPRVLGVKDADMESLATIFDWAPTAGKT LVAVSALLARGNSKPIMDFMQLYEQGLPTPDVFNNETALFAAAWREVNEKSCFVKIIV EYNQKRPIVHTYLRYAFEADEREQAITQIDRVCPGARRKWQSLLGRIRVFDPIRRHQF YRTRHMDFTPDEMDTLWASVLTRVAS PFICI_14869 MTSTTAIPLRHAARPGSAEEWVSIESPVTPIEAESVADRITRIQ ADLAELSAFYKVDTSPLRYSRFRSYYDDELSSLSQAPFETYTQDEKVDFILLKNYLSR ARRTIELEKARDEEFAPLFEPFAADIRGWIEARMRVDQIDPQEAATSFHSLVDKVIKC ESLASNNGAHRYSKAAGYRAVKMIAKLRDHLKEMYEFYSGYDPLWDWWMRQPYSELET ALTSFTSTLNTAIVGIKPGDNDAIVGEPIGKEGLLAELEAEMMPYSPEELVRIAEKEY EWCESEMIRASQELGFGSNWRDALEHVKGLIEPPGSQPVFIKSLVDDGTNYVKNHDLV TIPRVAEEAIRMVMIPPRQQKVSPFFLGGPVLYVSYPTAEMAHADKMMSMRGNNRHFS KATAFHEMIPGHHLQLFIAERSKPHRQVFETPFYIEGWALYWELVFWARGDFFTSPED RVGTLFWRMHRCARIIFSLKFHLGQMTPAECIDLLVNRVGHERATAEGEVRRSFNGEY GPLYQAGYLLGALQLWKLRNEVLERGLLKEKDFHDRILKANMMPIEILRALLLDQELQ RNFESKWRFYGDI PFICI_14870 MTVGLESRLGGSAVNNGECKDNGAEFFAKGIFKKDNPTRALCKT IIHFWKDQRILRVNKRKATVEMDLKSQTVSASPRLIIHGGAGNIRPMSPQKYAQYRDA LLNIITKTNAYMTTPVSLKQEARSSRTGCPSALDIATYAVELLENNPLFNSGHGAVFT RDGINELEASVMVSSGFAKRGVGVTGLRRVKNPILLARKMLEHGEGDLRGKADLGWAS RDEAGENLDIPSAQGHTLVHGPSAELLATKYGLDLVEPNYFFTQTRWDEHVRALEREK SEHQVHTESWATATWSADEFLPQGTCGAVALDADGVICCATSTGGMTNKLTGRIGDTP SMGAGFWAEQWEEDGTPTMSPSRRATPPWTAWREYLGAQSPVVELSTQLKSMVAQCLP TPFIYQPVAQDQRLVTTRSAGLSGTGNGDSFLRVAAVRTVVGIARWTGVSTAEALKRV AGRNGELQRSAGDRWGKTGEGEGGMIGIEAVVVRDAASGDIVDIRHEILQDFNCGGMF RAWIDEHDHAVVRIFDGIKDGDYAVHG PFICI_14871 MDHTRDPCPWVILNDFGGAFAMGAIGGTIWHGIKGFRNSPYGER RIGAITAIKARAPVLGGNFGVWGGLFSTFDCAVKGVRRKEDPWNAIIAGFFTGGSLAI RGGYKAARNGAIGCAVLLAVIEGVGIGFQRMLAGSTKLEVPQPPPSNETALA PFICI_14872 MPTINISSDAARPKPQGEHAEFADLSAVKTRDLPTKAAEDVAYG PSGVRGIISSPYILFAAFVASLGGFSFGYDQGVISIINVMDQFHQVYPFAATGFGKGF MTGMLELGAFLGCFFMPWLADKISRKRALVVVVVIFNIGAILQTAAVNYGMLVAGRTI GGIGVGTLALGAPLYISEISPPNLRGTLLVLESVSIVTGVVISFWITYGTRELAGEIS FRLPLGLQMVCPTLLGILINFFPYSPRWLALVGRPHEALTDLAKLRRLPESDERVQTE LRGILVEAEFQKRMQEIRHPGVKGFRKELVSWTDLFSKKTWRRLCVGLGVMFFQQFSG INAFIYYAPTLFESIGQSADMALIMSGVFNILQLVAVGVCFFIIDKLGRRPLAIWGAL GGGIAWAIMAILVGLYSHDWPNHTAAGWAAVAMAFIFVLVYGVSYSPLGWALPSEVFP SSTRAKGVALSTATCWLSNFIVGVATPPMIEQIGFGTYVFFAAWCFIAAAWAWWFVPE TKGKSLEQMDEVFGDNSGNEEKDVLKAATLGIESIYPKPKVDSADVATP PFICI_14873 MPSATSHCAPSPLIGAPPHTTPDLDHVLKHESSVLALAVGNDSI YAGTQDGEIVVWSLGSFQQTNRIQAHKRSVMCLFLSDDGSLLFSSASDPIVNVWCPRT MTRLYEIYSTYDSFGDIFSVAYSSQYETVYFGAQNTTIQWAGLKDSGCRVSQDSQSHP DRRNHRFFDSRAVGGTSTPRPTDERYDLIPRSHTVLETDRRCIKQYAHYGYVFCMLMA KGVTASVEPEDDVLVSGGGDGTIKIWKLGGKEVGPDGIENGLEEIMTLGEDNAESVMS LAIDGSFLYSGKIKGIIELWDLDTRQKLRVIKAHRGDVMALQMGRGYLWSAGSTGTAS KHSTVHYGEYQPNAAQNVSQKYQLLTQFKAHEGKILASAVTNHDGRQLFITGANDNNV AVWVVESPPASEQSPPEGDEDMMISSLREFVSFKTISSRPEFAEDCRRGATFLRTLFR KLGADVHMLSTDNNNHHPVVFAKFAGKLTPAEKRKRILFYGHYDVVPADAKNSKWNTD PFQLKGTNGYLYGRGVSDNKGPIMAALYAVTDLMQAKALDSDIIFLIEGEEESGSRGF RETIRQNKDRIGNIDYILLANSYWLNDETPCLTYGLRGVLHATVCIESKHPDLHSGVD GSYLMNEPLTDLTTLMARLKGPRNRVLIPGFYDGVLPLKPEEEARYDDIVSVLVNQEP VNMTATDLKKSLMARWREPNLTIHRFKVSGPDGSLVSSHASSHISFRLVPGQEVDQVI ESLTAYLTKEFEHLESENVLRIDVDNKAEPWLGDPDNYIFRTLEEAIMTAWAPLFAST DDMPQDGGPGDGGATGRRPSLEQLDTRESHRLTFGDSSSKSIQLTGNSATPLTKPRKP LYIREGGSIPAIRFLEKEFDAPAAHLPCGQASDSAHLDNERLRVTNLIKSREIIGHVF RKL PFICI_14874 MKLTPLVPGVASLVGVASAGTVLWDGRFNDLSSASDLDNWSWSN QVGPYQWYIHGDGETTEYVNLSSDYKNPADSASTQGAKISLTDTAYWNGQTMRRTELI PQTSAAINSGTVYYHFSIKRSDVNPPAQTREHQIMFFESHFTELKAGWLSGASGTTDA NLRWMANSQTQWNATWEADVWHNVAYEIDFDGQTVAFWHSTGSDALTQVVAPVSVSAS SNGADFHVGVLELPRDGYADADEDIYISGVYIESGDITTEIGSGDASGSSSSVATSAA STSTAAATTSAAITTTSSSTLATSTVPATTSVSSTQAVTTTKATTTSAAASATA PFICI_14875 MQITTGLFAALAATTALAVPVTDAGLATRAAAPQWTIVGMKRVC DTADTTCTWSFSIDTHLSPATACTFTVKGKPASQTSGTGANCGVYTVTSGWSGQFGPG NGFTTLSVVDNTQKKIVWPAYTDKQLAGGAVVTPDQSYPVTSL PFICI_14876 MSHSPLARHVARSLLDKRAVFLCGYEQTVEDHLRQYSEAQKHIT SKKVREKAKRVWVRERDIAYSAYFQLQQSQLKATKQSGKSAEVNLEDLALQPDCIQHS ISPSASMTPREYHQADRLTKLSYDFTWRNKFLRVSLPQDNIAGFSRYDAINPGVVIVA ESGSLKELRCRPSDTISTSGSSDVRPALESTRSSQIPVAQLDESVKALTGRRLKFLEI KKTKVKAGLAIVSAWDMDIPTLWDIRRRVEGLSYERMPKDPFLTDYPDLKNFQGTKCG TTVSIPMALRCSPYEIFQHPISEQLTWQEVYDKALAAQKRECSEEISKLDRPFVGPSF TQSPRREASVHEALAYCKRRKTLAQPFIKERNSYLAARVVKERAMSQQLTNAVELVLG FASYQRSFE PFICI_14877 MFVHAGLAMMALPLVFGSPAAPISPRAVTGTSTFYGGNLSGGTC SFTTMGSLPSGIYGTAFSGSSWNSAANCGACLDVTGPNGKTIRVMVVDKCPECETGHL DLFQDAFAKIGDISAGKIATSYTPVACGISSAFKLHNKSGTSKYWFSMQVVNHNQPIS KLEVSTDGGSTWKSTTRQDYNFFENSSGFGTDTVDVRVTSTNGKSITVKSVSVASDSS VTAGSNF PFICI_14878 MANSSSRPPPGGGTWATPHDWATHRTTITALYEGQNMTLKKIMQ TMEADHKFFATERMYKSRLKQWGLRKNYRYEEVNEIIRQQQSTRPATATGARSSGAPV AAAGSRIRKGTGRRVRPYRKQPDNTPAPIIVRYGQQRVRRPSAVSVLQRLTPPPTGIG CRAPSPPGSPEISILFPLTPPADLYYPEECSFHIQRYCAGAFEKGIWALETPGWMNTN RSVVDWFNRMALARGALSGGRTQQGFKLLQLCFDEYKDMILTQDPRLMLYTTVSLFLL VGYPEVVSMLMKYITRLSKILQGPFHPLHQIMAALDQMGLEKMQDNARLIFDAQIAEF ARYLPPENEVLQSMAVFSIRNLAVTGLIDTDVAQAKLLALPRSADNGRISMATAQVLM MGGRYKEARQVVEELLASGTNRSRTLAGAFDTLFLICRYEGEEQTIRDASNRRINFCL ETFGTDNDWTVDACNDYETYLREIGDVEGASKVFSSFGVNMERLTEGVAELEIK PFICI_14879 MKISQIPSAVALLASPTAGLLLSCDNIVASSRKYDFSKLGGPHS VTVSHQVGPNFHNTTFTTDICFNLKRKSDVAKDEQCPHSTRICAIERIIGQHGDTKFD DFNEAWPIAGNLQQYGGYPLDNEAIYLPTSDSNSDAEKDGVRLIIKGGWHDLNGQKRQ QRAIIEFICDQELEGTEGEWKPEDEYVPGDDDKEERSLKDSLSYETAAEDGGDKSGKP VQIGYNNGTQALVFNSYGPLDSDTNIDVLRLTWNTKHACQKRDGDAGDEPAGDQPSSH WGFFTWIVILVFLGTAAYLIFGSWLNYNRYGARGWDLLPHGDTIRDIPYLMKDWTRRV LSTVQGSGSRGGYSAV PFICI_14880 MAPVATSTPIPVPNLGLNTTTKIKRPPPPGIQTNGNAASSRSSP SMSPSMSAKRTPTGGNKAQPTPTPATANGAPRSASIRQRREQSIQAGLAGQRNGRLNA LRSASLAADGIQGHSLEPRPEVITSQYILKKHSGSPPSLIVHLHPAHFRFDQQDSIFP YKSPMRVLLDHFRSKTLPHDILPYFIEQGVVFYDGCLIVEIHDHKSPAEAKDVARPTS ASSKDTPFSIHNYTPYITPSPHAPYPKENTDPPQTSATSDNAKATDDGENKESMPAPA VPDVQKSKGPVKPKKITIVLHPTAQSLHTDLMLKATTPQGAADGNVPPTPIAAVPPTP TAAAMPPPAKKQKRERMELDGKNIHAVESQILLATAEPLHLEPTKNSQELIQLLDTMT DEKHSEDPPKPKTRKRTVAERAAEEAAAAEHEKFMLTYDERLSSTASGAQGSADGAAA SGQSVPADVRFERFKVIEEIKREHAEKKEQEKARQAEQERKLALQKQEQQAQQAQLVA NRQQEAAAAAAAEKARRDEAERQQRARQQQQQQQEAQRRALAQSQAQQVQAQAQAAAQ AQAQATTAQSPPAMQHQLSQASHGHPTPGPMPNTLPQHRFQGVSQPPASSPVVRQNTP MNISSPMVPAGNVPMQHSNSGMGGSPARPSSVVQNHPMSAPMAVTMSARNSQQSHAAG TPRMPSATPQMPHGTPINRPMQTPRIPQASSPPVMMGQTPNMPMVGQQPTPGSILLGG QNHMQNPNFAAARIMEQQQRQRIYQEQQRALMTMQQNAMMQGQTPQQMMQMQAQQRFL AQQMAQNGNNAQMLNGNPAMGNVQMNQLQMQMQMQQQQQQQQMQNSMGNPNMVNNNAM NNMAGTNPAQLGQLNPNQIAALQAQIQQQQQQQQRAGQQQQHNVQANPMAAAMRSQNL QAAVQARQRIIYQQNVGQLVAKYGNLQSVPPEVQTQFHASCHQRAYQQVQEMVRSQQQ QRQQAMLQQSMQNGMNGGMSM PFICI_14881 MSSDSRTRRRGVWSHWVPLAVTVTVATVGIAAWAWSQRQNEEEE EGEESPPADLDYENADYGDNPAYGAIIRNHQYSKAESATYGVTDPHLEAQQNAGWGNS MSGALRRTPSPQQFLSNAGKAVTAGVGAVGAAMGSALAAIREDNIAYADHETWSEEAS SRKEKDKSVPNQSRDPSKLRKAVAVVVSADSNMEDFDEANFHEHASILSHIPRNTDFS RIKLFILIYSPGLKDGTIETPASNLPPASLSSSFSNISHNQAQTPGDETKSPLLKSNG DATFNAVYSQALTLVEKETMILPFSTRDGHVHILHHLQPEIVYLQESLAGDNGSQITR LQTWLRYDVILIVGANGGHGGLADSESEAEKHDGPEKWWHREDRVGRGRGVVVVDSLR VSDDWARRVQGKE PFICI_14882 MPHAVSMPSPGLQAIILCGPGSSFPTFTANPDENPKALLPIANR PMVWYPLDFCYRTGITDITLICPPSAATAIKTALNTNPFLTGLPHPHPDLVAPEDLDL TTGTAEILRLPQVKKILTSDFVVLPCDLVCELGGDKLLQAWMVRATAPYADPPQPSGG LGVWYETKTTTPIKGEETDFIATTPLAQPTVPTPKDSLLSQLSNLALSMPTDSLKDLT EEKKGFPIRHGLLRKHPRLRMLTSHRDAHIYVLPHWILDFISKNPRLETLGEDVIGWW AKSTWQDGLAEKLGFSDILKSSEGDAGGKGIMSPFSEGDASPTNESHSPTRDSQIGSS LASSKPRGSVVPPILAYLQPTEPTGPLIRRVDTAQILLSVSLQLAKLPSIEEAGAEAS VLAHSRKIAYPEGVKPRTTITKQDSLLADNVTVEEKTSIKECVIGANCQIKEGAKLFQ CVLMDGVVVGKGCKLTRCILGKRSEIGEGSTLTECEVQENLLVEPKTEDKDNKFMSSE GLEATEEELQDALMADDDNVDLMG PFICI_14883 MEHFNVDMSLEYDEDEFNSFGSQLSSASSARSFSTAPSCVPITP QSGRSSPQQHTASMSFTSISDYNFTPPGSSMDGYFPSNVKSEFRPRDMEPSTPSKKST VSPYNMNFEQSAFLNSTLPCYEHMMDFQPPGLEYSFSDQMPTSPFDHRPPPYSTHHSG PDNGALWLCPSQSSFAYSEKLSSPQSTTLCLKSLSLQEGSSAPSPYVSSNLRRQVAID DVQQKSSLLHRVQNGGRVGKKGKRTGTMGSQITTVPPSKFKCEYPECANEKAYKRAEH LKRHWTTKHNPDVELHHCPFCDRSFNRSDNFTPHLFLHVDPVQSGPKRTKYHPDAKRV YEAEMLRKKRRDGGKKQSV PFICI_14884 MDAVTIRTRKFIRNPLLGRVCLLFPYAQAQMLTNFVDVLHPGKA GISKTTLREQLSSLYKATPDQVNVFGLRTHFGGGKTTGFALIYDSPEAMKKFEPQYRL VRVGFATKPERASRQQRKQRKNRQKTLRGTAKVKGAKAKKEK PFICI_14885 MDGLPSYEEATTLPHWLQLAAPYVPMADWRRCCLVNSQFHEQFA SRLWLDPLVTARAHGLHPNDDLSWYRKFINVHLKSVRPSTRALVRSLDFRDFAIVASG LYSSDASERAISESLKGLPTLFPNLICLLIAGHPEINSESLVATHTEEAKGQVQTALQ MLDLAGCLHPLTTRMFRSSFLRDLVYLDISFLPGSVGTLVQTSLNPEFVPELRILKVR SREMDDATAHLLLERFGRQLWSLDISENKLTDATIESLIEHSFSELTYQSDQHFNVEG KLKNDRSWGTREFGPFESISESNESSSFTHRMRYVPDAPPYHCHAGQQDLQEWQVVRL TGVESRINDSAAGVLQCLLDDALQPVPEARGAASSIRQGRGGLTHLYLNGNRFTSAGI QKLLRCVKGQLQHFECDSSRLSCLVPDSRSLPQVHGLFGLSHLFRPVFASNLRSLRIH HSAVTCVPRLEADWLPPREAAIQAERVFRQHIQMAYPCAFVPDMNPRITSLTLTQIPA RSSGPLIRAITRFLDLASDQQLAMRESNTNANRRDSANLTGLCHIRLELLHDHARDLT DVLSDEGVDFDQLLDPVAPGEGQGEAFWWDHPKHKLRAHSVSSKVSCEAPNASSVDNN PVNGDELSVIPQSSGIRHNKQEEYVHCRIDAADSWTGNMFTVPVWVGCGVVGPSPALN EYMSNVLDSSCRKNIGPAMPDQIAAGVPPGSYIFHDAWTMMVLPTSLRKLDDESKRDP MDCVATAIKEYRQKTKGTNRHWNGKLELLRVA PFICI_14886 MSDKARQRVEAIGKQLAPVRKVAGASSGPRLQGKVAIITGTNSA LGIGRATSYQFAENGAKAIYLCDFDNSNLESHKRDISAAFPNVQVHIRQFDAGNEEAV KEVVGHAIKTYGRLDVFFANAGIVGKPVHFKDVEKSEFMKVMETNAASVFLAAKHAAP AMMKTSDEKPAPWGSIIGTASVAGIRSNAGPTAYSAAKAAVVSIAQTCAYQLAGTNIR VNSICPGLIETGMTAPTFETARARGTEKKIGQLNPLKRGGNADEIARVALFLASDEAS YVNGQAWAVDGGLSAGHPFVPGKIA PFICI_14887 MVNFRNLAASLAAASAIGSVVAHPGEVHTPEEVKREIAVHKAQQ VKARRSLAECANSPAAIALKGRAVARRAATAQKLREQRGLTKKSLQSKRDQTALEQWS AVDHDVSSTGYTLDTPLATIFDSNSTCALVPEVTIGPYFVSGELIRTDITDGESGVPL HLDLQFVDTSSCTAVEDLLIDIWHCNATGVYSGVSASGQGGLDTTTFRGVQATDTDGV AQFDTIVPGHYTGRAVHIHLLASADDTILSNDTYEVGSVRHIGQLFFDQSLITEVEAT SPYNTNTQSLTENTSDGIAAEEATSDYDPYVDYVRLGDSIEDGLLGFITVGIDTSADY IDSYTPAAHYEEGGGVDTGNSGGGGGGGGGPPSRK PFICI_14888 MAFKTLITFGFLAATALGVYPLARQDDEPSLPDRQPFGFAEQVT GGGNGTVYIVESMMDLRTALTAAEPRTVYVKGEIKGNQINESTYGDCQLYIDTSSVPQ YNFTLYVMSLNSTYTDSVKAAVAANETFEGRNATEYLALLNKQNGWRGTAQNVQKSWE SIGVEGNLTLIGWDSDAFLNGVSLVFNVQSNIIIRNLRMVPPRDCFPAPETYPNSWNA RYDAISVVTSNLMWIDGNIFEDGTSAVAPEEFIWGWQVDRYDGLVDVEDGTDNMTFSH NIVANHHKSLLWGGGEKEGPRDIGKMHFTVYGNHFVNSLSRNPLMRFGTFYITNNVFS NYNNKEPLFDSTSTASLHRRNDTYTPDFQYNMGIYNMSSVLVSGNYFDQSGSDPDDQT RIFTFNDLATPELPATFCSPPDLSADQAALYPQLGNLTQLASSFNGQPVNLTDNVFQT FEYYINSKTDSVAGGLVEGCANFEAQALPQTFTTSDEVLDYVTSNAGQLGRTTP PFICI_14889 MSGKIEAAVETVKANTPEKPTGLALYSRFALAGAICCSITHGGL TPVDVVKTRIQLDPQTYNRGMIGGFKQVIQNEGAGALLTGAGPTFAGYFLQGAFKFGG YELFKQQAINTLGYETASNNRTLVYLASSACAEFFADIALCPLEATRIRLVSEPTYAS GLVSGFGKMLKNEGAGAFYAGFGPILFKQIPYTMAKFVVYEKVAEAVFRKYPKNTLSD GQQTVVNLGSGLIAGFAAALVSQPADTMLSKINKTKGLPGEGTTSRLIKIAKELGLKG SYGGIGARLVMVGTLTAGQFAIYGDIKKALGATGGVEIGK PFICI_14890 MKVIIAGATGLVGSAVVRQALQSNQFTSIVALSRKPLDLEEGID QSRLKNVIIKDYGEYSEDVKQELADADGCIWTVAITPMRSRSLPWDEVKRVCQSNTLT GMEAIQEAGVNKPFRFLYMSGAAAPRDQTQKPRFMADYGLMRGQTENMVLEYAAKNGW EAAVAKPGLIIGDGVLHTVLGGFLRVTNMFPSLSLVEIAAGMLHQIANGFETEPLTTE DLQRIGTAALQAGK PFICI_14891 MAKIRVGLIGLSTNTQPMTAGTWGITAHLRPIQALADYEIVAVA NSTVASAKRSIEHHGLPAETKAYGSPDEIAADPDVDLVVVSVNVAKHFYLAKPALEKG KDIFVEWPLGASIAEAEELTRLATANNVRTIVGLQARADPAILKLKEVLASNKIGRIV SSVFTASTATVPVDMWPHGAEYYLDFKSGGNEFTIFFGHLLDTFVHVLGDFSDVQGLL KSQYTTVPVIDPSTGEVLDPAHAKSSPDHIFVQGILLNGAVSSLSLRKPKAAADEVGF RWIITGTDGELVLTAPERHWQFGLHGRSSDWSLRLKKGKETGFQEIDLKDLDTSPASM VEQPGTNTARLYQSFAEGQGLEATFESATKTHRLLERIAKSAGWEL PFICI_14892 MPISRRKSCFECRAAKARCSRDPNCFRCVERGLNCDYMLPRPTP YSRPADDTPPQTGYIRPGNVDQATVSNEVPLPAGNPTSSFDVDPELPLEQWPSSGWLR DSPLGSELGDLWQEAPTPLMPMGDSSQRITSQLLEMINEDQPDSRFACFKDLDQQQPS PFAQMVNTQLDESSFGRNHVFSILGNKCRTVSGTQFDMLAKPKRAITSSSFLTTQVLL SQVREYPSMLLSERLPPFIYPDCVLNGQRTDACIENGMHVCLPKPLAICATVVQLSSS RNRQNSSFVTKIILEEQGRLMDGVESFDTAALLAATQASMIYVLLQAQRASSASRDEL KFMISCLVDLLSRLHESSIYQSDIYSIKPLNEREWALLESVRRLAGLLFVIEIVLGVV FGRTDTANCPGFANIPLPCPKNLWDYESKTSWPYRVSRYTKSRSSGSHPTISDLVAAS NSSADLQTIPLENQTFGKVVEWCQALDDLGTLVWMAVLLDN PFICI_14893 MAEVVPIPEPPALPLLGHVGTVDQEFPLGSFMSLADKYGEIYRL QFPGSKLIVVSTHALVDELCDEKRFKKIPGSVLKQIRNGVNDGLFTAKLEEPNWGIAH RVLMPAFGPLSIRGMFDEMHDIAIQLTMKWARYGPSTPLLVTDDFTRLTLDTLALCAM GFRFNSFYTNQLHPFIDAMGDFLAEAGTRTRRPPLPAWFYKNQEAKFFSDIEVLRKTA FEVLEERKAGESDRKDLLAAMLKGADPRSGVHMTDQSIVDNLITFLIAGHETTSGLLS FAFYELLRNPDEYRKAQQEVDSVIGKGPIKVEHMSKLPYISAILRETLRIQSPITMIV VSPTEDTLLGGKYAVSKDDQIAMMIKKSHLDPKVYGEDAAEFKPERMLDGKFEKLPKN AWKPFGNGVRGCIGRPFAWQEALLVMAMLLQNFNFVMDDPSYNIAYKQTLTIKPLGFH MRASLRDGLTPTTLERRLAGHGPDETQAAEAKAAAAVQGAGQGKPMTVLYGSNSGTCE AMAHRIAADASQHGFTATTLDCMDTAANGNLPTDQPVIIVTASYEGQAPDNARHLVSY LESIEDKSTLKGVSYAVFGCGHRDWASTFYRIPNLVDEKITENGGRRLASMGTADAGE GDMFVAFETWEDNVLWPALTKEYGTTTDQESGKQGLSLKVSVSTPRTSALRADVEEAE VVSTRLLTAEGEPLKKHIEIKLPSETSYRAGDYLAVLPINPRETVARVMRKFELPWDS HLTIETGGHVPLPTNASLPASTIFGAYVELAQPATKRNILALSEATNDENDKSALKKL ATESFEEAVSDKKVSILDLLEQYPSVKLPLGSFLACLPPMRVRQYSISSSPLWNSRNV TLTFSLLEEPSKSGQGKHVGVATSYLNSLQPGDKLHVSVRPSHSSFHLPQDPEKTPVI CVAAGTGLAPFRGFIQERAALIEAGRKVAPLTLYFGCREPGRDDLYPEELSQWEKIGA VTVKYAFSRTPDKSNGHKYVQDAMWDDRKDIAEMWRNNAKLFVCGSRKVGAAVEEVAI KLRVRGWELDGVEASEEEARKWWIELRNERYATDVFD PFICI_14894 MSVFRAFDFSGEVAIVTGAGSRMDGEIGNGRATAILLARQGARV ALVDSNLGWAQETKRMIEKEQGIAEVIQADVTVEESCKLAVARTIELFGTVHVLVNIV GVGGAMGDAVELNVDAWERDFRINVTSMMLMSRHAIPEMRKNGRGAIVNMSSVSGLLG GNPSLLYPTSKGAVIQMTRAMAAQHGPENIRVNCVAPGMVYTPMVRGRGMTDEMRQAR INQNLLKQEGTAWDVGYAILFLCSKEAKWITGLVMPVDGGTTAGKADRPALKADTLAE SNTGIPN PFICI_14895 MSTSHDAYLAQPSGNCCLTGTIHQGKPKGRFTIIAGIETYVSEP PAGKANGHVLLYFPDVWGMAINGLLIMDGFADAGFLVLGPDYFRGDPVTKHRKDRHDI TTEPGFDFEAWKLKHTTFSDQAVPHWIKAVQEEYGKLQAKFACVGYCFGAPYVCKELA EGGSCVVGAFAHPAFLKESHFRNLTQPLFLSCSEIDHTFSTDSRNHAIGILKSESKKY HLQLFSGVEHGFALRGNPEDPYQRWVKEQSLQGIIGWLKFWLAQ PFICI_14896 MTEKDLEAVAPAPTASTVPDEPSSKLDTIQENDAVGYREYLEGL DVEVSDQEMRTVRWKIDLIVLPIFLVTQALQFMDRTALNYANLFGYKQALGLEGQQFN YLSAIVYAGYFFGQYPCGWLVGRYPAQRVISMATLIWGLTVILLTQCRTYSSAMALRF IMGLFEAAVTPGLTLMTGFWWTRREIPLRQCIWYSALGWGGIVGSYISMGISTLPADH SPERWTLVFYILGGFTMLWSAVIYFFLPDAPSNAKFFNHRERIVAVKRVAGNETGIKN KSFKKDQFSVAIKDPKTIILFISVIGAAIPNGVVSAFSTVIIRDMGFSTTKTTELKSV GDAVQVVSLFIGGLITLNVPNSRLLTSTAANILCTVAAACMGYLPRSNTWGRLVSFWL VNAQSVGFTVSLTTISSNMAGYTHRSFASAAIFTGYCWGNFAGVFVVKESQAPYYSGA TIGLLVGYSIKLGCHLLLLGYMFYNNRKRDRVYGPANKEASDEAGMQDKTEFENKDFR YVL PFICI_14897 MHLPASLVSMAAVACAVSVNLDRRATLLNVKLEMTGNTAVKAII ANNGADDLKIFKTGTLLEDIATEKINVFQADSKVAFEGIRLRVSTASLEEDAFQTIRA GEVVEKEFDIAHMHDLSTGGAFDIVANGAVSYAHVNSTELLGTVPLDSNTLSIQVDGA EAQASRAAFIERRTVVQSDCTSSKKTVVTTAISNCRSWATKAQSAANAGTKLTEYFKS SSSSVISTVSGVFSKMASECGSTTSGISKTYCSDVYGACSSGVLAYTLPSGSYIAYCN LFFTALSPVTSTCHAQDQAGTVVHETTHLSQIKGTQDYGVYGYSAVRSLSASQNLNHA DTYALYAQAVVLNC PFICI_14898 MATLALASWATNLTYSDLTTSVVDSAVKSIYNWAGCAIGGYGQD APSIAQATTQAFFGGPPTSSILGSNGSIGDTYADAQLAALINGIASHIDDYDDTHLET IIHPTGVVASALLAVAEWQGPVTGEDFITALVAGIEAECKLGLSVYPQHYDIGWHITS TTGSIGAAVAVGKLIGLNTTQMQNAIGVASTQVVGMQVFFGSMTKSFHVGRATQGGML GALLAKNGYTSSLEALEAEYGWLHVVSTRENATAYFDQLGKVWEIEKNTFKPFPCGIV MHPTIDGAIQIHNESASQGKSVSSIKSINLVVNSQVLVLTGKTAPTTGLEGKFSIYHA AAVGLLYGEATPSQFTDEVVNNATVVAMRQKVNVTTNDAEYNTAQALVTVEFDDGSTV EKNIVHAIGSLENPMTEADLKKKFIDQVTKQIGPARAEKAYAAFTNIGNMTDIGALRT LY PFICI_14899 MVQNKTLIFKKTPVGYPVAGEHLVVEDRGFDAEAPAPSGGITVE VLSASFDPYLRGRMREAHIESYMPAFEMNGPVVNNVAARVLKSENADFKAGDLVIAFV PIAEYVVIPEPAKVSVRKIHNPYNLEVDLFLGPLGMPGLTAWSGLYKIGKPKKGEVIF ISSAAGAVGQVVGQIAKHEGLKVIGSVGSEEKLDFIINELGFDGGFNYKKESPSAGLK RLAPEGIDIYFENVGGEHLEAALDSIHKGGRIPTCGMIGDYNTPVEKRYGVKNMFQVV AKTLEMIGFLVGKPGYGDVYFQEHQEKMQKWLADGSFKPKLHVTQGIDNAAHGLIGIF KGENFGKAVVKVKDL PFICI_14900 MSPTQSDTFNVEAAAPTGGEMRQSQNASPQSPMEEISLQPMASQ PMNPEAPHEESQMGLRGGDRGGCCPGRFCFCIPCPLPCDFCII PFICI_14901 MATVPNDAPPLQPKTIRFVNNQGQPPQKRRRINAACLTCRRRKT RCAGEHPQCSTCVKNGHKCLGYSDVPEKPLKKDPAPGGSVGSEVAVIDHQDGEYSDDE LPGLHRHDNWKQQRNGLGRRDTEDSTSSSRRLSESAQQQPATHSSVRLKSETVDWEES QSLAHHGHGAGKLPRRPTTYARHSSFSTQDGRSPSVRSPTLHHNHSHTDSYRVPYFRY FGPTAIVPGFKQMVVKVHDRRRSSMSATSPGSGVSFPSIKSIQSEHEYDQLDDVPVYD PHDSSPVHRLILDLIGVFFSHLGCNYPFLREEKFTQWVKEKRVEPILVDAVCALAARF SDSPILNRSNGKKSFRADNGHVFAQRAKAATVDTFPCPSVAAVQACLLMAYEGFGADQ DSALWMYLGLAIRMAVDLGLHKKDGVKYQGEKDAWYTRAYSRQTDDHDHGASLNKPSD EDTLSLQEQRELEQERMDTLWTVFMLDRVISSGTGRPVTLRDVDFELDLPTISANLGT THPDPFPTLIQMIHLYGRVSDVLNEIVKPSDLTDERMNKLIVLENELTRLHRRQHPNL QFNAEHFQAYVQTAQGTSFILLHLWFHAMIIVLHQPALLAPLIQYDQGRELGSQSREI SMSSAKTIADILAFANLIDAKSFIGNPFTSQPIYIAACAFLVESEKTHASQPVSRAPS PHPDRGSWATPRFQDRRDGPLADARPSTRHFLLASAANQNYQACYKALQHMHTYWGGV RYILTALDQKSEGIWDCETYTREEYESARLPRRGSSLQRLMAAKAEQCASPTPQPVAP PLAWSLTGTTNTPGPSLTLLFPPNSTNPVANVPSASAQTAAPPPPQSAPTPPGNMIYD PIRQSLPETSQMYPPAYPQPNTSALRHSMTQASSRQLSGTSGAANQGKSWPRIDAMPP DKASHAPTTPDTINSFQIDPPSQPRACMLPQNPHTPSSHPSPGYEASNFPTGASPTST MTDLTVAQSHGGTNVNSSNTSVTAYSGDMATSHFTHNGLATGTFTYLESTGQGMDLMT FENQTDFGPALGSFESSDMASWLGNWVPSEIINDLYEGSFMGQ PFICI_14902 MNANFGRLLLQAVHLGEYLPLNPDDKKKKCDETRPQCARCAERS LPCTYEAVKPRQRRKRDSDAGLVVDLEERDGANNDGDEHWHLGGDIVEEIHRDDCGSI TTDALSTTETDTLLSPTATSIFSFTDDDVKGHQLGTLVRRSSGFSITSNPLCLPPDLA LIAPCPLASPTLDFCIPAFNEFSDRPSRRALVDHFCNVLSHLIVFREESGNPFQQLVL PLSYNSSPVMNAIYALASAHLEYRGVSSGEKSLYFHNEAIQGLAQLIEAGGKATHKNE LLAAIMLLVYYEVLVQKGRSNIVDGHLRGALTIMESSPGDASPTSIFLERAFRFYDVI TALSLGTAPIATAPAAGCLIPLPPLDAPVASPLRNVDTLLGMATTLWPIMHRLSNLFS LKNELDNAVKSHQNSKAAVLRTEFETTSQAIQMALDRWQPSLPPNIVLKDGHLESIKG QEMPERDLLNSILHNALAYRSSAFVYLYRSIYGYSPDHALVQRHVHDSLIYCSRCVAF KGPMGALLWPLFVAACEAITTEDRASAERTFGEYDTHQGMTNIDNAWGVVREVWKQHD LLSVTPYGSKDADLWRRVSKEMGISVVLG PFICI_14903 MHASWKPWSGEVLALVAIAGNAVAHQDNNHHYIRRLEKDYQVLR GRDSTCTSGWSLCAASNGGGCCPNGYECASTYCFKAAASATTACGLAGYVACGVEMPG ACCPQDYTCSDGKCIPPAGENVTCSSGHYACPASLGGGCCQSGMGCKLDGCYSTASST FTISKAVTTTDSVGSTMTSSLTYTTTQAPSPVTKVSAGPLPAYTQSTVSKMAAIETSP SSGGLNQAQLGGVIGGVVAIFVAVIVAALLVIRRLRKNARIMEDSKRGSSVANQTVTS SKRGAPVTTTVTEVCETNDLDPLTLEHHFGRPGHLRAESDSSTVGRHQSPARSPGLSS GHSTPPAWPGLYKPVHNPDNQAQQSSIYSGSDGYDDAERQFQTSRASESRASYDSQTS NVRSRHYSNVSEVSGSWDGQHGLSELGDATMEASRRRSSSGATTRPVPTYPRRTSDTH QRGRSDSSAPALAPLSEINEALHGYYGPSDRQVGQTADRLKAGNSPTAPET PFICI_14904 MAPFLMATPEPEPEPSLQEEIRSGASTLDFTQFDTTAQVAPTLK FSRPDVLARFQLSPKEFIRQGKPKTDCLVAATVVSHGDKILLVQRSEHDFGGLCWEVP GGSCDDDDLSIMAAACRELWEEAGLRATVVVDFIDDVHLPSTDGLIWRKMTFLVDVDR IGSHEPSVKLDPEEHQAFVWATEQDLLANRHGDITLTWMSEDQRQTILKAFTMLKRPN VKI PFICI_14905 MAFSQFVKNRSLRARDDKRTKAAELTLRQSLYPICLVTVLFFLW GFSYGLLDTLNKHFQNTLGIDKTRSSGLQAAYFGAYPIASLGHANWILRHYGYRATFI WGLFLYGVGALIAFPCIQARSFGGFCASIFIVGNGLGSLETAANPYITVCGPPKYAEI RINFSQAFNGIGTVIAPVLGSYVFFNFSDEEALRNVQWVYVAIAIFVFILGLIFFLST IPEITDADMAFQADETHANADDKPFWKQYRLFHAAFAQFCYTGAQIAVASYFINYVTE NGTTDESSLGSKYLSGAQAAFTVGRFGGVFIMKYVRPRWVFLIFLTMCIIFTAPSITQ RGITGMAMLYVVLFFESICFPTIVALGMRGLGRHTKRGSGWIVAGVLGGAIVPPLTGV AADRHGTPLAMVVPLMFFVAAASYALAVNFIPAYRNPADAFSTTEIGLTEHQDDVETA NGGVIAETKAVEKGTEAEHVK PFICI_14906 MPASEYAQLSGSSTLVGMTQSPKSPKTATVTSEPAKISHDYDYE SDDEIAAAKTEQQTASPPKTSILAKVKAKLSSDSKSAQPTASASKTSSSKSTTRQQAR ADTVFAYKVLAETRM PFICI_14907 MSNPPTPVLRRLNGRLQACDPCRSRKVACDHGRPVCLRCRKRQQ DRHCVYTVSSTTVGLGIGTSRSPSVSAPARAAQRRDDNVVPTLSPGARRTSVVTSPAS TRASSSRAIGYLGYTSYSTVIDETLCILNDIRAENPQSPCMDDCPIAISSKTIALGVT VLRHIPFPEDGQRLFRRELTVKDSWMRLVARHILETLYDEWGGHLGRVRSVSKLEEMA RRICVNTAKPIVNRGDGVEWLEQFRGPNLRWESLGVLFHGWDLVDDAHKIKMQGASVY IKQSAPSVQEFISLCLELCQEFSDGNFFTVYLDFKRTLLESLISGDASRQTCRYLADT VASLTFLGMHAEPTDEFYQPTFYAEASRRVLLAVFTVDKVQLAFTGRPPLLFRKFVTT PMPLDISDQDLFLDQASLLKKIQNSVDDKGWNTNGEFFSSTIVRARYMVAQIREHIAE IALGNDSQTTADELHRIKQKQDAVVAEFPPSLHWQAEDMADPEVDANIVFNRLLLSLD SLQNDFFVYRLLVRTGQGQPDEGCLLATSFRMVSLVLLLWTNMDRFQVMRPDFGWLLM GYGASPGGILCKCLLKAQSSQIHPGEPEITRSAIIQKLSLLVGFLDWVSPTAPNAELC KDCKSVIERVLDQALNCIPGNAPAFGASINWDLSTPLDFNFDLMDTYDWLRSD PFICI_14908 MRFSTIASTALLAFSPAAFAAVTPVKNVDVVAKRTDSSCSSGSG LLSGLLGGLSSVTGGLDITSELTTIISGLTGGSSADSADILSSVFTEVESLAGSLITG ITTDGTTISGVAGELTSTLQSLNATFGASANATAAISLGINIVGEVEGLLNGFLSGNV SASGAVDVVSSILGEVESAVGSLAGGAVGSTDASTYANTLTQVCSTAKSLLSGFASGS GNVSVSDTLSGITTLYSLFSSLAGNLGFSVGGTGSLDLTTLLSAAVSFLGQ PFICI_14909 MMQTRLVSALAVVQHIVSIQACPFHSTDTGHSPTINNDRRSTPN EVVKTAIENVRVFNGWAFETPSTVCLDGGYIVSVDECDDAQATVDGTGKFLIPGLIDS HVHLTDVQSLENFTSWGCTTAMHMNCRNYTQCETMKNQPGLASFIHAGLAAVGNGSLH EKQDPTRLRNTLIYPDTNVVEWTDWQFGNGSNFMKITAEVNGPSTEQQTEMVQQTHSK YRAQTMTHASAIAAYQQAVASKTDGIQHVPDDGILDNATIHAILVQGQFVTPTINVFE FAYREAALQQYFSVTPGSNRSLEHAQTNARLLYQAGVPLIAGTDAVGTLPQNGTSIQV PWGLTLHYELENFVNIVGMSPAEAINAATREAAKWHRVPDRGSVAVGQRADVLMLNSN PLLNITNTRDIAKAWAFGFEIAALASVESSAENPGDLPEGDKV PFICI_14910 MSRSGSYSSGSRTPSYSRGSSARYPPGTPDFDRYSRSSYSRSGA ESPDYDQYSASSSVSNGRYTASESIRSSYSRRSSRYGNESPLHSSVRGDERSESGSLR QSRRLSEKNGPLTSKSVSFDPDQVSPASEDEDYEAISPRSKEVSVSRRESAASNVAST LGRASSSVMEKVEQVRDFWKMFYIKWGTPTLVVLILIPALYYGRETVFDMMDLVRGAR RQLNSKLDDIKYDAEIQAGIPQPFNLTAHLEPIWQQYSDILDNKDYETWFFNARDAED AILAMWNALPTSNNKTVDEIQQDIKMKGFIDYRMALRKRSEVNLENFLLSRSRVLGEM LQLTNSWGRYVHNTLSLNSSNAVILEPKEKERFAAYGFTENSTTGNDQVENKTAYVLD HAAERLLNNMIKLHDGLVITREAHWPMEKANADWSDTPTKNSSAEEIDEWMLKSFALH DIKHARELEARFIDSLVKQSPYAELWDNGRSHSTSLQTLEEKLASLQFHLYELRQKIV AALGQLSIESVIQIPDQEPRIIPLHFWAQNVDEILATCGKTLQKPSQSLTRAEIHYGT LKANMAQFRGRQQLIRWRRENCGDTTCFDLAPGGAWGRFVGAAQQGLSLMSPGQPRKP FARRPPKMVGLERQDGEKTEAVKAGQYEKRCCGWSGENLWLDYLRFGAKELVYLDPYR IDSPREQVVETEQDTES PFICI_14911 MQDAQGFANSPTMMRGAIPQRRTTGDCQCHYCRNSRISSETSEE EESNRSTSSGTMAGDGRFPHYNPIARSPQQFLSPDISFGFDSTGAAFQEHHELDTAFT AHYPQLFVWPRTAAHISFPVVDYSENRWPANTPWPHFYMAIAPESARIEDLKTALTPK GSGTILTARSRMDGEQMSVDLFLDITKLRCNAMQLEITTVEEGHERTKFTHKKSEKPR DRERPKPIPFGFHAHRSASTPNPVRKNSQKHGNKGRMTTL PFICI_14912 MAGLSPQVTNIVIILGMMQVSKRIPFEDPDTLNIVRALYIASNL IIASIYLYTQIQINKKKDLTTLKYVEPAPMGSTEEGKLVTTTIHAYDSQQVKQLFRSQ LMGVGMMAFMHLYLKYTNPLLIQSIIPLKSALESNLVKIHVFGQPAAGDLKRPFKQAA GFMQGLQSGPAQSDKKAVEAAERAGRGGAKEE PFICI_14913 MPRPSTPPKTAPPTKAAVSPPTPEVTRRIEENRLKAKARRAQHD AELKAAGTPSLPRTSSGFVATDDIHIPNTRKRTHDEIASIRNTPSVSRDGRINGASPA KTGASQSENPDSGAIQPAKKFTKFVDYDFDKITDTKGGFLSAEDDPWNKAMSGGPAKG GKPGQPADGGEEKPKGMTEAEWERLQILRKLRRQKAGPYEPGLSVITAADRKKCRECN SMEIDFVWDEVFGISVCNKCKEAAPEKYSLLTKTEAKEDYLLTDPELRDEELLPHLSR PNPHKSHWHDMMLFLRCQVEEYAFSDKKWGSAEALDAEFEKREAEKKRRKEEKFKSKL LDLKKRTRTDAYRREHGKLGSGAGIGKRTDGEKAKFGDNVPNMGRHVHEWGREIENEE GMTVRTCTSCGMEVEELEF PFICI_14914 MGDMANEIKLLSGNSHPSLAKAVADRLGIEIAKTMCLNYSNQET SVTVGESVRDEDVFILQSTAPNNINDGLMELLIMIHACRTASARRITAVLPNFPYARQ DKKDKSRAPISAKLIANMLVTAGCNHVITMDLHASQIQGFFNVPVDNLYAEPSVLRWI KENLGNEDIVIVSPDAGGAKRATSIADRLDKGFALIHKERPRPNVVGRMVLVGDVRDK VAILVDDMADTCGTLAKAAQVLSENGAAEVVAIVTHGILSGDAINILNNSVLSRIVVT NTVPLGEKEQQCKKLRVIDVSPTLAEAIRRTHNGESVSFLFTHAPV PFICI_14915 MKLNISYPANGSQKLVEIEDERKLRDFMEKRMGAEVPGDNLGDE FKGYIFRITGGNDKQGFPMKQGVMHPTRVRLLLSEGHSCYRPRRTGERKRKSVRGCIV GMDLSVLALAVVKQGDADIPGLTDVVHPKRLGPKRATKIRRFFSLSKDDDVRKYVIRR EVQPKGEGKKPYTKAPKIQRLVTPQRLQHKRHRIALKRRQSEKVKDEAAEYAALLAKR VSEARAQKADARKRRASSLRK PFICI_14916 MASSIKLHPRPAAATSLTFNPLPQLIQTPSGLALLELQGTINLP ETDPEMEGQAPEIPIGRITFPDYNPNALDPSNTSWMKRVHLYVGQHQRLTGEVKKLPK AMAIIRRRPGSNETTDSAAEDKIEDLEVVEIVKHKLIFSSRPEPVGTS PFICI_14917 MAEVTEEKPRKSFRSFIWDTDTHLKSPEERKLLRKLDWSILTIG CFGFFLKYLDQNNITNAYVSGMQEDLQMYGNEYTYAQTAYTCAYAIMQIPSTLIIQRV RPSWWLAFMEIGWGCFTFAQAGLRNHTQLYVFRFMIGFFESSWFPCMLFVLGSWYTKT ELAKRVAILHMTAPVGTAFSGYLQAAVYENLNGVHGMAGWRWLYIICGVMTLPVGIVT AFFFPDTPHTTRAFFLSNEEKELGVQRAQNAGKAPPAPLTLKRVFKVLRGWRWPLLVL GYVLYGTSCQNSGYFSIWLKAEGFSVELRNIIPTGTSLISGFCVVLWGFLSDYTGSRF AFIVGPLTFGLLPTGILAFWPASVQLKEFAFLTSGVQLMTAIFYTWANEICADNNEER ALVVSSMNGFQYAVAAWLPILIFPQVEGPTFRKGFPSTFGFTIAAIICVCVIQCFVMW ERKRKATTAAQDVEQPSFEGSVVGKDVEKLGVADTTAEELKPGHHASA PFICI_14918 MEAAAKSIKSLISVLPDRPHQLSIVPDSRYQLQPNDTRLEEDAI RPLQYMTYLFDIDRGLLLTRAYSDIRDEESYAPKPSKPPQDSTKPKTKVSLQDYKKKK QENNSAADSNTPTPRSSAPKPVDKERVPVVKKEESPSVDSMLKQTTLKKPHPSLPPKP DVRRPGAEPSPERKKRPTDVNEERSIKRTKLEGTPNGVPRVPSKSEAYSRTADKANLN DKKSSKELKPSPMPATNGRSAISNASTRGPSPRPSSQANGSSQKSATSKDSTPRKEKS GLGSKELKSMPMLSPIGPELGSMIPGYDSSPRNRPGEKDKEAKSQSRRARDEPDRSST PKKSKYELPPLLSPTLPPVVREAQASAEKDKRLNGLSSQLADVASAKKAPAKLDRDDV DGKDAKEEERTRIVKLKYPKRIAKTISRLLALAPKKKHDTSRRELLEPPRKDDRTGRE RSESAEPPSTATARKRPRTATDAGDHPSAAIKRPRTSDVVQPSTPSKHSIAMQRVASS SSQAGTPGTTNNLTPAAPVPDRRSASVDPERTGKLRDKHALLSSLGTKLKHQRDRIIK PNGIISSNPTQCDRHALMATDLQSLVAYMAAFQAMDELRDIERKIRDPSLWRSLMPLI RVYRGDCGHSNQLTALITRLHCITLLWQSRSLVALGPDNAKNAREMYQVTKDQDQMWK QAMEARKRLDDAHGGDDGGVVAKLIDQLGPWSTSEDVVSITIKILRKTVRLDDEKFVP LRELIQACEPLTNGP PFICI_14919 MSFTEKPGFSFTPTAMILSTSIVAFLSGFMMGVYSIRGYFLSPD LIEERRRNLADPEESEESDVDEDDFLLDHAPNWTRSLDADRKEQRVKKVKENTVSELD ELAADPNEECKLVLVVRTDLGMTKGKIAAQCSHATLACYKKLAAASPTSTAGRYLRRW EHRGQAKIAVQIKSQEELLELAKRARAMGLTAEYIQDAGRTQIEAGSMTVLGVGPAPK SLVDKITGGLKLL PFICI_14920 MSHRQIGFDDERRRSVPYSAGDNLRADTYRPNEPKDRGRESDRD RYKDGNRDYARDRDRRDDRRVDRQPEQSPRRTLSTDQGKNDDSRPRSLPLSKIDTSGL NATKGPRTPRTPSSLSGNASPAVSRGSQAPTASSSTHTTDTSKPAASRPSSPSNSTMP APKAICPEQQPAIDAMHKVVDSLFWRYKYQLEYHNKGKEIEARDQELKKLKAEFPYQP LKFQQGVKERIVAERGDVHAKFKQVDSKMSSYLRTTIAEIAGLVNRQPTSTITEPERQ VPQFSDPAPLIASMEERFSALEKRCAESQTAANEVIAALQRERADTDQKIKHLTDGQA TAEKEMEELKNGRAAAEQEVKDLKALLTTISKKDPIDNDARKETKDVKRLLDESIETL RKSIEAIQQEMTQNFKSAKEQRANFEKELRDGIASLQDRASSLESLTDEITHVKGLET KLNQSVAILETRLDIQGQALSKCEDSSRTINNLTSEVSKLGTMMGGLQETFNDMDHER IDEILDDWTTHNLKQMILDSMSSVKALQTDVGLLKTSKPQGSWPHDEESKDSRQPSVS HVRKAPSMNMDGTEGSIVDEIRQELSSLKEEVGALRKSISEGEKSLLEKVQSTVVQGS EMMAGEIDGIDGRTKDLATRVGILESKGIQARTPAPTPQLDSRVSPVTIDSHLSNRIK AIEDADLVTSLNNVTEKLDQYCQLFDLSQRQAGIEEINRVKIACQNLSNTMREQNSSV RGQLDQQELQLQNLNAHFNNMNTRGMAQTILSQLDAYTTRFSPQFDLVHARLDRMEGR FDTYARRFQSDPNGQDPSNKRPSVTLESDAANKRRRVASGSPAFGTPAYASFSGPGSG LAPQQQMPQPMPQPMPQQIPQQIPQQMPQPMPQQIQPRHILPQQIQQMPTQSR PFICI_14921 MTVSETKDLRGLNLIAAHSHIRGLGVDADTLEPRSNSQGLVGQE KARKAAAVILEMIKVGKIAGRAVLIAGPPSTGKTAIAMGMAQSLGPDVPFTSLASSEI FSLEMSKTEALTQAFRKSIGVRIKEESEIMEGEVVEIQIDRSVTGGAKQGKLTIKTTD MEAVYDMGAKMIDAMTKERVMAGDIISIDKSSGKITKLGRSYARSRDYDAMGADTKFL QCPDGELQKRKEVVHTVTLHEIDVINSRTQGFLALFSGDTGEIRSEIRDQIDTKVAEW KEEGKAEIVPGVLFIDEVHMLDIECFSYVNRALEVDLAPIVIMASNRGNTKIRGTDYR SPHGLPLDFLDRVVIIQTMAYGSEDISKILSIRAQEEEVEATPDALALLTKIGQEAGL RYASNLITTSQLVAKKRKAAKVDVADVQRSFSLFYDPNRSVSFVTASEKRLIGNDGVV DFTVTNGHGEAMDTTT PFICI_14922 MVKVGINGFGRIGRIVFRNAVEHPEIEIVAVNDPFIEPKYAEYM LKYDSTHGQFKGDIKVQGSDLVINGKTVKFYTERDPAAIPWKDTGAEYIVESTGVFTT TDKASAHLKGGAKKVIISAPSADAPMYVMGVNEKTYDGKADVISNASCTTNCLAPLAK VIHDKFTIIEGLMTTVHSYTATQKTVDGPSAKDWRGGRTAAQNIIPSSTGAAKAVGKV IPDLNGKLTGMSMRVPTANVSVVDLTARIEKGASYDEIKEAIKEAANGPLKGVLAYTE DEVVSTDMIGNTHSSIFDAKAGISLNNNFVKLVSWYDNEWGYSRRVLDLLAYVAKVDA GK PFICI_14923 MTFTVLSDNDVKHILRNLTQSDTSTLVEALENALVRYSSQGEQQ YQPHRAVVSRPDGQVSLFMLATTEQSIGVKIVGIAPASKLTSADPNVAPPPALRSALT LCDAEGQAIGVLNAAELTAFRTALGSMLLFRLRKNVQNLVVFGAGKQALWHIRLAILL QGDKIKNITVVNRSQKRTEALFDDLRGEAQAPWPSHISLKAFQDGTDDLESLVQEADA LFCTTPSTKPLFPTIFLSSEIARKKTRFISAIGSYRLDMAEIDPELLKDIVDISGSFA NQVWEGYITVDTRDGCLQEAGELVSAGIDPKKMLEVGNIFEGKLEPAQKEWLESGFVM YKSVGVGVMDIAIGQALLQLAPSKNVGMSMESF PFICI_14924 MAASEEGTTVIEASCYCKSLHYRLTLKTSELPLGVHICHCSICR WTHGTLATFHAQLPNGVSPEFVAPSGLDKLTPYRFAASQNIRYFCSTCGCHMGDASSL DGNWVVSTSLFAQNEGVFQFRSHVLTESALGGGLNEWLPKIGDRELKIWNPDNGSAAP KHSEAEHGPNGEDRLRAQCHCGGVSFTIPRPTDEVVSDAFMAGFVSPVDKSKWVGTVD ACDDCRLVSGAHVISWTFVPLDFCEPKIGPDLILGTMKTFVSSPGVLRSFCGVCGATV FYSCDERRPTVRQNIVDVAVGILRAPEGTRAEEWLTWQAGQIGAVNSGLRYDEDFAKS LAQGFKDWSMAKYGHALTGEL PFICI_14925 MSYQPAIMSASLGRAWIHDFHYKMEQAAKAGFKGMEIFYEDLEY LARKKTGSDDYSNEHILEAAREAYAVCKKHNLEIIGLQPFLFYEGLKDREQHAKLIEK MKLWLLLADELHTDTIQIPGNFLPAEQLTDDLDVHAADLRQLADMGAAHNPLVRYAYE NLCWSTFNDTWEKAWDMVQRVDRPNFGLCLDTFNIAGRVWADPASPTGKTPNADADLS ASLERMVREIDLSKVFYIQVVDAERLSAPLVAGHPYHVEGNPARMMWSRNARTFMYEE DRGAYLPVEEVAKTIIHKLGYKGFVSMELFSRTMAEEGQHVPDEHARRGMAAWGKLCK RLELHQ PFICI_14926 MSVTSRSGSPSYPRSQSVTDGPGVGPNATCHHDGAPRSFARDAS IAILGIRGTGKTSLGFLASVALGFRLIDMDKHFLATTGLARAAFAAAHGHDEYRKREV GLMRSLLHEYPTNSVIVCGPGSVEGTGQELLRHFAKSHPVIYVIRDTAEVTRHLRTNN CAAIQHLELLAGPIYRSLSNFEFYNLSELSQPPSTNLDMEDGQEEEYVGISLPSTLVL KHVEEDFLNMLDNIGCGTDRLRLRDARHSLSYLSPEFKPYTYALTVPISLVSSIAPSL RREGVIADAVELAMELPHLLKVSKRQEGGIDEHALLNYVTKQYYVLRRNIRLPVVLNL LSPSQQSSGHNSLEVSQLERSYFQLLQHLLRLAPEYVVVDLQHNLDRHKVLLGNKGST RIIGHFYDANPQPDAWKLVARMHIVETAEGLGCDLVRICQPARDPNDNFAMQMFAEQF HQSPNFKMKLIAFNTESLGRRSVFTNSVLTPVTHKLIRESSEPPAPWVLTVQEAQNAL YSSFILEKMHFFVFGSQVFRTASPEMHNAAFRFCSIPHEYFGIQTGTLQDLGKMVHDP CFGGASITMPFKKEVISIVDYLSPEAQAIGAVNTLLPLRDRKLRSVLSRNKRGAVVAL FGDNTDWIGIHTCIRGNLSPINTVKSRTTGLVIGGGGMARAAIYAMIRLGIKHIFVYN RTLRNAEGLVTQFSQQKFAIQSLNLAYCSPPSSREGSILDDGDVEYVGPSTVHVIPTM EDAWPSSFDPPAVVISCIPALEKNGKLIDSSLPPSWLQSNTGGVAIELAYDPIDTPFL QQVRAINNRGWIAVNGLQVLPEQGIAQFELYTGVKAPQNVMRSVAAKRASKSRMGIYP SISAHSNQIP PFICI_14927 MDRSQEAGPDAADEPSMLDQDQGQDHNSPRKRKRTRVTKACELC RKRKEKCNGERPACGSCISLGRSCSYASESRRRGLPAGFIHSREVLLGLLLQIFDGAE DIILQALRADQLGYRRLAKKQCPQRACESLLRVWRRSRAAAELERVLEAAESREDDQS SQTPTFDSKLTALHNASCNTDLVAEGSTISSDHGIITPAATSSLVNEPDGTAHDDLPN IQQPDRTTDCASVSTSRPSVSALPIASAVPSLPETWPRLVELYMANTHCWLPVLEPHS LLRSSALISQHMPGSGQDCLLEGQKASFWSVLSFTLHQSPLVTPAGSPSQDIEPAQIQ PIHAYSRQLALKDYAKYDLGHIHTFLLMALSHMGRSDWTSAWLWVGRAVYVAIDLGIN ITQKKQSISEVRPDDPGKRAFFSCFLFDTLVASRLGRRPHLQSDDLNQFEDFRTESLE EWDSWRPWSQSTHGTVTRTSHGPARILSMWNKLLYITRLLNRNLWADADNASCSGKEA RVLLEELIAWQYGLPPQMRIIGDHGFAPIMDAPHSINLTLAMASLYLMLLASVMSDPE NYATTVKARFPEQGWLQIIEFLRSLPDLDSRFSTGWMPPIFRIYLESLDKSRRILCPH LPGWGDAMTCVIDMMHKVWTHPHPDVPLSTWEAGFMPHATRPVQAVPDDMILVLDGFQ NDPHATSSIAAESRLPCLREEQSTASTIATNVASALPQEVTSIINADTQEKDSLLRAT GLEEVSRTSQSLSTDHDMLFNTLSSLDSVEWSGYDQEFIENLGFHGDTTLFNLQF PFICI_14928 MGSLSKKYSQDDLTPARRNFHIFGNGISFSISPTIHNTGFKHYG LPHFYDIQEIESMDDAAGFVNAPDFGGASVTMPHKLEAHKFCQEQTESARLIGAINTL IVSENRDGTRKIIGDNTDWSGLHSIITSHYHEIGSDPPRAGLVIGAGGAARAALYAIH RSGIEHIYLVNRTLSTAEKVRDAFKSSFEITVVPALQQLPEKPGVIIGTVPAETTSEK DFQNLFADDGGLCIEMSYKPPHTPLMAVAEQHPGWRTLSGVDVLLAQAFDQFRLWTGL EPPTVQMIEAVKQRSEK PFICI_14929 MASENTRPDLPPLKDLTIDNITENVHSINSRCEDARLKFLLETL VTHLHDFARETRLSVKEWETAIEFLVQVGKISSDVRHEFILLSDILGLSLLVDSIDHP KPPNSTEGTVLGPFHTLEAHDLASGDQISHDPDGEPLFVLCSIKDTQSRAISDVSVDV WETDSKGFYDVQYAERSGPDGRAVLKSNQDGIFYFKAIVPVPYPIPNDGPVGKLLGRL KRHPYRPSHMHFMFKKPGYDRLITALYLRGDPYENSDAVFGVKESLITTLGLVSDVKG LAEEYGLSPQTKLLKHDFVLITDQESDSLREDNAWKEARRREGLTVRDGLLVRKD PFICI_14930 MESFVYQSNPARVIFGSGTINAVPDELSRLNLTKPFLLCTPQQV VQVEQLEKVIGGTVVGKFTEATMHTPVEVTEKAAASVNSSGADCVLSIGGGSTIGLGK AISFRTGLYHLTIPTTYAGSEVTPILGETENGLKTTKSNPKILPGTVVYDVDLTMTLP IGLSLVSGINAIAHAVEALYAQNRNPIISLLAQQGVKSLAGALPGLKKDPKSLEHRRN ALYGAWLCGTCLGNVGMSLHHKLCHTLGGTFNLPHAETHTIVLPHALAYNAPKTPEAM KLLAEVLPGSNGDAVRGLNNLLESLGESRGLKDFGMKEEDIAKAAEIATKNAYWNPRE IERAPIEELIRRAWAGEKARADL PFICI_14931 MATDIDIMAGMEADPNDVQIVSIRPKKLIEVVEPSPRWAATFAL IAQRIQTALGDIVLSIQHVGSTSIPIAAKDVIDIDLIVTDPSDEGAYVQALENAGFQF LLREPAWHEHRLFGLAEPYANVHVFGPKSAEHARHLLFKKWLIEHEDDRLLYENAKRA AAEAGRKSEDTVTQYNKRKEPVVREILNRAFRAQGLLA PFICI_14932 MTASEKRSLHLVGIGVTHSIAYAMHNHIAQSMDLPWTFYSTECE TIEHVMKLARDPATAGLVVTMPYKNTVMKHLDELDDLATGIGACNNVYYKNTNSGRRI CGTNTDWRGVKGCLLEKGDENLRPNPDSRGIGLVVGAGGASRAAVYALAAQLHCDTVY ILNRDDQEVLDLIADAKKITDATVVHVKTLEQAGELGSPYYIVGTVPDFEPQTDAEKT VAALLQEFLSRPTKGVLLDMCFKPRRTRMIKLAEKLDWPCVEGTHVIGYQIEEQWRLW AGEALCKQMDRQGAWNVLMAAADSSPGINF PFICI_14933 MTDLHSLPAGTWPSTAIRNNGPDNLVLERAKLRELAEGWPCYRD SCEWENFESIFHPNAFVYTTWSGRVSSNDFMAASKAGMDKGAFIMHRCHGVTTDITPD ATRAVTKMKATITQRFVIDGCEVDAEADCRFCFFFEKVDGKWGARFVRHWYEKDKLIA TNPAKAPQLDESKLATYPEGYKYLAYCQELTMGVSVFKDMPGHNRHKGTPSGEKHDLL YRLAKDWLDGKSIDV PFICI_14934 MAFAASKEIVDGIKYGLSYSAQVESESGVFKAAVQNLEAAGLGD VIYTPARQHNIYGSRIDSYWSLTPRLRPWAIVQPRDTSEVSKAVIALVKTDGCNFAVR SGGHTSIPGSNNIADGITLDLGLMNKTEYDPETKLASIQPGGRWTDVYAYLEERGVMV AGGREGLVGVGGLLTGGGKTYYTCRVGFACDQVVNYEIVLADGTVTAANENVNPDLFR VLKGGSNNFGIVTRFDMKSFPAHDVYDGIVTFPMDAEAAVIDAFIDFTKQLHVADDAH IIGLWASMPRRDIALLSGIVPDPTQPPDLTMVSTINMVMTQLDGIEDSSSLQKFMDIP NRINSTMKHTTIAKKVAGLLLPSNREDIWLTLTYKLDKRILEKTSEIYQKLVADISDR SPGCVIQMALQPFPTTFVRHSAARGGNMMGLEQVTSDSVLLITAVEGNTPGFYDTAFP IASAAMQELESFTKQVDGHVGFRYLNYCDGSQDPLASAGAENIRKMKAAAARYDPNGV FQSRVPGGFKISKVQ PFICI_14935 MHFFLAASAACAAFISSASSLAIAGQENLVARGSPAGWSYYGCV ELPPFAEISLIDEGDMTRQLCASYCQNKNYEVAGLSEGSDCYCWHGSNNKFSTLDETK CNLACTGDAQDTCGGHKSLSILNNANQSSPKELRSRPARKRKCGGEKYPVSSSSSSSS SVFTSSPVPSVTITTTTSSSSTPSTSSSMIITTASSDPESTSSDSSETSSSDTASTTS SALTSSGTVSDVFTSTSSADQTTSEISSTTSSEATTSETSSTSSSEVLTASEATATTS SSSVSETSSSDAATTSSSSVSDTTSSDITTSTSSSTTLSEVTTTSSSSVSETSTSDAT TSASSITTSSEVMTTSSSSVSEIITSDTMTSIPSTTTSETTTSATVTGPCATITGAFV LLGSGTGDFAVDGNFVQLQNLFGSGYRASFSAARQNAQQFRFNGDCTLSTSDGGLLAM IGSTTNLHYQYFFPSVQDATALINVNWEVDVCKMNADTTLTCTAMEQSIFQVTPGDPL LEIGDQLYGEQVTINLIPVLNVSV PFICI_14936 MALSPQQIAGGRRQPVNFHPLSPTSFLKRAASISPETPAIVHVD RQGQRVQWSYQSMSDAVMGLAYYLKAKNLRRVGIFAPNTPAFLISVYGIGAAGGVNVA VNYRLSPTDVAYIFAHAEVEAIIADQEFVHLLDIFRRDHPGALVITDDDVTESNCSFN QAIEKGLQQNVLEGAKGWEGLLLHPENELDVIALAYTSGTTARPKGVEYLHRGAYLAS LANAAEYGLANIQNECRYLWTLPMFHALGWCCVWAVTAVRGTHYCLRKIDYPRIWALL REERITHFCAAPTVNTLLCSSPEARTLANPVNVIVAASPPTPTLFKQLKAFNLHPTHV YGMTETYGPVTKCYPSPAWDQLSSTDKFERMARQGHELLTSLPARVIRQPNTSDDHNA SLILENVRRDGTEIGEVVFTGNVCANGYYKDAEGTKRLFEGGVLHSGDLAVWHSDGAI QIVDRSKDIIISGGENISSVALESILAGHPDILEVAVVAVKDQQWGERPVAYITVKPE RPVLARDIVEWAKQAPGMSRFMVPRDVIILGALPKTETGKIQKHILLLAGGYVLWKFV QISRNPLNAIPGPWYAKFTSIPGTIATLSRQQVQYYHDLHQRHGPFVRTGPNQVLVND IDAFKTIHKIGGHFDKADYYHYFGVTEAGKPPYGLFQMTDRSDHARRRKLLGKGFTVA SLRTEWEPMVSEKVAATIDGMRGDAKLFSGEVDVRKWWVLMASDVISKMMFGESFDAL KTGQEDPWLEEVNCANQGAFSALMFPWLHAILKRFPVVGRAHLFHAHKALLGKGQAAL DNSRDAANLDAANIFSKIRNEADKDEAQLTGLEMSVEAGSFMIAGTDTTSNTLTYLLW AVLSQPELQRTLEKEVGEIEEPLTDTTLERLALLNAVIQETLRLYGAAPGPLPRVVPS GGVELGGYHLPGGVTVATQAYTSHRDPRFFDSPETFDPTRWLTPGERANSETAKAAFA PFGAGSRVCIGKALAYMELRYGAAMFFRVFRGCHLAPSATPESMEMDNLVLIEPRGKV LKVVLPRSSI PFICI_14937 MKYITIRKTKGNAGEVYYPLELCDATIPTPQRGEVLVRIFAAAL NHRDVFIRRNMYPGTTFGVPLLADGAGIVTEVGEGVDSSWLQKHVILNPGLGWITSPS GPEVSDGWYSLLGGTKYYKKGTLAEYLTIRDDQLEVIPQHLSAAEAAALPVTGLTAWR ALVSKAGENNSRNGANVLITGIGGGVALMALMFAVARGCNVWVTSSHGHKIEEAKRLG ARGGMNYKEKDWESKLVRALPQERPKFDAIIDGAGGNIVEKSVTLLKAGGQLVIYGMT QAPRMDFTMKAVLKNIEVKGSTMGSREEFRDMVKFVSTEGLRPIVSKVVGDIENLAEI EGLFEDMKSGNQFGKLVITVQRRISSQDIGNSKL PFICI_14938 MGELLPLKSGYYIWHYVPSLPAAIIFLALYLVVTLAQSWRLWRT RLWFCIPFVVGGFMEVIGYVFRAICNNETNRLLPYIVQSIFILLPPILFAASIYMVLG RIIRATGGEQYSVIRPAILTKTFVWADVVAFCVQGGGAGLQVVSSLSSMGEWIVVAGL VIQIAMFGFFVVTAVIFQRRFQHGSPQAATDSTAGWKSSLYMLYGVSALIMVRSIFRV VEFVTGYSGYVMANEWTIYVFDAVPMFFVMVIFFFYWPRNLGPTPDDQACAFDAGFSA TDNSFVKTSSNIELNERH PFICI_14939 MPFISLYNGTVLPSSCTPSTFQYPILQGADFLTLNTNYISNYSA YIVNGYYPGHPNANVVGASFCNVSVSYTRPGQNNTINAQVWLPTTWNGRMQGIGGGGF GSGLYDTVFQGMYASVGDGFATVSNDAGLPQPMNVTAPEWALTSTGNVNLYALQNFAS ISLNDGAVIAKSIIRQYYGQNSSYGYWSGCSGGGRQGMMLAQEAPDAYDGIMAGSPAM NWPSFFVADYWPQTVQQELGVVPLNCELDAISAAAVAQCDGDDGLIDGVVSFPELCKF DPRILVGTTVANCSDTGVSQVISPAAATVALAVWEGAKDVVRNESLWYGFSRDATLTS ESGLAGPDYFISPEEWVKRFIFKDASTDTSNIMPSDVAELFHKSVQWYQSLIGTADPN LDKFKANGGKIVSFHGLADGLISPWGTQDYYDKVTARDSAVHDYYRLFFAPGLQHCYG GNGAYPETAFGALVNWVENGVAPETLNATSLPTRVGGIITRPLCAYPKQQYYEACATR DCFVCK PFICI_14940 MNSTLLSMCVAQSFPYPTILGATFTNISAVPVLNYTASAPAIYN YNHPDLVARNVNFCNVTVTYTHEGVGDSVTVETWLPLEGWNERLQASGGGGLVAGRFY LSYWDMDGAISQGYAATTTDAGLYGSFSWDPWSLLSPGNPNLEALNEFGSVSLNEQAI IGKAVIASFYGKPPVYSYFSGCSQGGRQGLMLAQRYPDAYDGIAASAPANNWDEFIMN IFYPTLIMQLRGEFPPPCEFDALRTAAVAFCDPLDGVTDGIVSTLYDCPFDPMSVVGQ EIQCATFGNATRQISETAALTANATWQGARSSNGSFLWYGVNYDADLSGDITNSGYAL DTTSCTSNGTCTSAASILVTGWITDWIVANPDFDLGNITHEEFDNLFHLGKQMYDSLY SANDPDLTAFQKAGGKMLTYHGLADSIIPPGGSERYYNQVTTLSPDVHDFYRLFQSPG VGHCSGGVGGLPTYTWQALIDWVENGKAPDLLPVSFNGSDGTQYERNLCMYPRKQVYL GNGSDPTLSSSFGCF PFICI_14941 MTSSRTCLSLRAARLLKQLSWWRASKIPKQLTWLSGNIGPIGLK LHERYGDVVRIAPNELSYIRAPAWRDIFGRPVKSEMPKVASSLGEQEDGVYNLATAPT EDHTRMRRIFTHSFSNTALAAQEPLLVQYADKMIAKMGETNRQEGQVDVVDFFNFTTF DIMAELAFGESLGMLERTDYVPWVRIILAGLKYAVFYAAILEVPLLRLPLQWLTASTL KAKAKQHAEFAASLVNKRLEDSSHNKPDLWSFVLKHNEAGRGLTLKEMHANASMFMVA GSETTATTLSGVIYYVCKNPHVYNVLVNEIRTTFATSEAITPEPLAGMQYLNAVLKET LRLYFPGGGGMSRVVPAGGAVICGDFVPAGTTATMNHYIAYLNSTNFSSPKTFIPERW TESDDPRFAFDQRDVHQPFSYGARNCIGKNLAWLELRLILAKTLWHYDAELLPEKKPW IDQRSFLVWEKGPLMVRLHPVKRAEQSA PFICI_14942 MRTLSVLVTAGAAMAATIRIDALNLAFVPNVTTASVGDVLEFHF LPNNHSVAMGDFEDPCQPAKSGGFFSGYYALNSGEADNVFRVTVNDTDPIWLYCTKLG HCKNGMVGVVNPTTERTLEGYLAGARAFNGTLTEAGAAFGGITVPNTDTSSASSTAAS MTAPMSSSTSTSSVGTAEFTWKTFLFSMFLALAISSI PFICI_14943 MATVYGTNTSGKWGMLDQFAALIWVRENMAAFGGDPNHITVMGQ SDGSAATYHILSGELTRGFISGVRDPYDPLCSSLAEGYRNLSTALETGIDYVSSLNAT AIADVQELPMSDLITKFRDSTFSFGAVLDGYAMPAK PFICI_14944 MTGRVFPYPLADVCTVTNVQAALPANGTFLGIDLIPTSVTAAVT NSTGSSGPGSASSSSAIYTYCNVTVAYTHTGKGDKVVVTYAFPSPSDFLGRFYVPGGE AWSLSTDPTGGLEYGAVGGVSDGGYDNANSAYDTVFLYGNGSINWDATYMFSYQALGE MTQVGQAVTPGFYGASADAKLYTYFEGCSDGGRQGMSQVQRWGELYDGVVAGAPAFRA AQQQVLHMWSAVVEHTMDYYPPSCELDKIVNATIAACDPLDGRTDGVISRTDLCKLNF NLTDLIGEPYYCAAENSTSLGYGFNKRQAAGSEAVTTPAQNGTISAEGVQLAQSIYDG VFNSKGQRAYLSWQIGSELEDAAATYDSDTGAWELDIVQTGGEFVSRWIELLDADNIY SLDNVTYDTVVEWMKVAFVKYYDSLQTTEPDLSNFQSSGGKLLHYHGESDPSVPAASS VHYWQSVRSVMYPDASDEESLETLQDWYQFYLIPGAAHCGTNDLQPGPWPVSNMGVII DWVENGITPTKLNATVSSGDFEGETQLLCQWPTRPLWQGNSSNFDCVNDTTSYDSWTY DFPAFSTPVY PFICI_14945 MTKLWYLWLTVAQHFVAAQRQTLTVAASGGNKSSALLYGTLYED IYHSGDGGLYAELLRNRAFQGSSQNGQASTTRTTDFWHPIGNVQLSVEDSTRALSEDL TYDLRMNVPAGTTGQIGFYNEGFWGFHVDAAKRYAVSFNIKGAYDGTVIAGFKNNITG AQLSSTAISVSSVDDTWTYIPPHTFQPTSTPGNANNTFTFLFDGSQLAGKSIQVNLLS LFKQTYRNRANGVREDLAGSFDGLKSSWVRLPGGNNMQGLSIGNEWHWDRTFGDLKNR QGHVGTWGDIQTDGFGILEMMQWATDANQTIVLGLFAGLHIGGDIVSEADIDGYVDST MNYLEFLKGDSSTTWGAKRVNLGYPTPFKVDHIEIGNEDYLNGGTSSYYSYRFAAFWN RIRATYPSIALISSIFPPPVPGSDTWVDLHFYYNQNTFVSLFDRFDNANRSLPIIVGE YACIHEFDNGSPEIGAQTMGTALAEGIMLLGAERNSDIVMGTAYGALIKEYDEAPNTV AVIKHSADQILQSTSYYVQQIFSQYKGEETVSVTTQFGEGIDPLYWSATRTGSTRYLK LINYYGPSSVVDVVLQGTHSSTAQVVTLTAPDCSSTNKLSQLGGESTSITESTIVESD GKFTVIFGNPCEIKVLIA PFICI_14946 MIRPKTYQFLVGVFAALGSFNYGYDLGVIGGSVASSSFIAQFHP EAKETGAIVALFTGGGFFGAAAAGPIGDWLGRRVAIIIGAIIFCLGGALQVVGQTLAF FYAGRAIGGLGVGVLVMIVPIYQAEIAHPSIRGRVTGLQQLMLGIGAVLATWTVYGTN LHYSTSEQWRIPLGLQIIPAGILGLMILLFPESPRWLIDHGKEREGLRTIAKLHANGN ESDPWVHAEYLQIQSAIAQEHELQAKGYVDLFKNPSSLHRLFLVTALQASVQMTGVSA IQYFTPQIYATINIGLEDSLRYQAISNVLSVIAQLCTVLFIDKIGRRWPLIIGNGMNC VCFIIVTAAIASFPNASSSAQNSLGWLFIVINWCYQVSFSFTCGSLSWIIPAEVFDTK TRSKGVSIGVMTSFAFNTMIAQITAPAIKNIGWKYFLLFVVCNFTNSIFFWAFMPETR LLPLEEMDALFSGKSWFVPNMETKRTTDLDARIQEKKVEKEMMAQTI PFICI_14947 MSGQSLDRFRGRQRGNERVTSLRQRFEESAGSAGPSSPQDSNSS HQRTMLTGEQRIPLRDRLVMIKARVAAASSSASSPFSTSSFSSSDGSRPATPQQQPKT PDYCPPEPRQQPRSRRKIRERVSAERLHASSCDYADIMLDRRANDVGDCDDWFAYTEK ALPCLPLAIRRRSPLHNSVMPDWWDQVSFSDFEDQEDGEEGTGAEMRRHLNTFHRGNV NVDHGKQEFNEERLKSAYVNGRIDGTLADLNPCLISVIEENRKKIDDKGSKGASSADE MMSYLYGAIDQELPAWESQQRRCENDPGRILRM PFICI_14948 MRRSEEAHAAEAHDDDPPPPYYSADEGAAPPLPARPGAPVVGDT KNNPFLSTDLPASSSRSSRSPPASASSGRPKMPTPVRPTGKFPADLNMYYQKAVGNPT FNLGESEDRPHLCVTFHSSLTFSGNPYFLLHSTASPDSPPLAIAEKAGRLGQRAEIKL PAVTGPDEGPDAEDVETEEMKAHASLTSVSYTFSVETAPGRREKFEWRASKGNEVKAL VDGKAHHGGGRKLVRLATEADGVGGTRAVRDQGASSDGREVVAVWADNPKWSGNKAGA LRFLGSGASGALGGRFAVMTIATSIRIWEMMNETSLQSAATGSLGAGNSE PFICI_14949 MVVLNAAATVLSLCVGSAWSLAVERDYTEQSQWITVGDGPSFSL SSGNVSYQFHVDNATLDLVHDHWGAYAAETVPDYYGNQGGWGGTYTYRRREFPDLGRG DFRVPAIHIEHADGNTVSAFSYTGYDISSGKPSLPGLPATFGSDGDVSTLTIHMFDNY SAIAADLHYSIFPQFGAIARSFQVTNQGNQSVSILRASSWSIDMPNQELEMLDLHGDW GAENHINRRPVVYGEQGFRSTAGYSSHFHNPFLALAPPDTTEFTGPATGYSLIYTGSF AADVERWSTGWVRVLLGLNPLHLSWPLGPGETFTSPEVVSVYSEQGLGGMSRGFHSLY RNHLARSNLTHETRPPLLNSWEGLGANINETAMVKLASEAAGLGCSLIVMDDGWFGTD FPRDNDSLGLGDWTPDPRKFPDGLGPFVDEVTNITVNSTTGSSGSDKLIFGIWVEPEM VNPESDLYLEHPDWVMSAGDHQRTLVRNQLVLNVGLPEVQDYIISVIEKVLDSAPISY VKWDNNRGMHEMPSPSADHAYMLGLYRVIDNLTTAHPEILWEGCASGGGRFDPGLYHY WPQTWTSDDSDGLERLYIQFGTSYPYPPSAMACHVSNVPNQQTGRTTPLAFRAAVASM CGSFGFELDPEELDAADTEAIPGIIERQQQINPIVINGDFYRLALPDQSNWPAAMFVY PDASSAVLFAFQVRSTIKPLPNLLKLKGLDPTAKYTVGNGTTSETWSGSTLMNIGLSL QWPNEDYQSFVLFVDKQ PFICI_14950 MDAATIAEINKTRIAAGMQPLPVPGQEGPQFKEKAASDGEEEEA ASTLETREAAAYDNFKKIQEAEDAKKRREEKNAAIRRARDAAKRFENLEGKGLGEAEG DDLDAKAWLINQKKRQKRIEKARKLEEEMAAAEAAAAAAVQYTSKDLAGVKVSHEISA FEDGDEQILTLKDSNIVGGEDDDDELENIDLRNREKLQENLELKKKKPVYDPNAVNED GERSILAQYDEEISGKKTKRFTLGDQIAEQNAQPSASGEASQNRNAKKINLDLFTDEK PSSDYLDISEIKVKKPKKKKSKVTRQRAADDDDVLLPDTTLPDDSMDVDSGAAFVSRK RKTEETTFADDEDLQATLAIQRRDALKKRKRTRPEDIARQLKEEAQTPEAESNTPEAG GAGLVIDEISEFVSGLKKEDLEERKPRTSKTPNPEMVTAMEDESDDDDEPMRDADDAE HEARTRETSTPADLGTTGVDEEKSIGVGIGSALQLLRERKVIRESGADELNETYRHQQ AFLAEKRKREAAIDQNTRLQRERDRASGKLDRMSVREREDWARRQNEMRDQQTSRQMA ELYNREYKPNVQLKYVDEHGRSLDQKDAFRQLSHQFHGKGSSKGKMDKRLKKIEDEKR RESQSILDSSQNANMSSATAQQTKKRREAGVRLA PFICI_14951 MHALSSLLLLGGYAAQAVFGRPDPEAARFKRKSDVLKRDVDSFI ATEEPIAYAQILCNVGPSGCHASGVGSGLVLASPSQSNPDYYYHWTRDAALTFKALID RFVENYDADLQTEITNYITGQAQLQTVSNPSGSLSDGSGLGEPKFNTDFSAFTGAWGR PQRDGPALRATALITYGKWLVNNGYTSTATSVVWPIIRNDLHYVAQYWNQTGFDLWEE VQGSSFFTIAAQHRALVEGSNFAALVGDSCSYCDAIAPQILCFQQSFWTSGGYINSNI NVNNGRTGKDANSVLSSNSGFDPSLGCDANSFQPCSDRALSNHKLFVDSFRSIYTINS GKAAGTAAACGRYAEDSYYNGNPWYLNTLAAAEQLYNALYVWDQQGSITVTSVSLNFF KDLSSSVAAGTYASGSSTYTTLYNAVLAYADGFVDNVATYAMSNGSLAEQYDRNTGVP LSARDLTWSYAALLTAAARRAGTVPGSWADSNKLATSIPSSCYATSIGGSYSAATPAS FPAGQTPSGGSGTTTVKPTTTTKTTTSTSTTTTATSCATATNIAVTFNVLKTTTYGDT VKVVGSISALGSWAPASAIALSASSYTSSNPLWKGTVTLAAGQTISYKYIVVSSSGTV TWESDPNRSLTITASCAGTQTVSDTWR PFICI_14952 MTDQKAVLVGISGASSSGKTTLARLLRDIFPSTFILHEDDFYKP ESELPTRDGLLDWDCAEAISIPDMIKALKHIRTEGTFPPFVDSKEDQNSVGKCPVSDT KIAEMKSRVEAWTQPGQPGHDLLVGGAGIKVCLLDGFLLYSAEMAGVMDLLDVKLFLL VSRDKATQRRAARDGYVTLEGFWADPPGYVDKIVWPNYAEAHAWLFEGGNVEGKLRMD LLADKGILAQSSAGLDVDMETSFEWAVEALMRELESIGKKVATG PFICI_14953 MAPSVDSAILEALGLDPTATKIGSHGGSGFASTFKISSTADGKD TNYFVKTGSGPDAEIMFQGEHASLNAIADAVPNFCPRSYAHGAMRDSAGKFFMVTDFL ELGSSAPGGSGESLAKKLAKLHTTTAPIPEGHDRPMFGFPVTTCCGETPQDNSWTESW ADFYANNRLRSIVQRGIKSNGPDAELSNAVERTASQVVPRLLGDDNLKDVKPVVIHGD LWSGNHGRGRIAGQGGSEEVVYDPSAVYGHSEYELGIMRMFGGFGSSFFKEYESLVPK LEPKDEWEDRVALYELYHHLNHWAMFGGGYKSGAMSIMKRLISKYG PFICI_14954 MTNTETLSPYPMSAWEMNKWPEYPEVRYWSDLAYHPARRPFYPF VRPALLAKTSASSQRVVVQYCGSHTRQKASSRRRAESIETDKRWQETQEYWWNVVDGP QTKDTNEEEQRRQNDAPISEKSSDQEAEYLPQKSPASFKTIVAGARRFLHPVPSILNL FHIAQNHFGVALAVIDWIFPHCLHISWAVLLGGVLFAVVHGFIWK PFICI_14955 MFNFARSRAAATALRSAAKPQLSSRFPSLVQQQRRNLSIHEYLS ADLLRKYGIQVPKGANAFSAAEAEKIAKEIGNDDMVIKAQVLAGGRGKGSFDNGLKGG VRVIYSPTEAKMFAEQMIGHKLITKQTGAAGRLCNSVYICERKFARREFYLAVLMDRG SQGPVIVSSSQGGMDIEGVAKENPEAIITTYIDINVGVTDEIARDIATKLGFSEQCIE DAKDTIQKLYKIFLEKDATQIEINPLSETSDHKVMCMDAKFGFDDNADYRQKEVFEWR DTTQEDAEEVRAAESGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGQPANFLDVGG GATPAAIKEAFELITSDPKVTAIFVNIFGGIVRCDAIAQGLINTVQSMNLKIPIIARL QGTNMEQAHKLINESGMKIFSIDDLQSAAERSVQLSKVVKMAREIDVGVEFSLGI PFICI_14956 MASCSVARHVRKGAHSCVECRKRKLRCSRSSETAQSCRRCEERF LECIPQILSAPSTSKPSRKTRDRISWLEDKVHNLTEAVQALQQPTAGTISDLSLEYSA SDSEAGETDTCSDIAIPTQPSYLNALFNNSILNSTENDATGRSNPTSSQFSHTIRVAL QSLVPSKGNVISLAETSSGWLSLLHDIFPIMTDIKSGYDILSRHDEVLRPTANPLTVA SWLLIVAITAQHNAGPRHQITLRNKKPLNGPRYSRLVAESVERTIIVRDQLMISVEGI ATMTLLVRLHLTHGNFVQAFLLLRRALAIAELLGLPWATPRCLLWQHICTAERFQNML LGFPSVTQHYGLGQLEPVLVDGKIVPPNFLLRLSSLALDINGPSDLRNHSTSLSEIQS AITKRDRDLKDLINQMPDNWWSDEATLSLSDRLIKHIYHYMTLRLHLPLLLRQDVSER GLQSRAACIDACRALLQGYIDVYPLILEGYFIQRILDLQIFTGAIVLLLADHSCGVHN HDKGATTDMPSVSMKLIANVVQAIEHHSENPRSDIAEQTLGAIQTLTELLGTDDSDAL QGDTVLHVPLLGKLHVRRKTESEASIDFDPSIPDFDMAGMDIDQVATSNFNWSIEQAY DLLFYTATQS PFICI_14957 MTWMLFVFCVIRLVTCSTRIAWAANPTSSKLAVAAQVFNSAGII IIYIVNMIFAQRILRSKQPKLGWNGLFRIVFKILCALIVGSLIMAIVALVVSINTTNL HTLRSIHDVILASSTYSLVLAVFPSCMLGVAYLLPSSPDEETFGTGSQGKKSAILLIS CSLAIIIAGFKTGTTWEPTRSALNPAWFDSKAAFYCFDFMLEVIILAIFLVSRVDNLF HVPNGCKGPGDYTRLRQQEPSDVEKV PFICI_14958 MNWFWANWYHVNAGVAVLAASILAAYWNHFDLVQRCIIANFAVM NLHHWEEFGFPGGFTGLCNIARYGSDRPAHYPLNQLIAAFGNNWFNYIVYLPPLFLPK VTFLTLCPMAFGLLEVFGHGVLMNALVRRPYNPGLATSIFGFLPVGVTYLQHAHSNNL ISGLDWLLAFMFAMANYYVIFYHIGIGYMGSKTTPYAFTKEEMDRYNPSLWSPSVWLA YYRDNWYYFTAIAFVISTFVMGFFGNLFTRIQTILIYNLMALFVHQVEEYILPGGGPL VINVAFYGERKDYDRFPGNKLSMAWVNTLAYPFYISAVVFPDNVWLGLAQCFFGFFQV IGHGLVMNIKANTAYNPDVASALLLHLPIGIYYIAHVHDHQLIQAVDWIYGLGGFILA SILTIVIPILSCRNRQSSYPLTAKEMAGFNLLNKYRAKGLLKTD PFICI_14959 MSRTVLITGATGKQGGSLVNALLAAKADFQILALTRDISSPSAQ RLAAKSPNIRLIQGNLDDTESVFKNARKATSSPIWGVFSVQTPAMNSTGPAIEEKQGK DLVDSALKNDVRHFVYSSVDRSGAKSIDNQTNIPHFMSKHNIEHHLINKSVGTQMKWT ILRPVAFMENFDGGMVGKVFATCWKLIVKSRPLQLIATSDIGVFAAKALREPETFAGK AISLAGDELTFEQMAAIFKQKTGSDVPLTWGILGRLTLRLSKEMGTMFEFFEREGYGA NIKELREMHPDLKAFGPWLETSPYAKRP PFICI_14960 MVADDGGSSAMDAHSSACIGNMIRDDNHTTPMPPLQQESLGCGL SKEEAEQLTNLYFDTIHDVCPMLHRAKYMESTPLSNASRPMTSLQYIIMALAATHSEQ YNQTSPALYRQARLLADNGDSIHADASEPTVLQAQAWLLISMYEAQQGVFSRASISFS HSIRFAQMCQLHKLPENDHYLQELTAPDWREIEEQRRTWWALFNTDRLLSATTGWPSL IDTHDICTPLPASEQAFAAGQAEPCCTLHALLENFVQPTSIFNRNIVAAHLFHESVRH TSHDFSDIELCDTKNGVYWKQHRRLDNHLSLLRTAISGTTIQLNYRDPHEATVHLYIH AATIRLHQSAMQRYQSLDLPADLASQCQDRILLAAEEIVHIIKATSNAKLVMRNPVAL FSVSSAILIMMSNLGPDDDSPHCEDNLVFLLNMLAIAGRDNIVAKSLAEQLVIQAKAA EDDEDMNQQALNFAISGAGPLLSVTGPDVSSTSFRLPSPYRP PFICI_14961 MAPSAISEVPPSVLPTKVKDQVQQSDAGVPMRDGLDKTPLEAIS HGTALPGIPTFSSFVEERKHILTHMAATFRFVARQGFTEGMAGHISVRDPEHEHLMWM NPLGRHFGMMTAGDLICLDINTGRIVGGNTSRPANAAGFLIHSAVHKRRPHDIHAICH FHSIAGRAWSAFARPLDMLSQDICNFHNAHAVYADYGGIVFGNTEGERIADALGQHNK GAILMNHGLITVGWTVDEAGFMFGLLDRGCQIQLQVEAAAASGLKKNIISDEEAAYNF TMASEKNALYREAQPDLEYEFMMAGGEEVVAKGFEALSPLQL PFICI_14962 MSGSLSWDHQFCLACDRQTDGATYCSESCRLSDFDKSSSPFSTP ASSPGLETPAYSWTSSRPQTKFYLSPAYDFSQAQPYGSTPQAQSYLHRHSISSTSGQL TPSSSYSSLSSLQSATATATPAGNDGKHVSEKARKQLRDYASSFEQARLQRRRSC PFICI_14963 MIDPVVLEPSQTDLEKGPDEEPSRLDADGVLQLIQSRPESITGN GQTELQSHQSIENIRPMSATFASFEPPVDLDLDDRWVFQHHKYGGLIWGIERKKEHAL VQWFRSLCASGQVAAKSETDYTRPDSDHSFRVSLAEMQRIHLRKLQFKLVQHATKMRY RDYEPKDWEGDLKSYIDAMKDYDYMIERSKSLRDPFLVTGERNIDDFVIRTVFDRMDI DPGEFKSPISVSGPWEEDTAPIGGTRIASVSQTRLEATRDKIFMAITGEKGKDVMSAT AAYAAVLVVFVDLNPQGPSATL PFICI_14964 MQAPVLVMNTQSGERQTGRKAQMSNIAAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EILAQALPQLERNIHPVVIISAFKRALKDALEIIDEISMPIDINDDEAMKSLISSSIG TKFVSRWSDLMCQLALNAVRTVTWEIGNGKKEVDIKRYARVEKVPGGEIEDSKVLDGV MLNKDITHPKMRRRIENPRIVLLDCTLEYKKGESQTNIEITKEEDWNRILQIEEEQVK AMCDSIIALKPDLVITEKGVSDLAQHYFVKANITALRRVRKTDNNRIARATGATIVNR VDDLQESDVGTQCGLFEIEKIGDEYFTFLTGCKDPKACTILLRGPSKDILNEIERNLQ DAMGVARNVMFQPRLSPGGGATEMAVSVRLNQLAKGIEGVEQWPYKAVADALEVIPRT LIQNAGASPIRVLTELRAKQAEGKSSWGINGDTGGLADMKDYAIWEPEAIKLQSIKTA IEAACLLLRVDDICSAKKAAQPGIGISGGDD PFICI_14965 MSGEGPESIPTSADSRSKRAVKKRALTPVSAQASNVDALFARPD QDIRIPDSSTAVARSRIAPPPEIVTNVQGSSAGAGSGEFHVYKASRRREYERLRQMDV EVKQEQENEEFERKREELARKDDEKTRRNREKREKMKARKAKAKAGGGAAKASTNGTK GPRIAVTRADDGEEDTEIKDAPVAAEEPKGLVIEDD PFICI_14966 MGRPRLIILIRHAQSEGNKNREIHQTIPDHRVKLTQDGWAQARE AGRRLRSMLRADDTIHFFTSPYRRTRETTEGILETLTSDEDDPSPFKRSNINVHEEPR LREQDFGNFQPCSAEMERMWQERADYGHFFYRIPNGESAADAYDRVSGFNESLYRQFG EDDFASVCVLVTHGLMSRVFLMKWYHFSVEYFEDLRNVNHCEFLIMKKQEDSGKYILQ NKLRTWSALRRERAALTAKEDAEKEKEKGNATAPAPAQAKDAEKPSNGQSSSQAVQRR WGGCPEGCDHSKHLPKIRRDLLELRQVDEKHAAKSSLAAAGSVQVTSSTTTIASRRVP RTVNSSDDDSDDPRGKPGGGPKIDISRAREEIVSSPDGTPSFITVEDRLRYMKSPKFL HVGRDFGGTHSGANSDAEMSEDDARQRLASIAARVKPTNGTSGVNGNSNGDNGKYLNE SGMGRGAHANRLGDAPASRSCSNDGSEDGGDEREDNGDVDEHEHEEEDLDKAEKEDRS IRGSVY PFICI_14967 MYGISSESNNVMDYTISNGDTELLNAPGKRSQDVLPDYAAAIRR PVTLWSLHSGWTMYAFLLLGLGFAVGHHQYYMYLNGTPADEQVQKMRYGTVLAFLTKA SLVAAVIAAFRQRIWATLVSTPLALKALDCLPAAPSDLLAAFNPDAIRSAKIAVFMAW FSWQVTFSCITDLSSPMIVILASQSLSVEPTIDVTTCPGAKVLNFNRETIEEFRKLDP VDNVPLLPASYWNSTRFSTTQPYWFDYYHAPFVRLEQLATIALYQDQPATGSNASSIA CGHDWDCSFTVKFVAPGYKCDDIVTEGVFTKAAHDIRPPFDTSILLPLGRYSYYAVTH LGDYADQQMGDVFPGGIPKSEPPWPKHFGVFRTEPVLWIGYISVLTSSGRLPENRTAP GWNESFSPNIIRCENYETEYTVFFEYINELQKIRILDRKYIAPILNTTLTQDIANDGT RDTTVAIPESNYVFPQDENYGYVAAYHSFGCKHSGNIYKIIR PFICI_14968 MPATRSAQPGPGAEGNANEDARAPTGPAAKRRKTRKGTNSCWAC KRRKEKCIFDRSQSETGAQATVCLACQRRGTPCVSQEFPDDSTEPPARTVQVADSAIW IEALVEQLVKRFASNQSSTGPTGSNSENIAARDCGIPTPATVDLESGQNPPSSSILKS PASAGITDASTPLSGHQEQPSAAVGTYTRDSRSLRLRISDHVSPGSAKLARLSRALYN ALPSIQDSDILCRTGYRSSQMFTEILTIPYKSLGQSGLGSRVNNLVRPGPQTHPVLLA RHMLQLMHILQQFHPSYEALQALSEPPDQILERLTETAITQVSTQDRFLGNIEGLECV LLESMYHANGGSLRLSWAANRRALHLAQLMGFHQDGGRLRYHMLDPTSKADPRSMWFH IIQYDCSLSLLLGLPRGFQDCNVATEALLTGDIALGRLERIHCAIVTQILDRNSSKRP DFSTTQQLDRELQKEARRLPSRWWLPPRLSNKGKDDESLFWDLKRLIVQISHYNILNR LHLPYMLRASKDPSYQYSQIACVNASREILSRTLVLHEFNRVAFCCRTPDFMALMAAM TLVLAHLDSHRHASSLLVHQYLSDRAMMEQMQQSMEEYSRINGDALSTESADLLRRLL AIEAEVADGHTANTERVTVQPQGEGAPDHNESDEGDGVVHVHIPYFGIVKIAREGTIS KETLGSARKPVEAQCVQGQHPEVSDSRNSTDSRQAIAETLLHSPQFVSAGQTTDSNDM CSRPDLSALAQSQSLSHVMAITEETDPSSAYSQPQDSFYDPYMPGFTAGIDDWTFQGV DLAFFETLASGDVNSEFYHMNELAWQSDGG PFICI_14969 MSATPESTTSSPPDTQRDGMRTTKEDTDPGSSTQSVDKGRTLRG LRWFVVCASLYVTCALYGLDTTIAADVQGPVIASLGHVEQLAWVGAGFPLGSVCVILL LGRLYELFNLKWNYILTVLLFEIGSAICGSAPTMNALIVGRVIAGAGGSGIYLGSLQY IAVMTGDKERGLYMSLVGAAWGAGAVLGPVIGGAFAVSSATWRWAFYINLVIGAISAP GFVFYLPSIHPSQGVGVRDRLVSIDWAGFILGTGTWVTFLLAFTMAGGQWPWDDRRTI TVFVVFGVILVFYVLQQYFAWMTTPARRLFPAHLLRDRTQVLLYISTAAGTTILFVVV YYIPIYFQFVNNDQALMAAVRLLPFIVFGVAVNLISGSFLHFIKIYMVLYLTAGAFTV AGGGPLVVYLDPSTSTSTIYGLTILIAVGAGLSMTISYTVATLTLKPEQAAAGISMQN VAQIGGQVIALAIAGQIYQSVGIRNLEAALAGHGFSDAEIRGATAGVQNALFQKLDGD LREKAIMAIAQAMQMTFVMVPIAGGVVLLAGLCMKRERLFGKVVAVGA PFICI_14970 MSRPAQTPLRQLTENGPKIPAIGFGLMGMSIGYGEAESDEERLK VLDRAWEIGCTNWDTADIYGDSEDVVGKWFRLHPERRGDIFLATKFGLKMEETGMVTN SSPEYVLESANKSLQRLGVKHIDLYYMHRANEAVPIEKTVGAMKQLVEEGKVKYLGLS EVSSTTLRRAHAVHPITAIQAEYNPWTLEIEGASGTHLMKTCTDLGIAIFAYSPLGRG ILTGRFRSPEDFEEGDVRRNMARFQGENFKKNLSIVDTFHEIAAQKGCTPSQLTLAWI LEQSPNIFVIPGTKKVKYLEENMGTAKVLPLGKKDDDRLRQVVSEAEVKGGRESFFGE YADTAPLEAEA PFICI_14971 MSSQLVVVITGAGRGIGKSLAQAYLARPNHTVVGTIRDDAAPGV AELKASLKGDGSRLLLVKIESASPQDSTTAVKEMEAQGIDHVDILIPNAGISPPIEPI ETVDLGVLSNAFNVNAVGPLALYQACYPLLKKSSNAKFVPISSAAGTLGGMGQGRTHV APSYCISKAALNWITQAAHWGNEWLTAVALNPGLVDTDMGSKTAKFLGLERAPWTPEQ SAEKIMGIIDQASREKYSGKFINAITGDELPW PFICI_14972 MEDVGYVADKAAWIAILTRGGQWTPLLEGNLRKILGYSILQQTG PINVARIAQFVTGIVYPLPDDSDLIREARFGYHFVRGAASSGAAAAESGTSEGTIRSF RMLQKSGAETAALVKEAVDILASQFTKILRLETEMGPAKSLMASGLDSLAAVELRNWV RSEVQGELTTLEITNASSFFALVGKLQSV PFICI_14973 MDAKTFVTVGSEEKRQFLIDNYDIPPSHIVSPRNVKFAKSILEV AQGRSVDIMINPLTDEMLDLTWRICGDGGTMVEIGKKDIVDGKMLSMEPLHRNCSFRA MDFSYTKDISDPLIERYGGLLSEIFDLVNAGHIYPVHPITTSVFNDVPSALTYIRSGR HIGKVVIERESDKDVRVPIRPVLPRLALQPDVSYLIVGGLKGLCGNLAIYMGQRGAKH IIVCSRSGIADEASQSIVANCVAHVCQVVEAGGDIGEPDFVRQLFSEAEPVISGVVQG AMTLRDKPFETMTIENYHTAIHAKIACT PFICI_14974 MAPTIVLITGCNRGIGKGLLERYLAKPNHTIIAANRDPKHETSQ ALFNLSKAEGTTLILIKIDATVQSDPANAVEELAGRGIDHLDIVIANAGIARLWVKVS KVTAEQMQEHTVANTYGFIWLFQALLPLLKKSKKPTWVTIGSSSAFLTNMLPMKNAAY APSKLVGHWLTKAIHIEEPWLTAFPIDPGWVQTDLGNRGADAFGYPEAAVTVADSTDG LVKVIDAASRDTHSGKLWSFEGQELPW PFICI_14975 MQFFATTLLSLAATTLAAPSVAPRGLDIGSCCATTEGGTILTRC VGFDPSDAATVARRGVGTLATGETGKLLARCSRSVDTSSPLAARGLDIGSCCATSENG KIITRCVGFDPSNAATVARRGDLPVGACSAVDTNGNLISSDSNAATVA PFICI_14976 MTSRKDEQYGRLMHDDEPIEHVDKDLHTRYLRKVFHLNCSLMSN LFLALVVVGLLARTSSQPSALTLSIPYTGVGPVQLLEDQLAVSSNVIKTYRFAEDNLD DLDFRKGDPYWRALFPKGEGQVYLEDDVIESYKLPPSMRNPAKGNMSAYLMAGYHSLH CIGSIRQSLGRFMAAHITGGQYNITQHDWLHTVHCVADLRQVLFCNFDETLMPYQATI HPGYHQKKVCKNMRPIDEWLEYNYEGKFLDNVE PFICI_14977 MHSSIERIQWLDSLRGIAALIVAVDHLFMGCIEAPFRSYWAEPV ADNRHFYQLPPISIIFAAKSMVALFMVLSGYSISVSLVQTRNEATISRFYQKLASAAF RRVFRLYIPVFIMATVAQIALYLGLYHWWWPQLDKIGVVPWGSPVLHFRYYLAYLVDS LNILDISPNPGLNDQLWTIPRELRGSFCVYLTILALSGINHNKRICIVLLILLHTLWH GNWDIFGFYSGLLIAEATNHPGNHQIPLHSAKDIPAPIIERKLSNRKSDGSLYAWSSR SHSRVFKKLFLSVLSFWLLCQPFEESWPPGYQFLQNLVPPPWRGRHWEYTKFSISTIG SVGLVILINDTPVLQRLLSARPLEFLGEASFSLYLVHVIYYRCFRNPVMNFTYALFTG NRFWVDGIAPEPLPEYITWWVCGLVMIPSSLLMATYVARHVDKRSAWWAHAILKWMS PFICI_14978 MSSVDHLMEKGLAGISTKQKSSKAETSKPECMAAVIGYREDADL FTQALESYSRALGCEFVLVGIDGDEKEDEYMIEIFKKVYPKRSAVIHLDTPLVDLALE LSSSLPESQNKDDHIIASCIRVVRQHLHESNISLHDIRYLCVHQPHMHKKGIMFTTFI LSLVITQTFGLEWIWSSDSDTIVDRNTISSTTSICTSDNDVGGACATLVIHNRDDNLL TRLSAAVYLSDYYLARSFPSSFAANECQSGPCALFRASALAPILLPWYTQTVMGRWMR VNEDRHLTTLLLRRGYRVLYAADAIAATESPPTLRRWLLQQVRWSRACHVEGLLAAPA IYVLHHPVLFLNAVRRALGAVAIPLSVSAYALTGYATRSLAGADMCRRLLVICVYLVL RSPCRPRKWTEWLWLLPGQAMFSIAAQGIQLWALITMLDGDWGTCMRASTEVHADAVG ESNADSTESGLQGNTKGGLLASPIGTGRKSRVRRRAQEIGFFVFWIGLVVGALVRAWA SGSYAPDDGIPTLLAFGCMMMTWLGMAWWMVVVSN PFICI_14979 MATLNSKSPSFAPVVGDEPLPGYQEEIDDASSHQSVPPAVLVLS DHHIIREDPGNSNPKPLYELNRGVAVITNATTTVTFSRLDYSVQDVAGAEPSLKVRKR HLYSLEHNRTASLGRYVRNAKFADAPSFWCKSESKKTLGDLGLKIKKKSGFIIQAVNR RDGQNSDPCFVESSQSRDNPVSFGIEWSKKYNRHVWTDALGLLVAFETLVDGKHRLDI KTELQRPALDTLVALWCLKIWWESAKKHADEQPSGGWHEGNYLCISAT PFICI_14980 MQFFLHVLAGLGLATLIGKSNGIALTVSQDPGNSTSSKMWGLMF EDINHSGDGGIHGQLLRNNGFQGTNPGLTAYFAVGGTELAVDESIPLSTAISNTLQVS VAAELTGQVGFGNEGYWGIPVDGSAHNHTIFIRGAYEGPMTWTLVSASSGEVFANGTF DVSSESDRFTEFTSTARSMRTSATDIEYHLTFDAAQVAGSSLWFGLPQLYAETFAGRQ NGLKTFMAEAINDIGGSFLRFPGGNNLEGPNVANRWKWNETVGPLVSRPGHQGAWGYG NTDALGLHEYFEWCEDMGLDAFVGIYSGYSLDGTSITGSALDPYVDDALNELEYILGD ASTPMGSWRASNGRQEPWTITWLEIGNEDDFACGTYAERFTAFYDAIKTTYPDLQLVA SATGFGCLPDPFPENVWIDYHEYNIPDNYVTNFNQWDNVSRRNKYIIGEMARWGAEWS DMRGSVSEAIFMLGLERNSDLIQGAAFAPLLSLVDNQQWTPNLIPYHQSPDGLVLTSS YWTQQLFAQNAGDMTRAVTSDTEFGPVYWSAVSAGTSYMVKLANYGADPQPVTINVAG KTAANLSVLSNDDPDAANTDVTSPIVAPIVSSISGNASFTFSLPAWSVAVVRAD PFICI_14981 MALGHLKTFGDFVKRNKPGAHPDMAIVAMNRALNRPPLMFMDLR PINEPMVVVGDYEIADQITKASKIFPTSPPKSAASLQRLLFVTGATSIFSSHGDEWKA LRKRFNTGFSPQYLSTFVPEILDKGMIFLEHLDELCASQDAFPLINLTTRLTFDIIGK VVMEADLDALSSGDSNTGPLVDLFETLLDAYNGDRLNLPWWLTISKVKKRAALSERMT NILRAIVRSKHAELHETAKGTANARSVLSLALQDVKTLTDSIMDETCDQLRTFLFAGH DTTSILLSWAFYELSRTPHAMRAVRAELDGLLGTDTSPATIRTRLLGRSDLAQQMPYI SAVIKETLRLHPPGGTARVIPAGSGFFVRMPSGEQQCLDGLLVYNCQSIIHRDPRAFG DTADDFVPERWLADKEPIPAGAWRPFERGPRNCIGMELANIEARIIIALTVRRYDFTK VGLGEFALNENGQPSLNEKTGQYKVTEELYSVTSKPADGMLMRVKLAE PFICI_14982 MIAITALNLLLAVVVSALPSTLSCDVSSSNATVSSREGMTSLVN QILDSMVAHDPYSLPLAGVYYATENSHPAALGMMTAWRTITKAGEPSLLAIDTTNGTA YFALDVSEGNDEVQSILRGRIKVVDEELTELELFINRNRGDHGFSYSATELPSNYAVL MSPPANRTKASRETLWQLSEALFATSSNFTVDLGADCQFTELGWKVVDTGLYGNGSTD PLGCAWPDEHPTDSNARVALVVDEELGFVVTSGIIPGIVYPYYGNTSAFIPNTMTAAQ EAQEAWYEESKASGGLPLLAPTGATGETLEVLQYYNGELQAMQINVYLSGPGMTSPWL PFICI_14983 MELLHHFTTSTCLTISTDPIIRNFMRVNLPPIGFSHPYVLYGTL ALAALHLARFRPELKEYYIAQAETRHATAASMATTILSDISSSSADRGPIFLFSTLTS YIAFASPKEPSHLISSTHAAMPNWLSLFRGIRTVIELQDIGTDFQSLSCLFQAGQEVK EIWDSQIVEHGALKELEHSIKTSTTKDEQTMLLLNEAIDGLKRAFSLFYGRELSSDKK ASVAFIWMYRIPEGFLALLRQRDTEALCVLAFFCVLLNKLEHNWWIDGWAVHLMERIY AAIDDLHRLWIRWPIEELGWIPRPLMSSQVATPT PFICI_14984 MGPEIPTPTPITGITTPGGKPGLRRPLAVFQKEQKLAFSLYVQA LLAWQQAGNDKDDRDNGTGTSYFQVTGIHGVPPVKWQNDPAEPFEQDVPVGYCTHRST LFIPWHRPYLMLYEQIIYAYAKDIVDHSTGSAKEAYAAALPEVRLPYWDWASNSSLPD AIKTPDITISVPGDQPGTLKDLHLDHNPLWSYKFQSEYAKSIVQKQMEWDNKEPWTES KRCPDPDGTSNIGICDLQMITIGRQFTETTWNLLLRSTDFDELTCQAWRGSNGATAYS SIEVMHNNIHNFSGSQATLFKPDYEGPLKLGSMTEVQASSFDPIFWLHHVNCDRLVAL WQARQTEVTIHPYPSLLPRFNAGAQTVEDGNSRLEPWHKDNSASINSYWIANDAKDLI STFNGGYYYPETPLEFINDPTLMYVAVTNAIEKLYRPGYLPPAPPISAKPPSAEPPSE GQPPKAPMDGQPPVGAPPEPPRGANPAETTKVWEAFVRVKNFALTGTWVIYIFLGQIP EDSNEWFMSKNQVGVISLLSTLDRTSCENCLSQSERDQLVTGTAPLSGVLHDRGIDVS DEDAVVKYLKKNLGWRIVKDIKNVPIKDDFNLTVGVSSQDLTLPAAGSGPPSFGDSKT YPSITAGKRGGLDEHSRSALFGEPA PFICI_14985 MADAAAHLPPPSASLINLAIAEIVIYVIFLPPVLWITWKHGKDG MTCWSILVAFYGMRFASDIWQIMNRNEPNIPGALLIITNAGSIACLTLALIGILYETN NSLPYPKRWIDKITMAATNLINTAGIALATYGGAPSNTGGVLNLKLDRLGNILMLLVI FALYVWIWPTWHRVWSVRNDAAFKPAMYMTIAAGAAMPFQLIRIIYNTVYSFELADNA LDPIMGIFATRFIFLFVTQLGCTLILLGGGYLGIRPKKSVSGDDSLAESGVQLTTTGE TTDQSPEWGSSAGRMNPKKRWATGSRLR PFICI_14986 MTSLQVWSDVIVDRWPIISTVALAAVIAAFLPSTLRLIRMFTFP TVGTELGGAEKRRKAYLAGARKLYNDGYRKFKEQAFKITTSRTSTVLVLPPKYLPELK KIPDSIVSMEAAVDEHMESKYTRIETVVPIVPHTIKADLTPGLVRLNPVLSKEVQEVI DLEMPDCSDWTSLNIHHKLLRIVGMVSGRIFIGPELGRKEEYLDAAINYTIEAMEVQR AVQSMRPWLRPFLANRLPAAKKLDQRIKAAEDFMMPVVKKRMELANSPDKPDDMLQWL ISSLGKFPDRASQNLARVQLGISFAAIHTTVLTATNVFYSIAALPDFVPELREEINQA LAENDGVFTSNALQSMKKLDSFLKETLRFCPASMASFQRKVLQTFSLSDGHVIPAGIT IEVPAVAVSFDPELFPQPEKFDPLRFYKLRQQARDGGGSGESAALNQFVSVSQNSLTF GYGRHACPGRFFAANELKMILSNMLLKYDIKLANGATERYPNMEFAHMSIPDPAKELM FKRR PFICI_14987 MSAPSTNTINLAIAETFIYGVALFPMLYITWKHGKAGMVCWPIV VSFFVMRLVADIYQIINREQPEQPSQVLILTTSGSIACLSLGLIGVVYEANIVLPGTP KRWTEKAILGVTHLVNTAGIGAATYGGSPSPNGGVVSSNLNQIGNCMMLFVMFGVCGW IWPTWKRIMKFQRHQNFEAARFLVLLGGVAMPYQLIRLASGTIYAFSPSEDLDPFTGT FAIRLLISAMQLAAALALMAGGWLSMHIVPLSQLPQRPQRMEEDVSYGIYDDGLETIA LTNKKKATEWTSV PFICI_14988 MAAGFATADKSKPYVGLNSIAEDRGYKEGDATATCLCGAVQLGF PTEGPALVNAFVCNCVDCRKLTASMFASNFAIDDKYLKHIRGRDNLKSWKNDVTPIRP NTSMTDYFCSTCGTLMYRISSAFPNAPILRIGTVDDLTLHETKLKPQWEQFTKDRVSW FHGVQIDGLKRLEGNSKDYVL PFICI_14989 MSSSQTDFERFVFDLDGDGQGGFYRARMANTPGEINRRVMINRG DKFQVLADLLDVVHGTQNENGDDATLIIASFYFLPTRERRFKRAQITWTFTSDDPAVD VEVTKISPMSTWSLVPTQRTDEKSVTRKAEIGSSAGPATASGSGEWSLTQTQVSNFHT EVTGAMRVYERDTGGFDTARWDLGENEAQKTGIARMLQVGVLIKRTMLPGMTPKSGEV PTFRGALEVVVEKDWWSQRTSEVRRVWKKTEKEDAIVFRPGVDRRSDLFDIEHDNLGG IHLQDEVMFISLHQSFEVMQEERKARKRLKEEQRRRDADEKSSADTADTK PFICI_14990 MGRQQPSIRIDQAGTELNASGAYDGKIDDDFPRDDAEIREVFEC VLDDLKSDEDFNQSFFTHVRYQEEFYTFSNGKSLNPGFAFDATSKERNIVHHFIERFS ASPQKPETVERVKTFIGMSLSFQPGLIGDGLDNGSSPLNKAASEEGCLGIIEAICQHC MEKSRPQRFNEIQEDTAFNSASFTADTLLEEGGHDKTSRVAWSELVRTNQDTFLHSAI KKKKNRNAHYFLDYLEQNGVAKLYLEHYGRDGLTPLHLAVDFTHCYDDQVKLVERLIN LCPEALKIRSASYRQPQPAWNGGKNPQFGSNITSWDRTPPTDLKDNLSPFRYFLDTRE RQENSADILARSYRRTARPKSGGTFEHGQAMEELLRLSCMRYYGNDRPTITALLPLSL GQIHFDLSPRTEVSGEFLNHISRSSVMRFEPYLQYVHIPDLRVVNVRMEKLESEPWWK SRSRKDYVYLFEWLKTSMKVQKILEVVVDDHPNDFHSDEIIEYCLKRFDVEALNWAKM DMCSDTIYEAAKNVRDLILYWSGNRAILKSWAAPDGLAQLREFIESHDRTDKMKKDFE QTFDLSWKMWRDQDNYSRNRPKIDWQPSYSQSHVSKRLSESEREEKGRKEKWLKCMEN FSRILRNALNNRLANNNKLTSEETIKVAIIDDGLDIEKDDIWRNVALGETFYDNKGHW PGFYQSAYGHGTLMANEIRQICPRVELYIAKLNEVWSDGKPQITAKSAAQAIDWARKN KVDIISMSWSIESPDEVDLLKNAVESAIKDNILLFCASDDQGNTGGKAVYPAQAASEN IFRIGSATVLGLPDEAVQSSVDFIAPGSEFVKRAAHQEDKGFADPRSGSSIATARCAG LAAVILQCIMLSQKKWNKSQVRTHKNMAAMLEQLVDDKDTHKYLKVWKVFDKAVDRAE FGPDFEWEVICDVASIFQGRADWLPQSNAQVNNRDGARR PFICI_14991 MASSDVSSIIEGTSSYMEDILFVAVPGLYGINGSSPEPNLRPLF WGPESILREQNWCCSSIITLNSNLFQRFLENGSPESLESIAMELLEVVDDEYRSTDAL TIAENTNRYSDLFRDTSACVFFGVPHQAPSLQSWEEAMTALISSWTTIPQGAWRPGPS LTRFLRKTSSEFMRVAFRLCIVTVFEQVDEQERAKPTIERDSSTIDVSHEIQIGRKEK MESLARFAHNDGDAYIIMNALKGAVKAPSLYSEYMQQLAHLSPFTRYPYHPGMNWIMD TLQSFIQNPRSSAVILCGHHGIAYFAFDARDYRRRSVTSMLSKIIRQILANEPGLFSQ TTEPLQKDDLQWTEGNLWIQLRSMVRRVQSKNLMIVVDSVHDCDTHDSEELLQDLLNL QFCRPEGFKLLCTTDTDPSTPSITPVTTVSLDNLSQFEDSLELYKDILANKIVDENVR YRPVADNIRRCLETSKGFLHAKLVAHRIRSITSSSRPSDITRELSQLPLNLRDTVIGM VASCPSWVACAVSWMMFSRRPLKSLELAVAVSLYEISLTQTPIQKIDEQLIPRDIEGD LERQLGPLVRIGDDGIRFSHPYVGKILQEQIESTHGSENLTYQSLTRLCLEYLKLCFG QEFQDGAISLNLVEYVLENWYVHYREIKEDPATQLDENQIDYLAYSLCTDYVSQLRPP NLNLSGLSAFARDDADSDTILSPSHLAAQLGLLNIIKLMIPEPSMSSMPHLQIASRWG HYSIVEYLVTGIDDVARIEKELDLSCLRGNVVILKVLLGRLKQLSPETSALQHVLVDA CRIGHRAIAQSLIEAGALVSGFEGPLALDQAVDQGHLDVVDFLIRNGVDVNVPSSDHL TPLHRSIQRGYSHISDRLLEETVLQDLPDSRGVTALHLAARSGNITLLEKVLHLPRPK SEHSNAVASPLHEATAGGHAKAIRRLLESGFDVNSRDPDGKTPLFVALSKNHVDAVSE LLRWDTFVESDKDYSSSHLKEAIRYGNLAATKWLMEKDANTGGDASGTSSPLTDAAMG NRADIIKELIRAGADIGHRVDFQKQFKILGEPAHSGWTSLHFAAYYGSDAAINVLVRV PGTDLNVKSGSGYTPLHLAVYAGQTNFVKALFHHIRSVNPSLSGESNDMQHPGIREYL DKRSPRGRTPLHIAVNSGSFRLNQLLLNNGASIGARDDHGIAPIHFAAASSHPDSRKT VEKLLSMGANIDCADDSGWTPLSYCAQAVNEDIAQSLLQRGCNINATTLASRTPLYIA ASHGSSKIVSELLKKGADPNTANEEGYSALHAACYKGYLNIVNELLEHGANANAEDSR RDRPMHEAARRGNATVVERLLKAGAEFNAANGRKMTALQRSILNKWFPVAMLLLKRGA DPNITDEDGDSALMAAITPTTDAGLIKSLLRCGADPNTKNKLHMTPLRKAATRAPMFI PILLDYKADPSVSGPEKITVLHDISTSKTVWESKNITPLLAVIKDFDIRDAEGLTPIH LAAKTGNASIIETLRGYNANIEACDGQGRTAMHHAVRRMPPEDFQRVFAQYLRPGPDN RVNIGDLDGWTPLHWACKGADATIVKLLLGDNAQQLIHAECTRGWTPYTIATFHSEWK LKDIMDRAVSAPHSTAHPSADGLSFAPHLLTTEAKPMRSVGAIVTRHSNIRCDDCLYA PIYGLRFRCKDHGDSNFDLCFKCHWNREKTHQLSHEFQALGSGPIDHPLVETQTLVSS HNQVKLSTEPEDFLKLGSSSPTVLDAHRLRPRWSRSSRPIRVHRERVPVLSTSPRDMD RVTVQKEIEALERERASESLMTETETRRRIRHEETAKFFLKMPQSEDMTENLKNGGML EMLQTTLRQQDVSPHLQNQVEQMVRETLERWQRVQTSGSRVETGKDMRAVPRLQQWSV VDDGHNWTHQIPDVDRPRTTSAMSKGKNPVYASLRTQETYEGVWRNIPPEGDAGRAMV SDPVSHNTEPAILLTIEDGKPAKRDARAIREAKGKTASRNVQQGGEMDKTAEKREVAR FLEVSSGSTESTRQNSRSTEPGTNMVMGPASPEALQPGDSSMSFDAGSAWIAPLDSNM EYPTINVEDMRRQRYHSHHHQHSHHRHHRPRPRHPPSRKHRDQRLDIELEDEMDE PFICI_14992 MARVKQSTRQYEKGVITWTRFHLPLEKEWPTWAVPHDDVHVGPL ADVKGVGTISLARMVRNPEQAAYIIVWDTMEDIQNFEASPACREFLQNLPDNSSMPAA IESASALSHLTLNDGPSSSSSMASPLSRLCTLKHVKAIPTAELEGRVTLNLFLIPRKD DISTMMTWYQRLKEVLGCFLPRGFEFVKWRPRFSWQYLTLWFWVVSEDQWIEKAFGKL ENPQGEESQGRTIICEFHVWPIQGADLEQENALATDPQTRESWSESVARVMPPVTAWQ QERWDARQVPRFLPPEEIDPEDSECEKELDEMRDELGEKSED PFICI_14993 MALMTALLLFLSCTLAADDEDVFIDNLFKVHNGATDGSCGGRAD VLDDWLGETIDSLDIALKAMGNYDDNWRVRRSLSTIFTLKNRRLRTPKDQAFKDVKGY LEAVRDFFNRRLDDSGQQMYVKDGYWLFCDSKFLSEQQPTDTALDYLGVPIKDDKEQP VLINSVDEYKIQLTKDANAKPWWAGISTDLNAYFFTQHGADFCDVEGHLGVTASVTPY KKGSSGRAEAAPFVYSTTLCPSSFEGTVIDGTVRYETYKEANARITPEANLANFVPRS ATLLHEAFHFVHGSVFKVPGDPKDKKAEKCK PFICI_14994 MLFNPGHLAPVALFGGVLAAPSSSQGSAEARAAQICYETETPSR ICYTAPDNVPQDVAIEDVTFIAAYLRSYGAQVRTGRLFNMAAADAPDCGEWLLYAHGS AQAFAKHIDNTVNSSVLFADIATTIDGGPNASTGQQAGALIGCGTDGGSLGVAVNTTN PTYSGSTYPAGYNTSGIIIKIVASGA PFICI_14995 MTAPITLFLTLLAAQWSLVQSAATFRSYNTTGLNATDLPYNPRP APSYMVDNQHNYFKTAPDKGKQNGPVWAYSGSLENYLSNLQSGTILRGGYAGSNGTNS TNFKRPSHKRQSTSDFWLSRLGPLGTQPNAGGNNYQFFRNVVDDFGADNSGKTDTAEA LNAASASWNKDSVGGSQTRCGEKCGNTFSQGAIVFFPGGTYKICSPVIQYYYTQFIGD PNDMPIIKGCDEFQGIALFDVDPYIPGASGQQWYINQNQFFRQIRNFHFDLTDMPEST AENDQDLVPTGIHWQVSQATSLQNLVFDMPTTSTTTHVGIFTENGSGGFVSNLEFNGG NIGWRAGSQQYTARNLVFNQCNTAVQMVWDWGWTWQQITVNGGSIAFNISGVGGDSGQ GIGSVSIIDSAISDVNIGVLTNSLATSPNIVLDNTKFNSVANPVMQEKGSTILSGNSD LWATGMRYDGSDGSAQTGNVDAPGRGKGLDDSNGLLYVRSRPQYEKFSPDSFLVATSD GGCKNDGTGDQASCINGFLRDALDSGKIAYFPAGVYAVGSTVNIPTGSVVQGSLWSQI MGSGFYFSDIQNPKVMVQVGNKGDIGKMEITEMLFSVRGATAGAVLMEWNVAAIDQGA AAMWDSHFRVGGALGTDLDLSTCPKFSHNDECIAASLMFRVTSQANGYFENVWAWVAD HDNDKSIYNQPDSSSTQISIFGARGMLIESEGPSWFLGGGSEHSLLYNYLLSGAKSVY MGHLQTESPYYQPNPGPPAPFRAASAAIFPNDPDFSECEVTAEVWDDRCNYAWGMQII DSQDVTIHSAGLYSFFNEYYQDCIPTQNCQDRILEVRGSSGVVIYNLFRVATINIASG IDNTNVPQDGNQRGFTTEVSVWVPLPGDDNVDIVWVGTDVWATPTVTCSTQSCMLIIP TSSLESTTTIQPSDYTTSLEYGGLSSTTIGGVPTTVFVTTTTTITISVPSIVTDGIGY SNVNISSSGEMPITIYPSVSIPPVIVTLPDGSGSETTRTVPLPPWPQIDGGPSVIYTD PGTLPADSSTGLPTSTTYYTPLGTTITVPGATVTTVTFPGSTGAITIGCPAETSIVFA TPAVIVATTCTESADLTLDFACPSTRVLTFLGAATAVATVDCSLITAWTTGATSTTTP LPVFATWPSYGQIVPEEEEIDEPEPDDDGVHVPCTAWFFFLCISWDNLHVRSWHWILP PGIYGPGPPPINIIKLPPGVTIQGTLPPWPKITIGPDHQLTTESEPECETETAEACTT TNYVSDGTTASSTTECETITGCSLSVSDSTTAVIGDQTAAPVGTWGDEQWPIMTLGEA YTSAIYASIMARLAREEASDGGTTLSFTPGPTAGPSCKGGSTACGGTICSGYWCNPTP TGAPPGYQDPKDPSSGGFSASTTTIGGSTTSVPPTASPVTPLERGPINCFNEADFPGH GDLQSGDQDEYSNDFSNLRGQLDDDSIGPGDAPYTLRRTDSHGINYDFSCSWVAGCVT EVDRQSFGFPLGSPTSSQITAYLLVREDYTKCCIHPVSGLCGKG PFICI_14996 MAHIKLKYRIATSNDATILLPLIRSAYRGKDSSAGWTTESDLLT DERIDAAGIISKINTPHSFIFVAEDEQDVPISCCEVVWRKGGDSAYFGLFAVDPTRQG GGIGRQVLTYAEEFAQRQWGVRKMEMMVIWLREDIIAWYARRGYQKTGETRPFPYAEL VNGVALRDDLYFDVLVKDL PFICI_14997 MAHADAVAYNVATFIATLFVLELGADKFIDHTVIIAGRTRIPET IIGLLTAGGEWEELAVVIASLVGDRASLAIGNVVGSVISNILGAFSLGLLFHRKDTPL QFDRSARVYSLTLLILTTFVTPVIYFRGEQIWRIAGVILMIFFGIYLIAVGRAIGKGD LTAPEHCDSSSDSDGCSDGSSDYGDGARDSVNEL PFICI_14998 MRISRLVTSLCTSLLTANTVYGLPNPQLPTPSNHISVTDGARNE SHVIKRDLVDLRILPLGASIVWGLLSSDLNGFRKPLRDQLRWEGYAVDMVGSKFSGSM KDNDVEATPGYVIDQIHTAAALSYGFKPNVVLINAGTNDCVGNVDINNAASRLESMVN DLWANVAEDTVIIISTILINNVESVNECRAIVNPMYRQLQASLAAEGKPIYLTDFDGW ITIDDITQPDGTHPTDEGYRRMAGAWWETIAQANADGAIQPPQDVDLDTVTTTCSKVA GTGVNAGAETQTGSGYDDGIYYHNSEEQGILLTITSQWDRNQWYFARLFNQERDDLLG WINETDGSITYALWRNDGDGVMTKIDDMTNFPNQCIPRGGRFIDLNADGLDDFVCISN PDGALYGVINNGDGSGSSGPTWTSIGLIKDADPNFPQAQVRLGDIDGDGRADFIGLDA DGTAHVWRNGGTADVPNVWQEMGTRWTGGDMDDLAGVRFEDLNGDGRDDWMWVADVGT TYTWTNSRSCLKGIVGNGLNVAWRQGFYTGATSGPTHLASFDGDVDRDRIHFARIYGE SAAFSLLGRQDYVYMEHIADGDLHTFNMRVWQNTGSGAAKLKADGDKYGNMQGSATGR QDYVWAYSGGKMIIFPNGGVDYITEGESYWIQPQQDMFDPQTLIGQYLDRRDLHLTDF DGDGKDDIVWTDPDNDNHVSVFINQYDGTTWSWEYQADPAPALSCPYTKGIGIHDIPI RWGDISGNGRDDYVCIAPDGTMSGYVHETDGSWTYNTQFFGPKGYDRANFQLGDVNGD GKVDIIWTEKFSGDGYVYYNDGPENVAGSSYHWDVDADLQPAYAYAGNVAGTCTYYND LNGDGRVDQHIILESFNNNAETSYNLCAGDHVGDDEDPYTDPGLPIPATTAPATTTAG GITTTAPIGVSSITPMPTCVIAQDPDAGISTAYCVCSDYDTTLPTLAGTSSPCAYTAL PTITAATTAANTAGYTYTDPYGDVIACSTSSVDAIGGITYTACAGGSKTLVTGTPLPA VTAACRVAEDPDQGINTAFCTCDDSPGYTLPTLTGSLPCDYTFVSTVTLTPTTTVAAT PTPKGWADVDDVVSLGACGVPGQSCQIAEPVFTDDIINDFCQSIIDSPNHHAIGVAGV GGATWARGSKLDCALTGPLTVDECHGIFVDVYSDPDCAVGKINGSTECQGYIDDPDGT YLGILGITGSCVCSK PFICI_14999 MRCDLGQPGCQNCAKSGRVCSGYYRPHTFVLSKDVVLAPDDKSV TEFDSGLVLVSRWRADPGQKDRAQALFPRLLQHDTSIPKEVSPSHAYRDKLFELFLDH RFPAKDQPVPALEKRDWILQVLELPTLTPGLTHAVLAVCTAHIGRRNNDNVLLKHSLP LYGQGLRDLQRALCDPSWRDNEQTLAAISALLLYELSECPGNTVDGYMSHYNGFMELL RLRGPKSCRSGLAHSLFLVLRLHTVFQGLVRRSASFLIEPSWIQNPWGSEKSHFDLLL DIVLELPVLFVQSDAIAKIACPQERLKKFLETIHKGQRMESLLTTWLARFEPSVNGPL YYPELKFTENASGGYRLSSIVFQFPAFFVAQNVVYYWLGLLIVHAHLCFMYNGLERLM DALASVMDTYACTCDSTSENEPGGPAACVRHFNLKMLPPLAHRRNWGKTTAYNICQSI DYFLQEDLRDFGTTTVIPALAIVKTYWGLWPGDWTREISWAQHMLKDIMARGSGIAGH L PFICI_15000 MVSSHTAVAIAQTVFYAPVLPITVYLLIRNWKAGPRLAWYPLVL FCLMRLAGGITVIIWSTDVNNLGLIIASIVLLNLGLIPLIVTINALTRFVIASSFPDD VWGLRIGRILRFAILVAIGLLIAAGSLIGNARLVDTQRTLTKVGYVEFVVILCVLVVM LLRLQIRQKDIKIQDFIYVKLTLITTPFIMLRAIFGLLYAFTSHDVFSMWNPLFGSAL AFGLIALLPEYIALVTYIYLGFYRIRTARQYAASHKESAT PFICI_15001 MEVVRVLVEHGHSNVNAQSRAGENEFDRDVAPHLYLSEDEISRV AGRNTALHEVAKGCHWWQVGQALPYLLSMGADKQKGNEEGASPLDLSLAWRKRYIDFS VPETFAHEARALLRED PFICI_15002 MTGVFFDWGVIPIFAVAVLTLVLVIRSFYHLVLHPLAKIPGPKV YALSDIPFLFHLLRGEWPFVLKNLHDQYGPVVRYSPRDVSIITVDGWKKIYGHKNDSA KNFEKDHLLYDKPSSGHHTIMTVKNEDHKRMRRLLLHAFSETALRNQETVIKSYIDLF ISRMTEKAKAKDTIDLVQWFNFTTFDLIGDLTFGEPFGCLEKETYHPWVSMIFSSVRF TVFLQLMLRYPSLQILATLLVPERVKKAHEEHW PFICI_15003 MGTEREDFMSYILRQNGEEGMTRGEIVENSNVLIIAGSETTATQ LSGTTFQLLTNSEVYNKLVREIRTAFTSEEEITLVRMNELKYLRAVFEEGFRMYPPVP VGLPRTVCQGGEWIQGYYIPQDTGVSVPQWSAYQSESNWLEPEKFVPERWLGDVRFEH DNRDVLQPFSYGPRNCIGKSLAYAEMRLILTRLLWKFDLEMMDESKSWAKQKIFTLWD KKELRVKLQEVERV PFICI_15004 MDAAASATGIVIKLISVFLFCLVPILFQISRETTTHTGDKKQPT SKIIRSPPIIASRIPFIGHALGFLREGHDYFARLTSTIKCPAFTISMLGQKLTFVQPE LARHVARNPHLLFMPVTVSIFRRALHFDASTCELLMEKTESSRSFSHRILGATREVLA SPQALPRHIRIFDDCLDCMISELVDQDQGRVMAIGEWTIRAMTTAVGRILWGDVAGTS LVSDKDFVEQLQFVMANLRTLTGPEWLISRTLKASRSEIRTRLKRDARSPTYQPDSFS EKLHDLCKQEGGSDDTFADLQLLLVGGTSPNPALAASWFLMHLLCGDQQWLADTQGEI RAWVDREDGHINLRQVREHCPRLMATWCESLRHHSGFSIGRYASQDTVLANQWNIDKD SFLMVSLQASHIGEDAWGSRGAEFIPQRFLNSDGSFNLTRERQLRTFGMLGTICPGKT LAIHMAMGLAIRLLHSLDFDLTGSEPRQLPLQSNNSLLGVPSPMSDLMVSYSAARPSC CVRFGL PFICI_15005 MKLFVTLSLALSSSLATASKCRPSSSSSDLISSTSTLTSNSYPI STLSTVASNSSTLDSTSSALASSSSDLTTSPSTLASSSSELVSSSSELTSTSSDLTSS SSTLTSSSSELTSSFSIPTSTSSDLTSSSSTATPITTVDACLQGLEAASGTPALTSRL ADCSSYNVVTVTPVAVTTTTFSGTLQIRIPTGRMMRRDISSSETSTETTVLPTYKPEY ATYCSDADAYYSACSEAGISASTTTLVATTTTETNTEASCVVRRMVMAGGEAMGYEFE PGWDRHVFAGVALN PFICI_15006 MPISQTIIDAAGQYVLTRADLSPGNGFSDTPAIRAPLNPDPVVL VALINRWRQERRKELKRAKAHFVDRLGPNGWQMAPMEGSYNCPLQAAINAGLKQNVDI LLQAGANPSGFPDHVFSEHATRFIRFRLPKWYNYNICDTWEKLLSQLPPETPAQLSAL TIQEIDARKQEGCISPFWTEQNLPYATMRWEWIIWELVTPLESAVRIGDEETVDKLLN HPHCDIDGWLTSRTGKLDPTKENTASYLSTSTPLHSAVSYRQTRLLGRLLGAQFDPNV LPAATPCSALTPLMLSIVMEPPNLEAFDILVAHRLTDLQLRTPVFNVHLLHFAAAKLD VSLLRHILEHTPGSPKMLVGLLQTVQWQTPLHVACLPLNDSQINCCSTAIWQSIHDVR TTDHFWRPAARTRLRAMDNIDFPDPDPPKYFDEQIAVVELLLDVSSNNSHQQQQELER KAHEIAAQDVHGNTLLHYLAGQRAVNEKLINSLRKLPSVEITWKTCQNYWGFTPEFLW EDGKRALAEKEREYMSMEASEF PFICI_15007 MNNEIAVSQHIRAIDPDGHPGRDFLRLVLDDFQIKGPHGLHRCL LLDPLGMSLANFANFYQKGWLTTELLQLSLVNVLAGLDFLHQAGVVHTDLSPNNIMLG IRDASFISDMVASELEHPSPRKELPDRVIHCSHSHPMPIIAMRPPIICDFGHARIGDR FSGTVMPRSYRAPEVIMGMEWDSKIDIWSVGVMIWDIFEGGRLMHAMGNDHFDDEQHL AQMVSLIGNPPKEFLKRSQNCRQYWDDEGNWIAATPIPDQSLKTREVRLKGKWQESLL AFVQKLLCWLPDQRPTGQDMLDHEFLEMDASQE PFICI_15008 MACWASLPAELAQEIVHLALEADADSAPKFATISRVWQIFVEQK TFSSIRIRSTQVPELHNMMTPFRQSLVRNINFEVILAEYDMKRCKEKETEEEQEQNSR SFTVGMFQLFDHLATWTSMSGGKAEQQPRVSLKVSSSSPSDSQSDTPLVGSVACAKRR WRWGTSILELVQDDKRNLPLLLGISEFLCDKNYYPCRKISLKACCDIFARLPNVQTLE FYSPDDGGSRLPRDFIAGLQMIPNSVRHVTLLHSRHDRGAVPWGFRPHPGNSWAPGPL SVALYHLARRLVKLDIDSDCIYPEFFWPVSAMMISSRSPEQPLYFPYLEEIRFGKLNV SSSQVFKGPNLRFKYPFDIYLLATAQVVREMPRLKRCVIEWDFRALYQGLEYQIFRDG APRALLTVWGEPPIPLSGRVKNAWLRTTEVLLGSRDKMEVKLRDDIERDPHLDKVDPI TFTSYTT PFICI_15009 MSASAPTSYNAYTGIWINWSYGRIRGSTLTLSQQDAQLLIAFVA FFIAFVSMHLWKILCFILHSCLSTTERRDILHHQRQAIIRNSPSPGSTALKLMQLAWA WRKSTRAYRRVLPFFGSAILISSMLAAATGFSSRLAYGDDNEILLNGDNCGTPWVQPN ETAAIANLYSYQAEMASSALNYASQCYANNASILSACSTFVQPRLSITESRDTVCPFG SLCKDNRTSIHLDSGIIDSHKDLGINSPESDRFTLRATWDCAPLTTEGRKSTVMVEGV WPYIGYNYSVATPYRSNITYMTANDTRSDWYVNVDYNAATPTLDHKLSTVWAFVGSPD TVSVNAFNPLPELASEDADTYLVFLSSPGVQFMDRTLDPWYQATTPFGVRVDYNDTSR VDLFEKDDPASVLACFSRYQWCNPSLSAAGQCREPTAFNQALMQALDYGTDQLDPESA TWIFSRFGIDPKHATSPLGSRSLLSRRTLTDGVQAPLPNDQWQMDVRNWFEIALAGLQ RSWVSMAAGDTIHMKEDNVKRPISNREHQWCQNQKVKSTAYVSFTVLGLSLVLGLGII IVVISLTIESINGFIQRRFKQNPYKLLEWGTNGYLQLQRLALEEAHIGDWSGCDKNVP YTKLRTEVDPLDVTDIRHPRMPVPWKRRGEEPSIKDSTETAVVDVNVSDTRAPDVSQR YSRIQPSTASSKSSVNGSGDTLGVSKPVISDFQSNTASQSLSRRAQAREDDNVSPIST VAVESALYPSGADNL PFICI_15010 MVIAAQDVSEIARERETFKYDALTISTAGLHGPDPPKSIPSVFL SSTPDPGLTAFAQLGLYKLNAARSMISLFDRKYQHIVAEALRPELGQKDNQENQNWFN GLAFERLTSVCEHVVTGEAVAQSIPGTEHGLGVADIPVSIIKHLDGDKRFCHIQDPTR QFYAGVPIRSPAGINIGVYCIFDSRPRPGGLNVEEVRFIRDMSKVVMDYLESKRSHEC YRREQRIVRGMGSLINGEATLWKEESTSIDPTAFRDMPGLSEGILNKKLQSTTTATGD PQQLEHNMASDEELPISYEMPTAFGGHSIPKPPKRVTIDSVQSPKALSTTVAEATIDT KPSAEAPRTTPAAAVMKTPVAKPRISQMGSLRSEIERNFSRAANVIREAFEVQGVLFL DASVRSYGGLVTNITSETEPGSSGLSSSSGDDGQRSPTGSFTTRSSSICDVLGFSKSH ISSILGDAIPNEFTECKEWFLQRLLRRHPRGRTWNFEADGTLCDPAASSDSDLSPLES VEAPPSSLRETSKSHETDEKAAKSSKKLINTAARIIKMFPGARSVAIVPLWDAQTGRV YAGGFIWTKTPTRTFSEETELSCLRVFALTVMADVARLKLQSAEEAKTDVLGSISHEL RSPLHGLVGAVELLKDTSLNTVQDGILNAIESSGRTLLDTIEHLLDYVKTNSKKSSRS RRRGSSIDTRQRLSSTTGPPVQLDLLVEEVVESVLAGYKHLTRSQTHASTPFTASTPQ RLFTSKGSHASAGELLADRPPGGAVQCSLDIDHSTKWAFRMHPGAFRRVVMNLFGNSL KFTTSGFIHISLRQESQKDHKGAPDTTRVVLSVSDSGKGMTETFLRNHLFTPFSQEDQ FAVGTGLGLSLVRHTTEAYGGTIDVSSKIGFGTTVTVKLPLLPVSEQALDNDETSFWQ DVKSLAGQRVQFCKSEEGITTFGSDASGPKLKSQQQLIEGICQNVLGMVMLTTDHSEP LLPDYVICMAGNGHTCSDSRSQQRTFQCPYIFICDDLASAHRSSAADHSRSLGVYEFC SQPVGLRKLARTLLNCQRRWAALQGSGIALESETKLIVESPYMQPEERACSPALHPAL TLRLEKDDQISIAISSDSSSKAEDGNGTIAVAEILATQVREIVRVLPAASDVRRPILI VDDNQINLKVMAAYLTKLKYTCITATNGLEALNLYSEAPAKYSCVLTDISMPVMDGLE STRRIREFELSGGHKPVVVIALTGLDRAGVQQDALASGVDLFLTRPLTIRGLEKALLA MGLG PFICI_15011 MFSKYIVPAIAATNLLNGVEGSSRHRHLHKKDVVYAATEVDVTT VWETVTVTAGDETPAATPSAANFVAAAAPDSSYEASSVATTATSSSEAVIVAPTTYST PSTTTLSTVQTSAAAPETSSTSTYVAVTTTAAASTTSTAAASTTSAASTGSSSSSGTK RGLGYNDASLVSTIVSAASASFGWCYDWGFTNGGLTANINYIPMLWGPTHYDSSWSEA ASAAVSDGVEALLSFNECDNAGQANLDAATAATYHTEYFSEYQGKIKIGAPSITSSAD AGLGLDWLASFMSACGSSCPIDFVNLHWYGPGGEEGAQTFLDYLVEAYTQTNYPVWVT EFGVTSGDEDTFLTYALDQLDNNSTYSFVDKYAYFYLATGYLMESTTSLSTAGSIYAS SS PFICI_15012 MVMRTFIGAILTTISSSTNIAVLVGFNGEPGWLCLLCCNCDILF CSIVVHWVTSHDNAATESSTDLKISILTAARHSYRDRPTSGGSLGSRRVGTASGTGTG TGEPADGDRGDYDFPAGGVGRGGGGGGGGGGGRRGGGGGIGIHRSVTTRTEYRPLDEM DVTTYPFRRADASAYWSTELLNCDKANETTPLHHDGGHGSEPAAVVPKIPPIVPGLAA PTGVVETVIVSGKDTPTPFENQGSS PFICI_15013 MPPSLSVRIRQESDITVPEVKSQPPGGVVADVLISLIAVTVLVF FITKRALAIKNWKKVPFLVWGTYNASNKRNHNVDRWIFFANGEHFKYTVVLGIFVDSW LFVFITGILKYGVDLNSSYAVCSAALFLCLTFYMTAKIYVVRNDTKPRLKSKLYIFNT FVVIILYLVVAIVNLIYRITRIDDGVCIIGMQRGAMVALLGFDTFVNMD PFICI_15014 MARFLRAVAMLLLPVAALADQAETAPQTSLPSYFADYQMVLSLS PSETMAVASPQYPVVPLTGGAGLNATQTALGVISVTGSLVKVDQTNYNLFNDSSQIAY VSCDPNESNISPSDVLNGLMDSPNHPAAILLYTVDSSMMYCSLTGNDLTYQSIWSMVS SEDAWEARNLTAVAASSTVEAAITGNSTGASSSSSASGGNNSAVAMSILYSITGLITL LFLVIIATGAVRAHRNPERYGPRAGHGGRPRQSRARGLARAVLETLPIVKFGDPQPAK GDPDQELESVAGDHRVDSPEPVPNMTSGKAAVVSAPTTTTATTESNTDGAKAPAAEAG TPSAKNGGAGGDENLGCTICTEDFTVGEDVRVLPCDHKFHPACIDPWLVNVSGTCPLC RLDLRPQKSKTSTNSAEVTGTSSAAGGETEATSAAAADNTAPDARQDRRRSRLLDWNR LRHAPVDERIQALRQIREEGLSSNAEAVSPNTQHTRLTDRLREKFHIRTRTQG PFICI_15015 MADVPLQVISDNSSSERRITPSWSISQLKGKLEFVTGVPPSYQR LSLKTANQAAIPIEAADEDNVYLTSFPLSPYAELHVSDSRPAGARPNYTDTSNVEKYV MPEDEYEKKTDSVLAWKKAEKLGRFNPDAPSLEQAKVNAFAQEVEGRGLAVGKRCRVG GDDSRRGIIKYIGEVKEIPGGLGAWVGVHLDEPVGKNDGSISGTRYWGQESIPKHGVI VRPERVEAGDFPVLDDLEDMEEI PFICI_15016 MTGSSGGGGGGGKRSHPSAHHASPPPAKKQQKWSPEEDALIIEL RGGGMKWDDISKRLNHRSPISCRLHYQNYLEKRSDWDDERKTKLARLYDRYA PFICI_15017 MWAKVAEEMAVPWRAVEAMHWQLGEQEMARRAGVTPFSLASTDN LQQHQSSAGGGSSRGSHRGHGHSRSQGSIFRESIDPSSRASYGRTVIPPLPPGPSPGP PPSRALGPVSRAEPPAGVAMPIMHPEQAEPLSYSHTGGPLAPIQTQNSQSRPGMLPGL AELTTGVSPYSTPAYSVGAPTVSPAQSSTASPGPFFPAMTYPPLDAASTTKRRRSPEY GPPEMGRRRHMESGFEQSIPRHVP PFICI_15018 MSTTDGDAAGKPSSGKVEHGPVPPAPEQLPQQQSATEITSSASA AAAAASSSSSSQPGPVVGPDDEEAGAAASYEQEHVHSVYESIAPHFSATRYKPWPVIA SFLTSLAPGSVGLDVGCGNGKYLAVNPSVHIVGSDRSANLVRIARDHGGRERACDVAV ADGLALPFPPGRADFAICVAVVHHMSTRARRREAVAALLESVRPGSGKILVYVWALEQ ASSRRGWDEGADQDQLVPWVYRPKTKPDGSGDTTYQRYYHLYRKGELEEDIVAVGGRV VDSGYDRDNWWAVASRD PFICI_15019 MASQPHTVKWGIMATGGIAQTFTKDLLTNPASREVSDVAHKLVA VASSSSKDSATSFLSKVNAPDGVKAYGSYAELVADPEVEIVYVATPHSHHFQNTMLAL EAGKNVLCEKAFTVTASQARKLVETAKAKNLFLMEAVWTRYFPLSIKIRELVQSGVIG PVYRVIADNSFGNDGPNGTLTLPDENRMVNPDLAGGALLDLGIYSLTWLMQILYHCQP EAVKEKPNVVGAINKYTTGADETTTFIVQFPKHNSMGIGMTTLRIATDVDGQNTAGPA IKIQGPLGEIQVMGPAYKPLQYKIIKKDAPGKVEVVDCPVPEDKERSWGHGMFWEADE CARCLRDGRKESATLPWEESITIMEVMESVLKQGDVKYPDLITTDVYDPKSPLNTGNQ PFICI_15020 MTTSIPLQSSALSRDLTLPSPAGVGASPPTTPRLVYGTAWKMER TADLVHEALGAGFRGIDTAAQPRHYREDLVGQGLRRAVADGIVARSQVFLQTKFSPVG AQDRDNMPYDARAPLQEQVRVSIESSLRNLGVPSSSSSSSEGADEESYLDSVVLHSPL PSMEETVVVWKALSKWVPSRVRALGISNAPVEIVEYLLEAPDIKVKPSVVQNRFHERT GWEMDLRALCRHHGVIFQSFWTLSGNPQLRYREPTVQTIARSAGVEAEVALYALVLGL EGTTILDGTTSGEHMRADLDGIEKVGRWADSEGKETWDKALMDFKAVIGERT PFICI_15021 MASQESEGLLEDFREALEGLQMNSRYEIQNLTQIARESTEDALA ISQALQDHIKQAAPHKKLPALYVLDSISKNVGTPYTLFFAKRLYTTFWEAYAVADGPT RRKMHEMFKTWKEPIPGSIDRRPVFAPEVTQPIEAALIKATASGNEQVRRMQQGRPVP QPGIPYRETSTPPGVRPPSQVNGYPSNTTPVSNMNGHPYGLPAQQPLTQHPFQSHSAH STPQPPSMPAFQPPAYGGYGAPSQPIISVDALKDDIQNLINAFRAEYARNPGDASIQT KLKALLDLQGILQAHNLPQEQLVAIKNQITGLAVTIRATPAQTPTPVPVPPPVAVAPP PAATPTLSLDSLLGQGALAALLARNSATPQVSTPQPPPAAVAIRSPPPQRVEPQPPAA STTPDPMALMNMLRKAGMLPPPPSSSGSTPVPNIPQGLQLPFPPPIPTGPPSIDNLTR DISLQTSSLKQFRPHLIPLMFEALGPQCTHCGRRFTPDEEGKKKKTAHMDWHFKVNQR IAEAEKSGQHRSWFVDEEDWINSRETVDEDRATNPSATSGQGSASKAPRLQYIPVPSD PVLKNSVCPICQENFKQIYLDDAGDWVWEDATQVDGRIYHASCHQEVSGGLVQVAYRS TPEPVLGKRKAEAVTHLHEELFGFLVGVGGQHCTFLARTWIWEVWH PFICI_15022 MFDIELLRRGWGFIILNIIRACNMAVLTTIAIASIVFMIVAGLP NAWIFFSDVFLACIVCMCGFLALSETQYFGTWMNRHWPAFGPRRGFSWLGYSMIIMAS HLLGKLSDERFSQKKMGPVFWNVCVAAGILGYIFGLVNLFSTWWFGVRLDRYVRVYRA TGVTEGREDPKTGLPRVNKSDKQSFSDTESMKGSLPIYAPNVYLPGQHSMPPSIAPTR QSSTRGPTPSPYGHPQAPPLGFGGRGAPGAGKSNDDDISSCSDGDDRATALDDNVSQF SVPSRQQQMSPIAPGLLRPDSSLHPAHRRHPSNTYSVASHFTGWGRGI PFICI_15023 MKLSAVLALAIAAPALAKSVHNVYPVAKREDDKSNKDNSKDSKN NKNNNNNNNNNNAVPIIVQAATTEIIILWNNPGNGAATTTINNAVTVTQTVTAGAAQT TVGTATVAAGATSVVAGAGATHTVVVGGSAGLVFTPAEVKAAIGDSVVFTFESNNHTV TQSAFATPCDPLAGGMDSGFVPNVNNTVTPPPQVAMQVMVDTPLWFYCRQQGHCGKGM AMSINPTADKTQAMFQAMAIAQKGAGAGSAITGNGTAAAPAAGSSAAAASSAAATTAA GAAATGSVQSGLGTLTPGTGQCVCAVSCGTGAFPAVQAQGVGAFGGFAGALPASMAEA PFICI_15024 MSSNEQNLSHEEIWDDSALVNSWNDALQEYKADRCRAEETSDAK KEDFEMPLAKEDELEEQTNDHRQDVHVDAQQSRPPAAGSAFIPAPLLGSVRDEGLKKL LMSWYYAGYYTGYYEGQQAQSQ PFICI_15025 MAPELRSRRASSSSQKSTPKSKGGAATTKRKAADDASPVVVKKT KAVKADTPAKKATKASKPAKKVEEPAEPVAADDEHPFSDDDEQDNDQALALAGVSDSE DEAAVDESAAFKEGQDVGKAPVSSKAVEKSKKAGKGGKQDKAVVYIGRIPHGFYERQM HEYFGQFGDISRLRLSRNKKTGQSKHFAFIEFADESTAEYVVKSMNNYLLFGHLLKCR IVPNAQVHDDLFKGAGKRYKAIPSNKIEANKLKKPLSEGEWAAKISKENNKRAQKAKK LSALGYDFDAPQLKDAVAPTAGALEAAEEEAPKAIEAAPPAAESEAPAEEDVGDGIES LDGDKVVKQPAKANKQNGAKGGKKAKKAAKPKKTKA PFICI_15026 MSPTDATAASKKKNNKKKKGAGNKDKTVHDEPKSTDVTQQNGEL EGEEEDDDAPADLVTTAPSNGHATSPQPTNGDHQSSTDKAAAIPSSTDVTQGPDPSAR LEAMSQEREALRVEVEQLRKQLETIQETHNQETVQLKSDLEESEAAKEHAEEQYHTLM GRVEKIKETLGSRLARDREDLEEANKRIEELESQSEEWQNGSQKYQEEIDGLKTELQD ATRELSSLRSRNNLSQHNSLKEKEDLQRQLQHLRKEAEAAKDAMGDWEVLAMEERSIR EQLAEKTAALEEQVTSLRESYERAASDRDTQSQAVDSLQRALQEIQDARKRELREMVE TSEEQLQAMKKAVKDADARAQEAETLKVSLQKELERTAPFEKEVKEKNLLIGKLRHEA IVLNDHLTKALRYLKKTKPEDSIDRQIVTNHFLQFLALDRSDPKKFQILQVIAGLLNW TDEQREQAGLARPGASSNTLRLPHSPFHRTPSTPSLNTDFFSEPTPTSANKESLSDLW ASFLERSAEEGKPEDGSRKGSGSSAATGPIKPDARTG PFICI_15027 MAVSWGTIKSLLLFFGPILLPKAIGYYRTFRAGPAAQGLSIKPI PPKVQRTLFLLGLATVICLVLTLPPFAPENLFVRTQSRLQIPTDVLFTRLTALRPGNA LSDTDAALRAKFVNLESRLLYLQYGPGVLADCPFCNAEDPRSYLYYAVPGVLGPHLLN LFVLSVATSGMLTGSDGTKWRTPAVLASAAAAALDVYLVSSYNHQQNARATRLAELDM FYWSMRLFRLTGLALLDAGFAALLYLSSTNRAFASPPAPAERVEATARLLLAARSRLN ALGIVKNTAIRDEELRARMLAYWQHEGRLMREVMEEREVVEGVNDALSNRINMAGITQ DAEAYATNILPLHERALEPVSVG PFICI_15028 MATLLLVVFFVELAVHLVNTIGAASISNLLWTLYLMLPTETSKS AAKRRDVQTEYMKVRRDLNATSSQDEFAKWAKLRRQHDKLMEQLEKLKSSHDATKAKF DSSVGAVRWCLTRGLKFVLPFWYAKQPMFWLPKGWFPYYAEWVLSFPRAPMGSVSIVS WQMACTVVIKLISDTITSILGLILGAKLQKREKMPMAAGGDKRQAANTKKTS PFICI_15029 MSRSLDKDVLDNLKAEDPTKVYKDIAHVLTSLPNNGGLLDIELL GKAHPLEPGVNYLQDENAIAIPKLRLTQAFFVAHRIIQQHLKSQSRVANNETLAATAV ALLMDPEHLTAANIRKRFVLSRLDEANQAETVLRHEKQFLDSLLTARLHRHTKSPTLW SHRRWLIDRCKTKTWTIDWRRDFSSVIMVAAERHPRNYYAWHHARWLTSSGRYQLDQS TLSSIVDDVKDWCFRHHNDISGWTYLSFILSKLEDMDAQLRKRSATFAETLQLSESFR WTNESVWVFLRTTVARNHVSPELCDSFFELNRKLSVLTPDGTAKMPLEAALRWVAQHR PFICI_15030 MHHAAIICQLALWTTGIQAFQSPSSSQPRALHDVEDAGKRDVVA RDAVGPMGFVTFKMATKGGASSADLVSKRIPQIAQNLARKYGSDLPSRTTHEGVTLVD RENTYSVATPVTPSQSNTAGVYQDGTDYSYFIKAEIGSEATPMYMLIDTGASTTWVMS SDCKSDPCTRHNTYGPEDSKTLTDSGKTFSVEYGSGEVSGHYIDDTMNVAGLSVQYEF GLANVTSSQFSSFPFEGILGLARNSGNFNDALKKAKVIDANIFAVSLSRAADGTNDGE ISFGAVDPSKYTGDITYTTTTDDVSWSIIMDDITIGGKSSGNSGKTAYIDTGTTYAFG PPDDVAAVYKLIPDSKSDDGVTYTFPCDTTAQLAFTFSGTSFAVSAKDFIGASGSDNQ CTGTLFGMEVVEGAWLLGDMFLKNVYSIFDIDGKRVGFASRAAGSGTTTALASSSTVV STVVTEDGSTTLTTITTSSTATGVPSMGFTGGRDGSTSAADASTTAGASATATSTSTS SGEQSQVHKLYVSISCIISVIALVI PFICI_15031 MAASLSYHEPGIEDILILSSLLLVLNLINSVLDRTLYCGLVGQV LIGIAWGTPGGKWLSSSLEDAIVQLGYLGLIMIVFEGGLSTSLATMKANLVLSAGVAL TGVAAPMALSFVLAPLTGASYLQCFAAGAALCSTSLGTTFTVLSASGLASTRLGSALS TAAMMDDVVGLVMVQIISSLGSGDGQESIAPATVLRPVLVSLAFAVLVPLACRFALAP LMTLLDTLRRGGQKYAESRAVRLSRTKQIVFVVQTALLIALVVGASYAGASVLLAAYL AGIVGAWWDAKALEMIPANVEPSSPVMQDSLEETRSVPTAGRTEHGGQASPERQAKVQ PESASGRTSSLDMYEQYYQSSIERVLKPFFFASIGFSIPISKMFSGGVVWRGIIYTIL MLLGKALCGLWLVRFSVPVKDTFSSFCTTTISGLRTIVRQIPLRPLWDGHRSNAEEQP PPNKRNLVGTTTSTAASSGSPDPAPLPPLQEPAQLSAAPEAVEKTRKKTLSKPLSLYP AGIMSCAMIARGEIGFLISSVAEANGIFRDSSSSASAEDETSDLFLIITWAIVLCTII GPLCVGLLVRRVKKLEAANAAVQVEGSKKDVLGVWGVS PFICI_15032 MSGLMVPDPGTIANGYVTPREEHFDIHESPGAFASAHEKRAFAN RQHQPNAESLARMISNARKPTFGGKVGIKDRICCYQWTYFTMNMATGGVANVLHSIPF RSDWLYYVGLVFFIFNICLFLLNCVCITLRFRMVPGSFMHAFNDQTESLFIPAVVSPS LSAMIMINICEYGVPYTGLWLQRVAQLLFWIYVATSFTSSAAMYLTLWSTQIFPIHTM TPVWVFPAYPLLLAAPFATNLIKAVINSEADVLVNFTALAFCAITVQGTGFLISFMIC AAFLYRLMTQKLPRDMQRPGVFISIGPSGFTCAGLVALGTQTEQIIPADFPGREHAIY SAHFFSIMLGLWLWGLSLWFFLVSVGSLHKYLRREHKMPFQMTWWSFVFPNTALVTAT LAMGKALSSKGLQIFGCVMAALLIVVWVLVFLRMIKGLWQRELLWPKGLEAE PFICI_15033 MPLHARPGGWSLRDTYATSRSISTHPRAANSLSSASTTEQRNGS TTVTTPHTNRRDFHNYFVTHLPSSSLHPDSRSAPGLHHKLPRDAAAPHVPGPGSAPAV APPNIPTTRELTVVRIPLRSAKHHFGAAESRGQRPYNEDTNQAGTIDMPAFAKRAPIS LVRSKMKKSGEGTSADSAFGDPQIFYFAVFDGHGGNQCSDFLKAELHGYIEEAAAEFE LKSSLKAHRTHGPAAAPKQTLPTPILADSEAGGERGLDKVEMKDASEVKHKVDIPITK NGEIEEVEKAGSIDGSEPRPVPANESKALRLQKELLQEYKELVGGYFRRCSPEHFSLQ HDPESPEPPVTIESVLTYAFLRADLDFIAAQARKPDPDDPYISDTALNKDDVLGSPSH MPPSGHGIGGKSRFKGGSTASVALISTPTPAPFWHPAASSTLLVGHVGDTRILLCETA TGLAKPLTSDHHPNSPIESHRLRRFAASFVTDSFGEERIQGLANSRAFGDIGSKRLGV SAEPEITRTELGPAQYSFLVLMSDGVSGTLSDQEIVDVVKEAKTPEQGAKDVVSYSTE VTVDGDNSTCVVVRLGGWERRSEGGTGSLGTKEIRDYRRQEALDPRRGRR PFICI_15034 MICNFCRKAIFESQVSGPRGCHHQRLNDFEESVLEGCVFCTRLM GNIKSQRSQSEKKWLEKLNAGECIYRWGLRQMARTSESQESIIINFRSTPLGADRKAT YLPDEIFYLMPEQESSPLPLPEQMGKATDSGQSLGQVKQWIKTCVNGHPDCQRKGPNT KTLPKRLLDLGAESSAWPPEHVRIIASKELSSADQVHRRYVTLSHCWGPNPTFAQLNQ DNLETWMTTGIPWTDCCSNKNFEEALQVARNLGIRYIWIDSLCIIQHNAEDWEEEAPM MRDIYRNSFCNIAASDSADGYGGLFRSRNGTSVAAPVYSGQVGTSIPLLAGRTWRIVS GSMWRRELLEQPLYKRGWVFQERMLAPRILHFCKEQVFWDCATTSACETFPAGLPTQL DGTARIDRRWRAWLGAPSPEARQNMNIDTFWSSAVSAYTGNELTFHKDKLSAIYGVGN IVAEAMQEGWGDWVAGLWSTRLENQLAWTVIDPAEATRPKSQAGESLTFPSWSWTSIK GQVRTAQIWAPLTIYTAENHAGESVAFAKIDETKGNLVSPDALALRCHVGNGTLKQQQ GHDRFILEVGCANTSHDSFIQVFPDTILTTGTSFQFLILFVSKEPAKLRTADGREILR AIDEDDPATEFTYSGLGLLIEEGGFKPGCYERLGVIKFSELNEQDWQQVRRACNEQSD GKGCDGFTPRSASAKSIWLV PFICI_15035 MARFQLFSLIFFLVGLCSSTPTPRRVARQVASETTDNPTICGDI IDLVNEGNLFFYASDAFACLTSVPFNQAVAVRFIDYYNTTIQFQSTLSYLKNPPVGYQ QPAVDFEGELQKIKANATAGVYKNQYAFEADLLKLVYSTHDAHVSLSAGIMSSFSFAS IYDLVTASKDGSSTPEIYIFDDVYERQQTGIEISPVTQLNGVDVVEFLTRFGALNSVG LLEPHADWNQLMYNPVQTVLNQYTSFSGGATFYEGPLLNFTFANGSTLSTPWVAIYTN TDFTGPLTTGGDYFNYFVLGLVPPSIDEVPLPPMWNSTGDSDDTSIVATRSFEHGWDN ITGGEYPAADVIQDDLEGSGWFTAYFLDDISTAVLSIPSFDEAGFAVTNFSAAVEKAL QQAQEQNLTRLVIDLQGNQGGTPLLAFSTFRTIFPGIEPFAGSRRRSHELADALGQVK TSYWADILAGADDPDDMLEYMAADEWVVIDRLNAATGKNFSSWAEYYGPLSENGDLFS LVEQYDLANMNFDNAAFDGFYSPLYIPGGEPDGPGYWKAEDVVILTDGLCSSTCSLFV EMMTHQAKARTIVVGGRPQTGPMQSVGGSRGARAYDTFLLDEDFTDAITFDDAAATSL PNRTDTGMYITEAGFNLRDQVRSATDPIGPPLQFDYLAADCRLYFTLDNVLNMTALWR DVATAAWQDTSRCVKDSTGYTTTSADGSAMIPKPPPQTTAVTADIPAIGYLFDADPTP DDSSDDAIPMVDGQVRAKRSAPVVPCDPEDPKECVTGVSTCQPIQVSCANGKTSATTH ACVKVCNVGQSNCGSDAPVCNQKIFHESKETKSLLSPKKDGITGKQVARGFCVPRQGN TKLGCSAK PFICI_15036 MIQSSAKISQQYEVGPDISEQLFDNILKGEVFQAWKLKDNAWPL YIVGGPGSGKSTLASTVAQKLKGCDRFRPNAVVTIFVREQMQWDDNVRFLILLNVLHQ LSEQSVKPIAVDGVIRQSQNRTSDAVPDSESSLIHAIKESLQTFDNGFLILDCIDRLG PVPGESDDHVWKQFQDLGFKVMLTSRTLAFDEEEFLGTSCDACYRGPLQVYWRCESGM HKAVDDDCVGNDDEENCEEEEAADCGNGLCCDDDLDVDQQVGTYILCQDCYKSSDVCP DPECQASDSFIQPYTRRRIDMNEAVAGTLSKFVARELETEHGNLGLDSGATNVPSLSQ FGSALLNSRRSRPAQWLRNHIAGLADHNVALARLRVDIVHENMETIQEAEALPGGLPH ALAVLFEAEIQGVLRQSQIDADLGIKALALWATGAETEQYLLEQLMEVGHVEESLCTI ERVLHTTRGLLMIAKLEDRPVLAYTYTFATYIKGGYCGVVDDIIAELGVVST PFICI_15037 MFCLRSWLPLLFIPTNASPAFIFLFFVCTYFLNRPCVYCSVLLL ILFVTSCYWSDRCFFDFSSNWFAPRSSYFECTDNCTTVAQGDSGFNETAFEMLNTTAS ALASAAADELSRRKAEWTGLGIEWLRSLLGRREWRIECMDVNIRL PFICI_15038 MASYNGSAGQNDHLRDMLARLQQGRSTGSSGDDATSHNNPLSRF ATLNQAAQSHGDYYGSGAPGHHSGQESPLMAGSEDFALPAAPTPPMNHFSHSHGPPGL NLGPIGTLPPSGNQPEQTVNLLSLLKYKNGGENGGATGGGSSQSSIHSLRTSQTAAPG PPSIDYDAAGHLPQAHIPTLHAPVPMPADPQGFLASLMKGDAHHESSRQEGQPTHAAN KWNSAPGPTDDTQAYLLNLLQRKKPSQTDQPSTTESSQPAALTPPSTTHGSSRDLTRD LQGSESPDEVAAQVVPLPQSDNATPMSFPFLGHQNPASSPPSKTDYASPASQNGMARK TMFDYKDPFDDLIDVPSPHNRTPKSVTSSHIGSGALPPSFQILKKAPSATSSPGGSES KHHAHESSAMASEHTSKSSRAHHNDSLDTQKETVSAAIENLAGVADEEAQEALARAEH EETQARMERELGDMMAAKSDDDETFERAKGIIARDLQQELEKPKNAGVLEDTLPPELA RAVHDIIDEAANDQPIADSWESAEADEIVVIEEISSPVKVYNFPMKPWISISLQDNDE PRPQFRDEVILDIARVKKEFDQIDRNLVSATQTYIIYGMSKAGGLRVIRQDDGRDAKL FTDTKDRIFNVSVSSTPADLNSAHKESIIGTGISGTVYYTMIKNGDKDYLDDAHPEQY GFALPPVSAQEGDAPGGVLKTRARTSSAHPEYFAVGRGKTISIIWPSYIMQHNLFKPG HDRYVDTEKLSKQCSLRINTGKAGKDFTFSQDDTTIVSLDKSGRVKFWDVRDLTAADE NSDPRFPTPAQTFLEVKEPLMTLTTTPENEKAWPTSVLLLDKQRPYQKRCALRYMIVG MKQNHTLQLWDLALGKPVQEFNLPHSKESDAVCSVMYHPPTGMIVVGHPTRNSIYFLH LSAPKYQIKGLSQVDFIQKLVAKDSSIPEPDSTAVISGVREYSFGNKGVIRSLDMLGH SAANSEDADEQTLFELYAMHSKGVSGICVKQHELGWTKDNKVVAPVDALDTGVVKISK LKELPSIQPAEVQPAEDVSTLPIRSAGRSAKDKENMQPTSGEDVARKTADEGSGAQNE RKTAELPATPMNTEKAERRSRKKKDKAAAAAAERALAEAGASQPSQKNAETKPAPAAA HPSMSAESLQAAVKQVETTVANAIEQAMRENRQKVDSELRGRDVAFKKSQQNLLDVVS SALDNNVQRVLTATISDQVNQRVVPALEETLRQTVAEQISRTVSDQLDAKIGGRVSHA IQNQMQKLLPNSVGLALQKPELTRAISKQVSDGLEKDINKSFQQTLHESIIPAFSNMA VAAADKMILDIQKHAATQIEELEQKIRADSNKIDQLTTMVTRLSEMVNTMSRNQVEFQ SRYEKSQEEALRERRQSQAHSRSHSHSHRQGAYPQQPHIQPQSSFGGSQASSRQPTYG SPLAHSGNQMVVHDTDPSKEPSLDRKLADLANQVDSGELEGALVRWLQSDRKDEIFNH LFSKLDPRMVRNVSPLVGLAVIAELSRDLNSRHLREKIMWMSSIVGGLSDSLPNIDSQ VHEVVPNILGAFISQMETLYGRVSIQLPGGDPILHHISTTVSNARSIIAVASRPKLPD Y PFICI_15039 MDSFDYNNNCSRDALDWYALIKPKRNPDITGPGCILNMCDIQIL TAFALLVSAYIMASPSSSAPLTAYLWYLVVLLAWFCAITHLAGLSVLRGYFFRRPRMK VVRVSLMFLVLALLTAALVPTGFFDWTSYIIINGASWPQSPAFCYFDIKTGQNLWYSS YDHFRSLYPGWDNVVISPSLMDTNAMQTMIVTVVLLHFGFITRCVKLSQQLSSVVNRN FRQRPTMDPLLYHETMYLYGASVLTTDQSDRIAGISYIAGDTTAGGNSTQADAVHLIG AEDSSGDVNVWTFGQLVAVLLLAAPISSMIWKFVEYAVDKRVITDDLQTSDPNQRTTD AAPQSSNTGDPERIEEWPLDRHPILTGGLPTHEYREMQMGTTNTNRQNNMMTGRSERY HFPNSTWLQPLIASTFLAMIIFIIAAFLFTSFDSLFLPQWMPFFGLP PFICI_15040 MATNVQRWVCRDKPACSKLKYLRDIVPENMRKVKSVDGGLHFVT SGAVRFADQPDRIAGAIPSLLAPMLAQAALRHDIDENGATLKSFLQNWSKHSVLLDMT LEDEAAIRSKLKGINHDYPMNQLFAKKPSLMPKALPTWHAESTTVKISGDVIVVVFKD NDGVNLMRVSVDATKLETPFDFGPLVLMLLILVVVVVVSPYEDM PFICI_15041 MRFSTAGIALCAIQAVTSSAIEPRQALTCTPGNKVATYNDLTVL PVDDGNTGLTSAQLNPYMDLNYTRFSVISGPTSGGNTIFYTLSRIAAGTLSSVENSLN SILGSLGLGIGLPALLEAPRIVGSRSTTQYRLQSFQFGCTIRAGTPAAVIPIPCTVTV RPVEPQRLALGQSYNCSYAATPAIGIGSGLTLKTCTPTGLLAGQGYTFQTTPDILNTS SLGSLQALVDTALELLVVSVFDNFTYREYCL PFICI_15042 MDDSRDEACHKPACIRCHAHKVRCTRPANKKRCKRCYRANVECI PRPSKRARDARDSPFDTEISTPGLDEDPSPAAVAKLPEDASSSSYTPDNTALHLDFDF SVDIIGHASECG PFICI_15043 MASAARSNPSNATGMGEPLDLGNNIFLYRPASASVSPLNDREED ITPAPTLVIICTWLGGASTPRVHKYVAGYRARYPQTYILLLRTVFADLAFRSFTALRA RLRPARAAIVDILQKTAASSSGRDVLLHVFSHGGCNTALQLMASDPDDDEDDSDATTG AIALVRARLGLVVFDSCPGDASFAHAYQAALISLPANRPLVRALGAPLVGGTVAVIHA LQSAGAMRSVHDLRRDLLNPAAFGPAPARLYLYSRGDAVVAAADVLAHADETRRGLGC RVGIVGFEKAEHCALILEDKEKYWKAVQEAWEAWEESEMIQHVGKDVGESGELKAML PFICI_15044 MAPNLKTVATAEYDAVIMAAGKYVEALRIGSSKAVEEAFHKDAV MYGFISPPKPDMLAGSISNLYTFVDQNGAASDITTRLDVLSMTQTTAVVRIDMEKDAA GADYTDFLTLIKINGTWTIIAKVFHKYDTAN PFICI_15045 MQYKENYQLQHNVPVPVPGPGELLVRVHAAGFCHTDYQVYEGAY GTQLPFTGSHEPAGVIASLGPNVPDDWAVGTRVGVLNFKKPCSECPGCKWRLLSYGTL DPRYCENKTMSGIVKADGGFAEYMLASHNALVALPDDLSFEQAAPLMCAGATVWNAIK EVGLQKGQALAVVGIGGLGVLGVQFAKALGYRVLAIDNRDVGLKLAADVPVHLKPDLI VGYEDPRATALINDFTGGIGLHGAVVCTDDVPASDWTLHRLQPRGVCVVLGLPELGFK FDAFNLVFREIVVKGTLHSSVKEVKNMLEAVAQYRILSHLTLLSLEEGEDIPQRAAAH AYEGRLVVKI PFICI_15046 MFHDNGYEDMFLLDHPLVLRILPNALQLSRIYADMGVPGEHTDA KVELSRVPTTASQQHGTVLGEEVLDDANLYLHTYEHVYGDYTEAEATKVLRRIDWRLM PIFMITLTFAGVDKIIISNAALYGMSTDTGLHGQQYSWVVSIFYFGYIAAAYPANLIL QRFPVGRCLALACIGWGGAVALVAAAPNFGVLMFIRFLMGTTESFVFPAMTIMVGMWY TKKEQPLRSAITYTSFSTLVSGTVSYGIGNSNTGIASWRLLMVVLGGATITWGVIMFF IIPNSPNEEDFMKGKEKFIALDRTKNNMTGVENKEFKWYQVKEAFMDYKTYLLFFFFL FMNVPTGGLSAFAAQIISGLGMSPLETVLLTMPCSIFQTVAGLVVAYPQRWLKNKRCI SSALCCLVPLACSVIIRRLPSSAVTGKLLAYYFFYFFWGPYATVLSLPMSNISGHTKK LTVTATIFVAYCCGLIIGPQVFLTSEAPAYATGYNVIMICEILAIVCLLAYAGGCEIE NRLRDKREGTNPSTTVSEMLEDKTDYEKQGFRYVY PFICI_15047 MVSKTPTLKIAIVRNYDTPATWGQLMIDSFSNTIKSIVPGAAIE TFFPITNGVFPDIDGFDLIILTGGTVNLTLPEVEPWVENTFDFIRTVSQSSSTKLMGI CWGHQAICRALGGTIRFNSQGDCVGVNSLKFTDNGIEFFGKSKSGEQSREFSLHKFHK RQIDKIAPNFIELAEDREVLLSKDDKIISFQGHPEMTREVARGILDADDGAYTGELTQ GQVDDLYALFELQNDGLRIFGRVLDWCSEKEPTRST PFICI_15048 MPANRKQMSQPASSGTTVPQIACTRCRRRKKKCDHALPTCGQCL RSGVECVRFLERKPRDSAVVPWDFVKHLEARIARLEKRVSNCTCHRSEQHSPSQATAS SNHTSPPRTWVEVPDFVHHADHSDADADSRSRVSLNIVQSRSDVSPLDSHMHMTTPPA NDEGNNQNSGQHLPVRERDLHNYLEYVHPNWSLLEEDDLSEWFAAHVKQRITEKDHYK SFFVLLACAIGALYSTPSEGASPQHERSQALRKEAVSRHLQHATSRSPTMRTQAYLLI VIHALHCPTPFELHDITNEAILEVTSALCNHTSGLAMSPEMDESSGTHRFVLLPCFSA YQIIASLWSRPFPSLVASMDRKIEEQGFWLTYGIEQLDAATEHVFSVRVIQSKIQRLW SPSQGQGSQECHRIAGVRGIATELMQWRAMIPLVSNHAHKSTNSHPLAMLKLYDLCIF NLYQNESHVPDREETLALLFAASESIRCFRRIQLHRPQVYYTWSGLFEQFRAGITLLS CFWETDHPRRAVVFAAPETHQALEDCELTLECFTRRWESAWVFLKVYKLILSTTPLWP RDESDFTVPKATAERLSPLVRQLQQSRVSEAVISMINRVIARA PFICI_15049 MDHLPWYAPCGVSPVRVPFICGGEALCGGDDFLEFPEKQEWQWK HPESLLQLARMGQAWLFFGLLGIVGISPEACISAVDDATSTIAEERWIDTSHLPDLLQ GLKNPEESAVQEVRRSRLADAIVRAKHVMSHIWIPYIWEFDAQDMPTLWNSPSYAIIF SIEVLLDALEAILSFENDDLEKLGSKSLLAKFTPGIARSLLQVGKCGSLAYRLPFTAS KLYHLMSLPDGSDLHRHNHSLCKETSCSLMNVDSLTYRTQHTQRCVACENLEIMEAEL VRLIQRDEVPVIRSTMDSSSNLRVSIVKMDPTVDYVAISHVWAGGLGNFQANSLPHCQ LQALHRDVLDVMICAYDDDCVSYNDASVDIPRGMIRKLVPRPQNPDGRAWFGSQRTQT TCYYWIDTLCIPVHQRDEKIRAINSMGRIYAGAANIVVLDPTLSRTNFSTLHRTPGEM TDAIYSEEVDRDGEDLRHFRANMLVDASPWMARSWPLQEAALASTIYVKFADRKFLYE SSRLGISSTLQTLPNQESRRLMWGGDSLWSLYSDVLGTGEPLPHTPNTEFISVWNQLT RRTTSYPEDVPAIFAALLYRSAGELLSIAPQLRSRAILRCVESLPLDILTVDRDRAVD TKAGWVPRFPGSRERVPEIDLTHGVLERTANGFLVRPALNPSGPTRILICPSGVGVSD YILLRDALSGEAITLRAESPAAAFRGKLPAASSGPQLLLLLSKPCLQTPSWNFGILCD IHEHTKDMVKTRLVDTTITWKAERDPHGKLQHTSSDCRVLEDSCSIYIEMGMLISIPN LPPPFHTAMALSRRIYRFSFRWFSRLT PFICI_15050 MGAFSMLSGLAKQFWPPSPSFTEANIPNGSQKGKVFMVTGGNSG LGYELCKILITSGATVYMATRSKERAEEAIKSIIDTVSDTPERGQLHFIHLDLADLAS VRTAAKEFAQKENKLDILWNNGGIGANAVKYGERTKQDLEILMGIHCVGALLFSELLV PQLKAAAVQGAPSRVVWLTTVLVDTSAPKNGIEFPAVETGYEARMDNYAASKAGVWML AREFARRHKEEGIISVALNPGSLETGSFRGTPSIMMFLMRITILHKPIYGAYTMLYAG LSPEVTIDNSGIFIYPWGRLVSDRDVIRKDILHAEKSTDDGGLGLAAQLWEWCQSKWD VESEH PFICI_15051 MEPRAEHHVSNIGPLSVGISWFLTSVAIIFVLLRFYLRWKASKG WASPDWIILAALAFQIAYQAGFTAMCNAGLGKLTKDVPPANLVQIAKLNWIFAPLAHP ASLLARVSIAILLARIFSSRKWFRRYAITATTIQTILGIAVFIINLAQSIPYAALWDK SIVGARKWDPQIYHWAAVILQVIYTIWDFTFVLFPVMMIWKLNMNWRRKLAIMVLIAM SLITMAAAASKMVISLLFTIDATDSLDSIRGTHVIDFTTCLEQALVIIMGCVPTVRLI SGLNLPSVRQIGNSLVSLITRSRSKGSTTGGSNSRRSESTENLELGIQHKNADAVYPY GVKTASAVVAAPQGAQGPTFQHSDDQVHRTNSYSITYQSGAGH PFICI_15052 MFKDISSPWDRILQSWIHDVRSPGTMIFVFFPLGFALYQFVVII YRLYWSPIAKFPGPKLAASTYWYEAYYDFISKGGGQFTFQIKRLHEEYGPVVRITPDE LHIDDPDYYDEVFCNSHSSRPIDKMERFRYRLNHPDSTLSTTSAEDHKARRAALAPFF SSSRVRSYNGDLQAIMERISHRLATEFAGTDRVIHVTHMWASLTADMIMELAFGHSSR LRDAPDFRSPVPEAMSNIAYLAHYATHFPIIGIMIKFLPDALMNMLAPAARPLLEFRS DMRKHLQQIKSEAVSGAEKAAGTTIFHDILYSNLPPADKSLERLTQEAILVNGAGIET TTWTLTVATAHILLNPIINEKLSAELRAAMPDPTDILPSEKLENLPYLNAVVMESLRL SFGSVQRLPRINRLFGLEYHDQHIPPNVPVAMDAFHMHMNEKIFPDPSEFKPERWLDD PKAPDSLKPLSKYLVSFSRGSRGCVGKNLAMMELHVALATLFRRHELELYETTKEDVE FAVDLVKPAPKPSSKGVRVLVKDARL PFICI_15053 MSFVVFISGIGRGFAEAYLSRPNHTVIGSIRETAASTPAVAELR SFTPANGSKLMLVTIESKSPTDAANALKEVADSGIDHLDLVIANAGGTPVPTTPFESV EAHEMIREYQVNAVGPLMLFQACRPLLQKSQSPKWISISTGGGSITLMGTIRSWDGAA YAAAKAALNWITRAIHFTNEGLTAIAINPGLVGTDIGNWIAKEWKIPPTYTIEESVTG MMKVIDGATREESSGKFFRMNGEELPW PFICI_15054 MGALRFALMLWAASVQTSLAVPLNQTTAYSNNTLCKAIPGDSSW PTDADWAQLNSTLNGRLIASEPISSVCHNPSFDEDACSALREIWPFDTPHLDSPPDIL APYFQNQSCDPFTPRERPCSLGNYASYSINVTGAAEIQAGIRFAREKNVRLTLKNTGH DILGKSTGKGSLSLWLHNLKAIEFFDNYSQGSEYRGPYVKLGAGVILDEAYTAASTRG FRVVGGTCPTVGISGGYAAGGGHGAFTSIYGMAADNVLEWEVVTADGSHLFANPESNS DLYWALSGGGPGTFAVVVSMTMRVYQDDLMTSTSLTFSNTSVGGVEEFWRGVTAFHSS LVPLVSAGSVASFVLSQTSLTAFNIAIPSSNSTLVNSTLGEITATMAQAGVNASLIPT QYSGFFEMYNATLKPVVESTPAAQIAGGRLIPRSLIENNDTSLEVTQAFRSAVEAGFN VICVAIDARKPPMYENSVFPGWRSSLMTCLIQQSWDFNVPREEMLLRQAKLTDVVMPE IEAATPGGGAYLNEASFQQSDWQQTFYGANYPQLMAVKSKYDPETLLYAATAVGSEAW VPDSDGRLCRS PFICI_15055 MASKVHREQVEFKTLDGLTLRGAVYPAKDYGPGIIMTPGFNLTG DTLLPKVAEYFQQAGITALVYDPRSIGSSEGYPRNNIDPAQQTNDYHDALTYLKSDAR VDSGRIAFWGFSFSGAVALAAAALDTRVHLVLAVCPLTTWDLPANKYRGVLSKAMQDR ESQLAGNKAFSLPMITQKGENPAGFGVGLGTREWELVQESKQRMPNLEMNTSIQTYYN IMAWSPFQALRFLSPTPVLLVTPDEDRISPMAQQKELILDKIEGPKQMHVVQGKGHMD VLDGDEFIPSMIAQVEFIRHYFGN PFICI_15056 MDPNHHQPSNVFAVDGYTERKGGHTKAQQCDFPVPIAIIGMACR LPGHSMSPRDLWEFLKDGKVADITPPANRFRLQGHHDGSQKPYTMKTPGAMFMEDVDP AAFDAQFFQINASEASSMDPQQRVLMEVAYECLENAGIPKEQIDGTRMGCIVGASAVD YHDMDCRDPEDRTDSPTMGCGRALLSNRISHFLNVHGPSITVDTACSSGLTALQLACL YLRSSEADSMLVGGVNMYFSPERNQDMGAMRETASSTGYCHSFDTNADGYVIAEAVNS VLLKRLDDAIRDGDPIRAVIRGVAVNSAGKTAGIAMPSPKAQESVIRDAYRVAGIPNS DISETGYVECHGTGTRAGDPAEIEGLAAVFRTIKSSRDSIVIGSIKSNIGHSEAAAGL SSIIKATLSIENGLIPGTPSFRTPNPTIDFDRLGFKVSRTAIPWPKTSKLRASINSFG FGGANAHAVLESPKYLLPTYQPKHRSCFLTNEDIDGDFFLDDCCIIPTTPSARPYLLV LSANDEESLRDYAASLVSCLVNPAVQASTLDLAYTMSERRSLLRHRAFAVIRDTCVKK DTFTFVKRLPETPVLAFTFTGQGAQWPTMGKSLLTNHKEARETLKRLDAALMHLPQPP DFSLFEELTLDREPSALRRPELSQPLITALQVAYVNVLSAWGIRPSFVVGHSSGEIAA AYTAGYLSEETAIKIAYLRGVAVQQTKDESQLGMLAVGLPAEVLREYIKSDDNVQIAC RNSASSTTVSGSLQGLELLQKKLQNAGHFTRLLQVDVAYHSDYMLPAASVYKDLICRA GIDQPCQINNGANIRMFSSVTGAEIGGPPDVSYWQRNLVAEVNFDSAVCSLLQTRTGP NIFIEIGPSNTLSGPIQESFRTVQDQRSDLRYTSVAKRNQDMESLLYELPGIIIGTGG SVDVTAVNGYTNQKEVPHVLIDLPNYAWNHSEKYWKESLASQDWRQRKFIKHDLLGSK ILGTTWSNPTWRNTIRIQDLPWLMDHKIGDQVVFPASGYICMAIEAMYQANTMSIWKG VTPDNCVFRLRDAKFSRALVLSTSESTTINLTLAPFTGSMDSWYEFRVSSMKSDVWTH HASGLVRIESSQMDFENPTRLMMPLQHPMSTKEWYYNMQEIGMNFGPAFQKHLAVEHI LGDCTSRSMVSLVPPGSKWQQSRYLLHPACMDGCFQATSFVLGQARFLTGSAAMVPFA LDEMTLPFPTQQTQEATVSARFEYNGVGRQDTPKSYSLSCYVADPETGSLLLELNGLR LTEVDSSRALSSLHTFTGVHWDADINYLSVSDWRRLEIETTAGPSSPCLDRARVFARK LVQLAAHKKPDLQVLEINLESQDPSSLLLDQNDANETKNSQPVRLSRYQYYSSDANTV ATIAERHGSDNRTEYAVHNFESSSLADHSGFDVALIRLLPGEAHNLPTTLRNVHSSLG KACFVLIVAEDSMTKALEPILSEAGFDTIVFLEIGVLCISAEVPSQEAAREVTIVKFD EQQIHPLELALRNSECSIRYLTPKSDIKRRDHILILDDPETTVMTRINDLQFEMLQKL VRSECNILWVTRGAQMRVDNPDSAIAHGLLRVMRNENPGLNLISLDVESFCNSSSLRA VEVCLGMLYRDSLALGQDSEFVERGGILHIGRILPDSQANSAVEENQVPSRLCTLKLQ DNSRVIQLRAEQIGNLDSLHYQEVSSEPPNLEGDRVEIKVEAAGVNFKDVAITLGLIP ENEYLLGGEGSGIVTRVAPGVTDVKPGQRVAFFERGSFGNTATTITKLLQVIPDTMSF AEAATIPCAFMTSMYCLFTLGEIKAGDTVLIHSATGGVGIAAIQLCQYIGATVYATVG SQEKQDFLLSTFGIPRERIFSSRNRAFAKQILDHTQGRGVDIILNSLTGDLLDESWRI IAEGGTMVEIGKKDILNQGLLSMSPFKRNATFRSFDLSHKEMDDDRKGRLLSEVFRLL RQGSLKPISPIHQFSFTEIPAALRHIGSGKHIGKLVITDNDNNSEVSVPVRLPRASIK LRGDAAYLVVGGLRGLCGTIALSLAMNGATQLVVMSRSRQDDPVSQKVKNDLQGCGCT VTFIQGDVTILEDVRRAFEITNSPIRGVIQGAMVLRDRIFDSMKVHEFYEALNCKVRG TWNIHNVSLEQPSSLDFFTLLSSVSGICGNKGQANYASANTFLDAFAAYRQRLGLNAC SISLGVINHRGYLAEHQTVRDSFDSAIWHGIGEKLLGQILESSIQRQEYSPENVLGAA HIITGIQFPQPKESHLLYDARFSGLLSRESSVGKQQPTDGSQDVRALLLALRSKADAK TVSALVMAVCERYLVKSLRLSGALDPTRPLSDYGVDSLVTVEFRGYLRVHLGVELSTL EVLNASSLVSICKTVLERIEH PFICI_15057 MVRSLLVAALAASTTALNVARKESQDLYTIELAPGVTQQVTEAE KYALKAEGKTFFDITDFADFTSKAKRGEFAPRAVVFPTAVAQQESVEALLPSLNKTLI QANLQTFSNFQNRYYKSSYGKQSSAWLGNLVNQTITASGASGVSAVFFPHTWGQSSVI ATIPGLSEKTIVLGAHQDSINQLSPMNGRAPGADDDGSGSMTILEAFRVLLTDERVAA GQAPNTIEFHWYSAEEGGLLGSQAIFQSYAKAGRDVKAMLQQDMTGYVGTGKKDQMGL IVDNVDDALTNFIRLVLDAYSEIPYVDTECGYACSDHASASKAGYPSAMVFESAFEDH LQSIHTAQDTMDKVNFDHVLQHARITTGAAVELGFADFA PFICI_15058 MSSPFKVIVVGGGPVGLITAHALSRAQIDFTVLEARPQIVEEAG AALIISATGLRVLGQLGLLNALDLAGCDLTRWTRIDHDRNDLGDVLTLQFTKENHGRY PFLIARDALTKVLYESLSPADQARILPSMKVCEVQTSDTGVVVKCTNGTTVEGNILIG ADGAHSIVRESVRNLALQKNDAFENVDHRVNSDNPFLTTYRCMWLRFPTTSDMIPGRV LETHGPRFAIQQFCSVKEGFTAMYELFDEPTRKRSRYTKADAQAFISRWGHIPAGAGG TVQDLYQASTSHGVVDLEEGVLEHWSWDRIVLVGDAAHKFTPSIGQGANNGIIDVVVL MNQLTKVLKESQSQDPAKLLITDELTSAFKHY PFICI_15059 MAKRFTTALLMGVSVLAYPAELVERQNSTCSVSDNYPAVSVSKL PDPFTFASGTKVASKADFTCRQQEISKILQQYELGDFPPPPDKVEASLSGTTMSVKIT VGSKSVTISAAIKAPSTKPGPAIITVGGASIPIPGTVGTVAFGNDNFAAQTSGSSRGQ GAFYTLFGASHSAGALTAWAWGVGRIIDGLEQLGSDKTGIDPKRLGVTGCSRNGKGAF VVGALNDRIALTLPQESGSGGAACWRISDSEHSKGKNIQTAGEIVGENVWFSQRFNSY TSKTNTVPEDHHMLAGLVAPRGLFVMENDIDWLGPVSTTACMKAGRLIYKALGAPDAM GFDLTGGHSHCQFPSASQASLTGYINAFLLGTGSPPSNIEKSSASVTMTDWVDWTPPT LS PFICI_15060 MAISVAYLVLLCSIFIQAAVSHGGLANYTVGETWYRGFDPNERS DEQVGQPWMVQRRWDSIDPIFHVNDSGLACNTPGTTAISYIPIRAGENLTAVYWYWLH PVGPMTVWLAACDAFGGCEKADPNKLEFFKIAEAGLLNGSVPEGIWYQKAFQNWDGSP DLWPVIIPRTIKPGLYIVRHEILSLHIENKPQFYPECAHLNITGTGSSFPSQDHLVRF PGAYRADDPSININIYTDEMKRTEVCSYAKHYGTSLTISRTTQFQDLRYGAADYSSRL VHPIGLFSGIH PFICI_15061 MARKGSRKVRTGCLTCKLRKVKCDEGKPACYRCTSTGRKCDGYA AEPQAGLTWYRPSSLFQSIDKPIEGRALQLFCDTAAPSLAGPLDSYFWTHLVLQFSNF EPAVRHSLVAISSLYEDFHYKGKVTKQLQSNAFALQHYNSAIENLRRLDNEPLILLVC VLFVCIEILQNNRAAAMQHIAHGIVILERIGLAYPWTMEHLSPIFRRLSIFPLFFGGP NNQFPTLSGLDAPIPPKFATFAEAQYYMDAIVCRASRFIRQGDPYRYGEHVSDPVHPD LLRYQLNLQQTIDDWHVRYMELAKTLDLSEHRDMACSNVMSRYRISRLWALAAFEKHE VFYDDYIDDLRDAIDLAVAHSNKLMHDPTSRARPRFTFEAGFLPFVVFSVMRCRDLRT RLKGLWWLRDCGSSRENMWEAEQMYPVCRKLVEIEHDIVLDRRDQPIGQVPWNALPSE ESRSNDFGSSPTLVCQKNADGREVWGRLASYAMRTLEGVVWTRREFIPWPTVTAQTHV DQVVLRGR PFICI_15062 MVVEVGKQIPASQETFADIGLSIPILVFGSIALYNVLELNYMIL GTFRRRKGLYFWSLIVAAWGIAVNTIGYLLEHLHLISNAALYSALICIGWVCMVTGQS IVLYSRLHLVLSDDRIRRAVLYMIIFDAIAMHVPVTVLVFGSNSSNPEPFFRPYSIYE KIQLTVFFIQECIISGLYVWETVKLLRVTRDIRGKRGARRVLGHLIFVNIVVIILDIS VLALEFSDYYDLQTGYKPLVYSIKLKMELSILNRLVELTRTSSQGSFSFSNSNSNGNG TTTIVPESIMLDTLQSTHQRRARFDLEGQTMNNKSTGGSGQFGVASNSSRVLRETEFN TEPRPRRDWTSSKNNAEGPSNSSLSSERDARSLGSTTSLHQYFGVTQ PFICI_15063 MGAADGGAAVYDAALKRRQDLMGASGPKALVKNFKVFRIAAFAC IGGVLYGYNQGMFSGVLAMPSFQTHMGEYDPIDPNADQTKKGWLTAILELGAWIGAIL SGFAAEVLSRKYGILVATTVFMIGVVIQATAQSGGANVILGGRFVTGIGVGSLATIVP IYNSEVAPPEVRGALVALQQLAITFGIMVSFWIDYGTNFIGGVKLGSQKDASWIVPIC LQLGPAVVLFLGMLFMPFSPRWLVHHDREVEARKILANLRGLPADHELVELEFLEIKA QSLFEKRTVAEHFPHLRQQTAWNTFKLQFVAIKSLFQTKPMFKRVIVATVTMTFQQWT GINAVLYYAPSIFKQLGMSDTTTGLLATGVVGILMFLFTIPAVLYIDRVGRKPVLSIG AIGMALCHFIIAVIVAKNSTQWATQEAAGWAAVVMVWLFVIHFGYSWGPCAWILVAEI WPLSTRPYGTALGASSNWMNNFIVGQVTPDMLQSIGYGTYVLFGILTSLGAAFIWFFV PETKRLTLEEMDVIFGSEGTAAADFERMEEINREIGLTAILQGMAGEHSHPTDEKNVA VEEEGKE PFICI_15064 MPKHTRASSSVHQHQHHQQQQQQHPQVYANAMNMPASLAPSQQV DIGYNSRPHLPLNTAFYPTMASSSAAPPSMQPQYEQYSAAVVPAPIPHRASSGAWSPQ DDQNLLSARQQGLNWNQIRSTYFPNKTPNACRKRHERLMERKGADDWDVRKFEKIAKE YMSMRKEIWQPLAHKVGEKWNVVEARCMNSGLKNIQNAARAGARRERAESGQSFHGYD DDSGISGIGLTPVDDLDASYSSPETGHSSSHSVGSSFHNGYNHMHLNDQAYGHAYPAS TGGMSSSDYGSSVSSTAAQGYGPMSTHSHHSQGGSPYLPHGQRLPSVDMGIEAIINRP QHRGAPSGM PFICI_15065 MAPFGKIYSYPGNWRVQRASTLAQVAAALNGLDVPLADDFAMGK TNRTPEFLAKFPLGKVPALECADGFCVAEAAAICSYLAGSGPAAQQLLGADVQTQARI TEWSFFSENELAANIMPPAVMCFLKLVPYDEKRYEMHVANLTRALKKIEVSVQGGKKY LVGSQLTLADIMVAGPLFASMAFLYDSEMKKELPETTRYLQSLSELEEFKSVFGELKS IETRIRQ PFICI_15066 MNIRPPRTLLRPGAADSVCWQCSLQLSRSRVPTAASSRTFAQSF APQNAIAPRPRRLRLGYFFANSALPLAKNIRSGRYAASTAAPRSHDEAPTSSPTTPHP NQDLPHRRRQAAKRKAALAAAEAAGNTPGNSLPAEDASSALTTSAANHSAKSFRRQFA LYLSLSKPRLSMLVVLTSMSSYALYPVPDFLSPTIGVDAPSLSPLTLAFLTTGTALCS ASANALNMLYEPDTDAKMSRTRNRPLVRGLLTQRKAVVFAILAAATGVGALYFGVNPT VAALGATNVVLYAGVYTPMKRLHWLNTWVGAIVGGIPPLMGWAAAAGEAATDTGGFEE LLLTRDAIGGWLLAGLLFAWQFPHFMALSWSIREEYKIAGHKMLAWINPARNGRVALR YSLAFFPICFGLCAVGVTEWSFAVTSLPINVWLTREAVRFWKHEGYQGSARGLFWASV WHLPVVMVFALVQKKGMWSRVWRAVFGEPLVEDDEDEDEWEDEEITETIPKPKELRIG S PFICI_15067 MAQPTRSIASFPYLGGAGSLRRLFEQTTPGFNTLQSFFSKWLKL DITTLAMALTVFGAISTGSQHLKGLAYEVYNWIVRFFTASVSIPGSDRLNREVLNWIG AKVLVRQQTRILTASSEPIQNDAWEFRRAAIRRIDYHQEKRLPIQYLPTFGITWFFHD HKIFLVRRIADPRSAMRSFHMSADSADQYVVPPAGHEPLVVMCLGRSVQPIKRFLNTC RDFADKQREAFVTVHASRNLFHREGWDTTILRPIRPLETVHMDKKTKDELVLDIDNYL KPATRRFYTNRGIPYRRGYLLHGPPGTGKTSLSIALAGRFGLDLFMINIPTVSQDIDL DRLFTSLPPQCIVLLEDIDAVGIKRTRELPDIPLEVKDPKEIRKVGGQLRPGRVTLSG LLNVLDGVTSQEGRIVLMTSNFADALDDALVRPGRIDKIIFMGNISKDAAEEMFLRFY APEPNDEPNMAEPCAKISSEQLQTLAIEFKSQVPADTLTPAQLQGFLLNHRHHPETAV SMFQSWIMDETALMDNTREIETGGDKLKASTTGDDIGAPEKACHPVGG PFICI_15068 MAEPSDLPGNTEGSNGTRKSSDHQTTALSPNKDVGLGYWNNVEC NVDGMLGGFSVVSRIDLQSSRNFLAKLGIGAKPGLRVVDRALEGGAGIGRVTEGLLLK VAKTVDIIEPVIKFTDTIKGKDGVCKIHNVGLEEWRPTQDDQYDLIWTQWCVGHLSDA QLLQYLKECKSALKPGTGLAVVKENLSTIDVDMFDEIDSSITRRDDKFRSLFAEAGLA IVRTELQKGFPKSNLGKLLPVRVYALKPVSSAS PFICI_15069 MLPRPTLTLVNEQKARIQGQWVVVAERFPTLGYEEYLRSWLIMN TRTFYHSTPETESYEWVERIGLLPVADLLNHAERGCKVLYTDTEYTITTDRVYNAGDE ICNSYGEHTNDFLLGEYGFILLTNCWDEICVDDAILPQLSQVQKSNLEKENLTKGFKI GNDRAPCDRTRRAMQILCRKKGDNLKTNRKENNENYLEPEHSALLAAYLEGYMATIDK TMDGIEEMKNVERGQKELLLQRWRQIRTAVSEATDQLENTTSVQ PFICI_15070 MSTSDDLLSWASRNDIRCDGFKLVQTPGRGTGVFADRRIEAGEV ILEVPVKMMRNIDSVPRGIRDKLPPRWSIHSSLAVDLCFNQIVAPWQAILPTIDDFRN SLPLMWPPQVSKFILTDALGYTQLSTMPKVCGHASINFGDI PFICI_15071 MGSDTTTLLDILRSHSAVDCDTFDETVASSLGPFVDCTSNQAKY LKAIAYHELIRVDGQGDRVHAQLIEDAVVYAKSKRAAYPKVDEAEIAVECMMVKLALR IVPYLTGYSHIQTNPQYSYNTQKTIENAKRIVQIFKDLEPSYDSKRICIKIPSTWEGL LACQTLESQGISTLATTMFCMEQAVLASHVGCTYIAPYINELRVHFDAGYTDEAKAFH FCGQAQAYYEKIGSKTKVLPASLTSIQEVMLLAGAHHITVSPPLLKKLAETPANPWEG ETGKVFNEVNMSLLDEYSHIPRDEPSWRLAFTRSANGQSEVKIIQAINIFCEKQEGLQ ELARSV PFICI_15072 MASATRATKAKPIKQKSKPASLDTSYRHVPFSTRLNPRIKPSNA DFVVMEQYFDRQLRKADLTISEILNDHFTAPEIEQFIPSQDKTLAKEQERRTEDFKYL LKTTFAKKAPTLPCKMAMLYFGLPVAPMKMDISQDPWEKYLETLDQCDEEGLPDPLGY LEKHRQRPLGDQTGNQDVPAMDLRGGGLSEQDVDAILSDIVKGDNEQDDVMSIQWEKE ASDQLTMTLRGGRLAYDDLPEHTGKDADDAGNAFIWLYGRQGSIGIGATWADFVQGVV RLLDLGVEQQKSQNASIQIGIDLFEGPGELLKTHLMTQEGKFWLNAEKYDDDPNNEIL EFVTTNSNKTHDLQTDRRVCFVRFAREARPTNYRPIGAGRIEVVRDDVEECPKAYMRT TRSPTKQNAQSQYDTEWHKALRTILPVQPRHYWMDVALETASGDRWEYGRWYVDQGFP DLLKDQIVDALSGPDRFQILTTMAPVAENDIPVLTSPWYTGTTADDRHGHVQTITKAN MTQMRTITDAVIHHLLDGRSKAGGEPYSAHDVDYVELYISARGFVDDSITPVKCYYQN GRAISHDQQWQKRIDQFRAENEAEYDAGFAIWARPIYKEYVVADRFDPAKCMRSFTMS ASLLEMSLANFKTEIAARIFNDGFYDPQDDNMVLGLTTAGEANPRNMLLRPDTTELEW ARMKKMLAFGWICVEGGKLLENRKHAYEIATRWNAGPSSYWGACYNVGKPPLAIIPTP SASGTSAVPATNSVNGSAPARQQGLWRTPSIFSNPLKPILPITGGPKESNMKGNPRAL FLTTTAKDTTEGSSQENDVHGFRNLALGRVRRCPYSDCGNTYDYNNQEAFDTHLKQDH LELQCPYCYASGLGGSQAKNALYFRNKSQALQHFYEHHLKDMLSQANIGVTGQDQSQS NVPGAVLSNEDKRQILADYKHCNQCGRDHLACHSPADRDNHRKKCLNIAAAGGSSTGR GSTRLPGYCVYCGEASNGSCTNAKCAGKIASANPTPEICCQNCGLEWAGYTPAYQAQH RVGCKPLGGHPWEFCGFCGVSLTTMDYASRRSHGEFCSQRPKPKSRECPQCPILLETP KQAHDHFARKHAISDRCLWCEQAFPIHDRAWTEDVKVQHFAGHMGAHPHVSGTGPGDR VGIHDLKCPGFVDCGVVVSHMTSEQYLHHMDQSHGVAMAAGQTHLPNGLQFITHTASA ASLRSWQPPVPPPTSTAVGPQFEWKFSSTARAASPDWSTVAPHRPFTARPFQPTEHMR CSRCFTLAPTMDDPNREAEIELHCNPKFSCRIRRAPGEYHPTGAQVPNTSGWIRFPSG FDFKAARRAFFDAYPAYHGSIFPADDDRVAAVYDDPAQARGTPRDDIYSASRTDDALA RHQLPWPPHMGHGIYRNLDPIDFDGWHTVPASHASSTAATTARGKAKSALAGTTRRGP STRKNTSDSARLLLEISSQSSDSGSEEETGQSESSTSDDDGLNPWSSGGGSARRLPRS MRAYDPSYRKLSRDEEPEEEVDDRTNHKDGAVENFSMLIPGMKALPADFRSPLEPGFP RKRARTPKDVSNDERPMKRFKGVATSSRDPTPVGEASSTIVAGGKEKVKAAPKTHAKR AVALKASLPTEPATPSTEVPSVALRKSSRAARSTKKVTYTDQADDDNDGETLALNGAA GSKYEKTPARRGRKKATNEVHTEKSAKTPARTPSKPAVKATTETPAKKTRKKTSQVDD TVSATEVLDDAVADGKRPRRQPRFK PFICI_15073 MDLHTIMNSDGGAGRNASRQQSQPPPPLTKMPTASPSMTPTPHT PIQPHPHRGFRDYSQPVHGSPSQAPAPPPTEYHAGHPPPGPPGHYVSPTAQHPPANAF TPRPAPPPLQPPGPNHDPRSPGSAQLSGPSPYRHTPTSSLSASSQGYPFPPTHAQNQL PASPQQRHQYGPTGNYSRDSRDSFASPGGPAPPPVGMPSTHGSASYFPGQQVMPPQTP PVTTPGGSQHSYMQQQQRSQSLQSTSTATPTSAHQPSFSTSYGPQSGSPATTARSLTQ FDHRDQRQSSQPPTPLGPPAAPRQVSAQYPQPQSPYQQRMSSVSAPAPPQSPYSSHPP QQIPQPRAQSQHRSPSAPHAATIVPQSQTHPTPHYDTAGDPHRRSQSYASHASQERER SISVSPKTRIPSLTESLGGASASGQPRPSSMGGDPTDQHLHQIPQQHQQSQMQQALPP SSQVPAMKREGTPAKRKLDDRDLQPDELNGTRRPPPPPQMNGTHGTGPLISQPSSPVV PRRKKTRFAHPPVWAQSGKARQPNASRNYTLKSKTHVGGGSHEPHTNGDTNLAPSNES KAKLEQASVKSEHASRHTSPEVIRKTEEQSAISPDNRAWKLLDGRPFPVQPISLNLPI DNLVRTVADFLFQHICLSEFSGEVRARGIQWEVEAKLGTIIDRNTNSRVAYPVNGECV LTPDARVAFRSSMTLAQHRVFNEWLNTNLATTHPQNPHTKPYEHVPISYKHRREVDEF FELPPPLIARLPSALTALQQSKQSAKGRISRDQQTGEILAKIVKARVADLNIHLPMAR LDCRISINLEWDWDGPAEEIMKGHPAGRDRQPDRAKDRMSYSQGHFQVDLTQVSQANP RSGTLEKEHELEVEMNAAVLIEQGMRAQADEPNLYPELVETFVNNIRALARTCPE PFICI_15074 MLANLQIRPWTAFPSTTSHSPVITITTFAIVHHLCNPAAISFPS TSNHQAYSTFNAISSSSELGDRPALKMPGLVTATGVLGFLADEEPELKVFALQTLNDD IDTVWTEVAGALGQIETLYEDDSFPERKLAALVLSKVYYHLGAYDESMFFALAAGDLF KLDNPTEFEDTIISKCVDHYIAAKNENHSVLSQKDKEDKSLPALATAFSGSSDNNASA LISPTTPFSQSTLPSKSLLSRVSTDNTIIETSFEQPKKQHRSSSIALTHNELDTRRAI DNVIERLYANCIKEGRYKQVVGIAVEAKRLDVVARVIKEAGDEHDRSKGKNKPDEELP GSAEELMDYCLNICLDVVQERAFRDEILQLVLDILSSNKVKEPDYFSIAKCIVYLNKD EHAKDVIQKLVSTSEPHDVAIAYQFAFDLYDNGTQEFLGKVKAQLPSGESKGDKSVEG EAEDATESTSLLDTSKDDSASEDSSSDVYKNIRSILDGTKTIKLNLEFLYRNNRTDLS VLNKVRDSLEGRNSIFHTAVTFCNAFMNQGTTNDKFFRDNLEWLGKAVNWSKFTATAA LGVIHRGNLSQSRKLLEPYLPRGTGGISGGSVYSQGGALYAYGLIHANHGADALDYLK TQFTAAEEEVIQHGGALGLGIAGMATGDEEIFDNLKQVLFADSALNGEAVGLAMGLIM LGTGNVRALEDMVTYAHETTHEKSVRGLALGMSLIMYGRQEGADVMIEGLLNDPDPTL RYGGIMTVAMAYCGTGSNKAIRKLLHIAVSDVNDDVRRIAVMSLGFILFRKPGSVPRM VELLSESYNPHVRYGSAMALGISCAGTGLDEAIDLLEPMMKDPTDFVRQGALISLAMI MIQQNEVMNPKVAAIRKTLKKVVGDRHEDAMTKFGAAIALGIIDAGGRNCTIGLQTQT GNLNMAGIVGMAVFTQYWYWFPFTHFLSLAFSPTSIIALDHDLDLVDAKFHCSTKPSL FDYPPEQEVKVEEGPALIATAVLSTTAQAKRRAQKKERAQRRDSMDIEATPTVTKPTT SGDKMDVDEPKKAEDAKKEGEAVADKETTPSTETKKKDQREKAGYDIDNMSRVLPGQL KYISPVKGRYWPVKLVRPGPLPTGGPILVHDKQPGEKKELIEEKLKKVSTDKAPVPNA GGDGRGGRRDVGIQESLLRQLGVNIPLSGAAAAAGVLTAVDEDGENDEEAEPPRDFEY DTEADHDEDDD PFICI_15075 MATQNEPADPLAKGTFATAKQAWSDLFKWKQRVVVTNDAGETHT EWEAPPRLRNPISLFRLLSAKDWLYFLAGFFAWTADAFDFHALSIQQVDLASYYGQSK TEISTAITLTLLLRSVGAAVFGLLGDRFGRKWPMVGNMIILGLLQIATIYSSTFSQFL AVRSLFGLFMGGVYGNAVAMALENCALDARGLMSGIMQQGYSFGYVLASCAVLGFGSG GDTWKKVFWVAAGLSIAAGLIRCLFPESKQFVEAKKSGHHITASDFWKETKSMLRNEW RMCIYCCFLMTWFNFYSHTSQDSYTTFMRTQKELIPAAATRASIWMKVGACIGGTIIG YLSQFVGRRRAIVCAALMSCVLIPAWILPEGEQSLSASGFFMQFFVQGAWGVIPIHLN ELSPLAYRSTFPGITYQIGNMISSPSAQIVNAISESHFVRTKSGKLAEAYGPTMGIAT VIIALGIAVTVALGPEKRGRHFENAGPAGANVERGADVEKAVDVASIAEDTKDQPTTE TSEKKGPQ PFICI_15076 MTTKKPRKLVVAIDFGTTFSGVAYWLGRDQPDSGNIKVICDWPA IFPYEGERPQVPTKVGYKNDGTIQWGNLASGELQPIQWVKLLLLEQQDLPHYLQHNQS AHLSTARELIQDLGKEAIDVVADYLRELWRHAMTKIKEYEIPAIVDDCVFHIILTVPA IWKGYARQKMQTAAERAGILKPTRRGDVSFSMTSEPEAAAHAILRSGDWRRKEADTGD TILVCDCGGGTVDVISYTLVNSETNELKECVEGDGALCGAVFVDEEFFKLVKGRFNVH FGRGFWDTVPLATIEKAFSTDWENGMKRNLSSSNMLMSFGIAGRNIDLNKKQITACFR KVLERIHILLDKQIEGVINATGAAPKYIFLVGGFGRCQLLFNAICEKYPDSFVIKGAS NDEPWSAICRGAALGKAIEICASEENPDAPANPILSRKPRISQGWSHDLHLGEECTTE EFENAEWDNDAGGYVVHGTMAWLVKRGQDVSTHMHKQQYTIALPMDTRGHYSHTAPIL ESLNMSPPARYLPNGSDIRETGRVVTLTTPVPAEKLPIEQNGWGEDYLAFHYEWHIKV EGASVSGEAFSMGQKIAELAGSEI PFICI_15077 MDLPEDLAPSSLHPSGENKRANDFDAIDHPVQHRDKIQKTTTDA EILLGTRDGTVDLLGNREHSSSHDTKSTENMLLDQDQAAEAHGAKGPAVDKARRVLDS MQDGHEAEHRELKDCEKQLESRLHSCSEQPQSFETDPAVAPPQSLGVSTEDFNTLKAS LQEKDGELLAVKQERDELKEALHETKTGIQERVDAAALEAYEKAESKLYATNSSITME QILGEFEGLVYEIDNLIDNQFRGHPYRKPRTNQHKQLFGKLAVDYEVRLGDEELKLLI LKSAIWHEMIRRFLLSPTSADQGHMGKALLLLQRDILGTPCTSFMMEGESTSFERQRL ELKLKDGSQNQWKQFQSVRAHIGQYLPAHIEQHHQAVPPESDDEITVQHLWEMAELFI LYSRTDKKEELQNSFRGVVKQTRSLARALAKCNDLYVVCMPTVHIIKKTPEGITKENL VSEFIKTDCDSPNSEEWVFETRYYGLTMCGDYMEVVQRGKHKRTGNITQSISPALIRY MPKMEQGDVVVKAKVCL PFICI_15078 MAPSADTSFAAHSSAQFASYEKDRQLTSQDTIYATSNGVPMPHP YETQRVGENGPLLLQDFHLIDLLSHFDRERIPERVVHAKGSGAHGFFECTNPIPELTQ ADIFSTKGKKCPVTARFSTVGGESGSHDCARDPRGFSVKFRTEDGNWDMVANNTPVFF LRDPAKFPHFIHTQKRDPATHLTHADDSTMFWDYLSQNPESIHQVMILMGDRGIPDGY RFMHGYSGHTLKFVNKQGDWVYTQVHFKSQQGTKFITQEDSANYSPDYSQKDLFEAIE RGEYPKWDVSIQTMTSKQSEEVWRDQGINVFDLTHVWPQGQFPLTKVGEFTLNENARN YFAEVEQVAFNPSHMIPGIEPSADPVLQSRLFSYADTHRHRLGVNYQQLPVNAPRTAY KFGNFQRDGQMAFFNQGSRPNYLSSIEPIKFKARTVDLDKTHGQFVGDAVTFLSEIQP ADFNAPRALWQRVFDESARERFINNVSGKMELCKDKEILKRQIAIFREVDDDIAKRLE KATGIKGYDGISSLSFNGTHNGMTKDSKLMTANGITLAKGTNLGHNNGAPCGNGTHLH SNGVNGANGIRV PFICI_15079 MPSLTRLLSRPTAKPYRTSDLESSEKPHVNENGQVDFSPDDIEN PHNWSTTRRWYVTFASVLLVVNATFASSSPSGCFPSIAKEFGVSEEAAGLTITLFLLG YCAGPLVFAPLSEFYGRRWVFYSTFIAYIAFNFLCAFAPNFASLLVGRFMTGTFVSAP LSNAPGVLADLWGPVERGNAMAAFSCMVWVGPALGPVVAGFLQLTKDWRWSFYVLLWL GGGTALIMFTIPETFGPAILRNRARRIRRAKIPDYEDIRAPVEDSDRTLFDSYKIALT RPWIILFDPISFLVAVYMSIVYTLLYMLFSIYPIVFQERRGWNAGVGELPLIGTAIGA CIGAGVVMIDSKYKARKVANGQELTPEDRLPLAMIGGVGFAVTMFWFAWTAEFNSIHW IVPTLAGVFLATSLMLIFVGFLNYLVDTYLMYAASAIAANTVARSACGAAAPLFTTYM FDALGIGGGGSLIGGVATLLSVIPFAFFKFGARIRRRSKFAPTNDSSDSETEQDQPEV NRASSRASAATSSPDTIVEGECQSPLNIRKSANVVGEPEVENARFGQGAGQAHVC PFICI_15080 MDEDQGPAAALPGQHVRTSRSPLARLKRTFLTKEGLLGDYDYVF LFKPNLPFMKRSLQAAPFFGLNDRMPVFLALLLGLQHALAMLAGIITPPIILAGSAGV NLTTENQQYLVSTALIVSGILSLIQITRFHIYKTPYYIGTGVISVVGVSFSIIPVAEG AFAQMYANGYCPTAEDGTLLPCPDGYGALLGTAAVCALLEILIAFAPPKIILKIFPPI VTGPTVMLIGISLIESGFQNWAGGSGGCAATDPTAYFALCPNIAAPHALPWGSAEFLG LGFSVFLTIILCERFGSPIMKSTSVIIGLLVGCIIAAACGYFDRSGIDSAPVASFIWV NTFKLSVYGPLVLPMLTVFIICACEAIGDVTATCDVSRLEVQGEIYESRIQGGVLADG LNGILAALMTMTPMTTFAQNNGVIALTRCANRTAGYCCCFFLILMGIFAKFAAALVAI PSAVLGGMTTFLFCAVAVSGIAIITKGVPFNRRNRFVLTAGLAIGYGATLVSNYFSSV FTYSGDNHALQGFLDAIVLIMETGFAVTAIVCMILNLTIPEEIEEIRETASDHSAIMG WHADQSNPPATGSGSYPIEQSRGSGDNGEKRL PFICI_15081 MSAESSSNKKMKRRTGNEEDAPAEAQPRTAPKRQRVSRACDQCR AAREKCDGIHPLCFPCASQNRKCTWEEPKKKRGVQTGYIRTLELSLGWIFDKIPGSEE ALHGLLTHEGGQGRDLLVGKDTIAGNRLHRRWRKSMVQQEIDRVLSGSTASSAKSAKT SPAVDESESSADEAELPLKEETSSAANPAPIMAIPESMESMTAALHPDRLVSNGPPEL PAGLADLPIRQRQLAQYASNTFKLPSNCWRLLDIYFSYTHCWFPILEKGMIHKACWSY PGEGLDMTKEELFQSGLHAELWAALAIAAYQDDASHSGQAHGDRLEGRLQPDEIYNAA RRLIPSEDRDFDARHVNAILLLALVDIGRRKYMAAWILVGLATRVALALNLPARPAGD GYRRLYHTYMACFMLDSLIASLLEKPPHLRPSDIDSSVPSGEDEPDEWDIWMPCQGFG TTQHSSSPRSPAHSMSSFAALFGVHRVYCTVLSDTKIHVDRNELYMTEMRKALNGNQT RRPLVDYITNGGIPPYQVPSIYLLRLAFVCFTVQAQSYSEPLMSAALQCIEELLLLFG SAVPPLFGLYMELLGRQCNPEALRGDTRDRWKKAQASVDSIWASSGNDNQPFPMVQSH EQPAHLSHGQSDVIYPCPPNTNIPGVVQQVHTTSPRHTDPRVDPASLIRSEVYHEASL SAMANFSTMSRPTQPLDLVDHPNSTTTAVGGRFDLSDIPPQGFHGHGRPSFSSAAFDY DTILDDIASLDRSDRMQSDPQFMANLGFAPGSDLAELLAHDFTSMP PFICI_15082 MAAPSLAGVKRSFGEMAAANAQLAGLSHSWGSDSDRLPKAPARQ RKHATSECPSKVDCKPCHS PFICI_15083 MARMPIPAQFAPDSSIVLVGVRGSGKSTLAIIASAAMKRRIVET EQAFFDATGLSTAAFKKKHGNLEYRQRQYAVLESILVRHQKDCIIVSSWVERSVQELL VEFAASHPVIHVVRDEEAIAGYLGVTDIETFRELLDYLTHAFRAFANFEIFNVSEGIS TSEDPNLTEAAALGQDDGQKSPAPYLTLKRAERHFLRYLALIMPKGSIPYIESAFPLA SIPTEKRQYTYAMSVPLSKLLSSNLNIEDLETGADAIEVVVDEAFIQGTSTQLGASRA SQISRVIGHIRRNVVIPIIYHIIWPYPGPLDNTHKTTYVEYLQHGLRLAPEYIVLDLR LEDYLFSQIVRSKGTSKVIGSVDLNTSQPPSWKDPLWLSFYRKARDFGCDLVRLLRPA TQIEDNFDVQYLRNAIEQLGEPLLPLISFNTGSKGRNSQCYNKILTPVIPASLLGMDH PKPETSLFIPPPLTAFEATRALHSSFIVDAMKLYVVGANVGYSMSPAMHNVALKACGI PHVYRPHSTESLSNIRDLIHDPLFAGMSIGLPFKVEVISLAHSLSSHAKAIGAVNTLI PVRHLNADGSIPDNAIFFNFRNRAGPVLALFGENTDWIGIRACIRRGLSPANAVRPSS SGLVIGAGGMARAAVYAMLQLGVKNIAIYNRTPANAERLVAHFTRLLSRHDLPLLSPA SDTETQFHVISSRDDAWPEKFRMPTIIISCIPTHDIGDAPAPKFTLPPAWMDSPTGGV VLELAYRYLNTPLLEQVRAKAHLGWVAMDGLDLLPEQGFAQFELFTGRRAPRRLMREE VFRSYPSGQGDSARTQLQPRLENIVEQEP PFICI_15084 MPCQLAITSMSLGRCWAGHSFAHKLDMARAYGYKGIELFHEDLA DIASQLHNKSTAENELEAAEIIHDMCIERGLSILCLQPFAGYEGLVDRDQHVQRLEQL KFWFQLAKVLGTDIVQIPSSFLPASQVTEDRDLIVSDLQIVADMGLKENPPIRYVYEA LCWGTRVDHWEQSWEIVQAVDRPNFGICLDTFNIAGRIYADPSSETGKTPNAEQAVTE SIARLLSDVDVSKVFYVQVVDAERLQEPLVQGHKFYDAEQPCRMSWSRNCRLFYGEKD RGAYLPVVEISKAIFQGLGYEGWVSLELFNRRMSEQDTNVPRELASRGAASWAKLVQD MNLNVDRPQLPQRITASL PFICI_15085 MGILSVVEDRPTPKAVYNWRVYTCAAVASFASCMIGYDSAFIGT TLSLPSFKSEFNFASYSSEQLALVSANIVSVYQAGAFFGSLFAYVSNWFLGRRKSLWL FVSIFILGAGLMLGANGERGLGLILGGRVLAGVGVGACSTTVPIYISELSPPAVRGRL VGIYELGWQIGGLVGFWINYGVNTTMEPSHKQWLIPFAVQLIPAGLLMIGALWIKESP RWLFSKGRREEAMANLCWVRNLDVNDLYIIEEVNYIDEDLDRYNREVGPGFWKPFAAL RQPKVLYRFFLGGMLFLWQNGSGINAINYYSPTVFKSIGITGTNTSFLTTGIFGVVKT VVTFVWLLYLIDHLGRRRLLMIGSVGGSLCMWFIGAYIKIANVSGNPTGQLDSGGIAA IFFFYLWTAFYTPSWNGTPWVLNSEMFDQNTRALGQASAAANNWFWNFIISRFTPQMF LTMKYGVYFFFASMMILSTVFVFFLIPETKAVPLEAMDRLFNVKPVRKANKTIMEELR VQEEEFRHNVDGVDLKEGNKRDVEQREVA PFICI_15086 MATTQIDTGSVASQPTEVHRHGYLFGLKISASMSPLLHKTIYEE LGLDWEQELFESSDIPKFLQLTQDPNFYGASVTMPNKVAIMSHLDEVTEECSEVGACN TVFFREENGKRILCGTNTDVVGIKESFYQNVTSPDTIFHDKPAMVIGGGGAARSAVYA LQKWMRATKIYLVNRDAGEVEAVIAECLARGYGDRLVHVATVEQAEALEAPGAIVSCV PDFPPVTQEEITARSIIETFFKKDRKGSILEMCYNPNPYTEIGGIAEREGWQVILGTE ALIWQGLEQDRYWTGLQLHELPVNRAKEVIAARLSQISKL PFICI_15087 MSDLSAFELEEIYAFAVQLGKDAGALLMSFARARWSCNGNTDDA ISEKDSSVDIVTKADKGKYFGMVTEDLNGVICNANSHLTLGGIHRFIGEETYSEGATR EYLIGDAPTWCIDPLDGTVNFTHLFPMFCVSIGFIHRGVPVIGVINAPFLGQLFSSCR GRGAWLNETQQLPLIRNPVPPMPAKAPSGCVFSCEWGKDRKDRPDGNMYRKVESFVNM ACELGGRDGKGGMVHGVRSLGSATLDLAYIAMGSFDIWWEGGCWEWDVAAGIAIVEEA GGLVTTANPPNDYDSAPIEPARLGSRLYLAIRPAGPSATETGRLSQERVVREVWKRVR QLDYARPGA PFICI_15088 MPRSILIINGPNLNLLGTREPHIYGSTTLADVEAAAQQQAAQLS VKVATFQSNHEGAIIDRIQEARGNVDAIIINPGGFTHTSVAIRDALLGVEIPFVELHV SNVHARESFRHHSYFTDKAVAMICGLGVFGYQAALEFAAKHMKIKERA PFICI_15089 MLENLCTLPLSAELFTQAIHPTEPLLATGLSTGHVECFRLPASD RSIDDDASADVSVLSDGKGTIDTIWKTRRHKGSCRALGFSLDGSALYSAGTDSIVKHF SPTTGQVASKIAIPDHNNSADAPTLLHVLSPQTLLLGCDSGALHLLDLRDHALASNKP QQTHLPHSDFVSSIAPLPPSDTSTSGYSKQWVSTGGTTLAVTDIRRGVLVRSDDQEDE LLSAVFVPGLGPKKNRDNGIVAVGTGTGVLTLWDKGAWDDQTDRIIVDREGESLDCMA QLPETITSGKKVAIGVGDGTVRIVDLSKREIESTLQHDEVEAVVSIGFDCEGRMISGG GTTIKVWEEAAVATGDDSDNVDGEDDSDDSDDSDHGDDDLDDSDGDGGEASNNSKRAA DSEDDSDSDSDAGSRRKGKKKRRKGKGQVDLGPHGAHGILKFKGL PFICI_15090 MASSPYSLPWDDVDSSTGIEAGNQDDANEDEEDFEPSLFDIESA SAFGSITSSVLRHSYENGRRYHKFRYGTYPIPNDDTEQARDEMKHVATLELTSGNLYF APLGESPQKIMDLGTGTGSWAIAMADKFPSAEVIGLDLSPIQEPWIPPNLRFIVEDIH DPWLHGDDFDMIHFRHISLFLRDFDSVVTKCFDHLRPGGWIELQEFGAYAKCDDGTMN ENSPFALFMNKVDEALSKAYGFQWRVANMMDAILKAHGFVNIGCKKFKAPLGRWPKNK KLRLIGGYMRDITSDLIDAMAAKPLRQIMAGNEVEKLAEAAKEELADRESHIYVDYFF WHAQRPQAI PFICI_15091 MMTSRFARKLSLLLVAMIFPAWPAAAILRNIMYLTGQHPVISDP SLSSQITHVVLAFMQSSSFNSNGSQTDWPIFMSVNDTRRKFPVDTKIMVAIGGWGDTE GFDVAARTEQSRQRFARNVAAMVESTGADGVDIDWEYPGGNGEDYKQVPNAEKAWEIE AYPLLLSAVRNSLAPDKLLSAAVPGLIRDMLAFTPAALPSIMDSVDFLNVMTYDLMNR RDSITKHHTGVQLSLDAINQYASNGARTQDLNLGFAFYVKYFRIAPEHHDVCRKSPIG CPSGLMEDPRTGADLGRAGGFSWHDAIPEEVSTSFAKALRDGQYDPDHGGFYYFDEDE DLWWTFDTPAAILNKFPSIVKSKGLGGVFAWGLGEDAPDFKHLRALIQGLQGLSSDRD EL PFICI_15092 MGLSWLTIVHAVAAVFAIIELGLTAYVASWFHWGWWSSSPSQVN FMVFNSVWSLLVLAYVGITPIYMTSIFHKLAALVLNVITTIFWFAGSIALAVLVGGPY SCGANTYCGSEEAAIAFGFFLWALFMVLTVVDALEALRSRGHNAPATGTKPGAYAGA PFICI_15093 MDITIDENEPEDSVDRYAMDTYVSGEEQLFIPPHWHKNHAEYLT VLEGRMEVSLDGQKVIVEAGDPPVLVARRVVHSIKSFEGERLVFRERPDPAGLYKAL PFICI_15094 MKFTAVITALACAEAALGARFTEARRQRHAERAAKRAAERNSNP RLPADDSIIEGLALDNETQVSYSSNWAGAVLIGSGYKSVTGTFVVPTPSVPSGGSSRT EYAASAWVGIDGDTATNSILQTGVDFYVEGSTTSFDAWYEWYPDYAYTFSGISISAGD TITVTVTATSTTGGTAVVSNKSTGKSVTHTFSGQTKALQELNAEWIVEDFSSGSSLVP FADFGSVTFTNAQATTSSGTVGVTGAEIIDIKQSNKVLTDVSLDSSTQVTVSYIG PFICI_15095 MKFSAVIGAILGASAVAAAPGTAKRQERAARLMSERQNRKSGLM IPDINETDEPAVINGTKHVSYSTNWAGAVLIGTGYTTVTGTFTVPTPKVPTGGSSAKT YSASAWVGIDGDTCSSAILQTGIDFNVRGGHVSYDAWYEWYPQVAHDFTGITMEAGHK ITVTVTASSKKTGTAVIKNETTGKSVTHSFTSATASLCETNAEWIVEDFEEGSSLVPF ADFGTVTFEDATVNGKGVTGATIIDLRQSGKVLTSCSASGESVTCSYV PFICI_15096 MASSEEQSTINELQANWVWVPDWVDSSTANTAGRIVNFTRDFSL PSAPEKALLYFSADTRYKLFVNGVRVAVGPARGHGTIWYYDTLDISSHLKHGDNQITF VVLRYFAASRAAMPFVRTSFPGLTVVGEAKAGQSQVSLSSREGWRAQVDDSILFPTGL IDDVFLHINERTAQTAPSPWISPKLYGFKTLNGELAPWRLRSRTIPRPEETSVAVNTI RSCDSSNSVDDWKAVLSHGQPLTLSSDSKHVLELQADVHSTAFISWSFRADNSSSVQI KTTYSEGYECDPRSYPFFRTKDDRLQKDDHHLIGPFDEISLDIGPGKMVKYEPFWFRT FRVIRLEITVGAGPVEFLSFDAKQTNYPIQPKAAWKEPGDAHSESIWDVSIRTLRNCM FDGYSDCPFYEQLQYSGDSRSVGLFHYLLSGDDRLMRQAITNFAASVTPEGLTQSRFP SHVPQIIAGFSLYWVLQVCDHHLFFGDTPYTRSFLPRIDGVFEFFHSHIDSLGLVSGL PEDVWQYVDWVTTWGATEDHPDKGVPTSGRKTNRHTYFSLLYAYVLKQAAQLVRDVGR PGYAQEYESRAEAVVEAVRAHCYDGAFFTDSTIDARDDSAYSEHCQVFAVLSGAAQPH DQTRILTQSYANPRFSKCSYVMKFYALRAFALAGDDAYESLWTTVWDPWRQMLANNLT TWEEDDVRQRSDCHAWGSVPIYEYCTELAGIRPIAAGASKIMFKPRLHLSNSIVANVA IGKANVANISWTADDDGKKHVRLKLQRAVEVVSKLPGGEEQEHGTVDQLELDF PFICI_15097 MLSSIAIHLLMGLGLVMAEPIKVIQQRQFGEGVVEAGSIQVRDA EVEARALKNIQALATFDTLIANTNPTSSIGSYGALTWQGIAVAKVGRAPVIGIIPISA NNVAVYGTISQTISNIATVSAVYPGSKVASFSLQSFYYACTASFGKLPTECRVGVAGY DKKNILIAYKAFNFPSSTGFATARMSTATLSSAFKGLAYVKFSTTYLNNIPGVTVLDN MQYTITTA PFICI_15098 MADKYIIRVTAGSNYDVDSHQVVPVNSPELTRISNEFMDIDLNV RVQNYKGLPKNSPATSAYFSQPPHDYNKDQYSISLQFKPKRSYAGSLPGSQKGEPPVA NGTAIEPDASGEAGDEEEDEEPSNGISAADLQFGNDFDHPIRDRLPPGFNTAMSIVKW WIDPGLDGDAYADQPYLYGPALSSFNAIHVGKAEHNPEKGGLWFEEGGDEAGLAARKA IGAPDTAKARMKWALKEDSKAKWTFEYGKTYCADFFNPYLDFGDFSLKLPGFTLPIMK YWDGQGLRYVLRNKSTSDTYLVVIFSLYLKEDVNEDGSLKPAAIESHKKVETGSVDVT SEAAGEAEDPKVLEEARKKLESLDVGKDSIDATNDDDVD PFICI_15099 MSFAATVRSTHVLADHLHMPVGKLFGGLPAGVMADAAMTHDTLS ATMQERDRLVEERPIANTSRYGNDTSEPALSSFEMLPSELIDTILGYLSPLELSDMRQ VCKALHSHADSDFHWQRHVWANLPGNPITTPYPFNTFRELYACHDPFWFIPKNKLWFS DRGLTGQMIMVQYDQRRGVIEGYQLVAVSTVSRREAWSTSTGAVAEIEHFEPQVKLHK DKPVLKLSPPDRRRDTESAESSPKRDFFPAQPMMLDIDTDPRVGEVVLAKPLSGLLEA NVPDAFPYGYVWPPPAIPADHRVGAQAAGVFPISSQRLEVASPGNWAPHSRSEASDQT FRIRRWMEWMRSGLGLRLGEETVTWSTLDPYWYTPTKEKPWRGIWVGDYNVHKCEFLL IHQPDSEEGETPAFERKPDETDEEFNARFLHERVHQGSLEAIKLTGDTNVPRGERTFI APNLGELGLVGVEDREPFAGSRVVKSKGHIAHDGFINDTYTRSQLILISPNRLAQYWI DFGHISYYERVDIDRFVAP PFICI_15100 MYETLRPLIFVFALDTIIYAASGTGVLVTNPGNETEPKLKRQQL TAGDFAFIPSWTEHQELNETDEDVVWILIRSGPEPTVVWLEDWGGDEAKAD PFICI_15101 MIGTSLYQFVFIRACIFALHYTSPLLLLALGIQLVAIGRSALSW RINQLFIAYCIVDLLYAVLIWWPYNKRLKDEARHPPPLSSADRRALFLKCADHIPDLE RYLRLWFLGADSLDIKRDNVHDFVLWAFFDTVPERASQQDVFEAEELVDLLEDRLGRK LDAGRGKARGLCLTIDAIETRYRSFIWYIIVGLVDLFTHFQFAWLGFEYFAQPRSEAF SVTPPRLQSLFASKQSASRQLSYWHRPHTAADKSPVVFLHGIGIGLWTYVPFLSRIGG NNTGSGDIGVIAIEILPVSFRLTDAPLSKLELLSQLDTILDSHEWGDFVLAGHSYGTV LASHMIHSRTFNSRLQGVVLLDPVSIMLHLPDVAYNFTRRKPSRANEWQLWYFASMDP GVAHALARHFFWRENIIWKEELLDRSTNERTRDSHGISTRKVAVCLSERDLIVDTLSV AEYLADGEDWTPSTGSDVGDEMLHRDLHVTRDGIEILWFPGLDHGQMFEKRENQDRVC RVIDSYCA PFICI_15102 MRISTVIVALSAPHALAFPWLTPDGMNALLNHPEARQEIDRRLE EYRSGKAKERDLIGGIVGGLGSLLGGTLQAVLDNVLGLIPTSEAVKGLQRFPQSDHPF QAPGPTDQRGPCPGLNTLANHGYIPRSGIASIGQINAATAKVFNMGADLSSLLATGGA LDGGDILSQTMSIGGPDNRVGLLGGALNRIFGTPSGIAGHGKFNEGDASATRDDFYLE GDNISFKPELFKQMHQQALARGNGTYNVDAIKEHFKNRYAASRAANSRFYFNVPSAAV VMGAYYFIPGFFSNGTIGQGGIANEASITSFYGAKPDRANAWQDPDLTYTHIPERIPE VGWYRRATPMTIVEAAGGILDVYLYALPPLGGAGADQGWVLGPLTLPSTPQALSCFLY NAIFANFPSELFNSVSLLQSLVNSVVGLLAPGFQALGCNVNLPDANGASAAQFNAAAK QFVGSAKTEAVGSGWYNGT PFICI_15103 MLRKSPTGTWLPAWVPESTIVLSCLLMICSIVNSTTNGYDGSML NGLNILPSYTDYFDLTPATTGLSTASVFIGGFLGPLFSGVITDKFGRRPAILYGSVIT LIGVILQTAAQNIAMFVIARIIIGFGSALSGIAGGVYLSETFPSRWRAWGVGLLNDFY YVGALIAAGITLGTGEWESTWAWRAPSLFQGIFSLLCIVILPFIPESPRWLLHEEHYE DARLVVAQTNTSGDLSDPVAITIYKEILDTLEWEKKEGRTMSPKEVIKTPVARKRLLI GASAGPFSCIAGNIIASYYLGSELETAGIVDTLSQLKANVVLNVWCLACSLLGTHLAA RWGRKPTALLTQSLLVICLFIIGGLSKMYADDPEGASSSLIYGDVAVMFLFQGFYSVA WTPLLYLYPPEVMNYSIRANGVALSQLGLNAFAMVLVFVMPIGLDNIGWRMYMINGSW DIIVIVLIAFYWIETKNKSLEEIDALFEGQKHSSVPDVEKVRLGVETIDVSKVENQIQ ADIHAIKTK PFICI_15104 MSATAPRLVRIAVLESLQFPENIGRERGTFTTVFGNWLERSVTE YNTKRRVSEQVVIRATGFIVVDGKYPEHVGHDFDAIIVTGSMQSAYDKTP PFICI_15105 MSHQHVRIYGSCFGHQLVAQTLLSSHGVHAEKSPMGWEIGVQRV TLSPQFKEKFSLLKNTESMSCQFLHSDHVAGITSSAKLPPDWMTVGKSELCEIQGLFQ PGRVLTSQGHPEFDKFIIKTTISNLKENGQFDHKEACDYLNSANQEEDVILFGQILIS FMISP PFICI_15106 MATLQGKAEKSLEIVPSSGVGGIEASQDLVNASGHTQELERNFS LLSLAGVGLVVGNVWPAVGGSILVALYNGGPPGVLYEFITVSVFYWIVALCIAELASA IPSSAGVYHWASVTPGLKWGRLIGYFAGWWNYLAWVFGGASMSSIIGSTIVQMYALNH PDFVAESWHVFIVYIIVTWIACLSVCFFNRAMPHLNNIGIFFILTGFLITIVVLAVMP GRGGRASHATNSFVWTEWSADLGYPTGFVFVTGMLNGAFSVGVPDVVSHLAEEIPNPS RNVPIAIGLQMGIGFITGLAYLIAIMYAINDFDALFEVAYPIAEIYRQGTGSAAAATG LLFLVLVCICICLVGLYITAGRTLWTLARDKATPFARHLSKVHPTLHVPIHSTVLTAV LVTILGCIYVGSTTAFNAFAGSFVLMSSSSYIAAILPHLLTGRKNITYGTFHLNRGSP LLGYVLNTVACGYMIVWFVIYCFPPSLPVDAATMNYASLLWGGSTVFLTVWWLLGARK GYEGPKATGGTTQVDMSKDLAHVVPEP PFICI_15107 MTDSPSTAQSPTVLAADLAVPSHTGVDTDEGDQEGSPASTSITS NQDKLNSRAEFGSLDVCEVLDQDSRPTFVLDLDPDVHVPSPSNGLDPIFSNSALRLHE RLSDVIIGNRGQIEPNTGATYQDFRSWTIGVTKHDDSRDCFPLSFLYADMLWTGSTVR KRWRLISGNLWNPGIPIRELSLSSSRPSRESSELSASRPSVIATADSNINTAQTKNSS RQATNRNSGTNPKDIVTNSSSTGDSSQSKRLVLAAPAKACPDWTEPRPKGVITAHIAF ARSIRWESTPLGPMKKWSPELRQIVNLVMSNPFPTSLFWGADLTMLYNEAYAIEVAGH KHPNLMGTGFSGPFSEVWDSVGDLFAECARTGVSIRKEDDYIPIHRHGFLEETFYSWS WTPLYGGSKKILGFYNAPFETTQSVLSHRRMQTVNRVGINVSKAKGIKQFWKLLLEAL DDNHFDVPFALLYSVGDTEDNDQSSISSSSTMSMKSCFYEGSIGIPLGHIAAPHQLDL KRSREGFVPSFREAMRTREPTLLHTRDGSLPEELLDGIQWRGFGEPCDKAVIFPVRPT NGETVLAFLVLGVNPRRPYDDGYRAFSSMLNRQLATSLASFMLFEEEVRRNRDAAEAA ALESEHLTEQLALQTNRLRRMTELSPLGMFLFDPDGVLREANDRYHEMTGHPRETTYE MSWMEQIEEGSRKASEEGWHRMIVEHQPWTAELQLKRLLVKPVDLDGEDIEYWVMITA QPEFSADGSVRAIMGSITDISHLKWAQGLQNLRLQEAEETRRQQNEFIDITSHEMRNP LSAILQCADDIMATLNSKRATAQKPSLQDVESCLEAAHTISICVQHQKSIVDDILTVS KLDSSLLVITPVAARPTEVVKRAVQMFESELQAKDIRMVHEARSSFEDLAVEWATFDP SRVLQILINLITNAIKFTAASTTRNITVSVDVSREPPITNPIPDFQYIPPKTVNSNVI SGEEWGNDEVLYLRFKVQDTGCGLTPEEIKVLFTKFSQASPRTHAQYGGSGLGLFISR QLAELHGGRIGVSSIAGSGSSFGFFIAARRASSPANTDAGAAIAANLELEVGQESLTH QVTPQRQDLAAPGPVADLIATKAVPKFDPHDLEILVVEDNLVNQTVLVKQLRKRGIGV NTANDGLEALAFLDDTEFRKVGGKRLSVILMDLEMPNMDGLTCVREIRKMEVSGAISG HVPVIAVTANVRDEQIAIAKRSGMDDVVSKPFRILELLKKIEALLGEVPEQNSVASGA IDTKENW PFICI_15108 MFDHSELAGALSESVSGLAARGHAQQMPEAIATQDQDLRVRALG GQTSVSPSLDLSEELRPTYPEKQQARWNSALQLHRTAPISPLVQTLSASDNPTDSTFE LLSCTRQSLPGQNFHPFEDLEELAQGDHPHPATPPFLKQALLEQGFISPLDLHRPSSG PAVTMGEHHDDMQGFETPGLHAAGPNTSEQPDPQYFQSQLSVATELTTPQPSAQSQQA PHQRRGKNKKNKKTSSRARRPSKAQNSSIDETRPSQYDLSQSNFASISQYHNTSNPTA MDGQDTMGAASTLVHGYGSGPLPEPSPHELQSASSEGGQDASRLGPFQQATGIIETLY RAHPELRRSSQMNDAVHQLMSLIPADRQNPTSEVSNIPNNGQAGTGNSDMGYQSQAPI VYQPQLSPPKDSTPIQVTIGELYNGQQMSKDDARKLSSTRNEKLPPMTFDWLNLSNEM MVDEQLGIDDGGHLYLKRPAWAPKKPPRIILQGGEIFDPNHYAQTINEGVCDFLSKET MPAHLHLDADLRDLTAQQKFHRRKIQRIAWQLWCARELCCRDVSEAFAIERLQAIVLR HVQGRSLESAVVATWSESPSTPRGWAWIGKDQVLYHELKPIDLLGFFEHQLSMFNGDQ EAMLRARPQPTLPAMYPPDRLIDSMRP PFICI_15109 MHCKNSLLNLAILTTLTIAKGQTDYQPCPLLRAYYPAPNLDKSS DAVQNFAQEFTSVFDSLIESSGSDDFGAITPNTTSFSVVLFSGSDSAGDDPIIFEYHH TATRLETAEIVTAQTVFPIGTLTQLFTVYAWLVVTADGSWASPITEFLPELKQKSPST SGYDIDLVVDWESITVGSLASHMSGLVRNSDACNLVEACDKQAFVEAISVQVPYFLAD TTPIISNAAFQLLAYAIETRSGQLFSDYLAKSILEPSNMTQSGLLRADTQVFGDSLNF TATGEQAALSLYTSTSDLARAGHAMLSSLLVTPDQTRRWLQPVSDTSNLRNGVGRPWE IYHAGKYANSSILDVYTKTGTLRRYSSYFGLAPDFDAGFAILAHDIDGNPDLNVYADV VSLALLTLAELASKEAAAMFAGEYAASNGSSYAVLNVTDEGPGLVVSQFVVNGSDIRS EAAVRTGIEIENLDMRLYPSNVVSGSLRQFVAVFQDRSALVDMGTPTCITWMDVGTLQ GIPTRYIIEVDDSEVAVGLRIPDRQIRLEKS PFICI_15110 MRISYFLASVLLAASTFAASAPGNKPNIVFIFTDDQDYHHDSLE YMESLQKHLVAKGTLFTNHYATIAVCCPSRVSLMRGQAAHNTNNTQVAAPGGGYPKFV AAGEDSNYLPHWLVRAGYRAEYIGKLYNGNSLLNYSPAPKGWSHIDVLVSVVVITAHI NDELTMMELDPYINVHNSVVMSQNGETPVYYEGWQQTDVLRVKALARLEELTAKEDPF FLMIAPTAPHVENATDPPTPPSRYLDKYSNLTLRYRPNFNPPDELHQKRPSWWAGLPR LNSTQLDEVQKLHQRRQEALEGVDDIIEDTIRALEQAGKLENTYIIYSTDQGYHLGSH RDVGKCSPYIEDANIPLIVRGPGISEGQVSHLPSTVTDFAPTFLDIAGLAIGDRPPFL DGTSMLEAWEDPLNVTIGRQKEAINVEFWGRAFSEIPTWTGGDSYPGVYRNNTYKTMR IVSDSYAYVYSSWCTGDIELYDSVHDPYELSNIADSTDVNYQRVKSRLNALLMVTKSC ETDICRDPWSVIQPPNAAPKKISSLGDALDPAYDDFFSTFPRVTIAECVQYQFAPNEV PFYPPEAQSGLGLAFRNEPQYYEYPDVKPIEKVPYIAGGSWEQRHATFDMLVAAGRVL SANELQQAWNQTTCSEGQVCQEQWP PFICI_15111 MASKGLITTRDGTQISYTQMGPLEGANILFLPGWRQTAAQWGKQ VEYFHARHRVTTFDYRGHGESEKPASEYTVGLLARDLNDLINALGLIGCTAVGHSVGA SVIWAFWKTHPESRHHIHKAVIADQAPCMLIDPSWSDAQIRNYGAIFTQDVLNQLPQV FDTMIPAAIKSMFTNKVTEEDLAWFLSQNEKCPKNIALQLLRNHASQDWRKVIPQLDM PVLVISAEGGQFPLETGAWIREQTTDCKIAIIKKDEGGSHFMFWEAPEKFNSIIEEFL NDGSISKPKDAEVGTWRKLHHEPEFY PFICI_15112 MSSRVLRIGLIPGDGIGREVIPAGRRILEALPSSLGLKFEFTDL QAGFETFEKTGAALPDKTVEVLKNECDGALFGAVSSPSKAVKGYSSPIVALRKRLDLY ANVRPVKTVLSAPNPIDMVIVRENTEDLYVKEEKTYDGPDGKVAEAIKRISYKASSRI ATMAGEIALRREKIRQAGAPSIHKSPLVTITHKSNVLSQTDGLFRATSREALAAAKFA GKVEVEEQIVDSMVYKLFRQPEAYDVIVAPNLYGDILSDGAAALVGSLGLVPSANVGE GFAIGEPCHGSAPDIMGQGIANPIATLRSTALMLEFLNEEEAAAKIYAAVDANLEEGK LLSPDLGGKAKTDEVVEDILRRL PFICI_15113 MSGVKNLRAMFEQKNEPGDSPPDRGRSPGPGNSSLGSPTPPSRP LSKVRTNFVAFEKDGRVGLRREPSGDSISASSRRLSNETDNSTTAPAQDKNDPFTENM AKNVSAFKTNLATEPIPESPVADSSAKLSPKKGTETPEITHGPNPDKIVDEEETHTKL TAADPTVHETAESTPSESNGTSEPNEKVEDAAQEEVKEQIQETSPASKPPVEATSPTP ASTKTTSRLLGSPSAVRTPRSPAKGSTQETGKVPEKKPISTAPKESTSRNAALKPQPS GKKTSARDLSPAAEAVKPKVKSPTRPTKVPSSILAPTTASSSKLGSSVPPPRRSLSRE PNNTRAPAVSNRPASRASGVSPAGTAPPAKTLRRQASTINRARPSLGLPPKTQAKDHP PTRKEAPVDEGFLARMMRPTQSSASKAADKVPITPPRKQVTTSTAKKPMNKDGEANAK KAATKLADIVKKDTSVKNTTTAKSEVKKTVAKTAAKNTEPSANEVAPVAAQTESAEVA IEAAKTSTDTIVVPQIQSQVSDKAKTEAAPLSEASEPTPEPESQAEVKIEVETKEAVP ESTESKLATPAEIEVPATVPVEATNGTNGHALPPKAEDNLLNEGVEAIEQEKATPTIA ADPKKVEDIEDVVQESSA PFICI_15114 MTLQHLAHVCSHLQNASKARLGLTSAPWNTSNLHLLLSLQRTGF LSFVTRGGAVPPDPATLSTYEPEPLNSATVARQRLWVGLKYSNNEPVMKTLKIISRPT RPVTAKLEHLERLSRGWDSGPTRLIQKGLNLGECMYVSTPRGVMEIREAVERKMGGIL LCRVSP PFICI_15115 MAVRPGEENVATLFGDVHYFYGPPTEKPRHHRFDKGSYVYLFEN AQDRRARVEIANQPGTEDQDALEGFLDYTQLRYSYNQQVVVSITVDGIQGPPLDQSQW HLPTYDPRNENKYHYKLHSLDIYFWTHADALQFVNGIRRVLPPTQVEVLDEPGPPPVQ THRAHSQDMNPLVQKLERAAISESSRGTPASGHQEVPSFAPPPVAAVHGANEPPANFQ PMAYNPAAPAAPEQVRHREKTPPPMEDDGYDPLRAAVVGDHNPPYTPGYGPNGPLSPG FPGPPTGGHPGIASPGFPPAQFGHLQRSATMPAAGLASPGLVSPGLASPGLASPYGAG FPGSPGFSPPPPPPQNSQATTHAHPTPAPHAQSQPVQSPGLPPGGFSNYNYSNPLGGG IPQGSGWDIHQQAYRPTDQEHGVKYKPKPEGRSKLGENADRLERGVTGMLKKFEKKFG PFICI_15116 MHLPYRTLETARSQNSKLNLRDAQENSGNPSNAIIIVASVFGAF VVCGAIAWAISKNRRQKKRKGKKGHRYVQANNNDDGLEAPSRRNAGGTSNNSANRNEN MTSNVQRNVSIRSIMTLPAYSPGASANEQVLGREGDRDGVDIVVEHPTEEEHEALRDE EMETMYQIRESRRQRIAAQAETRQLRDEARRRGDHVALRELREISRARAASSSNASNE LREHQAQIKEQRARAVSSVSYEGLGVARHDGSRLRANSQESDRVQLLSDAASISLSTR SPSALSHRRDRSASSVLSFDSSRDLSSPGLPRSGATTPRRLSTQHNGDTAGSSPEIIT EADLGEIGLPLHDPPTYDDVSLDDTRSGATTPVHFNEPPPDYSSGPSKERDRRLSAQV ADMFDNVAPDGDLGGRSRSDRRSQDSSSGSPSRPVPFPRLPSLSFDEIPQIIVEPSSA YPRDESRERGFR PFICI_15117 MTKFALGQLGPGDWKKWRNFRNKHRSRPAYQFNDLVELEANRLG VNKSKLKSGHGFNGSSAGEADSFLLQARLYVKKGWQEMGIWGPHFESFMQDGDTEDAE HDRGPCYSSWALPLENELRMDIFNKSRPIHVFRHEVTVAEKMLLNELPVRLGTVVAKD LLTIKPIAYRLVRSQWEDRGIWIDCWGQLPGNLWAHEIATEYWYSDRPLQTMQCRIMP REKTTKNIMESYRRRMRKIRRRVHWARTTDPNNSIDQSDDMGSSSSLESEECNANLNI WTWKLHADLLQRQESMAFKSLREITKYLEIQAPDPLNKVPKAEKGVQPIWSLRSGRWQ RKLPVVPTFPCAWATIV PFICI_15118 MVTISAEVEIQASPETVRSVFMNFSHYKEWSKWTFTPQVPEVKP DDLKSGDKLQVDLSFMKFQPVVLDNSAERFQWDGKLEPIFSGKHEFTFAPSTKTPGGT TFTQTEEFRGLLSFLMRPGWSFANSTLENWQAFNKDLKEEVEKSSK PFICI_15119 MPPSKGIRSSRASGIASPTFIQVRGPGDSSWRKAVRSQAASARH SAARRQRLVDHQQHQQQQADAAQEVAADEVACILQNPESLCGIRSVDPFDSLGRSTTR TECFLMNHFLQHLATHATILIEADAETLSYTGMRLGWVQLSVTDGELLNALFLSTCGN LIELGATGDYYKRALAYRAECMHTVNEALSNETSNPKTSTILIVVALALDAMKFGDLS VAKRHCDAVNLMAQMQWDSQTFGAREASSPLGPWAQSVTKRILNWQGKRHPCSSPLLS SYLISE PFICI_15120 MARLRLILLQICIPIVVGSCLDHDERIAALNVSLNGMLRTSRPF AAPCFSSFEGKPQPVDEEACLAVRDNYTTNAFRVEQAAAFMNNQNEMCVSDPADQCIL DNTVTPAGLPPSNATCRRGNIPDYYVEVTDAQDIISVLSFSNAYGFPLSIKNSGHDYM TRSGAKAAGGLSSIELWVHNLKGLEYQEDFVPEGCNDGVGRAISVAAGESTGAAYEFA DAQNVTILGGYSPTIALSGGWVQGGGHSPLAPVYGLGVDRVVDFKLVTPDGVLRVANA CQNPDLFRALRGGGGGTFGVVLEATHRVEPELPIAVASITIPSNSTDDTVLEWIGLEA SESYAWGRQGWGGHVAGLYVKHFNPIPQYANLSDGGAAAQATMQRATDFALAHGGTSI VEVVPNWLAAWNKYVVPGALNSAGQARFLTSRLVPTEALSTDTGVQDVLALIDYSISL GAQPKSFYVPVSTPFVAQDVPAGRRSGSGGAYSTGTSVTPAWYSAIWSLSSGWSLGWN STVAQRLESFVNLTKITQRSEQLYPDSGSYHNEANPFTPDWKTAWWGDNYEFLLDIKK RYDPNNILKCWKCVGWDEEPAASVGPSYDFKCLAELQDKIDQTLSAE PFICI_15121 MSATPPPSEREARVVSGHLPSGYGATFDGSSAPTSDPGPTESPE LSSLKLPGGDIHRDLFRIQERHKQARIHQRSNTFHHPGQLRSEDDDEGLAVSNQLAPG GFRRAFIQQQHQEQQFLAARLPITRNFVEFLDLYGSFAGEDLADSDDEAIVSDEEDEE SAPEQRPLIQRRRSTRTIRVATAGTTKTFFTLLKAFIGTGIMFLPKAFSNGGLAFSSI TMVLVSAVTMISFHLLLQCKQHHSGGYGEIGEAIAGRRLRNLIMGSVTFSQLGFVCAG IVFVAENIEAFLGAVTQGTSHVSSVSIILIQLAVLIPLSWIRNISKLGPAALLADVCI MMGVTYIYYYDIGSLASHGMDKTVVMFNPDSYTMMIGSAIFTFEGIGLILPIQSSMAE PEKFEPLLGLIMVIITIVYTSVGALCYATFGNDTYIEIINNYPRDSRFVQAMQFLYSL AILVGNPVQLFPALRILEGGLFGHHSGKKSLRTKWTKNFFRAMMVLICGGVSILGAGN LDRFVALIGSFACVPLVYIYPPYLHYRGVATSKYEKIGDIVLMIVGVVCMIYTTIVTV KNSFM PFICI_15122 MSQEQPAQSKAQVIKAPSDEAVKWPKTVFLAGTTTGPPDWRTGV CDQLSDLPITIFDPLRPDWTDSWTHDVFRHQVNWELSKQEEACVVAVYFGANTAAPIS LMELGLCAKDKKAVVYVEDGYPKKGNVKVVCERYNIHVHDNFENFIQSIKTKLGV PFICI_15123 MPSGKVAAGRLPSHTQLIEFFNITIPSHPQDIPLQYHVPRWPRY DPDVVFVRDIVLSITPTLGVYDKLNHLARGRQKAVCFLHRPFQLDRRALPRGSLVLAN HKRFDELLTTGYNTALATRLTVDVGRSFCIQGYKGDPDRRIGIVGPLGAAQPLKVLAS TIGAEVGMAELYPGSDVSPVEVRAMAIMNAFGPDEVARVLAGAEEHGLTPAGDASGLL YLTGQPRELGLEAAKVANMPAICVGHRPAEEWGIRYLAHLLRESWPQLAVHVVMEEES LATGESEPEITAGATEVGIASG PFICI_15124 MAEDSNAQEVQKVDETPISSVHSPQGRKNSVSLEQHLQHRPERS ELVAKNILPDSTAAPGLQEKQKELEKHMRADTLNDKISHRPDPEQLIKEGVLKDDPRS PDEKYAEAIEEEYAKREGGA PFICI_15125 MSRLSTATWRYLGLGVAASYAGLGVFQAIQPVKAALGFYDIPKH VISPQVDARQQVGWLMTLIAARDISTAVILFTFAYKGKTREMGTVILGSLIVCAADSV TAWTRRGPATGLGLLVGAGIWGVIGYGLAF PFICI_15126 MAKSNALTDHISGQSNKPMSQPAHALTYEQVIGELRVDSDDGLT QGEAQNRLEEFGNNELDKGPGVNPAKIMMKQIANAMMLVLLLAMGVSFGIRSWIEGGV VAAIIILNIIIGFKQEYSAEKTMDSLRSLSSPTSHVIRGGQNITVATIQVVPGDVVEL KTGDTVPADIRLTEVMNFETDEALLTGESLPVQKTASETYEPDLGPGDRLNIAYSSST VTKGRAKGIVFATGMFTEIGTIAAALRSKNSRVREPKRTPEGRVRPHRQAQAWALTGK DLVGNFLGVNVGTPLQRILSRLACGLFIVAVVFAIIVLAANGFSNQQEVIIYAVATGL SMLPASLIVVLTITMAAGTKRMVERHVIVRKLNALEALGAVTDICSDKTGTLTQGKMV AKKAWIPGRGTYSVGESSEPVNPTMGALHHTTGSPGEDSSSDMDIAPDEDDRKSAEEL VENNRPLEEFLKIASLANLASVYKSHDGEGWTARGDPTEIAIQVFASRFGWNRRNIAE GEDPQWKLITEYPFSSDVKKMSVIYQAKGEEAKFVFTKGAVERVLEACTNIDMEGHGQ VTEVTEEVKEDILSNMESLTSLGLRVLALASKTYNGPAGTSDEDREQVETALTFRGLI GLFDPPRPESKGAVKACHQAGISVHMLTGDHPGTALAIAKQIGIIPDRLDQVSKQTEN AMVTTAAHFDRLTDEEIDNLPVLPLVIARCAPQTKVRMIEALHRRKAFAAMTGDGVND SPSLKHADVGIAMGQAGSDVAKDASDIVLTDDNFASILNAVEEGRRIFDNIQKFVLHL LSQNIAQACTLLIGLAFKDSNHLSVFPLSPVEIMWVIMVTSGLPDMGLGLEAAAPDIL ERPPQNLKRGIFTTELLVDMLAYGLWMAALCLSSFSLVTYHWGGGIEGTDCNSTYSAQ CDTVFRARVTCFVSMTWFSLFLAWELINLRRSFFRMQPNSSLYLTQWMHDVWHNKFLF WSVMFGFASVFVILYVPVINHDVFKHTGITWEWAIVIVEAVLFFGGIESWKWGKRVLY RRKAKKEGGGQSRRLSSVVFDEYLTKTPSDEERRAEVQKKV PFICI_15127 MPFLKRHADQVEAPQEGVKTEGEQSATTGSSVTFKAIFLGVVVS IGGFMFGYVSGQISGFFLMEDFGRRFGVWSDSEQAYEFSASRQGTITGLLPVGCLCGA LIAGNMADTLGRRLTISISALWACVGTIIEISSNDAWYQFAIGRLVTGISIGSLSVVV PMYQSESAPAIIRGILVSTYQLFITLGIWTANMVDWGCADKTNSASWRIPNGLGFLWA LILGAGVLLLPESPRYAFNKGREDEARLTIAGLAGVDPNHRIVTDQIAQIRAKMEEEN SQGKAKLTEIFTGPRMLYRTILGVILQAGQQLTGANFFFYYGTTVFAATGLSNSYVTQ IILGTVNVACTFAGLYVVQKVGRRKALIAGAAWMMMCFLIYAFVGQYKLDHNNPAATP TAGSVLIVFSCLAIAAFATTWGPIVWAAVAEMYPPRYRAPCMALATASNWLWNFMISF FTRFITDAIDYWYGLVFAGCCAALVLIVFFFMIETKDRSLEEIDTMYLLHVNPITSAS WDGSKVPDGLKGDVPSSSGNDGGVVESEEKP PFICI_15128 MVEKAGPKTPSLVKRSSSSMSASGKQQQSILGFFSKASSQTGTS AASAKSTANNSTTTKQSPTNNSSPCLKEKTNLNSLQLNKKRPSITTPVPSSDAIEPSS SQENHSDATVKVYRDSLPSPITPAELPSKQAALPKMMIGSSPSRKAKKTVSYAESSDE EDDEDTVVSRRPTRRSRAVVKDDEDDYQEDSKDAVVEEDDVDMDDFVVSDDSDAPSKK RKRSAPKAQAARKRSHASSPPTIQEEYEEDGIDELMEEIPSTSTAQQWKYDPESVNPV KMRSPSTSSKATAAKGKAHTREPEDRYPWLAKITDLNRNPPGHPDYDPSTVYVPPMAW NKFSAFEKQYWEIKHKLWDTVVFFKKGKFYELYENDATIGHQLFDLKLTDRVNMRMVG VPESSLDIWVNQFIAKGYKVARVDQMESALGKEMRERDDKAKKQDKIIRRELACILTG GTLVDGAMLQDDNATYCVAIKEATIDDHPAFGIAFVDAATGQFFISQFEDDIDLTKFE TFVAQTNPRELLLEKSRISSKALRILKNNTAPTTIWNYFKPTTEFWEADTTRRELGCN DYFKADDESEDTWPEVLAEAKSKDLLMSAMGALVQYLRVLKIERSLLSQGNFTWYSPI HKNGTLILDGQTLINLEIFTNTVNGGSEGTLFNLLNRCVTPFGKRMFRQWVCHPLCNT QKINERLDAVDLLNNNIEMREQFSANMTRMPDLERLISRVHAGACRPEDFVRVLEGFE QIEYTMSLLGAFGGGNGLVDRLIASMPDLGEPLSYWKSAFDRKIAREEKMLIPARGIE EEFDASHDTIAEIKSRLNRLLTKKKSELNVKSIKFTDVGKEVYQLEVPKSTKVPKDWR QMSATASVKRYYFKELSDLVRQLQEAEETHAQLIKEVAFSLFRRFDVDYGTWLQAIRI ISQLDCLISLAKSSAALGEPSCRPVFVDSDRTVVDFQELRHPCMLNTVDDFIPNDIVL GGEAAKISLLTGANAAGKSTVLRMSCVAVIMAQIGHYIPATSARLSPVDRIMSRLGAN DNIFAAQSTFFVELSETKKILSEATPRSLVILDELGRGTSSYDGVAVAQAVLHHVASH IGCVGFFATHYHSLATEFESHPEIQPKRMKIDVDDANRRVTFLYRLEDGVAEGSFGMH CAAMCGIKDSVIERAEEAAKEWEHTSRLKESLEKAREGCWIPLGVLSDVAAVLDPSKS EDVSSRSLDVLLKAIEAL PFICI_15129 MSKIIAVTGATGSQGGGVANVMNKTPGWKVRAITRNVESEAAKK LAAEGIEVVSASFDDESSLVAAFEGVSAVFAVTNWWEHLFSGKTQEESGLLEEEQGMK LARAAAQAETLEHYIWSSCPGTKKLLHGEISVPHMDYKAKVDERIKNELPELAKKTTY LFFGYYPQNFLSFPLVKPIEYPGTGYHVQILPTDPDAKVLLSGDMKVNPGIWVRQALL SAPKSFGRYANVALEKWTFRQMMDVWSEVTGKRGHVIQCKPEDWAKVWGPAGRELALQ FRFGEKCDPWVETDEHISPEELGIDRSEVVGFKGTIEGIKHFF PFICI_15130 MGSQAVTHEKVVIITGSTSGIGLDLAKHLHGRGYRVAVTGRRAE LGEQVAKELDASAETAIFVQCAVESYASQANLFQTVWKKWGRIDALIANAGIVDKGSV YNFGHRSAGVDDIPDEPDLSCTEIDWKGVVYGTTLAVHFMRHNEKSGGKIIITNSMMA IHPGPTFPEYCGAKAAALQWARTMAPLLKARENITINTVLPGAVDTPIKPGFNLAFLP EHLTLSSCLLSAYDLYLDDEANERTGEAIETAHDKHFFYEVPEYKGGDVSYRNTRVFE PWFSGIHGEPSGLQDALAESPRASKQNVD PFICI_15131 MSGRSAYVRKKITETKSSSDKNPGHPSTADLKHPDVSGSHSFDY GEGYVENYMSPPGTGYGANGVRFLKFSESPHPDLSHKIPISTTGTWSSGSQSGGSDGG RRDTGAFQQQQQHQQQQPVPMDSFAYARARKPIIHTEDVSGIERSGFRSAIDKRSDDV RRGISKAFGFGRRSKKQADGEPRTHSSAGMSIATEYGAHESDDMPPMPPLTPSQLASW ESHDVAHPPSSESRGQPLVPPPTPPSTIKRWIGAGRPVQNGTRLRKDPELWDPNGDVL VYMAPHGQTPQPQPLFRLSSHIIEATDSRFLIQLMRQGATHGHVPIPPSPDTPSGSAP QNFGSHSESWHMGRGHHGDGMDDAEGNDQIAYEMYFPAPSELSKSEAHRHNITTRNVL ALLYHASLVGVTLHQALSDLYSRLETYMPPDVDNIGIILNYLSARAIDDCRNDPETAI SLLAWSESQTIRWEEGWREAFLHSVGMYPRLHDCADYRLVTPISRALLERASLETQLR VQAAEERLADFSYGDIWPQGGLIANSHAKAASDRLRKFFISHYSSYYGEWPPPPSATD DHSGDGEDIWLTRNVAQKLQKDFGALYDYLVNRDITWDESETRSSRKWEMVSESGNKA FDADTVDLPMTDLLIEWDNRSKFPHIPHPYPLVPDSFPPTNAPVGLRDRAKRDKHKGT EDRAVERRIHLAYTEATNIYILGSDFQQSGLIDSFVKFEKSDHIGMLDPMVGRRGRWV LIYGILQTLATISVDAPYVRYKKDVKYHLCPRLKGVRLPPWRGVANISTEPAHELSHC WTTAQTWNDSSDQEAADELSPITMMMSAQGHQFPNPPPSVARSSTSGWQASMPPSGRS SALGTSSAVSVMSDDARSFRTVATSIYRAPSHDRTRNSKSAATPASPTSAEGEAVRPR AWSHRSRSISAARSAAEVAAMSVKPLPPLADHVTANAPSGGGFLDLEESPPPVSRKKT NSRSVTPRERRVDAAGTPPVIRDFDELHVDDNEIP PFICI_15132 MLGNRRLTALLAGCLPLLPTSANPISSQEPKLGAVASENAVCSQ IGIQLLKDGGNAADALVGTVLCVGVIGMQHSGIGGGGFMLVRSSNGSYEFIDFREVAP AAAFQDMYVNNTDASLYGGLASGVPGELRGLEHLHKKYGKLPWEYVVKPAIKVARYGF RVNEDQVRYMNSVSGSFFTDDPSWAIDFAPHGRRVQLNDTMTRKRYADTLEVISKEGA DAFYTGAIANATISALRNSNGTMTLEDLANYTVALREPSAIDYRGYKLTSCGAPAGGS VALSALNIVSGYSGFGDPAQINLTTHRIDEAMRFAYGQRTLLGDPSFVNGTLEYQKSM LSEATGAEVRSKILDYTTQNVSAYDPSGYESLETPGTSYVATADASGLSISLTTTINT LYGSQVMVPETGVIMNNEMNDFSIPGSSNAFGYIPSEANYIVPGKRPLSSISPTIVET PEGRLYLIVGSAGGSRIITATIQNIHHVLDQNMTAPEALAQPRLHDQLVPNLVSFEYA YDNSTVAYMKSLGHNVTWVAPGSSTAQAVRLLANGTFEAAGEPRQVNSGGFAI PFICI_15133 MSTAPCVLPPDEAAGYIKAYFECVHPLYPFLDRREFERKAALPN LIEVLAEQPAFSALYHAVLAIGCQNIADVSFDPGVGRSWQIFRVSLAILPRILTHPDS EPDLQAVTAMAIFGMNIPGMQVDELFIEAVRKAARIGCHRVIKRRGLDPVSCYKTFWV IYILERMLCFVFGLSPLLSDYDIGCPLPETPESNVDGVNFFLIAVRCGRIMSKAYQTL FSVSATMKTTEQYFAAIDAIKSDLSRWNYSIPAKFRPGMPFHSGNGWETLSFLRIHYM YHALNISLCRLELHVGVDQSTQRMNDTRKQLMNTARTVLDLTTFIELKPSTPMWIMCA IPASAMLILFDFVIHNPTHIETDTNLKLLDTAAGFFGRLQYATGGSFPSMIMSGFAHI ASDYVRRSRAANGSSVAASATARSSGTPGNQPIAPQLTASMPPSIPNFAASTGNVNLN GLPPKESAPSLDVYSSSGPLFYPIEEGDYTMNDDLFAGFNFANFFEPVIPEF PFICI_15134 MLVSSLLICLSSLAAAQSLIAERAISGCYPLASNSTGDSSSFIK TSKSPQGFVSAARGWNSWGIQANPRTIPGYSSTIAPYVNQSFIIEQCTVLSGSAFVAA GYDLCSIDDYWDSTVSDEYGRITYNATLFDMPTLATKLHDMGLKLGLYARPSAPCDAA NKTISNTDILVGSLFISGLEDARGNCYLNYSNPDTQLWHNALIDLWASWGVDMIKLDF LTPGSIIGSLPIPDNTSAAAIAYHDAITNNGRQIRLDLSSNVCRNEPYLGIWKSSADS FRIAVDINSSGAAKFLGSMWKVQGTIEQYRQYINLLVAAEETMSLHPDFDNMFVGNPE SVSGVTDAQRITIASHWIGASANLILGSDMTNIDDLGLKLATSQESIEAAKFCGRYPM QPRNPGTGSNQPMQLQAWIAGPDDDGQAYVLLTNLGANLGRGGYVDLGSGLQNVSITL GDLGLTGSGYVATDVWFGNVTTVEAGGGLSALLDDGNSQFLRLTLATQGST PFICI_15135 MTSSIELASMPVITLEQVRPLGFLFVTLLLCCYLLYQWLLPKPI PGIPYNVESSRKIMGDIPALLREINGTDKTFMDYIIKQVKSHDSPVIQLFLSPFRKPM VIINDFQESQDLLMRRKEFDRSEFLTDLFGGVSPEHHIMKPTNSSWKAHRRLLQDLMS PPFLHQVAGPNLYSNVNNLISLWNFKADVAQERPFSATDDIYGAALDAIFAFTFGKSF KSNATAPNMHLLQGLSAQDISKLRGDGKDHDKPLEFPQAPRDKAVTATFDVAHAIEQV NGKPFAKLIWKYVVARKDRFSTALRLKNEYINDELKAAVARIQNADSDVRSAVDLMVQ RETKIAAKDGRAPDYFSQVMMDETFGFVVAGHETTSTTILWGMKILAANPRSQTKLRE TLRASYSEAFKTKRSPTIDEITGTDIPYLDAVMEEILRCGGTVPGVDRQATCDTEILG YPIKKGTVVLCSGRGASMLEPGFDIDDSKRSETSRLAKTENRIRAWGNDDIGAFKPER WLVAAPSSEDSTETAYHFDSTAGPQLAFGLGLRSCFGRRLAYLELRILLTMIVWNFEL LPCPEDLAGFSAIAGITYKPRQCYVRLRKAI PFICI_15136 MWSLQSILPAIAGLSATASAAAVRDPSFYRNAARQTGALENYLF AYFTNDTIAGEKIYFAASQGNNALDWTELNNGQPVLTSTEGTGGVRDPFIIRSFDGST FYLIATDLSIGSGTSWDSAVRQGSRYIEIWESNDLETWSEQRHVLVSPETAGNTWAPE AYYDSDLGAYIVFWASELFDESDTEHTGSSYHRVLYATTTDFVTFGDPVIWEDTGVSR IDSTVIDVDGVYYRFTKDEGGSATGCVDIIQESSTDLLATNWTTVTSCIGANAGTAAV EGPTIFKSNPDDVNGEKYYLFVDEYSGRHYLPLETDDIANPDWQLSSSYSLPTSPRHG TVLPITAAEWTTVTAGTALKSRSTLVKRDSPVLPGYNADPNIAVYGSTYYIYPTTDGS ADWGAHDFYVWSSPDLVSWTRSDSPILTLNGDAGNVPWATGNAWAPTIAEKDGKYYFY FCGHNPTYDAQNIGVAVADSPTGPFTAQSGPLITNTESITSSQAIDPDAFLDPVSGKY YLFWGNGKCLYAELSDDMLSIKEDTIGEITGLTNYMEGTFVNYRDGLYHLTYSINDTR SPDYKVGYASATSVTGPYTYQGVVLQKDESQGILGTGHNSIISVPGTDDWYMAYHRFA IPNGNGTEREVTIDRVTFNADTGLMNAVVPTLTSVDAETVS PFICI_15137 MQNSSCYPNPGLNQYEYFIDFTNPPADLNEDWSTSNYATITYNT KAKNGAEFTFAKRYDAPQLFTNFYIFFGRVDVVMQVSPGVAMISSAVLMSDDFDEIDW EMSGNDFNLAKQYPNGVVQNNYFSKGITGNYDRGQWQVCTRPQTQFHTYSFDWTPERL QWLIDGQVIRTFLAANADNKDHQYPQTPSKFQMGIWSGGDPDQNDGTRNWAGGYTDLK AVPYTMFVKSVRIKNYNPAKHYKWTDQSGSWKSIKLINDTVSSSSSTSPRSSTMSTSS VSSLASPATTAAKSTSTTSSATQVTSLVTSKASTMSSASGSRTTTSPASTSTMKLSVA SMTGSSSTSTLSMSTRLPTSTTSSISTSSRMTIFDATTLSTSTRISSAQTTTIAPSIL LNPAIPVTQSLPSSTVDTTSISSTGQTFPSPTKDEESNPFAVYIPPSMSISSTGSNPT LLPSRLMTSAATPSRTMTTGALWPFKPSRNGLCAYRAFKVCKDSGFGDCCSRWGYCGS TEAYCADNCLRHWGSCWKPVDEKKTNKRSDYHLDRRDRAYPPGKRPNATDIV PFICI_15138 MLATNRRQRDHSNEYDLSELSPRPSSPLLSPDHVRDFGFGDPYA YNEHESVATSSKGKGKAKAVAYADQGEQFPPVSVVDRMRMYRESQTVARAHERQTLDE ARRTGKGPVWQPHRPATYRDDDKTMPRSQQASPLSDRDRPKNLGASFMGFLNPSPATS DVGSAGDMDITFRTIRRREKQIQKELQRLLDAQAEALDQGDAATGPGSEGEDRANDPH GTPRALSSPYRSRSVSSYEGSPAPAVVPVRQPKPKPLTIRQVRASIARSMAMLSDLKE EEDAYIASAISSRKVALARANKLSNQHKAIAAELQALESDDPLRKELDGMNQEYGQIC GNIDELEGQLRAMKHRKRVLEARMEEVRSERESGLSGYRGALREAERNIGQMMRMPGV KVLSLEDTAEVTEPGSPNSTIKEGDLERALNGHEFLRMRPERRTIAMAKEWWEGEITL LDHRKLAVDKERDALSEGSEVWAEIMQLILDYERRLRAALSESIQVRPGRGKELEGDL FRGQYEDLRRTTKELERNLQFVEQKGYNLLVAAIGAELEAFAEGENILAGLMRAKGYE LPRESDLVNVDKNIEHDRHESRDINDNSAKNTGFQLQEDQDLSGSVVRRWGGQSETTH AEEETVDGRQNENPRDMAGESHDGRDESDNEVPADLLVSTAHADESEDEHLNEVPNEF LSVHSSSLEAKAQHFEEDEESHENEVPPELLNEVTNSHKEDGVD PFICI_15139 MSVVVTAADHLANDGRIEWLASLDTAYTPERNLRRTSIICTIGP KTNSVEAINKLRIAGLNVVRMNFSHGSYEYHQSVIDNAREAERVQPGRQVAIALDTKG PEIRTGNTQDDADLPIQAGTIMNITTDEQYATACDTKNMYVDYKNITKVIEKGRIIYV DDGILAFQVEEIVDDKTLKVKALNSGMISSRKGVNLPNTDVDLPALSEKDKQDLKFGV KNNVDMVFASFIRSGKDIRDIREVLGEQGKHIQIIAKIENRQGLNNFREILRETDGVM VARGDLGIEIPAAEVFAAQKKMIAMCNLAGKPVICATQMLESMIKNPRPTRAEISDVG NAVTDGADCVMLSGETAKGLYPENAVQEMHKTCLMAENTIPYVAHYYELTKNIKPPVS VVEACAMDAVNSSLKLNAGGIIVLSTSGESARLLSKYRPVCPIFMVTRNESASRYAHL YRGVYPFFFPEQKPDFSKVNWQEDVDRRIKWAIENALQLNVLSRGDTVVVVQGWKGGM GNTNTLRIVKAEPENLGIGQLE PFICI_15140 MAANGNVKGAEPLDFTKFQNVINGKLVDTKETRRTVNPSTFEEL YPVPVSSQTDIDEAIEAAKKAQESWANVPWAERAQAVKDYADALEALSEDFVKQLVTE QGKPKAFAQGELADAVKWLRQFAEFPEPEDVLQDDDKKKVITRYTPLGVAVGIVPWNF PIQLSAGKIGAALITGNSFILKPSPFTPYGGLKLAELGQRFFPPGVFQALSGDDNLGP WLTAHPGVDKVSFTGSTATGKKVMESCAKTLKRVTLELGGNDPAIICADVNPAEVAPG VAFMALANSGQICIAIKRIFIHESVYDDVLKAMVAFVKSLKVGDGHEEGTFLGPINNQ QQFERVKNLLEDIEKTQLNIATGTTKNVGEKGYFIQPTIIDNPPEDSRIVVEEPFGPV FPVLKWSDEEDVIKRANNTDMGLGASVWTRDPQQAERIGRRLKAGNVWINCHGEVQPN APFGGHKQSGIGYEWGVGGLKAYCNAQSIYLKRSA PFICI_15141 MKKKENEEEMSSTALEGAALVALPVDMIYQITDHLSIGSMAKLA STCKGLHNVIEPEIFRRDRNRGRYRTLEWAATSRKKHAIPVLQRALTLWPNGIKDLEI HFLQCRPSKHHGRTLRHKTPLLAAVCTGNLEVVAFLLGKGIDVHQTEAGNCDSLWSPI HWATMMDYPPKDNQQLEPPRTKLAIIELLLLHGANPDQLSAPNPRRQPPPPESIVSPM HLAIKNDANHHVVELLIKYGGAATQEPYRHVANQHYASISPISHLIDKYPNPTEDHCS SLRALATNGGGSGYEARKYTVTGQPLLLQFLSYPKESKYAPRFVRIMLKHTQARVEDT AANGDTAMVHFLRSHVHWRPAMAYDRRRVTSHEVEHSMALVASVACRTIDELVDHGAG IDTYGAGRMTPLHIACGLHCHLSSVFDHLIGRGANVKARTSRGHTLLHSLVMGDAEAD FTLMTPLLKQYKIKRYARDNEGNTFLHLLVTQRLVLFGKWMSEAARHYKRSDFEDPKL RNHAGRTPLEEASFGSDANGEVTRFWIQHAFDERDEHFASKHKSRDAKRKAQRADKLA ETSLT PFICI_15142 MTVDLKSTLNSELFEFMRDKWIPFSRTQSLDFDKVIPTILSYKK LDLEQVQHKALPALKGLSLFGLNHLPDMLEFLPDPDNADFPSEALGLQLLLDQAPRAL LKGIDSRWSHAYFDEISLQYAQRLQTLPAHQKPSSWGRWQGKVSLDYFVLVRIWFGAP FVHHEKASAQAVAFTEQTRRLIEDTFKIQDPCRTQPEKRWDLYGFPRLWKQGGPESPC GVAAGTFWLTHLMDVHKPILDLYGRYPYQNSLLGRLDTAEEEAWLQKAACFEVDTDAR ERIRRDVDTGQWTPLKGIM PFICI_15143 MAGRLDDETRPLLTGSSADNSSSRATSRTSRTSKTSNSCSDDTA AEGQPDRLRLEDDVLTEDSVLGRNIGWTSAYILAISRVIGGGIFAVPGVVLKSVGSVG MALSLWVLGALVTACALAVSLEYGCMLPRSGGDKVYLEFTYSRPRFLASTIVATQAIL LSYSASNCIIFSQYVHWALDLEPRDLSRKLLAAALVTVVAIVHGCFYKIGIRIQNLLG WVKVGLVTFMVFAALYVVLFKRDPNQAGEAVDATGPWNDLWDDTDWSLGTIATSLFKI FNSYTGLDNLNYVLNEVRDPVRTLKSVSITALLSACLLYLLTNLAYVAVVPVQQIKES GQLVAALFFEQTFGKNVGKRFLPLVVALSAAGNVMVVTFALARLNQEVARQGFLPFAR LLSSSKPFGAPLGGLIIHYIPTVLVIVLPPTGDVYSFILEVEGYPRQFFALLTSFGIL WLRYKRPDLKRPFRAWIAAVVFRMLLGMMLLAAPFFPPKERQEGGIFYATYAIVGTSI IGCGVIYWLIWTVILPRIGNYHLEEKEDTLDDGTKITFLDRVPNQVD PFICI_15144 MQAAAGVIAGKGKLEVMSMRDDKACVRTSRGTNSREARLRSVIY RKCKADTNSSTVSKGAWIHPPVLYAIGD PFICI_15145 MATLVAAAQNASTRSLLLPCLAAVLLALYLYAFRSWYRLRHVPG PFLASISGIWMVKKALSGRFHEHLKEVSEKYGSLVRIGPNELLSTDPEVLRMMSAVRS PYTKGLFYETGRIIPGEETVVSLRDEHEHKLLRAKMGTAFGGKENEGFGFGAGIDRQI LSFMDLIDRKYISTPTEYRPVQFFRKTSFFALDVIGDISFGDAFGFLNQDQDLYRYNE IHDESLPVMNIISTMPWLATILYRWPFNLLLPKEGDQVGFGRLMGFAKSLIDKRLRPG SRPQKDMMQAFINNGMSRSELIQQVFVQIIAGSVSSATAMCMTLLCLITTPTSYTTLQ KEIDSAIRNGKISFPIAESEAKKLPYLQAVIREGLRMYPPVTGLGSKQVPKGGDLING HFVPEGTQVGTNYFGLMRCKDVWGNDADVFRPERWLEADDERLRIMNGVVDLTFGYGK YSCLGKPIAMMELNKIFVELLRRYDFTIVNPQSPIKAWSAVFWVANDFWLRITKRE PFICI_15146 MSLPCVLKAAAILDPDPLLAMADPASPSPSSVVNLTAHCRTNHQ HHHQSDLPVVKAVSFAAAAAAAAAAAIATTTTTNPKVPVPTTTIPVSAPTRAPATTAT AIATAIATTPAFHSAPVPVPGTIGTLATPRFTQAPATTPEFERKRSRSPEADAPLPVA STTDEYRSDAIEPASPGSYYDDDEFVPSDYDEESIADSTSVGSSVYNHSYHNGRRYHK ACFPPPNLLSDVVPQRPRMNWTVVPDMRKSFYCSTSNSSSHQFRHGRYPIPNDEAEQN REDMLHAMMLEVTDGKLFYAPIGDYPQKILDLGTGTGIWAIEAGDKFPSAEVTGIDLS PIQPLWVPPNVKFLVDDVEDTWLNGDNIDFVHLRNMIPILKSPAKLLQDIYENLKPGG WVEMQDVDGAVHCDDGTLPADWPVLVFCNLMVEAFSKLGTTSHAAMFGGKYLEEAGFV NIRHHTAKLPYGTWPKDRTLRLVGNYYRIAAEEFFPAMGAIQMPLLGWSQPEMEVFFM QCRNAMRDDSVHAYGLMHFWSGQKPENAF PFICI_15147 MQTYAKLLSFTSLLALVSGHAQILSAVGESGTAVGFDVDTSLAR NCTTINPCQLDATIIRDAEINANIVGVCGRTEQNANIDVAQREEDAISANAVTQIQAG TKVAVTLHQVNADGAGPFACDLVSADNGVIASNLTVENNVPGANGFSQAKAVDFNMTV IMPDTITGCTDSTQGNVCAVRCRNNAQAGPFGGCFAVQNTDAAATASSGSNSKSSKSA SAKSSKNSNNNKKRSMRFFS PFICI_15148 MSFRNCLLLMGYLLPRIQAAPSSRHMLASDVLAPLARESTENLG ISYCAKPEEAPIHTTGDPEVGPGFWITNNDGIKGDYFIYENSRDEHPWKYITIDAGVR SFVQVCSTFQGHIVRGAASINLDNSAHNLGTWAVANIDTTGAAWGAISFLQGSDGGGS VASTDGTRQTRECTVDVLDGAPDAALVAKGSGVKVLNKVVGDGASVEAMHWMLAKCRV DQIYIEEPGPNPVIKSGNGRLEFVFFKGRF PFICI_15149 MDNLLRPLRPVEGANIGGTTQQVQPSRLGASAAAIISPASALHS PATTTAATTTTTITSSGNTPDSSKKLTCLQCRVRKVKCDGRQDVCRNCERLEFECSFQ QQSRGQPTAQYAAKLPERRRRMQACLSCRSKKIRCLGELPECSNCSKKGLSCNYPEPR KKLPAIPPGHGSDNYNISNSNTSSVDHDGQSDLDITGSTGEAALDQDTLSELVEDYFR HLYPLPSYAFLHKPTVVQRCQEGSMDTPLKLAICAITSLLLRRTSLCHDIWIQQAEQS VLRQLAQPSIFRLQALLLIVRYRIESGDFPTAFMLAALAARSAVGLRLNFERAELPPL AQEARRRLFWSLFLLDDFFCVGLREFELCPKETIHLQLPCDEELFEAGQHCRTGTLQQ DLLEVPATIGLRGIFLRLVSTRREIMRFIRQVSLREIQPASIATSLQRFEQELECLRA GLSQSEQYSVFNLANSKTQAEFIMLHLSWNQCFCDLYRQFLSGYSEAAPIPVIAGIPL ARRQALQQRCEEHAESNIQMVTDFWNNCSRNSILERDTAVCAAEGARIILFLASISSS RPTMEAAIRKAGVCLGFITHFFSHSEATKALRHKLETVIGGYSDRLAVQHKKAIQEPD PPEIRPAARVSQYANSRQRLSVQSLLLQSDFVDDSDQIAVHVSGGGATSRTVRAAAQD GEGLAADASTDATNLDDRMSVDGGQEYNAIASIGEHEGLEATGVQLNPWMGFPGQDDV YGIPGLSGDLDAEY PFICI_15150 MLSPRLLTAWALATSVGHALASTQQHGSMDKRYIEVQDDITYNV FEHAATGTKMSFVNNSGICETTPGVNQYSGYLTVGENMNMFFWFFEARNNPTTAPLAT WFNGGPGCSSMIGLFQENGPCHFVDNETEPTLNPYSFNEYANMLYVDQPIGVGFSYGN DTVTSTVTAAPFVWNLIQAFFAQFPEYETRDFGIFTESYGGHYGPEFSYYIEQQNTGI DNGTVEGDKINLIALGINNGWYNLTIQEKAAIDFAANNSYRALIDEDTAEKLYNAYDT VCLPAIQKCTATGTNAACIAADSACEGRIDNVIYNSADFDPYDIRSGSNDPNPPETYT TYLARADVRKAIGAASTYKECANKPGLLFSLTGDDARSFLETLSEVVKSGIKVLTWAG DADYICNWVGTFGVANALDYSGHDEFAAKDLAPYTVNGTELGQFKTVDNLSFLRVYEA GHEVPYYQPELALQVFTQIMQGKAISST PFICI_15151 MTQLLVPALRSNPAAAAAAATTTTSNPFFKPSSPTKHRPARNTT QEPLSRALRNAGNLLHYLKDGLSPEDRHNARLLEERKQILSARLQHAQTWQQWDRAAH ELDELEGNEDWKRDNSTGDYDPDLIQERLEALDQARTNCDLRAMLHLVRTALTRDLGG MGNIDLYRHTYSGTKRLIEQYVDSALQTITAIVEQSSHPLPEGLSSKDLLDATLYARQ SFGRSALLLSGGGTFGMTHIGVLKALFENNLLPRIISGASAGSIVCSVVCTRTDDEIP QLLEEFPYGDLAVFEEEGNEDGIMDHLRRLFTEGNWSDIKHLTRVMRDLLGDMTFQEA YNRTRRICNICVSSASIHELPRLLNYVTSPNVMIWSAVAASCSVPLVFSAAPLLVKNP ITGQHSPWNPTPQMWIDGSVDNDLPMTRLAEMFNVNHFIVSQVNPHVVPFLAKEDTPY TAFGGSGEPAGGQSAWVSTIAKLARSEALHRMQIMAELGIFPNLVTKLRSILSQKYSG DINIVPAMGVLDLPKILTNPTTEFMLRSCLSGERATWPKISRVRDRCAIELALDRAVH ALKARVVFSKSQADLRRMMTGHIAHPRGGSHEPLNTMVSPIESLMTTKEKLRRRHRRS SVSNLQPTLAHRKHIHEADEVTDDDTDQEERLEMTFKLLRAKPKMRMKRLPNDHTQNG PRTAPHLHTSNEPNAFDFATSPSSNRGTSHSNLCPSTPTIAITNEDNDDAKNEEEEED DDDDSRPPLSCSVEETGSTPLESQTSQTDEPPIENSHASDADIDSYSDRSLDNTRVNI SKQPTVSAQSRQAKSLRPGQ PFICI_15152 MLPKPSLCFTIPSIHDHIKLDCRVFHPAGLTKTSAAATPWRRHV AIVAHPYAPLGGSYDDPIVDLVGGTLLGLGFMVATFNFRGASSSSSGARTSWTSKPEQ ADYSSVVAFMTYYAHYLDSSDGINAEHAPMPPVILQAGYSYGALVTTKVPPLADILAP FDSPPVGSDAADIRLRAQHLAEHHNRIATGPASPRRTMGMRVGGDEDVSRKSQDVSRL NPQDLEDRIRRGVKDLLARTKRVHKTHRRKTDGQAEEILHQVECMAKVEDLQAFRSAY LVVSPPIGYVTNLATMSIPNPFSGWWRRTGGRHGHANTTHDAAGGTESDASEMKLVHN PTLAIYGDHDSFVMLRKMREWTNRLMTETTTLFRAVEVSGAGHFWMEEGTIYKLRDAV GAFGTELLNAQALPHGTGT PFICI_15153 MAATLAEKSPRTWSSRLSFLHTKRALAALSLLVTVAIILIALAA THTIGSSSSSTSKQAESNNSTNSSSSTDSGQANSTTSQTSGEYAGSIADGTPLRVMCL GASIVKGETSPGTVGFRKVLRDDLVGFGVPVNMVGSVRLGDMIDNDLEAYGGNLITQI HDHATHIVPEALPNVFVINVGTNNILQNIDIDKTGEQMEDFIDYLLETSPRSFVIMST LLTNNVSGGALEPNVLDINGQYRSLMTRFENSGKPVVLAEMHPSEGGGDEVPQLADIG PDGSHPLVSGYEKMGHIFSQAVKTADSKGMIQIATDNGYPDDGEAERSSTKRLRHR PFICI_15154 MSEHTSRDGANSKASEPSTATPTQHEGSNQAQEPVRGHGRDEDG GDDAASQSKETDTSARKPVQLKSHFRGMPKDWPINRSKYLPTGSLQLESH PFICI_15155 MAENNNEPSRSLPSKDHEELAAHASHVNIAKTVPGSSHDVDVAM TLFDHPDQIHEPRDPTEERRLVRKIDFMILPYLAVCYAFFYIDKTTLSYAAIFGIRQD LDLQGPKYNWLSSMFYFGFLAWAFPTNFMMQKFPIGKYLGINIFLWGFFLMLQAAVHN FAGLMVLRFLAGAAEACSDPSFMLITSMWYTRRQQPVRIGIWYTANGLGISLGGLLGY GIGSIKGSLPSWKYEFLIIGALCCVWGIVMFVFLPDSPVTAKGLTRAEKRMAVQRLRE NQTGVENKHLKWHQVREAFLDVKLYLFFLLGLVCNVPNGGISNFGTLIIQGFGFSTLV TTLMQVPYGIIIAISILICVYLNNRFVNKRCLFILLFLLPNIAGAFGLRFVPANRQIA RLMCYYLTGPYNAAFVLVLSLQTANTAGHTKKVVTNAVLFLGYCAGNISGPFFYLTNQ APYYELGIWSMIVSHLLEVCIITTFWILMSRENRRRDKIQSEMEGGLHGRDLDSTAFA DMTDRENLNFRYIY PFICI_15156 MKFLAGLSALATLATAATMKRDSPLDVKLESIGNTGLQATVTNT GNDALKIFKTGSLFDSAPVEKVQVFKGDSQVDFAGIRLRITTAGLDEEAFLTIPAGES IKTLIDVGELHDLSEGGEYNFVANGVLSYADANTTAIAGVVPFSSNSISATVDGAQAA EVQRRFVEKRTVVQSDCTGAKKTAQTTALSNCASLAKLSASAAQSDSAKVVEYFKTAS AASTLVTVFNRVASECGSTTSGVSKQYCTDVYSSCSSGVLAYTLPSGSFMVSCPLYFN YLPALTKSCHAQDQATTTLHEVTHLSQIKGTQDLGYGYSAAIRLSATQALNNADSYAL FANAVYAGC PFICI_15157 MSSGALSHLTVSVRKSASNDLAFSVTNNHDEPITLLRWESPLDP LALKLGKVKLFAPADSEEALDIPIIMVRRKMPPGQESLVTIEPGATAESSIELKEPLV PIDKLKGDVKVSYQGRWVSAWQKRADKISPKELEDLNAGEEALSGDYSMEPVTINF PFICI_15158 MIFLLVLLLCLVAAAVVADTTVDCTGLNAISPRCISTEAAYRRD SFYVGGNYVPYNGTYQSLTIGQIYVEKLTPLSGVNQSNPLVFISAGIPPGSAGYQVYI LDVIGNGRSTQNDLADYTIKLGSTDNITQNGFTAPELADYYPQAEGHDKWPGTGVRGD PVFDAFEATMIPLNSNSTSGELAMRAAGCRLLELVGESFTFCHSASCQYTVLMSDECP DLVKATINLEPTVIPFQSLVGGANDPSGRSRSRAYGLTNTPITYNPPIQSASELNPVE VGTDTPALRSCFLQASNSTIHTLPEIAKVPFIMYTGSASPHITYDHCVALYLDQTQVA YEWIKLEDIGILGNGHFMYLETNNIEIADVVYAKLQELAD PFICI_15159 MSSFKSSIALAGAFASSALAHGTVSGFVTDGTWNQGFLLDYYYE KINSGSFPDIAAWYAEDTDNGFVSPSSYGTADINCHINAEPGALTKSVSAGGTVDFQW TTWPHGIGPVLTYVAACNGDCSAVDKTALEWVKIDESGYDTSTETWAAQALIDNNSTW TTTVPSTLAAGNYVFRHEIIALHGAGSEDGAQNYPQCFNIAITGSGTDSPSGTLGTAL YNEDDPGILFNPYTTITSYTIPGPALYTGGSGSGSGSAATTTAAAATSSTAAAAATSS VAATSSTAAAVTEAATVSSSSAAAPATTSAAADEGDDEGDDDDSCDADDDETTSAVAT SAAPTTLVTRTSSAAAATSTAASSSGGSVALYGQCGGLTYTGSTTCASGTCT PFICI_15160 MDIDSGFREVELKPVPTVRADLTNRVLPQMKLGDGIPGSLVSPP SPLPRSASPDERAALRFRLVGGAAIVTGGAGDLGFAASRALLEHGLKGLMIFDTNPKE AAAKVKTLEDEFPGTTIRFIKVDVADAEAVAAAVSETARLLGSVDILLNFAGMVMCQH AIDMAPNEWNRVMNVNTSGGFLCAQAVARQMRKQGTGGSMIFVASISAHRVNYPQPQV SYNVSKTAAMAMVKSLAAEWAYMGIRVNSISPGYMDTILNEGIGLDNARNIWLSRNPM RRMGQPDELTGAIVLLASRAGSYINGADIVIDGGQTLF PFICI_15161 MTDSVSPSLLLLPPPPHPANRAALSAAYRPSLTSVIARLKQLDH SHGAVLIVAVAAPVLEGPFRRSKSLHWSDAQSLLAGIYSILSIVCAELQVNAVVNAGR GSVDARVVLVDHRPTQSSGLDDFRPAIETNNTVVVDLATFASAYHPWSHIFSVDTEAG HQLLTKFLVLLEKIQVLKQDQIITVQGGLTLNIASSAASGPTTPLYDVVCLGGTFDHL HPGHKLLLTAAALLLNVPPDAPSKPCRFVIGITGDELLKNKKYAEFVQSWDDRANYVI EFLSSILALRLQGWKHGQTLKLERKDGGISALFRDDTISIDCVVIQDAYGPTVTVEAM DALVVSGETRSGGAAVNDKRRSLGWHAMEVFEVDVLNTEDITDETAQKSDFATKISST AIRRQKAESRM PFICI_15162 MNQPPQYGGPRRPSTYGSSHDELHMSPTSSSQQQQAHMSPRDYT IPAPQIKLDQSASQPVTPGSQYPSAGSSSSAVPNVLQPAGGLGAPRPHVMSANTAPAL PTVAGGGQQQHHHSQPQQQPSPGDYSSPSKPPTLSISSSSHGYSRASPSAPFESSSGY TPYTPTTPGGSIAASSQLMSSPNPKLGPPGSQRNVSNTPLGLADIRPRADSSLSDGAP GTLGYEFANAQPSPSNYLAPWALYAFDWCKWAPSGNGAGKVAIGSYLEDGHNYIQILD TQVVNGPPDDYGLGASKKCLEFTKVAEATHSYPVTRLLWEPPSSNKQTTDLLATSGDH LRLWSLPSEPQNSYENNMIGRQQRPATKLTPLALLSNSKTPDHTAPLTSLDWNTVSPS LIITSSIDTTCTIWDIPSLTAKTQLIAHDKEVYDVRFCANSVDVFVSCGQDGSVRMFD LRSLEHSTIIYEPTAKDDRDANGGRISPTLAQQTMSNAPPLMRIATSPHDTHLLATFA LDSNVIRILDVRQPGQALLELRGHGGSVNCMEWSPLRRGVLASGGDDCQVLLWDLMNT APSNTGTADKHLTPTSAWQCDYEVGNLGWTPQNSEGEWLGVSGGRGVWGLKLNL PFICI_15163 MKAVVINKFVQNYAEVHVSEIPVPSPNNDEILIHVKAAGVNFVD TLYSRGLHQNNRRHVTPPFTLGLEFAGEVISAPASCSFPPGCRVFGACLGAYAEYLAL PASNATALHHMPPAWSYRQAASLGATLPVSYGALKLRGALKSGETVLVHSAAGGLGLA AVQLARALGCRVIGTAGSTLKCAIAERFGAEKCINYTANTKWWEEVNRLTGQKGVDIV FDSVGLVSDSLRCLVHRGRLLVMGFAGREGNLEAVKMNRVLLSQAIIIGYVSIQLPLL SPQKLRFGESERRDPAESVQIWTELLPLIEAGQIKPAVYAGKYQGLESVPTALEDIAA RRVWGKAVIQISTADDQSERAQL PFICI_15164 MSDPRDHDPVDESEDEDDFNPAPEVGSDAEDNNGGEDESPRRAT KRPSPAQSAGDDDDAENDEDDEGEDLNGGNDDDEDDEDEEDDEDDEIQGHRRKRRKNK TNAFLDIYAEVDDEDEGEDEDEDAEDQEGFIEREEPGEAEIVGRDDDTRHRELDRRRD LEASFDAEQQAAIYQKRYGNRKPARGIGESGVVPQRLLLPSVDDPSIWGVRCKEGKER EVVFSIMKRVEERMGTKDELGITAAFERGGPNSVMKGLIYVEAPRQNDILQALDGMLN VYPRTKLLLVDIKEMPDLLRVQKTPSLEPGSWVRLRRPPKHAGDLAQVLDITQNGLEA RVRFIPRLDDAIRDDPLAAATADGKRKRPAFGVGPRPPQKLFSEIEARKRNPRALNYH TGSNSWVYNGDEFEQGFQVKDIKIQLLTVQDVNPTLEEVTKFASGGEDGVENIDLKSL AASLKDSSANVTYLPGDIIEVYDGEQKGVVGKATNVQNDIVTIDVMEGDLAGQTIEVP TKGLRKRFRTGDHVKVIGGSRYRDEVGMVVKISEDRVTLLTDQSHTEITVFSKDLREA SDIGGQGALGQYEMLDLVQLDPTTVGCIVKIDRESMVVLDQNGDTRQVLPSQIANKLP KRKMAVAADRNGAEIRLDDVVKENGGMARQGKIIHIHRTFVFCHTHATNENAGIFVSR VSNVSTISAKGGRNNAKSSLPDLNSMNPALKRNPNGSAMAPPPKPTIGRDRLIGQTVT IRKGGYKGLLGRVKETSDTHARVELHTKSKVINLPKQDLIVKDPITGREIKNYDSRRP PGAAAPRFDGGRPSGDWNAGSRTPMASSMSNRTPAWKTPSARTPAWNKGGSGDFGSRT PAWSGADGSRTVNPYDGSRTAYGSGARTPAWNSGAKTPAPDSFSHGSKTPGYGGSGSA DPWSSGAKTPYGAAAPTPGASGGDTWGYTPGASGSSSAYDAPTPGAHMLGAPTPGAMN APTPGAFNAPTPGAMNAPTPGGNWQGGWGAADAPTPAAGAPTPAASGSFAYAVNAPTP GAWSAETPAASGPRYEDD PFICI_15165 MKIASAILALVVAVQAHYTFPALVSDGSASGKWEFVRKTTNYQS NGPVTDVTSSQIRCYQLAAGNEGAQTKTVAAGSTVGFTADASVSHPGTLQFYMAKVPS GQTASAWDGDGAVWFKIFSQGPNISPSGLTWPSQGAAQVTAKIPQCLAPGDYLLRVEH IALHSASSAGGAQFYISCAQLTVTGSGTKSFSGVSFPGAYKATDPGIMLNIYYPVPTS YTAPGPAVVTC PFICI_15166 MVTPATSSLRRQRSWDSGYMSDAGYDSPSRRLPHEGPTIETSDT TNYIRRKCDWEVDSVEESEDDGYGEHKDVDRCQYTFSQDQGKEYYDGYLAELQEELEG AQERLLMVEDKLKFWRRLYWRSRDEYYFSHGSSSNGSEQGDCPDDVSDDWQSLFDDKG EDGGDTRRKFVDELTWSDIKLSEFHLYQLELEVDEANEDCSMINAELVLCNFRIENYP PETWHFDKESGLLIWNSNRNQEVTADNFLSVPDHKRSLRYGRTKYN PFICI_15167 MALGIVTTIALSALVAAEVASAAVTFAVPSQALTGGLKYAPLDP APVGISFEFFAYPSYFTNVTATNQCLANFQALTGTWPPIRIGGTTQDRALYDSTTSAY VVYTVASAADAPASLTFGSSFMTLANTYKGSVVLGLNRGKNNIDNTIAAAKIAVSAMG NLLAIELGNEPEYYTNDGQPIASGGWSPAIDAASQNNWDIRVGSAVNKAPIIQAGNSN DSPPTWGAAELIATENSTVKQYVKTYAHHNYPGGTVTSLMSHANIASNLHVFDADVTA ALGQGKPYVFGETNSVSGGGAATVSPTFGAALWTLDYSLRATYSNISRTYFHHGTVGN CQYCFWGRYSMGAPYYGAYAATALLAKASYLTALDNGTTNYAAYVAYDSNKAPLRALL YNSDYYGGSGTRTTQPFVLTGLTASSVRAKRLTATSALSRQDQGQIPTFGGQSFTDGT CVVTGSETYETVAVSNGQASFTVSASEALVVYLQ PFICI_15168 MDLDSVNALVAALVSNYQDGVEFYAKWQRRNWQENHYGDRAKGK QASSGSGGCCGMSASLNFSAHRIREAFDKGTRILGQDFSVGDGMRDSLLSIYYSSIHS THTLLHSPIHSELDIPSLGKPGADLDPFYCLETCRASLATNLRQLQARINVLRSAAQG ASPPLGLYAVIKASEDVRVSAIRALNEQYRRQAAGRPTPTELSLGTRRSRATLSLSED ALVALDAMTTTTTTLTAQDGGGLGSRLNSPRRSRYLALPMETIVDGIATPVSAGSRRS GLFSEPPSPPLTPTRTEDWQSSRSKRSSVVSTRSRPLSTAALGLFCPEAMRYQLDPAR RVTGRACKCGQDLSAQSTGEHLPMNLKEGFQMTPRFVAKSHHVGAGFGCVLCIPNGHV GTFESLASLKDHINTSHDKWQILHDRDLATGC PFICI_15169 MELIPYTSREGREIVLRHRNALVVRDPTSQRLDIRGLSLTECPT CHQPLRTRSSSPERHFESPATHDESYMNPNYFRMLRAARRQAPPHPPSSPIRRLTQPN LPVYDESAVHDDVGEDAEFVSSAPAPGPRITREAFSPNYFRTFFVEERELGRGGKGVV LLVRHEIDGCHLGHFACKRVPVGDDHAWLEKVLVEVELLAKLSHPNLVSYRHVWLEDV KLLRFGPSVACAFILQQYCNGGDLLRYVVGDQPKEKTKEQLKAQMRRRSKGQAEKPDL FSQRHLTFDEIYSLFRDIVSGLAYLHGSNYIHRDLKPSNCLLHQEHGKLTCLISDFGE VQPENAVRKSTGTTGTISYCAPEVLTQDGAGRFGNFSTKSDVFSLGMILYFLCFGRLP YANANTIQEELEDIDLLRAEIADWKGFQDEQRERPDLPAKLYHLLKQMLALNPTDRPT AADVLDAMNHESNMGTPRRESMGASPPLNMHERRVHSLDSPLVPGTPVAEPIKHQRFR VGDESVNGDKIAWTPPESTHAPADLAGTLQKHPKSSRHGHPHVTVLSRAQDGQARDSV WSDDEANDHSPRASPPLLMPPPSTFWGDVQHRRALAWHGLSHFYNDNNEAVIFMVRFS SFMVKMISLARVCRPLAARLEISTALIGLAAMDLGAPRGDELIGSHKRNPPRQPYRSS STRWRWGWRLSMVMFMLHFVVLWSADQWGRLCNTRWDYSEHE PFICI_15170 MSESLLRTVASRNDEPSQVRGSHPYLSGNFAPIQRTRPLTPCAF TGVIPMELAGGQYVRNGGNPTTNEDLGRDAHWFDGDGMLSGVLFSRGGEKGSDVIPRF VNQYILTDVHLNAQGNENLKRPLLPSIATLVSGSLVTIIMTVIRTILLVLLSSLPGSR RRVKKISVANTSVVYHDGRALATCESGPPMRFQLPSLETVGWYNGRKAENEPSRDQRA GFGGDSPIAFMKEWTTGHPKVDPVTGEMIAFHGVFIKPYVFYSIIPPSKPAGKWTPPT LGPRFNVPIPGVASPKMMHDFGVSARHTVIMDLPLSLNPMNSLSGKPVVSYDPTERSR FGVFPRYEPYEVQWFETNACVIFHTANCWETTSTKPLPETSVHLLACRLTSASVVYSA GALAPPVPKPVPPEYVEEEQCRLYYFNLPLTRDGTERPTIRNQWALSAIPFEFPTLSA AHEMGPARYIYGCSTGSSSYYSAALGKAAKIDYLAKIDAQTLIARGEEHPPQQIKGCV DTRGIDEIMHSSDPDDPIKMFKMPEGWFTQEPRFVPRRNAVSEDDGWLLTYVFDESQL NDQGECLEDAISELWIIDARNMRDVVARVKLPQRVPYGLHGAWFSEDKIRGQQEFSSV RRASDKVEIDTTSLAALVRDILERWIG PFICI_15171 MPGNEAVQINNVVGQQANLAITNHGSDWYYTVCAVMGVSTLAFM GLALRKPQGHRLFHYITAGITAIACIAYFAMGSNLGQVPIQAEFVRPRSHWVGSAGTR EIFYARYIDWVITTPLLLLDLLLTAGLPTPTILITIFADEIMIVCGLIGALTRTSYKW GFWTFGMAAFFYVVYNLLGTGRSHASALGGAPKKTYMLCGVMLTFLWFLYPIAWGLSE GGNVIHPDSEAIFYGILDIFAKPVYGALLIWGHRNINPSQLGLHIRDPGQTSEKYHNN GLHNGHGVTGPDRAVGTNGTHTTGASAAGAGAPTATV PFICI_15172 MKASIVLPAAAVLASNVMAAPAFGPGFFGHGFGGFGGFGGGHGH GPWGAFNWGGVNWKTFDYSKVDWSSVDWSQIPYFKINWNKVNWPYKGWGQWQSADAAF TSTYSIAAWPQEVIGTDGNPSGGLAGARGVFNYGLISSTNTICWNIVLTGFQGEYQSP AKTATHIHEAQRGENGPPRIAFPNPVPFDPLQPLGKRISVGCATGPFTTGIKDADGFD TGYGFTVDQIENDPSSFFTDVHSSYAVPGACRGQFE PFICI_15173 MSTPGVKLVGYIGLGLAGGPLAECVIKKGFTTLIRDADPERVQA FLKANQGLPVSEAPAGPQGFKDVDILITMVPNGHVVREILLGKDGIAPHMKTGCVIVD TSSSNPFGTVQLGKDLEPYGLRLVDSPVTQVRMHGINDGEATFMIGGDGKDIEKVLPV LEAMSRWRFVVGDLGKGHIMKTFNNYMTAAAIAALNDCFIVGHKFGIDPVQITDVMNV GTGRNYGTAHSIRAEGLTRSYASGYGLALLVKDLNINLDVASKAGIDTTLASLMHTTF MDALKEPDVEPSADYTECLKLWERRAGLKLKTPVGIKECEEPRDFPSPF PFICI_15174 MTLQTESIVELPDGTSYRQPVGLFISGEFTTAQGQLSLDTVNPY TGTIICSVARGRSDDIDAAVSAAQSASKGWKDTPPRQRGQLLQTLAQLMRRDADLLAK IEAVDAGKPVHIAKEADVLAAAACVQYYAGWADKIKGDTLETDPKTLNLTVREPVGVC GLIIPWNFPILMVGWKMAPALASGNTVVLKPAELTPLSALYVGKLVQEAGFPPGVVNI VTGFGAEAGAALVAHPGVNKISFTGSTPVGKGILSASADSSLKRITLELGGKSPSVIF NDADLDEVVEWVNGGIFYNMGQNCCAGSRIYVQEDVYEAFLEKFIRRVEKNVMGDPFH EKTFLGPQISAAQHAKIMGMIENARTQGAVLAKGGESPHGWFIEPTVFRDVRQDMDIM REEVFGPVVAVASFKDASDALAKVHDTCYGLAAAVFTSDLKQGLGMAKSIKAGTIWVN CYNMITHELPFGGCRESGVGKDLGEDVLSEFTNTKSIRIML PFICI_15175 MSSISLHELTISTFQKGLETYAHILAKTQQYAQEKGIDVNSFVG ARLIEDQLPFSFQVQNATKAVQLNLGRLSGVEPVLFENSERSFEDLQQRVARALEVVK SFDATTAKSRDDELLDFPWAGQTHKITVKAAILTQGLPNFYFHLTTGYSILRSRGVPL GKADYLGNFLAL PFICI_15176 MGDPPLAPVDSAHTVQLEQLEQLLLQTNFQHGLDDAEASSRLEQ HGPNKVEGAKGLSPWKIFMRQISNSLTLVLVAVMAISFAIHDYIEAGIIAFVIGLNIV VGFWQDFKAEKAIQSLFALSAPTCKVIRQGRTVGIKAEELVRGDVVVLHVGDIVPADL RLIGGLNLTTDEAHLTGESLPISKHAEAILDDPEVPLGDRINMLYSASKITRGRGTAV VVATGMSTEVGKIAEMLRANPFAGQDLSFAARLLSRTKVTMKSILGLDGTPLQVKLSK FALLLFALAALLVIIVFSVSKFEISDEVLIYGICVGVAVIPESLIAVLTISIAVGTKA MARGNVIVRKMSALEAVGGVTNICTDKTGTLTQGKMITRKIWLCDGSMATVEECMHPL DPSSGSVRWGPDREDKADPTSALQLSKGSQAFVECISLCNNSVVSDGKTGLGDDLGET STISTVGPSDWTATGEPTEVALHVFAMRFGLSKSTIVQNKTSNLVAEFPFDSSCKRMT VVYKHLGSDLAHVYSKGALEAILPLLDATDDFKAAITAEAESLAAEGLRVLCIAEKVT ALSDESTKNREAAESHLNALGLAGLYDPPRLETAAAVQRCKRAGITVHMLTGDHIKTA TAIAHEVGILESDLCPARVGSVVMQANMFDALGEEEIDGLEQLPLVLARCSPATKVRM VEALHRRRAFCVMTGDGVNDSPALKKADVGIAMGMNGSDVAKEAADMVLTDDNFESIV TAIMEGRRLFDNIQKFLLHLLVSNIAQVILLLIALSFKDGDGHSVFPLSPLEILWANL ITSSGLAIGLGLEEAQPDVMRRPPHSLRAGVFTWELIIDKMVYGFFMGSLCLAAFTST TFGPFGTDPAVLGLDCNSAYNESCDAVFRARATTFATLVFLLLVTALEVKHFTRSLFA MNPELYTGPFAVFQTIMRNRVLFWAVFGGFLAAFPVIYIPYVNEIGFKHSGITWEWGV VVACFAAYVALVEAYKAVKRRWMKPYAAHDTTA PFICI_15177 MGSSSAVIFHNGRFFQSSGTDGRNHHFVDCIVADAAGQITHVGQ SSDAQVAQAEASGAVRHDMQGRIVLPGFFDGHIHLLSLGQSLKSVPLQHCRNLDDIKA AIKEYAEANPSAQRITCKGWMFSMTPGKVTSAMLDDIDPRPIYIDEKSLHATWCNTAA LAELDIADTPDPVGGTIERDASGQATGVLLESCVMLIIWPHLARVASMDEKLASIRGA IEVFNAEGYTGAIDMALDENALEAIQTLRQREALPFRLAAHWLIAPKKDPAETLAQVD RAIELRDELNAETSPDFRIAGIKIICDGIIDACTAALTEPYSSNAVDCSPLWTLEEIA PVVKKADEAGLQCALHAIGDYTVRMAIDALEQNATVGRRHRIEHLELAMPEDAARLGR LGITASVQPVHSDPAILRAWPQLLGEDRCGRAFAYKDFADGGAVLALGSDAPTAPHGP LQNIYTATTRRSAREPDLQTTVNPQFALQLSAAISGATAGSAYSCFADNLTGKLDVGL SADFAVVDMEWDTASLLQASVKQTWFKARKVFDANSASVAS PFICI_15178 MNSSLPSKHTSVVVTYIGTATAIIEIEGVTFLTDPYFSPDGTSW EVVPGVFLTSHYTPALGLSALPPIDVVLLSHEDHPDNLDEVGRRLLDGRIVFTTTDGA RKLAPRPGVRGLKPWETVRVERAGKTFEITGTPCRHLPGGECTGFVLSTANLGMTDGR PNAIYFSGDTVYIEELAGIRGRFHVSVALLNIGAAAAAVPGMKEPLLITMDGRQAAQL HRDMGADVMIPLHFECWDHFTEDKAALQRILETEGISANVRWLDPGVATRVI PFICI_15179 MADASGPGPSSSSARPRAASKAKNPSSVADPVMRNALRYTISAR EYESLHKYVLSRSRLLRKRVPTVSAVEKYMNGPSDDSSRGKGKSSKSAVVLGSSSSSS SSPSTGGADTYNARAIRHALRLFVATGLGMKLYNTIMARLKGQKEMSAQKTKNEPFHK SPTLRLSLSLSTILLLYRLLFRFLSRLRAQLLAPTSEPFRNRNPRTTQTLTSPYAPAV GASLAGLALGIYPAEQLRVSIAIYTLFRALEFGWNLLEDDGAIWGWKAKLGGKGATKR ERPWWFGSWMLQPFAFGQLLHATVFDRDCAPVPYIDFIWKGTSEYLHSAPRDLPGGVK WPSPWDVADSLAQMARLNWPPYISPTLFPNQETLPASLSTIAPLTSRAHPLITSLSCA TLHPSDPSCMRTYVSFWLRSFPRMGRFFLVFYSLLMLPRYKALYHAPLTVLTKLLART LRLSGFVTGAISTAWASICLFQTWLPRTFLPTQRFFFGGFLAGLWGFVERKEGRGVFL YSARTSIDSLWKVGVKRRWWKAMQGGDLWVFVLALAITGAVYEKDVGAIREGNWRKGV SWVRGTSWKDWEVEEVDEQEEDEEDAVATAGVRER PFICI_15180 MSFSKQDYRQTIIRSLRDRANHYRERWSGTALSSTPWWACPSPE EQHIDDDVPDELLFQYHFDQEFAIAFMKMYSLAAPANQDASDFDRFCKMYNQSRSFDM ETMSSDEAMRKALYSFMAGLDMVFFNGLFTSPVETSRGRKQRGGQELVDQDWAELETP WIPASAPLVSIEPLLAPLTGADVGQFDTTSNTLRIWCQNRDGKPFNSDYLLVVLAHEM MHAYLHIFGYQSGSTTRDHHGGEFWAGLEFIYYSIWQAMPSRSVSVLGIAAATARKNR RERIGDSDFARYSLSPAFFKGLACPLPFGLTPVMIEECYTDKL PFICI_15181 MTTKSKSKRGKHSRPLSQKPAAVKLRELRKNRSAQERLIEKINS QRRSAVRRARAIIENTAWSILEEAEQRAVRDAIEAKLRHTYDAAAVTGNDNLVTAEEV DKSITKTFEEHAKFFVFGGEDEPLPFELFEARKCASNN PFICI_15182 MQLTLLVLLAGSSQAACSSVLQAGATTDTATAYISSSDRKYNVS SWEAPVSGAGTPGNSSTWNLSIDDTTSGHKQPVTGFGAAVTDATVAVINSLPADLRSQ LLSELMTSAGADFTLLRHSIGASDLSADPAYTYDDAGGLVDTSLANFSLGDRGTAMAE LLAEMQALNANSKLLGSVWAPPAWMQLDRNLTGSTVNNNLNHSYVDSYAQYFVKYVQA FATKGAHVDAITIQNEPLNSQATYPTMYVYADESGSLIQDNVGPALKAAGLSTEIWAY DHNTDVPSYPQTVIDMASDYVDTVAWHCYATDNQWSVLTDFHNANPSAKQFMTECWTS SEYTTWVAASGFTIGPLQNWAQGSLAWTLATDQNDGPHLTSGGCDSCRGLVVVNTDTN TYEFQIDYYMMAQYSKFIPQGALILNGTGSYTYDGGSGIQSVASLNPDGTRTVVIENT FGNDVYVTVDTTSGQEWSGNVYANSVVTWILP PFICI_15183 MSDLTPTQGGIAAAETTEPISRVLTPVNERDETMTDGSETFNKD ADLLFKLKSNAGYVDYKVNKFNVAAASDAFGRVIFGENDGSDVIELSDDPAAFAVIMN IVHYRFILVKKEPSIEELFQICILLNKYDCTHLIQPWADNWAAVLSSFAEGKTAAAAN FKALWVAWVLGCVAPFRQMADSLIVTSKVDKDGDLVHISGAKVQDLVLPQGLFDGIVD VRGKTVAALLSAIRKPMDHLTQVNRLDNDASFCKVGSEASLCEMMLLASATRILVPAG LYPVPSASKFTNSVGDIKDAVTSIRYEAWVGKTFAPHKAHTGCNLGLMDSMRTILEDM PSPVQQTHLAYLAKQARLTAVDNGDTLQGYSGLAENDGESELEDQTKVVDNGASTTTV SGPCEDAKAFDEVDEKDD PFICI_15184 MASKAAHKRLTREYKTIAQNPPPYITAHPSDSNILEWHYIITGP EDTPYHGGQYWGTLIFPANYPFAPPAIRMHTPSGRFQPSTRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSISGTERERKYLARRSRWWNSTGGGSQHKAAQKGNIK AGDGGAKFRAEWPDVDKENKTWMAENKIDPATGEPLGGASCGPQLGIAQSSGQTQAVV DAVVQQRDAPGRGWMSSRWWFAIGVLLIYTAIARWLGWEAERPRQGL PFICI_15185 MAANSSQGGDDAFEGLLRRETASVEQKLAAEREQAQAQARNIDL LQHNGTLPVTVSSVQVHGAKNTRKSFLDPLFQPLVHGSASTGFTLAEMLEEVGQVVGK LQKFDIFHDQIHVHLSQPSQTDPSTSPTDLDIALRVREKSKVFLKTGTDLGNTEGSAY GTMHLRNLFGGAESLSLNASTGTRTRSAYSAEFSTPVLSNPDLRLSFEGLASSTHKPW ASHEEVVKGGGVKLNWNNPAGDKYQVGYTGAWRQVTGLGERASPTVRGDAGDSVKSAI SYLFTRDRRDNPMLPQSGYLIKTASEIAGWGSLGGDVAFAKSEMELGGAVPVPVPGVS GFSGVSIGAGFRAGLLYPLPLGYGLDGAKPSRVNDRFSLGGPTDVRGFTLGGLGPRDG GDALGGDVFAAGSVNMLFPLPRAGPESPFRIQLFANGGRSVALRNKSKSREEPLDKGL SSGAVMDGIVSATKDLTTGLPSLAVGLGLVYAHPVARFELNFSLPLVVRREEEKRKGL SVGVGINFL PFICI_15186 MDEKVPYLARSESVQSDESDDRKVIGSTNLVDENGEIRLIPMPT PDPKVGSLALSAEVIIGALIPVFLLEYSGVDPRSLNDVDFVANSHGSINFNPLSVVPP GIVPADIGQVSLLATIPLLANGIASYFLVPLSIAIGRRPVLLLTGALAWIGGLWAGLS TSLESHMAARALQGLGAGAVEALIPLIVQDIMFIHQRNKAMSAVISSQGIVIIALGIA APYVAANFTWRILYFATSGFGVLAWALMIAFLPETRWTRGKEELSGQKIYALAPGERR PRLEPETYGPRTMWTTVGVFQFGFEWKEAGRSMLDTLRTTLFPAILWTTLANSAFVII NQAAQQLGSFALLAQGWQFQYTGLSVIPTVAATIAVYFLGGPVSDKISLWITRHHGGV REAEFHLPNLILPFVSGILGTFIFGYAGQNNLHWALILLGAFFIIFAFLTVLTVVNVF VVESYPMWAGPVLVNVSSLRIVIAFFLSSQVTVWVVEKGILNTFAIYAESLIVLSLGL PILWFFGKSIRIWTAGRVEAVATEKLKRVDSV PFICI_15187 MNIPSFNTREKSAEDAYIRKREAEKAAAASAAKQQNAGAQTTTQ AKTSSQAGQSTQSSAK PFICI_15188 MAEILIKDEDLTGLKGKVVIVTGGSSGIGLATVKLLLSYGALVV SGDIQPHPEAATGSFTFVQTNVTVWSDLVALFKKAKEVHGRIDSVFVNAGIGPRADYL STEVDANGDPKEPSHAVFDVALKGAVNTTTLAIYYLRQQPEGGSIVIMASSTGIQRMR AVDYSTAKHGALGLGRSMTALISAAGLPIRVNTLAPSWTDSQVLPNLQGLMDAIKVEL QPAEAVARGAGLLIVDKSRHGHVIYIERSKYKEIDEALLIPAHAKSIIGEGYPLEDEV LARVQAL PFICI_15189 MKQPEGDGVILLYVTSVMLVLSWIVLIARFGVRKWINAIGTDDI LMAAGLMLYSVTASLVIVCCFYGAGQYAKYIDDSDSMQGTKLFFIAEFFYASCTVPIK SSICVCLLRIADARRRFVWTLYAIIAMAAVAAVIFIVAIANLCHPITKLWGETTNGTC SPTLNSRVSFFFSAVSIVTDFSLAILPGILLWRIQMKRRVKFSVAVMLGLAAFASCAT IVRLRYLTLYNDQAEFMFSTGQIGLWSIIEEGIGIIAGSMPALRPLLTLPIFGRSTIR SSNNQQSAPHERLTPNPAQGFKDETTDEVNMKTFRTSSSNDSPGLHKIRTKTRGSSDD GDSQRNILKETHWTVTAEHTNPADDWARQRVLGWSNRS PFICI_15190 MSDELQNRSAILPHPKAYPMVIETSPIPAPTDSEVLIRVRAVAI NPADWAVQTLGVVIKPEFHPYVNGCDVAGEVVAVGPTQYRFRSGDRVVALATAFKSGD TKYGAFQEYMLGVEPFIARIPDRIEFRDAAVLPLCLCTSSAMLFSSELMGLDLPRSAV QVNPKDEVVLIWGGSSSIGCNAIQAVKAAGYIVAATASKKNHTLLQDMGVDHIFEHQA DGVVDEIVATIKGSGRLAGVYNAIITDETIRACAEVASRVDGTKQVGTVLAPGMPVPQ NLPGGVRAIVDKVGQMNQPELGKAIFADWLSVALEDGSMKCRPHPEVVGTGLDKIQVA VDAIGKGVSGKKLVVEF PFICI_15191 MSSEKDIVLVTGGNTGLGWEIVRALAGTSTAYEIIIGCRTVAKG EEAITTIKQAQPKTTSSFSTLQVDLSSDSSLEQAVEHITSKYGRLDVLINNGGAAFDQ DIASGRSSIRDAFNNSWDTNVSGTHVLTTLSVPLLLKSMDPRLLFITSGTSSLAETEM MDNDMHKRLNASPPAGWPKPPIMSHMAYRSSKTGLNMLMREWRRLLLNDGVKVWAISP GFLATGLTGAGAEKLKQMGALEPSVGGEFVRDVVQGKRDEYMGKVIRRNEIQPW PFICI_15192 MAPSKGGQAAKQQPQKQHQRQQTTTASSGSAASVPASQAASAIA KKPRAAAAAAAAGPAAAPVSRPAVVPAIPLPMIKRQTSSTKKIIGGSSNSSSNGSGNA NNAASNRFNGSHNASRHVVSPATSSSGRPPVASLEAALIDHQTAAAVADETAIHRQRP RRSKQNELNVNANGTPVEKPALAAAATTDPHHNGDDHDNSSHYRNQLPSTPNGIVDTT ISSGYGSVPENEQAIRAEHLTNGFANFALNHNSNDPTSASSSAFVHASNAPSIVGDVE VPTSLPPRELSNGNAHIPRGTQLVLSALPRCPSKQQTNLLSLGAANEAAAIAPSYHAD SSGNSTDPHASSHPIFKANGTDTAVHHVPHPTTLYAHHYAHANGNGVLFGGVPTPDSH TPSPASGAFMPPPPLHRPLLGGVAVDGHKYMPPNGQHIHTSHVDNNGTNYAAPITPLR PGPDNMTSIDSYIPIPAPLSVHRAPLDGYPPNASHFEPPTPHSFHGSHASGDFSGPDN IASFRPNGHVFDRDPRNSRPHGIHPMPPFAAPQHFPRPPNMDAELLDSVMFFQTQFNS AELADCILELRFPDATQSPAKINAHKLILARSPALKQSIMIARASDAGSHIITFNATD RYLTSDSWFMAVQRLYLHPLFTPPFNANGTDFGVVNIAQFRFCLGYAAAGHSLEMSDV LLRGLQIAADMVQWHTIEEALSFALEGASHRHFIGEDGVDYTDIDFAYGRDVRILMMS ITSFLITQFPPNFNLDTTVTDTHYFSRIPAAAAAAIQAPAESAPAIARGTSVRKLSKG NRLSAIKFGDLPADVPEEGIGATPREPAKCSALLSRILLNLPFEDLRYVLASESINAQ AWNTAQDRHNALTFVVAEREARRLRAVEAVRARLVPGSDEIQQRLSASRRHDVADPWD VLNWQEKVIQPNGAGLPNLARKWIPQFGTTDEAPQMPAYEPRLHESMV PFICI_15193 MTRQYRRVAVIGAGPAGAIATDALAKEQAFDTIRVFDRRSGIGG TWIHTPHLPASIPSLEEILNGTADKTIVVPEILPTVTPVSEEVNSHQLRFSDTAIHEN LHSNITPEIMSFSTYPFPDTLSPRTLKEYGPQAPFRHHTIIRDWVENIFRSNGHDKLV ELNTTIERAVKQAEEWNLTLRKEVSGRNYWWREKFDALVVASGHYNVPWFPEIKGLLE WDKTYPGSLVHSKHFKGPKNFKGKRVLVVGGSVSSHEIIHEILDVAQAPVYAAVRGDP IPAFGWIPFEHPSIEVRKQISRLDAGTGRVHFADGSHLDKIDHIVFGTGYTFSFPFLP HVQQRISKAYRRLPGVWQHTWDIEDPSLAFIGMLGGGFTFRAYEYQAVAVARHLAGRA RHSLPPISEQLEWERARVAEKKGGKDYYSIAPNYKEFFDFLRGFAGDPVEGTTGRKLE PFGKEWLGLWSGMVNHRIGAWTRKKKRAEDQLGLDNIKAKL PFICI_15194 MAPNPIIISRKPVPRSAQPNARDSSSSSSSSSPSSSPRRDGDNS GHVNTLLATSLVIILVANLSWARRWLLSGAAIESLLVIYANGHRNRILPTVPLWTLLS TLNLIYAICSTSWLLHALFAVTCYVAVLLTSLAQFPTVGTVCRKVLRRTLGQDPHFIR DQLALFNLPALEIDTEVNGLFVIRGVTISFSSLTIIAHGIEVGIKLTKDIELAICVDQ VVVSLFRRIEIGDVFANVKGGQFEMTFGEVDEDAVDDNVSVDSIFLGDTPLLRAATAG SGSFKDRPKLRSSLTGASFMRDTSAQDGYQSMTKLSPDDELADKEYRKRLADIRTSST IYQSRQQALKRALEDPDVKLNNDNDIRAAVSAELHGFPSIAHPPLRSVRVTTLQTLSP PYMRRFMHRLPFLLRLLLAPLSYFHPISFASINAAGSGQWLSELLKQEIFKQYMETSS ELRRLHRRVSTWLADATFCLQLTDIDALGSVPLSSAFDIVAYLKFDDVMAYRTTQPSG AVTQVVRLGGADATFTIPSFLLPHHEHLLPPKPAPSDEERLKVEVAEADGVPKTTQAK NDLDKAQKDESSIAMSVHASLPATFDQTLLNFIAALVKATKIVEIEKEIAEVEQRRGR SNTNNSLPNSPDSDTESVLSESPTKPKLGFKEVAGFKVMAHNIRQNLKDGSYNTSIKE FAKELHQNTKDGMKKAVVGGLVNDRWIAKIVGKTAAALQKAQGDVGYSGGIPIPLEPY RGPEDLPSKLLP PFICI_15195 MADAKSEADVSALQAPRTIPNGGAQAWLQVLGAFCIYFNTWGLL SSYGSFQTFYETDLLKSRTHFEVSTIGSLQSFLLVFLGFLSGPVYDAGYARHLLTAGS FLIVVGTIAQSFCYELWHLLLAQGLCIGIGCGCLAVLSVAIPALWFNTRLPLANGIAA AGSGLGGVVYPVLIRNLLPSVGFGWTVRAIALIILVLLGFSMCVVRIPQSSKQRRRFI DRASLSDWPYVLFVLGCFTVFLGMYTPFFYVQSYAIEGTGTSSDVAFYIVTAMNLASI PGRIIPGLLAQRLGPLNMIVGTSVALAACGLGLLGARTTVSVFAVSILYGLFTGLFFA LQPTIFVRLTGDMKVLGTRFGMAFTVMSVALLFGSPISGALQDRYGYNTSWAWVGATI LAGGAIILAAKTVQCRGDLRRKS PFICI_15196 MGSLSSPNSFQNDNVDLVVIGGGPTGLLSAVLARQQGLTVCVIE DDKDGPLKLGRADALNARTQQYLEVVGVLKELRSKGIECNTSSTFSEGVFKSRQSQWW TSLEHCLHKNFLMIGQPEVESALLGLFDHPVSYKEQVVSISEDDRGVSVNTSLGRIVH GKYAIAADGARSMVRSSLGISFTGTKPEMVWAVLDTFIDTDFPVCSEIITFQLNGQSR VSWIPRERGMARFYILLDGDINQARAEQSIRDHMAPHRIDFVKTEWFSTFEVKERIAS SFVSKEGSGRIILAGDAAHVHSVNGGQGLNTGVADAFGLGWRIAMAVRAEKAAPEVAS RLIKSYDTERRSVAQDVINVAARLVRDTMHTAKQYVATIEKNAGYITGMGVSYDGTGS ALISESEHGIWKAGRRCPDLALLKPGSGQQTQSEPQRLYSIPRYGQFLIVQVGTSQVG DDQHQSQGAALYLTIRTPNHDNSGQACGLSIDERQEFRADDVSESDACVVVVRPDMYI GYVGNETGAEEYIAGFLA PFICI_15197 MSISTLTTTAAECLASQDPKTTPLHLDSSHVKTAAQILEIIDRY SLPKRRSDPEESESDQDASFLDQIYSKVVEGQAIQMCLPAFPFKSPNTSTKVLGRLPD KAEEFALAHLNGLCSAIEDVYSPGATLMIISDGLVYNDLLGVRDSDVWAYGEALRAMS IRKGFKHINFSRLQDLVHIGVPNELDQITYVSNATNFRHALLSKFSNPDFDASLKIKD DEDTCLTYRGYIKFLSTDLQDVYPVGNSRTKSQFKKGIEYVAKQMLFRGDAFARAVKA TFSDRLRLSIHPSTGRNKLSVSPLPTDTPFTTPWHCTVAFRLDGTVTSGHHAVFDADD KFELVYQDGRPSFYREKTDLLAWAGEAGGIECDPLYPAGLLIRPAKGAGALSIEDIDG AKVRSLAQRNSPVILRDFAKTRDRELFIKKSHDLGSPTGWKFGLVLEVKDRGAETRGL NNVLSAEWMPFHYDGLFKTEKRTKEDGTEEIVSTPPGFQFFTSVTTSPKDTGFTLFSS STMMFKYLPQDLQVQDLRRLTWSVSTSSFNATVLRGLPVVVDHPATGSPCLRFHEPWP QSKTKFEATNVEIENASEAGNKDSTTICNVLTDLLHDRRVAYYHAWEKGDLLVSDNVL VMHTRSDFTAGCDRELWRINFD PFICI_15198 MANSQHPQTRIPTLSKSVLVAGANGFIGFAVCRAFVRAGWHTYG LVRRPESTMSLAAEEVIPIIGSISHAASFIEDLHRHTKALDVIILCTDIMPGSGAPSQ DIIHVLKKLAAVSKDSSVKPLVIWTSGSKDYGFTGLHGSKDLVPHTEESLLQPLEILK AKTYASLDVFNYPDLFDAVLLRPSPLFGYSGSFYGAVLDFAAAAEPAHQLCIPSSLDT IIHGCHVDDCAEAYVSIAEHDARPAVAGECFNISAHRYETLAEIGAALADEYGLSGVK SVPAESDIASGFVFGLSQWVASDKLRKLTGWTDKRKLFSENIHVYRESYEVAVQRNDE GVLRIKALLAAIGGEARKVDSGIL PFICI_15199 MSEKRQPRRKTPLACQSCRNRRTKCDGSMPVCQACQRRGLDISQ CVYTIENARTANRDSYIQSLHDRIRALEQTIERNGIGGSPAANAAVEDPAPSRAAEAG SFRDPPTPIVGTVTECYRPVQHRATEIVSPDSLESGSTGVENGVTAMGTLDHDGDISG VVEDSEHFYGSSSAASFMRQAVESANSPEFSPIGANASTGDTSTRQSQTQTRGRSLGF MDRAYSENYSLPPRSLADHLLSRFWEKVYYLYPVFHKPTFEDAYRHLWEPQRDESNPS GSFPNVGLGSPPNGNAHTMLFQCALNIIFAIGVSFSDIPPAEKEAAARTFFLRAKSFI GLDMLDINNIGLAQVMLLVTIFLQSTPFPSRCWHAIGIACRVAQGLGLHTYKPRRGES QLEIEISRRTWQGCVILDMTVSMTLGRPAMTTHLPEYPASVSYPETSTEMSMSVQDHE EFKMIFFVEYYRLCHLLRDILGQVYQGGNYGPMADPAPPGKYADDLTAILQLERRLLV YEESLPPILSWQRPLDLNQVSPTLKATTETQRLVLHRR PFICI_15200 MILEHVAGGAARLLSRNASASRIDTHIHVLTPSYLKALDESGGD PSGWATPSWTLEECVRFSDTIGASFSVLSVTAPGPAILGPTKEGRHLARTLNDEVSAI CEQRPGRFGFFASLPDFNDIEGALLEIKHVFETGQKANGVVIMSSYGDRLAGDDAFRP IWDELNRHSALVFLHPSHLQIKPEKIGNFLPQPAIDYPLATTRAAMSLLVSGIMTECA KIDVILSHAGGAFPMLAQRGIGCLVNPTIAAQSKVNMLQANSAANRFWYDLALSTGEA QLKALLATAPSSNIVYGSDFPYAPKLGIYAGLLQYSNFVRSTEGGKIGPQQLNANATR LLQKHASEHTFLPQGHEIGAQTYKPEFGLEENDDAKKAREQLGHYLDH PFICI_15201 MRAGRNDPNHKLLRDMPTQYGEMTTLHLGQKTWVFLNSNRVVSE IIAKKSSITNTRSPMPISSGIVSHDRRSLILPQETWTERRRVMHSLLSGTALKQYGAW QEEESAQMMAEYVVKPEMWYKHHYRYANSVIHRIALGDRLVKSTKELSDLQNCVTFFV GSIGSSLVDWFPDVVKLPKFLHFWAPQWEKLGQWNYNVYNSWYEPARKQVEAGTAPPS FLRDTLLHPDTKYKGDDEDSMYVAMQLIEAGSDTTREALKIMVMASLEYPEPFRKARA EIDQVCGVGADARLPRLEDMESLRYICAMAKEILRWRPIFILTPDHTLSNDLEFEGYF FPAGVGFVINEVAVCNECEDPDVFKPERWMDGHETDIAHGLWQFGGGRRICVGYRLAQ RSLFINIARLVQCIDFEASGKYDSRLLNHDAIHEPFPVKPQIRSEEYKKLIIEEATKL GVLEDAKAVRDNDDSKQNEDFTDLIKGVF PFICI_15202 MVPESSKITTCSKFACVGTGFSAIGLGATLKRWYGITDIHFFER HSELGGTWHINQYPGKLRALLKCCACDVPSVLYSFSFEPNPNWTRILPSNTELKAYLV HVATKYELVQKMRFCVNVEACEWIEERSVWRMRVFDYNTKETFYHESQFLFSASGQLV TPREIDIPGKDRFDGPLFHSGRWRTDVDLTGKKVVVIGNGCTAAQIVPNIVKKTAHTT QIVRSKHWIMPPIDASIPDWTRFILRWVPGAMQLFRFVVFLIAEKELQGLPMTKAAAR YRQKRRALSEAYMRGKAPPKYHGILIPDFEYGCKRRIFDSGYLDSLHEENLTLTNSPA LEIVSKGIRTKDGLVEADVIVLANGFVTNHFLDQMDIKGRGGKTVGEHWREMGGPTSY NSSVLSGFPNFFILLGPNAATGHTSALMAAENSINFALRVIKPVLEGQATVADLKPQA EAEYTERLQKDLEKTVWNSGCQSWYIRANGGKPWNAMSYPWTQSYFWFRSRFPTWSDW RYTGEAELRKEGLGHGYLVAWVVIGAVAWWSWGQNFDYEVAAKHLQTFIS PFICI_15203 MKLSREGFTIDVAAAFVRRIVFNPALAVTAAVAQTMIHFIQVSY NDDFLQQTPKFTWPLASSVSLWVLLSILLWANDYLNDGYANNWAADPLWDWSKEIVLI TGASSGIGASIVQHLIQRNPRATIVILDYSPMSWTPPPVSKIHFYQCDLSHSSVIKAI SEKIRQEVGHPTVLVNNAGLSRGFTVMDGSYADVDVTIRTNLLAPFLLTKEFLPYLVQ HNHGHIMNISSMSSIMPPAGIADYAATKSGINALHQVRWFESSELRWN PFICI_15204 MSPLPLDTYSAWIDKKRAAAIKEGDRLALDRLSHMAEHLPDGNS WLLWVGNRKKASRYVLFFPGGGYIAPVTPGHFEWCFRAYVERESGHEVAVAVLQYTLC PAARYPTQLMQATSALRHLMDSGIPASSIVIGGDSAGGNLTAQLLIHLAHSAAPNRLP EPLAGAFLVSPWLSGNTNGRSFSENQFIDMLSREHIETSSRELLHTQPTQDDLKGGHG EWALPLDTDRKWLNGLAQTTRALYVTVGANEVLRDQGILFAETIRKRNETINVQLEIL EADAHDSVLLEGFGALLAIGKKEYDLPPQLARHRAEIASYFSS PFICI_15205 MKFQLLTLGAIGPLAAYALPKCGGGRPPPQSAAGNGTYTAVPAY PSSAEATSYTSLTSSSLSQEVDSTSVLPATTTIIESSSSSVAVPTTSSLITSASENAP TTTPTTAAAPTTSETAQAASSTDTSPSQVISASYTEATPISFKVSATEQCGDNDVLSL PGMPWTVANSMYNADQMVGSQCTNFEGILEAADGTQEVSWTSITDIDFVESTKDLCKG YTNIGIGVNLNQRFSDISSIPAYFQWDRTNTTEFRGSNLFDFITAPTKGDHDSTATSE FMLWIRIWGGQVPIGYVDGPVATFDLFGASWKLYEGQNPNNGVTVRSLLVDDNFEGEF QGDLKEWLDAMAQRGYIADSDYVTVGNAGTEVFYGSATMSAKVALNIEV PFICI_15206 MGTQLPEGAEGVAAASVIFACASIVSSSMVIWLTFVHNESRSYV ALLAYFTLLSTAASIIQQIHVIAFYRDVEIQQYEARVKDPFSPDARVANGSTGMDLIL YYIQFYCYQTMSLLILWWASELAQSVYGLLHKGTTRRILRRVNAAGKITAVIIPLIII LLLRAPPVQKDPLVFTVITDIPLGLAMGLGSILMLVILGRYIYSRRKLLRFDAGQGAS TDPESQTSSSQAGSRYSRFSRATKPKRNRVIYDRWIMTRFTAAFFFLCIFQATATLFQ QLSIGNFEDRLAIPEPDFSVEKARKSLLLFIPGNLPGIALFLVFGTTTAFRRYMRERY FALISWIKTIRQPKKKTQVYPPELPTPRMGYQPRIYAERPDLMKTLPHRPDSSNDDLD ADVQLKEAEKAIVHEGNTIFVMRELSVQRSSRYIDQRMPTDSTKDSTPSLIIMRQSTD DERENE PFICI_15207 MADSIGDLDREVKDLVARLTVDEKISLLAGKNTWETMPIERLDI PSLKVSDGPNGARGADFFDGMTAACFPACVSLAATFDRDLSRRIGQALGQEAQTKGAY VVLGPTVCTHRSPLGGRNFEAFSEDPLLSGLMAAEYVKGLQSERVGATVKHFLANEQD TRRFTVNEIISERALREIYLRAFEIVLKKSDPWCFMSSYPKVNGRHVDAQPLFLQTIL REEWGYDGLLMSDWGAVSNAVESVKFGLGLEMPGPPSHRIPEAVKKAVLSGLISAEEL DRCAATFVKLLKRTGKLDDRRKTPPEKSIDLPEHRHLIREAGADGIVLLKNHQNILPI DPRKFKKLALLGPLANYAAAYGGGSASLNCHYKISPYDAFENRLGDQVELSYSKGAHI FRVYPDLIDGSRNREGNQGFTADFYDNLELGGEPVWTEHYARGFFTSMMNDSLKEKPL SARFATSYTPPVSGKHYLSFSGMGPSKLFINGEMLSHQQKETKDSMTFFLGVHEEHRF QYEFCSEKSYEIVVETVPSQVNNSELSLLQGQISAHLGLVYQEEMEASLYDEAISLAK EADLAICFVGNTVQWETEGQDLQSMCLPANGSQDRLVAGVARANPNTIVVITTGVPVE LPWIDDVAALMQGWYAGQETGNSILDVILGDVNPSGKLPVSWPKKYEHTACYGNFGLD SYESRQVEYVEGVNVGYRHFDHHYDTEKEVRFPFGFGLGYTSFDILDVKVAGQLVNCP IDTIVVLVTIRNTGSRIGSQVIQVYLSPPHASKDNSRPRKALVAFEKVKLHPGEEKAV EMGFGRDAAAYWDDRSKDEGGYCWRVEKGVHEIAVGTSSRPSDLAIVLSLEIPEEFSF GP PFICI_15208 MNTTEDSVKGAVQHTDASSRVLEATSAAAEFEAEQHSLTRWEAI KKNVKPLGWCMYMFFICIMWGFDGLAGGVVTSIAEFRKDFGYPYAGDYVVDANWQLGW LAATLFGLVFGGLVAGLAVNRWGRQPVIGAGYLVSIAGVFVQVFASTPAEFFGGKVLT GVPLGCFTTVAPTYASEMAPLPIRGAITAGMNFAIVLGQLIGYGVMRQASFYTGALTY KILFSTQWGFAVVGLVILPFFPESPYWLIAHGRHEKARANLVKLHNAEYNIDGHMAEI HDSLARLNQENESQGSMAECFDKKNWKRTLVATSTFFIQNACGNSWVIGYMSYFMQLG GMSAAKSFDTTVGLSGLMVVGNMCGWIFVETFGRRATALWGTISLSVVLFLIGILASI SVGGAIWGQVACMALWSFVYQGTIGSVAWPITAENATSRLRGPTQSLATMMNGLSSCI WSFALPYAINPDQGNMGGKIAFVFGAILVLASVFIYYMYPETKNRTYIEIDELWNRSI PPRHFARTELITISTEETKGL PFICI_15209 MFSERGAMHISNGAAAARSTQSGPTTTHDRDKGPSGLLPLPIGS LTVEHLVPRHTFKALIAEFLTRVYPLLPLVHVPDFTFRFEAGDYDRDPRFFRLCIAIC AVTMASIPRQVETYACHLYQNAGELVERAAHVVLTCRLSSDMSWQQKPTVNDMLVSVM LSMAAHYAEWAHIGWAYASEATHAFRGLELYRREAYTDMTMVESELCKRAFWLMYIMQ IHDRLSHITPHTSPCYEPMRTDWDFMLPIEISDSDLEPSRGSNSDADIYHDCRPAISG FIALIKVFLCVAEVSCQQVPGPKTANALSTNVNGPHTSSALQSEVRSSIRRRETLLNV VTRLQKVLEELPDELSLPSNHPSSDSAGTEEEDKSVIGHQYAVMRVNIHVTSLYLQST LLDICLGSAAEPLEHGNTASVTDKTFPGSPNDPRPSTTGSHGTLWDLRENIVSELLHV LEHSTTKALESNGLSIIVKIREIAASLLVEDDNYNTTNNVEDKSQYYISQFADILARL DYLSRTKQESSVMNRDSAQAGRDILR PFICI_15210 MVFLSRTLLAAFGFATAVLGHGYVTTFTTDGKSNQGFLLDYYYQ KVNTGSFPDIAAWYAENLDSGFVAPDAYGTSDINCHKNSSPGVLTTTVSAGGSITFQW PSTWPHPYGPILTYIAACNGDCSKVDKSTLSWVKIDASGIDYTTQTWASQVLINQAGK WTTKVPSSLKAGNYVFRHEIIALHGAGTLNGAQNYPQCFNIAVTGSGSTSLPTGTLGV NLYKNTDPGIYFNPYVTITSYTMPGPSLWTG PFICI_15211 MAGTQPVPGTLKGLETLVQTSISLIKQFEEVLNQAARGQLTTTP PSPTSDTPPQSQSIDALSLAHDSASLIRAHSTKLSLLIINKPFTPSAVVKVLQELVAG PIPGLASAVQLCAADRYTSTVREDLAWRCHRVLKELRGLIEVIPLDGNILSPEKRDGV KGDKGSLTVTGVIWAACDDVIQLQKFGVANLLIKKVQQYRDTLQDVLDELKEWKEEGE QRGDDDDEDGEEPEDEVEYVTNQLDTTHLSAQDMVDDLMNTRHIPRNDSDKIGERLEA CLKRLRLTTLLYAAIVKRRLKVLPLLPASESSPVPRRLDEVLAILKRLTDRFNEVACA FYDLEPDAIDQSMDACFFDAFAASEMLVKPWDGERDEFTDWVQKFQVSIKKPE PFICI_15212 MSGKRATRKSQLAVEPQVPPPSSTASSHESNEHDGEPIAGNSQS TMVSQRQTRNNAKHAEDLTNHASTIGEQEARRSSRATKGQHTKAFDQLENPQAPKKKA AAAAAAASSKKSKKVEKEEKEEEVEDEEIIRCACGATTQEDDDPNEPWIACEQCGAWQ HNVCMGMSVFDEDLPKEYFCEQCRPEDHKELLAATAKGEQLWITRRQKHEEESKKKKS GGKKGKKRASDTKDKDRSSPAASTTKSKASPAPEVKKEDESTKAKRKSRDHSQGPANK VRKVAETRTVPALPKYTPPADLAESIDKLEGTRKSRADGLRKSLEVAIDQAVKNKLFK IPKDTAKIAIAERHAIEIERAVHDTHPNATANANQTRTLVFNLKKNVELAARVLNRTL TAPALAGMTSDDMQTEELQRKTAQMKELADKQSVLITDDGPRVRRTHKGEEIVENDSF MTTEEVPSSIRRQSIRESTGTGAGAAKPADQEENSVELPANVTAGPLRVDTTGKQQSP RQGNFDLEKVFSTVKSPSGPVQRRPSAPAPSAGPGVDPDVDRMLQEDGDSPPYSPTEN IDPDVVWQGHLKMTNLADCNIVAKFAGGANLQQVRGIEWKDLIPPLVTVAGRIPEDKA VPYLCGLRYNNQVDLVITSLAPADPSDPSAKNQYLAVINYFQSKQRYGVVGERQLGGV RDTYLIPLPAGDGPLPEILENIDGHIVPLSRPQPILLMVFVVRDDKLHSEQAPQQASA QQATPTPSVQATPTPVPDRKPSIPAPAWSPATPQGGFPTPGLPPQAHHHQSHTPIPPP VIPGQPPQPTPQQRQAPVVSAPAQALPQDPNALRQAQIEGEQKARQVLGPLFTSPTVT FLLPHAARMKEGEWNAVKRCIERDPRAREDLKLLSRLLTEEGNSAKQNGTSQPPAPTA SPAVVQNTIAAAAATLPPGAPPPAQSKA PFICI_15213 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTAIGILAKDGIV LAAERKVTSKLLEQDTSAEKLYILNDNMICAVAGMTADANILINYARQAAQRYLLTYN EDIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGWDPQRQFQLYLSNPSGNYGGWK ATSAGANNASASSLLKQDYKEDCTLKEACGMAVKVLSKTMDSTKLSSEKIEFATVGQT EDGKIYHRLWSADEITTLLKEHDLAKDENVDDK PFICI_15214 MSLQIPHREKTNGTGKDTKAVILVGGPSRGTRFRPLSLDVPKPL FDVAGHPIIWHCLTAVAKVPSIQEVVMIGYYDEAVFKDFIKDASNAFPNLPIKYLREY EALGTAGGLYHFRDAILKGRPERIFVLNSDVCCSFPLNEMAKLFDDKDAEAVILGTRV SQDAATNFGCIVSDAHSRRVLHYVEKPEGHISNLINCGVYLFSVDAIFPSIRSAIKRR TDRPRLVSYPSSENLESSFMADDDEEKSKEVLRLEQDILSDLADSKQFFVYETKDFWR QIKTAGSAVPANALYLQQALQSGSQELAKAVPNHIIPPVFIHPSATVDPTAKLGPNVS IGPRVTVGAGARIKETIVLEDSEIKHDACVLYSIIGWNSRVGAWARVEGTPTPVTSHT TSIVKNGVKVQSITILGKECGVGDEVRVQNCVCLPFKTLKRDVSNEVIM PFICI_15215 MSRRQQCDHAELQYDTSPHAAGAGFKRPNADRRLAATTKSGRNP LAVGGIGEKALGELTSKSAADIAASFPGPLVLPNDHLDIDPKDPPQSFRSWLLESERN KPTKERRTLYVASTPEITAPMRHMKTWSKPKLPKGKKAKTIAEPFDKIQPLLSKDVIE YLAAFYPGLTVKSFPERLRFVPWLEKQASNEKYVGIALSNNCTRIRARPSPDGIFAGQ LNLEDILDAAIAMLPRDAYALVLLTDHDLYEDEEDDFCCGRAYGGSRVCVVSSARYQP VLDEDADIDPEHMWPNSHCTTYIEQLCERGDAMTMCTEVSNSRIPTTSPMRAAIHAAT GVGPIVGHLHGLWFSRLARTVAHELGHCLGMGHCNYYACMMQSTASMAEDVRQPPYLC PVCLSKITHAM PFICI_15216 MATTWVPDVSPASPFSLANIPFGIITTQDDPTPRAATAIGSHVL DLKALSQSRSLIDGGDQDHVFSQPTLNDFAALGRPVHRQVRQLLQDILAVDTKYADVL KDNESLRQKALIPQSKVKLHLPMRVGDYTDFYAGYNHAYNVGVLFRGPANALQPNYTH LPVGYHGRASSIVVSGTPVPRPWGQILLDPTAEPKQPTTAPCRRLDFELELGCFISKS NSLGDPIKVDNAEEHIFGYVLLNDWSARDIQAWEYVPLGPFNSKNFASTISPWVVLAD ALEPFRTTGIENKAPRQDYLSESQTETAFDIKLEIDLTTPEGDTTTISKTSSKNLMWS FPQMIAHHTLGGCNLNTGDLLGSGTISGLEPSERGSLLEMNEGGKKDIMIAGMNVRKF LKDGDTITMRGVCGNDGAKVGFGDCRGTILTAIQR PFICI_15217 MASPDWVRANKLYDASRDALEAPWQPAGTGAGPSPWALPWPLEE GPVTASGIRGVHSKSQISEWPGNFASVESREDVRRGIVATAAGQTKTECDRGMDLLEN QVNDLKYLSQNAITPLPDSTGFTPQSAASPVSAVGHNSAQHHHGASSSTTTSDNHNAT SGVVAAGSKRKDLDDGSQNGSSKQQRSKRNRYISIACNECKRRKIKCNGETPCQRCGN LNLQCLYAPNCCTNNFKDSEEFKQMNSTVSRLQEQVETLFNNISSLRSETLRLAPLQP QDRVLPLPAPASTGTPSSSASGAPHHRPDVSHPRQPGFRGPTSTHFSLDVAKNTLHKM GYSNMGEEAPDDGAIPPEETPGTSPMLASAAPDSQRPFPDPLWEFDKDEMIRLCRVYE EEVGIMYPVIRVETVVNHTKILASWMDAVKKHFQKRPVSQEAGISDHKTVILKLVMAT ALIVEEHGDSSKAVRILDSIQPILNRMLMSDPSDVANLPLLCLLGVYHFLANDEILAW RVVGQALRHCIELGLHRREAILRIPDEEDRRNAIHTFWSSYVLDRRWSFGTGLPYVVS DDEIDPNLPLPQAQDQHPYLVAMVSYSQLSAKVWRLVRHFEPNMALDLRQNDIEELDR QIKQWYTEVPAEVQLELEDWESMPPEISSAMHTQKDYNLQRLQIWTYLRLNQIRTWLH MPILHTHSSIMENLRGAEQAVKLAKNTIKYLTHLNSTTNIYRKIQVFYHQFLTSAIAV LFLASCHAPVNFSAACRDEFYMALELVRDLSTKSWVSQRLWRTIKSLKEVAPRLGLAE VDDPHSSAALAMAGLATGHMGPATTPPAGSTAAFTRPVPPTSAPPNGVPAVDGAQNGW QISHEMSRIFEGYMGMNGFPPPPLDPLGASADPSRAGSELTQPVPVDDGVPIGPFGVS VSGAAVPSVYSHFRDMF PFICI_15218 MPGFILKLTAILVVGLGALLYQAQLPRMISLALGLGQTSAPLSA YPYTCRRLHHERLKACEDMWLSEATRQLFLACSDPLARSAWAPSQGSLDVSGRSLNDA IIVIDVDDLPAGPDVTRLRVLSMPGFAGTNGDGLLNVAGFGATDDDEVDSIRLWIVNE RPSLNLTNGEISEQSKYGANTTIELFRAGPRANHMEHLKTFSHEQIVSPNRIAPVGGR TDAFYFTNDHGTAAKVGWRHQLSPILGTGDVSFCDGDACRQVVPGQKFPNGLIRGRDG LIYVPSSLLGTIDVYQPQPGGDLVKLHTIETGYAIDNLSPDRDGQIFAAAITNAIKFF AAINDPYNKDTPTAGLRITKDANGGYKVTKVVEDGLGEVLPGSTTVVHDATTGRIFFS GVVSPYIAVCDRI PFICI_15219 MYEHLMPGGWIEITEWLVKFQSGNRSLNMFNIWNKNFKRGLRKF GSSPYWALGWKSIMQDKGCLHVTERRHPVPLNPWAPGKRLQKQGKMMAENVQTFLEGA TMPIFTGALGWTHEQVQELLSALKKEVADTDVHGYLTL PFICI_15220 MAAATAPSSASSEGKMADQKQSKDRRYKGVYSRSFLSRVYDHYV LGFNMNYIWGCSTKKVLLPFFSDNFTQQHMDIGVATGWFPAAVLSRPIRNHEKHQLTL VDFNETSLNATKARVLATAPDTVVNVAQADITAPLPDSLQSGVGTYKSITMFNLFHCV PGGPEKLKAFSTYKELLSDDGVLAGCTVLGHKHARNFVSKMYMKYYNKIDLFNNWDDE REAIEKALEEEFEDVVTEVVGMILLFKASKPRRSGKMV PFICI_15221 MEQPKTIPIAITGLACRFPGGADTPEKLWEVCAAARNTWSAWPQ DRLNEKAFSHPQAEHLGTFHSHGGHFLNEDVSLWDASFFNFTADMAKAMDPQVRLLLE TAFEAFQTAGLPLEKIAGTQTAVFAGSMFRDYHDLLMKDAESLPRYHVTGNAAAFTAN RLSHFFDLRGPSVSVDTACSTALAALHLACQSIRTGEANMAVVGGSNLILHPGSSMSL SNLGLTGPGGKSFSFDEKAQGYGRGEGVACIVLKPLEDALRDGDPIRAVIRETGMNQD GRTQTITTPSPEAQQQLITSCYERAGLNPRDTTYVEAHGTGTIAGDKIELGALGATIG KGRTVEDSVYVGSVKANFGHTESTSGLAAVIKVAMMLEHGKIPPQALFKKHNPRIDFE ALNIKIPTELIEWPECAVRRASISNFGAGGANTHVILEEPSHLARQYRRKNGNITPVS IMSNDEFQIFEQPATDGTPPQTPPRTPPQDRGSKSEITQIQTRLQEINETSTESYQTY VLSLSARDENSLRTAASKLADTLALPPSTWTLPNLAYTLNEGRTHFPWRLAVQSSSLS ALRETLINELPKPINCPQRPKIGFVFTGQGAQWYAMGRELVHVYPAFCEALSEGGAYM RSLGADWDPIEELGRSASDTKLNHPYLSFPMSVLLQLALVRLLKTWGIVPTATTGHSS GEIAAAYASGGLSFKEAVAVAYIRGRLTSDFVEAGRARGGMTAVATSKENARDLFKAA QVGDTAVIACVNSPNSVTISGNIASLEKVEELAGKDNLMYRRLKVPAAYHSSEMEALA EEYTAALESHFEPKHPQDFAAAFSSPVTGGIVESPSVIHNPSHWVRNMVQPVLFDDSL KAMVQGSQATKGRATASHVDVIIEVGPHGTLQGPIRQIIDGMGLQSMKNNLGTCLKRG EDAVKTMKDMAIMLYCRGYPVDLAKVNCLEQSQPGQVVSDLPTYAWNHSSSYWDVPKV AIDYMQRKHPRHDLLGVRVEGLNPEQAIWRNTIRISDLPWLQHHVVQSEILYPAAGLL VMVTEAMRQLDDETGESSGGYAMSDVDLSTAVIISGSGEPLEIQLVIKEPSPSGDGDF AHREFVFYSRSRSGSWVKHCRGKVAASHHLTQSTLAGGLDLVPMDVGHFYKFVERCGP TLGPAFRNATRLSGGDLSAEATIMIPDIVAMSPSSFASSCLVHPTVLDACFHPAWAAL PNELLGKIGLSVPRTITNLFIDANIPDTPGTELGLRVSMANASPETFTVSITVYSLLD KDRRPLIKIDGLTMVSIAESASPPPSDELLLLHTDWKPNLSFLSADDLQARIVEVPDA AEAVFFDDLQRATVNVIHDALEELTPEVEEHLEWYHKKYVHWMREQNDEFYRSMAVKD QTEKLQLYERMAASSCVNGRMLDLVARNLSGFLTRQADPLEVMAKDGFLSEYYANMIK LTRCLKHIEKYMELFAHENPGARILEIGAGTGSCTEPALMGLSQGGRVPLMAEKYVFT DVSAGFFEAAGKRFENFASKMHFQKLDIEREPSEQGFVEGEYDLIISCNCLHATSDLK HTLRNARKLLRPGGKLVLLETTTPHLDQSLTFGLFSGWWLSKEDERKNSPLLSAPDWV RYLSESGFGGLDVALGDAGSADNSNYSAMVATAEEVTMTSIAVQPKPIILAPFPLRKL LSQEWMDQLKDTVAATTNAPVVIAHDVEDLKSEAAFVCLLAADHESLVSMAPSDFDTL KNMTLKHERILWISQGAAIEAPHAAAALHAGLLRTLRLEQGGDKYVSLDLDPSRPYCS QENVEVIRRILESTYHAQEIQECELAERHRNILVPRLLKAQLSSQEAGNPLKHGTEQL VKQTEEPALQLDPNAGYIVIGGLTGVGREVVRWLARLGAKNLIVVSRNTNLRSARELG DELAEAGAKLIPFGCDIADMGDLERFIGALRVIMPIRGLVQSALVLEDTSFASMTPDQ WAIPLGPKYHGSKNFDELLNSPDLDFFIMLSSVTGVLGSHGQSNYTAGSTYQDALACN RVARGLPGVSIDLGAVLGAGYVARTDGVVERTGKAGWRAHTVQEVLRLVELAIRNPMQ AEMVAGIAPWSVSDAEQLSWRREGRFMALPQRLETSKKNEKQTNAASLRERLQSAESK LDTMVEGLTIRLADMFVLSPSDIQQNQPLAALGVDSLVAVELRNWLSANVTPSITIFD VTQSGSLVELAEKVLAKFA PFICI_15222 MLAVTIPLGLLAAHHALAKRHKDHHDQGPGYHISLPHYSNKPSL ISMTAPSTLTGSPTIIDTYTITSVNTAATTSSTSSDSSQTNFYILDAQEESEIQLWPV VASNSSGTGYTTFWTLMPPDDFLGGLTLNLFTLNEKGNLIYVGPTASLAGRAAYTDGY WFLFLDPESDAAQQYELCTCAIDPATWQLSCNCGGVVENCNTWLIEQDSCRLWYAHPY SQSDSGEAPSTTTREPPAAIRSSSTTRHLAQPTFAIHQEKGLGELGFSSSTPIGTNES IAFTKIFPIGSQQPLTFTLNERGNLILITPGLSTTGYIAYGDEHDNFVFARPGNNALV GARESICSIDGWAYQFKCGYVGDPMIFCNLRNSGTGELVVCPGVDWDHSWVNLYAVPV IPT PFICI_15223 MHTSYIIALTLSAAGLISAHGNVQVVTGDQGGNGTALGIKGAVV ARFGKNAETEKDTTVFGGNANDPMKDGLGKTTANGKLQVSDLADAMALSGDTLPQVAA DGTGSITGTWRIVTSDGTANDKNGNLFAVVDETGTGAYSKGTQLTATSAMVGNGKGNV VQRMMARALHTIGIQKRATNVGADATFSVKVPAGLTCTGTDAASGMSNFCLMKVVNNN NAGPFGGNIAFQVAGANTTKAATSSKSAKNQRSFQA PFICI_15224 MKAVVLHGHGGPEALSYEDIADPVPKVNEVVIRLVATALNHVDI DVRNGTSGVESIQLLPHIPGVDAVGVVESIGSAVTQWKVGDRVAPHFILSCAKCANCR GGRENICTASQILGLTHWGGYAEKVCVPEHTLVRIPNDVGLEDVAAGMTPFATAWEAL IVTAGLKAGETVLVTGAGGGVGSHAVQVATLAGARIIACVGADDKIERLRELGFADDF VNYRKDTLIEAVMKLTAGKGVDVVFDGIGGQILKDSIHCLADGGRIASIGAHGGEVVD IDMIQFFRKHLTMHGCGRSTKEIIAKVLDLMARGKLRPIIAGRYRLEEVKKAHEIMES RGFFGRILLLPHSSSAEP PFICI_15225 MSSSRSGSKVPNRRQNRRAASRKPAPPQEDFSEEYSEDYSEEEA PQPRRRGQQTKQKGGKQGGLLGGEGGPLGGLGGAQDLLPVGNVGETANNLVGGATGAL SNVAGGALNQGGGGDKGKSDTLRLRLDLNLEVEVTLKARIHGDLELALL PFICI_15226 MALVALSTLGLLVIDAIPTVIGVAEAIDAQKKQNQQAKERIKFN LTTNFSIDGKSPIQTATAVFKDNKLYLDHPAHPVSGYKFNGFYFPYPCEEKHQGMVSM ASDDPPALHWIYAHRDSGEIRHGTRGETAGHIIGPWNWSDDEEWLVLEDTPYFYAVQG EDGAWSVYHDKSKTLKQRLAPLKVLDISLHRELQLGVSSRMVGGDK PFICI_15227 MASKEENQNAQPHEQGEEQQQQQQQEQEEQLEQPADNDVQQEQN NAEDDGEQQKEESEEGVEHEQGGGDDAEAAQDGQEKQDGPEQDQPAEDDDAKTAYEGR SPSPKKESKKQRGESKKREESKQREQSKQREQSKQRDVATDEKPRRRKLRRNAPSWMS EKENASSNEKQVAAPRRRRQASRKPQQDQSQQQQQQMQQYQQQQMMMQQQQQQMQQPK DDGGKNPLKLRLDLNLEIEVTLKARIHGDLTLALLYVLFPFYPFLDPYSPGAWDVILP PYLSLSLSFAPFFSLSLLVLSLSHVHVSLSIHLHSEM PFICI_15228 MNLNAVDVDRIRNIALVIYFIYFILFNLNRIKFLIATTMADTAG PQTPSRKLFKAASKTKTPPVRKQHSISVTPRSAEPASAKSSSPSPGLFRKPSGATPKL VRKASSHVQSTPSKPAPEDIIKSTPLAPLSTGTKIFVAKRRKADSVASDTTGNTPIGL IDNDVLTPTDMGASHDDPNAPDLDPETLSKESSMVMTGAAGGLPDHDSTIPGSPGVQQ FETATDATRSPSKRATDPLIEDARQVPKQTTGAGDHDVESKNTRRKEENEGEKFTEET SPQDSTSRQHESQQHSTTSSVDERDMPSDLAQYFTNQGNHEMSEFVKALLAPSEDPTS TVISALGLTHESGQAAADKVRDDAEHQPPITETQNQKQSRTATSEAVPETLSNPQDSI HGFPEVSSHPFDELPTNDELIISDPVNSQSQDKTIIPRDADEKSGHGISGFPDVSTNA VEELPNNDDLVVEPTSRAKDTLPKGSNNHTIPLRQPATSTDKTRDTTSGFSDGSSKPI DALPAPHENPTAAAVVGATNTAPANIKVSGATEALPAAAIAPTAPTTAAPATGQATGD KVARDASQTRKLAEVAASPNTTTTDTTTITASAADSRHDNKIGLPDIEGIVKGGDITA SDHIGKPLDLSGSISSRPQDLQSEGEDLHQAARRESTTEDKAGVPSSSHYLNDGAIIS EATQNPAVLRDQVAPLREDARDTADSLPSKSTKTAPTANGFAQAAMAGAQEDLAAKAP QQPSASDATGHATSNEAAGGIQAQADNMGKPAHINRRIDIPLPRPERPSSSSKSGLHM PEVDNLRSTEGLPNVNDLDDPPEEFLDPSVHSPQQPSANISPIPKIQKVNPIDSQPPP DLARLANGLGGHSIDDVGNIVDNSGKVLGHATGDLPSMIGKKVADNGEVYGDSGELIG YVTENFTGHPPPTASETNKSSASRDTPLPGGLRVDLEGNILDASGNVIGKMHSKPGQP TNALAPYNGKEESAPRNETNGEQGQSDNEKPRAKFDEGGIPADIFLDVKSTPDGIQLT IRIPTIFKQETRQTAEASSSSA PFICI_15229 MSSQVGSAQKKVQSELPETPTKAGQPLSESKLDTSAPRSIPDIN DSETQSQDADVSNSGEGEEGEMVPAAGRINEKGEVIDDDGNAIGRVTKGSAKDFVDFV VTQEGDILDSNGGVVGKAEPLEDVASRLDDHYTTTSREHVDTVTGAAGDETPSGGGLG GALGGVGNLAKGATSGIGKTAKGLTGAVGDTTKGVTDTVGGATGTQEVTDKLGDTVKG TTDTVGDTTEGATGAVGETAKGVTDTADEATKPVTDTVDDATGGVTGKVGETAEGVTE TAGETLEGAGDKVGETTEGATDTLGEGVEGVKGTAEEGVEGAKDTAEEGVEGAKDTAE EGVEGAKDTAEEGVEGAKDTAEEGVEGVEGVKDTADEGVEGVKDTTEEGVEGTEGKLD ETAEGAEGAVDEAGETIEGAKPALDEDGNPIEGAEGAIGEAGEAVEGAKPALDEDGNP IEGAEQALDEAGKPIEGAEGAVDEAGEAVEGAKGAVDEDGNPIEGVEGEIPLDENGNP IEDLKAPIEIPEFAPTVAGKVIAMFEGPFTVAEDGQVTDQNGKILGNLAKDTDIQNLI GKEIKGIDEHGNLLGDNETVLGKVDLVPEGTIVERIKDEVPEAAERLDALEEAKNKLP DISILEGLTINKAGNVVDDKGNVMGRIKSGELKKLIGKQPDEKGQIWDGRGNVIGEVE VVPDAIENLASPFEDFPDAIVDKNGVVVFEGRQVGVVSEGDIEKLIGKKVDADGDILD KNGNVIGKAERKEFEEPVAEPEPEPEQTDYSMLKDKKINKFGNAVDDKGQVWGRVVQG VLKNLIGKKVGDNGEVFNDAGKVIGKVEPVPDEEREDYKEPSPFEDFPGATVGDEGKV LFNGEQVGIVVDGDAKKLKGKPVDADGDILDRNGNLLGHAERWEKPEEEPEPEVDNSS LAGKRINKAGNAVDSHGDIYGRVIEGDLKRLIGKMCDKQGFIRNEGGDVIGKAELIPE AEREGLKEGPFTELPGCTVNKEGHVVTPGGDIVGRLVSGDPKILFGRAVDDDGDILDK NGNVLGHAERWEPEEVAKDVNPMSGRKVNREGNVVDENGDIIGKLTSGDLSKCAGKKI DDDGDVVDQKGSIVGHVSLLEDIPEPEPEPVEEESEEDRQKRLQLEQDRKIAAQICVC IEHSLDKIRPICKMIMENIDRAERTPEDERDEEELVKVVKPLIEEGGKILTEANGVIR GLDPDGRISANAKHKTAAREATPEEYHLADLLKELTGTVTETIENAKRKLEDMKYAKK ELNPLWGLLAEPLFQIIAAVGLLLSGVLGLVGKLLNGLGLGGLVNNLLGGLGLTKVLD GLGLGSIVGAVTGQDDKKKKGKSGGSKFLGII PFICI_15230 MPRTLLLCFIHGFKGDDDTFQSFPHDLKIQVAKQIPNHNVESVV YPKYETKGELAQSTEQFLSWLRERVMDVRKAHSEKPWPPHDRDVGVILVAHSMGGFVA ADALFSVLNEKIANKDADDTPIFPLIQGILTFDTPYNGLARSMFVYGAFSNYQKVSSV FNVMTAISAAAPAQLSKLSLKRATASAVPARSSNPAWKSWQLIAVKTGTVGAIAAGGV AAYMHRKQIMEGMRNMRNINRESVQESVKEGYQNSVDALGQGLAYINRGNVGKSFEYL SDHFTFIGSLMKQQELSRRLERMGELKGVGIHDFYTSLGENGVWSGGYFVPERTFCAI PEKEHVASHIFSKQVITETEDEVQAHMSMFKRDKNINYEQMTDTASELVISWFNEDSI IVEDPKFAAPAPPEVVEEPVSTTGDGTEIPQTETVADEANVEEDKDYDGDSLPDESPL DIAAAASMVPLPDDDDTAPETIEAKDSAQSTYMRYLFGVAQQAGTGIKSYVPRTMPEM PSGPSFKSYIPSQMPSMPQMPNLPSMSVFSRSKKTDSGTDLTEQVNTNKSPESDTAAT MTTTEGPVMEEQKS PFICI_15231 MSNPEQNKQQQQGGGLLGGLMGGVDNVLTGGQQAQGQGGLLGGL GGTVGKTTEGLGNTLNQTGQGVGNLAKGVTDGAGNTVGGATDSLGNTVKGVAGGERKP QQG PFICI_15232 MKGTINLPLFLAAIACLPALLAHSLDQIPLSNVAFVFRNATADD AGDIATVTIDAFSTAPYWDYLHQFQDKYPGYAWQCARDVYKSVLEDDSPDAYVFKVIA VPDRTARSGSRVVSFSVWDFNRTGMPDSTFASLMFGGTGNCSQRLDTNTTRVDHYYKS ILESEKKYLDAVFKHQVYVGGLATHPQWDGHGFAKTHLDWGMEIADNLGLPTTLTGTE AGHPLYKSVGFEDLHNTTIERLDGKGIIWHEVMKYSGAD PFICI_15233 MRKVVEQVGEEQDLQPLEDKTEPLLLRSKRTERAEKRQRLREKK HKVKSHVTHILDLPHELVLEILYYCQPSTLFNLVRVSKAYQAFLRAEEERIAYNVCDF RYRCLRKCFHKPVLLEHVDPDAHASLQSMDRVELKNALTKPYQHVQSPDPTMICTCFA CLLRWTSLNIIPDFAHWQGNLDAGEPIPVIPRGKQPEWNRKLVGLHADIVRKAIVSPL WHSRLLQEHLRSTVRAISRHAANKGNKRRRFRLTQDDIVSETDHFLERSGPPTTDIPY MRDNYYMLEAFLPNRGWNGEEVRWMYVPQEQHETDVKIVVSWAKWRAQRNGLMTS PFICI_15234 MAGIMKLPTPWRCLVLLLSIFQVAAAASDMVRVLTPRQSPSANT LLNPICENYSKVANLSVIGLNSTYRAAFLRSAPMGTDAASSILDTQSPKFPAMMMDQN LNAQCGNLSTIAFTEAANNFTSGIVADLVIQPAPGIGVIGPETPLVVITIVILFGGMF ISL PFICI_15235 MRISSSLSCLYAAATVSAAPFLTQLDSSTWVFGNDLFNVTQGSV YATEVHYGGHELVGTAAGHYMGYDGENNFEWTSAAIVSEGDGYIDISFSSSAGDLHWV IFDGLAGSYQYFVNTAVPDLSILRTLWRLDPSLFLNARTYLRDQPLPDFSLYANATKV QDETWELSDGTFITKYDFSDFVRDRDYYGIYGSGVVGSWYIFPGGDYHNSNQLSQTLT VHRESSTGDSVQLNVFQDTSHFRVGQTTPQPVGKIWGPWLWYLNDGSLEDVERQRQDE LQSWPYSWLNDTAYHRRGELSGTLKLSDGRAASGAAVYLGDTNTTVRPLIQGSNYYYT ADADENGQFSFSQVRAGEYGLYAWSNGGDIGDVYSNFTTTNVVVSEGEATELGTLSWD ISGLQRIFQIGDFDKKALGFKNGGPPYQHGVADQSPANLTYDIDASDVSDWYYAQSLI GTWTVQFALTAADVANHTDRGALLSVSLAGYSQSAALNISVNGNLLGSLDKDSLASDP ALYRSGKTSGEWRFFQYEIGPGILVEGTNTIDFTITRYTQWRGFMWDSVILEWL PFICI_15236 MGSNFVASDLHPFDPLTASEIEKAISIVKKAHGDVFFNVVALQE PRKAEMTAWLQDPSNAPKPKRVADVVVIAPGGKVYDGLIDIETDKIISWELLDGLQPI ITMEELIAVEHVCRKDPKVIEQCKISGIPEEEMHKVYCDPWTIGYDERHGSKVRLQQA LMYYRPNVDDCQYQYPLDFCPIYDADKQSIVAIDIPEIRRPLSRAPAINYHPAAVEKN GGYRQDLKPINITQPEGVSFKMTGREIEWQNWKFHIGFNYREGIVLNNITINERGTVR PVFYRLSLAEMVVPYGNPERPHQRKHAFDLGEYGAGYMTNSLSLGCDCKGSIHYLDAE FPSRAGGIRTIKNAICIHEEDAGILFKHSDFRDDSTIVTRARKLIVQQVFTAANYEYA IQWIFHQDGTIQPEIKLTGILNTYAMNADEDTHGWGTQVYPGVNAHNHQHLFCLRVDP NIDGPDNTVFMSDAVPSDAPVGSPENYYGNAFYAKRTKLATTGEASTDYNGRTVRTWE MCNTNKLHPYSGKAASYKLISREVPPLLPKEGSLVWKRAGFARHAVSVTKYKDDQLWP AGRHVPQTSGEPSLGLPEWIGDGTESIDNTDVVLWHTFGVVHIPSPEDFPVMPVEPIT LLLKPRNFFTNNPVMDVPPSYSSTPSQVAAGNAGVLNAADKLSKLAFTNGTNGANGSC CGSNSTNGVNGH PFICI_15237 MLSLQTVALGAFISLGLVYVLTTLSYRQRSRGAKPIPGPKGLPL LGNILDIQGPNLVPTFNKWNSEYGPIVAFSIFGQKQIVLGTEKATNDLFVKRGNNYSE RGTPPAAAYVSRDYVTALMGKNDVWRRQRKALHSVLASTVAVTYEPFIELESAYTLQH LIETPKDFNSHIERYAYGVIFRLALGQSVDSMNDKEVLESSKYTDDILDTFRPDKYLG NLIPSLLKLPTWLVPSNAELDRLANGMESQMNSFEDSVREGMKDGSAPDSWMRHFIEN RQNLGLDRPEANYTLYALVGAGTRSPYNAVLSFIVAMMEYPDWQQKLQDEVDRVVGSE RLPVFEDLPNLPIVRAIVKEGIRWRSIVAEIGIPHMTEEDDFYEGYFIPKGTILHANY SSILRERDLYPDGAAFNPDRWLNPAFPTYKEPLTVHPNLTNFTSFGYGRRACPGTNFT ERSLTVMVARIAWGFDIKTPIDPVTKEEIKLNIQYEPTPNPKPLPFPADISPRSKDRA AIIMAEAEKTRKSDPLRKDI PFICI_15238 MGRYTNSHANPQGPGDARPTAVQIIQDEGLEGKLAGIVIVVTGA TSGIGLETALALSATGATLILTARDVQRAELVLGDLAKHHDVSLVEMDNSSLNSVRNA ARTILAKSNNQVNILINNAGIMGVPSLETTEDGHEMHFAVNYLSQFLLFQILKPALLG SATENFPSRVVNVSSSAHRAADLPHSDNYSFHGGTYKFGAAYAQSKLASIYMANELDR RYGPRHLRATSLHPGGVNTNISRYVGKGFVEQIMANEALFKVLKSPAQGAATTVLAAV GKEWANKGGKYLEDCQEAERGKDDRNTFSPGYVRQTYEPVSEARLWEDSLRMAGSFVE PFICI_15239 MPVTTFELKEKYRYQNGFASYQETEAVPGALPIGQNSPQKPPHG LYAEKLSGTAFTAPRHENQQSWLYRIVPSCAHPNFEESTSGPFTADKPYSHKKLKYVP NQLRWDPFAQDEQTDFVTGMKLVAGAGDPTLKHGIGYYIFAAGKSMDEKDAFYSADGD LLIVAQHGALDIRTELGWLLVRPMEIAVIPRGIKYQVHLPSGPVRGYALELYSGHFTL PELGPIGSNCLANARDFQVPVASFSEDFGATAAEGTNTYNIISKFNNALFTTQQSHTP FDVVAWHGNYYPYKYDLGRFNTIGSISFDHPDPSIFTVLTAQSDHVGTAIADFVIFPP RWLVAENTFRPPWYHRNTMSEFMGLIGGVYDAKKGGQGGFMPGGASLHNVMSGHGPDA ASYEGASNADLQPQLVGKDSCAFMFESCLMVGVTEWGLETCNKVQTAYNDHSWGGLKI HWKKPDGASTETHLLK PFICI_15240 MGLKILINGAGICGPALAVFLLRSDPSYTIAVVERSTELRTAGQ QIDLRAQGIPLMKKLGLLEKVKERTVVESGLAFVDSNDKVKAVLGVNDSGKGQQSFTS EYEIMRGDLVDILYQESLDVGKQVKRDGGKGGVNYDFGKYATKLTQDDTGVDVVFSDG TSDRFDLVVGCDGQGSRTRRLTWGDELEKDIFKSLGAYIAFFTIPATGQEDIGKIFHM PNYRVIFTRTGDRPVTQVCLATMNITKELEGCSNKTINEQKEVWKKLFGDCTWQHERL LEGLDKAEDFYMSHVGQVKMDSWFKGRVVLAGDAGYCPTPFTGQGSTASLVGSYILAG ELTKHSDNITAGLESYNRVLRPYVDEMQKLVPGTPNILYPKTEFGIWALQTFLGIFTT LKIDKAINMMLPEGKGGLVIPQYETLKLPGVAAS PFICI_15241 MRKHLLLFAILHVANVANGSVQQLTDIDRRNLEPESVEIVDLLP LVQHPDDVAKRFDIPGVDLDSVADEVWGKVKSEVADAVDAAKKTFDDAVSTAKETLDK AVAMAKEAIEKIKDEVEKIWEEVKKKVAELEDKVFSAIHDWIHDHIVEPLIKLLVIIL VILLFLPTWWLIHIIAKLFDSTRKTRPAPQQNVEMQSWDEGIINGGIVQPSRPKKNWA YYVVRSWEKYGLGAVCLLCPFVGGFISWLEARKVPKLDRKMRGLRGDVQDLQLEIQAL KAWRAGQEKSKREEGNKLRSGTDRQNW PFICI_15242 MSEPLSKVDSAVQGLNEAPPKKTRMSSSAPGVMNIDDLRDSETV IHVAIETQKTGWKINSSSTSVEDKEILKKMLTTPPVKKIEIEFPLGMTVVARNLKGVT IKDALDAMHKPNKKRADDELDKPYLEGFEWNGLRDGNTEEEKKHEWTRLHVRLQSTPG IAQGGGKKKKNKGGDDA PFICI_15243 MVPAPNPPVCDDTKATIFGNTPNPHPVVICFHGSGDSCASWLPL AHSLSDSYRVLLWDRGEPSQKPSVAVDEMVKYLEQVRLQIPPPYVLVAHSYGGTFART FLEKRPRDVAGMVLAETGQETALDAKMERQQYRRQVLGDNPLSVIRANTLIRKWAQYE HAVNAADNDTEKTALEVQKQILDVTDKEDERLKKAQLALSRHHRYLHVPDCGHNVIQD RPEVVADEIRWVMKNLVSTEKKPANVLRRLLSLIGSRRQPQ PFICI_15244 MADTPLQSIETDSPDALDTIYQMSVGSPINAAMTGFKDETAPSN EATFDQPVDTNDSNLDKSRQIMEQPSEVSNQVVSERGVAMDAFSSSSLPLQTPSPLPK KRGRPRKQSLPGTTSKVSNGQVVVATPPRKRGRPPKSASKKTKSPTLNMPTSEDRRSI PSIHRSNRAKDKLPKKLFDSSNYQKVPQTTTATTTTTTTKNGMSEDLGSGMGMMTSST PFPAPAGYGSMAPTGFDIPYSGRKYSTGKNAVNGFQSMTSDTVIMAPSPLQCPSCNMV KGIIQDILFQHSVQEQDVWVIPQLAAVELHRYFGGGTPFPQKDVLIPAEKVEMIRHLI FESGK PFICI_15245 MDVSLLQSNFAASPDYRFWRPPQLVCYQGNGPQGTNIVTAGGLI QSGNHFYLVVVDDLSLLGWSSCATTSSSTKLKDHRRCLTMTRHINYHRSSQEIVGIIG HLVHFSIDQGYGLVRLKSEWIRNDLEAIKQDAIPVDSFHTYLDTPIVMDFVEFVTAGG EQVTGVVIAQQSFCVEAGNSKRKVEALVVNTSSYSVRPEDCGTWVRRSVASNRPFLLG HIIGSSDDGGSTMLVLPFTHFREDLRQVVRRRAFNLSA PFICI_15246 MAAADVDVGYLATHLGMPEKNLSTVATDPTAELVRAVLAAVATK AHEFDALYSEKVQLEVELETNVRGAEAQRDASNERANKAQKEVEEIRQKLQQEESRRH ALENELQSTRSSNSTSQSDVDSLRAKVSSLESLNREKLAIIDTKNTANEQLSQDLQAL HQKNLKLNQELTAAQQAAQNAQGASNTFKITESRLRSELQMAERNAEFWEEEQKKKAA ELNQVRKDKTSTISRLQSENQDLRSEKETLEESIRQLRKQLDETQSKLTQAYQEKQQA IELAASREEGLRQDLDSTKRLANMREEQSNSLKNRLAEMDMRLEQVKRDGEDAVRRIS EELAQTRQSHDQAVNEVENLQAEVARLEAVLADTRGSPGQPGSAPQTPRPLNGSLLGR PASPFAATPGSMRKSMSVTQTLDEVYRLKGQLAKEKKHNEHLAKEIEEMMDQLEAKGP EIEELQAENERLQHEIQNMSHLSDESFKERDLAKKAARKAEADLKTAQSEINIARQQV RDLSAQMQMMIFNLEHQGQELSLEEQLQVQRLQRGNATDNMSDVDELISERLVVFRDI RDLQEKNQELLKVIHELSEEMKNAEDAEAKQQAAQDHEEVIRLRDTIKEWEDRLRSML VQHEAVVKQRDMFRRLAESRGTHVGGSVIDGDNGVLTSIEDNGASILGGDSTDYATVL RELQQQYDSHRAEWNTDRDTMKKQIDTLSSERNGLQAENARVASQLGLKDSRFEMLES NFKALKSENEQLSKRIREQSENLSKQDIRTQQAAEDLVEARSQVEGLRNENANLKAEK KLAKDIQERLAQDNDSLMNERSRLNEVVATQQRLQNERELSDAETKRRLQTQIDALEE ELKSAKRNLTDQMEEGKQLQLRKEFDAQQYQKRIDELTGNFGQIREELAVTKTSKEHL QVRVDELTIELRTAEERAERLQPRPTPRPGSIAPGAAQNIAQESEERIQELIHELTDL KRDLELAKTHLENAEAQANQYKELSQANEEELQALQTAQDQYVQEMDTALAGKDSKIK ELEQRIEDLSAELARTNTELSALRDSQDEVARRAENEKSVLEAEIKRLKEQDENYSAS VQCHQQDLRTQAEIASRAQQQYEEEVAKHGQTAATLQSIRNEYNQLRTAAATLRAEAE SAKATLLQNESSWEERRKKLEQEMSDLRARRDDADKQNKTLHASLENMQNEVAKLQQT RATVDDSMEAIPPQPTSSSEAGLREMANYLRREKDILEVQLDLKDREAKQLQQSLGYA NAQLDDARLKLEQERQSQSSNERSSMSHKDLMDKLEQLNLFRESSAALRAEKKQLEAQ LADKSAKVAELEEKVRPLEALIEELNSQLEHKQAEIDQIRNDRDYWQKRSEDIIAKHG RTDPAEVEELKETIATLEGERNTLREAEIPLKNKIEELEKALQEKETGWQSTREKLVA QFKERSRTLTAAKNEANLEKDRVQGELNEVSVQLTSAKQEVESQRAKYTAAEEQIKAF QSQVQALQREIQQNANTQAAGTVAPTPATASAPSGTEIAEQVTSLEQQLSQVKAELES ISAQKANVDQELEQLRSQLQTAFAERDQAVANAAAAGNNDTNGGDVAMQNGSDEAQST AAPAAVPMTDEERQGLEQRIAAAEAKAAEFEAKAKDIEDNQAAILSQRSDKMKKALND KLKAEREAMKESIDKQQADLNLRLEQERKIWEAEQKTNASAESQAPNTPSQATPGTLG EASTPAKINLETISDDEARRFVATNNTIKSIITTNIKTKVEQAMKRVKEECEQNHVLK SEVEQKLLQAREAAMKMASTKSSVQINMAQNNAKMAQAKIAVVETAAKETPTKPVSEV WDVAKTAKPPPAQPKQPVSAVAPVAATGAGGRKSTQFSVVRGIFADDDQESAQVGPTT ATSQATQPAAATSNLPTKPQSSIPTPATANSTGTPANPFAPLQAQQSNQPTSVNNPFA SSTNSQPAAQQPGQAAANPQASNLPKSGIPAPSNLPKSGLRQPSGTYQAPRGGRGGRG GNRGGAQAGGRQSLNPGADNFQPGPGLKRTRNDSEAGGPGGQKRMRGGAAGGAGTNAA QQ PFICI_15247 MTPAPDRRGAAASEQTGPNQEPTTEQKTEQADLQQQQETLAMRH ENHPAYVAERLQLEQRGFIGKSDQIARQIVAWQQLAPDEKQHTVPVKKWEKNIIAEDG RVEIISNWASFDVLQYQDKPASAGMSMIHLLAIPRNYIFNGVDLDEESVDILDDMISL FEVSWKDPAIRLKILDSQREAINRRAEATKGQPFTNEAHQVALDHYAWLESTINDLDA GDFCYGLHLHPDNSADYLHLHIIAAPYEFRKYSTSKHDKKTKDAIEVRDFIKRAAQDQ RATMPRTPSISDEASKRSPVAAT PFICI_15248 MRAVEAGAEEDRYTSDSICIPKRSDAALAHATAYTNEEVTGRRP PKWKRKIKCDEAKPWCFRCTSTSRRCEGYKTSEVQSTIASRQSSLLRSASASTSAAAA ASATSPLSEGLLDTRLANSIDEHKAFHAFLHSGTFTLGCSSDIDLWCRYIPQLFHTEP AIRHAGLAIGSLLLQNGSMRLKEQSPIIKETGSGGDGAFAVHHYQKAIQSTLRSMQSG RKDIKLAGITCILFFSIEALQGHEHEALQLFKRGNQFRPISSFEDPNHADPILAGLEQ SFSRLHIQSSMFEGSVIQTTETDADLDGAINSTIQAQTELSSLILITCRAVEEGIFMK WMPQFTQFVNVGDMDRGAALLQIQARVRQLRETLQRWHTRFLAYKEQSSRKRESVEEA ILTGFLLLRSESIYFWMVGCTEQSELVYDSCLPRFQEAVNSAGQILDLMHRSGKVGPF SFELGLMPPLFVIIQKCRDPRVRRQALDLLRRAPAQEGLWNRDIIVQVCEKTIELEEG SDGFVREIPQDRIDIEIPEAMRIKLVKVDLRTTLEDGRTGDFVDFYSLPHGFDREWCI TRDFFEV PFICI_15249 METTKLFQPLSLGRLELKHRVVMAPLTRLRCDKDHVILPIAKEY YAQRASAPGTLIIAEATMPSLKHGFGDHTPGIWSDAQIKSWKEITDTVHDKGCFMYLQ ICLPGRAAAEGYECLSSSAVPIDAQSRVPKEMNEEEIQEAIDITVKAAKNALVAGFDG VELHGANGYLIDQFIQDNCNQRTDKWGGSVENRSRFALELVAAVAEAIGSDRLGLRLS PWSEFQSMKMKEPVPQFSHLVRELKKYKLAYLHLIESRVNNWADKEKTEGIDFLIDIW DNQSPVLVAGGFKLDSAKTAVDSEYAKYDTAVVFGRYYLSTPDLVFRLQHGLEPNQYD RNTFYTPVQPEGYLDYPFSKEYESAAKGQA PFICI_15250 MAASKQFYLLGEDPLTSSKEIDISSVADEDDLKHTIAAHFAIVQ SSGVGFASKDNVALTTLADVRAVEEPIAISIDGKAVREIPGPAGLPYIGNYFEVYPDH LGNHQRLFEQYGPLIKTTNLGSVVYHTNDPVLASIFFSESDFFTKNIIPGHPLHPIKN PESGVFLGDTDTEEWRTAHKFLPPAFGPKAVRHYAPTMQKTIEDAFKVFDELDERDEA WNVYPYMLKLGSQAVGKLVLGLDMKHFTAPDAPLHEMVFRIAQSLSLNKKVTSMGSWY AHMPFGAPKQLRDARGRIVEMVQESINAASKGIEDLELQEAAVKAENMVDYVLRATDS KGNKLPANRIMEPLVVATGAGFTTTSSLLSWLLYGLVAYPGNQERLLQELIDNGFDEN TQITAELTQKLTFLDKYVKETQRRHNPSYQPARTSKVDMILPGGYKLPKDSVCVVALH HIHNNPKLWDNPTQFDPDRWDTEKVKSRHQASYIPFATGPRMCIGFNFALQEVKVFLP KLIYRYNFSLASDGPLEYDPMFQLIRPMNLYVRAERRVKWPAKSG PFICI_15251 MTAVTDVEYTAKEVAAHAASEDCFMVIQGKVYDVTKYIHDHPGG SDILVDAAGTDATEAFDNAGHSEDAFEIMAEYQVGILKGSSKKAAPKAVKLIATPKKP AKAQSSSIVPTAVGATVIALGALGYYLYPQISGGRASSVSFSLPKASWLKASGPQAQR FGFVEGFLVASGAVAVAGSVLFKRGLELLDMHGDFTKYPSHLKLPKPVKSEPLLERGW LHPTTYQTLPLVAKIELAPNTYKFVFELPTPQTVLGLPIGQHVSIAATIDGKTVSRSY TPVSNNADKGVLELVIKCYPDGLLTGRYLANLNPGDEVQFRGPKGAMRYRPGLCKKIG MLAGGTGITPMYQLIRAICEDDRDLTEVSLIYANRTEADILLRDELETMARKYPQNFK LYYLLDQPPADWQYGSGYVTKDLMAEKFPAPSAESKVLLCGPPGMVNAAKKSLQDLGF EKPAALSTMRDQIFSF PFICI_15252 MAQLRDRFYWLNQINKASTAINVDEKLLSQELGSTIAHGITKIL DDGSKPDGARPGRVITLEPLLIKAAGIEATRLHVGRSSQDMHTTATIATIREHTLNLA DQLHRTISRMVSMAEEHVNTLVPNYTNGVAAQPNSYGHYLLGHVAGLLRDAERLQQFY ARLDRCPMGSTVLNGSRWPLDRQRMASYLGFAAVADNAFDAVQISGTEMPVELGAVCT SMMLHAGAFIQDVMSQYGNPRPWILLKEGGDNTYVSSAMPQKRNPGILNSTRADASRI ITLGFGRAVQAHNITPGMIDARSTSDSVEVVKGATAVLKDLARILGALQINAERALEE LNSDWTASQEVADVLMIRHDVPFRVGHHFVSEMVTYARKNNIRPSDFPYEQAQNIWIT AHQHLQLECTAVLPMSAEELRETLNPAAVVQNRATTGGPQPTEMSRMLKEAQDRVRGL KAWRSAQSSYIESSLSRLEVDFQKLLVAVQN PFICI_15253 MKGQAVLLPLATLAKYVFAQADTVAYTDAETGIKFQSYTDTVTN TSWRVALPLSTDGNYDVLVQIEGPSSLGWIGWAWGGTMAYNPLTLTWPNGNSVIHSSR MAYGYHSPDVFNETTYQVLKGTTVSGGNLKFTALCKGCSSWADPDGNQQSLDLTQPAR LAFALSHTVVANPGDSASSFDIHDSVGHWYADLAAAKSEDFDTWVKDNTIPASNATVI LRHRPIRPVEMK PFICI_15254 MGSLSPASNRRPLHEVMPPLVLGTATFNSQYVSDPLNPDVLPAL SIVSRTLELGINAFDTSPYYGPSETILGDALAHPSNASKYSRESYFLVTKAGRVAGDE FDYSAAWVRYSVCRSLERLHTPYLDLVYMHDVEFVSSDEVLEAVQELRRLRDAGIIRY VGISGYPVGVLCDLAEMILEKTGEPLDAVLSYSHFTIQNTTLGTSAVQRFTKAGVDVV LNASMLGMGLLTTRGADNGPMKAWHPSPSGLRDSIKDLVSVATEADEKLEVVAIRWAL DNWARDGASRGGTSPWLPAGTRVGVSVMGVANVPELEETWRVFCSVIEGYNPKPSSAE MAEAHAWSLERRQKIQSLVEKMWAVLGEWKDYSWSSPGPGFVNTRRKEDRGVYPKSDG IYDKYIQKLEL PFICI_15255 MGNAHTKEAREGGRGGRYDAAASPFGAGPSTSERSARRSNRREL SALEVLTGGSSNARREQPDAPFERRETKQEREARRLERERVARVKERERSMKEEHVDG GFLVTMGVYTASEDFSKPIVRQLQIERKIAPFWRGLDDFRDDWAEHQIIAAARGLDIP PADEVPEDLVPQPRPVESPSTSLQNLNNLTVPMGGRTLSTASDKTGSNPGSAVASPTS PAPPKLSSSLKSPHKAIAGVLSLSSRNGSQQDITPREINLPNDPFVNGSPLEVVLYKD GTECPICCMYYPRYLNKTRCCDQFICSECFVQIKRPDPHLPDHHPDQQNSSEPQQSNT EEQSGELVMEAACCPYCTQTEFGVTYEPPAFRRGLAYAFTPPGLGSMGTAMSSSSSLG SSLSPTSATTPGTSNRRRAQSLSANDPNVISTDRIRPDWTTKLNAARQQQRRRAAAAD ALHHAAFVMNQNESSRSLFGRSSRFSRRQGGGDSPGSTSNVGTSGQGPEPSQGPEPGT RSSSARTGPSRERIDAAHLESMMMAEAIRLSLADEEERRKKAEKEAKKEAKKKEKEER KASKRKSSIYGASEGGSSASASTLSLSLGFGRKRGNSAAGAQNQRAEGIPTTASVSSG QPTETTTNADAAAGSNGKGKAVERTEDSTLPIPISQPSRGSSHLRHISNASSISSSGA ESVQEGYGNREDGVPEEAGASKVSLSGGKSEDEGTGTESMFNFRSLAEMVGVPLDGEE AAAKSQHISRPRHGDNDSDKASEEHHEHVEHVGPAVTTAPTGTAEEVAGSSSSSLNAP RESLGTTSLKVDTQQAQPAMGSEMSSTSERVQPPPSVMITPETPAPVDDNEDDDSKQL GYSNRLERSSEVAQ PFICI_15256 MAPRKPKTSRPSQLSKTLVLDNGAYTIKAGYVTEEAIDKPRVIP NCIARDADRKVYVGSELEKCKDFGEIAFRRPVEKGFVVNWEAQSTIWANEFMEDDAPQ RCDPSETRLLLAEPSSSLPVLQTHTDQMVFEEFGFASYYRGNGPSFNAYHDIQAILRT PRPSDTVVQLPVEIMMLIDSGHSHTTVTPLIRGRPVHPAIRRLDVGGKLMTNYLARLL SLRHYDMRNDTYIVNEMKEQACYVSLDFNRDLEKTWKGTRGEKRQDYIDGGGIAKDYV LPDFHTRSKGIVREYDVSQASRARKLAAARAGEISEDVLTLRNERFTVPELLFHPSDI GLRQSGIANLVVESLQVLPVGLWPGLLANIIVVGGNALFEGFIRRLQQEIDQLVPDEC VVRVARPADPITSTWQGAANFARSDSFNSLCVTKEEYEEYGSAWMARKFLIGTE PFICI_15257 MAPVATLHTTRASSDLPDLNLFDLLSNSLILHEIVPFLPISALL NLSASSRTLRNLLYDTPGVFRYVDLSSCKSATFDIAGIDRGGEVWRNVQLDENVTEDE FYSGPLRGILYNLQRTNVLQNVHTLVLDGLSVTADLVHEILVDPKYQVRILSVRGTRN LNERRLMQTLRYACRPGRPEGTPRLKGLYVFGTKDLPSISPAVSKASPPPVASPNISI NWNHKSSHALKESMNLSGSDWYHRRGKVSTKPIAVDGWAATMLDCRGAIQFDACLCTG PRHQNSVAFGKVPVASVPGANHPWNIATFALGGCATCGCAPEGFTSYSDSPFEQLPLL APVPLHSSSVKTACRPESTSKDGSNAAKFVPRCWDCVRDRFCFSCLQWWCEACYQAPT SAELQAAQHVHIVQDSNEAADYEVLQQMEALKIKVRDHTCIECQVLKEQTWPSLLHLN PS PFICI_15258 MAEQEELSLDWSATFVVASPSTYKSRDLRGDKIILPQSALEQLL AASTTSTRFSPSTSTAYDRSNPYASPFGRSQHAFQPDSHQQLPNPLMFRLVNKVNGNA VYAGIREFSAEEGEVILSPYLTEALGIDHNTMPGTTNDKIPESVSSTEGALSASITIH ARRLPKGTYVRLRPLEAGYNPDDWKSLLERQLRESFTTLTNGAFLEVRGVKGEIFRFL VDKFRPEVDGICVVDTDLEVDIEALNEEQARETMRQILAKSQKAHGAVGQTSIGHEIN IWKAVEGQVLPGDYVDYELPSWSRSRPVEIELTCLSSNLADAVDIFISPKSRRQRAVP RETEHVFAAFEATPNGTKRVTIQPTNVELEEAEAVLVSVHGYIPPTGLPTEPLAVPFR LRVRSVTGSSPTPAETAKPEQETLDDEQCQNCRQWIPKQTIVLHENFCRRNNVACPEC GEVFQKASAEWSDHWHCPHDQAHGSNAASRVRHDDIYHTQRQCPSCPFSSNSIPDLAR HRTTLCPGKTILCQFCHLEVPQEGDPFNPSPETLLSGLSEHEIEDGARTTDCHLCGKI IRLRDMSAHLKNHELDKAHRIKPQICRNANCGRTVHGVGRNGSVGAGTQMGQGPGNDL ELCSMCFSPLYVQFHDPEGKALRRRIERRYLTQMMQGCGKKWCTNEWCKTGRSNTGLE IKGSSAQAVLPLIKPLMESVRNNQEPMYFCVDETNQRRRKLAEMIAAEKAWDLEWCIA ACEAEGPILDKVREWLLNWAPMTA PFICI_15259 MGTVTHLLLCLASLFYVSVHCLSLGGKQYKVTWDEHSLFVRGER VMFYSGEFHPFRLPVPGLWLDVFQKIKALGYTGVSFYVDWALLEGKPGQFSAEGVFAL EPFFEAAAEAGIYLLARPGPYINAEVSGGGFPGWLQRNPATLRTNETGYVDATKNYVS HIGQIIANAQITNGGPVVLFQPENEYTFGANWVTWPDVEYIETVNDLFRAAGIVVPFV NNEAAIIGLFTPEKPGGPDIYGHDSYPIGWDCAHPANWTAGNLPTDWRELHLQQSPTT PYAIPEFQGGAIDSWAGTGLEGCASLTNHEYERLFFKNDFSFGVAILNIYMTYGGTNW GNLGQSGGYTSYDYGSAIKEDRTIAREKYAEAKLIAHFINSSPAYLSATPGNLTNNSY VSTSELTTTPIFGSDTTFYVTRHSDYTSLESTPYTFSVATSQGNVTIPQLGGSLTLNG RDSKIHTTDYNIDGVNLIYSSADIYAHSWSGNKRILILYGLEGETHELAFLSSLGEPT VEGDHSTVKIASQRATMIVQWQVTRERKVLHYGSDLDVYLLWRNDAFKYWVLQLEAPA PIGNYSSQTKETVIAKAGYLLRTATVSGTALKLTGDNNATSELEIVAGFPDSDEVYFN GQRLDCTRSSNGRLSAPLPFDAPRIDLPDFEAQEWKFIDSLPEIQPTYDDNSWPLADH ETTNNTARDDNGTKFTLRTPTSLIASDYGFHTGSLIYRGSFIANGNESSLYLSITGGS GFGHSVWINSSYVGSYTGSGSAKSFNQTLVLSNASGMHLVRGERYVLTVLIDHMGQET SWTPGYDTMKTPRGIIDYQLSGHAQSDITWRLTGNFGNEDYADHVRGPLNEGGMWAER QGFHLPAPPADEWEAASPFGYIGAPGVGFYTTKFNLDIPDGWDIPLSFDFSNSTRDDG MPLDIRIQLYINGWQFGKYINRLGPQQSFPVPQGILDYSGENYLALTVWSQDTVGGRL GGLKLTANAIIQSGMKRPTMSWSDAWEQRKLAY PFICI_15260 MLWFSSIAAVTAATFAIATAMPQAQKAASSYPEVPSLVVYQCPS MATISYSLSVPDREPFPRTEVGLCYDDVAGFLHINFTAFDEQYFYYDPDMGTNDGLYE YEVMEAFIYRGTNDPQTYLEFEVSPANVTWQAFVYNPSKTRETGAVFDHYFINDPIYD GFSAQTVLDRAGQTWNSYVQIPLGLFNIDSGEASGTDWRMNFFRIVESEDTFPNQTYG AWSPVPEPNFHMTPYLGEVHFD PFICI_15261 MLSSPSAGIPTPNTVAAGSKRSAASDLQRTAGLQATPSKRHATA KATAAVAGPPSMPAFSVGGIAPSPGMGVPVAAMSSLGPLPNSHPPSQPPLLVTEDQLT GRTPEQLIATILQVQAHHQQYAATISAQYESIAQQLSDVKASLASLFNGQALQLQAAA SQFQASSQGYSEPRTSRAIAPPAPMISQQSPSVSRLPSSHPGPRAARPPQSPQSSHTD ARLLPKQTNLQTPQTPGPPAYDYRTVGTVEEVWKEYREGIDGQPAVEELDATWGSRWR PEPRGRTWYSRRKVIWDKIKEYLADGMGEDEAVREVEKLRDGGTINKLIRMLQDERKD KGAPEESTVA PFICI_15262 MWNCLNLYLAMIRITRHSSPPQCGGKILAMRQYLRVLSLAIPKA LADEFQQRRAARLARDPVLGRQFAGLPNLHPTLEPVLRSAVRRIAQSRNNNNHAPTFN FQAWRGT PFICI_15263 MKVNALAITALFGAAFAQGDAPTTFATSLRPSGGAPPSGLPSGF PSGPRPSGSGGFGGGPRPTGAPSGNAHVAAAAASSAFPGFPSGGPHPSGLPSGFPGFP GPSGSAGPIPTVAPSGPDGLFRRQGPSGSAGPKPSGPAPSGAAPSGGPGGPGGPGGFP SGSGGAGPQPTGEPSGDAFRARARDFFGF PFICI_15264 MAATNSSEALVCEFIEPNPDVTGTGIRVSIYTLCLAAGILKTLI QHVTSAENYAEFCQSLNSALQLQGLALLCTAIVETIERQLTLFHALCILHLLSLLGFG LVAQRKYHGGGLNRWLVLAFSRILIAGAFVALTTYIWITAPTFGSQPECNAETKYVVF GVSINATNDVFRYVLLALMAAMAVGWVLSMVFGVFFASCICGGARSSAKRLYGGNQDV VVLKNVWARVRVSDAKYKGSIFSGQIMELLVHTGINVYMIVTLEQMVRVNKLSEEESD WTFGQILALFVLLGVVVEVINILLSKLDSRSRVGAPEDGDTNLSHPMSGGVGNQQGML LESESIV PFICI_15265 MESRLRRSTDSSSIEEIERGDIRVLPQGSVEIVPPPVLVNTAPW RFVLGFGSLALVDIGMHAAAGAAAARVGADLNKATTTTLVIQMGALAGATKAAMTAFR EIVGLSSVNVVFRILLMLLTSSFGVCALLVTEVGNIVLGETPKQLIVAAVVAAVPLIF EMVRNIQPVQDQEGKTEYYRWVYAIFLIAADALGGYVFARMAANQDMPISNFHAACSA GAVFGTLTWISRAMTGIVAMIQVTRDDGRHSNVFGVYELVQPDPIVVTEEEYLAMQRG IMTVLMSFFGSWPKMMRRYLSCLTCCCGCCFACCGMKGELEEMRQEMEEMERENREDM QDVGFQLAEQMMPAIRSN PFICI_15266 MVEKIYITYNDVHKLCQESAVKILESFEPQLMIAIGGGGYVPAR ILRSFLKQPGSPNIPIQAIGLSLYEQLPETDPKSGATTPGAVEQIGHKVTRTQWLDLS GLGGMEHLVGKRILIVDEVDDTRTTLEYAVKELEKDVEAARQKLGVQGETKFSIFVLH NKDKKKKGTLPNDMMAKDRYHAARTVDDVWICYPWEAIDIEEHDELARKQTNRTGSAN PFICI_15267 MFKDATLRQTVHLSVGGGRFRFQLSNAFGGSDLPITAASLAFPA DGKAGANTIDSSHIIGLSFNGSTSTTIPKGQVVYTDAVDFPVPAQSVITVTLYLQAGQ TGNSITGHPGSRTTSWMQGGNHVNASAVTGASTVHWYFLSAVEAWADEPTSALVILGD SITDGRGSTDNANNRWPDLVLEKMQKSGVTNIASDNQAAGGNTVLSGGLGPPLMQRYK RDLLQTAGVKYAIIFEGVNDIGGGSADSSTQSRIGDQLISSFQQIASDAHKAGIIVFG ATITPFGGNGQSYSNPTREATRQRVNNWILGGGNGSFDAAIDFAKVLADPGNPANLAS QYDSGDHLHPNPKGYQAIADAFPLTIFNSNSTLH PFICI_15268 MFKVNDLSVLGQDPLIPPALLISEVPMTETALQTVVKGRREAAA IVMGKDDRLLVVVGPCSIHDPAMAHEYCILLRQLSEKLKDDLCIIMRAYLEKPRTTVG WKGLINDPDIDESYKINKGLRISRQMFCDLTASGMPIASEMLDTISPQFLADLISVGA IGARTTESQLHRELASGLSFPIGFKNGTDGNLGVAIDAIGAAAAKHHFMGVTKEGLAA ITRTKGNEHGFVILRGGTKGTNYDAESVKAAKETLIKKGQKQAIMIDCSHGNSNKDHR NQPKVAKVIGDQLRDGENAIIGVMIESNIREGNQKVPPEGPSGLKKGVSITDACIDWN STVAVLEDLAAAIRERREKHKTSANGHANGNSTGQVTVLEENLG PFICI_15269 MMRFRVLLLLLGALVALAAAESAPTFCKCTCFTNSTIVRLGPKD SASSSPADPSTNTQSLFRSLNPFTAEPPPSISKRAASSSCAQCTRAFCLAYNLPICKN AEEKDVVTMCFQRDSRKDMIIVWAFIVGTLGLLGWAGVKRAVEFREGKKAAAASGRIG LPSRADRGSYAPVGATGGR PFICI_15270 MRRIARLGQPSAWQCSASRQLIAPLSTQCAAAGAAPLSTAQVAT RTTSACSMRLYSQKTSLPQSLSDEPTPSSTKNLGRDELSQSELESLAETAAEEYRTLE GTFRPPPARRTAVSADQVKDPSYNPAVSAAGLSTVGGLENWWDRRENWDKSGDFAGFK AKKKIQDPRVLETAVRRAVVETIVLKRAGREGEMTAIWPIGAAEELARALALDVQCNS SGEATLVGDHGAVAEDLSWEVQETRDSERPASSGIPMCSVEEATAYLKSWDPTWTHFP LADPNVKFAITKRIFQLTGQLIPDHKLAAISNVSSLLATFRKPPKPKTLTEDIQKHGQ QLVRLSNVAFSPKQVTRGDKAKAIGQYKLIEEEFRKRDLHHGHLSVPATREKYWFKGE A PFICI_15271 MEYAHITASDGCKLAFQTSLPLSPIPTGDARYKRLILLIHGFSG SSAYFQRNVQSLSAVAWVVAVDLRGHGASGRAQGGYHVARLAADLREVIFHLRSSAAS RISGGDISILPVGCSIGAAILWTYSELFGDAGIAGYVFVDQAPLQDRSSFDSWDQSKA HRGCFDEASMLAAQDAWISEPENAFRGLVNDCLGYRFAPVAGEDISEERSKADEEFFM GESRRCDTTWLARLLADHTRYDHREACESIDVPVLVLAGKRTGCFPLDGMRETIRRVE KGRKERGVAEGIAKWSEYESGHWLFWEDPDRFNAEISDFVAECDNFSRA PFICI_15272 MPSPYERLEERPKSFFAAFMSPISKIKTVLWGPSSVERALVIKL DSTILPYFSLIWFLFGVNRASYSHAYISGMKEDLNFQGKDFNYMSTIYLVFYAIFQIP STSLLTLARPKYVFVFANVGWSVLTMVTFAMQHVYQLFILNGFEGAFSAIAYVGAHFI YGSWYKRSELSTRAAVFCCFGHLGSIAGGWIQAGLIESLDGKNGLPAWRWVFIIVSLM TIPVAALGWFIIPDLPSHRSAWYLSPEEKEIAVTRLGQFKRQQWDLTVFRRVLLSWQF WLLPFIFMLYSLCVQSLGNNVMPLWMASRGYTVIQQNTYPTAIYATAIVGTIVYSVIS DKINSRWQPSIAIGVTFIIGSAILVADPAQDAAHFFAYYLLGTTYAPQALWYSWMADV TAHDIQLRAITTGFMNSFDFAFVTWWPLIFYPVTDAPNYERGYIASLVTGSLVIPFIG LITFLERRDRQAGKIGMTYHDDDISQPATDREHISEDTHQHQNTHSTKSPPIVAGTDL QQ PFICI_15273 MAIHNDTSSNEFPDQEKAGGEDHTPYLAANIEEDYEGKPTAEEL RTLRRVPTNIPIIAYLICIVEFSERASYYGVQPLISNFVNRPMPTGGNGWGAPPAGTQ QTAGALGMGTQVANAIGQSFSVIAYASPLIFGYIADVKTGRFKMISWGVLVFGIAHVL MCAAGAPDLLANGNAKIPYFISIYILAIGAAMFKPCVSPLLLDQMTITVPKVITTKKG ERVIQDPESGTERVMLWFYLVINIGGFMNVATAYIEKYVGWWLAFLVPLFLYLPLPFL LMFLKKRLILHPPAGSDLGKIIRIIGICVKGAGIKGFGRKGFWESAKPSVIRAKGLNV QTDWNDEFVEDVRRTFQATGIFCFFPIQYLNDNGIGSAASFLSTMLTTNGVPNDVISN FNTLSIICVAPILNYGLYPFLRKAGIHYGPIARITTGLALSSIGGAAYTVLNYYAYKL SPCGEYGSSDCTIGDGVAPISIWWMAIPFAIGGISELFVNVPAYGIAYSRAPVNMRGL VSAINLFTTAVAYIIGLACSAVITDPYLTWDFGGPAIVGGVLTIIFYFMFKHIDAEEF QLSQKNDNTDYHLEMEGTVNVVGENELNKTTNHTAPINNNEEMLISAKQ PFICI_15274 MGACASCLGRGRQDAYDEARTSHEDESRLLLDDPSNFQYGSFGD QNPNTHTDPLESQRELEALQKVVAKTSNNLVDIFEIAPSQPQHAHATALTGQDSRLNR YQTILSKLSADDDTNAITAQGQVDWLSDDDTMETQMDPPTVKAGEPLVGTFADAVTVA PFICI_15275 MRRGSCSSWTRGYKTCSRKREAKRRPTKLGGCSMERQSMGERRP GEAMGGEQGSRTSMEIATVLGTCRKEQQYWMQRCLNLRK PFICI_15276 MSDARSLLRAHRAANRIEHPHAAYSDAGKLLCKLCREVVRSEAG WDNHLRSSNHEQKEAESVAIPAPSIESTSKRKHDDVEEMDVNYGDSLDNPQPKKRNMT ASTTNGDKEKSGTPPGLNRRTSTTPAQGFEISIPSRPATPQIGEGSQTSTPNMPPLGR SPLIGLGPEHAGAPNISHLPISTESLPVSTIAATTGAPTSGAIDEAEWAAFEAEVASP DYADAVISAPALTAGEVAAKSQEEENERRKHLLDTEIADEKEDATRALEDEFAEMAQL ESRVRKLKERREELRKGTVANLGSTAMDSTIEKTVKEALGKENASSTNAVDMESDEDE DDDEDWDDGFRFRGA PFICI_15277 MADDGESKNAITSTFPAPPPFWKDFTPDNVARITDLRQEQVERE GIADPSTVRLSGLPDNLRNLQPPSEPASGAWRVFGQNYALQEELPSLDNGTGIRKLFP EPEERDQDGKHFDRATILKRLAKSLLLNFLELTGILSSNPGAAEDKITDIRDLFLNFH HLINEYRPHQARESLISMMQAQLDRTRAETNAIRDVKEKVERMLEGLGSLKMEDTSSG AGEAKHIIGNHDLMLDSVLDVELA PFICI_15278 MEHTTIRGHIHMDGAANQATGYFLRIVKKRLLDQYGLVKYKPLV SFNAKLMVVSILMDAMLIGLMSLPNQVVFIQFHPVAYMVKLNIEMSMATLITRLARSG ANDVYLNTLSESDRRRQYGTDENTHNSRNRDIGLKSFTKSKIRHDPEDVDHDLAHGAG IQRTFDVNVTVQQSSPSDHDHKGHVMFDRMEDEISLKRNGKEIDGRSSSAST PFICI_15279 MASKTDYQVASLAAGFTLGFGFLTVWEALKQTKRNKNPLRSTYI YMLWGEIAANLAIAIIAWLFLDGILSATYV PFICI_15280 MTRAQQTISIALLASSFYLALFLQLVPLPELIQTEIVPVLPFWA LVSFGAFLLFRLGWNVMTFNDVPEAHKELMGEIEMAKKDLRALGVDVD PFICI_15281 METSAPKRRKTSSLPPGGSDSPAAPSSGAQSVRRSTRRQTSLSI SPDGEGRARTLRTGPAPRESPSDGSDGSDGGDDQAVTAQLEGASENRPASDPAGSNMA LGPSVREPQSPIRQSLGGMRGRPRRSMHRPSPRPLPPPSVQEEELLDPFKGRALRRSP PRGVLPVQEPDEPELPPTPTEKGLSNPDAVNTSPMGIHNTPSKRPRRSRALADKLRSG SSPLKQPPVRPTDFESFGKEALAKNSAKVLRPASKSLAQHEAALSQALQPAARIETSD QGKERNAQEQSQSTPSKPHTARRIKDPDPLAEKTATRDLLQAEVSQLLADLEVARREN DNLNSPQHRGSAGRTSTTIQDPDALFDILLRHAPPLEQEPQVQASEAWLKAALDPMSF LPFGSQLAALPSPFQPLDQVDQLPPTSHEPLQMSLAEERPYLELFTPLSFASATTIIP REPSDDAPDVIQQHHISITSMPKGLFSAQIDMLVDTKTLSILELVVPKLEPAAVGELG PFIERVLGTDTNSLGSSRRNIAVMTWAMAEWTRLASKRARLWSCIAKELGHTQGILKC ARDLRRAKRRKRLHKTVVDVTRANSDEEVEIDGNKFEMTRKQLVSELGRTGLDLVLGS QGKDKVELRINWQITFDWTGEGRNTIKLLVHTPGKWHSKDTAGRLAKIPVIFDKLVQD GDDPMGALKTVVALLARDEKE PFICI_15282 MSPLASRPLFRGALQLSSTFSKRSISSFNKTPPPANKGPNSGSE QALPIGPYYEAIIVTPQPIPETKPEEPPTSSPKSPRSTAKKNAAAQDQRQHQPVDTSP APAPSPSTEPATAQEKARIIFGSRLAGPIERAERLQAIKDKSTMIAGVRVPPKPEEPD NCCMSGCVNCVWDRYRDEMEDWVSASKEAERCLQAQRAQGPVDALSSASAVKEMDGSK EPASATSGSGHVQPGSSVNEAVSMDDDGGGSDTNWPTPQPKIAKDLWDDGLYKNVPVG IREFMKTEKKLKQRHEEEGSVGG PFICI_15283 MAHKVFHRESSSSTAYRRIGAGFCGSVWGDPEHHNVDSSVAIKR EDGGPGRSLFNDFQIHKILITAYNECPQHIQAAFQIPECHEFVPDTYAGWTTTTLLNR FPQGYTACNILITQRIPPFPEQARHALTDKFCPTHLIENIKADTNNLDCLVRPYLGRR KFGQCRSKLRVFSLRNYPLHIDQMSDLGLDAFGYSTAIARALAFMYWKGKIDANDVEF VLAPAPDFALSQFHSAHLGGHNLWILDFDCCKSMSMNKEGVHRAAQAFLRNDPFYPCP GRENKEDQELWKHFRAQFLEASSYLLHDDDEASRILPNLLMETIEKFVQESSAGQQRI HEPVQ PFICI_15284 MKYFLQLLSFGLLATASSALPLLDERQTAVYSLTVSTTSSTALN GQTVEIVNSVLGVYPGNQPAATFYPIPNQTKPGRSSLHTYPVGIVDHVLGLKGSDGLY SLVDVTNIGQSGAAAFYDGFILRNNLVSHEIPGNWVAFPVGNGWQIKRYDGNAIVTQD YVPVNLVYKLVNKY PFICI_15285 MSSSTDYKFEGWQALDASSAEGNMRWSEFQPKAWEETDIDIKVT HSGICGSDIHTLRSGWGATAYPVVVGHEIVGTAVRVGSKATGGIKVGDRVGVGAQSDS CLSRFGHCDDCAAGEENYCDKMVFTYNAKHFNGDAAQGGYATYHRSPSHFVVKIPDGV DSSQAAPMMCGGVTMFAPLKAYETGPGKTIGIVGVGGLGHYGVLLAKAMGAKVVGISR KESKRAEVLGLGADDYIATDDEKDWVQKHYRSLDLIVSTVASSKVPINDYFSLIKKNG TLVQVGMPEDGAFPIAGPSIVFGRKKFTGSLIGSPNDLREMLELVAKKNLKGLVQERS MKDANQAIVDLEAGKARYRYVLVNEDAA PFICI_15286 MAQLSLGAVRLILLLLPFLLIHSSHGAVLNKPAIVERQFRGGDF QRGGGNQGGNQRGGNQGGGNSRGGNNQIWLTYDQWNALNIQNSYRRGRNLRPLVWDRI LQQDAQNWANHLAYIDQMVHSTRRGSEGENLAWISGGSNPLSASANMWMAESENYWGQ IIPNGDFESYGHYKYVVEYQQNWHG PFICI_15287 MSQQFIGAVNKMTSLFKLIQPAYHPLPQDLSRGDPCAYDQALSE KHVESTPRWYWSLLPWFVAAPLGKTTRKLPKATSTSYLNGFRGIACLIVYTEHVNIHY FREFASNPYGAEPASSNHGFSQLPIIRIIYAGKGMVGIFFVLSGFVLTYSPLKKITAM SKRRWEDRMTTELDALPLTNVPASPTLAADELITGLCSSILRRGIRLFAPMLVVACMS CLATWYYPSFSPGNWRANDPTFWEHIWRFVGITLPVFNPFQWGTYHPTSFNQCWTLPV EYRGSMVVFLMCMATARLSTQARKIIVLGSAFWALYLQRGDVFTFLAGMFLAELRLCP LSDDLPFRLKVPWHITYTLSICVLLLSILVMGWPESGPKNVEPFQTLSQFTPSAWRTD AESVAFFWSYATAPVLLAAVENLPPAQWLLSTAPILYLGEISFAFYLLHWMGFLWPGW AMMIRMVNVLHWPMDRSFYIMYFTILALLLVSADYFWRLVDEKCVKLGKAFANWLGIH PKAGN PFICI_15288 MTIFPLLCILLAHGKACATDEDCSLNGVCNSGSCACDAGWRSED CGELDLYPARKGSGYNHTDYIGSDFYDITGAGNSSWCGEIIQDRNNKTHFHMIVSQFS HGCGLNRWKPFSTVIRASSTTGPAGPYTWQQDVLPAWHHNPTWFWSEADQKYLLYSIG DGLDLPTTCKAETLLNNVSVTTSTDLVNWDAPKRLFTGKTNPAAMPLYTAANQTSEIL LALEDNFIYRADNYTSPYELAYTPTWNTSSYSPTWTEDPFLWRDKRGFFHILAHYMID ITERGEKGPHVGVHLFARNYTGPWTFREKAAYNTTVQFTDGTSIDYYRRERPKVFFDN DRTPLYLVNGVSEEDSRASYTIVVPIGPAAAEYEKTLGF PFICI_15289 MSSISSHGIILQAGSLALAVLLLQLLWRAYRTPLRRIPGPFYAR FTHLWLKKHVVLGRRMHYVHDLHQRYGPIVRISPHEIAIADPAAFQEIHRSGSGYLKS PWYSSFRQGESADVFSMIDPHEHAKRRRLLAPLFSNSAITQNWDSVIVDKISMTVDKM KDELSRDGQLDVFKWWTLMTADVISHLAFGEPFGMLDKGEKTSAMQKIEDATKYGGFN SELPVLAKMLHYIPNRAIQDFVTADADVQRFAEETMRRVRFDGIKGANMFSRIVSENE KEDATLTDYEVAFEAAGFIVAGSGTTAVTLTYIVWAVLSHRAVQAKLEAEVGALPEDY TDEDLKKLPYLSAVIEETLRLYGAAPGALPRTVPKGGATLGGYYIPEGITVSTQAFSL HRDARIYDAPESFVPERFLTEKGEFQASSKVAFHPFGAGTRTCLGIHLARMELRHGTA LYFRTFKGSRLAPQTTPESMDMVNHFLISPKAEQCWVTN PFICI_15290 MPVSSLNSALACKRDENKSDNFSQRAEVISALASRVKGRTFVTT GANAQCLGGQIATTLASGSPAQIILASRTANNVQPVLDSIKAIDSSIKTTYVPLDLTD RDSVKNAAETILATAPKIDVLINNAGIMAVLDYTKDKHGVELQFSANHVGPFLFTNLL VTALEAAGPGSRVVNVSSQAYRCSPVQFEDWNFSDGKTYDIWTSYGQSKTANILFAVG LTKRLSSRGITASALHPGLIMGTSLGTHLDQSAFANVDEITPQTKTIEQGAATPLMAA LDPEIVSKSPAYITNAKGEKPYEFADDAELADKLWVLSEEILGQKFSY PFICI_15291 MSRLQDKVAIVTGSSSGIGRAIALAYAREGAAVVCADLKREARS AGPEPQASVPCTNEMITKEGGRAIFVRVDVSQATDVQNMVEQAVSAFGRVDILVNNAG ISLEAGRAPLKLHETPESTWDITMAVNVKSVFHCCKFVIAQMLQQEVVNGHRGWIINM SSIFGLVGGRFNISYAAAKAAVSNITRQVALDYAEDKIHCNAICPGYTQTAIFSETIT HLDDAKGIEARHPFGGVGKPEDIVGAAIFLASAEASWITGVCLPVDGGYTAQ PFICI_15292 MFGRIINPLLISLVPLAQLQPAKAAGDSWPISDSGYTDVVQWDH YSFIINGERKYLFGGEMHPFRTPVPEMWQDIAQKFKASGMNTFSFYNMWGMHESFPSQ VDFTTAFRDYRRLLQYAEDAGLYVMARPGPYTNGELNAGGYPIWVTTGDYGSLRTNDT RYTAAWQDYMTEITDIIRDHQIHKNGTIISIQVDNEFPSQYKDRTAKTPNGPGIGYME NLEALIREQGVEVPTTANAPGHYPDWSKDYDTVGAGGDVDVWGLDSYPLCWSCNPDDC SSANDDFTLLKYYDYFQEVAPNQPGLMPEFQGGRVLPLGAESCEASVGPDFRNVYYRH NIDQKMSAQIMYMFAGGTNWGWIGVPFIGSSYDYSAPIAEDRTLRDSWYEVKNLALFT RVAQDLAKVDRLNGTDAVIDNDAISATELRNPDTDAAFYVVRHATSTEDSAESFHMNV TTSIGSLVIPQVISALPLSGHIAKIVVTDFTFADQQLIYSTAEVFSYVVIDNAPVLIL WVPAGESGEFLLKDAEGGEILNCDGCSDVSFNQTVDGVITAFTQGEGSSLLKVGDVRV LLLDRVAAWHTFLPVLTKDPSAPVNQTIVVQGPHLVREAGIDDTNGLHVHGDTNATTE VEIFATSSVNRLWWNGKSTSFTKSSYGSLIATINGPDSYELPPLIDWKTHDTLPERFV NYSATSDAWVSADKGLYVDLYGPHHGFSLWRGTFNGSATGGVFEVQGGRGFAFSAYLN GQQVGSYAGDAGDASSTLSVSFENATIDSDGENIILLVQDNTGHDETSGATKPRGILS AALNDTSEAIQWKLAGTVGAPMNKTVDTVRGPYNEGGLVAERLGWHLPGFDDATWSVG SPSAGVQEATIQFYRTLVDLDIPEGHDASIVFTIATNNPTERVRALLYVNGYQYGRYM PYVGSTSSFPVPPGILDYSGKNTIAIALWSQDDEGASLDVTWEITSLTRSSLNSRFDG SYLRPGWSEDRNVYY PFICI_15293 MSATQPDLVEGMAHQDPSVSSTNAINLESPATRLHHLSLSAQTI HADDFLNSSHQAVAPPMHVATTFRYNRDPEKLQAHHNENPNNPLDSHVYSRISNPNTT RLEAILTSILRGPSLTYSSGLAAFHAMLVHLNPKRIAIGDGYHGCHGVIKLLSKLTGL QMLDLDCPDTELGPGDVIHVETPLNPVGTARNLEFYRKKADRTGAWLTIDATFAPPPL LDPFLWGADVVMHSGTKYFGGHSDMLCGVLSLAPKHSDRLEELNSERLVIGGVLGSLE GWLGVRSLRTLEIRVKKQSHDAEKLVQWLSSELAKEGSAVSKVVGEVLHASLQKDALE GDDAWLKRQMPNGFGPVFAFKTRDERSARILPSKLHLFHHATSLGGIESLIEWRSMSD DTCDKRLLRVSVGIEGWEDLKEDLSQAFDALIKEQNA PFICI_15294 MVRFPALFRLPSKLRRRARRRRMNTLLTLLVIVLLVLVPFYVIY KPPRALIRYFSRRWPDVLWEVDTKTKVVALTIDDAPSEHTEQILGVLKAYDATATFFT IGGQVSGREEILADIVRSGHELGNHAMHDEPSRSLSDDELTAQIETVRDKITAAYATA EKVLPPQYFRPGSGFFSDRMRRLVNKLGYRLVLGSIYPHDPQIKYSWINAQHIISMLH PGGIIICHDRRSWTVPMLRLVLKEAKNQGYRVVSVTELLRITSR PFICI_15295 MVLLKNDNSDGRGLPLGKPRTMSVFGAHAGPNMAGPNMEFSIGG YPSDSYDGHCATGGGSGQGSFPYLITPFNSLSNRAVQDGSMIWWILNNTYTAPISPGI PGGGGGFPGGGGFPGGGGGGGIPGIPGNGSTGFAGGGMGGLGGGTAMTPSIPNYSLNS EVCLAFINSASGEGSDRKELSNTEQDAMINTVAANCNNTIVIGNFAGPRVLEAWIEHP NITTVLYSGLLGQESGNAIMDVLYGDVNPSGKLSHTIAKNATDYPTTTCMTAECDFSE GVFLDYRYFQSNNVSVRYPFGHGLSYTSFSYGGLYVTSTNATALASRNPMGVLTLGGQ ADLFDEILAVSTTLQNSGSVAGAEVAQLYISFPEEAGQPLRVLRGF PFICI_15296 MRPSTLLRALSVLPVAVGFQTATNSSYPTLLSDGTVDLGDYSTA YEKAKSFVAGLTNEQKVQIITGQSFEGNQSWAAYQKKDGVVGVNMQLFVSAFSMINAA TMTWNPDLVEAQFRATGDEFYQMGFNLIMSPVASPLGRDPYGGRLPEAFSLDPYLSGI LMGRATIGMNSAGVIKTGRHILLNEQETNRSNGGYSANADDKTIREVYLWPFADAVKA GMLAVMCGMNRVNGFLSCENSQLLNGYLKTSIGFPGMVLPDVSSQATSYGSANAGLDN GSGQLWSEQIMLAGIPNGSLSQARLDDMAVRNVIGYYAMNLDNGQ PFICI_15297 MGSHQSRESHAAPEALPGHMGVTLLHLLKTAAKLKRDVKFSLWY PVMLELQDFANVLDDLVMDGHGSYFSEKLMADEFMKDRNSDYASDASTDRALQEIGQQ LPPHDDMLTAEVQKLVDGEWMKFTAAVVRRIW PFICI_15298 MEYNLDELDTSLSSATTHQPSVGPQNNHGGRGSRPNPVRRGSST PNVPATRRGSLVPSADLAGPVPPGSGRRRQPRLHELNLFHFDLRELVKDLLLTDGESQ LSYHEYAVFSAPDALDEPVSPSIMSKDDDGLRMFHDAMRNGHGLDDEGNFTAAPKGKS RLQARVRQIIANRRIRPNNLDSAASTPDGLFLTVNDYESLGLHSGTLSSAQRITVESM FWNPRRDVLNLILSFSNHPQPPYDFLSMKYELRNRTTTALIRRSFDTRWHDEESLDEY ERRLDASRDRWAHPLVLPTVLLQVQLLRTEEAVVANNGEVLELEGRVDDLTGSPRRAA AEAAEAARRLSAKSENQPLKLDDDYIPPQTIHLMKEAHDVLKGAIQLLDTLRWMERAV KLLIQAGDELDNRMMELRQQNASRSALPEDDENELSNHWHEIRQYLDCTWRLCTSLET DRRMSELRCRAQIDIIYSKMAQEDNNLNARMAVASTRDSSSMKALAVITAIFLPGEFI SSLFGISMFDWEWGTASDSALGDDAPGDEYPHPVIMPLFWVYWAFTLPLTIFIVVLWR AWWVNQDRFFRRHLSMELSNERYWTTDGKPRELETSFTQDFFSLFQRSGAGSTSNSTI LGSMRRRTLSIDSQNHKRNNGVNFSASTTMGKDNDFIGQDPGDGMATRMRTISFAREP RTLSPSRAV PFICI_15299 MSTRANQTLQELESYFQRDSRFKVEKFIGAGNHAGTYRVSYTEP GTQKKQNFIVKRAFDGEDDALQLAKERHYLNELRSNIHIVDMVNFPNNPLRTSPQKAG GTMEPPGAPTKNPPRTPTRHPPKTPTRDPLRTPINHPIRKPTKHPPRTPTLNPPGFNN DDGDDGIWNHVICLEWLDNGTLRSFILKAKRRSEPLPNRLLWRFLGCLLRAAIGLAWP VTPELGGTPQPETPRSSSSPRKPARKPTLLTHNDLHDGNIMLGEELPDIEHGITPILK WIDFGLAGEFAGDGRDPLYGVKGNLYDVGQIMCGIILRKLWDGKTLEEPVQVKELGGA IIFTDAPIWPTFGQTDVVADNFDNDLRRVVSWIMATNKNDRPSIDNAFEAVMEEIELR DAKYYKGRAEEEDYNIRKLWRQLVLEPDIMPSNDVIMLSS PFICI_15300 MRSFASAASAILLYSSAVYGQGDPYTNQSEVPYYGLSPPVYPTP EGTGASSSRWATAYAKARAIISQATLEEKVNITGGFTGQCVGNSGSIPRLGVPSLCLS DAPDGIRGNEFVSAFPAGIHVAATWDRDLMYQYGKGLGEEYKGKGINIALGPVAGPLG RVARGGRNWEGLSADPYLAGAGMGNIVRGIQDAGVMANPKHFLLNEQEYRRRPGDLGE AMSSNIDDRSLHELYLFPFMDSLREGAVSIMCSYQRVNNSYSCQNSKLLNGILKTELG FEGVVISDWGGQYSGVAAANAGLDLAMPDSVLWGQNLTNAVNNGSVTEDRVNDMSTRI LASWFYMGQDNAYPSPAVYGSLDKHEPIDVQSDHATLIKKIGAAGTVLVKNVNNTLPL QKPRFLYVTGYDANHASSPWITAARYGGGYDVNFGWNTFQGTLVTGGGSGSSAPPYVV SPFQAIQERLTKDRGILRWDFDSVNPVAYVNADACLVFINAYASESFDRLTLTDDFSD QLVTNVAANCSNTIVVIHSAGIRTVDAWIENENVTAVLYAGLPGQESGNSLVSVLWGD ESPSGKLPYTVAKKEEDYGSLLNSTVSFDAFPQSNFTEGLYIDYRAFDKEGIEPRFEF GYGLSYTTFEYGALNVGLVSGAYTAALPNASIPIVQGGHPELWDTVATVTCTITNTGS IAASEVAQLYIGIPGDETPVRQLRGFQRVPVRPGETLTVKFPLTRRDLSIWDVVAQQW RLQEGSYAIYVGASSRDLRLNGTLEI PFICI_15301 MEEPRSEPPASIPVRDQASPVSGLVGADDGASLAALPPSRKSSF RSKIREPITAENFREQVNETMALAEALSRVKSKRRAPNGYFSPAVDKFAAGTDIVKDD ASSTSSSTTDLGHIARHFMTSDVKEMANATDGIRDSIATFDEDEVTLATATQVRARDL TLRDQIATSSPPASDDSFEDVFVLTDSQENSDEDDEEDNRRRSMVVEPRSDGTCEHKE GNGWSASSDVFEDDSDRSSSGDSNSSFQTVDMTPPTEPE PFICI_15302 MGILKHLVTIVALTSSVEAVVPAIPGFKLTWSDDFTGRKWLPPR RSEWITDVGTSYPGGPAAWGTGETQVYTSSVANVRQTGRGALEIVALKKGTGWTSGRI ETVRKDFIARPGGAMRIQARMALPLVSQPAGYWPAFWTLGAAYRGNYTNWPSIGEFDI LESVNGVNKAYGGMHCDVNPGGFCNEPNGLGGNMACAGTACQGNFHIYTLEVDRTGSV EAARWFLDGVLYWQVTSSQMSAAAWENAAHKPVFILLNMAMGGGFPDGAFGSATPLPS TTSGGVFTIDYVAVYNK PFICI_15303 MSLARAFTTRRAKPSLDLKESNGSIPQRSNTTSKRLGYSGSIRH KISGPTELTHTTNMLAYNAPDLYPKPASSPSSRTSEDDSDGNRTSASSPPTSPDISST ETNHVTPEPNHLSCYFTAPGQKVTPSKVMKSDAPKIPQRALSHTKKASFDNLARQQSL RGSNGSGSSISSKGSHSHSNSATSTAATSVSSMGFSRNKDQIPEVPEVEERSGEATPT QFQPSRQPSHRKPELSTSHPFRSELAQVSMIAEEFGVRDKLHIHDEEAKELVRKGLRL YRAEDYLAEVHSLLATFFVPEKVESQPVWI PFICI_15304 MSSMITTAAWVPRGFAAPFPTKYDFDDEEFERIAELAKLQLDDA NDELEDAQEEEAAGANAAGSGIEGTSSPAAKAEKQSTEAINIDDDDLKEYDLEHYDDD DEDQPEGQKMDMFGNVKSLAYYESNKEDPYITIPDGQNDDEDEREELQILATDNLLLA AKVEDELAHLEVYVYEDEADNMYVHHDIMLPAIPLCVEWLDLPVAKKGVPQDSTANFV AIGTMDPDIEVWDLDTVDCMYPNAILGQGNKGPDADKKKKKKKSKKANDEFHVDAVLS LAANRKHRNLLASASADKTVKLWDLNTAKCAKSYSYHTDKVCSLAWHSVESTVLLSGS YDRTVVAADMRAPDAKMPRWGVESDVENVRWDPHDPNFFFVSTENGIIHYHDIRNIPS SPAASKPVWTLQAHDESLSSFDINNHIPGYMVTGSTDKTVKLWNIQPTGPAVVVTRNL DVGKVFSTTFAPDAEVAFRLAVAGSKGTMHVWDTSTNSAVRKAFAHKISGEPTSGVED RLVGVAEDDTDSDSDEGDDDEEEDGDSMDED PFICI_15305 MDRNGGNFSPKRRKLDFNPLRSDDRYASLPNRSSRTGAGKAASR ESKPKQQEFDTPEPLVPEDDQNALDRDWYLGDENGHTFGDESHDPFASYDSSWVEQRH REQDMVEKKAGQKHLNARQLQRQKDNDAWETNRMLTSGVAQRRFGDDFEDDDEGTRVH LLVHDLKPPFLDGRTVFTKQLDPVPAVRDYQSDMAVYSRKGSRVVKERRQQRERQRQA QEATNMAGTNLGNIMGIKEDDGDSALPIAAEDETGKTGGNKFSEHMKKSEGASDFSKS KTLREQREYLPAFAVREDLMRVISDNQVTIVVGETGSGKTTQLAQFLYEDGYGNRGMI GCTQPRRVAAMSVAKRVAEEMEVKLGSTVGYAIRFEDCTSDETVIKYMTDGILLRESL NEQDLDRYSCVIMDEAHERALNTDVLMGLFKKILQRRTDLKLIVTSATMNSKRFSEFF GGAPEFFIPGRTFPVDVMFHGSSVEDYVDAAVQQALRIHVSMAAGDILIFMTGQEDIE CTCELLRERLDALNDPPKLSILPIYSQMPADLQAKIFDRAAPGVRKCIVATNIAETSL TVDGIMYVVDAGYSKLKVYNPRMGMDTLQITPISQANAGQRAGRAGRTGPGKAFRLFT EKAFKDELYTATIPEIQRTNLSNTVLLLKSLGVRDLLDFHFMDPPPQDVITTSLFDLW ALGALDNIGELTDLGAKMNAFPMDPPLAKLLIMSEEYGCSEEMVTIVAMLSVPNVFYR PKERQEESDAAREKFFVPESDHLTYLHVYSQWRSNGYSDGWCTRHFLHPKSLRRAKEI RDQLLDIMKMQKMEMISCGTDWDVIRKCICSGYYHQAAKVKGIGEYVNLRTSVTVQLH PTSALYGLGFLPDYVVYHELILTSKEYMSTVTSVDPHWLAELGGVFYSVKEKGYSARE KRITETEFNRKMEIEAQMAADKQKEQDRLLAEQQTSTVKKAVNGTKDEKKIKTSGAVK KPVVKRKGRGF PFICI_15306 MAPKGDKYSVILPTYNERKNLPIVAWLLNRTFTENKLDWELIIV DDGSPDGTQEVANQLVKAYSPHVVLKTRTGKLGLGTAYVHGMQFVTGTFVIIMDADFS HHPKFIPRMIEVQKQANYDIVTGTRYAGDGGVYGWDLKRKFVSRGANLFADTVLRPGV SDLTGSFRLYKKPVLQKVIESTESKGYTFQMEMMVRAKAMGCTVAEVPISFVDRVYGE SKLGGDEIVEYAKGVLSLWLKV PFICI_15307 MADRLKQLYGQLNFPKGLLAGQTAIITGSGQGIGAEAARLFANE GAKVIVSDIDGAKAQSVADSINTGGGKALAVPGDMLDSAYIKTLVAKAAEFGGGKIHI IVNNAGYTWDGVIHKTTDKQWDAIVALHGTAPFRLIREASPYFRVKDGEPRSIVNISS TSGVHGNAGQANYAFAKAGVTGLTKAIAKEWGPNFGVRVNTIAYGHIETRLTQAKEKG AFITGPNGEKIALGIPEAQKAAAGPQLYADIPLRRPGSPTEAASAILAIVSPLFSYVT GQTIMVTGGRNM PFICI_15308 MRGRGGRGGARGGYRGGKAPSSAPWSRLKPVELDPLEAMGLPSK GDDRLLDLKTQERYYTKIVERYMTFCSDAGEREELLRRFASLNLTTQNPYPNDKTTTT HAQSTPHLTSARPHPHHATTAPPGVPLKPSGISSNTKGLSDVLAALRKLREGIVASKR ADDFAVQAYLFCIRLAVLVKNPETYHPSILHLLRRIHPRHPMTSIETSEVVGYLILDT ACRRSDLAEAYTLRHRYRLRDPKVDGVLEALTHDNYMLLKRLRMSADGHKAKLLEYAE EAMRRHALKCFGRSYLSVDLAFLEKCTDANWMDLISNEKVGWELEGSMVVIRKVKGR PFICI_15309 MGPLLRLPRTFKSLEVSEEGQNSRWANPDVLPVPRHQITYDWKA YAGYWLAVGFNTTTWSLGASNLANGLDAGATIGAIAVGVVIAALVAFVSGEPGIRYHL GFPMISRTTFGMYGSYFVIMLKCFVNFIFFGIQSYWGGLAANIVLSAIFPSFRNLENT IPTSSGITTKQLIGFIIYIVIFTSMMFIHPSKLSRHVWISQVWVTITMFGLFIWAMSQ NHGASFLAPSKTISARHIVRILQAISAVAGAWTGACIRQADWTRFTKSRTAVVANQLI TGPIAGIVCAVMGIAVTSAIAAMYPSSLSGSSSTVWNPISLLDYLQTQDYSATTRAGT FFAGLGFFASQITINLVQNSVACGMDLASMAPKYIDVTRGSLIMCVVGYLIQPWRFVN QAGLFISVLNSFGMFVSPLAGINAVDFWVVRRLRWKIPDLYKGKEDNIYWYTAGLNWR AFVSWAVTIWASFPGFIGAMNGQSYGVGWTRTFQVTWIVGFCGSGLVYYLICLVFPPP GAPYVLELLDDHASPRIDGQSVSDMDVGREMVSANTMDTKGEKASDSQ PFICI_15310 MPQAVITSEPVEAPDKEAEIKRNPHEDFAAVEASRPPYNPALKW EMSKTPRPDWKVGDGATTEHCKDRKFLTIDPQEDGRSVVLNYKLMISCTVPRPIALVS TVTEDGQTKNLAPFSYFQCVTTDPPTYSLSCSGREANDTLTNIMATKECCISMTSDWL IEAANFTSVNTPRHISEWELSGLTPVPGDIVTAPYIAESPFSVECRLLHHQDLFSVKD SSVRTATLMILQAVRFHIWEDVLNDDRATADMAKLRPVFRAGGITYGTCFNGFEIPRP EAFRHVRKDPEVEAIVQKAQAAKKSSTM PFICI_15311 MSYSPRSPADVLAARPNGAPSRIPVHSIVGHKRTGSGRIEYKEN IIDEEGAPFSPKELLGGSATKPRSPFSPIAHPVSRLGRNNPSRLSWQAPESPHDSQIP RPKISASNSDHLNGHRSAGSPMPSPADSGRRRRQPLGLKAAFQLAEVQESRERSGSSS SSEPIDLRKAFELANAEANAVAVGSPSPAPRSYRRRESMDTRSNQYFGSVGGIDLGQR LKQFDKNHQLGSGHGASDGLFTTKGRAAVQVPDKRSHVERPPDEGGGISDQGVAEPDG ALPSPDFDPLPPVDYEDEDDFGSSPIVRPTNPSPEKSFNWDLDADFTAGDLQVSDSPR IKLGRNNSAINDAPANGTPPKRTNDKLDKIRQLEIEAANAEIPEEESSLMSRKTNPRL DEIRAREMVAFSPRAMAQSKLDEIRAKNAEARSRSSSPEEARNFGVQPTREKPWSFSK DRENGNLEVGKSVSPRHNARLDKSTSVDARNRGTKESPSSKQYQLDIRDDSYDLLRRL TEATSPPQKNPTQSREPQVSIAPAIDEISREAEKQYPRPSHEEQHSGDMRVKSSRDRL GVNLPGMLKRHAASSETDPVDRIEAEMDLFALAKSQSEKSSQRAPSPGLSERSEPIEE TPKPKNRVDPLTLPTPRVTGAYVETPATVKVKVERDFDWSDVESDTAASQTKIFPKQT ADPKEWKGKHGDNDSSKGKVNRRSKRRVKRRQPLVNTAKIPTVKEDLRLIRRQNHIED STLDDFDELLDSLDVETVEQQQLDDDTIKSEDDFDAKLGLTEQEREQLAYVRMSKSLK TGLQGIRSAKQGIERLEDRISHSKHKSMHDQVSTSLETPGVTLVHHPSLGESYVALPL FYHRYPRFRLTPLGLFTLLVAIWYTIESVFCSLYVDTYECPRGMTCDWSPNEPYFPYA APFMLDEWISGGKGRSLVWRVGEEIGDVAAEVADWATGHDFTKDEVMYMNIWDRRRHR RRLHKRGLHRKWIEPIQHRFKFQAWRESWNEKQREIDEGIPIWEDESMNGDEAL PFICI_15312 MIGFSQFWPKGGMPGILHHYTETLVTFEYASPNAHQPHSILFIG GLSDGLATTSYTHDIIKTLETTEWSFFTLNLSSSYSAWGLGHLDRDTDEIAHCIRYIK SYKAAKYGSGTTHADAKVVLMGHSSGSQDVLHYLYRPNPHRNRPVFDPELVHLERPVI DGAILQAPVSDRDAFAWVLHEGFLGRSPEELRQTYDTLVSMAREIVAQNDRYDVVLPI ELCSQFSFVSPVSARRFLSLASPDSPGSPSEEDLFSADLSDEEYAKTFGKIQERGLLR GRLMVAPGGKDQTVPDWVDKDALLAKWRRITDHDEKYQVWDQENSGIVPGASHALSDD DQAEPRRFLADRVLNFLQSAAGRSDRSC PFICI_15313 MPLNLRAAFRRQQAEDVDTSVQMDRTKSATVTDVPISERDTEAG NGPTSGVVDTSGGERVSEDAQRGVQHVEAVTLTWSKKTLIAVFFNIWLLYFVNAMQSS ILSNLIAYATSDFSSHSLLSIIYVVSDAMTAAVYIPLSKTLDVVGRAEGFAIMAVFAT LGLIMLACSNGITLFCAAYVFYNIGFSGMTYCIDVITADASTLQNRALAFAFTSSPYI ITAFAGPKAAEGFYYDISWRWGFGTFAIIFPFVAAPLFFILKYNLRKALQEKLVIADT SRTFMQRMWGYFLDFDIVGVLIFSIGLVVFFLPFDLAADQPDGWNTGWIIAMIVVGFM MVFAFAIWEWKFAPSPMLSLTYMTDRTVIGACLLDATYQISYYCWAYYFTSFLQVVND LSIAEAGYVSHTFDVVGGVLLLLVGFLIRRTGRFKWLLYIAVPLYIFAQGLMIYFRRP NQSVGYLVMCQVFISIGGSIFILVEQLAILAAVDHQHVASALAVLNVVGTLGGAIGST ICGAIWTNTFQAALEKYLPSDVLDNIDAIYDDLDTQLSYDIGSPERIGIQDAYGYAQT RMLTAGCAIMALSLFWIFLFRNIDLKKHPQTKGMVF PFICI_15314 MSSTTDHQLIMMEYTSETKQQHNLLIPPPDTEMQSIFNGQLMSN SEVPASLNNFHGQKHPTNSTAAREEEQQQVTTNEEQHEPVNLEPTHGRSNGQIALIML ALCLAVLLGALDVTIVTTALPSISEDFQSSAGYTWVGSAFLLANAASIPSWGKISDIF GRKPMLLLANVIFMIGSLIAALATGIGMLIAGRAVQGLGGGGLTILTKIVIGDIVPLK TCSIFYGVLGGVWAVAAATGPAIGGALTEKVSWRWCFWINLPLDGLAFLIILFFLDIS SPRTPLLKGLQAIDWVGSALVVGGTLMFLFALELGGVSAPWHSAKVVCLLLFGLLGWA LFIFWEARYARFPVTPMTLFKNISNAATLFCVFIQGIVFISASYYLPLYFQVVRGYTP IQSGVYVLPTALALSAGSLCTGFVVSKTGWYIPPTVFGLFMMVLGFGLFIDLDAYSNW AKLILYQLVSGVGVGPLFQSPIIALHAHTKPRDMATATSTLGFIRQIAQAISVVIGQV VYQNEIAKRYPSLVASGLTYPLENVLSFSTGADAQIIERLPEDQRTAVRIALADALQP MWLMYTCFAAAGLVASFFIRPRVLTHEHVEIKTGLDAEYENAEARRREREESNNV PFICI_15315 MASRAYGLKVVWPPPEKSVSEGPALDIVAIHGLDTRSPHTWEAW ETEGDQQSRTVNWLSDDDMLPNVVKNARIMTYDYDAGYHHDAPLSTIESQADSLLKIL PFDRKNDHAEDRPLLFIASCFGGLVLIKAMNLASVNTSQHQLIISAVRGVVFLGTPLR GTPAARLTRWKTYVSTIMGEVTSNTLIRDLEQNNPQIEEMVQSFTVLANESRIPLHCF YESKKTPVLKKMGLLSSISQIMIVDKYSATLEGVRGTELCVKHSSMNKFRGPLDVSFQ LVAMVISRFADNTRVRSLTQQKDDSWMTDCKRDLYEVVPYYENQEEEVYQWAPGTCQW IIGHQKFTDWITPESSSLLWVTGNPGCGKTVLTKFLAQKFLNREIIQSSPYFVCPFFF KKGLLDQERAHKALSSFLHRLISRRPESAPLHLHDEYKSKGKKLFEDVKAMWSLLLET SKTIGPTLLLIDALDECDDKSKRVFMECLVSTFSVTRPGTRNTGRLKVLVTSRPENDI QRAFHSFQELRIRREDQMDEIQKDIKIVIENEINGLRELGAEICQYLNAALQHRISDG SFLLVHIIFEKLRGLDLKNMRTVQAIVEGSNQDLDGQYDQVLQQSENLALRKRLFEII VTAKTALTVDEINIALNIEPWCRKFDDIDPFKGDVEGAVKAIGGFMVRMSKGRVYLVH ETARSYLLRNLHQQEKSPESFRIANQQRHGAMYRRCILYLGLEDWPPSQVDYLKVMEA EVYQDMLRLMHHILWSVSDVTQRQWVELKLKPGAFEFFVYAKTYWQHHFEESEAFPSD DSLRNGNTSILNIACLFGRADLVQLLLPLEKQNGQENQIPTLLLAAFMSGNTETVETL LDYGVDASEAKFIHFTPSPYRQRDDLGIFRWFLLQLESWALVHLCLSRWSRWPHFESI EFSLSSATILSYQGMTDLLRYDTHDVHHENRKLHLDLVLAAMGNATVVPFGFNPDQLL PSEAAFNCTATSLLAMATLNDVDWICQELINQNVSLINFEYSSQLLWHAIRHHNIGLL ERLRIAGVSLTIPVHNNSSALHLCATPKRLDILIWLLENMDDYNEPDSNGRTALHVAS RNGHLGIVEKILERRERCNLDSVDTSQQTPLLDAIHNRNFHVARCLMHRGASVYYVPE TGSSALHAILYAYYLPATRQLFDQILSSYRYQEESMKNIGKALVQAAISSSIPMNNLI TAIARSFSSTSSREGFYHEIMSNKELLLAMRSSRRLSFGHCSPETIPLEALSHESFTF IIWFHVRRERTVSGGNMLHWAVQCVKQFASDQTGALLYDVINALIDGNVQLNTMDDQA RNPIALCQELRRIEDSQALINMSQALASHTSVVEIRGEDFDMEFESYVFGDANEVGSE SESNFEEGSEGDQDSEGEAIREPDRDFEPFFGFGDTEGHRLRQE PFICI_15316 MSNELGAFAQSESSIFALVRRQFTKPKPLPTDIRLTGKNAIITG SNAGLGAEAARQLLGLGLSHLIMGVRSQTKGEAAAQEPRKEFTGATIDVWVIDMNSYD SIRAFASRCETLSRIDIVLLNAGVLNGEFSTNASTGHESSLQVNYLSTALLTILLLPI LKTRKSTAPGARPPVLSLVGSDTMYMANWETQGPVLRQFDEPSSFDPLQTYAKSKLVL MLFVTKLADFVNSNDVVLQVVNPGMTASTGLSNNRSWMDQLMTRILHFLMARSTETGA TTYVDAVVGKGVESHGSFVSEWTIKPYPVIWYEPEGKEITKRLWEETMEELNFVRASN ILGNLKGQV PFICI_15317 MTLFDEFENLILSQPQSHTEPSEFFASLGAPSVSIAVLDHGEIT TRCYSTVGDGVKTRFQACSISKPISALAVMRLADQGRLSLDDKIAHLLSDKVVNSLGP ADLVQEITFAHILSHTAGLNNGGFPGYSDIDHPSATDIVLGKSGVNTTPIKVVGIPGR QWRYSGGGFVLLQIALEKLTSLPFPQIMKELVLQPLGMHDSCYGTPEEEVELAKAYYT GVTQCSVPWHYLPELAAAGLWTTPGDLCKAIYALQQSLAGSENAFLKLDTAKRMLTEV DSNAMSLGWVAPKDPGTYFGHGGSNDPGYRCVAMGIADLLGQKKEFPNADCGIAVMTN SAFGIEPAYAALQTIKYLKGWREATTVSVSMPFVAPLAVVHREIRGDWKDWMGQWSNG TDVWTIDADSDGQPQARWRERQPIKLLPAAICPEQYPEGESLDLVLNGVGVILRLAWA EGERSIESMDALAYKTTKLSRTG PFICI_15318 MTTNGHQPHDPPTKTGIRVVIVGAGFAGLTAAIECHRHGHTPIV VEKFPSLKPLGDIISFAPNSSRIFDRWPGVAQKLDPISLRPPGLTIKSFKGDTLYHQV WTEEETNWGLRFDGHRGEFHQIVFQHAVEHCGIDVRLGATVVDYFEDDNEAGVVLEGG EKISGDVVLAAEGVRSKGRTTVLGYEDAPKPSGYAVYRSWFSTKDTVAQDPELDWLVK NGDRHVAWLGPDVHFIAATLKNGEDISWVCTHKDDKDIGESWQWEAPLSDARKVLEGW EPNIQRILDRTPEPLIDWKLVYRDPLTTWISPKRRIALIGDAAHPFLPTSIQGASQAM EDGATIAVCLKQAGRGRVSEAVEVFEALRYERVKSAQKTGEQTRDIWHKADFGAAKKD PESFRLRREEWLLNFDAESYAEREYQRTVEVVREKGVLEARELHIPAGRYGYLEYNGS IEKN PFICI_15319 MPWGLLEDNRMEHVPGTVFLADQSDVPHEYDDVPREVLKHGTGK YSDIILVPQPSDSPNDPLNWPTWKKDAILVIVGLSAAIVGAYGPMLSPGFVEISADLG ITVNTLSQATAWVILLIGISLFLFNPMAKKIGRRPVYVICSIIMFTGSIWGALAKDYN SFLGSRIWSGLGMAPYEVLVQCTIADLYFIHQRATRIAVWNMFLLTGISGGSLISGFI IQNQGWQWTFIWCAILFGLLLPLVFLFVPETAYNRNSVGQKLVTATLDKETTAVVQEK KKAEIEHRESGDTVEKRRSFVSTLRLWGETYTSTPVWKIFLRPLIIFWYPAVFWAFLL YGATLTWIVVFSVVNATIFTAPPYNFSVSQTGLISLSPFLLTIIGELISGPLNDYVCL WLAKKNRGIYEPEFRLPTIIIPMIIGIAGFYGFGATVHYQTHWTGPVLCFGLANMSLA IGNACVFGYVIDAHKELSEEAFVAINARNFLTFGLTYFVNDWLEKDGVLTVFNVLGSI FVAVNLLTIPLWIYGKRIRGFIARSKTLDKFMHED PFICI_15320 MVARHLKTAASEANTTIDKKVSDIVQGVIADIKANGDQAVRSYS EKFDKWSPEAFKLSQAQIDDIISKVPAQTIADIKEAQKNVRTFAEAQRASITDFEIEI QPGVFLGHRSNPINVAGAYIPGGRYPLLASAHMTILTAKVAGVKHVIACTPPIAGQIP HATVAAAHFAGADEIFLLGGTQAIAAMALGTETIRKVDFIAGPGNAFVAEAKRQLFGE IGIDLLAGPTEVLIVADDHADPFTIATDLLSQAEHGPDSPAVLITTSESVGRESIRYV DEILKKMPTAELAGTSWREFGEVIVVDSLDEAYELADVYSSEHVQIFTQQPREALEKM RNYGALFLGEKTCVSYGDKCIGTNHVLPTRGAGRYTGGLWVGKYLKTQTYQEVVDPKA SGEIGRLCGRCARAENFEGHARSGDLRAHKYLSDAHDWITEAKV PFICI_15321 MRATCISVALAIAGHATAQHLSARQSENGTVSSDATPRRYIVEL KSRDQGTRVAEKVATIDGLRIVKTFDHDIFPAVSVECDHACDAASITAALDDNEDDGG VVATVFKSTPVRLFPTIEGESYSDDAAASNYSVHGLTGVEQLHAAGIIGEGATVAIVD SGVQYTHPALGGGIGDNYTVIGGYDLVGDGDWPNTAPEPDNDPMDHFGHGTHVAGIVA GKSDQFVGVAPGAKILSFKVFTSSGYSNEETVIDGFLKAFDSGADIISASLGEKSGFT SNAWAVVASRMVDQGVVVTIAAGNDGQDGAFDMSNGASGAHVLTIAASEPDEFPGQEF TANFILDGESNQTSLAYYGGSASFPSTVVDWPIVPVTLNASVEADACSPLPADTANMT GTIVLIRYGGCSLRTKQDNISPFSPQYILFYEDDGPFQTPVTGATVGLTQAIEARAGE AIVNTIIEGGNVTASFNVTSGHYVGLFDAGGGRPALYSSWGSTFDLALKPDIAAPGSK ILSTYPTDAYQVLSGTSMATPYVAGVAALYVGKFGGRAANANDPTWAKRLHARLMSTA HAVAWADWSTSATDYGFFAPTTQVGAGFIDATKLFNYTTELSFEGRKFELNDTAHFVG THSVDITNTGSEAVTYEFSLQPAGGYESWTPLPPGSTEYAVPGFELYAYVTPEEMIPD VILPQALTIGPGDTATAEFTFTQPQGLNSSNIPVYSGKVLVSGNNGEELGVPYFGVGS DLKSEISHVFDYGKLYPYMTSGIYNTKIDVKSNFTFNLSYSSQDFPKLFSMLVWGSEE LRWDIFDGDYIESDWAYPPVPGQNHFVGSATSWNGTDASSWFDPATNSEDDIFSFPLY AVPRDTQGIYYWLGRYANGTQAQPGSYKFRLAALRPFGDRTVAEDWDIFATPDITFLA GA PFICI_15322 MDSQISRLPLELILNVMTCYLPSNPTTLIPASHQATQLLLSFSL VNHASHDFAVRRMQQHCIVLDSDDRLRRFLLCLESSRESKLAVPSVFHNIHAMYLAPF GSVMDNLPVAAWVRDLFGYTSNTLKKLIVDMPFDSLPPWIDHLNVGPVLLEGFERLEN LEEFVCTRNAARLSIRDEGGEPKSILYRWPKLQRLALNRPSCDVDFWENLADLSHLHH VVLTGAIGNIRQSLDFREVYLRRARTQTALTIVLAEVREHTVVLKPRPRREIDDHEND RLRLVAFKIGIPERKTFLDSNSEWLMGTALAGELWDDRGQQIVDVPQLAVPHPQVAEL E PFICI_15323 MPEKPLTVATYAAGASLAAITLVYVFAPTYFIDSDSNSARKKGV VGLSNPANDCFINSVLQALAGLTDLRVYLIRETHRRHIDERWVYHEPVSDPHRKDDPT WKIEGLQTGIVTEGLKAILDALNERPIYKKTISATNFVKVLEVAFKQRISRQQQDAQE FLQVVAERLCDEYHAGKRARQHARRKGEAGLEAGAAAPIDTTAVDKRLASLKLGKDFD KGEATQDSEPTLSRAQTEDDAELELEDEEGFPMEGKYESQVECQTCHFKPRPTESTFC TLTLSVPQASSTSLNACLDGQFKTEYIDDFKCEKCRLIHAKEILQAELASSTSEDFKA KATEDIEKLQHAIDTNPEEPPADVVLPDTKFAPKRRIARHTRLTSFPKVLAIHLSRSI YDASMSTKNSAKVSFPELLPMGGLRNQKRYKLLATVTHKGNHHSGHYESFRRQNLAMP FLTPHPFQQSVAFAKSAQPSPAPSPAAVTPQVRALPKPIEGSPATSTPDLLSPNSGSG SPNPSLVDLPQPSVDNIPRSSPSTNGRSSSHHTPASGSKERDSDNVSLKSVAASAKST LSRISLSARNSRSGSPAGKRSTNGTVNLPNGIPVVSATGEISEGPPRPRKRRQPERWW RISDEKVKEAKTSDVLGMQREVYLLFYELEGRADPASSES PFICI_15324 MGAQDVLSRKSGVIVGDDVLALFEYARKNQFAIPAINVTTSSTV IASLEAARDAKSPIILQTSQGGAAYFAGKGVDNKDQSASIQGSVAAAHYIRAIAPAYG IPVVLHTDHCAKKLLPWLDGMLDADEKYFKEHGEPLFSSHMIDLSEEPVDYNVETTAK YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDIWNIYNTLSPISPFFS IAAGFGNVHGVYKPGNVKLHPELLGKHQAYVAEQLAKKGEKGINEKKPVFFVFHGGSG SEKHEYLDAISHGVVKVNMDTDMQFAYTEGIRDYMVGKHEYLKTAIGNPDGADKPNKK FFDPRVWVREGEKTMSKRVAIALKDFNTAGTL PFICI_15325 MYTRVFHLSFFIWSCLSFVAFTVGSFQQNLKVRPYARDPLQDVV TWDEHSIFVNGERVLFFSGEFHAFRLPVPSLWLDILQKIRSLGFTGVSFYVDWALLEG KPGEYSAEGVFALEPLFEAASKAGIYLLARPGPYINAEVSGGGYPGWLQRVEGYLRTN ATDYLATTENYMTSVLKSISNAQITNGGPVILVQPENEYSQAVSGIPFPNADYMEYVE DQFRKNGIVVPLISNDASPYGHNAPGQPAPVDIYGHDGYPLGFDCSNPSRWTSFNTNF RTLHLEQSPSTPYSIVEFQGGAFDPWGGVGFDKCLSLVNYEFERVYYKNNYGFGVTIY NLYMIFGGSNWGNLGHPGGYTSYDYGATIAEDRSVAREKYSEMKLQANFLVASPAYLT VTPGTPSTTQYTTSSDVYVTPLKSNETQFYVVRHSLFNSTEATTYKLRLDGSSSGNIT VPQLGGSLTLNGRDSKIHVSDYDLGGTTLLYSTAEIFTWQKYGNGTVLVVYGGPGEQH ELAVSQIGISSSEINASLDVLVNSTETSVIVNWLTSATAQYVTIGDLQVYIVDRNTAY NFWVIPSGNSLYTNTEDVNIVAKSGYLLRTANVTETSLDITGDLNATSPLWIVGGAPK NLKTLTFNGQEVEFSVDENGAISSNLTYIAPEFAVPTLKDLQWYYIDSLPEIQGDYDD DAWTLASFATTNNTSRALTTPTSLYASDYGYHAGSLIYRGKFTAKGNETTFKVETQGG TAYGASIFLDSSFLGSTVGNKSAASANATFALPQLGADEVHTFTILIDHMGLDEEWTV GSNTMRSPRGVLNYDLAGHDQSDVTWKLTGNLGGEDYVDHVRGPLNEGALYAERQGYH LPSPPVETWTKGLSPIDGISSAGVGFFTTSVDLDFPSGYDIPLSIRLPAINFTSTIRV QLYVNGWQFGKYVSNIGPQTQYPVPEGIWNYNGQNWLAVSLWALEAAGGSIASIELVA SEAVQSGREQVQVVESPAWSQRAEAY PFICI_15326 MGHAKEADQLSLPGDAAPPPAYSEAVASTPQESLEQPQQSDLTS IRPTTQVPQQFPASFNAYYQWKFTKTFHLGESKDHPLYAVRTHSGWSGNPGIVLHNGP SDQDPPLAAAGQENQWSRHSFIILPPLPGSSAESSTEFMRTNVGWKNTSQEFSIEVGI GDNLKREKFEWRQSHGSEIKQLGKCTRGWKLVRVSAEAAGPGGERNERAPGASSDGKE IVAVWAFYAGWSMTKSFKFQFLGSGLTGELGERWSVMAVITALRMWYMHFQGSTATTT LTTTTC PFICI_15327 MDPNNPNNRLYLNIGNNGDRLAAADRAYPTTPSGFPQPVYQNQQ QAMAQQPHQQYGAGYPAQSAGYFMPNQYQQYQPQGGPDYNQAPHAATGYGPRSNTPGT NDPNVGLAHQFSHQNLGGPGGPGRASPYAPRGPSPGQRPRTAGSNSQQQRDAYNSYHN NPMPPQAGRTDQVFQAAPERNPEKYGQNANNNQKKCSQLAADFFKDSVKRARERNLRQ SEMEQKLNDPNQNAARREQIWQNGGKKEARYLRFLRTKDKPENYSTIKIIGKGAFGEV KLVQKRADGQVYAMKSLIKTEMFKKDQLAHVRAERDILAESDSPWVVKLFTTFQDANF LYMLMEFLPGGDLMTMLIKYEIFSEDITRFYIAEIVLAIEAVHKLGFIHRDIKPDNIL LDRGGHVKLTDFGLSTGFHKLHDNNYYQQLLQGKSNRPRDRNSIAIDQINLTVSNRAQ INDWRRSRRLMAYSTVGTPDYIAPEIFTGQGYSFDCDWWSLGTIMFECLVGWPPFCAE DSHDTYRKIVNWRQSLYFPDDITLGRDAEDLIRNLICNTENRLGRGGAQELKAHRFFH GVEFDSLRRIRAPFEPRLTSNIDTTYFPTDEIDQTDNATLLKARDMAQGRQVEESPEM SLPFIGYTFKRFDNNFR PFICI_15328 MDYQNRAGSKFGGGGVASHSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGKKHQTNLARRAAKEQKEGKGQIDPQTG LPVGVVGAGFAALGLGGAKRNVVKIGRPGYKITKVRDPVTRQQGLLFQLQYPEISSDV TPKVRFMSAFEQRVEEPPDKNFQYMLVAAEPYETCAFKLQAREVDRSGERYWTWWDKD LKEFWVQVMFLTEREERYAGVPGLPGRR PFICI_15329 MSFARKNVASGKFLQFPETLRAGSYFDSDPLHSTVDYEAAVAQA KEHDDLQTIFFINFPAKVEGDALREQQKLQSLLQSWQAWASTTCEGKILQQIDIGGLP IDINGQVLRGAYRAKVFDYLFRSSIWFAKTFDENMSIHVEAKQADFHSEILSRILKDF SVPNTVFEDLEKILERISEGIVSSSESSSRSPQYWIMLTKYNWSADTQTMTAVVRVIQ FRVDQSAKQYCSNKASYIAVKCDLEHHHYQADFNSKFYSSFIRPKMDENLVKLGEELV ARNSVEVTIPA PFICI_15330 MSAPKKDAKSPLLSPTIKVPNKEVTFSANTSGANSTATKTGPGM ASPATKPDQPVVMPPKKVDTNMSWGMPLGLGVRGPNDENLIIFRKAVGINYSKSHADF STLEEGRKTATGIYKMVIDAQVKKHIQHDFMTALMYFFYLAQIVIGAALTALGPSAPQ YTLPITLLGAFNTIIAGVLALIKGSGQPQRLSKDQMGYRKLQDWIEETEALLSVGVIG RDKKEVGMLVEVAFKRYNAAKASEENNRPDMYVNQTIESLGYRRSSESFDNQPAKAVQ EPAK PFICI_15331 MSESKLLPQILIHHATEHCERVAFSGPGWAITYGELEERTRRIA AHLVNAGIGRGHFVAILLGRCVQAIESVLGITRACAVGVPLDPRSPTPELAKLLTHCD AKVVITDSRHFATVSNAVGAGTLIVLTNDVPNVYAMAGGSPVVKYRDWIEDDKCSTLG MSIDGLGEEEAAFLHYTSGTTDLPKGVLSNQKSRLWSANSLISALDLTPEDQIYCPLP LFHILGYLVCLITTVVVGASVYIPDAGQTPLDGLKDMQARETTIIVGATTTFHGLIDA VKAANTVPFPSLPKLRACISGGSPLPAPMKAQVEELLGATLLNNYGCTEAGFIATSKL TQTYHHNSSMALLPHHEIRLVDTNGNQVKQGEQGEIWIRGPGLMIGYHKDVETGFGAD GWYPTGDVAVISSSASGTDLTLVGRRKELIIRGGENIHPRELEDILLRQPGVVDVVVA GMPHKLLGEVPVAFIVRSAADIDLSDLLSACRKVLPDYKVPTAFYEIDQVPRTVIGKP KRSAVTSYTNRPLAVRYKLQSKDSVESLVIAETIAACSMNVGERESNVKWLHQHFNQP FSFLGLNSMAGVVLRDRLASLTGIDSLPNTLVFDYFTPAALSEYLYSRVLQRESTLPK SPSATPSNGGAEPIAIISMACRYPGGVSSPEDLWQLVLDEVDATTEFPSDRGWDLEAL YNTNPDMPNTSITKRGGFLPDFAHFDAGLFGMAPREALATDPQQRLLLETTWELAERG NIPPLSLQGSQTGVFIGTLYENYDENGIGNDALEAHITIGSSSSVLSGRVSYCFGLHG PSVAISTGCSSSMVAIHQAAQALRNGECDLAVAGGVTTMANPRPFTTFSRRRGLSLDG RCRAYSSEAAGTGWSEGVGLVLLERYSEAKRHGRQILGLIRGSAVNSDGKSNGLTAPN GAAQQMCINSALTQAGLSPDSIDVLEGHGTATPLGDPIEIQAVISSYGNGYGNDKVNM THRSSPLLLGSIKSNIGHTQAAAAVAGVIKMVQAIHHGVAPASLHIREPSQHINWEGC GVELLSTARQWPSVGRARRAAVSSFGIGGTNSHIILEQPEPAELKVANSRNTSAAFPW LISGASEAALRAQARSLLEAWCQTDNSRHNQDPANVAFTLATARSALKHRATITYSSD QNLFENDIETRLDILARGERHPEVVTAETNTGDKPRLACLFSGQGSRMPCLDDIEGLC TTFPIFGKTFKEACDEIDQHLECPIVHAMSDSSGRLQDRVDFAQATLFVFEVAMFRLL ESLNILPNFVVGHSLGEIVAAHVSGDLSLRNAATIVAARAKLMATLPPEGAMASISAT EEEVAKELSQLENTVASIAAINSRNSVVVSGTLAAVNDIRDRFTGLGRRATQLRNIKH GFHSPMMNGMLSNLEAELMASMESEKLMTGEKLTTIPLISTVTGKRAHAAELGSAKHW VRHVSAPVRFADAVNELESNENISIFVEIGPSAVLSPHVTGSVSTHSTVDKLLATLGQ LWARGIPIDWKAAFDGNGAHLVNLPVYAFQRQKYWLPYTPLLPATSVGSFTAQAQAQR PIVHSPVLEHGMLQSATSIPGTSKIICSGYLSTARQPWLRDHTIDGQLLVPATAFTEL AMRAAQECSEYSTPARMILDEVTVVAPLEFSLDKEAQKEESEELHIQILIGESQPGDE NGDIRRTIDIYSRPNGVATQHEWTQHATGAFTLISEANPSHDELVAGTVPTDTEPEVD VRKAYAVLNDGGVRYGPSFQGVRAIWRLGEKDVLAQICSPLSEGQKSTFALHPAVFDA ALHASSLASPEKVANGDVLLPFSLRGVQNLAAAESTGPILAHISHISEDRFSLILTNE ATGVVVTKVAEVRLRARRPAESRGALYHLQWIEPESQSVKATTLDRIVRIQSSHNLES TAVVPAVHEAIAAVIKVVHEWRTQMANTVDGYRLVFVTERAISMGNNSNIDLVAAAVW GFIRSAQAEFGMNRIVLVDLDGSDESEMALSIASRDEEVFVLHHGRIMVPRLSRLATS PAEARQTTTLDVSGTVLITGGTNGLGALLSRDIVHNHGAKSLLLISRSGIAAPGARDL YEELRAANAAVRIEACDVNDRAHLATLIESRDLYPPITAIVHCAGVVDDALLGSQTTE LASRVLRPKVDGAWNLHELSPDTVRSFILFSSFSSVFGNVGQAAYTAGNAFLDALAHF RLGRGLPALSLAWGPWENETGMAAESRLPAKAIASRLANAQPLTDRQGLDLFHESLRA HSKALPQPVLLPLLLRGALPIAMSSDVAFKTKKSHVKGRSRERTTFLRNLHAANSPQD RFNTLLGLLRDEIAAVLGYQSQSMLPDKRLDDLGFDSFTSVLLTNRLRTLTGLNSLSV TLALDYDTPQALARYLEPLIETELRLAVDLDLSDSAVDGMTAAAEEHQNETKSNTTPT PTTLALDDPAVVKPEIFGSLASIHRRLCLLEQYIAAAALLDAAALAVPTFPEVGSIMS SYATVPQCLTNSPSSFKTSDTPVVFIPAFTPTIRVGGASLSMYSHLASAMKGKREVFE LPHPQGPYVPQDLDTLAELHVSTIRMHFSDRPGIIIGGYSAGGVVAYVVASKLAKVDG QQPRLAGFAMIDTYLNVTGSENDPDWLIALPANVFTARLGGLRSGNFTGTSGGSFVED LDLELAKVGGYTRTLRGWNVELNPLPDTLSTLFVRARDRSDKMPMDEWSAKWPRANFT VDVNGSHLNLLEKSYAPAIAVEIEHWIGEHLRL PFICI_15332 MDLFKAFLGFRGSALSTAQLLLVVAPAFILFGYNQSNLGGLVSL ADWTQVFPSIDTKNTTGTQKSTNATLQGLVIATFTLGALPGCLSCSYTADRFGRRPII ASGALLTLVGEILEASSFHIAQLITGRVVLGVGVGMLSGVVPTWQSECSSSHTRGRHV VLSGLFIALGYVLQAWINLGFYQFPTGPLTWRPPISIASFFSIVLICCVFLMPESPRW LVHQGRVSEAKEVMSALRDSEETSSDILAEIAAIELSLEEQGGKQARLSDLLKMGEDK LLYRFALCLLLQFFQQMSGGNLISVYSTVIFQNGLGLEAQTSRLLSGGTLTWKLLSCF VSFFTIDKLGRRSAFLVSGTGMATCMLGLAVATSYPTSNYAAQITSVLFVFLFNFFIP IGFLGANFLYCAEIAPLRLRVAMSSISTANHWLCNFLVTMVTPVAIQNIGYRYYIVYT VIGFCIPLTVYFLYPETMGMRLEDIDLMFRESPSVWATVKFATTRQQRTETEILGDKN LVEHQEKV PFICI_15333 MATSALDSRVFRNLFGTQEIRDIFTDAAYVKQMVRVEAALARAQ AKTGVIPADAGDAITTALEAAVLDFEKLAHDTDIVGYPVLPLIEQLLPQCPAEKAKYI HWGATTQDIMDDASMLQIRSGLQIVERQIRELISILEKLSAVYRDTPMAGRTHLQHAL PCTFGYKCAVYLSSIVRHHARVKDIQERCLQVQFGGAAGTLASLGSDDTGLRVRAALA EELGLQNPPITWHVARDNIAEILNFLALVGGSLGKIAYDIIIMSSNEMGEVSEPFVPH RGASSTMPQKRNPISSEVILAASKLLRANASLGLDGMVVDFERASGPWHLEWVAIPSS FVLAVGSLHQTNFALGGLVVNTESMMNNLCSTKGLIVGEAVMMGLAPFIGRQPAHDVV YEACKKSIEERISLLDVLKGQPEVMAKISEETLADLCNPINYFGASQLMVDEMLQYSK AKVNS PFICI_15334 MELTDDASALSSHGSSDAAATGLGQVCDRCRQKKVKCDAALPSC GSCLAAGVLCEKSAKLRRRTKIRGYQINMEDQLKRAQAENAELKRLLQAEREANTVLR RQNQGLMPGSLEGPDIPETRTALLSSTSGKTRESEVAAATHEQSPTVLIQHMGRLVTD QMGTQRFAGTTTGIHFVLSTQQAIKTRMDVIGWFPESCFRLYLLQMPIHEPAASILGP GDILSLEDSLRLFGRLCPHTPSYYAGHVHRFITSWSAYCPIIAASDFQTKLTSLLERS QQGLHELSITDVDCCIAFQLVIILLINNSNIDARSAHDFLSDRDIEGMGVVSRTLFIR MMQIRTQPSLQGLLLLGLYFQLTGQNQHMIQLSGLNVQFAHSLGLHRHSRRFTYCASE IELRRRIWWCVYIYDKMAAITHGLPKLINDSNVDIDMPVDCDLQVVTATDLTYPLPGE STPIRDFNHFVHLNQLFSSVLTQLYTTTDRRGGPEKMERLSAALQSWEQRFIMHSHDF SSALPAPPKDSGLDPAASVLPLMAEVARLLIHRPGLTFDPGTPPFRQCLDVCTEASTN IINIASKRTGCKTLNIIYPPICGLIFQSALMHVFYYCHAAKDHMPESSATASSAEIIQ KAIGFLDWTMQSQNREGQDSGDTGRSVGQALQLLRAILQVLPVLADPSSYVDDSIDCG IPGNAGSASQQPGPTLPAHELNTIFDELMCSPLEGNDLMGSLDWLLDTEFSPWASR PFICI_15335 MRIISILSWAGAATSYAVRNAVRIKSQRVQLPDYHKNAGVLRYV NPLIGTNGANPDNNGGMIPSVSIPFGMTRWTPQTRGNFISQVPCHDTDQYIHGFQATH QPAIWMGEQGQVVLTPGWSQEIKALFQERGLAFRKEDEVSTPYVYEVLLNADTQGEHG WNLTEEAAGGGPVPGGAGSAPLAVIVGANGRIRRSKREPNARDRTIRAAMGATAHVGF LRFDFEDAFGSEGLSTEPYIHVQASHMGWNGDIRIDPGRSEISGSNTERQDYKLGPNR SVSFKGFFISRFSIPFSSFGTTNGGNVTGNSAHISGGFSGGYVKFPANTTRVEVRTAV SFVSVEQARKNLEFEVPDDMSFEDMIESTKHTWLDKLGRVTIEGVNSTDKEHDPRTIW YTGLYHALQYPNDFSEPLSDETGSAKVYYNGYADSVHKSNE PFICI_15336 MMHTLLNIFDWTGRLPVWANMVETNIMIATNADVVLANAISRGF RSFDLAKAWKAVWTDAYVAPDNDTELLYYDREPETGYEARAGLTSYMERGWVANDGWS ESASRTLDYAFNDAACAVVARAIGDIQGAESLERRSKNYKTIWNNETQLMQARNANGT WANETWGWTEGDKGVYTFDVMHDINGLASLFAGGRDGLKNKLDEHFAGGHNLHSNEPA HHVSYLYSLLGDPSSTADQIRSIMWEDYNATSSGLSGNEDLGQMSAWYVFSALGFYPV NSASDAYVIGSPSFEKVTHYTTASWGEDWG PFICI_15337 MGTNEADEKTLVISAPGAISKPYVSGVKVDGKELSRPILQHCEL VGASLIEFDMSDTRGSWGTT PFICI_15338 MTWELTRRRIARAANSKFIFDRIPLLHAVIFFIEMMVVARLVTR FNAYYDERPVLTMMVTNAVLGGIADTVAQTITSVRERALRKPGGISKEDPVAVEIHEL DRKNPLYERDLIPDSKLLPPPFDFERLTRFMAYGFAMAPVQYKWFSFLSRAFPITKEA SFGPAMKRMAFDQLVFAPFGIACFFTAMTVAEGGGRRAVSHKLRDMYVPTLKANFMVW PAVQLINFKLMPVQFQLPFVSTVGIAWTAYLSLTNSSEEVPQANEARSPNIRLP PFICI_15339 MSLSSKLSITDVDLKGKRVLIRVDFNVPLDADKKVTNNQRIAGA VPTIKHAIDNGAKAVVLMSHLGRPNGSPNAKYSLKPVVPELEKLLGKSVQFAPDCVGP EVEEIVSKADNGQVVLLENLRFHIEEEGSAKDADGNKTKADKAKVEEFRKGLTALGDV YVNDAFGTAHRAHSSMVGVDLPQKAAGFLMKKELDYFAQALESPKRPFLAILGGAKVS DKIQLIDNLLDKVNSLIICGGMAFTFKKTLEGVNIGNSLFDEAGSKTVGQLMEKAKKN NVKVTLPVDYVTADKFDKDATTGSATDKDGIPDGWMGLDCGPESIKLYKAAIEDAQTI LWNGPAGVFEFEKFANGTKATLDAFTDAVSKGKIGIIGGGDTATVAAKYGAEAKCSHV STGGGASLELLEGKELPGVTALSSK PFICI_15340 MAAEKTQAEKPEQAQTAQKSAAALEEDDEFEDFPVEDWPENETE KAAQAAQSATEGEGKEGEKYLWEKSWDDDDTSDDFSAQLREELRKVDAAKRK PFICI_15341 MSRLSGWIRGSTPKATSHEPSPAASTTDLRAAEVNQMEDAMVSM TKIMNDDIEGAEVGLKQGSSAFHSLGLGTTTFMRSVIGFEKDIMAEASKLLNTCSTQA WNEQKKAEKNGPDSRIHPPGSEFALVHAEAQLMSAMVAVLHESYLEAAKGFWGLRGAY ATLERMMQAEEAYLAKKGLKVGGSKDELPPPPVVPASEVKNEEQDEDADLDFVEAPES LSGTQTPAQYNGHLSKVIDETEKKLEGLAVSDGAPVTPPTRKDSPASMESQSSAGLQG PDADVFTDPVDVFVHSGANMCFGILLLMLSMIPPSFGKLLSIIGFRGDRERGVKMLWQ SSRFANINGAVSGLVLLAYYNGFLGFADILPTEEEAEQGAIVGYPRKRCHDLLSTMVT HYPDSRLWRVEEARSLSNSRDIAGAIAILEKNTDSKMRQVTALNSFELSLDCMYIGHY EAMRDNFIRCTELNDWSHSLYYFLAGCAELELYRNAFHAEKKDDSQIRVHKAKAKELL LKAPSLAGKKKFMSKPLPFEEFVKRKVQKWEKRSKDLGVDLVDAIGVSPVQEMVYLWN GIKKMQTSQLERAVDTLSWSRLTVPVETANKIRAESDEIAVGDLCLAANYRALGRLDE AKELLKTVLAIDKTAFDGKIHDDWAQPAAAYETAVLAWVELQNANKKDTTASINGDST QDLDIWRRKKTDECQTWLDKCAGWGNYVLDARIGMRVQTGTDTLRWYKRVKGWSSE PFICI_15342 MASQGMYNAATLCKRLEAVTARLEDIVSTLDKTADAGQPSKSSI KEHLTAALQVASDDMLIGQSAQEAPDQSISSDLLDFDAFLDTTVARYVELSNEIGGPV AKQAQCILYGLREQRNIIEKISKISSPDSNTLDSLCQPLTQAMATAKAIQEANRGHKL YNHLSCVADGILLLSWIKIQMRPFRHIDQMLECAKYFGNKVQAEHKQK PFICI_15343 MGSQELKYVFTLHVDLARPHEFGHTFSGMRRFIPITGGKVEGRL SGKILAGGGDWNAARPDGVVHVFAKYTIQADDGVLINITNEGYGRASQQVMEGVFGDD PASASTSSGANSDDKWYTKTFPRFEVASGKHDWLSKSCFVGDLLPPQIPNHVKIDIYQ LL PFICI_15344 MGSLQHSQSDRPAAARPADSMSKHHGLIFVPQPSDDERDPLRWP RYLKHLALAVTAFLNFTANFAGSGLSVATPVLEAQFGKSENEINGLLTFNFLFLGLGN LFWVPFGTKFGKRASLILSTMLLFASLIWTARTTSFNSLLAARCVSGFASAAGESIVP AIVSDIFFLHERAAMMACYTILVSGATAIGPLCAAFIVQYSPGGWIDYIWVCMALAGV NCIGIILCYPESNFRRPQDNSTQAEPDAVLYASDLSKPREEHQGDARSENQAEADCPS PCNAVSVVPKPLPSVWTTFFTIDHSASLLRAFIQPLIMLMQPPVLLAIYVYGTSLSCQ IILIFAFPSFLTAPPYLFNSSAVGLMQIAAAIGFVLASFIGGYLADVITARVIVLQHG VVRPEQRLISLAPACFVAPSGCILVAFSCAYKLHWVAIAFGFGMVSFGTVFAPNIIIT YVVESYPHMAADALVMINVAKNLVAFLFLYTAVEWIASSGWIQVYMIMFMLVTLGMLL AVPFYFYGARMRAACRGLLYIL PFICI_15345 MHSHVLHLSRAPSPARDRSPAAQRRNRGAVNPFDIPGAEATLEL VQEYFANTGLLFPYIHRENFIATFKVAAANEFRKVRRSWLGLLNMILAMSISTAYPSD LSYEQRKIKSQVYFQRAMALCEKQIRFGTSLEIVQFLLLMSQYLQGTESSIQTWNIHG LAVKAAYQLGLHSKQALGRYSALDREVRIRTWYGCILLDRTLSMTLGRPSCIPEAHVR IDLPIRTSEMTPSDDDSQLWLNDLSLEFFKSTISLSTILGKVLDILYDNNLDCDTPQN IFSLAGQILQIEHQLSESQNEFPETLRLLHVTDLLHHTLPEPVPMLKLRVILTLRYHN LRILAHRPLLHKYLEAIGGPDANKSQFSMLCQVGGNSLRACVHSATTIIKLVAYAVNT KQGKGLLGAWWFSLYYTFNAVLVLYSGYLIQKFTSSISELDPLHDIGIEFERDLIPQA VECLVSLDSGNIMTEKCARYAKKLERVMRTLNQVPEEGNVPVAADRNIRSGVDEFEAA LWPDIIDIGNTPLGSDLNEFMVPGDLDFLSSFDLQTNAPANPS PFICI_15346 MASEIVPLIINGENITTFGSLQPSVLVPSDETRGPQETVALGAT PELCLKAVESCETAFSKWRKTSPLERRALFSRLASLLKDNQKTISEVIQKELGCSEKW ALINLGDAIGIAEHIASLVTSGVLSGSVPESSASGSCAVVLKEPLGVVLGIAPWNAPL ILGLRAVAAAVAAGNTAILKGSELSARTHCLIAHLFREAGFPPGVVNFLVHKPEDAAI CYEAIISHPAVRKCNFTGSTIVGKSIATRAAAHLKPVLLELGGKNHAIVLEDADLEHA AEEVLVGAFLNSGQICMSTDLVWVHNSVKQDFLSILRTKITGISSSEVTKVINSKSDS RVKALVDDARLKGANVVAGSEGATVLENVTTEMDFWSTESFGPLVGVRGFDYESECVA GVNGSDYGLSAAIFTKSHFKGFNLGRTLSVGAVHINAMTVHDEPTLPHGGYKASGYGR FGGVWAFEEFLQTKTIITNP PFICI_15347 MAGHFRTSPEQASQLFPAYPQFSGFMKPCRFEGEIQNLEVQGTI PPEIDGTFYRVMPDPQFVPFIENDPWFNGDGNVSSFRIKDGRCCFKQRFVRTEKFVRE RAAQKALLGKYRNKYTDAVSFKIRTTANTNVVYFNGRLLACKEDAPPYALDPETLDTI GLEDFDGQLPSLTFTAHPKLDAKTKELVCFGYEAKGDGTRDVCYYCISPDGKFTETVW LVAPVAGMIHDFAVTDNWILFPIIPQICDIDRLKQGGEHWQWDSSVPFYLGVLPRRGA RGEDVKWFKAPNAFPGHTVNAYEEESGKIVFDLPLTDKNVFFWWPDADGNAPNPESIK AKLVRYTFDPCSSDLDLPPFTVLSTEDCEFPRIDDRFSMKPHSHSFFDLMDPKLGTDF PAIAPVMGGGHAPYNALGALNHQTLELQKYFPGPTHLVQEPVFIPKAKDAPEGDGWLM ALVNNYATMSSELHIVDTTDFSRAQAIILLPIRLRAGLHGNWIDSEDMKVANES PFICI_15348 MLTLKRVPAAVATVGIGYGIVKYKQTQAVLQQAQSQQAESSRQA EADIMMAAYGDRSSLAELEAAMKAYEEQRPQR PFICI_15349 MAPEHTKKTYTLASGDKMPAIGLGTWQSPNNATAAAVEAAIRAG YRYIDTALAYGNEHEVGEGIRAAGVPREQLWITTKLDNPWHKRVAEGIDTSLKNLGLD YVDLYLMHWPSSTRPDDLKKAYDDWTFIDTWRELQKLVGTGKIVPAVNQIELHPNNPS PKLVQYCKDKGIHVTGYSCLGSTDSPLYKDETLLTLAKAKGKTPQQCMIQWGIQNGWD VIPKSVTKERIEANFDIDGWELTDEELKKLSSLPDRFKVCGDDWLPVKVFFGDDE PFICI_15350 MASQAFDPTVLPPASPCPFCKISTAYATFDPTSPPGADESLLDP EKIAPASFIVLSTPVLLAFLDILPLSTGHLLLCPRRHASKLTDVTASEAAELGTYLRI LSKALVHATGVGDWNVVQNNGAAAAQVVDHVHYHLIPRPEIRASGKLRESFTMFGRGQ REELDDDEAVVLAAKIRDGIAAIMREENSRGKL PFICI_15351 MSREGYQIPTGSSGAPGAGNSAVASRAIETEGLPMLYICGDCGT KFPLKRGDTIRCIECGCRVLYKERTKRMVQFEAR PFICI_15352 MASSSASAPAGGDKPTAIVCVGMAGSGKTTFMQRINAHLHGKKE PPYVINLDPAVLNVPFESNIDIRDSVNYKEVMKQYNLGPNGGILTSLNLFATKVDQIV GLLEKRSNPEDGKTPIKNILVDTPGQIEVFVWSASGQILLESLASSFPTVIAYIIDTP RTASTSTFMSNMLYACSILYKTKLPMILVFNKADVKDPSFAKDWMTDYDAFSEALQAD EANNAFGGVEGEGGGSGYMSSLLNSMSLMLEEFYTHLSVVGVSSMTGQGVDEFFAAVK DKTEEFKRDYQPELERKRAEREETKRKTREKELEKMMKGMGMDTREAGSSKDANAPID DNDDVDVPSDNDEADDYADDDEMDREGLQARYEAAMQGSAVSNMEEASFAKFLHTQRQ Q PFICI_15353 MAAPTAATVRTRTALELPASDAQLREWIRTRVKEEFQDELFIFF KNEESVVIDNMFEWSESWHQARMRQMLFFLQVDPKHWEWSSKKGRDEARKKANEVVHR PNIAVDCLVNESRQWKSWIETDNIFENVYQMRREDARRIRDMATSFDQAIPEDIKAAF AWSLQFIDRYLDWKQNVCQQEGKLYRNSSYNPDTSVPLPPRHHRNESDWMPSLAARLQ EYEARFRVFLNRLEEILAEAEQPATNADGEATRGIEPATWDGFDLAVQAIVERVRQSN LRQVYIPAEDMPIWPASQGASDFTAKPPRPVSACRPKKRRRGAEETGDDSTTGGGKRR S PFICI_15354 MFSKRSFRSQDRVDKASRLKKSNTIRNDPQKLSKLQRSIEESMP VKKTLSSPIVTLTVGREGRLFAAHEEVLCQSPFFERVCRDQYLDAQSKRISIPDEEPE VFSAVLEYLYKGDYYPRLLHNKHRNSWELEDAKAATGRSPVPSENGGGRGAVEATIYM SSVGQHLLRDTVIYCTAERYGLEELKRLSLRKQGLQSGIDVSTILRSAQYAYDNTPDS DSRLRAHYLALIIRCRKTFKRSGTMQAEMERGGKLFFDLFVAMCNHLDDVVEMSNART PKTI PFICI_15355 MSETTPLMPRPVTSQIDSHNIYLRACHSSWRMVNPKILTIVRLA ITAYLNAVAGVSIKYKLEMKDTHTNWRIPFQFSTVTFAMLLAYNVQVTIWTIMHLLVP EPAHDETPEGRWPRLRNKIITSISPPSRVESSRRRFFFSLFYTVTHVFTFTNTIMYWA VLVPTGNGGFAAPAFSRPQEPPQNQTTLAFDPDKGLFEEGAIKAFSIINVWSVTSIIA LAEVLFFNSIRRQTPVAGHVAGVVLASGAYLAWAGFGRLLTGHSGLFFLDPAKMGNAP EATVAACLAFVTSTPGIFSYMYGLIAMRESMTAHN PFICI_15356 MVSGLQNPRQMAAQLLNFGLILSTAFMMWKGLSIVSDSPSPIVV VLSGSMEPAFQRGDLLFLWNRNLVAETDVGEVVVYNVKDKDIPIVHRIVRKFGTGEHA KLLTKGDNNAADDTELYALGQDYLERKDIIGSVFAYIPFVGYVTIMLSEHPWLKTVML GIMGLLVVLQRE PFICI_15357 MDGLDEFEKSLATEKAERERAEERASRKHRHRRERSHDREHDHS NHHDRHRHRHHRSRERDQGRNEDHHRHKRSRHSRDDGEDAREDDRQHRPNRDDKRRHR EDGVRSPRQWDYVKGSDPKADLPLPDEEISVASANPEKLARDDWMTDPSALGVDYTQR GAKREKTPPPSREPVRAVHSREINATELQHLNEGKHLDELDRPAQHNVSYTFGDDGSQ WRMTKLKAVYNTAEKSGRSVDEVAVERFGSLRDFDDAREEKIELDRRKTYGEGYVGKG KPSGELFQERKLDAGVHESRQLSRMEQGNLEQGTVVPDDATRPATTTVDQTGLNKLRA QMMKAKLRKAPDAARLETEYNEAAAAFAAHGSGDVVLGVMDNRMLAGTRNEAKAVETK RGRERGHMQENDEMTIEDMVREERRTRNQRGGEGLRLAERIAKDGKFDNDLDYMDENA EKLAKRIQKSEINLRNTAVNEYQKINSILDRCQLCHHEDRNQPPVAPMISLATRVYLT LPTEPELAEGGAVIVPIQHRTNLLECDDDEWEEIRNFMKCLTRMYHDQGREVVFYENA AAPHRHMHAAMQAVPIPYEQGDTAPAFFREAMLSADEEWSQHKKIIDTGAKARNGLGK LAFRRSIAKEMPYFHAWFTLDGGLGHVVEDANRWPKGDLFAREILGGMLDVMPDVIKK QGRWRKDDIRADTFKKRWNKFDWTRVLSDS PFICI_15358 MSSFFKPNKTRKAGFSIKPKGAASNRLRDAVQRLDRQMSVTGSP APEGPTVSATASNVVPPSRRTCPNPQCANPSAPVDEGYCTGCGRQVETLEIVNEIQFG ENAAGAAVLQGSYMGADQAAARLGGPGMRRIGGVIGDSRERVIREARNMMAQYANQLK LSDTVINEGIQIFKLALSMNWIQGRGMIKVVPVCLYAACRRQDRCRVMLIDFADLVKV NVYELGHVFKGLNDIYDFSHNKIKAIIPEDLMYRFCDKLDFGDMTRDVAELAARFCQR MGLDWMVMGRRPSGICGACIFLAAQVWGFRRTVREIVYVVKVTMHTIEQRLDEFKVVE SSDLTIEDFLKQELLESRHDPPSFYRTQAEYIQKMEQRQKESGRNKRKRATDDIDEDV EDEAHSGSLPSNLPPPRRSEQSMPPPPLPPPDTSKLPQVTQFLERSKDPETGQMILEA FDPNNIPAPAPRVAAAEKDVAEGVDAEDPTGEEAIDHLAETYTDDTNETAQAKRRRGK RAPVEPLLTFNEEWENAEEMLVQQIDEVISDPSSDTHRKALATAAYHARVKAAWARSQ LPVQETKMDEVIDPSEFADDPEVQNCMLGPEEIQLKEQIWLNTNKDWLRKQQNKLFEK EMEALGPKKKKRNRLKKPRIGEGQLTPASTPGEAAVATMKKFTMSSRINLDAIKNLFS ETGKGGPGSVAQSSRAESEDGSEVGDAHERLQAKTKQHTATSSKDANADANEEEEDEE EEDQEPYEDDQGYGEDYQEYDEGEEEWY PFICI_15359 MWLNSWALPIGLLHVVTATAASVPKVQRDSNTNVFVPRGVSVGT DLVVDTDNITAFTLDAKHPLATLDYGTERAGYPFFTVLEVAEPVQIEVKYSESFVGLA HPWADGPYAFSTGLSNSFRVETFNITASGRFSAPLIQGGQRWQSIRLLSEGTISFSEV GLEATIDTTEVADFPGQFDCDDEELNEIWTLGAKAASTACVEKGTQKATWEIDLENGA YIRSQRASQALSGAFFSNYTLVFETMIERSGVWWSVAFPFGRGEGLQLQLVSELPEGS SFLNTNTTQTPPNSILLSWGYDFVNQTTLTSYYLDTFTVPFAVKEGLWHSVSTALTPE GRMVVTLDSQLVFNISISDYYTPSTVSFDGSFGFGAWQDQAAYVRNVEVTDSVNGSTL YTNPMTTSDVLAEHGVQANLESVCLDGPKRDRLVWLGDFYHTARIIGASTSRYDLAKG TLDFLLKTQIADGEVNIAPPMGYDPTVTAPAAPGGIFGLSDYQMLGLDSLYSYIRQTN DLEFLQSTWSGWQLQAQWLINQVNATDGLIYVPSAFLGASSAGSAVSCLAVQALRELA ELAGTIGDEEVQSNCTATADALSEAINSQLWNDDIGVYSLSTSQPIDFSVAGIAFCIT SGVANSERTSRSVEALEQLALTPGYKDSTTANSSDPTVVISPNTNGFLLDALYKAGAW DTGASLMRSLWGAMISNPETSSGASWEYVDQSGNPGLSLFTSLAHPWGGAATYVLTEW AAGLQPAAGIQGFGYTHWVVSPDAGLAMGLKHAKASVKTPSGVLSVEWEVSSGGGFNV QIGAPPATIGVFRLGNETKHLCGNINYQISSHVS PFICI_15360 MVSSKSSKEDLGHEAQATHNASPQVGVAPNPDPALDFTHEHQHA HIHHHGAAARDKEKHDDVFYTTGTTDKGRDLLDAPSQDYETHKLKETPVAEKSLDEES GNYGITRDEEEAKHNSKWRRYWRIIRPFVPLGIWVIMTGWWVVSVVSVTVFGMPNGLG WLIPFLLWLAISLRVLTLWVPIAFFWRPVRFIYDRTAYKVYELTPKKWHQPLAAAITV VVFLIGSFVPEETGENTRANRAISIFGLIVMLVVLYLTSMNRAKIPWHTVIGGMLSQF IIAIFVLRTQVGYDIFSFISFLARTLLGFANEGVTFLFDDTIPDMPWFFTSVIPPIIF FVSLVSLLYHSGVLQWFVVKFAYFFYWSLRVSGAEAVVAAATPFIGQGESAMLIRPFV PHLTKAEIHQVLTCGFATIAGSVLISYINMGLNAQVLVSSCIMSIPASLAISKMRYPE TEETLTSGRVVVPDDDEHKAANALHAFANGAWLGLKIAGMISTTLLCIIAFVGLINGV LGWWGKYLQILDPPLTLQLILGYLLYPVAWLLGVPNQDLRTVGEIIGIKIITNEFVAF ATLTAETTTLTTRGRVIATYACCGFGNIGSLGNQIGVLSQIAPGRVGDVSRVAVSALF SGIVATLSSASIAGMLYTDAIAQNV PFICI_15361 MAPSTIGTTTQVIDQIKEKFTSDSGHISPGTASSNSVLNTSHSD PLKPTGILDQYDHFDVTPVIGREFVGVDLAEWLRAPNSDDLIRELAITISRRGVVFFR KQDNITNDLQKELVQRLGQVTGKPGTSTLHIHPSVNPSVEFGGDDNEISVISSQQTKK IYGKYLQKTQSQRKQWHSDITFEPVPSDYTLLRMTKLPKTGGDTLWASGYEVYDRISR PLQGFLETLTAHYAQPGFNEAAEKHGFKIFSGPRGAPENVGDVLEATHPVIRTNPITG WKSVFAVGIHVQRINGLTDEESRHFLDWFVQLIVENHDLQVRNRWQNVNDLAIWDNRS VYHAATPDYVHEEGLGERTGSRAVSIGERPYFDPSSLSRREGLAQEFN PFICI_15362 MASKRIIKELGECQSSPPPDITISYSEADIHKWNIALVGPEGSA YQGGTFNLTLSLPPDYPFKAPVVNFTTRIYHPNITNDSMGNICLSILKAENWKPASRI KGVLEAVRNLLIEPQPDDPLEARIADEYKNDRASFEKNAKQYVDRYAKGEVKK PFICI_15363 MTALDELGSLGPLAVEGLPGSNLQAATSTSVHGPSVTGERSRSF DVREFGLYASTTKSGPNASSRSNSSLKSKPGAPYSSTRSRSTTHPRLAPVVTKTRTNT SSSSTTTTTTRTTTRRSPPLSAPITPRHQVAAAPVAHYYDPFYSPDETDWHAYPTNHD GSLHHHHFSRFSSSTNDTTSTTDYYTDSPTPSPPRSPTAPISPRWDSLSYNSAAICSH SHHAHTPVIASTLASSPPVPTTPTRKHFNIAVASLATELSDDSPRPVPVLSPVRRANM MAAHESRPVGADGLPVGNFSRPRPPSIKHTSPDSVRMRSGTSNTSQADSSYLDQLGQP NQYLRARDRGQSISSNQSASTFVSLPVRPPPDLHYPDSVRGRSRHPHPPFARHPLGYG RAESTGHPFTREMIPRMASMPSDELRSSYRSQLSSSTAPGTLRTERSSVLTKSSSITS ISMPVDEAFSVDDVMDIYEEGFRDDSPERPDGHDEQDEQDDDSRPPTAKSERNRRRTA LLEAFSDSLPMRRGNAPNGAENGAAAYEEDEDEHDTDPAAQAHSTDGLAASRVSVTES TDHSEEREKNDSKHDSGKLVDDVEGDDFPTRSRQTPAPRTSIENTDVTRDRYGFRKEN QYVTQEQYDAWNTSYSDYMDRRRRKWQAFMKESGLITDDPTRFPQRSAKTKRFVRKGI PPEWRGAAWFYYAGGPAILSKHGGIYNQLLAQKAKDIDTEAIERDLHRTFPDNIKFRA ANASSIPNTEYHEPGSTATDADGNPVRRDESEMISSLRRVLHAFSIYNPRIGYCQSLN FLAGLLLLFTETEEHAFWLLNIITRVYLPGTHETSLEGANVDLGVLMTSVQETMPNVW AKIGGELDGTDTVKPKSRRHRRNPTIPTRLPPVTLCMTAWFMSCFIGTLPIETTLRVW DVFFYEGSKTLFRIALTIFKLGENEIKSVGDPMEIFQVVQAMPRRLLNANTLMEACFR RRNGFGHISQETIEERRLERRKNVKLEKERIATGLTEDSADLKHKNPLFGKKKP PFICI_15364 MSSRKRKQDEEEELVALPSDESEEEEEYVSEGEDDDEIDDDEED DEEEYDEDAEPEEEEENGVKRFGAAEKAPPSKKRKTTAAAAEDAPVADEQDEEAEAEL EEADENGDAEEEADDEEEAEKIEAPVETVKAKVGDVVPAAEVKDTAEKPIAANGDTED PFICI_15365 MVLFKHFLITALSVAFPGASALLAFPGAEGFGRESVGGRTGSVY HVTTLADSGTGSFRDAVSAANRVVVFDVGGTINITDRVVVSKNIYIAGQTAPGGGITI YGNGLSFSNADNAIVRYVRFRMGKGGDSGKDGITIAEGENMIFDHVSVTWGRDETFSI NGDVYNVTIQNSIIGQGLETHSCGGLIQTDYGVSLFRNLYIDNKTRNPKVKGMNDFQN NIVYNWGGGGGYIAGDSDGQSYANIVNNYFISGPSTSVTAFTRGNANFHGYVSENYYD SDQDGTLNGAALCVSTTCYSDMDILTTKYDYPGPATLMTAPNAVTWVLNNVGANYPSR DSVDSRLVSEVQTWGATGELISDETASPMYGPGYIAGGSKPTDTDGDGIPDAWEQSNG LNYQDASDAMEISSSGYANIEVYVNSLVPSTNGS PFICI_15366 MLSTKRRKLSHPASGPAKSKGTGQKPLPQKQRRQEQIEQEEDSD DDSSIASDPSEANDSDSDSAGEEDKHASDRENDQADSAKETGSSEGAVEDADEKLPET FKDLGIVDQLCEACDALGYKKPTPIQAKSIPLALQNRDLIGLAETGSGKTAAFALPIL QALLEKPQPLFGLVLAPTRELAHQIGQSFEALGSIISLRVAVIVGGLDMVPQSIALGK KPHIVVATPGRLFDHLEKTKGFSLRAIKYLVMDEADRLLDMDFGPIIEKILKCLPRER RTFLFSATISSKVESLQRAALRDPLRVSISSHDHQVVSTLIQNYVFIPLAQKDVYLIY LCNEFAGRTIIVFARTIVDTQRISILLRTLGFGAIPLHGQLSQSARLGALNKFKAGSR EILVATDVAARGLDIKGVDLVLNYDLPQDSKTYVHRVGRTARAGKSGRAINLVTQYDL EIYLRIEHFLGKKLVEYEVDKAEVMIFKPRVEEAQRHAKMEVKNLINDRGRKGAVLKG KYIKGGRRKRDHMDAEEG PFICI_15367 MARRRTKKRTHVGASNPESTATSLGHASVKDPKSMVIRIGAGEV GSSVSQLAKDVRAVMEPGTATRLKERRANRLRDYITMTGPLGVTHMMLFSRSESGNTN LRVAVSPRGPTLNFRVDKYSLAKDVRRAQRHPRGGGNEFLTPPLLVMNNFITPDNDAT SGVPKHLESLTTTVFRSLFPPINPQQTPIKSIRRVLLLNREPTKDGTFILNFRHYAIT TKAAGLSKPLRRLNAAEKLLNAKKTRKGGVPNLGKLEDIADYMIGGEDGNGYMTDATS DDSEMEEDNQVEVIDTATRKVLSQKARATALEHGEDAADDHQSNIKKRAVKLVELGPR MKLRMTKVEEGVCGGRVMWHEHIHKSKQEIQELEKRWEQKAKEKEARRKQQKENVERK KKDKATRSGKKGDDDDEDEEMDYDGYNSDLFDDMMEEDAVDSEGLAGDAEEANAAKEE MEEQEWEDDE PFICI_15368 MRLNIYWNSLIILSLCVGLILGCKILPWLYKRGPVGKDATDERG KEAKQFLWGFLSVYLMVMGSEWLQGPYLWALLHDEKHLSSETVAILYATGYCAAAISA PFTGYLADKCGRRGACITFCVIHSASSFSVSFDRIEILAIGRVLGGVGITLLWTVFES WMISEHRRQNLEDSPIPLSSMFGIMTIANCITAMCAGLLSHCIVWALGSKTHPFMLGI LLDTLAAVLMMRSWNENRGIQAKLDAEAGLGSREPSRSWRQEVADSLRDWKVLTLSLI SCCFEGTIFLFRFYWPGTLQKAHNHSHGVGKDDDIIPHGVIFANLMATMVLGALLFGT ILERSATLLNSGLSTFLLSAALFFAGASFLTAAYAQTEAQLFCSFLLLEACNGLYIPS MAFQRGQIVSDSGRASVYGLMNIPLFLFVILALLSTNGDGEEYQNVIFIVCAAPLMLA AVLSCVSFLDIPPRNGFSEVSSSEIAESECARNKDESDLQY PFICI_15369 MRLSPSLLGLVSLVGTCVADGAAIAAALGVISADTISLNQTVAS WPGDILGALPITVQSTTLLIDINKATGIAEDSAALNDTETLAIAVTTADLITDTNSTL TEIIAAKPKFDKLLLSPIIYLTLSSQKDASGKLSSAIAEKVPEAYQTVADALGAELAA SFDVALDAYSFL PFICI_15370 MLDLLLSLPILSYFAAPALTSWSTSLNLLFFYMTWMSLVLSHSP LKIELMGIFAVRVALWFIPSLLFLLFDSGIPSLSESIKLYGSSSLPRRNAAFVAKQIL LAVFNLLLMTALQAALSIGATTLLQAPLFKTSTTLPLPWQIIKHIGWLYSCREVLTYY IHRKLLHSRGPLTNMHKCYGHHHRGAPYSLMLYTDHPLPLMFHRLLPVYLPSLIIRPH LLTYFLFTLLTTVEETMSMSGYSVVPGILMSGVARRTATHYASGGRGNFGAWGLLDWM NGTSVGKDVVEDLQDEAEKHRIKERSERAASDAGNMVQGGIDQIKKRRAGRRKD PFICI_15371 MGYAPSSSTSAAGSYAAAPIPRQPSQYPHSSGRRSLRLDVRTGD DGTRRPFTPASPEVISSLITSLSGISEPANTYFNKPLPVSPGHGSFGVDYGAFRSSSL RADQEDNVPLDELAACPPVIRTAPPPSGLSPLTTPKSPRSPRRESSGGLRSLLRSSRP SSRSSASSYDDNRSTRSIGNPSIEPGTHSTQELHRQRSQDSWGKKPGRNSKGLMYMSS KERLRAGEAERKRSSFGVLGGALDSVVGGPLSPRTDSSLAETPISEEPPFLELDTPYE LYELPEPSPTFDQAFQRPVPARDSSLRRTSSSTRRSSRRSKREGESNVRSSIPENEEH DHFHDMSLPKRTMPARSASANDANRRKEDTLRADLPTPSRLSVSPTKTSHEKSNTNKS RAHSEDPLEDGAPYPAVYSSRRRTSRSADRRKSGRTTPEPGDALKMKRSSSRLSRLSV GPKSPEQEKAATPERIVSYERPKSVDSVDDAVESYLCSPRLSQKIKHPQTGRVISFSE VGDSEGSAVFCCVGMGLTRYIMAFYDELALTLKLRLITPDRPGVGDSEPYADGTATPL SWPDDVYAICQALKITKFSILAHSAGAIYALATALRMPQHIRGRIHLLAPWIPPSQMN VFGTSQAMPPTNAIPTSQRILRALPTPFLKAANSSFMTATSSSITSSLPKEKRKTKRK ATAAATGRRDTTPNMDKENMAGLPVGDKDLPAEPTESMDQIKPHGSDFGTPHPAAPDA VNDDEAEKERQVSYDTRLTYAIWDLATTGANPAVDLLVCLERRHTVGFRYVDITRPVV IHHGSRDNRVPVDNVRWLGKTMRRCEVRVLEGEGHGLMASATVMGNVLMEISKEWEDW NKATGSQRERGRSFRVGGR PFICI_15372 MAQSWTARVVLLLDFFEIPYSPRYYNIYDSASRPSDKYLEGRLV PMLQPIASDSSFIIEDSLAICEYLAEAFPDRNLWPRDDRLRAFARSAAAQMHAGFNEL RNTYHTNFVARYTGDIPINDTAKKEIAKMLGIWYHARIQTKTRLAELGEKDHGFLFGS FSIADAFFWPVLWRFRSYQLPLDNITEDALQWMNTMWGHPVMLAQARGYFEQAKDAAT RVDKFDDIFKHVPGVHYGHFDQDWSFKSG PFICI_15373 MSLFTILARDDCTNSAGGDTCEKPASNSKITAIVIGVITGVLLA CLLVVIVIFQRRRTRRDNQEWTKDPQELEDYGMGTMDLDNTAKTGSVRLPPEAHRAER PVKAEGAGSEMPPQSRISVTSTVSLARQLRGHDDTLSSTAQVPRSLV PFICI_15374 MDSNQPPTQLQLQQNPQQQQQQQQSQYSQPQPFPQPYPQPYPQQ YLAPPPVMIPSSKGWHITKIVFYSLSIIFCVIVLGISIALAVDPSIYQSYQIIWVAPQ AGIALCWDVAELITVCVRRSQYGIHPGAHVALHLLLWLGLVVAAGLTGWIVAYASECG YYCQRYYDYYSSQYLSIMQAELAFLVLLM PFICI_15375 MSHQSEPKPPRLSTGVELEFAVIYVFHTRDASSSEAEEKIIDDD LPQPLEIEFPKGVHRPNLHDIGQEAVQEAIIKTLRDAGFPTEEAEVSGQKTQEARQLD PFRHCQVASDTTIKVIGLEGFKARLPLRYAAIEIGLPVEWESPLSFEVVRYMINLLAS KFRIQINPTMGLHIHVGNGAAYMPFQWVKRISMMSWAAERLLATLHPPTRSFCTHCMS IRGYSPLAHGVQLEDHLEHNFEERLLCERYIARAVRFGEESTLWREAHIDKSTVEAFT RTRRSGCFESFIENSTLTTSVAIGATPSQSEMILPRVPDHMLSKPKRLNTFPRLKLPR YTEEDAEAMNRINGDVLSVADLAKDRGIWYGIEQIARTESSCQIEGMLHTNDRANYSF LQCSCLNMGHTGWSKKKTIEWRQGAGTMDARWVATWLRIVTGVSRFAMHSPTDEFLRI IRRCDYAEEGGSYDVLDLLEDIGLVAEAAIAGERIKNYRREWCQEYEDNS PFICI_15376 MAEAQEPPLQPQAQQVQPSAQAQAQALPLPPGGPVMLIPAVANE ETNSRSHGSLPALVSSNLPDPSVLDRSPSQPSQAPAELETHTQYCSTTNDSVQAHVKS SQDSHRQREKPQPEGIGPSTKIQQASAYGATGAVEGIEAERRDVDKLPGDATHFAPKA YLLPALVHVSGSVSTATKPSTTAGVTTTISSIAVPFDLVRSTAKTPSARDTNTVLDYE IIADDIDQLHTSSAPPSPSPPVIMSNPPQHPGHQRPPVGFPSPTYGSQGIYGYGSPTG PPGDPYRGPSGGHQPMSLPSMRTFDPVQQQQAQQVPMAQQMMQVQATMPPYYAHPVPL PGNPYSMPPDAMASRYALPPTDPRFLGNPRNKKQEIKRRTKTGCLTCRKRRIKCDEQH PVCKNCQKSKRECLGYDPIFKQQQQQQQHTTIQPASNTNNITNTTTSHPTPSASVPSN GPSSLLPPTSSSSSVVPGPNSSGTYSSLPSVLPSSYNSGASSSSNTPGHAYEPSQSAP PQTIKGEHFEYGPAIDPALDSVGAPPSTSTSHLPPVKSVTPIASPLPQRPPNSTLTLR GGGPVFTSQSAQQSVSPFHHWTPAYSDNSAKNMRVDELVSLGRPLPPEAKSLPDQAQM DEIRGLYDEIYAPGLEKFFETRWYTQESTGTQLVTVHDSVVKRIAALLSLVGRADQQK QNAMTESANAEFRTVWDLACLPFATPPRINPPSVIPPDHDSAEARNRVYVIEALLSGT ILMDNPLVRPPPSGDLRRVRELDFWYHLGEFCRVEPLGNPPNPIISSQRDQILNRIRT LLDGRENRDVLYSVAIMRALSPEFPPDFESTLPQHLDENDPKSKLAVARKFVQDESKV TGGTTNIVRRFSELATLAFINPGVNVLRSA PFICI_15377 MALVRGYPGQTIASNVFLDKQSDIVVSTETVENDELDHDDKDNY LIVSPYTEKEHLLDLRTLDKENALLAKALVKFRSLRPDYATAPYTETFNWDQVMEELT RLVREEGHVWKETSFYIVAFRSQIPPTTVYAELGVLDKAAHAEATASGGFLKYWFGTP DQEGRNLATCVWRSQQDARQGGVGPAHRKAAGAARHLYSEWRIDRHRLIIRDDLVSWQ IVDWAD PFICI_15378 MADASKDTGITSVQVEALVVMKIVRHATSTFPSVATGAIVGLDQ GGLLSITNTFPFPTADVAHADGGSHQNDASSLAAAAPRAKSNLVYQTEMIRHLKEVNV DANGVGFYTSATMGNFVNRNFIENQFHYQKENEKNVALVHDVSRSSQGAFNLRAFKLS STFMAALKEDKFTTESLQKSKLNFKDILVELPVTVHNTHLLASYIHQLPGLPAKAEAD LPTSVSDIEKAEVKLPLYPSVDTLDLSIDPFLEKSCDLLLDSIESHYTELNNHQYYQR QLAREQTKITAWQTKRKAENAARAAAKQEPLPEDEWQRLFKLPQEPSRLEGMLNAKQV EQYSKQIDGFTANVSAKMFAVRGNLMPE PFICI_15379 MSAPANKFKVADLSLAAFGRKEIELAENEMPGLMAIREKYAADQ PLAGARIAGCLHMTIQTAVLIETLTALGAEVTWSSCNIFSTQDHAAAAIAAAGVPVFA WKGETEEEYNWCLEQQLVAFKDGKKLNLILDDGGDLTHLVHDKYPEQLKDCYGVSEET TTGVHHLYRMLKNSKLLVPAINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMIAGKI AVVAGFGDVGKGCAMALHGMGARVIVTEVDPINALQAAMAGYQVTTMEKAASLGQIFV TTTGCTDIIVGEHFSAMPNDAIVCNIGHFDCEIDVAWLKKNASSVQNIKPQVDRFLMP SGRHIILLAEGRLVNLGCATGHSSFVMSCSFANQVLAQIMLFKAEDKAFGEKHVAFAK AGKLDVGVYVLPKVLDEEVARLHLAHCNVELSTLSSKQSEYLGLAVEGPFKADHYRY PFICI_15380 MSRPKVLLLGIVEHAHETWASLSSIADIVTAKSTNRADFIGECN SGALDGVVVAYRTFDSSSITGPVDAKLVPHLPSSLRFICHNGAGYDSIDVTACTERGI HVSNAPTAVDDSTADTGIWLMLGALRNFNASLLNLRRGEWRGGKTTLVPPLGHDPQGK TLGILGMGGIGRNMAIKARAFDMRILYHNRTRLDPALEQELEVEYRSFQDLLQESDVL SLNLPLNPATRHIISTAQFGLMKPGVVIVNTARGAVIDEAALVAALDSGHVASAGLDV FENEPEIHPGLLANEKVLIVPHMGTYSIETQTKMEEWTISNVRMSLQEGKLRSIVPEQ RHLQH PFICI_15381 MADEKPQVVEGVIGEQHHIAVDTGTGKTAQREGAQAKEVHNAEL YAAIQETKISKTSKESLHLFFSVFVAFCCACANGYDGSLMTAIIAMEHFQNTFHTKAV GSQIAVMYSLYTVGSMVAAPFAAILSDRFGRRRAMFCGAIVIIVGAVIVATSSTVAQF VVGRFVLGMGIQVMTVAAPAYAVEISPPHWRGRCTGFYNTGWFGGSIPAAAITFGCNS IGNNYQWRIPLILQGFACVVVIAAVRFIPESPRWLMANGKEEQARDFLIKYHGSGNPN SRLVLLELEEMKEGIRQDGIDKTNFDYRPLFLSHSGRWRMAQVLMISIFGQFSGNGLG YFNTVIFAQLGVTTSSGQLGYNLLNSVLSAIGALSAVALTDRMPRRKVLVIGTFVCAV MLAINSGLSAAINEQGENIITSYAQGGLAAYFLFNIIFSFTYTPLQAVVVTEALETTI RAKGLAASGVIVSAMGFINQFAGPIALQNIGYRYIFVFVGWDVIESIIWYFFGVEAQG RTLEELAWVYDQPNPVKASLKLDKVIVQADGKVTEKIVDAANL PFICI_15382 MSSGSNRDVLLAGAAAAFTVDLLIYPLDTLKTRKQSQDFLRTFA DPATKTKLPSSQLFRGLYQGIGIVVVATLPAAGTFFSVYEAAKTFFGRHGSSMGLPQP VVHSAASAVAEMASCIVLTPAEVIKQNAQMLSSSKGGDTRSTSLEALKHLRGQGASRR LLSGYTAMVARNLPFTAIQFPIFEYARSEIWAQRSGGPNGDRSKRANGEEQSLFETGV VTGTSAGLAGSFAAVITTPMDVVKTRMMLMAGDSQGSEQQIRETRDKQLSDNVSQNQN KGGLSVARQVVRERGIPGLFRGAVLRAVWTAVGSGLYLGMYEVSKVWLTRGKESPNDN FP PFICI_15383 MPAPAVPEITEDTLHESIEARTESLVSLRELGPPDLVHLLKQNR SNPGRHIGVYHHVTGVDASSSASLAAYINTLTYNKEQGPTAGNKITEGIYCCYNAFSR LDMRVHVTIPGTVESYCVDERGEKRKATEELWLETYLCSVLRAYSYADDGSGDTIRKI MGVRRFNPVTNTETEHRFLSAAEHLFFRGWQVGSDSVVQVPNNVSNHLTAGLLKYFHT TGRFASAINLFEKLRSQNVEVSSLLSRVLFMGNEEVQGVRVLYEALKESPMDYVMLDT QAEFLMRKAMAAPTAEQKEERLNMALGCADRSTIAAPSEFGTWARLAQIYVIKEDWEN ALTILNSCPMFTYQDKDAPLMPEPKDVYLPTLPETRLDEIDSEPESRQYEQVDSSLLN LRAAAYKGTFKQAYAILTEMTAKIGWDQLLKIRSNVFVMEDEYRSERQEPSAAPAARN PSTDGLRGSPEPTNGEHSDEEGDGEEKPSKSDADSTAPTLTNGKEASSVEKPEHTIDP GEVKADAIQSDSNNTATTEEHLSRLNNKRLCERWLDSLFMVLYEDLRVYTIWRTQMAQ YRAQSMQYKKSAEEWEILGSLAERLQHHDEAVEAYRACLSLRFSPKALTGILRVYEKQ KNTRETIASVIRLVAWQYRWYSEFSPELLYTIRTLIEDEGAVKVRSIIQATSLSQSVL DLTHHYAALCHTFRSSGTEG PFICI_15384 MSSYQPAFSAINVEPEDNLVEEVDTTRELHIEEALKRFQTALKL HAQGPEHFTEAAETYQVLFESEIFQYPDAVTEFDRVEQQSDPHPDATGGSSLHPLEAA QLDIAQHDVDGTGSSLPQALYIAYKNHGQFLLDTIKHHAKTEGEAVFEQKETRKKARE ALGNLSIALDHDPSDADLWRRTARVAAFLQSTRASRYCLEAAIELDDDPAVDEVEPPS LAEGFAGEQLKEQLEVLGDDVAKSHPILAPYSHRTMPAFLKKHLDPIPFLPDPSKSLA TPHSPPPTPNDTLSDQLTLQVEESSWAELGMTLVRTMTANGVSARPIWIELPDLPSSP DPPRQALVERIKSVDGDVEHESQAQATDKPGKSGNPEEAEASPGDGQNGAVAVATAKT QRSESVISRKRSHSAAAIPDGEPEEVVEKRSKRTRRRETAVEDTGDTQPIFAVQLEPF QAADKNLFQTTKNLAENLGVSDPSTLQRLSEIIELSALEHRTIKVTEPSMVDLRDSLL KFEEDQARILLNKHETSSIGFSSFLEHAKPGSQLKTEMPAFEESRNIKSFAIKANEDG LGMPDIAFEWVQSVFRSYTDYKWSDSMKTAVVQVISHLDEEIYSRTLYELQSLDTTQK SREDATSLDHMVQTLFELHLDVYERITNPNSAVDFGIRVETKGRLGRWFSLASQLSRN RPEGSDSTLSARFLWAVVFSVTLTEGVSREHVLQCWQSLREALASDFEDVTVALPNNA IMPEISTQAADREISKLTTMDFFLGLFQDDLSDPISVIDSLEPVLNPAGVFTTTTTTA TQPEGSPTPSEQLRSPSQRQDIASPKPITETASQGLHDLWKFLLSASTELRLFLWNRL GAAYRAITYPTKVFSCNLKAIEMVVGDFERDPYLSMPIAERRPLFLKMLKFVDNLLVQ SLSIALNDPTAFDIIDEDHLNSSVAALAKLACILHTAPMFEDEVRVGMSAAPSSSATF SSFFNKLRELQVRNWSLLYTLLKFGVLQNKTTFKTPEADLADYLAAVHQVLGLRKSCK ASNKIFLKMMRVELLKQNLIDNWEDYMGQVLYDLYGLKGIGVWEVQDHGCAAEKLERR NTMALVEKISALANSIPMKDLLKSDLKTTIETMQQAIGQTKSNQQTIHNLRNFTEYLR RPIHPLRLYQALRGNVTIDAVAVTTPDSVLAKHQWFFLLGMIAFSKFKGVDLNRRQTP GATDDLRMGATFLRQQLQFTPDQWNAWFRLAECFDYELDESVLWSADKMNKERAELVK YQRNAIHCYALALSNSRKVGPDFEGEALEAIHELYHKFAMRMYASSRGPFDMEPFKHA DQERFFIQSMGAGTFKRKIHEEMEDYKVWKYAATLFKKASAVKPKEWKNFYMYAKCIW KMFQKPYTSLDHKDRQSRPTVADVLSALERSVEVVAALPKPRSGDSILEPHYKIVAVV HKLVLREDLTPTEGAEILQRQPLAVKKGSPVEVATADEWSTYMIECLQHLREKDKSNW QHRIIMRHARILFDETAENPDHADALAAFAVLQKSMFTKTMVMNVWKCDAERPGRHHV YTEQYVRFMVKILCVTKDRVNFEALLRRIRKKGADFYHFTELWQYCCISYLKLIRSHF NIEPVLEDVYKNVSPEEFEIITERISEWSIDSAAGETPAFGALKETIELKKLNSALMK AGAIDDLITDCYTAIYMEVGKSLPGPPPETLIQERAEAKARDEEKPAGEDVEKPSNPF SMLLNPQSVDNSGTEAGPAAAAPEGAARQRRIGVRRPDILRKAEHAVNRNVEGPVKAV GGKSLRGSVSSGRTRGSKTPIGEDSKDGDIDMEDGGDESSAPGSIHDSADDESDLSDV PASELLDEEEAALLFPGLARRSVDNDTDEDGHDESGEDGGDEEEEEEQEDIEDVENAA DVEDDEGMGDDEHMVEPADDIEDEEMEDAEVLADEEQLGEDIGEEDEDAEDEDDEGDE EEAEEAEEVEEDEEGEEEEEEEEEEEEEEEVEEEEEEEEEEEEEEEVIEDAIEAIPLA PFICI_15385 MAPAPRLDDVSQSSTTDQPSNLEADYSPQHIRHGSSDYYFNLAQ QLLVGSSPIYGQANSSGTQRVPRMAQDLQRLIQNRSGRKTGHLLSIIQVPRWLEILDT YEEEIGLLYPFLDVTELRNQLRDSSLQLADQENRQEVRLGTKLDEVLILVLAVMAVLE EPDVSTLGDEFVEQVVSGTWRRVHTGNVADHDITLSILISIYYFMTDRESLAWRNIGT VVRMLQELGYHSSSDLQHRFKSRSAKDKAKKVLWSAYTLDRRWSFGTGLPFAINDSDI DYDTDFLDESLSTAYLRAMVAYCRIGAEVRDSALGMPSPTHAKDSARDLLDFKINEWR RNLPSCLQFHQDMNFDPLTGTRGQYRLRLLLYLRANQMRIVVHRKSALRSGNEAIDTS SLNAMIEVAQDTIRVLAKLSQVSNIYHAQQKTFNHFLESALSALLLVTCRSNVLADRS CAAEVQLALDVIDHLSTTSSITRKLAEKLKCFATTSVEAEQRLRKSRSNTSSKGKSTN FGKIGHPAHRSETVEESVRGPPLATGNTDGTVTSGNGQGGLTQVMLPPSLNQENSATC QIGENPGDSYLGAAQHTFQDLGQAAGFDQMSNDTVPLLYPLESGSSEISPESIPTDVS LLDMVGGMDLQPNDRWDGLLSDLSDFWVDYDKMIAF PFICI_15386 MSYRDAKFTLFTYFRSSCSARIRTAAALKSIELHYEYINLVKGE QSSPSYTSLNPSGAVPTLIVELSDGRKVVIRQSTAILEFFEEVFPNTTPLLPSDPAER AQARELYNILAADFQPRTNLCIIKRVGKFGITAPEWCKEQMSPVLRAYEEILQHCAGK YSVGDNLSLADVALAPAAEGALRWGVDLKEFPLLHRVFENIRVLPEFVQADWKHQEDT PAEFRA PFICI_15387 MSYQLLGFAVIAAIFALIKFLNATDVPKIKGLPEIPGVPIFGNL IQLGTDHARVAGKWAAKYGPVFQTRLGNRRIIFVNSYDTVKHFWITHQSALISRPTFH TFHSVVSSSQGFTIGTSPWDESCKRRRKAAGTALNRPAVQSYMPILDLESMASIKELL NDCKGGTVDIEPTPYFARFALNTSLTLNYGFRIDGDVQNELLTEITHVEREISNFRST SNNWQDYVPLLRLWSKQNSGAEQYRERRDRYLTKLLKDLQQRIADGTDKPCITGNIIK DPDAKLNEAELKSICLTMVSAGLDTVPGNLIMGLAYLSTEDGQSIQERALKEIEAVYP DGDAWEKCLVEEKVPYITALVKETLRFWTVIPICLPRTSIKDIPYKGTVIPAGTTFFM NAWAADYDEQRFKEPNRFLPDRFIDDNESGTPHYGYGAGSRMCAGSHLANRELYTAYI RLITAFNILPPKKQADAPIIDAIECNSVPTSLTTDPKPFKVGLKARNETKLKQWLSEA ADRTKDL PFICI_15388 MRFTKSLVLAFAALATAHPGHEDAEAAQALKSRQVYHANKRALE ACGTKIQALHARGVERRTAEVAKHRIARRIAVNDPYLKENAVKRDTTSVLQKDHQGTI NATLALESDASYVFNASSSTVLNPEGEIGPFYVSGEYVRSDIRNDEPGIDVVLEAQLI DVNTCEPIVGAYFDIWSCNSTGVYSGVQTNMNGNGADASNLNNTALRGIQLSDDDGVV QFTTKFPGHYAGRATHLHVVVHQEATQLENGTIVGSGTVPHIGQFFWDQKLITQVEAT SPYTSNTQRLTLNALDRVFGAQETRGTTSDPVFNYVFFGDSIDDGLFSWIYVGIDTSA SYTPTYSFQLTDHGGEATGNGGGPGGPGGPGGPPQ PFICI_15389 MHGFKGSSASKGVTDLDDVEKNGHASSDDNHIPEPQLNVGGHGG TQRRLKDYQVTMIGFCSGIGTGLFIGTGSAYATAGPAGLLLAYIVVGAVLWAVMQSIA ELATLIPTAGSFPHWATRFIDPAVGFSLAISYGYCYTIAIASECSAAAILVSYWTDIS TAIVITISLVLILIANLLSVRFFGETEVVTGAIKVACFVGLVIASIVITAGGGPNHEA IGFRYWHDPGAWYNYNGITGSTGHFLGFLSAFVNASFSFIGVETVVITAAESINPHRA IPKAADRVTYRIGFFYILGALLISLVVDPRNEDLVSGTGNANSSPWVIAIKEAGISVL PSIVNACILISAWSAGSSYCWVGSRMIVAMTTDHQLPQIFGRTTKNGVPWVAVIVAWL FGPLAYLSLGEGGPSQAFTWLLNLSTVAGLIAWATLCACYIRFHRALKVQGISRKTFP WKSPFQPFTAWFGFIGSAVITLVAGFPVFLAGNWNTADFIASYVGIPIFIVPIILWKV FKKSKFVRAKDMDLWSGRLATSVQAVQR PFICI_15390 MDAQIQKAVEIVWDPTGDQSIKAQAYDFLQQLRSDPQAWQACVS LFTRTPRVSEIVRHVCLELISNTVHTHTLDLQSLLFLRDALLSYVRETYGTNHPDSVD SANLQNKITQTLTYLFVALYQQGWESFFEDFLALTAFPGSSTHDNIMGTILYLRILNN VHDEIADQVVMRQGNEVKIHTELKDLVRARDVARIAQSWQDLLAEHASQNELIVESTL KVIGKWVSWIDISLIINDSMMSRIFPLIGRANPNGGEDKVRDAAVDAFTEIVGKKMRP ADKANMISFLNLRDIIAQLIASPPLNEFKGTPRYDTDLGEAVAKLVNTVLADLVRVLE DRATDEDTRAKANQQLQEFLPLLLRFFSDEYDEICSTVIPSLTDILTYLRKLSELPGS FRDMLPPILNAIIMKMRYDETSTWGHEDEQTDEAEFQELRKRLQLLQKSVAAADHNLY VEVLSNLVANTFQRLDEQGSHLDWRDLDLALHEMYLFGESAKSSVPLQGLASNKNQPA TPAAERLMALMTKMIQSGVAGFPHPAITLQYMEIIVRYWNFFEMEGNSSLIAQVLENF VQFVHHDHVRIRSRSWYLFHRFVKHLRAYVGNVAETVIQSIADLLPIKAEVPGEDADD DMSSDEIDNSADAVFTSQLYLFEAIGCISSTSSTPAEKQALYARSIIDPLFRDMEEHL PRAKSGDAQAILQVHHIIMALGTLAHGFSDWTPGSTSSNQRPPPDKVLSSEFSRAAEA ILIALSQLNGSAEIRAACRSAFSRLLGVLGAAVLPQLPQWIEGLLSQSSSKDEMAMFL RLLDQVVYGFKTEIYDVLNMLLTPLLQRVFGGLSEPMDGTDDEIQLAELRREYLSFIG VILNNDLGGVLVSEANQGFFDSLISSILTLAGDISSGNLPASRLAFGILSRMAGVWGG PDVANIAANPSAPSGSPSPAIPGFDQFLLDRFHAVCWDVMRNPQFRPSQDAQTKQVLT EIAGLEQAIYLKTGEVFIQHLQVSLFPSLGIDGNDFLRPMTTSTDRKTFSRYLQELQK SFRS PFICI_15391 MRFAAAAVVLAGAVSAQTVYSTDYITITSCAPEVTNCPARSTQT FSSTYAVESSSTEAVKTTESTPSASHAVSSPGSSVGASVPGYSASVPAYSASTVAVSS PAVSSPVYPTTVKTAGSTSPATGSSSVLTISTTTCVPTVIYSTVTVTPTTASSVKPSS GSSIPGYNATTPASPSSTYPVTAGAASFGMSAGAVVAAAAAAFFF PFICI_15392 MAPPQSALDFLEFVNASPTPFHAVQSAIARLDKAGFTKVKEREN WSATLKPGGKYYMTRNGSTIVAFAIGSKWRPGNPIAMVAAHTDSPCLRIKPVSKKSGV GFLQVGVETYGGGIWHSWFDRDLSIAGRVLVKDGQGNFVQKLVKVDRPIIRIPTLAIH LDREPSFNPNKETELFPIAGMVAAELNRTGVEEVVKDDNTSNTTFQPLKDMSDRHHPY ILEIIAEHAGVSVENVVDFEMVLYDVQKSVLGGLNEEFIYSARLDNLNMTYCSVMGLI ESVQSSSLDDETSIRLIACFDHEEIGSTSAHGANSDLLPAVVRRLSVVAGDRHGDSAS HRSWEHISSDPDADLTTAFEQTASGSFLVSADMAHSVHPNYVGKYESNHQPEMNKGTV IKVNANQRYATNSPGIVLIQEAAKRAGVPLQLFVVRNDSSCGSTIGPMLSAQMGIRTL DLGNPQLSMHSIRETGGTYDVEHAIKLFDSFLSHYSELEAKIYVD PFICI_15393 MAGQMPMMPQQMRPRMPQQTMLNTLMSIIMTQQQNMPPPSGWQA ALPPQHRVNNAGNLLTNTFLANPQSDSTQTMSAIINFERDCYMHSPSKVPYDQKVQNR LQELFLKRQSNELTLQNTLNQQQAAQMQGRAQNPQAPMMNQPGMMPQQMRIGQTPQQG FQHLQQQMQASPIPGQPQQSGMGMPNQGVLPMGTKPQQPTQMGPGQMRRGLTVNNIPP QERQRLQQAAITRFNSLSEPEKAHYRNLAMQKLNPQQIHMLNSKGQDVALHFIQQSLQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRQAQQQQQQQQRSANAHGANPGGQQA GSAAINGDLGPFSNVELMNQQKAGMMAQEAGQMVVPASAAGRNATPQPVGGASAPGQP GVQQGAAQAGRPQQPPLNMQQNQQMQMDQAAAKTQASIRAQAQAKQMQGQPGGLGGPG AMTQSPAMNTLNAPVQRPPVAMGQQMGQGNPNLGPGVDMRLNQGNPRPQPGQIPNRNN LMAMMMRNLGPDQQQTLRMLPPEKQNEVLGKWLMANNRQQMASQPQAPAQLGQFGQGN PMAQFTPGGNMTPQPGGNMPGGNSQNPTLVQQQLNRMRSTAPGQPGLPLDSSTAAAFM DSLDVPPAVTGQIPHLPPAIKKWGQLKQWMIQNNANPSAQNKILSLQQMQFQRLLSAQ RAQPPGGAQLPPGAQPPGPQGQVVGQMQPNNANAALQMALQNVTITSQEIQNARLASE KFKGWKEEEVRSYLVQLKQHQLRNRYMPPRPQPAPNQPGQPGQPTQMAQVPPTQITPA VPPPPQRPPSTTAEPSMAAAPTKPQKPVGGNRSTPQQNSSAIPQRPAKRGSDDVEATG STPAPAQRPPSQPGQIGPPRLTPAQLATMSQEQRLKYEQFLKTRQAQPPQNQDIERLR TISNEAGRQCREEALPDVPMSPQQHMEMARDAQHMLGEIRKVAKLLTKWYSLTHDDTR AKQYFVTRIRLHQQFKDGEAATQPKDAFTIQRAYVEEAKLLLASMGKDLHNAAQAGQR NTQGATESNHPQGPPAPVATPVVQPTPLSATNLEKHNKHVRSNSKSGGPPAAPTSKEP PFQIPSAPSPHGVPTYSNANGSHMDLTLPNKRRKHGHSTGATGSPQLGKHASPEAKKD KPVEPQYPCPEPDCEASRFPFLTEEARRAHIQEEHVRPREDPPKYLFEAFAESLGLDA NAQSKHDPTFVTTALPTNATQRPGQTPAGASTKIQEIAAGSADATKDMTSRALAAKPT GMNAPAVQGEDNTATQTGATAGLLQFGTIDPQNLSQYLGGLESGGGGAISNMAVYRAL SPLDTPESSKDSASSEPNSDVSEGITLNVTLDMGFDTWQPFDGDGFTLGSGAEPDLSE SMAWDDVHVDFDKPFSLDTSMYSYDPTSMTA PFICI_15394 MHVSPGKRRALAPLDNNSRSLIPSLKLSQPPEVKTLASHDVQDP DGSNGGKRKSAAVEDGPDAVKKRQCVAAASMAMTTTDDSPSGPVTRDRDACSISPEPS SIFDNSTGDTSLATCTTEVETDTDVLASSPPRLPRQPTMTRAEARQHAEVLRLRLGLA GYKLRTGQVSDRCC PFICI_15395 MSAPSSPGHDARDEPPPPSPPRPTASASETSQNPELDLTKLRAL PAEQQDLYILTFVSSLGKHVKALPADDCTAQQFYLKKEAFQLINLPAPSPSRVTRGNI GAVLAHVFAKGDRKLLFETINDLVSIVTNSKVKTDAEIRARHAAVTCLGHVYAAAGDS AIPLHQLSCGALVKLLKATSSNAGLRATVLESLAKIVSMVAASMDESIARDMWKQCRT AAAGDKGYLVAVAACRCLKSLAKHTSYFENSSDFENLKLALFKAFDSPSSQVRQAAAD CLAEAMAVSLSENAAGDIAAPKAKKPKSKASKRASVQPGSGLAEDDDIPSRPGSPAPG TKKTQQLALTLTDMLKTLSAQYVRLSTTNRARAALGICYGRVLRTLGEKAVETNYLRI VENLTVDLLGNPNITNNRYRLLISRRIVDSILQTTVGQKILGESGQMAAARSVINDVF KNYPQAIKERPEPSKYTLIATLGAVASLIDSLGSAANSFSESCRDGLLQVLQHPSYSV QVYASHCMKSFVKACPQQLLPCLSVCMNSLSRELSQLGTGRNSPRRCMGFAHGLATTL SASPDRPLYGSVDINSRVLTMATNLLKSSGKSELRVSSTQIQVAWILIGGLMSLGPNF VKIHLSQLLLLWKNALPKPLAKDNTSQRSLLEACFLTNVRECALGSILAFLQFNSRLL TVDVSKRIAAMLQSTTAFLRTLPQKKTTEDVSQRLTPALQLQDLELMVQRRVLQCYTK LVNQSPAGGSEALLQSNLLTTAVSLFADPENYAGNSLSASIANAAGTFESVWEVGDNS GFGVTGLVNGFRVERLPGEQDNSTDQPASDQVDPEAFIDDLLRSPVCPSLEHDAAMLY VSDASVPGVLPHPPATEVINMAIQLFAFVFPLTPAKVQESILEQVATFMSAGVLQRDP GRKAAMNVNIATALLYSLRVASRETMSPPGDISNLAVEKLLQEIVRGFVLDPDQYIRS LGYATIARLCNTCGNAFTNGEIKHLVDTIVSNREPSARAGCAMSLGCIQAKLGGMAAG YHLKTILGILMSLCNDPHPTVHYWALEALSRVADAAGLGFAPYVSSSLGMLTQLYLSD THHPEVSSAISMNLEMELSTVGAITHCVDSMINVLGPDLQDATKSRELILTLIGQLQD EDNVNVQRASLSCLEHLSLYAPGNMVFADYVKLLQKYLNCDTASLRDAAVDGLYNLMK RSSQDVFDAAYPGLEDQLWLVLDSCPGHDGIRNIIRNWVRQSCLSDTASWLQRLQNVL KMTRKKAVEENRAGASKPNAAVDLQDEEVAGFAAAAVGAAKDDKELAASSEVEPLRWQ VRTFAMSCLNEIFLLINKDIATNGESPAQAALQARIADVVRMGFSASTSSVLELRIWG LKIIGSVLRMFGKTPDPDFEEAMLLEQYQAQISSALTPAFAADSSPELASEAVNVCAA FISTGIVTDVDRMGRILKTLVTALENFSNESEHAGIGDLQGLSSNAQIMVKMSVFSAW ADLQVASLEQKYLLNVLKPHIGTLTPLWLASLREFARLRFEPDISMTLGPPSLSGSLD TIYAALNRETLLKFYQDSWLKLVDAIASLIEQDSDFVFDALDGKEIEGPSTNGQAKGS DINYRDEPVAFFFVLFGIAFEALATRPGQTDSLATQEQTLEILQALKKILHPSVSGHA IYREAIFSETMDLLDRLVLTEGLDVQGVIVEIARALCVAHPSARKKEQPESGDLSEDI EQLFELTRIIVLVLSGLLPNLTEAHQTARHQLTEEAVLLIRSALNAIVDAAEVFPAII KTDLHACIIHIFATVLATPSCQEVIVPQALPELKRFMTSISGPAATAGNNNSNRPHSA VQLQGCLRRFLSIYLNAQKREAPSSLLCVKNCLLAVTILFTGGQNCLAANDAVVVRFL DELMDCLSDRMTAKIAANCVRSLLLQSSPTVADQSIARYLLPRLIAFVTATEPEDPEN ARRLIAHTLTQYVAGVDKDHAQIVMAVVAPALLSRASSEGMEVHRETSIRLLEMASAD PAAFRGVVAAMSEGQKAFMEEVIKSGRATGDSNKTTTDNTGQPSIALKMDFGS PFICI_15396 MFLSPQNRPAAYFLRPSALCSWCRRALTSTAVVYSGHNRWSKIK HEKGAADKKKTAERNFFAKNLTLYSKLYGTNPELNAQLAKTIVEAKKSGMPKANIELA IARGQGRSSTGEKLETAMLELLGPGSVAVIIETECDNKQRAIKDLKLIAKRHSATVTP TTFLFTRLGRTILTLPDGCDFDAVFMQAVEAGAEDAEQDDEGNVVIWTQPNATHQVAQ SLSAALQADIITSDIIWKPSEDTVKLQDQGVATQLAEFLSAVRDNDDVQAIYANVEQG EISNDVWSSIEDSLD PFICI_15397 MYVMFPIGIMYYFGTNLDERFAVPDFWPKPEQANKVPLDKDEIH AELQRLRARRLYLRDRRLEEEAKRPMPPAPPAPADQSEAENNR PFICI_15398 MWRRTYLLLVLVRLWFALSPSYLHPDENFQGPEVIAGEIFSYPV RRTWEFTSEHPVRSVFPLWPVYGLPMLLLRWLWVGHGNDGEVPPIAVFWTLRVLMFVL SFVLEDWAVHELVQSPRHRRIAILLVASSYVTWTFQTHTFSNSIETLVVAWSLVLIDR ILDTSSQQTSSLLASTVLGVVSVFGAFNRITFPAFLLIPGFRLIPLFWKRPLSLAVLV ASALLTVIIAVSLDTSFYTPHSINWSDLVRNPVITPWNNLRYNMSPANLAQHGIHPWY QHMLVNIPMLLGPAAGLLFFQPHLSLRLYSAISGIFVLSVFQHQEARFLLPTIPLILS SVQLPRNTTVLRIWVGAWVLFNVALSMLMGLYHQGGIVPGQVFLSKQPDATEAIWWKT YMPPIWLLNGKNEVLRTQDVMDLEGSKLLEELGRLATCDTPADRRNNEYLKESNGTYL MAPLSATWLDAYLPNKGLDGLRFREVWRHTQHLNLDDLDWAEDGIWATLRRVIGRRGL AAWRVTKSC PFICI_15399 MHQRSKRAATGLLVAANLLIPAAIIIFAAGFFPYKPFLAGNAQY DDLDQAPAPPFDRVVFMVVDALRSDFVFAEGSGFEFTQGLIRDGAAIPFTAHAASPTV TMPRLKAITTGSVPSFLDVILNLDEADSSSTLASQDTWLAQMKAKQTGKLLMFGDDTW LKLFPDTFDRADGTSSFFVSDYTEVDNNVTRHIDVELQQDDWSTMILHYLGLDHIGHK SGPRSSAMFPKQREMDDIVRRIYQAIETQSHLGSTLLVLCGDHGMNDAGNHGASSAGE TSPALVFLSPSLKSLSRSLDAPAPHAEDFQYYSRVQQSDLAPTLGALLGFPVPKNNLG AMIPEFLPLWLDKRDQAYIMMHNAKQIFEIVSAAFGDTLSAGPYDAVDCLKLSSDADR LACEWHVLARQGDLDKVADNDDWARQVLQWLREAQHLMSGMASNYDITRLTQGVTIAL LLAVSSILAVFLVAEKSTGVPPCFAAIMLLYGIMMFASSYVEEEQHFWYWATTAWLLY LSRTRLQKSTRVPYWAVLAILVSIRLMRGWNQTGQKFAGNPDLVKLFLAPNPEILWCL VIGTYAVLFVELMSNARGIFPGAIAMPLMLGVVTSAMSFKIAFANEDAPELVTGFVRS LLQLMEGPSLLSRARVVLLGLALALAYTVYFLLTRRRVRHLEDKLKSESSREAGGSGP EQLAAMALLHNLYTVLALTQSRVTNIPLFLLFRLLQTYLQRLKLDVAEITTSAILLQF ASFFAMGGSNAISSVDLSSAYNGISGFNVMAQRRLSQNLIPPTWCVTYIICDH PFICI_15400 MPNDNGSSANPFIRFKNEIDTHIAQFWGHGPRREAPLDSSSRSP DSRVESSSNIDPTSDAVVLANPPVDVIAAQLQQQRISSWLTFSAYSPDNLSFLPQPVP KDLLHDRLAGSCSTFHDAFADLLHVSSGHPLRDIIQALPVQGSRHYATSFSSLVFQAF RSQAWRASLQDQWDVYFPQRQARIDAETILEITYGLQKQQRQRGLGTSVTLLQSLIRQ ASMCEEEAKLCRLVGQPPFGPTDSLDSDRNDLWAVLRDLYQMNDPWRKESRSTQVHHE EVPDGGFTEKIDTEEALYQALCPPRPNSLARSMLPWDGTAVVPTPCANSFESGRETSS HVTEMNVVFLPDGSNIVTRVERHSHHGITEQIITTEKQDAQGLITERTIKTIQFPADH GGRPREHQASNDDRIKSASGRAAGTSDWFWTRRG PFICI_15401 MTGNTKKRSRDDPEETTVVVASASPSSSQTQHAPAQTEPSSKRA RVEAGRSLFVRSLPPSATDETLTGFFSEHFPVKSAVVVKDPTTKQSRGYGFVVLTDAQ DATDAKEKLHGQKFDGRPISIEVAKPRDRKSATVDADKEERRNAEKEARKPPKLIIRN LPWSIKKPEQLAALFRGYGKIYYCDLPQSKGKLSGFGFVTMKRKGAEKAIEAVNGKTV DGRTLAVDWAISKQEWGSLQQQDGGQNKADLSQGPTGKDGEKGADGKSSSVGGHGNEQ KIKSKDGEEEEEEEEDEDLRNFMKNFGDKLEDEDSEADGEEERDSKGRESGEDDSSGE ISSDAEKPTKTLMTDNSSTLFIRNLPFSTTDADLKSHFEQFGRVRYARVVMDRVTDRP AGTGFVNFLSNDDAMACLKGAPRPRATDASGKRSILQDELADAQGSYTLEGRVLHVSQ AVSKDEATKLTADGIATRDGKDRDKRRLYLLSEGTITPSSPLFALLAPSEVKMREESA AQRKKQIQGNPSLHLSLTRLAVRNIPRNMDHKAVKALAREAVVGFSKDAKEGLREPLS KEELARGGEEDREAERRRKEKGKGIVKQAKVVYENKQGSKVAEGDGAGKSRGYGFIEY SSHRWALMGLRWLNGHALKNDTGKTQRLIVEFAIENAQVVSRRNERQTKQGRPDTNAN EHEQGTLRQGQQNSRFGRNDKEFTKGKHLAQKFKGTAGKTVVDQSGVESRTSSTRNAL EQKIIGRKRIMKKKKANARR PFICI_15402 MPIRASSRSTRTSTAAATVASRASTTSRVSSAALGIPEDGPDNA LRSQICVIFKDAQKTTASHRKLVVNLRKIHESCCYEPTRPKKPAAAAPAEDFDEDDFN AEFSRCVMRAMPVKKSESVGEKTIRFVGLFLRHASDKDNEMLGEDDADASTMPETPST RLITQVMASILPLLTAKDKFVRFRSTQFVSHVINSLDAIDDDLFQKLRQGLLKRIRDK EAMVRAQAVLGLGRLAGNQVEAMANSEDSDDDDAETGLLEKLLEVLQNDPSAEVRRSL LVNLPILPNTLPYLLERARDQDPQTRRAVYARLLPALGDFRHLSLSMREKLLRWGLRD RDENVRKAAGRLFRDRWIEDCAGVPPAPEDGKPAETPGPSFDGLVELLERIDVVNSGV ENGVAIEAMKGFWEGRPDYRDAVSFDDYFWETLSAESVFMARSFNDFCRAEGNRKYES LVEEKLPEVTKLAFFLERYLKVLVEAIRRVNSQELGEDDEEEDTVEQEFIVEQLLHII LTLDYSDEVGRRKMFSLLRQMLSIPELPDEVTKLTVDVLRDICSPDAAGEKEFCSVVL EAVADVHDTIVDEPTPENDDDSFHSARSDVSGDSTPTRGDKRSKDTNLTEEEARAKAI KEIMINMKCLHIVQCMLTNVEGTLQDNDHLVAMLNNLVVPAVRSHEAPVRERGLVCLG LCALLDRPLAEENLTLFMHFFAKGHSALQITALHILTDILNVHGAQLLASNSALLKVY IKALRSGSRNPEVQGAAVVAVSKLLLGRVVTDHDTSAELLKTLVVAYFDPSTAGNQSV RQALNYFLPVFCYSRAENQELMRSVALDALHALYNVREGLEDDDADVNEEMASLTIIG ACLVDWTDPRKCYQPGMSMDAEKRNVNGDIHLDLATDVLERLDGNISKDEKKVVATLL GKLYVSPASSEEKIRSLYEDVNAAVEGNLLSDATSRNALYKIHVSLGKIVNQLSEQVK GGGRRSTSRSMSVSVAGDEKTAREDSVMTQPLIKEEEVSDNDDDDDDTNDRSNDTVRQ PGEMDESSLVQELLSDAEE PFICI_15403 MAENLQKFSGITSPGSHLTDHESVVDTIHRLTESVDSEDAPMFE SAIYDDAEWDVSGLTFLGKNYGVLRGKEEMMHWQMTGPAPVLTTHMVSNARSHVTILK DGTKIATCTAYVLAQHLPRGTAHSKISTWDKDVFLTGNRLSGELKWDGERWRFMKLAI RCIWSVGDNDFIVAGRPKAHAE PFICI_15404 MSRQNIFETFQLRENSQQITRARWYLIAAQAVALASANTGQHVV ELYKKVVQDVTLDDQKIIQRRMKEAILKTSAFYGIPRSLQALLPLFKSLKDEEIDHYG PRWELSQTDEVQRIQMDKIRYEKARRYFDTIWTPESAQANRDNNFKYHPDLYLLNTQL AYEYYISEDAILTPIETQMCNIAALICCECPVQAMWHTRGLINHGGSMEEAQFAQDLG LAIAELSGCKHIDSYVVENGVHG PFICI_15405 MPQYDQFTGFQSGSVEKWTQVQKKQFNVKPFGEYDVDVEVECCG VCSSDIHSINGGWGNSTFPLTVGHEVVGRVVRAGPKVTLMNLGDRVGIGAQIWSCLEC HQCCNENETYCPDLIATYGHAWPQHPGLISQGGFASHVRAHEHWVFPIPAEIPSHLAA PMLCAGITSYSPLVRFGAGPGKKVGIVGVGGLGHYGILFSAALGAETWAIARTNAKKE DTIKMGAIGYLATSEKDWNVPHKMTFDLIISTANSFGDGSDLGAYLSLLNVLGKWVSV GMPEEATLTIRPQDLAGNGCFVGATHLGSRKEMLDMLQLAVSKNISSWVETVPINAEN LSKTFKSLHENSGAVRYRYCMTEYDKEFKQ PFICI_15406 MASSSKPFESSSGFSLLRHLTKEYPEAKGGEGNFIQGEKDKKVL DACGGAAVTCLGHNNKRMNHAMANYFLQNNLTYTSTAFWRDPTVEELCDKIIQGTNYQ MFKVYLAGSGSEVVEAAIKLSRQYYYDQDHNTTRKYFIARERSYHGNTLGTLSLSDYK ARKDPYEPLLIQNVERVSACYPYRQQEKDQSDEEFISIKVAELEAKFQKLGSGNVIAF IAEPVVGAALGCVASPTGYLKAMRDVCHKHGALFILDEVMCGIGRTGVLHAWQAENVV PDIQLVGKGLGAGYQPVSAMIVSKRVHDVLLDTSKEFAHGYTHQGMPIQAVAALEVLR IIEEERLLDNVTPMWETYGDEVFFGV PFICI_15407 MRSTSRSLSKALEPAWLEAASTSSSTAFLSIDCSSQIEACQKRD PVSSSSIQLFKGESSIATYQGPRRASGITSWIDRALRPSVNELSIESADFFENIDETV FIARIPLGGGASRLAVEEVARKYTREFTFGILGVSEHQDLDQDMPVIKCYRPLDNDVK SHTGPFDTASLETFVKEASRPIIGELLPGNHQRFLDRGWPMVYVFAATERDRAELRET LKKMARSYYDSLTMVTVDPLDFPELPEKLGLDPGSFPAGAVHQLSTDRIYRYPKGQPI TANALQKWGLDVWQGRVRPWNPSGSTAVPETETQGRIKASRKISIKSYPGIKVNIGRD EL PFICI_15408 MSLYHETADILSIPADAGGNLKSRIFGKKDHKTSPQQIYALAAE TCKWSAVLKEVIDNAGILRMERKASLSAPLTPILSLLLVHDLLLSKSGVALPASHGLR LSVERHKTRLNAELTRVRLRRKAASLDALRLQVETAFAQASPKYPRWIRINALKTTLD HQLKTTFKDFTRVHAIGEVSSAVSHNLYIDEHVPNLVAVSPGFEVLKTSAYKSGAIIL QDKASCFPAYLLDPRLEDGHVIDSCAAPGNKTTHLAAILSSRTVVPEGPPPKVFAFER NGHRAKTLENMVTLAGGDEIIDITPKQDFLKVDPDAKLYQDVGCLLLDPSCSGSGIVD REGLPPIHLPGLTGSAGLKPERNQNRKRKRKGDKDSGPDEQILVDDDGKQTVITSEQE LLSRLDTLSSFQFILLQHAFKFPSARRITYSTCSVHSQENEEVVVKALQSDVAKARGW RILTRDQQVSGMKEWPVRGSVDAAHGNETIADACIRTYRDDGRGVMGFFVAAFVRDGS LASHDAGESNSVAWRNQPTAREEEPGSDNDEDEWGGFQD PFICI_15409 MKATTAGFLSSLATLAAAAQSERTFAVLRFDGDGFMTEGRVDPI VSPGTAASHFHGIMGGSSFGTTVDGDQLLSSSCTNAKIKNDRSNYWAPEVYFRDPNNG TFTKVPLFYMNVYYFFEPTDDDIEAFPVGLKMFTGDAKTRDPPAFGGGSNLDPDAGPI QNIQFTCPRSSYDPPSYPTDSDGTTAGMQDPQNKGAGAGFPLYPCDGYASPLRADIHF PSCYNPDAGLDDYKNNMAWPTITNFKQNCPSGWIHVPHIFFELYWNTPMFDDLWTPDG KTQPFVLSNGDATGYSLHGDFIAGWDLPTLQTIIDTCNAGDIGMDKCPNIPGGLNDDN DCKISSPIVEILSLNAALTALPGDNPLTGWSFGGSSDSGSSASSSSATGYATASVDQS STSASSSAQETTYAVSLANLDGKKGEAASTQPTAALPTEAAVSAVANNAGYNTVVIDG TGIPVSTVWDIVTATETQTVWVEETAPAKRQEKHAGHIARHRRSGHFHH PFICI_15410 METQIFQKIPSLQVIICRHCRQGVRPAEIEQHLKKQHQLPHADA HVISEAIQQWDGIQQDSQAIQIPYELDEPLPILPCEPNGLQCCRLDPPCQYLVSSMKA MRNHWRDVHGWSQYCHGGRARQAQQAASQAELQQSFRCVSWQQVFRGGKGSHYIYIRF PDGRAEPPPPTTQIQQAVDQMYMSWQEQQEKQRRERVVQAGEINDANPWLRLTRWAEY LQGVDPADLISISSVGHIDKSLTAVERVDTEPVVQVLQATMAQLVQKSQQTVQHCGHA IRIEAVRTETSQTPHRPLMAYMNPEQIQKHIQPWQQVLGFIARTQTDWPWKGQKPEYG MTARQRRYWQRLWGLAQQAADERASPDPMEMDAEAEAASTWEMTVIETACLEFCIELL NQRYRTQEYESPLVCAMAVLGRSEKGWRDADSYPPILSRVIKIARFLLVQKALWMDPD ALGIIALWQSHTVAPGLLATAEEDLYDIDEGFTEASQPMMPSSPPSSVHSGDGIPMAR VPRPGRKPLQDCVEWMVRRFMVRGTHGPMQTLLDWRTYGLKIHYNTTAPGHVTWMGQE RLLYQQVQFTMGEFRGFVHGLVGATRTLLGELLQEADLGLGLPAIPWDRLFDDPAEQT PGWSFLRDRRTPWPVDGARWMVERLRGEPGVQRQVMTRGAFHPRKIRQYLQRVARFLE KLAVAVHLTGGAPARAPELLSTQHVNTETNQQRNIYIEDGLVVLVTAYHKGFYASNDT KVIHRYLPREVGGLVVYYLWLVRPFVQQLAWQLARAGEGLTAVNDPQADQPGHCFTAV NHSHTDHGFTTVKPPRPAMDDTQPDDPGFGFPPVERRGLMLETISSPYIWGCDVGSGR EWSSERLREVLKRETRTGLGTAMTVAHYRDIAIGMSRRFLRPSSAFPNNIQQEQAAEQ ELAASGEDPDD PFICI_15411 MLRRLKFRASSTDWHEFLGFPTGGPPVLGKRANPWEEQAAAHQV ERRRQLQATPMEPALQRMTGRAEIQFRGVQQPAIQAIQDSASPVVAIMPTGGGKSMLF MLPAFVAPGGCTIVVVPLISLRADLMQRCQQLGIRCVSWESRRPPDEAAIVLVTPESS EDPDFHTFLNRQRWMRRLDRIVVDECHIILNSQKDFRPAMARLGRLVSARTQMVFLTA TLPPIMEDIFLQRIKQPASAVGMYRARTSRGNVAYRVWRPILPRQTPREPHQWLVMPA VLQYIQGRIQQARGAG PFICI_15412 MGRHQKSSSCQKGKKRATQQTLIQDSIQTASVATVTTGSPFTKE QLEEQLLKTITGLRLPFQVVEDVAFQQLLNLVHSGSPRLELPSAKTLRRRLRDAVIEQ HMSQLKDLPEDAKVSLALDCWTSPFQQAFMAITAYFIDSGWNYRELLLGFEPLHGPHS GTNLSDVLLQLLKERNLLNRIFTVTTDNATNNDTLIRGLQDVLLSTGAISSRDSIVRV PCMAHVIQLCLKQLLGHIRAAPRNEEVGISWSDSQVSFLRDSVECGDVAHTLAKIRSL AVFVNASPQRRDAFTSLQPVHERLFPIQDVQTRWNSTFLMLRRARKLRICFDRYCSDH DYTQFKISDVEWRQIDYLLQLTKPFFQFTMALMKTKDITIHSVFLVYRKLLEHIKKST QSLNKKKMPWKRAMYDALLAAKQKLRDYYDKTYRDHGFLYATGTMLAPQYKLSAFGDT EYSKCHSETSRHYQGYLRKGFLQYQQQIPDLSFCPTRYHAPQQVSELDMLLGPSSSSL PSSGDQQDEVDRYLQEGIVPLPPRAYWKDHEHDYPVLSRLARDLLSVPATGAGVERLF NSARDICHYRRGSLNEKTIQDLMMFMCSQKFTLDNKQFSYRGNILAEEDNQVTREVES ALKATEDEFDPISDCEEDAAEEDNPQAIEIDEESEVESEPETSRMGGILMNIPEEPEE DVELPQLPTPIIQLSERSQKRSSGRVTMPSSRLEGYEIY PFICI_15413 MACIPIGKVTVKIYPRTISNFSDFIENDLLPALHENRYKPQKGD TYMLATNVHKNEPTYVSQIEDTKRPADDLLREFFQYKENGTIAPIHVIIERQIGCEDE VDLKQKKELQVKKTKIKEPRIKKELKKKRSYSVALGNTKIKKEEPEDLGEENRYRKHE QQQEEENIETSTEDLRDLHTLLKLPVPCNDLCNLPENQPADQPADQPTNQPANQPADQ PADQPADQSTDQPADQPADQLQTNTHEEPYIEYIALRTRRRHVLQQEDFDRSAQYGI